diff --git a/CHANGELOG.md b/CHANGELOG.md index 403993b..3d64e5e 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -7,9 +7,19 @@ and this project adheres to [Semantic Versioning](https://semver.org/spec/v2.0.0 ## [Unreleased] +## [1.1.0] - 2024-04-05 +### Added + - Amplifier to test the hardware error detection. + - More error-LEDs to give a optical feedback for all ADC inputs. + - Hints for some pinheaders not to use jumpers. + +### Changed + - Capacitors after MUX are changed to pull-down resistors. + ## [1.0.0] - 2024-03-02 - Initial release -[unreleased]: https://github.com/upb-lea/LCB-CTB-01_Test_Board/compare/1.0.0...HEAD +[unreleased]: https://github.com/upb-lea/LCB-CTB-01_Test_Board/compare/1.1.0...HEAD +[1.1.0]: https://github.com/upb-lea/LCB-CTB-01_Test_Board/compare/1.0.0...1.1.0 [1.0.0]: https://github.com/upb-lea/LCB-CTB-01_Test_Board/releases/tag/1.0.0 diff --git a/LCB-CTB-01/ADCINs.kicad_sch b/LCB-CTB-01/ADCINs.kicad_sch index 7039836..2f2a8df 100644 --- a/LCB-CTB-01/ADCINs.kicad_sch +++ b/LCB-CTB-01/ADCINs.kicad_sch @@ -6,8 +6,8 @@ (title_block (title "LCB-CTB-01: Test Board") - (date "2024-03-02") - (rev "1.0.0") + (date "2024-04-05") + (rev "1.1.0") (company "PADERBORN UNIVERSITY DEPARTMENT OF POWER ELECTRONICS AND ELECTRICAL DRIVES") ) @@ -130,131 +130,59 @@ ) ) ) - (symbol "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) - (property "Reference" "C" (at 0.762 0.508 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10n" (at 0.762 -1.016 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 0 10.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (symbol "LEA_SymbolLibrary:Conn_02x03_Male" (in_bom yes) (on_board yes) + (property "Reference" "J" (at 0 7.62 0) + (effects (font (size 1.27 1.27)) (justify left top)) ) - (property "manf#" "CGA3E2X7R1H103K" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (property "Value" "TSW-102-07-G-T" (at -7.62 -6.35 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer" "TDK" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (property "Footprint" "LEA_FootprintLibrary:Conn_02x03" (at 16.51 -94.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Mouser No" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (property "Datasheet" "http://suddendocs.samtec.com/catalog_english/tsw_th.pdf" (at 16.51 -194.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (property "mouser#" "200-TSW10207GT" (at 16.51 -494.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "ki_keywords" "C capacitor" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (property "Manufacturer" "SAMTEC" (at 16.51 -694.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "ki_description" "Capacitor 0603" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (property "manf#" "TSW-102-07-G-T" (at 16.51 -794.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "ki_fp_filters" "C_*" (at 0 0 0) + (property "ki_description" "CONN HEADER 6 POS .100\"" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (symbol "C_0603__10n_X7R_10P__50V_0_0" - (text "0603" (at -0.635 -1.905 900) - (effects (font (size 0.5 0.5))) - ) - ) - (symbol "C_0603__10n_X7R_10P__50V_0_1" - (polyline - (pts - (xy -1.524 -0.508) - (xy 1.524 -0.508) - ) - (stroke (width 0.3302) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.524 0.508) - (xy 1.524 0.508) - ) - (stroke (width 0.3048) (type default)) - (fill (type none)) + (symbol "Conn_02x03_Male_1_1" + (rectangle (start -3.81 5.08) (end 3.81 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) ) - ) - (symbol "C_0603__10n_X7R_10P__50V_1_1" - (pin passive line (at 0 2.54 270) (length 2.032) + (pin passive line (at -8.89 2.54 0) (length 5.08) (name "1" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) - (pin passive line (at 0 -2.54 90) (length 2.032) + (pin passive line (at -8.89 0 0) (length 5.08) (name "2" (effects (font (size 1.27 1.27)))) (number "2" (effects (font (size 1.27 1.27)))) ) - ) - ) - (symbol "LEA_SymbolLibrary:Conn_01x02" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "J" (at -1.27 2.54 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "01x02" (at 0 -5.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (at 0 3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.mouser.de/datasheet/2/181/M20-999-1218971.pdf" (at -1.27 6.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Harwin" (at -1.27 10.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "855-M20-9990245" (at -3.81 11.43 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "M20-9990245" (at -1.27 -7.62 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Sim.Enable" "0" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "connector" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "Connector*:*_1x??_*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Conn_01x02_1_1" - (rectangle (start -1.27 -2.413) (end 0 -2.667) - (stroke (width 0.1524) (type default)) - (fill (type none)) - ) - (rectangle (start -1.27 0.127) (end 0 -0.127) - (stroke (width 0.1524) (type default)) - (fill (type none)) + (pin passive line (at -8.89 -2.54 0) (length 5.08) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) ) - (rectangle (start -1.27 1.27) (end 1.27 -3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) + (pin passive line (at 8.89 2.54 180) (length 5.08) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) ) - (pin passive line (at -5.08 0 0) (length 3.81) - (name "Pin_1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) + (pin passive line (at 8.89 0 180) (length 5.08) + (name "5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) ) - (pin passive line (at -5.08 -2.54 0) (length 3.81) - (name "Pin_2" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) + (pin passive line (at 8.89 -2.54 180) (length 5.08) + (name "6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) ) ) ) @@ -429,6 +357,110 @@ ) ) ) + (symbol "LEA_SymbolLibrary:Conn_02x09_Male" (in_bom yes) (on_board yes) + (property "Reference" "J" (at -1.27 15.24 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (property "Value" "Conn_02x09_Male" (at -7.62 -13.97 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:Conn_02x09_Male" (at 19.05 -94.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 19.05 -194.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "mouser#" "855-M20-9980946" (at 19.05 -494.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Manufacturer" "Harwin" (at 19.05 -694.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "manf#" "M20-9980946" (at 19.05 -794.92 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "ki_description" "2.54mm (0.1\") Pitch DIL Vertical Throughboard Pin Header, 6.1mm mating pin height, tin, 9+9 contacts" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x09_Male_1_1" + (rectangle (start -5.08 12.7) (end 3.81 -12.7) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin passive line (at -10.16 10.16 0) (length 5.08) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 8.89 0 180) (length 5.08) + (name "10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -2.54 0) (length 5.08) + (name "11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 8.89 -2.54 180) (length 5.08) + (name "12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -5.08 0) (length 5.08) + (name "13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 8.89 -5.08 180) (length 5.08) + (name "14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -7.62 0) (length 5.08) + (name "15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 8.89 -7.62 180) (length 5.08) + (name "16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -10.16 0) (length 5.08) + (name "17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 8.89 -10.16 180) (length 5.08) + (name "18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 8.89 10.16 180) (length 5.08) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 7.62 0) (length 5.08) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 8.89 7.62 180) (length 5.08) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 5.08 0) (length 5.08) + (name "5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 8.89 5.08 180) (length 5.08) + (name "6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 2.54 0) (length 5.08) + (name "7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 8.89 2.54 180) (length 5.08) + (name "8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 0 0) (length 5.08) + (name "9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "LEA_SymbolLibrary:GND" (power) (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) (property "Reference" "#PWR" (at 2.54 -2.54 90) (effects (font (size 1.27 1.27)) hide) @@ -566,998 +598,71714 @@ ) ) ) - (symbol "LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) - (property "Reference" "R" (at 0.762 0.508 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0R0" (at 0.762 -1.016 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 0 10.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (symbol "LEA_SymbolLibrary:OP_4_MCP6004-E/ST" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "IC" (at -3.81 7.62 0) + (effects (font (size 1.27 1.27))) ) - (property "Mfr. No" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (property "Value" "MCP6004-E/ST" (at 0 11.43 0) + (effects (font (size 1.27 1.27))) ) - (property "manf#" "CRCW06030000Z0EAC" (at 0 0 0) + (property "Footprint" "LEA_FootprintLibrary:TSSOP-14_TI" (at 25.4 -22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 0 0 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/268/MCHP_S_A0009381759_1-2520804.pdf" (at 25.4 -22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 0 0 0) + (property "Manufacturer" "Microchip Technology" (at 1.27 -10.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW06030000Z0EAC" (at 0 0 0) + (property "mouser#" "579-MCP6004-E/ST" (at 0.254 -8.128 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "R resistor" (at 0 0 0) + (property "manf#" "MCP6004-E/ST" (at 0 15.24 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Resistor 0603" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) + (property "ki_locked" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) ) - (property "ki_fp_filters" "R_*" (at 0 0 0) + (property "ki_description" "Quad Amplifiers" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (symbol "R_0603__0R0_THICK__1P__75V_0_0" - (text "0603" (at 0 0 900) - (effects (font (size 0.8 0.8))) + (symbol "OP_4_MCP6004-E/ST_1_1" + (polyline + (pts + (xy -3.81 5.08) + (xy 6.35 0) + (xy -3.81 -5.08) + (xy -3.81 5.08) + ) + (stroke (width 0.254) (type default)) + (fill (type background)) ) - ) - (symbol "R_0603__0R0_THICK__1P__75V_0_1" - (rectangle (start -0.762 1.778) (end 0.762 -1.778) - (stroke (width 0.2032) (type default)) - (fill (type none)) + (pin output line (at 8.89 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -6.35 -2.54 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -6.35 2.54 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) ) ) - (symbol "R_0603__0R0_THICK__1P__75V_1_1" - (pin passive line (at 0 2.54 270) (length 0.762) + (symbol "OP_4_MCP6004-E/ST_2_1" + (polyline + (pts + (xy -3.81 5.08) + (xy 6.35 0) + (xy -3.81 -5.08) + (xy -3.81 5.08) + ) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin input line (at -6.35 2.54 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -6.35 -2.54 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 0 180) (length 2.54) (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) ) - (pin passive line (at 0 -2.54 90) (length 0.762) + ) + (symbol "OP_4_MCP6004-E/ST_3_1" + (polyline + (pts + (xy -3.81 5.08) + (xy 6.35 0) + (xy -3.81 -5.08) + (xy -3.81 5.08) + ) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin input line (at -6.35 2.54 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 0 180) (length 2.54) (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -6.35 -2.54 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) ) ) - ) - ) - - (junction (at 104.14 100.33) (diameter 0) (color 0 0 0 0) - (uuid 0a966b87-4d2d-4549-995c-f67e6c18309f) - ) - (junction (at 209.55 59.69) (diameter 0) (color 0 0 0 0) - (uuid 152fe3c2-ec9b-493f-81ee-3ac756815c20) - ) - (junction (at 83.82 90.17) (diameter 0) (color 0 0 0 0) - (uuid 15a513ac-c3fc-489f-91ec-a6db3f0787d9) - ) - (junction (at 52.07 99.06) (diameter 0) (color 0 0 0 0) - (uuid 1950d97a-24ad-4142-8386-37077d178ab5) + (symbol "OP_4_MCP6004-E/ST_4_1" + (polyline + (pts + (xy -3.81 5.08) + (xy 6.35 0) + (xy -3.81 -5.08) + (xy -3.81 5.08) + ) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin input line (at -6.35 2.54 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -6.35 -2.54 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "OP_4_MCP6004-E/ST_5_1" + (rectangle (start -2.54 5.08) (end 2.54 -5.08) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (pin power_in line (at -5.08 -3.81 0) (length 2.54) + (name "V-" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 3.81 0) (length 2.54) + (name "V+" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LEA_SymbolLibrary:R_0603_100k_THICK__1P__75V" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100k" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603100KFKEAC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603100KFKEAC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor 0603" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0603_100k_THICK__1P__75V_0_0" + (text "0603" (at 0 0 900) + (effects (font (size 0.8 0.8))) + ) + ) + (symbol "R_0603_100k_THICK__1P__75V_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_0603_100k_THICK__1P__75V_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0R0" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW06030000Z0EAC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW06030000Z0EAC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor 0603" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0603__0R0_THICK__1P__75V_0_0" + (text "0603" (at 0 0 900) + (effects (font (size 0.8 0.8))) + ) + ) + (symbol "R_0603__0R0_THICK__1P__75V_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_0603__0R0_THICK__1P__75V_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1M0" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW06031M00FKEAC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor 0603" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0603__1M0_THICK__1P__75V_0_0" + (text "0603" (at 0 0 900) + (effects (font (size 0.8 0.8))) + ) + ) + (symbol "R_0603__1M0_THICK__1P__75V_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_0603__1M0_THICK__1P__75V_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LEA_SymbolLibrary:R_0603__3k9_THICK__1P__75V" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "3k9" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW06033K90FKEAC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW06033K90FKEAC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor 0603" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0603__3k9_THICK__1P__75V_0_0" + (text "0603" (at 0 0 900) + (effects (font (size 0.8 0.8))) + ) + ) + (symbol "R_0603__3k9_THICK__1P__75V_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_0603__3k9_THICK__1P__75V_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) ) - (junction (at 104.14 116.84) (diameter 0) (color 0 0 0 0) - (uuid 2c812ae7-77ad-4ad4-a66e-6f2b4e3f6365) + + (junction (at 217.17 109.22) (diameter 0) (color 0 0 0 0) + (uuid 06a63211-b3ac-4c09-85cd-d3f379a943fe) ) - (junction (at 83.82 116.84) (diameter 0) (color 0 0 0 0) - (uuid 31639ee2-ec01-43bd-a929-f4f61fc21881) + (junction (at 222.25 87.63) (diameter 0) (color 0 0 0 0) + (uuid 0a966b87-4d2d-4549-995c-f67e6c18309f) ) - (junction (at 219.71 39.37) (diameter 0) (color 0 0 0 0) - (uuid 38a67d1c-91c5-4dc0-a919-ced50cbeedb5) + (junction (at 213.36 62.23) (diameter 0) (color 0 0 0 0) + (uuid 0ace53ef-c5c1-40a3-bc3f-fe8a925885d0) ) - (junction (at 214.63 59.69) (diameter 0) (color 0 0 0 0) - (uuid 3cde0c3f-d481-46fb-8aa3-7089ad250791) + (junction (at 212.09 129.54) (diameter 0) (color 0 0 0 0) + (uuid 12c8973e-2b37-44a5-ac9c-be0c2dec8d6b) + ) + (junction (at 203.2 62.23) (diameter 0) (color 0 0 0 0) + (uuid 15282379-f09e-4d12-b82e-94c509e0cd52) + ) + (junction (at 201.93 77.47) (diameter 0) (color 0 0 0 0) + (uuid 15a513ac-c3fc-489f-91ec-a6db3f0787d9) ) - (junction (at 88.9 116.84) (diameter 0) (color 0 0 0 0) - (uuid 42c390d7-f494-4d88-b518-7b96ee0a444c) + (junction (at 201.93 124.46) (diameter 0) (color 0 0 0 0) + (uuid 383e2e6a-240c-4554-a101-038410f52b1d) ) - (junction (at 90.17 39.37) (diameter 0) (color 0 0 0 0) + (junction (at 217.17 132.08) (diameter 0) (color 0 0 0 0) + (uuid 442989a8-627c-4158-bda0-8b5e0202b8ec) + ) + (junction (at 208.28 40.64) (diameter 0) (color 0 0 0 0) (uuid 44e82d71-0d22-46cf-b597-15b18756b46d) ) - (junction (at 85.09 58.42) (diameter 0) (color 0 0 0 0) - (uuid 4c8d7837-6d6d-4865-922c-613dc3b9ed6b) + (junction (at 207.01 156.21) (diameter 0) (color 0 0 0 0) + (uuid 4c3efd80-62cf-490e-96ac-3ea8a1466552) + ) + (junction (at 232.41 139.7) (diameter 0) (color 0 0 0 0) + (uuid 4f510381-b10d-4abe-9a9e-467f6e8a45d3) ) - (junction (at 214.63 36.83) (diameter 0) (color 0 0 0 0) - (uuid 4f08fc55-623c-40b4-b981-c62f6c8097ed) + (junction (at 163.83 86.36) (diameter 0) (color 0 0 0 0) + (uuid 51b8c857-9e68-447b-b243-d050113ccb37) ) - (junction (at 99.06 97.79) (diameter 0) (color 0 0 0 0) + (junction (at 217.17 85.09) (diameter 0) (color 0 0 0 0) (uuid 545fbdeb-c01d-4edb-903b-258577b92cae) ) - (junction (at 219.71 59.69) (diameter 0) (color 0 0 0 0) - (uuid 5b382e27-9e42-4fda-926d-c659fd185719) + (junction (at 114.3 39.37) (diameter 0) (color 0 0 0 0) + (uuid 5fba1345-e281-4897-b03c-a0f6d9bf185c) + ) + (junction (at 130.81 58.42) (diameter 0) (color 0 0 0 0) + (uuid 6780c607-87b8-4c88-a734-c568c094ecbc) + ) + (junction (at 130.81 31.75) (diameter 0) (color 0 0 0 0) + (uuid 70a74f2b-12b1-4561-8169-359da82603fd) ) - (junction (at 209.55 34.29) (diameter 0) (color 0 0 0 0) - (uuid 5c98fab0-de12-471e-9dc9-1c8238e2dd8f) + (junction (at 201.93 156.21) (diameter 0) (color 0 0 0 0) + (uuid 771952a3-66bd-47f2-9518-a6ba1ab94e66) ) - (junction (at 88.9 92.71) (diameter 0) (color 0 0 0 0) + (junction (at 222.25 134.62) (diameter 0) (color 0 0 0 0) + (uuid 774bf3af-7c3f-4535-ac5a-ab7a6a37082a) + ) + (junction (at 130.81 85.09) (diameter 0) (color 0 0 0 0) + (uuid 7b587424-1db9-4108-9cc4-1c082bf5016f) + ) + (junction (at 207.01 80.01) (diameter 0) (color 0 0 0 0) (uuid 7b915a57-bb56-4681-8ebd-789f091e88d6) ) - (junction (at 229.87 44.45) (diameter 0) (color 0 0 0 0) - (uuid 837d4e02-fb18-4447-8037-a0d10bebc712) + (junction (at 217.17 156.21) (diameter 0) (color 0 0 0 0) + (uuid 7e8408d9-28c7-4690-b695-483396be8599) ) - (junction (at 38.1 99.06) (diameter 0) (color 0 0 0 0) - (uuid 83c17f0b-6729-45c3-a2c2-de8f81b0b21c) + (junction (at 212.09 156.21) (diameter 0) (color 0 0 0 0) + (uuid 847c09ac-102c-4d8b-a8cc-a3b986c2b66b) ) - (junction (at 177.8 43.18) (diameter 0) (color 0 0 0 0) - (uuid 844b7ef3-2bdc-40ca-ba5f-c32fbbdcf7e1) + (junction (at 227.33 156.21) (diameter 0) (color 0 0 0 0) + (uuid 8542f241-f19e-4f2f-a9dc-12e1ed35b29a) ) - (junction (at 93.98 95.25) (diameter 0) (color 0 0 0 0) + (junction (at 212.09 82.55) (diameter 0) (color 0 0 0 0) (uuid 87641b71-2d45-42e6-9b4a-71d7261a6619) ) - (junction (at 99.06 116.84) (diameter 0) (color 0 0 0 0) - (uuid 8e1ec889-fd84-4396-b2cc-edc44f2d3870) + (junction (at 208.28 62.23) (diameter 0) (color 0 0 0 0) + (uuid 8cba38df-45ce-4cf0-b485-3b2a3abd15f2) ) - (junction (at 114.3 105.41) (diameter 0) (color 0 0 0 0) - (uuid 8fe0b888-87cf-4907-a09b-d6aa34d3a5b7) + (junction (at 207.01 109.22) (diameter 0) (color 0 0 0 0) + (uuid 8e661d3c-4961-4422-a8da-33e03772804c) + ) + (junction (at 227.33 137.16) (diameter 0) (color 0 0 0 0) + (uuid 8efb7561-8c9d-4fcd-ae61-29a4f9617caa) ) - (junction (at 95.25 58.42) (diameter 0) (color 0 0 0 0) - (uuid 907609fe-962c-4c69-8eb1-0406f9a41c98) + (junction (at 232.41 92.71) (diameter 0) (color 0 0 0 0) + (uuid 8fe0b888-87cf-4907-a09b-d6aa34d3a5b7) ) - (junction (at 234.95 46.99) (diameter 0) (color 0 0 0 0) - (uuid 95f4dbf8-14ff-46b7-936d-90259ac90cda) + (junction (at 113.03 92.71) (diameter 0) (color 0 0 0 0) + (uuid 92d944cd-9f0b-4a7a-8487-a23017db90aa) ) - (junction (at 52.07 40.64) (diameter 0) (color 0 0 0 0) + (junction (at 170.18 41.91) (diameter 0) (color 0 0 0 0) (uuid 98a1805c-2f44-498d-89b9-8d42705ce388) ) - (junction (at 224.79 41.91) (diameter 0) (color 0 0 0 0) - (uuid 9b7823e4-d30a-4cf4-ad25-06ce5cf3e136) - ) - (junction (at 52.07 104.14) (diameter 0) (color 0 0 0 0) + (junction (at 170.18 91.44) (diameter 0) (color 0 0 0 0) (uuid 9b883c58-a6c1-405f-9633-d43a3ccffe7d) ) - (junction (at 38.1 40.64) (diameter 0) (color 0 0 0 0) - (uuid 9fe97700-cf2d-47b2-9448-a69c12a21d78) + (junction (at 170.18 133.35) (diameter 0) (color 0 0 0 0) + (uuid 9ea9e747-a55e-4c45-87d6-144ee392bb8a) ) - (junction (at 177.8 48.26) (diameter 0) (color 0 0 0 0) + (junction (at 170.18 138.43) (diameter 0) (color 0 0 0 0) (uuid a0209d25-037b-4842-9595-0907c8e9bc69) ) - (junction (at 163.83 43.18) (diameter 0) (color 0 0 0 0) - (uuid a2638fcf-3aa3-44cf-a763-5ba3dc88d0ae) + (junction (at 196.85 121.92) (diameter 0) (color 0 0 0 0) + (uuid a4120294-a931-44ed-af5e-91ed8ee5d1fa) ) - (junction (at 52.07 45.72) (diameter 0) (color 0 0 0 0) + (junction (at 170.18 46.99) (diameter 0) (color 0 0 0 0) (uuid a606e054-fa57-44bf-b793-f710df36b473) ) - (junction (at 78.74 87.63) (diameter 0) (color 0 0 0 0) + (junction (at 201.93 109.22) (diameter 0) (color 0 0 0 0) + (uuid a7e74008-7373-449d-8956-843f795ac586) + ) + (junction (at 196.85 74.93) (diameter 0) (color 0 0 0 0) (uuid abbd6075-f49f-4b52-a48a-1f8866e3eb67) ) - (junction (at 229.87 59.69) (diameter 0) (color 0 0 0 0) - (uuid ba1f7d24-a1a5-4796-b2f0-7a5697d3e123) + (junction (at 160.02 41.91) (diameter 0) (color 0 0 0 0) + (uuid ae7b23d9-582f-49e7-a5c1-90d7c6d7a279) + ) + (junction (at 170.18 86.36) (diameter 0) (color 0 0 0 0) + (uuid af48a592-a693-4203-b4cf-8075b3005a58) ) - (junction (at 109.22 102.87) (diameter 0) (color 0 0 0 0) + (junction (at 227.33 90.17) (diameter 0) (color 0 0 0 0) (uuid bc10f7f2-7ac6-49f9-a773-95277d894cfb) ) - (junction (at 234.95 59.69) (diameter 0) (color 0 0 0 0) - (uuid ce606f85-c652-436e-a792-b8ac8a9e019e) + (junction (at 212.09 109.22) (diameter 0) (color 0 0 0 0) + (uuid ca9862ec-4026-45fe-8938-760b02029db5) ) - (junction (at 93.98 116.84) (diameter 0) (color 0 0 0 0) - (uuid d2c67713-930f-4706-8524-a554d8d3dd39) + (junction (at 166.37 133.35) (diameter 0) (color 0 0 0 0) + (uuid d3f727b7-694b-4dd6-a085-3b3f6c6d9e98) ) - (junction (at 240.03 49.53) (diameter 0) (color 0 0 0 0) - (uuid de37631d-19cb-4e36-964c-96cad6d031ac) + (junction (at 76.2 115.57) (diameter 0) (color 0 0 0 0) + (uuid d4cbc695-a814-45c7-894f-9b9ac1a87b96) ) - (junction (at 109.22 116.84) (diameter 0) (color 0 0 0 0) - (uuid dfa0004d-eed9-41c8-b0f1-2de5dff21a62) + (junction (at 76.2 107.95) (diameter 0) (color 0 0 0 0) + (uuid d7276c6d-8bc9-4c30-84d5-2e12662a5fff) ) - (junction (at 95.25 41.91) (diameter 0) (color 0 0 0 0) + (junction (at 213.36 43.18) (diameter 0) (color 0 0 0 0) (uuid e209507a-a564-472b-aae6-fe434146007d) ) - (junction (at 85.09 36.83) (diameter 0) (color 0 0 0 0) + (junction (at 203.2 38.1) (diameter 0) (color 0 0 0 0) (uuid e24a87bc-8017-406b-a929-297e9e9facb2) ) - (junction (at 204.47 31.75) (diameter 0) (color 0 0 0 0) - (uuid e6b61136-57d9-42cb-9ac9-42fabd77dca0) + (junction (at 113.03 66.04) (diameter 0) (color 0 0 0 0) + (uuid e9977cbe-f202-4145-b41d-4d422c6f5313) ) - (junction (at 100.33 44.45) (diameter 0) (color 0 0 0 0) + (junction (at 207.01 127) (diameter 0) (color 0 0 0 0) + (uuid eb50250e-401d-414c-9342-030cf01f2fff) + ) + (junction (at 218.44 45.72) (diameter 0) (color 0 0 0 0) (uuid ec3e1d12-2ca1-40ff-803c-4ebcc585167e) ) - (junction (at 224.79 59.69) (diameter 0) (color 0 0 0 0) - (uuid f18f3b35-122b-4dff-ab31-df912c8f180b) + (junction (at 227.33 109.22) (diameter 0) (color 0 0 0 0) + (uuid ef7472ed-17cf-401f-9035-f028dd83075c) ) - (junction (at 80.01 34.29) (diameter 0) (color 0 0 0 0) + (junction (at 198.12 35.56) (diameter 0) (color 0 0 0 0) (uuid f40539e3-ef9d-42b2-962b-0c6a8979a722) ) - (junction (at 90.17 58.42) (diameter 0) (color 0 0 0 0) - (uuid f82f8cd9-74d4-4a52-9e24-b6c4303e777a) + (junction (at 222.25 109.22) (diameter 0) (color 0 0 0 0) + (uuid f50e9d0b-daac-4027-b2dd-380e3474cc94) + ) + (junction (at 222.25 156.21) (diameter 0) (color 0 0 0 0) + (uuid fb391a07-2269-47e3-bd9b-51f623a43db4) ) - (no_connect (at 77.47 46.99) (uuid c0e17a33-e263-4e57-900e-9d83504e7df4)) - (no_connect (at 77.47 31.75) (uuid c5dac832-2abf-44b2-97c0-fbd482349bc3)) - (no_connect (at 77.47 29.21) (uuid d61f9850-ec68-4419-b71a-6b18262b4c88)) + (no_connect (at 129.54 113.03) (uuid 7ba7beaf-c09f-41e6-b38e-cf4fe9955cb1)) + (no_connect (at 195.58 48.26) (uuid c0e17a33-e263-4e57-900e-9d83504e7df4)) + (no_connect (at 195.58 33.02) (uuid c5dac832-2abf-44b2-97c0-fbd482349bc3)) + (no_connect (at 195.58 30.48) (uuid d61f9850-ec68-4419-b71a-6b18262b4c88)) - (wire (pts (xy 52.07 101.6) (xy 52.07 104.14)) + (wire (pts (xy 170.18 88.9) (xy 170.18 91.44)) (stroke (width 0) (type default)) (uuid 00820b02-2d36-48be-ad88-713ba9d25c59) ) - (wire (pts (xy 77.47 44.45) (xy 100.33 44.45)) + (wire (pts (xy 195.58 45.72) (xy 218.44 45.72)) (stroke (width 0) (type default)) (uuid 01e4f633-3433-4b3c-80c4-d15e5268e872) ) - (wire (pts (xy 99.06 97.79) (xy 99.06 111.76)) + (wire (pts (xy 76.2 107.95) (xy 76.2 109.22)) + (stroke (width 0) (type default)) + (uuid 02cc9711-36d8-48b4-a0fd-27fbbf3acc19) + ) + (polyline (pts (xy 71.12 86.36) (xy 52.07 86.36)) + (stroke (width 0.5) (type dot)) + (uuid 0321ea52-a75e-4f1a-8d3c-5fd8b01b1703) + ) + + (wire (pts (xy 232.41 109.22) (xy 232.41 104.14)) + (stroke (width 0) (type default)) + (uuid 0428e595-4d5d-45cd-93fc-a9753857efee) + ) + (wire (pts (xy 259.08 82.55) (xy 254 82.55)) + (stroke (width 0) (type default)) + (uuid 04b4eaab-3e70-4ceb-aa20-7c7b15694663) + ) + (wire (pts (xy 217.17 85.09) (xy 217.17 99.06)) (stroke (width 0) (type default)) (uuid 050d7129-ef97-4985-9465-70236f2a8ab6) ) - (wire (pts (xy 54.61 59.69) (xy 67.31 59.69)) + (wire (pts (xy 172.72 60.96) (xy 185.42 60.96)) (stroke (width 0) (type default)) (uuid 0554729c-d1e2-4c69-8f3c-f3644eb4b84b) ) - (wire (pts (xy 100.33 57.15) (xy 100.33 58.42)) + (wire (pts (xy 259.08 38.1) (xy 254 38.1)) + (stroke (width 0) (type default)) + (uuid 0653f1b1-053f-4e4f-9f85-a05b0cef2a77) + ) + (wire (pts (xy 139.7 76.2) (xy 170.18 76.2)) + (stroke (width 0) (type default)) + (uuid 066fc20e-d565-41f3-bbf5-a13f96deafad) + ) + (wire (pts (xy 259.08 127) (xy 254 127)) + (stroke (width 0) (type default)) + (uuid 06951a8d-af94-4050-b2d6-315b57c80d9b) + ) + (wire (pts (xy 113.03 60.96) (xy 114.3 60.96)) + (stroke (width 0) (type default)) + (uuid 0713c1e3-dbfd-4a79-9754-30aa3b4c8e49) + ) + (wire (pts (xy 217.17 156.21) (xy 217.17 151.13)) + (stroke (width 0) (type default)) + (uuid 0822b9cd-b217-44ae-b1cc-45e326d3a468) + ) + (wire (pts (xy 163.83 133.35) (xy 166.37 133.35)) + (stroke (width 0) (type default)) + (uuid 0bfa1ba1-f0d5-483a-b32f-6406eb88b568) + ) + (wire (pts (xy 218.44 58.42) (xy 218.44 62.23)) (stroke (width 0) (type default)) (uuid 0c290bb9-7a64-428e-b1af-0fd45c872856) ) - (wire (pts (xy 77.47 100.33) (xy 104.14 100.33)) + (wire (pts (xy 76.2 107.95) (xy 78.74 107.95)) + (stroke (width 0) (type default)) + (uuid 0cad5017-9bbe-445c-87e0-7d29864f182b) + ) + (wire (pts (xy 48.26 64.77) (xy 52.07 64.77)) + (stroke (width 0) (type default)) + (uuid 0dc200d7-5c79-47a5-a973-6f81e942fc24) + ) + (wire (pts (xy 195.58 87.63) (xy 222.25 87.63)) (stroke (width 0) (type default)) (uuid 0e71c636-9e6d-4dab-93ff-b41f47ef4334) ) - (wire (pts (xy 180.34 62.23) (xy 193.04 62.23)) + (wire (pts (xy 172.72 152.4) (xy 185.42 152.4)) (stroke (width 0) (type default)) (uuid 0f83ffb7-d263-464b-b304-c45f72fc1047) ) - (wire (pts (xy 38.1 99.06) (xy 52.07 99.06)) + (wire (pts (xy 196.85 156.21) (xy 201.93 156.21)) + (stroke (width 0) (type default)) + (uuid 10247952-6182-4d8b-b9e9-0c96a203951e) + ) + (wire (pts (xy 259.08 92.71) (xy 254 92.71)) + (stroke (width 0) (type default)) + (uuid 10db999a-fe32-4102-ab5c-6eaeed300679) + ) + (wire (pts (xy 76.2 114.3) (xy 76.2 115.57)) + (stroke (width 0) (type default)) + (uuid 13521c4c-2756-424c-9348-553615a88b34) + ) + (wire (pts (xy 196.85 109.22) (xy 196.85 104.14)) + (stroke (width 0) (type default)) + (uuid 13cffdfa-b2de-4a39-ae09-f366a57b7b6c) + ) + (wire (pts (xy 74.93 59.69) (xy 71.12 59.69)) (stroke (width 0) (type default)) - (uuid 10f6514f-1a7b-4867-952f-8407dcf29081) + (uuid 143fdb07-0c0b-409b-8a2e-e4721b787891) ) - (wire (pts (xy 190.5 57.15) (xy 190.5 59.69)) + (wire (pts (xy 74.93 74.93) (xy 71.12 74.93)) + (stroke (width 0) (type default)) + (uuid 15402683-e4db-4655-9ba9-fc3bfddf9aea) + ) + (wire (pts (xy 227.33 137.16) (xy 236.22 137.16)) + (stroke (width 0) (type default)) + (uuid 17759dcc-b24e-4cfa-9d46-748443a26585) + ) + (wire (pts (xy 182.88 147.32) (xy 182.88 149.86)) (stroke (width 0) (type default)) (uuid 177db197-b428-4de7-b1cd-6f85a23c77e0) ) - (wire (pts (xy 64.77 113.03) (xy 64.77 115.57)) + (wire (pts (xy 182.88 100.33) (xy 182.88 102.87)) (stroke (width 0) (type default)) (uuid 18475338-3d61-45cb-842e-88149a92bf02) ) - (wire (pts (xy 180.34 59.69) (xy 190.5 59.69)) + (wire (pts (xy 217.17 109.22) (xy 217.17 104.14)) + (stroke (width 0) (type default)) + (uuid 1879f962-45f8-4bfb-bb9e-1d79e0d2c959) + ) + (wire (pts (xy 172.72 149.86) (xy 182.88 149.86)) (stroke (width 0) (type default)) (uuid 1938092f-a130-4f95-b37e-1f65b584d424) ) - (wire (pts (xy 95.25 41.91) (xy 95.25 52.07)) + (wire (pts (xy 76.2 115.57) (xy 78.74 115.57)) + (stroke (width 0) (type default)) + (uuid 1b02ebdf-0f01-415c-8855-3363de7dd62e) + ) + (wire (pts (xy 130.81 66.04) (xy 130.81 58.42)) + (stroke (width 0) (type default)) + (uuid 1b9b4618-7df7-4f8c-b4f4-76806c9244a8) + ) + (wire (pts (xy 213.36 43.18) (xy 213.36 53.34)) (stroke (width 0) (type default)) (uuid 1be50b41-2fb8-410a-89c3-4ddddf2c9778) ) - (wire (pts (xy 204.47 59.69) (xy 209.55 59.69)) + (wire (pts (xy 48.26 69.85) (xy 52.07 69.85)) + (stroke (width 0) (type default)) + (uuid 1ccda711-258c-433d-9638-593f628dc980) + ) + (wire (pts (xy 69.85 34.29) (xy 95.25 34.29)) (stroke (width 0) (type default)) - (uuid 1dde66da-2f2c-47cc-a7f8-58a5595398c2) + (uuid 1cf9bc6e-9772-4e24-aee2-b240cf0bb625) ) - (wire (pts (xy 54.61 54.61) (xy 62.23 54.61)) + (wire (pts (xy 166.37 138.43) (xy 170.18 138.43)) + (stroke (width 0) (type default)) + (uuid 1d32f648-dc5a-40c5-90ab-8f68b6e4d609) + ) + (wire (pts (xy 124.46 92.71) (xy 130.81 92.71)) + (stroke (width 0) (type default)) + (uuid 1da60c3a-1c9f-4eff-82de-275f86033981) + ) + (wire (pts (xy 69.85 29.21) (xy 114.3 29.21)) + (stroke (width 0) (type default)) + (uuid 1e4e2e10-cc81-4ed2-9b90-9ca86a146c0b) + ) + (wire (pts (xy 172.72 55.88) (xy 180.34 55.88)) (stroke (width 0) (type default)) (uuid 227e8815-1d93-43ee-84f5-c02816c547b5) ) - (wire (pts (xy 67.31 113.03) (xy 67.31 118.11)) + (wire (pts (xy 232.41 156.21) (xy 232.41 151.13)) + (stroke (width 0) (type default)) + (uuid 23e42221-ec89-4622-9338-3cf6cdb03049) + ) + (wire (pts (xy 76.2 118.11) (xy 76.2 115.57)) + (stroke (width 0) (type default)) + (uuid 24633d0f-6659-41ab-9ef0-d4f3a222a0b9) + ) + (wire (pts (xy 207.01 127) (xy 236.22 127)) + (stroke (width 0) (type default)) + (uuid 274113a3-b73f-44cf-9bb2-38447bfc4628) + ) + (wire (pts (xy 259.08 35.56) (xy 254 35.56)) + (stroke (width 0) (type default)) + (uuid 274828e5-b393-4e73-8e37-9e5b5f8289de) + ) + (wire (pts (xy 207.01 109.22) (xy 212.09 109.22)) + (stroke (width 0) (type default)) + (uuid 2844a283-7636-4a1e-a4b1-c32b515d5f62) + ) + (wire (pts (xy 259.08 124.46) (xy 254 124.46)) + (stroke (width 0) (type default)) + (uuid 2a29df7c-6a19-49c1-b838-ff2379876f27) + ) + (wire (pts (xy 196.85 121.92) (xy 196.85 146.05)) + (stroke (width 0) (type default)) + (uuid 2c5d106e-ccf2-4924-953e-3986352cb9f3) + ) + (wire (pts (xy 259.08 74.93) (xy 254 74.93)) + (stroke (width 0) (type default)) + (uuid 2c6f874a-be9a-4157-826f-2f30616818e9) + ) + (wire (pts (xy 185.42 100.33) (xy 185.42 105.41)) (stroke (width 0) (type default)) (uuid 2c9a34e5-1f44-48b4-9438-74988cb4d87c) ) - (wire (pts (xy 151.13 43.18) (xy 152.4 43.18)) + (wire (pts (xy 74.93 57.15) (xy 71.12 57.15)) (stroke (width 0) (type default)) - (uuid 2f19ef91-705b-40e2-9162-7daf0482ba85) + (uuid 2d64c832-cd65-47e1-84ce-534a5648d6f3) ) - (wire (pts (xy 224.79 41.91) (xy 224.79 54.61)) + (polyline (pts (xy 254 27.94) (xy 236.22 27.94)) + (stroke (width 0.5) (type dot)) + (uuid 2eb3a48d-f0c3-4bb5-9f72-70c3a7bdca4f) + ) + + (wire (pts (xy 217.17 132.08) (xy 217.17 146.05)) (stroke (width 0) (type default)) - (uuid 31c51c0b-0448-4211-bc3a-99a99943032b) + (uuid 2f361e0d-4faf-46a4-ae97-4a370a1b366d) ) - (wire (pts (xy 229.87 44.45) (xy 229.87 54.61)) + (wire (pts (xy 113.03 92.71) (xy 119.38 92.71)) (stroke (width 0) (type default)) - (uuid 329f29e5-dbef-4901-8f57-124bc1c1f455) + (uuid 32883833-a347-4906-a8db-1dd4abb94667) ) - (wire (pts (xy 38.1 38.1) (xy 38.1 40.64)) + (wire (pts (xy 48.26 74.93) (xy 52.07 74.93)) (stroke (width 0) (type default)) - (uuid 32d145c2-3581-499f-997e-b6d03ee7d215) + (uuid 33924fa1-6ffc-4642-9375-51714776bff9) ) - (wire (pts (xy 229.87 59.69) (xy 234.95 59.69)) + (wire (pts (xy 154.94 86.36) (xy 156.21 86.36)) (stroke (width 0) (type default)) - (uuid 34ca4c8a-332d-40c9-a370-69c8f314d9fa) + (uuid 344f8e13-2db2-4e4f-a657-b941c4ac3981) ) - (wire (pts (xy 219.71 39.37) (xy 240.03 39.37)) + (wire (pts (xy 114.3 39.37) (xy 119.38 39.37)) (stroke (width 0) (type default)) - (uuid 35f16f46-4163-4482-af98-922d3ca45bfd) + (uuid 346c8fc1-20f5-4b1a-8193-4e6014bacb30) ) - (wire (pts (xy 38.1 40.64) (xy 52.07 40.64)) + (wire (pts (xy 212.09 156.21) (xy 212.09 151.13)) (stroke (width 0) (type default)) - (uuid 38b029a0-b2fd-4fbc-99f5-6b27a66ba2f2) + (uuid 36b3ba42-b28d-441f-bb85-c689f9ae44d9) ) - (wire (pts (xy 163.83 34.29) (xy 163.83 35.56)) + (wire (pts (xy 160.02 41.91) (xy 170.18 41.91)) (stroke (width 0) (type default)) - (uuid 3a386212-e7f2-4f9f-ba4d-958abee577e3) + (uuid 38b029a0-b2fd-4fbc-99f5-6b27a66ba2f2) ) - (wire (pts (xy 100.33 44.45) (xy 100.33 52.07)) + (wire (pts (xy 218.44 45.72) (xy 218.44 53.34)) (stroke (width 0) (type default)) (uuid 3a6fa176-86ed-47e3-83c4-2ef791aed443) ) - (wire (pts (xy 64.77 57.15) (xy 64.77 54.61)) + (wire (pts (xy 182.88 58.42) (xy 182.88 55.88)) (stroke (width 0) (type default)) (uuid 3b031f02-44b5-4254-a93a-eaf4e93f522f) ) - (wire (pts (xy 234.95 59.69) (xy 240.03 59.69)) + (wire (pts (xy 201.93 77.47) (xy 236.22 77.47)) (stroke (width 0) (type default)) - (uuid 3baf06f6-3a72-4c79-b3cc-df23c6640bea) + (uuid 3bbe6bd8-c465-4543-b0f7-5d7a3f377279) ) - (wire (pts (xy 83.82 90.17) (xy 114.3 90.17)) + (wire (pts (xy 48.26 72.39) (xy 52.07 72.39)) (stroke (width 0) (type default)) - (uuid 3bbe6bd8-c465-4543-b0f7-5d7a3f377279) + (uuid 3c426565-a61a-40aa-ae13-c8ad02bc34e7) ) - (wire (pts (xy 78.74 87.63) (xy 77.47 87.63)) + (wire (pts (xy 196.85 74.93) (xy 195.58 74.93)) (stroke (width 0) (type default)) (uuid 3e1049dc-161f-4caf-b2c7-a8c0735d1835) ) - (wire (pts (xy 90.17 39.37) (xy 105.41 39.37)) + (wire (pts (xy 208.28 40.64) (xy 236.22 40.64)) (stroke (width 0) (type default)) (uuid 3f072757-26f1-4713-85b6-7b9a8692e2ad) ) - (wire (pts (xy 180.34 57.15) (xy 187.96 57.15)) + (wire (pts (xy 172.72 147.32) (xy 180.34 147.32)) (stroke (width 0) (type default)) (uuid 40aa6aec-4fa9-407d-acd3-bcaf51c3da67) ) - (wire (pts (xy 77.47 39.37) (xy 90.17 39.37)) + (wire (pts (xy 212.09 146.05) (xy 212.09 129.54)) + (stroke (width 0) (type default)) + (uuid 42b77523-733c-492c-8995-90fac453a45c) + ) + (wire (pts (xy 195.58 40.64) (xy 208.28 40.64)) (stroke (width 0) (type default)) (uuid 4643c794-ac12-4cb1-b0eb-2a28a7460460) ) - (wire (pts (xy 52.07 43.18) (xy 52.07 45.72)) + (wire (pts (xy 49.53 34.29) (xy 52.07 34.29)) + (stroke (width 0) (type default)) + (uuid 46afe4db-c8a8-46a1-a3f4-9e7532932a64) + ) + (wire (pts (xy 170.18 44.45) (xy 170.18 46.99)) (stroke (width 0) (type default)) (uuid 4703b211-bb62-4ec7-9b39-4427c055f0d4) ) - (wire (pts (xy 78.74 116.84) (xy 83.82 116.84)) + (wire (pts (xy 196.85 109.22) (xy 201.93 109.22)) (stroke (width 0) (type default)) (uuid 47471494-c06c-4915-9859-da09df293737) ) - (wire (pts (xy 77.47 92.71) (xy 88.9 92.71)) + (wire (pts (xy 195.58 80.01) (xy 207.01 80.01)) (stroke (width 0) (type default)) (uuid 4758f2b3-b751-4e25-a4f9-660edff139ed) ) - (wire (pts (xy 77.47 34.29) (xy 80.01 34.29)) + (wire (pts (xy 195.58 35.56) (xy 198.12 35.56)) (stroke (width 0) (type default)) (uuid 48586551-4346-4a25-a862-1735d52c845b) ) - (wire (pts (xy 80.01 58.42) (xy 85.09 58.42)) + (wire (pts (xy 212.09 156.21) (xy 217.17 156.21)) + (stroke (width 0) (type default)) + (uuid 495fa912-78b3-4407-a5ce-7657b987e93a) + ) + (wire (pts (xy 259.08 137.16) (xy 254 137.16)) + (stroke (width 0) (type default)) + (uuid 4a4bf5a5-56ab-42ab-a529-bcb57e060d28) + ) + (wire (pts (xy 198.12 62.23) (xy 203.2 62.23)) (stroke (width 0) (type default)) (uuid 4a88c91f-ef8b-4226-af06-aebfd8f88a07) ) - (wire (pts (xy 193.04 57.15) (xy 193.04 62.23)) + (wire (pts (xy 196.85 121.92) (xy 236.22 121.92)) + (stroke (width 0) (type default)) + (uuid 4dab4832-836d-4906-981c-949dc5334f40) + ) + (wire (pts (xy 185.42 147.32) (xy 185.42 152.4)) (stroke (width 0) (type default)) (uuid 4f6850b5-42b1-4837-9b5a-d6287839acc4) ) - (wire (pts (xy 204.47 31.75) (xy 203.2 31.75)) + (wire (pts (xy 148.59 41.91) (xy 149.86 41.91)) + (stroke (width 0) (type default)) + (uuid 4f9672b6-6780-4a97-9c45-f4a09734d718) + ) + (wire (pts (xy 222.25 156.21) (xy 227.33 156.21)) + (stroke (width 0) (type default)) + (uuid 4fde5d44-f088-4330-b879-ed8e5ba45fa8) + ) + (wire (pts (xy 195.58 121.92) (xy 196.85 121.92)) (stroke (width 0) (type default)) (uuid 52057437-c2d9-4897-bf6b-7e3dbb457ebd) ) - (wire (pts (xy 77.47 102.87) (xy 109.22 102.87)) + (wire (pts (xy 74.93 72.39) (xy 71.12 72.39)) + (stroke (width 0) (type default)) + (uuid 52950bb1-d35c-40db-948e-b42300a7c6e3) + ) + (wire (pts (xy 195.58 90.17) (xy 227.33 90.17)) (stroke (width 0) (type default)) (uuid 53dd4417-fcee-4339-9aa0-71fec8130319) ) - (wire (pts (xy 80.01 34.29) (xy 105.41 34.29)) + (wire (pts (xy 198.12 35.56) (xy 236.22 35.56)) (stroke (width 0) (type default)) (uuid 53ed9183-4fb3-40a6-911a-fabfe40eb191) ) - (wire (pts (xy 234.95 46.99) (xy 240.03 46.99)) + (wire (pts (xy 227.33 109.22) (xy 232.41 109.22)) (stroke (width 0) (type default)) - (uuid 54f43a0e-0318-4d29-8577-b91540282670) + (uuid 54bb8a95-05e6-405d-af58-19b456553c28) ) - (wire (pts (xy 214.63 54.61) (xy 214.63 36.83)) + (wire (pts (xy 113.03 87.63) (xy 114.3 87.63)) (stroke (width 0) (type default)) - (uuid 56e5891e-a778-4a6a-ad67-17dbdb4ca64e) + (uuid 54c1fac0-e1d9-4c23-beb8-773fb5bf9724) ) - (wire (pts (xy 100.33 44.45) (xy 105.41 44.45)) + (wire (pts (xy 97.79 31.75) (xy 97.79 55.88)) + (stroke (width 0) (type default)) + (uuid 55ffc92b-0e36-43cb-aa74-13dc3d1f29f9) + ) + (wire (pts (xy 218.44 45.72) (xy 236.22 45.72)) (stroke (width 0) (type default)) (uuid 577378e2-0048-404e-8ba0-95d4b421186d) ) - (wire (pts (xy 95.25 41.91) (xy 105.41 41.91)) + (wire (pts (xy 259.08 121.92) (xy 254 121.92)) + (stroke (width 0) (type default)) + (uuid 5796c091-0941-4a9f-b1d1-6c0d923bb316) + ) + (wire (pts (xy 213.36 43.18) (xy 236.22 43.18)) (stroke (width 0) (type default)) (uuid 5ab9ee71-e21d-46f3-8c93-fe28020d8016) ) - (wire (pts (xy 52.07 95.25) (xy 52.07 99.06)) + (wire (pts (xy 259.08 45.72) (xy 254 45.72)) + (stroke (width 0) (type default)) + (uuid 5ca50a54-2443-45cd-b3c2-3aebd25c65a7) + ) + (wire (pts (xy 170.18 80.01) (xy 170.18 86.36)) (stroke (width 0) (type default)) (uuid 5ccdbf81-b6da-437e-b0fb-1d9a1205d49d) ) - (wire (pts (xy 224.79 59.69) (xy 229.87 59.69)) + (wire (pts (xy 95.25 34.29) (xy 95.25 82.55)) + (stroke (width 0) (type default)) + (uuid 610e18c3-fe15-4874-ab23-8d0062fd68ba) + ) + (wire (pts (xy 69.85 31.75) (xy 97.79 31.75)) (stroke (width 0) (type default)) - (uuid 5ebc6f2c-b6db-4298-9995-79f5a07f59d3) + (uuid 614f9692-2976-457f-a915-6ec2ada69bb0) ) - (wire (pts (xy 203.2 36.83) (xy 214.63 36.83)) + (wire (pts (xy 195.58 127) (xy 207.01 127)) (stroke (width 0) (type default)) (uuid 62144156-0f2a-418f-911c-afc5c6806987) ) - (wire (pts (xy 104.14 116.84) (xy 109.22 116.84)) + (wire (pts (xy 97.79 55.88) (xy 114.3 55.88)) (stroke (width 0) (type default)) - (uuid 625e9f3e-1c18-4fe4-af57-67d7f01361ff) + (uuid 6341cfce-6540-41b8-9e0d-80aade594acf) ) - (wire (pts (xy 99.06 116.84) (xy 104.14 116.84)) + (wire (pts (xy 259.08 90.17) (xy 254 90.17)) (stroke (width 0) (type default)) - (uuid 67261515-a06c-4586-9921-a76ed3207cc7) + (uuid 640ed9bf-6620-43c2-9722-e5e9c9d5e721) ) - (wire (pts (xy 54.61 115.57) (xy 64.77 115.57)) + (wire (pts (xy 201.93 109.22) (xy 201.93 104.14)) + (stroke (width 0) (type default)) + (uuid 69b6aea9-04fb-4590-9fce-8de42269eb4d) + ) + (wire (pts (xy 172.72 102.87) (xy 182.88 102.87)) (stroke (width 0) (type default)) (uuid 69cb5861-96a8-4eed-b0a7-f029e952991b) ) - (wire (pts (xy 203.2 49.53) (xy 240.03 49.53)) + (wire (pts (xy 259.08 139.7) (xy 254 139.7)) (stroke (width 0) (type default)) - (uuid 6ce9a578-f205-4376-bd71-e9a4bcf20d69) + (uuid 6a4608f9-ee6b-42dd-ab9f-360fd3a68343) ) - (wire (pts (xy 209.55 34.29) (xy 209.55 54.61)) + (wire (pts (xy 105.41 66.04) (xy 106.68 66.04)) (stroke (width 0) (type default)) - (uuid 6fdb7ce8-bebc-44ca-b454-c360f3b286c4) + (uuid 6ac5e26c-44e3-4334-8330-1434465f2975) ) - (wire (pts (xy 38.1 90.17) (xy 38.1 91.44)) + (wire (pts (xy 195.58 139.7) (xy 232.41 139.7)) (stroke (width 0) (type default)) - (uuid 717ce21f-bcab-4c8d-a319-4aaff709af98) + (uuid 6ce9a578-f205-4376-bd71-e9a4bcf20d69) ) - (wire (pts (xy 209.55 59.69) (xy 214.63 59.69)) + (wire (pts (xy 222.25 109.22) (xy 222.25 104.14)) (stroke (width 0) (type default)) - (uuid 71b50f0d-987f-4566-a0fb-92ff8eec32a1) + (uuid 6d402a85-634e-4d86-a9d2-ff72f6427b48) ) - (wire (pts (xy 234.95 46.99) (xy 234.95 54.61)) + (wire (pts (xy 259.08 85.09) (xy 254 85.09)) (stroke (width 0) (type default)) - (uuid 72ea1ae4-d327-4537-8074-6582ac9096eb) + (uuid 6dec05cc-917c-459c-988e-ac174a8c0827) ) - (wire (pts (xy 90.17 58.42) (xy 95.25 58.42)) + (polyline (pts (xy 236.22 148.59) (xy 236.22 27.94)) + (stroke (width 0.5) (type dot)) + (uuid 72b73ebf-5bd3-456c-8c85-838063e88488) + ) + + (wire (pts (xy 208.28 62.23) (xy 213.36 62.23)) (stroke (width 0) (type default)) (uuid 74b4cedb-159b-4116-a089-f2aa203ff9d6) ) - (wire (pts (xy 80.01 52.07) (xy 80.01 34.29)) + (wire (pts (xy 46.99 57.15) (xy 52.07 57.15)) + (stroke (width 0) (type default)) + (uuid 7518ce80-8227-4164-abd2-2700e5fccc63) + ) + (wire (pts (xy 207.01 146.05) (xy 207.01 127)) + (stroke (width 0) (type default)) + (uuid 75cd0999-b0d3-4fad-827a-25e4220b3cd0) + ) + (wire (pts (xy 198.12 53.34) (xy 198.12 35.56)) (stroke (width 0) (type default)) (uuid 76ecf025-7341-44d8-b6c6-07c2842450dd) ) - (wire (pts (xy 77.47 95.25) (xy 93.98 95.25)) + (polyline (pts (xy 52.07 86.36) (xy 52.07 25.4)) + (stroke (width 0.5) (type dot)) + (uuid 7746dbf5-6d70-41e7-b02f-247fb214a545) + ) + + (wire (pts (xy 161.29 86.36) (xy 163.83 86.36)) + (stroke (width 0) (type default)) + (uuid 78864d35-1bfa-48c3-a0fa-bddb175f7a25) + ) + (wire (pts (xy 195.58 82.55) (xy 212.09 82.55)) (stroke (width 0) (type default)) (uuid 7b8ad69f-d0b7-4767-9e5b-207cda26ef3d) ) - (wire (pts (xy 219.71 54.61) (xy 219.71 39.37)) + (wire (pts (xy 217.17 109.22) (xy 222.25 109.22)) (stroke (width 0) (type default)) - (uuid 7c8c2f7d-aea1-448f-a4d9-dd90a9fa03e6) + (uuid 7e29ddeb-1a4b-428a-a1a4-034a13ddbabe) ) - (wire (pts (xy 93.98 116.84) (xy 99.06 116.84)) + (wire (pts (xy 212.09 109.22) (xy 217.17 109.22)) (stroke (width 0) (type default)) (uuid 7ebd16a3-3411-4dd4-a945-6472b669d12f) ) - (wire (pts (xy 93.98 95.25) (xy 114.3 95.25)) + (wire (pts (xy 212.09 82.55) (xy 236.22 82.55)) (stroke (width 0) (type default)) (uuid 7f6adda4-7c47-49d5-bd05-7cc3d537b738) ) - (wire (pts (xy 54.61 57.15) (xy 64.77 57.15)) + (wire (pts (xy 259.08 43.18) (xy 254 43.18)) + (stroke (width 0) (type default)) + (uuid 7f92f87a-c23a-46ec-9efa-073dc2acf5d7) + ) + (wire (pts (xy 172.72 58.42) (xy 182.88 58.42)) (stroke (width 0) (type default)) (uuid 806ea8d6-8f65-434f-9cb0-bcbeff6ac85e) ) - (wire (pts (xy 90.17 39.37) (xy 90.17 52.07)) + (polyline (pts (xy 71.12 86.36) (xy 71.12 25.4)) + (stroke (width 0.5) (type dot)) + (uuid 809e374a-0106-4856-aa2b-f801c7b71c2c) + ) + + (wire (pts (xy 139.7 58.42) (xy 139.7 76.2)) + (stroke (width 0) (type default)) + (uuid 80e647b5-f76d-47d9-93e8-56b28ec842ae) + ) + (wire (pts (xy 236.22 92.71) (xy 232.41 92.71)) + (stroke (width 0) (type default)) + (uuid 80ebb97c-1616-4500-bc44-64cb665d5bc2) + ) + (wire (pts (xy 217.17 156.21) (xy 222.25 156.21)) + (stroke (width 0) (type default)) + (uuid 845a9faa-5a3a-4fd3-a827-b06e48b9842c) + ) + (wire (pts (xy 208.28 40.64) (xy 208.28 53.34)) (stroke (width 0) (type default)) (uuid 84a9f475-c5fc-488e-b943-288f318478bb) ) - (wire (pts (xy 77.47 90.17) (xy 83.82 90.17)) + (wire (pts (xy 195.58 77.47) (xy 201.93 77.47)) (stroke (width 0) (type default)) (uuid 84b8d7b2-379f-4c80-98d6-88b18e73de84) ) - (wire (pts (xy 38.1 99.06) (xy 31.75 99.06)) + (wire (pts (xy 166.37 133.35) (xy 170.18 133.35)) + (stroke (width 0) (type default)) + (uuid 85396b03-ff9d-45d8-a473-37ba38e8f826) + ) + (wire (pts (xy 227.33 156.21) (xy 232.41 156.21)) (stroke (width 0) (type default)) - (uuid 85f424d6-ef8f-4cef-8ded-ff32cde39632) + (uuid 869e7fbd-fc4c-460d-b5df-ae397809d530) ) - (wire (pts (xy 163.83 43.18) (xy 157.48 43.18)) + (wire (pts (xy 259.08 134.62) (xy 254 134.62)) (stroke (width 0) (type default)) - (uuid 8b76a913-6ec8-4209-b3b4-81f99c2b0769) + (uuid 889a306e-7cdd-4318-a246-1d24bbf02170) ) - (wire (pts (xy 214.63 59.69) (xy 219.71 59.69)) + (wire (pts (xy 227.33 137.16) (xy 227.33 146.05)) (stroke (width 0) (type default)) - (uuid 903bf4c2-3a2c-46a6-abc2-6b24c2718179) + (uuid 8972baf2-c4f1-4ffd-aecc-2ab104fccc9d) ) - (wire (pts (xy 83.82 116.84) (xy 88.9 116.84)) + (wire (pts (xy 154.94 41.91) (xy 160.02 41.91)) (stroke (width 0) (type default)) - (uuid 9153fe4b-0658-4e4a-b946-29f3e39197e3) + (uuid 8aac3d66-8a9a-493f-96f6-2cfbb1ba5b39) ) - (wire (pts (xy 224.79 41.91) (xy 240.03 41.91)) + (wire (pts (xy 124.46 66.04) (xy 130.81 66.04)) (stroke (width 0) (type default)) - (uuid 96a83485-2b6f-4792-9120-1bf86ae306c8) + (uuid 8ad65860-12cc-41a1-9c36-132d2e532011) ) - (wire (pts (xy 77.47 105.41) (xy 114.3 105.41)) + (wire (pts (xy 212.09 129.54) (xy 236.22 129.54)) + (stroke (width 0) (type default)) + (uuid 8b7e5860-ac02-460d-beab-7e5262280f12) + ) + (polyline (pts (xy 254 148.59) (xy 254 27.94)) + (stroke (width 0.5) (type dot)) + (uuid 8c04b398-f177-4af7-84a8-efdcfc22069a) + ) + + (wire (pts (xy 207.01 109.22) (xy 207.01 104.14)) + (stroke (width 0) (type default)) + (uuid 8c3696e1-531c-4186-8b7b-dc22b7d122c3) + ) + (wire (pts (xy 130.81 31.75) (xy 129.54 31.75)) + (stroke (width 0) (type default)) + (uuid 8ce67734-b2ce-4f6c-b861-42933989b67f) + ) + (wire (pts (xy 130.81 85.09) (xy 144.78 85.09)) + (stroke (width 0) (type default)) + (uuid 8d7c5927-5be9-4488-8cd3-67c15bf48335) + ) + (wire (pts (xy 259.08 40.64) (xy 254 40.64)) + (stroke (width 0) (type default)) + (uuid 96876509-4b15-4359-a8f0-881b245cba17) + ) + (wire (pts (xy 195.58 92.71) (xy 232.41 92.71)) (stroke (width 0) (type default)) (uuid 982e2a3d-2dff-46d0-963c-41b718353232) ) - (wire (pts (xy 80.01 57.15) (xy 80.01 58.42)) + (wire (pts (xy 113.03 60.96) (xy 113.03 66.04)) + (stroke (width 0) (type default)) + (uuid 98dd9495-a1b3-4b26-bf79-4da99258670a) + ) + (wire (pts (xy 222.25 156.21) (xy 222.25 151.13)) + (stroke (width 0) (type default)) + (uuid 9a8d8dbf-a966-4938-a60a-2a01e56a7092) + ) + (wire (pts (xy 198.12 58.42) (xy 198.12 62.23)) (stroke (width 0) (type default)) (uuid 9d007de9-6efe-4a81-9e05-18134d496dc3) ) - (wire (pts (xy 109.22 102.87) (xy 109.22 111.76)) + (wire (pts (xy 227.33 90.17) (xy 227.33 99.06)) (stroke (width 0) (type default)) (uuid 9ed82000-6bec-40e6-89bc-573055a336c3) ) - (wire (pts (xy 204.47 31.75) (xy 240.03 31.75)) + (wire (pts (xy 95.25 82.55) (xy 114.3 82.55)) (stroke (width 0) (type default)) - (uuid a1162e5a-7a7f-4baf-9ca0-e5c82f19051d) + (uuid a2d45cae-7bd2-403f-9be6-8491467b1eb6) ) - (wire (pts (xy 104.14 100.33) (xy 114.3 100.33)) + (wire (pts (xy 222.25 87.63) (xy 236.22 87.63)) (stroke (width 0) (type default)) (uuid a30a4117-8372-4f12-8f67-c75541849b1e) ) - (wire (pts (xy 219.71 59.69) (xy 224.79 59.69)) + (wire (pts (xy 196.85 156.21) (xy 196.85 151.13)) (stroke (width 0) (type default)) - (uuid a5594dd8-8ad2-436e-9383-c2955ba0668b) + (uuid a61afd23-a8cb-4e7f-b72f-767104a1769d) ) - (wire (pts (xy 38.1 40.64) (xy 31.75 40.64)) + (wire (pts (xy 232.41 139.7) (xy 232.41 146.05)) (stroke (width 0) (type default)) - (uuid aad2002d-8576-43d3-833e-f9e547ca47d4) + (uuid a7895600-f3f2-4841-9e49-0904ce481000) ) - (wire (pts (xy 54.61 113.03) (xy 62.23 113.03)) + (wire (pts (xy 222.25 134.62) (xy 222.25 146.05)) + (stroke (width 0) (type default)) + (uuid a7f5c98e-f0cf-466c-8308-bc8640fde66c) + ) + (wire (pts (xy 74.93 67.31) (xy 71.12 67.31)) + (stroke (width 0) (type default)) + (uuid a98422c6-ed7c-4660-926e-55a6ab1ec4c6) + ) + (wire (pts (xy 172.72 100.33) (xy 180.34 100.33)) (stroke (width 0) (type default)) (uuid abac2fe1-bb72-44fc-a773-05d333ee4bf9) ) - (wire (pts (xy 203.2 39.37) (xy 219.71 39.37)) + (wire (pts (xy 170.18 127) (xy 170.18 133.35)) + (stroke (width 0) (type default)) + (uuid ac10f90d-e821-455e-b9db-4916b039232d) + ) + (wire (pts (xy 259.08 132.08) (xy 254 132.08)) + (stroke (width 0) (type default)) + (uuid acc32e1f-285d-427e-8a19-6abd6457c4cf) + ) + (wire (pts (xy 195.58 129.54) (xy 212.09 129.54)) (stroke (width 0) (type default)) (uuid ad00cf8c-d6db-4555-9cae-85420419212e) ) - (wire (pts (xy 114.3 105.41) (xy 114.3 111.76)) + (wire (pts (xy 232.41 92.71) (xy 232.41 99.06)) (stroke (width 0) (type default)) (uuid adf291fe-59cb-4ddd-8c5c-53ba7c47abdc) ) - (wire (pts (xy 163.83 40.64) (xy 163.83 43.18)) + (wire (pts (xy 259.08 80.01) (xy 254 80.01)) (stroke (width 0) (type default)) - (uuid ae0226c3-d647-4f26-872b-18a859dee282) + (uuid ae33b6b7-d2fb-4187-9aab-14d9624e7e93) ) - (wire (pts (xy 203.2 34.29) (xy 209.55 34.29)) + (wire (pts (xy 114.3 34.29) (xy 114.3 39.37)) + (stroke (width 0) (type default)) + (uuid af3b6519-bd16-4c4e-acc0-241288c7b4ec) + ) + (wire (pts (xy 130.81 92.71) (xy 130.81 85.09)) + (stroke (width 0) (type default)) + (uuid afcb7801-db65-4469-80e1-db52e79a2a8c) + ) + (wire (pts (xy 195.58 124.46) (xy 201.93 124.46)) (stroke (width 0) (type default)) (uuid b09bc675-aa47-490d-af7f-b6789f5b4423) ) - (wire (pts (xy 38.1 96.52) (xy 38.1 99.06)) + (wire (pts (xy 74.93 64.77) (xy 71.12 64.77)) (stroke (width 0) (type default)) - (uuid b1383409-6c85-494c-a461-79ead2b570d1) + (uuid b29ea735-23df-480a-b4dd-6805085361d8) ) - (wire (pts (xy 95.25 57.15) (xy 95.25 58.42)) + (wire (pts (xy 163.83 86.36) (xy 170.18 86.36)) (stroke (width 0) (type default)) - (uuid b4e899fa-0cc7-4252-a704-7b5030e2b200) + (uuid b2cc107c-5048-46c2-b25b-65f12cc8fc13) ) - (wire (pts (xy 77.47 41.91) (xy 95.25 41.91)) + (wire (pts (xy 213.36 58.42) (xy 213.36 62.23)) + (stroke (width 0) (type default)) + (uuid b827734b-abb7-4289-95c0-3a601614ee8f) + ) + (wire (pts (xy 195.58 43.18) (xy 213.36 43.18)) (stroke (width 0) (type default)) (uuid b84c4866-0dd3-4dec-aa65-81f65d27562f) ) - (wire (pts (xy 203.2 46.99) (xy 234.95 46.99)) + (wire (pts (xy 259.08 77.47) (xy 254 77.47)) (stroke (width 0) (type default)) - (uuid bad036d2-ee1b-4637-8538-1d36df0f9a1a) + (uuid b9335b38-3b3f-4b4d-94a1-452bffb0e8c1) ) - (wire (pts (xy 85.09 57.15) (xy 85.09 58.42)) + (wire (pts (xy 222.25 109.22) (xy 227.33 109.22)) (stroke (width 0) (type default)) - (uuid bcbeaea2-ba8e-4ee2-a6aa-c667ec3192ce) + (uuid b9b9b1e5-b788-4d90-97a7-7af469aae482) + ) + (wire (pts (xy 124.46 39.37) (xy 130.81 39.37)) + (stroke (width 0) (type default)) + (uuid b9fc90d0-5564-4d34-990a-b4a4744a96f6) + ) + (wire (pts (xy 195.58 137.16) (xy 227.33 137.16)) + (stroke (width 0) (type default)) + (uuid bad036d2-ee1b-4637-8538-1d36df0f9a1a) ) - (wire (pts (xy 204.47 31.75) (xy 204.47 54.61)) + (wire (pts (xy 203.2 58.42) (xy 203.2 62.23)) (stroke (width 0) (type default)) - (uuid bde3ce1c-2e1e-4abd-b6b5-89e82d8e3b53) + (uuid bcbeaea2-ba8e-4ee2-a6aa-c667ec3192ce) ) - (wire (pts (xy 177.8 45.72) (xy 177.8 48.26)) + (wire (pts (xy 170.18 135.89) (xy 170.18 138.43)) (stroke (width 0) (type default)) (uuid beaeac41-5d58-48ac-ae9a-9a046c2bed2c) ) - (wire (pts (xy 67.31 59.69) (xy 67.31 54.61)) + (wire (pts (xy 185.42 60.96) (xy 185.42 55.88)) (stroke (width 0) (type default)) (uuid c05c7ffe-891b-4bd0-a661-efa3e4ca65c9) ) - (wire (pts (xy 95.25 58.42) (xy 100.33 58.42)) + (wire (pts (xy 213.36 62.23) (xy 218.44 62.23)) (stroke (width 0) (type default)) (uuid c0903d90-0616-447a-8b98-ebbc4508c0b5) ) - (wire (pts (xy 83.82 90.17) (xy 83.82 111.76)) + (wire (pts (xy 259.08 87.63) (xy 254 87.63)) + (stroke (width 0) (type default)) + (uuid c0cf9c09-4e9d-43c6-af5c-a1ac8fbef597) + ) + (wire (pts (xy 201.93 77.47) (xy 201.93 99.06)) (stroke (width 0) (type default)) (uuid c1353c74-6705-48fe-b671-e02761eec17f) ) - (wire (pts (xy 203.2 41.91) (xy 224.79 41.91)) + (wire (pts (xy 130.81 31.75) (xy 170.18 31.75)) + (stroke (width 0) (type default)) + (uuid c1d0cb30-eb91-4cea-936f-17dbd70cb18d) + ) + (wire (pts (xy 195.58 132.08) (xy 217.17 132.08)) (stroke (width 0) (type default)) (uuid c2647ce5-2b28-4941-80e5-38607f254f09) ) - (wire (pts (xy 78.74 87.63) (xy 78.74 111.76)) + (wire (pts (xy 196.85 74.93) (xy 196.85 99.06)) (stroke (width 0) (type default)) (uuid c34a1044-01ee-499c-a271-077b7d659937) ) - (wire (pts (xy 214.63 36.83) (xy 240.03 36.83)) + (wire (pts (xy 201.93 124.46) (xy 236.22 124.46)) (stroke (width 0) (type default)) - (uuid c4291f64-a0df-4501-a83b-32f67bac8f7c) + (uuid c3ff5666-cfe0-48d2-936f-52e2eaf0773b) ) - (wire (pts (xy 177.8 39.37) (xy 177.8 43.18)) + (wire (pts (xy 207.01 156.21) (xy 212.09 156.21)) (stroke (width 0) (type default)) - (uuid c65979de-0e66-4b83-93e9-d3292ee72244) + (uuid c4a7afbe-a42a-4bf0-93c8-34ac6c8d214d) ) - (wire (pts (xy 209.55 34.29) (xy 240.03 34.29)) + (wire (pts (xy 144.78 85.09) (xy 144.78 123.19)) (stroke (width 0) (type default)) - (uuid c8ba024a-664f-4a4d-8245-ba855d92e44f) + (uuid c769c4fa-83e5-45a9-a136-61c8fb5e5163) ) - (wire (pts (xy 77.47 97.79) (xy 99.06 97.79)) + (wire (pts (xy 201.93 156.21) (xy 207.01 156.21)) + (stroke (width 0) (type default)) + (uuid c8abc6fc-164f-43b5-b6b4-b70489f6bf46) + ) + (wire (pts (xy 195.58 85.09) (xy 217.17 85.09)) (stroke (width 0) (type default)) (uuid cae1197d-0035-4565-9138-b94110e7f1ee) ) - (wire (pts (xy 85.09 58.42) (xy 90.17 58.42)) + (wire (pts (xy 203.2 62.23) (xy 208.28 62.23)) (stroke (width 0) (type default)) (uuid cb212d6a-ea74-49fe-ab21-c95ddd2b20a8) ) - (wire (pts (xy 240.03 49.53) (xy 240.03 54.61)) + (wire (pts (xy 113.03 66.04) (xy 119.38 66.04)) (stroke (width 0) (type default)) - (uuid cd503dcc-ecc6-4716-aa45-8f78c95db820) + (uuid cb4e95c4-7355-4b60-8677-e294426b3c37) ) - (wire (pts (xy 25.4 40.64) (xy 26.67 40.64)) + (wire (pts (xy 105.41 92.71) (xy 106.68 92.71)) (stroke (width 0) (type default)) - (uuid cf84d84c-0ef5-4985-a74f-46b9f54014ea) + (uuid cbb00792-68e5-4cab-af94-f2097efc7994) ) - (wire (pts (xy 109.22 116.84) (xy 114.3 116.84)) + (wire (pts (xy 48.26 67.31) (xy 52.07 67.31)) (stroke (width 0) (type default)) - (uuid cfb8a496-e536-4240-b3c5-a1fb5defb282) + (uuid d0aadf33-a40c-4c0c-b730-ab5ab9d6a257) ) - (wire (pts (xy 54.61 118.11) (xy 67.31 118.11)) + (wire (pts (xy 172.72 105.41) (xy 185.42 105.41)) (stroke (width 0) (type default)) (uuid d0c62484-fe28-4ba0-a989-4886ab38c6a9) ) - (wire (pts (xy 93.98 111.76) (xy 93.98 95.25)) + (wire (pts (xy 212.09 99.06) (xy 212.09 82.55)) (stroke (width 0) (type default)) (uuid d2721f5e-2564-4bcd-aa55-8e7c50a63412) ) - (wire (pts (xy 77.47 36.83) (xy 85.09 36.83)) + (wire (pts (xy 208.28 58.42) (xy 208.28 62.23)) (stroke (width 0) (type default)) - (uuid db257e75-bc42-4e7b-9d2e-decb6d23cc74) + (uuid d364f481-daa7-4a06-8803-991657ec3c6a) ) - (wire (pts (xy 88.9 116.84) (xy 93.98 116.84)) + (wire (pts (xy 236.22 139.7) (xy 232.41 139.7)) (stroke (width 0) (type default)) - (uuid dc267ad8-c69a-45b3-a4cc-cc22c7baedcf) + (uuid d3fe6ce0-3bd3-4b1b-9dc2-56b92bba5004) + ) + (polyline (pts (xy 254 148.59) (xy 236.22 148.59)) + (stroke (width 0.5) (type dot)) + (uuid d4ab3fd1-0669-4032-99d5-ed533e6a173e) ) - (wire (pts (xy 85.09 36.83) (xy 105.41 36.83)) + (polyline (pts (xy 71.12 25.4) (xy 52.07 25.4)) + (stroke (width 0.5) (type dot)) + (uuid d60dff97-6b00-4df6-91b4-4ce2bfd8a28f) + ) + + (wire (pts (xy 46.99 59.69) (xy 52.07 59.69)) + (stroke (width 0) (type default)) + (uuid d6b67b5e-cde2-4c25-9ea2-6b725a80dd65) + ) + (wire (pts (xy 111.76 92.71) (xy 113.03 92.71)) + (stroke (width 0) (type default)) + (uuid d7cbe5a7-02c0-404c-8626-8d50d5ea0a25) + ) + (wire (pts (xy 227.33 156.21) (xy 227.33 151.13)) + (stroke (width 0) (type default)) + (uuid d8e5f677-b009-4938-ab11-ac02ae31d7c2) + ) + (wire (pts (xy 222.25 134.62) (xy 236.22 134.62)) + (stroke (width 0) (type default)) + (uuid d8eb783a-1477-4c03-8500-d14787c387e4) + ) + (wire (pts (xy 207.01 156.21) (xy 207.01 151.13)) + (stroke (width 0) (type default)) + (uuid dad78297-6c3b-4ee8-af2f-49829af66292) + ) + (wire (pts (xy 195.58 38.1) (xy 203.2 38.1)) + (stroke (width 0) (type default)) + (uuid db257e75-bc42-4e7b-9d2e-decb6d23cc74) + ) + (wire (pts (xy 203.2 38.1) (xy 236.22 38.1)) (stroke (width 0) (type default)) (uuid dc3a711b-9fd8-480b-a1d0-8b3f0f1bc4af) ) - (wire (pts (xy 78.74 87.63) (xy 114.3 87.63)) + (wire (pts (xy 196.85 74.93) (xy 236.22 74.93)) (stroke (width 0) (type default)) (uuid dcb316be-4f8d-4042-86d9-3d44e37fa560) ) - (wire (pts (xy 104.14 100.33) (xy 104.14 111.76)) + (wire (pts (xy 130.81 58.42) (xy 139.7 58.42)) (stroke (width 0) (type default)) - (uuid e5a3d8b0-438f-4bee-b695-5632fe8666c5) + (uuid dd56a093-4529-43db-af36-9344c9bb1d33) + ) + (wire (pts (xy 74.93 62.23) (xy 71.12 62.23)) + (stroke (width 0) (type default)) + (uuid e09ee9f5-8a22-45b1-b6b2-60fa833d7e16) + ) + (wire (pts (xy 212.09 109.22) (xy 212.09 104.14)) + (stroke (width 0) (type default)) + (uuid e0cc3aa0-6bde-4546-8e22-78a257cfb9d2) + ) + (wire (pts (xy 76.2 106.68) (xy 76.2 107.95)) + (stroke (width 0) (type default)) + (uuid e0e23f25-299e-4c61-8311-1cf24038c64c) ) - (wire (pts (xy 229.87 44.45) (xy 240.03 44.45)) + (wire (pts (xy 74.93 69.85) (xy 71.12 69.85)) (stroke (width 0) (type default)) - (uuid e7eea6ae-3658-4ad3-af54-3b455ed0fa67) + (uuid e2f2da11-469f-413d-82dc-49949a39bfd8) ) - (wire (pts (xy 25.4 99.06) (xy 26.67 99.06)) + (wire (pts (xy 259.08 129.54) (xy 254 129.54)) (stroke (width 0) (type default)) - (uuid e855dc60-dfb2-417e-8e80-7017bcb6c32d) + (uuid e48b383e-ad8e-47ff-a89b-8f854110af3c) ) - (wire (pts (xy 52.07 36.83) (xy 52.07 40.64)) + (wire (pts (xy 130.81 58.42) (xy 129.54 58.42)) + (stroke (width 0) (type default)) + (uuid e58b70c7-c926-4e0b-a673-f3ff1b1d7e4e) + ) + (wire (pts (xy 222.25 87.63) (xy 222.25 99.06)) + (stroke (width 0) (type default)) + (uuid e5a3d8b0-438f-4bee-b695-5632fe8666c5) + ) + (wire (pts (xy 113.03 110.49) (xy 114.3 110.49)) + (stroke (width 0) (type default)) + (uuid e64bb313-69da-4c12-86df-d620fa4b805a) + ) + (wire (pts (xy 170.18 35.56) (xy 170.18 41.91)) (stroke (width 0) (type default)) (uuid e9571fa5-b076-4436-823d-a5fe3c823606) ) - (wire (pts (xy 85.09 36.83) (xy 85.09 52.07)) + (wire (pts (xy 227.33 109.22) (xy 227.33 104.14)) + (stroke (width 0) (type default)) + (uuid ea0d09b4-989d-46d7-a654-87914f17e9d0) + ) + (wire (pts (xy 130.81 31.75) (xy 130.81 39.37)) + (stroke (width 0) (type default)) + (uuid edc5f4e1-79ef-480b-baf1-c640883e71cb) + ) + (wire (pts (xy 203.2 38.1) (xy 203.2 53.34)) (stroke (width 0) (type default)) (uuid ee9d4f6d-565c-4727-8870-64ec2aac228d) ) - (wire (pts (xy 88.9 92.71) (xy 114.3 92.71)) + (wire (pts (xy 49.53 31.75) (xy 52.07 31.75)) + (stroke (width 0) (type default)) + (uuid eea20fc5-45d5-4983-b2b3-b00159639e17) + ) + (wire (pts (xy 207.01 80.01) (xy 236.22 80.01)) (stroke (width 0) (type default)) (uuid ef80e909-1554-457f-9092-f3f83777b984) ) - (wire (pts (xy 163.83 43.18) (xy 177.8 43.18)) + (wire (pts (xy 195.58 134.62) (xy 222.25 134.62)) + (stroke (width 0) (type default)) + (uuid f0acc155-049b-49b4-86e0-41b203e4ad42) + ) + (wire (pts (xy 217.17 85.09) (xy 236.22 85.09)) + (stroke (width 0) (type default)) + (uuid f21de0b9-e25a-49ca-af3c-fc73f447d5a1) + ) + (wire (pts (xy 113.03 87.63) (xy 113.03 92.71)) + (stroke (width 0) (type default)) + (uuid f4507b65-44da-42fa-9dc2-8dbdfea1a32c) + ) + (wire (pts (xy 227.33 90.17) (xy 236.22 90.17)) + (stroke (width 0) (type default)) + (uuid f50fdd97-812c-44e9-b6d7-9ef960bbab09) + ) + (wire (pts (xy 217.17 132.08) (xy 236.22 132.08)) + (stroke (width 0) (type default)) + (uuid f7417398-b3db-42f9-809e-0a97d488b794) + ) + (wire (pts (xy 48.26 77.47) (xy 52.07 77.47)) + (stroke (width 0) (type default)) + (uuid f7e796cc-d708-4e9a-befe-0c771844eee1) + ) + (wire (pts (xy 46.99 62.23) (xy 52.07 62.23)) + (stroke (width 0) (type default)) + (uuid f8537118-5333-43b6-99f7-3f5c47cbf389) + ) + (wire (pts (xy 144.78 123.19) (xy 170.18 123.19)) + (stroke (width 0) (type default)) + (uuid f8c59217-a6c7-48eb-85ce-fc94192f4418) + ) + (wire (pts (xy 49.53 29.21) (xy 52.07 29.21)) + (stroke (width 0) (type default)) + (uuid f90243e7-03b8-4adb-a5b6-431079dd9b38) + ) + (wire (pts (xy 201.93 156.21) (xy 201.93 151.13)) + (stroke (width 0) (type default)) + (uuid f99da353-b4f1-44d0-9aec-c2854306277c) + ) + (wire (pts (xy 74.93 77.47) (xy 71.12 77.47)) + (stroke (width 0) (type default)) + (uuid f9fe0c90-286f-49f3-954f-7d11de1d1e93) + ) + (wire (pts (xy 76.2 100.33) (xy 76.2 101.6)) (stroke (width 0) (type default)) - (uuid f0a4638d-e042-4416-bcf6-6a7e338e8ea4) + (uuid fad87bfa-f311-4301-80bc-120b4d304609) ) - (wire (pts (xy 203.2 44.45) (xy 229.87 44.45)) + (wire (pts (xy 129.54 85.09) (xy 130.81 85.09)) (stroke (width 0) (type default)) - (uuid f0acc155-049b-49b4-86e0-41b203e4ad42) + (uuid fb1bac02-a20e-4305-800a-dc23d6a0a503) ) - (wire (pts (xy 99.06 97.79) (xy 114.3 97.79)) + (wire (pts (xy 111.76 39.37) (xy 114.3 39.37)) (stroke (width 0) (type default)) - (uuid f21de0b9-e25a-49ca-af3c-fc73f447d5a1) + (uuid fb918f57-2c66-4328-8f47-5595eac2c770) ) - (wire (pts (xy 109.22 102.87) (xy 114.3 102.87)) + (wire (pts (xy 114.3 115.57) (xy 113.03 115.57)) (stroke (width 0) (type default)) - (uuid f50fdd97-812c-44e9-b6d7-9ef960bbab09) + (uuid fbb1307a-9ef3-4a0c-ad05-1927611d2a32) ) - (wire (pts (xy 88.9 111.76) (xy 88.9 92.71)) + (wire (pts (xy 207.01 99.06) (xy 207.01 80.01)) (stroke (width 0) (type default)) (uuid fc56698a-ef4f-434b-a712-ebd7a22c2f70) ) - (wire (pts (xy 90.17 57.15) (xy 90.17 58.42)) + (wire (pts (xy 201.93 109.22) (xy 207.01 109.22)) + (stroke (width 0) (type default)) + (uuid fd56f1a3-6262-4b96-a88f-d035d10019d4) + ) + (wire (pts (xy 201.93 124.46) (xy 201.93 146.05)) (stroke (width 0) (type default)) - (uuid fe090745-ef19-4a1b-92fa-6d2b52126725) + (uuid ff12b792-5923-43b8-85b3-968f8e852e19) ) - (wire (pts (xy 38.1 31.75) (xy 38.1 33.02)) + (wire (pts (xy 111.76 66.04) (xy 113.03 66.04)) (stroke (width 0) (type default)) - (uuid fed2ce2e-8c63-44f8-a233-5cc27a6a9baa) + (uuid ffc72a0c-3841-4d12-8fbf-0d2d07e897b7) + ) + + (image (at 81.28 162.56) (scale 0.152006) + (uuid 130882ad-8de5-4fba-9231-d357020d8a1f) + (data + iVBORw0KGgoAAAANSUhEUgAAKPIAABRuCAIAAAA9YA+EAAAAA3NCSVQICAjb4U/gAAAACXBIWXMA + AOavAADmrwHmryqGAAAgAElEQVR4nOzd768k2X3f98/3e05Vdd97587OLn+LYhwDURwICmwkggjT + 4i4pkqJkOXCUPAhgwM6zPMzfE8BPjQRBDFgyYJHSShQpSrJkibaIJHJiCLZDSSSX+2N+3dvdVXW+ + 3zyo7nv77i5/rXY4OzPvFwY93dVVp845feqcM/PkY5kpAACeLCmlpJAr1Uxda+nFJFmqmZqmTrLR + lFWdRlcnmeIRVilcJklpkhSSK3R10+PF1iS50vP6a7nm1uZaqqJeNTFckoo2UkiDVB9h/QEAAH44 + c9NGqi3XLdWb3GTaaPOavvma7m2/+TOfuj3tTk6G7XZTQ6XTZhpWq5VN977R6WN/+Id6/nm98EKu + TmyWvMoVObulmkX2VmRaNk4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4 + Nxmx1gCAJ09KmTGPWeVeTC55SrtdrHpP06S5KMtsyppVky2x1o+4SpJMqev0bFe83U1dUmaaWUpz + KuaxVjeFmyvrUlra/mLXTgpTR6w1AAB4L5ml6WK7Xa3uSIpJnUmb17R58Ks/+9J/evfixy52J5eb + UjVP86poaqr97YvxYq354kz//rkP/smw+ke/97s6PdWwVinTbF1XYtx4N4Q8U8UfdxMBAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACeRsRaAwCeTClZhOaUbbeXp6tbKZdkTeka + rblaF6bwuZYmdfmIY62VaSF5HGonyWRaArclSWG6WnSr1Oa51triKrJxVoasKn0pYYm1Tk2mcHUm + oh0BAMB7R8zTtnadsouWbk2Xl3rt7pc/+akff3h/dff12+shttuaWaXqmppGdcXKYNtW9dpkF+/7 + 8L9bD5//6ld0Z8iz28o+QiUlz+YtlUWF/Q8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAMC7jlhrAMATqYXMlDa70qRxN3bDqSQLSZpd0lwlSSkPeZH06FY8U0rxlsNXMYyWStNV + 4rVJRbOkCM+0yKzVW9u6m5tLZUm2PpQ8S2kqItYRAAC8t0TbjaVUZWq30Rt3f+1vfvy/uNycb+8/ + f3ry6sXD06H2TSU0x+zyLGuz5mU77dTV/tV5fnDnff/u/PRz//K3df6cdK6VlJLrst3va1fUmerj + biMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDThlhrAMCTJ6WpqRRdbi5v + rXvNTV7S627SyiQpimRpmu1qmUt7hBUyD5U43MFTy1vLt8vSNklNtm3jrgznoZKy7TQNnReFKSRX + HCdbzzLJnFhrAADwntJaFjdNG+0mffvuVz/z2Q++9spHNa3n7bxttfdxjJR6eSrdS4tWOruY4rRI + pRvTH3T1W17+vF/9/L/6Y73vQ1pVuSIV3S4UHbHWAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAjwCx1gCAJ1Aql9Doeadpo91OEdpsdXpHrSolD/kspRRasqUtHmWFXFb2sdOp + w2tcv/ebq63NylGROj2X96pD1JIW2/HytO8kV5ryKMTRjl4BAADeG6LJTYqN3rj/xb/1d/7GG3dX + l6+eF3XStumsKsMsXbXXPI7ZetOY6ldSareTexlLTnWI9e0/su5z//fXdfs5SerUfEpFUWfL/goA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADvnvr9TwEA4L3GFFOUmHTv2//4 + pU/+jQcPb20vV2enDx5cdFp5WHMPeZhMqq1ImT5LjzTZWnYzunqfZG0pKUwphUWaJKWpL6vv1PIX + z73vH778ss7kZfVw3KyG1eHqmq4mtX1z1ZFqDQAA3mPcpHGWmsbN8w8ffqyz+6ZhpXmjs3Pf3Y++ + 82lqmjZV3nddTFPf63KrYuprt5unVefabXycP3r7jrb31Ulnz7XQPM3D0D3u9gEAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADydiLUGADyRSnVdjhrjr2/Gv/baax8N3X91858P + ynljYSkPs2YueQn3jPBZyu9f7jtj4Zmm4ztch1CnZZilZRwOpzRP9144P9/Jtd3oZJ3zbj2cTjFX + 9+XqkJqUkkn+qOoNAADwTqUUkrk2l//L3/2lz3jbvfFqZ5pGdab2IEyaW1arkS2Lz9OUUh86WZXL + bWutDV2ZxzaYsk2n8+affO7T/+D3fl/jxk/XQx2iNS9sggAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAN59xFoDAJ5IKZnW2pU+1qX1yrlTTJNKyqwocs556IZxHueMTsUiZfHo + ajOnapEVTZOqyYq1OYp7RIyp1Wo1j5sIDV1prUWoN6ltu+62cpKrqZrUedVSR5dLVSqSZklSOU7K + BgAAeA8wqc2KPNlto41WrFd6yEKWMnmkNWUrljZbUYkyN6ll7/KMbComSVmsZNyeRm03OllLIclL + ecytAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeEoRaw0AePLk/m9X6bOp + zYpQV1W7Om1aZKtW+1S20ZS1N0VTk+X3LPSvUh9T7Wyec2o66avmuc2R0hxRva6ky+2md61qmadW + q8tDoXkcI0K1yqx2XZPapOKSKaW2D7gOZZNcWWT+qBoAAADwzuSsaT6dWo2QDru0lOQm81RYLjHV + aUpVS5eNfrUrS4XJpG4cP3i50W6jGMfJ++4kU26PpUkAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAABPOQIyAQBPpEgpt8pxjun8/LkmzaGL7WylyFyWVm2KjNRuzt2SEC1/VH/S + I6usnAzraZxbWEh1dWbyXcxZcihqodZaLZrnmGelNKxOQ1XputgpVZr6IkkyTdIozWpNTT7LRlk8 + xt4GAAB4GxbK0Jwnc/RNJSylJqVcMslN8lRJ2eGPS56udEmR3tRldhb5vNmH7j744ouf0rgZujJL + YcrvWwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD88OrjrgAAAO+ISzVl + YcUfPrx/vlrNu0t3tTaXUsJzN7X1icc2WpW7tJP06JKhPaJtIjrJZMWqcp62D13m7rvWWuj0fKXN + djdp6JVNGRrn7EsvM62G3G5svb4Z3ughb5qLS7k0GAAA4D3DQpIs1WKYo4Y81WzZsVjKw0JKV3hK + y3ZGEQpZSObpUpHVkMJbzuPtpve3pszMkCmk8tjaBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAA8DQjIxMA8ERKhaadOmsepfPt9rKX1p26Tqn2MFpWjZeh1DRqs33EtfHoSpwP + Nu42rpSmMvSSrHMfSphK1bhkWldtR5lrTq3704cPHqjN0mhrT4sxJpmU6lL9PsqxpgbZIGPJBgAA + 7z0ppZbgakklZCmTwmJXc1cjTJbylEnpUytT80xLyVyyLGm2K9pVFalvqZClSqjk97wvAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3qn6uCsAAMA7k+p61e4bpye53bz/dHV2 + 6+SVV19dnfZy9165Hd+vTheTdf3acrx4MEQoteRGv7uvlpomdas0Sb2pW3/74rKcrDZmDxXt/PZo + 0a9Wu8vdyelqnud5ilL6+6vT++dnWq9VO0kPL++dndxShtItVSTZY+5iAACA785lsWxX0pSmMHnK + FSlvHpJk+6+ULkkWkqT9V5bpapZKU/T1fsbrJSWTV29shAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAB4Vy8zHXQcAAH5IKaXUQm2nedK4kxVdjjo5U0oxK3fabv/ppz9/ttul + 4s5u85/du3dnt3t0NbLeNmNK0mr12pyb07N76/Xrq+E7q+EffOHXNHSK0HCqadIwKJumrUpVv5IV + nawi02vmPFl1yaWSckmWkmZJsuU4AADAe0JKIZXNQ732+st/+xM/8fq9D2wvazZJzZQmk3zZzKRS + RVpyrA+Z1kspoaauVXu1y7987uynv/oVfegjU111ZaU0FSPcGgAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAA4F1XH3cFAAB4RyapeqrYakid7UJ2x+fQ4KohzU3r8b/78lcUoZy0 + 2375xRc/uNmeTduzaVpP0ccSxag0Kd0kKfIqN/Fw5PiGKQ+Tp2Rh+ebq5Oi+Wn2n81f77u7q5KUv + /oaGE3VVJ2utOg2Daplm894udjoZVDXnNFtdLbdx0+7i4XA6SCGFZKaQXAqpKZ1MRwAA8F7kVV15 + 0NfZJaUk2T61ugtJaqaw/VddHO1oXMvJnk3RR11/6+RE6xN53/UrRRxO8h9tewAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJ5+xFoDAJ5AJvWSZN4vnwZXSNXlklzqirxTfU4Z + UqjFi//nn+ry4v/42Y//VMTt//iXH1INjV58lJTWhSQPiyXlWmYlZPtUaUlK02SW5p1pN8fKZJKZ + JLVQ8X6K7m699bXnyn/z+1/S+kxW5WeSa13USeYpddUUOu+VkrJat49pXP4aTs6kkMpRO5c068Mr + AADAe4ZJHpKt1PtrZ51eL2oxm4qrpKwpJVPJqpat1JhHpbRyVynzNLnkRREKj13Epgyv9eda31Z6 + kxdzxcT+BwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4FGwzHzcdQAA4NFa + ljp7cF+b+3rlla+/9Pn/ZLs7mbYl5ilD8q4VKcJbmkLyLCXcFFKTSanmmq1Teovd6fokd5cZkuQu + pT3Iur31wT89qZ/845d1q9f5HWmlqcqUVaOUiirV8CXgkYhGAADwNAhpDPl9vfadf/WTP/3TYQ/v + 3T070Xip/ux0frippd+27SzVqr6z3GSp/W4ei1Q7TZO6TrtZu3L2Z3fu/K2v/Z5unerWrVElpnk1 + dI+7eQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAE8ncjUBAE+/kHaSbp3o + Vq+PPP9f/sGX/mTt32xjyyipLsLkJvdUSZWUqUlxiMOWTJ6yDFOclNW8uWwhX9kkTSFZ2T539rtn + 8ye/9pu6Pej81qyaqtq1qwIkhUIecsnVHkcnAAAAvLsypN5VXf1w9+S5b4y2k48bM/d7Dy+qF7V5 + sHI2rLrUtMmi1W6eh+G0DsNuUjXlLKudnZ69Gk1r6awo5t6tG7qm450UAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3jXEWgMAngkmjXKtT7Re6875Kx/98Pb0RO4u2f6UqnTl + EjwtKd+UpFhSJWRSSNXVtlmlbt1vY/7Gbvf3//Arev5UfT9rkPppFxqKpHhzRYKARgAA8HRISRYK + 0+r0s1/+zf/vA++7d3In1i94d9LLstqoecyWu9GaDdY1zUMd3thdTNGGvkuvc1l/J4c/zvmzf/AV + DYPMVGprLcm0BgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeGSItQYAPP1c + qlK2SJ1p9bzOzv/7f/6r7eS8TVep05FSqMQh4zo8wiMP66SlPOXS3LZ9N8jVpNJbG6e59P0HPqBh + 2Ozm1t+Whtipry6TipZQRltW3AylLFV+5D0AAADwrvOiNo7KXt1KH77zid/9jf9ndfLNVl7ftfXZ + 86+No3rvSjUroRIpq3P45s6gNs0Ku99i63e+eedDL/3pv9GP3ZEPyrWyFi+7scX3vz8AAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADeCWKtAQBPP5NK5ODVmnZTmfoznd15/WI3 + 9EOY0t7mkvyuRZky26y+UxvzomWuV9+4d1fd6fr2B+fJbPa+c6XaTjLFcndF+UFKBwAAeHJM864M + vbyTaq7Xeu7WL/zR7/+HD5y/9vxz/2Fzf306TC2mNrecqzw0b2fNs2KS9/7n2e6+70P/1/mt//qr + X9X5rWl1S6tzRVUoU6u+8L8VAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + jwhBUQCAZ0BKkZqlpqG4qSiH1XB7M7Zmaq5UmMIVngqpmaTruOs0pckkk1KpmFNS0yidnt16YD68 + 8H6Nrq0P6ktqybK2lZrJD5nWb5edDQAA8KRKybrhYrOVucrQWqf1Wi90n/qjL/zrj9RvfeR069ml + vNdWmT5KedIPc2gOe9CffPOj7//SnfLxr39JHzrT6rxmN09S0RQpV2kqje0TAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAI2GZ+bjrAADAI5ahCKWruYrC5XcvvvxTf/Mn3/j2 + Kh50odLkUaSURZj2S6OppCyVJqUsiyRzU7Yps+vV5nLP66u3zn7iq7+jD39Mt06V0iQNkquZQkus + 9bwvMV3mOhQOAADw5Eppp7mqlqZMZZUrLHfaXej+69qMv/XxF8+3u/72yXa7LbMNdTXuYjhZt2n3 + LY/P/cFv6/xMZ3eyW8/RuculWDZPGaW5THK2TAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAO8+Yq0BAE+/VISay6xVpWTS5Ubf/PN/87d/5mOXb5yPSkkpkzylLJLCUhau41jr + /SdZmBSpVurdvv/G6eq/+r1/qQ9/JM9OJYWUUkiSilQUytjXwzy1j7UmohEAADzRUjGppVTUmfY7 + rJJShNqkadJulpqKJKmZ0g8bpVEltHbVXlrJh9lqk0yzKyWVqz2b67B1AgAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwLumPu4KAADwyIXUpKbsPZSulDw0lLtD+cimeLZmkkmp + MHl6yKXJUyalKSSZPGVKWehwMFyj+7ZWda6i8XC7fayjwhXKw2fzlC8B1+QzAgCAp4NJpvDj3Y27 + bFA36FQpTZKkTrI8XKBJGvc7pOxliv3Gqpki5VqisJftGQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAN5txFoDAJ5+LrkslM2aWyjNPFV89prqlZslvtqXAGzzkJe3y1FMKZbD + ppSUHua74iomz9QSWr3PtC5XUYwmmTf5EuZYiGgEAABPAy+SSxa+3wSZjuOtZykkVxSFKZVF6elq + 1hV11kIZqt6WQvZZ1vv9klzKH3mDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAng3EWgMAnn4mSV4UqQylWZot0dRFaUqXxVXUdNhRjGIers8lbzElpcmui9XktnxTtUQ6hl9l + V+8v9yZvkpbUxqvCSbcGAABPLJNcbinFfg+0xFPnsttJmenwXUqShcJtf3zZXHmTmtQvH9NlESbJ + y/FmCwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAO8qYq0BAM+AdC3xiori + GYcwRUtZVpN5SkugteQRlkss4+FqXUVaa3nrKUtlyNMlV7pmqyG5JFfG4VKXqWkpXkvc9XVUNgAA + wFMgpdzHXI+SpD6lVJGK+yxNUpGKhWxSqqRJVUVpasvuaZZCqt7M26FUN7ZLAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAjwSx1gCAZ4dLkkIyyZTy9DSlPKS0tkQnmposlCm5 + FEcXHrF9SLbnklntSle6QrJQHs7f52Xvi6g6hD4CAAA8NXy/t5IddjoWV1+a/GgjtZNJ1rXD7sql + osMGyfYB2QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHikiLUGADwDDoHV + aS65lAq/Cp9u5mORLPuIkooliDH9KoE6TEorki0RjCk7vJaQ5JLLfcl0TPlbc6uXaw+B2pGSvTUq + GwAA4IliKZnmoljSqff/xRDp+1jrkCQvS851ukpt0qWqpDPJmqpJNqtLyZS1SLFkXaeUIXO9dV8F + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAvxpirQEAT7+UZIrDR8vjgMTQ + 4RvLo8P7cGtJ8rwqJrSkLl6db3F9lS1nqNj+woXfLDkPeY2kNAIAgCfYYXvTDm+L5JIOW6uU7GrP + sz+5NEUe74IyZJmSlCbZIR5b1xs3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAvMuItQYAPCsOSdKR8uVTWEhRsg3tcELK3xI3XUJhKYuULPexjCmlN2n2lNKWGGw7pDlepTXa + zY+STE6mNQAAeOLZPqx6+W8FPxyT3G+edf21vDs+0yW57MZ+6XCty9gwAQAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPBLEWgMAniUZUli6dAhKtDRFyev4RUtJSrv+mJLnUbBi + uqSw8Kuzj3yv+EX7Ac4BAAB4Upgklbc57G975vJVffNBf5sT2S0BAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAA8Mm/JmgIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAMDTiFhrAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAACAZwKx1gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAM8E + Yq0BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACeCcRaAwAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPBOItQYAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHgmEGsNAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwTCDWGgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAA4JlArDUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAMAzgVhrAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACA + ZwKx1gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAM8EYq0BAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACeCcRaAwAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPBPq464AAADPpJTs6L2UJi3HUod3199e + f/yBi5fCFJJSbvKrcq7LO7qvFCYp/W3PuXHwUPOrbw6XuxQ6fk2XRcqlsHRZXJX/FqGru1/5Lk3O + tzv4A3bPW64Ni6Zx1tQ0h2KW8kZZtdPJSdZqx9e/6afRvnOWw02S5PuPoRaaUjHLQi01hTJkIaXy + MAxMcpO7vMpNpcqL3OV+dMPQ1Y+o65/jqjpHTYubvXHUq0fF3fiJWyhCkcpZGYqmeVbkUaHL6HS5 + q7i8HL3evIWpHZrlN3prGQ9qS+fcHI3H3tq7esvv+zbj83De9Sjdj+0wSRGam1osA00WMilTZir9 + viHffQzljQrEfrg2aU5FSLMiNc1qsR8/y9lhMqm4ilRc5oqi4iqH2x0GfFrEfsz40V2U8qW+fqNF + MoVSMr/ZF+/E0gBJRYfSbj7pV+P5+iY3Rl4oQ5FqoRb7r66ORCi0H+37rl8udJntx9LyXq7iqi63 + NJdkV7OBxeGefn3bm/1T9mfEYWz7d6nt4qomNyecffPj5iF/82CLWXNTm9WaItTa/olOl4q8qnbq + XX1Jc/suT9/hyPKsheZDaRn7aSFd5nKpcxVTWR69TiZlyJSqkkzzviFHU3csHfLovU3X3nxU8rAY + HM9RN5eV497ed/Xxz3M9e1yX4G+a8a6mx+8xY9y4QG+ZPw81W0b7fjjlfqLIGw/mDyAPBfqb+uPq + RpH7jpG9zUlvXlmuB7mk5dnXzZX0Zl+8uZ/tqvf8eN0/jJPrn+DoKQsdP4NXheT1PJnf7RG7vn0c + nXmYHEKKpjZpnvcT8tVZy+W103qt2t0o86rtN/jRvW6edqPmNyt58/y3rNdXz+OkFsq8ca0XdZ26 + qq6k+34oHuboZdMV8hulTbPmplgW1qOxocO6UF11WRGK7PpBfks3+o2uvVnzw/HjDv9eI/Z4ldx/ + zlA7ns3a9QSeqaufT1frjsm07FJUlzm8qriqyf24wkv12mFe8ut6vt30uzwXdj283jSH6HjgAQAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAvzJirQEA+JHL62hJWxL/TFGUUr2K + Or5KcLyOd/0hig9FaKqKVKZ6l887df0+zDIV5RCoGfvYziiSYh9auQQj78N0DymCzaRDDdMV+2TZ + JXRwKTDy6uolxNFjSRUukuIoi/cQ0Jsh91COmlO+lqRZqjcba3Gogi+3uxlFKUmWishSLJdUydzH + Sx5Cu44c3C4AACAASURBVPcfQxpTxZSZ1VJq5eEb2k66e/nVT/38rc3GfJJrssxU5+XPTm798p98 + vd2qZemKfb7oUe5qkyLVWyg8TWaTlFJJdSbL0OWlLpqmrbTTbvflT37+bB53tcnm1Zw1lBab6g9X + w2d+5Z/p1m3VQetTpev2+VL8pNanlaumNtuHaR6ytItCUuw7J1xR9z0kqVyNn7lFLUvouFpGs5rK + LsybdLHTNKnN2txXDe02v/3SZ2+P41VD07yp7kp3MQyf+dVf0e1b6gadrqXQ2YmKK6WWslTVJF+y + q8txjGXuc4hnyaQ+pYwsy9h36TpQ1m8GYe5/5ZyL+RKEGbkPjM6maocBUZa+iElyeU1pUgySosSk + cdblqAeXmlLuqksW9ax+pdW5+pW6qr5KS12bJFNpLatbSC1VXZaa513plGreQpPpwaTdTvFQ8/yF + n/7kj3ddxjh5PIxptVrXXe7C7p/Vz/36v9CqU+kVg7peq05nJ/KiFjJXRqtqy6a8tWyqfZFaSpM8 + JJOqVJokRV1aFMolk/ZG0vkP4ZBR2xRt/1j68rBnU6uSsiqVPtuhbtE6t3kcu9JLknnElCVKhi4u + tJ01pTY79Z1a027zGz//C7cuplNlKdqOD7rOzDNirrWf5/LQyxvr/u9+4YvqBsnV9+o7nbpOVrOK + VOs8m/eKWVVzNnlZBvlhhDQpmrp2iFW3FlJTvYoB9uvp64rth88hlHU/40VTRNbOpFCb5Qo1N1cz + Wbdzc6kLWZM8tB11udHFQ+0u//mnPvkR83XMc9tIpjh9vTv9j7ef+0e/87JuD9EPnmlWUspl6JdD + cPh+5p81bfX6PU2TLjdf+PTP3e6Lb6Yuy2l3+uDh9t5J93O/++saTOtB6xMNZ6pFOcmW5z2rJmXI + +qu2TK68OTc+Cksq84386UNocSqsKKVxGmvXz3Iph31iresqnnmJU1/KuM6v9Xb0i5X9Y73MHilT + yFKqhxnjEJQbpmgZshqHsNw2jn1fJWVapLnL5utOycMUaVI5GiSzScuRpn2+b823RM5//97RHJKr + KlwllyXMlbJlDNi0i0319dxitax6x1G9eZ3RntIsG9P2E12MpaTC9/HSfhjHV02w5aGWrv6RHyEL + eR5WareQTJMt4yRM7SrMvi2FKkKtyHLyuvy6bVRn+/j5w8lxvEPQUZp6k4qiTV5MyrnNVrxoib13 + NWl3qfuvaNz92os/f7aZX1ifTJttZqt9N0X79+fnv/y1r+n0bGlBesrStKxcIeXNvrreTth1hnfs + 62OHh0H7S2Wap01dDS2jqKZpm6qmuh8Gs+atXr2ncdLm4cufevG2lb6lSZnpVu72/Z+d3fkff+fL + eq6Pvk91Jd12y2KvsBg1ubo2x7pWxWzTVncf6OGlxs1v/uIvdNt2ojKYjZvR+/7B0H3y5X+hddHt + U61XKoN8pdzXeZxUirxonrddLfOk2g3tasSaZimlTnLFJJfUX2dQmy+h6akIWdmPKzMp1ZqypsnK + 3KQik+am1rTbaTdqvFA3a95pM738+V8cLnfn7mqXtvzg6WmuLJa+K35/KD/3619UXzSsNRXdOtWt + QX2R6jSOpe+W3VbI7iuKfJCK5m5ZaBTmtc1yX5Ksl4U3DpOXH+LQtTy43X5jEPth/IM+jQAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4Hsh1hoAgB+5CI2zIsx0lUJZqrRERecS + 7Bf7UMbrDOdQ+g/yahaltdKZbLIIdaZZXamapX4fSLkPR1wyK2/U7Sp3VNZC21ltljW56hIp24pS + 5lOxQ6rpkieZkh3iJo+ip8uSN9yukjtN6rV/G2Ymm6SdbNiXUY9CWXNJqVzCDXXoiEN/6Cho1FSK + KeWmaWpdV7zsq5YhK3LXbjf1XbdeenjaaZ4Uo7bjr770qR//zhs/8fqDD/RdjhcqGrWEgXvOTdNR + 99h1oue+kzLlttts+/XKLMbddtWtNU0aZ20fqBRtt//0058fXntttcoXpt1P3d2dTtO2a1KcTCqp + MG2rHvb9//vpz36nlAent15J/4e/9TuaQ8Xquq/rLjOmUGe9vC55jktcd9lHPru07yhdx68exfmm + co7a+W67HVbdvB1rV8vmgdy1a7p3X17+yS/+Yv/g3q2MtbX+jdd/cm53tpMrDr+tNyu7Uh/2/b/9 + uU+9Usu9s9O/NP+fvvSb2u1kpq7XapCitYyhk7rydsG6lrrO57b9SzmkssbxmYevlsaY1d32cugH + 2RLYmSGzopjT3ZTSFOq8zbNqjdypdWpzuZzUJm1GTdM//sQn/lq/OtnM1uaxZpboPf9imv7yhR/7 + n7/4G3ru9m47DkMvya2EWqqVUpZqdS5NqZhqztrtbJ4Vqfub//0zf+9OjNLlyf17L/ravv0XVbJO + 96RaHvg2T09vfXOMP33ppe8Uv7Ruu4u4/b5ffvmLGmdlan0qk/paZJfzWOuJF5n77uJyOO3tMMbi + 6LfM47+P411/qJDPQxLtEhXfFEsGqkIaZcOSHmotohRdbsaTde+SebnYbs6GQdtJktrkOSt2y/j5 + 3z7/SydvXJ5JVkp7ePdjffeTm4sPRLd7+MaJ1ZZzLZqb6qDN7tKktu6/dS+//nc+se2HbekeTDk+ + d/7ffuFXtB669al8kDpdvKE7tySX+zan3qpL43ZcDd2Se7pPmb9q/aETrqbMG+PpzeNu/2Ea566v + Xmy3HUvntdZDbLpC4Zar1jQ2ZdE4anOhef5fX3zpx+btC5uLj8/TcP/+ahkhUsb2lWGcS9F21Fnv + kpnN41T6rjV5Uds2692zWYS2W82z7r7xz/7eL9369isfaePPmOn1B2sVTW1l623qYZ7865/9xCun + wxtd+R9+/bdURp3fUl5ovVbpYpkr7TBEjsbD0Sz+KMSSL+uHPt5nG6cUs2XTdmdeBqWmsZQiLSnt + KnYYZqmrpGpZHH6SUsyL/OinC+WsTGUoQ+al+n41SK+2z7mVmmIqtVcMJVx9Uc6lr9Em9xItsvZL + 0HFJaWrKsDHrMmHmsua6Uio+VJekWWqxD4TusvjSYv2A668yNKdU1A3FQtop09Qpi9Lls8rDlc+S + qg3ajVr3NzKtj/o5ZSYvprFFV8zlajtNodak/Y+vXBq3rAJuZtXz0LRQC1lTCXMVqwrTXCX13bKj + aIpYZl4rqu7KItf/z96dPUuWXYd5/9ba+5yTmXeoqu6uxkwSpEgAJEFiBrrR6BGNiaJFm46wZCsc + cvjBEfpX/Og3h2VJYVmmZdoUCYIkwAEg0ABhEkHJYohW0HaEGZAw9FBV9+Zwpr3X8sPJrCqQsgRY + JPyyftFxo/reW5kn99nD6X75SBVZLVuzlaKdHo/SBzfmoXNQjodsLYigx7x0Wnb0LE4ZGUZmZ66M + 83/z8Y+/sVy/YX/9nr2d7YbN1bbWOavM5p7UBOp8HAo5vtd3ZsUfPigeSpXL/V/3ucxN7o6/XV2T + jIe+O1sDedVVL+6OIK5nQIWpMo74yL07v/QzP3f57W+9pew/KJIPQ1MB3MkiL3frQRqmAW8MExCB + LBjVrWRXaSj9xjOHgaln3//iB576UdUb4/VPDocb2g5X2wvNZoXc3YU/fO97X3nkxiu3bvzNz/4G + 9FxcIgnNaNM0ugzYKjfDsF81515PgerjY94yBR585Rj/Pn5jGRjV41gWK03K2+vDxcUGq0wjpuwO + iDDP1Pnvf+pTZ/e2t1ddtYMert/k+uP7w7ofl/1N/aFxd1WXMeW7iX/x5EdebXS+uBgsf1v5W5// + dTYraJt2Ta1UY31miRXqkLG8XM08S9u5k/T4THW8lcdHPmWpyfvx+o8fx7/Hky6EEEIIIYQQQggh + hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII/zaR + tQ4hhBC+7+rMfsfY43xHo9H1mM9ccr66BDKXrqMfa398F18dEPZ7VspqRYb1OTXTYscg5al96MfS + sKEOosesZgKnUEZ2O8YRKadYJdSE6zGlfN+SmNbTJRQ75gmX7yx9bjklCeupOA2YoQV1uhVnTe06 + O12bQv6zCUNb6p2n7LUfB1C0FM+5pYDS5ORQ6pTFxVVKwaW6r7qMjcyF7cBrd3/l+efPTW7U+R3X + 99686lzqOE1LvrHxJVdt4uDlGGIUK7IUc3W5rgSkCnTdahrGZtW0jXH3T5kLdw+/+ewLXZJL87ff + vXrz5eXh1buN1bNK42iBU1bc0VzlfMd58Usby8G8W/+zn/ipktb7R2995Hd+jfNWbp43bUNpgElw + oaUkh5qP1yEkzEHICSgKkKlYEsMRVRxvV0XIbUc/sJ3Ybr/wkafflFyGqyfqrJOt2/U02kWz7qad + usgpkylYwjqf6ftz+lvVy+HqPe3ZH73t7Zaa8ZFbH/jNz3HWces8td2GhEOBhOuSatckusy8vMw7 + xVBdBqEikBMu2P3lYKRlJi8zx2vXbbDC0LNqVAxnMs95xVJ3TQokaacyrJMzv8puz8F+6SMvvNW7 + 7ur6ZzqZt690kmqtqIOJ2mO3bhyuXkYKpbSbFU4Z5rxqVMTMSh1z02iCOjOPWGE7cLf/3Y9+PO13 + bzo7e/crr16s2qHumzpZ2Z2pVrMkdJU2Jafs99tHNxv7xt1b7WZK4+ry8tt/+qf/+7s/dE/zt3P6 + uS/9DhcbvBVZXTabgvbTvEnarTfLMCQjJSY53gOOi1ez3K/KatVlJX+PuU8/DrKoKiqn5UgD5rWM + 0nY1percaloK1TChXa2ZnQLDnv2rzMMXPvLCm1JThum9pXSDb5qmL8PZKh2+fefWebra7doESZmW + mP04jqxX7ThMXfUfattvvXqvOdvM7m3u9tfbP3n/M9dN9yryiV/5NR59lLM1xSs2NlmkA6z06y5j + M5IRTcKxVYyRdVmRx63s/ifVB8X05Jw2qfs7iiXxZY41q9aEPQ65W/bAZNjEODJO7Pb05cvPf/yR + 7eHphB52eRov2gZJou5usvSWZUIqgCXxBrOsgi8pZk1rMdlRJvZ7rsbPPvHCD0t+/2G/stmnvRVf + C41UhJF+yrRSb788veHs/ODpa+/68GuXj338tz/DpdBo0vUsFLrMKWysoChmmP6l/ydePVZmHxwE + BZsZDhRjmLh7j/Mz4BilbjoAP27bsEy4U1h6OdoEXCmKy3FC6/I7djy3BLJyf1tY6uUCWkgzux69 + pDujy5y3zCaNlmHIq81MAbVmTuPInavjecrpkFquxxXVY2XX9Ni6ZomnP1hu3/XX5TRdKsQjbpji + mQTjlg30A+2jNJfcuFmOv3ccBHmobK2Ig7ivVBVjuycJZWbaUeqD54f7N2JpHYseP5c5ZjgkOw6p + KXX5sKddw2A+vtnxwSMJTUtDxf2yLVmFuUVFviMsbaAYUsHGpMXqed7gy97ObNqgaqMUYzuy23Pv + +qVP/dWLoX/WXLTarr9Iq1zdKIqYUAVT5uUOyPGUdTneaoHk6oKJ6tI+xlBzVByBAQTrlqefphlx + m+euSSqYe77oKsxlblVVVMTxyrDDEv1AGTn0v/nk8z+g+V3DYVVrM4x1ZqUAc8IddTf1aRlJa+qk + Tbtk2ivF0yYvszt75voO3/7Wbz//4usGezLfOLz27UR/ed6MV9sNpGRuZK3nc/nhqf2RA1//5jf/ + 4KeeeeXW5ac+80vcXLNZ0ayQdUFLpUtp1W0wFUi+LDwQax8sPcuyjFDGFSEdD83CNJPUsxriicnn + ixsr5ol5ZhyZdxy2v/vkM4/u9+smPTHN69xNL4/n3ZkPk8z94+eb2UmKuc4kFxMnOeoqaDa9gdyY + 5OZ25Hr0rKzzP3nbj3VvfN2/LHzss5+nu6BtaNpM0r7X9RqrmNF0tOvjPBK8FJpc0ZQA6jIx/bhR + LIteTzNdImsdQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh + hBBCCCGEEEIIIYQQQggh/IWKrHUIIYTwfTeV//rpp96826mbulV1sOQqLmpaVatoFYqS3LpKMjM5 + JkG/O2ozq9VKu/yvxsPd24//7V/9NS4bdAk0n8rBcgoH+inULKdvOiJQ5r/79DNv3m1dq4mpmwCu + 6kmPdWnUEceFMWlVq6LJra0I5mBqjprgaBWqGtDWY6LXhdw0pRRXvnlx8Z9/9Q+EBqxiifaYTX1Q + CeVUq3VcTp1RW76Vs4KR1KaiXTYoSFalOmrMNdfCfmaamaZfePq5nxzK+w/9arSVVZ37zsbJTU+Z + yGNY1FH8mGV9UB9/0MR1QYQ6jElp68zVNdOeYffZZ597673xQ05/Z3u5WonP5dVX37BO84QkTEnL + oHGMmOeqq9T0Q38rK/3Ub6/ecn7TDttXxv6lD3zo64/f+Bu/8Rkub9ICKa3zEjpPcsxinzKxx3t4 + HBihQkUVE7Glq7qyQj9xGBjHX3nq2de98vKPT/3j1Klam6CV6XpK0to4Jh5qq56Sqck5bxgP0+MJ + ynzY7t90fsZh99rY//4TH/j67Ud+/rO/xuUtOnAhtxgipqLG/XtnS8W60tipQnwKV7NktB8w/hxn + 1SG21GqblGZc0ayu40gpOOsysbuHjv/wued+tNf33hsf2d8791p2e82ikksttVh3thn3ox4Ob7g4 + 47Dj7GJ5+dw1wDBMq1WrAvNImSkz40A//NKzL779Xn379f7RVOr1K62o7baeVBXcZ3cBRNaJw1DO + u6aZrBz6N2/O58OhWW3ufPsbP9S257vd3XH+occe/epTH/k/2/w3v/Ql0sD5Om+61HY2Vk3p/trE + LR179np/MbioyDI6xf+/PMyfQsIoD+XmwfBC1ja3BQFsuTuDp1aSFfqROnPn3j/85IuPy3zj7t13 + 3O0f83yoY5vaLudp/9rNJLb1R8516uu6I2fp99MmtUhytGkyrgY6lTTbm7r1YX9om7Yc7p0jHPpR + mzefX/zxx1/8xqr7xrr7T3/7t9P55mzVoUbXkBvmgraniO9yzcf8aUX1z3xQOa6GB6Frf/AjgISm + RDUz15wKJKQDGYxSEwPjwND//eefv12GN4/17a/uunF31qzLPAqea56qmKogSkVBqrAs0Axgy/uV + rHC9ZZVUdhx2f+f9T7yX9dteee1N2mrpe6auE+nwGSs0GZSa2O6mN1+s+u3uJvq6tXzj8M0vfeCJ + l29vfv53fovL1eqsqVAhieLLTmiJmjhWtL/3ifHdW3ZiffBv4tRKKf/V8y++/s7160USXq1U8JT7 + WgE11dP4L2eB8PDWSjLtKurL8WdVMUGdZGTDhDHpMR5sZEOdqla1Tt6fdZsz2XyjL996/ev+i9/4 + NLfORHJeZa8l5XYsQ5sFm//epz71xus9ZRSqL/NnOQFdq0gVraIKuIrfT+gupeZ/zX70r1WFkpaX + 1WQINbnlmlw4eFlfrNz7Nq1q3/zp6sbf+v2vyeq4hI/x9YcGGPckqIhVh8KqpR//yxdefOP2zuU0 + irsu3e0Hf2EZ4ON9ESe5AS4KLId4U9HlIlUn1eS6mSQ5U/Kq5mLXbfPy+cXf/vwX0sXZ5FSpGQX8 + tHgEEubHDcQdFM3i+AwiY0ma01yYBmxmHP+n5z9+67W7b5mnH+uHG3X2Uq7h1s1b+3uvbTQDpjYV + k/xgdfppA7w/7rp848FvHJf//aluy/JfbqoY5NS0jiFYraZ59HmdG60Tc2UaodIfGOs/+PhH293d + t7Xrt73y8hvSqpR59OGsE+1cRmyprfuSVScfK+e5a5sC0zytm0xK/Z39+mxNmThs/9v3v+ddZX7P + 9faWpetx/4bNpWiaDrvLhCSmydoGpDTOptrhtW/9ZLq8c+f6fL//3aee2b/xkU/94n/P629La+t8 + MTdM1bqk1BmDrlta67gds+7poamjVOG0xAxxGsWrz3PKbcIZZ8zoJ/rx7zz33EXZvm53/T5P+Xro + zmQe3XyyKmt1aoLVtDu0bapTFREER10tnZ7uxK3zVPbb12vbD4euaXycb8z4//3tW+ebf/KBDw43 + Hv3Tpvvrn/8tzs5VMz7SZcBVDWwsTZMRJOfjLgmGOmQssQTvFT+tiNOt1wcHVgghhBBCCCGEEEII + IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBD+XUXW + OoQQQvi+K/OPbfsfvbdNXpBa1cCSg2tRBU22pBldsWQmri76vXSttXpj233xcuNs88/9FcyYB3JH + SmAPCtGnlzw2NO//eaneFvvh/fBjV/slQZrcxHHR+3lPdV0KzUBRNTF1BVsuPznq95OT2YWSClg2 + kjuYiS3VTuD/KIlDn7ouqbjI/bD0sWz9cKHVDVsyrmkJWVZMYZj2bbuWlVhFlZSaGbKgdeJqy3b3 + G+9/31uyrqV8eBo2vbWFDOsmm1KqtS3ThAouD8W9l9CvV9RAs6eMuhwjkjMYebWCw4HDlt31555/ + /vVXwzunqZkOCmeVaTdsNjLP1LmmhhGApqJCBROSodTqo2gdtCS40THv7gGbytu3q7eIf/Wpj33o + M5/mDY+xPktznufZN02BlE2Ol6mIIrhQhaTHrLXBhCbBZGzNuB64e/35556/ceeVJ8/aVRo2bSm9 + NwLK3HurbG262GQ/PGi4Lp93mX3F8cQMAmcd426vkOr8psFuXuWXnvnkU5/+DI8L647GfZhltRFB + 02liiSG2lIb1/oTT048eJsdgpx/nqZR5JKlomqu1q5sg/TBLl01IFHSg37Ldffn5T9zaH+rUfzin + 5mp3U3LjhSzuvi2emM5XqQz41CfY7w9anaZDmnkmi2uSoda06iqi+50Auy373W88/9yt6/0753rL + urWOddoLSDIveK1uKDStYIyjt0oL0zi3m5ZDPRx2m0QpO0207bzfTRvIV/MPkV+Xuj969xNf77pP + feULNIYUbc4oxzHxxDLXhbokhLPhyowCzfG2FFD5nhrGAsk5hmlJ94vjYmRBmFCD5DSJetilNrHf + USbu3fnsx178gXl69yt3b11c2m68aC6Hfsy6ycmncdckrqrfPOewswY2a+kPnsGtzj61qZ1ruZ7t + xno19YOIlHFQKKW06/U4Duuusf5Q9v2tJl8M6a3b9k/e/a67q/MPfu7Xudxw+wbdClstIW6XZR56 + 0oIrlpJQhXrazSq2TKJ0v4HrPNTDBqEvU5ObnETMcVrHHZkKr7zKNDLXz7744uun6am5T/N+47WZ + 5/ObZ4d7+yR03WYeDm1aTbW65CoIFSmtVdxRRqFtkkwTc4VK6xy2vPryr3ziEx/u642737ip0ogZ + 80qp5rXSKK6YUQpJeLyjbId1k7zWebj3+pRv92eHb5TffvfTL/zTrzHXdHmzKK6c9iTEDUDS9zAf + vmfHIDqnAXcQUgtM5fF725/qy63tFimlzO6eV6uCuGiuxy77wtWq4GJVEGgrye5HeTH1KphIMpKp + gKNVWFrXAtlMHRNDqjNLPVDSxdll/8qrVBgNYTZv2rU467TBevrylm/ceec4Y0PV2jc4tBV1S6dE + t4MJQPLlYgy+p8OXqj7mglhTNRnqGRBLLkirh+0VzFlEphU3CnbwspKsDv4dq1gRKJWUBJKAwTAw + 2uuu9u+83j06bosay7g5QBWqqIsu+XBxqcqsCnQlJ8O0KFXcEKuiRVLRjOemiuImBiW5vbZuZy3U + nrFpmotl7QhQas0JSGa4ZtUqFFQgzdbmBDPzSDW2A/3Evv/icy8+Ns3vGYdHm9QMo85jI2L44+3Z + eO/6Zu5KGWdQwROaECP5n2vPg1OMLEIy0v3M9YPWtuE5CYJiitOmZWYaphg5tXfHed01ANXZjez2 + XN/5vZ/5VHPn2x/MrJosr925lbRhxEsjiWLVyJ7UtTF1qgq5ps0Ms2Kpwt6ntlEHGYf1pmO/4+69 + 33zqiWfm4bFx6Ma52LRGyvDqaHQgm1wORcGNaV4Sz6U1qu9vrda5To9dT3dfe/X3nvvUE1/+dR5R + KetM9lUeyrxq/syKVuz+Pqa44eqn2aucNgRVHJWGWhgOTIV7+888/9E3Xu8+jq8bxqtxnuvZ2eru + flgltLJenV0NVytZCbWhY1YYlOpSTY83xcGkulDqvF53Q39Y37i82l7PxiNNcpfp6vDDm03/8jd/ + aH32x+98zyurzTNffInLNfnc190BDLtoBWw/TV23SqDHB6rlY2kSOz0wAPpQzlwjah1CCCGEEEII + IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCH8 + BYqsdQghhPB9V+1WP7xud1AqYiYgqGNQFCAbyXB5KDttuCD+3X1FjSQpD7XfdO0rONPIxRlZlmSn + Iv/aCu4xNiwGVDQZl+PhsX5nS/7WQMxREzN1wcSXbKcAswLaGAYlLbnN+y1QgexQdV5y13LKahen + ESGvvv7aPcBrldTK/fip6DEwjS7x1ARybBwe64YVwARbt+ue0pAywqG2JOYRRvbbf/jkE+8s/lxq + 7bU7DaiiCReqgRUzRFi+4vqdjW9DeBAbNV0uSgWD1guj0/dcv/YLz3/kzdurH5/88UmkH1xExJuc + aZvtvm9a6oTIQ09efrzpiiE4lhJZSMqh56wFoxHOxuHstZcx+eNP/sz/utL/7MtfYlNW3QanyHJ5 + S9Qb5H4Q9hiE1tNnyMyUkavtP3jmxb+y3b9zv78xT/bqXsEFVyajzHQKiYtMPRT5fylHupMSYqjK + bvTzVfJqFyp5nLo7d5iv/vkn/+o/S/o3vvwFWMuqAUcQqJCO8wpH0/2s9f3hdT31ZE9Txo/pYoXs + iohpM2Ft6hQ41HNN9DPWU/dc3/nHP/vvPfb1b/zIveH12lR3VfViMKWsU6m548aafodZzco0e5fl + xN9vmQAAIABJREFU8vysTpVhXIZLVWqx3CSBNPag3N3+4+eeffP+3o/ut7dFmlJkLkJpFM3UkZQR + wSrVqJN3OSVqNdpVcxhmO0yrTKPUQnVWDdPBL84TU23LfGZzsrq9O9w8O/+N977nE1/7ity6Sa50 + 58v0rqeROG0BiiO+TD81LEFa4uvHG/5dcTDEOfbpG5Yy/ZIUFiMtr9UAQ58aY79lf/j0Jz/5+Le+ + +aO73VsabRK7O9dtuyrDIKJu0zCNa0GUmy3Tgc0KCsPODdar9Tj0S6NclbUAVmGyAqzW59NwGIa+ + Oj72myb5XG92pQxzo4PP19fp7p8898wfdennv/ZVmon1I0u1dZZj2dZB5DQGcn/6H1fscUvDwBFB + 7Lh7oA4prwyGMq9UGAZKkXFmu/vVF5590zg214efhItpakuBCbxruHdv30C3TofDYZMaamk1jQCa + qLjacWFSl/2tUfoDdeSVq7/34otvc3nH3cMju+vLSqNYqRWa1Xo69JoZJrosql03jwCz9NhZqdqS + JtalZN+tDtuflNf/4gef/A9//ytMJa2yUQBF5Vi4t4fmzV8yxwWHirZiWL2sfrHvb89lqgeD9fnZ + sNslXU4QEdxPV2ZCVTc5Lvu2ktw4zkZcqApOMgWpCq7ixxg2ePLjOLMkqIWxluzTLXXmgjakTjNX + h/Fy3VkhGVS7PZfbux1MRX1MVCVXSe7JRdwVWy7MheM59dDH/C7P36rMCaBZGsxenGTMLsyH+fbF + 2fV2vuwcq69MA3XMeQ0V1O6v4ftHv6Z5u23OL8DxQk7M061hun043O6n73gXcFlO4WMdXFyLSp8U + aGvOZsqMmIALtsxPSaDJ8lK1F2pjZjKtzwUfyepWUkrAYb/dnF34cjSrY4ZrgiIkg2KMI3WkTPTD + P/rYxy/v3n3TUN451vUwuE8CnaS9V9WsmnwaZ+bOclIxJbdpnss00iZLBs5Dh9lyQ2bFkzfcj14/ + fEqjyyR8eFomd1SPAzraLU3sesrMvTu/+DN/7fa9O2/cH95WpvNKmudhO6uyFrMyAXl1PvQ70WSe + FU2II+KmvlS3Dbdp8rZtE7PUyjTSb//uE0/8xH77E4fdo/PcleqACng1zpuE1eFQKpydpcOhJsGV + eaLNlFLrsNsYl7m7UaZb17vf/OjPvPg7v8P5Rs8vRkNzgxd8eVzg+Iwix38cFY5l++WnhiUUN8xw + mCe2O+b5f/zoR2+/8tq70Ef7Qxr2QNO1ln0chk0j7p4RH4eE5watSST3ZbeWzn0UR5eGuDwY/y7T + 92OjbK+uLXF5uaEvVqZLzQzjuVUbxzc0qzvT9LUPf+hPblz8xy99UXxz1krN7TIyTdfa8nToS4P7 + tATun2aKYyIcH1qjaR1CCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh + hBBCCCGEEEIIIYQQQgghhBBCCCH8hYqsdQghhPD/A8EUEwTXJSa71GqzAcemo/gprSyoYEvt+bv4 + CpbVkNJBb2PLmmGP3FyKgHo/Ds1D+UxXlgjjiS+/K3OiJvOHvltlyaZCctNTyNMVqAbqZD++9nd+ + ZH9QLT39rMlMxXXuLx5/M9NY20eFbLXkBMdktS6J5bIMBSQh6bEzaoJDcmVWlJSz+sR84LDjlTuf + //d/7ny/bbZXz7Ub7l3vy/xI11ImlDqTMiml3VzP2+RTHQoryX5sZy/xTK8KiQJGSmgS49SPBDhU + vnn9m0986AfS9Ny8l8NBB2u7VUGSdNV8sJl5SjTJm5zMa7VqiKsh2BLfXUbDjAxNpW0aUq3TccRT + ImM/eL565dVvvU/aX373e//aP/kSconfzgqpIjM0kKpQl0QxtuTEM5rBmen3fOu1z33wyZ8WeayU + enVXkzSdYG5OqaRN14iM/VirutVOpZyCrkvMUpdcLuLUROO45tVG6zgUR8BWSXItN8+6q1e+0Vn3 + W+/60Ef/t68ga85ylbQUKicMPKEJEY5N6wfhaqDqg0lz+sP9zLhKWyGhCuwOFOd6y3T4xSc/+Hqf + 35jl7a/e+8Eb56KUUgSsltx1dRxLsSxMI4ys2zRMNSNdar2UOtJerGg2SJGcME2qBaw/pFJ57e6X + n3zuvffudvPdTYMo+5FbGa8uwjCyapkmHLqmNS/dajP0u9XqzMehDnMHmsCYCpJplCU4P+wq0Agp + YzZ1wu1SP5gu/sVPf+Rfvu6Rj37xMzSF7qLQZIDsGCAJ3DDFycfxyVB4EHr9bgmqaIV52QqW+mly + ECebk5ZKtIzUHfst93YvPf3RdxymR2a/SGdlt69gkNuarNRS26ZDVmUaqEwzXWIeyKyygls/1pTa + 3DTjsO+yVOeqny7P8Ik6M/c7gVbJbcNc57mer7vDYVwnHFLH2qYbr3zz/bcf/+y7PvDxL36JW07X + 1Ytzg5WD45orLOHkZaYlHhqS+/lbAamnEHI+blgwFM5yQ79lv+fq6svPvvDmaXxvf62H6XLVeanD + VNpVSpPjTCM31x1WpkNdK2azKpMV9JjthjyroODWLr3t6tjAbv97L3zy6W9e3cg59dNZyolSKg5N + 0w2H3qBN6yZLmeapzIpkbWebLs5ujvt7daTNZGGea4az7Z0P2/xr73nfp/75H8rqPIHfb3lrA+Uv + Of5qLKvWm+QkqImybIpW8uWqf/nV63o436zLNDHOLVofKm0v+eflZqkd9/Dj6y7fl+OumBwDwYrq + mDCpXaUxO24ISxh7yVorQK6Yz56NuUeowgx50x2MpgGa5La5tZmvX2nQZHSIVVVXE8wVMcyUqtiy + w7uw7FR+ygl/d+cvTUksf8mBxtCiuCDV6n68bNUmw+fVKnM44DdZpsopzs79drPQrNeAz7O0wmz0 + /Y1mpZYANVzAT7PbaY7XvQS5JZl2qAlVzbU0Zul0NJ+qwRWvySvgy/ALSN2sGsY9gmpTZtdG9OzG + eLyBWqhJXQyWvaIY+zvsDxzK5z7xydvD9NP9/pZ4mga10vt80Safq2g931zU7XY5RM/PLsp+mxqZ + i499WSkXXbKp5iVAf0p8KwiuVMGw5tg9PoXRl7yzoxOMoNAuVXcHMUgVSwpl4PrA1fbLL3700f3V + ++bdrTbZ7iAV6db9PK9zdjutx7w69LsJzpq2TuCiOBhYVZuSkSoybpozBynCvXsc9l/80BMfGwfZ + 3b296cp+nkAlAaqStM5zzZI0Y25Xk2knXdNtt8MGSE2upZoDQxlXXdfeu3rrbvu5p1742D/9w4MU + kdwc19xcV6miQJLv/L84xwVljh1HzjOu1JlSudpzvfvikx9+Quj2+9U0JkyFpDKP0zIZvHrXSSmO + lvMu7w99hi6xTsBMbdRQq6bH5wA9TdN1lrH4SjW13eH6MMF5aouV3KZprG1LrcPahx/pyy2bfu/D + Tz/x6V/h9iPpUmhSTRks1eWhi2wkXZ768OXeY/X4CTXrg3URQgghhBBCCCGEEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhL8okbUOIYQQvv8cqUit + 96ONnhQTX+qZmICgjrj6MeFY/82v+DATqmPVu4Y6T7UWNmtkeSU9lqyP2czlao5NzYdbqKfwsJmg + p461HGPXx6tZ0oY1PWgNumCQjD/HwZa/7qf3csEcVZLn/jBydlbRDE3KUCpumB+Dsd/xQkuNuy7v + BVJgLpS5nQeojIdfeObpnyjlB7/5rdu1XiQdr3sR2vV66Ptm6V1CLYKk89yOU9+g681mOOzbhx+N + RA1FqCRfaspy7I6maowj93a/9+En3r29viw7qUNuxLPaOCVktqHVdUNX3QafKRWXiic7VjcdXV5r + GY1WICWZ6zTODarLHVEQaqE5DBfGRZs2h8P/8uEP/QcvfYX1JV3H8ZoUVb9/g6Qe7+NcmYtMI9fX + v//BJ3+qP6TiOg0XKQuUsQhUaLu8PYxNm828Cpv2bJz2f2bQxZeUqLY05FzKMJU+kxOKiogL1UqR + fn9WeFRZ9+kff/jZn/vqF+lamnSaLAqm9+PpcpyE/lDM9aF7fIrgAmCOOt0EQ08tTAcOu19+/oUf + 7Icnhv7RYVxVm418dwcy4a0kEZnGsRUMitN11Mow1VW3mscJp1AxyQhToc5Ki8BMdseF6+2vPvPc + e6+vHu+vUyvT4GPibAVzUcerClZLanIqVoe5dKkd+v1Ku2HYZ1GU7OBUo20YZiSJmgt0ujSqmQtN + CxPdOLfDvQ1dOw2ffu75n/3i57ggd2dIc5yEoJiIoYYrrqchylCQ77n1KUbSZRkV3HHDk0ueK01C + Cux36ES//++eePIds//wdvvYXHU2pwqsuqbRst3Na6FtmOahWfYIY9VpGa3RttgSm1Z3F7Nh2K9U + S7EmcbHicKBT2pZpImdU8jDOacm1DtNGKZUEk6HG67pme+fej+X2qx956v+6bP+Tl15KjaR2TTVE + UCqqpyqwHqeUHWddUj/OIqvoElCVZf0XXTvr8YAU9tf/w/PPvPX6+h33dhf91GQc5v2YGrRFvBZj + nXSoRq3DVFdJEBcYCqtOxlLVVV3wZKIIuGWHWjnsGYb/+Ykn3//y4QcqZewzCkxO27Y+TfM8rjST + 8zD2hnapAU80bi6kab/zlLAqSQ+jbTKm0k7DI9vhbd1j7K5YCW1n2lRAaB+stb88dlyyp2R4Wsbd + DdXDvXtnm9XKyn7sk8JcGznOUFtCxf6gbL3cpGQKmNr9V9eHfurf8VmMUzp6aesuXedaqU6TKWWq + tbJZ4TVhE55Jqstu66nT1+7e/ZHcUCaBbOqYoQomx26xQ3r4Lb/3jq6ackzPj6cPBGICrbbVRp2l + upjq9ThytqFWksD9IwHkNDLuS7Jb2oQX5pmuG8cRVC1VBajiYMuDgpx2VBfEXbDGtApTKvc/iZ/i + 4nragVUMx8CFCkaqh0I+Zyxk2iTuD07bBIlCrUwjBSnG0P+jjz712L2r273/+MymnzZmWUrxqWak + FfcqQoV+uz1vVKu7Sd3vgGKeGsRIUMaaQP3PjvkpY+1QkOxpuRKDZRrpKep8Og/dmCbEk1nqDyTo + +1/84EfeMde337l3yyZknvdkSO1qmGqbVsnKZLRt9qnMZVilpm11GPpGGnzZUYqrV61zrqhhMzZL + MaaZw/C5D334Pbvtje2Ojulq3DRC0dlNU0J1mmub26nM4kk1JdyM6+1w1mSpXsY5p4Y6J1VxK/N4 + plyS9nevGIbN2eWsuIAV2kYxP64hRJabpvDg0U2ON0ipMBfGid3uHz3z9Num8a9cXz1Spk4U8eUh + aq7etHmeShK0ydNY2gabmQ/lbIVNTJU2MxfLyPJAqssDjD84l6t512g1m/q+hbZdzdOgWbfjvBKW + s1QLN22/moZ8vf3jT3zij5rmP3rpd7m5aXKRZoWejjNHjCzLY+SyNh8s/AKyPIaFEEIIIYQQQggh + hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII4S9O + ZK1DCCGE7zsxl1KVImYk0GSazRKmeO/erXUcLRmtqpjiLrhiS8jz3/oVoSokSsUzlhRVNJVja3Pp + Xi5XAmBQ4ZjiPDVgk4GbCZbxQhJqRSAJrkjC6jGQWRKAlmMb22VpanIqzAogDzU1qyQgmePL3xX1 + pJox8vK+tuRocfQYrvbTiztJMEcUg9nmVhv6HVdX9MNvPf385XC4vW6e2F2vpnKpTS5uszXLL499 + 0mSexVUxAXe1QktyfBx6US1W2ryq85Q0jXWGhGdDjj3rGRLUwjjw7buf/8jTP3K4c1b36lWVyRyk + AagNuB9wRFgLuC8JYsdx7DRQgAnqYIkZERUxdxPHHSoutIKbtlBLf/vAB77NF973xLO//0fYhrQi + taRmuWntUvN1Jzm1sD1wsF9739M/2u9+ZNqv6qiWhJVUMTRhUIXCVM4Vr2W5XWOZ0CRe9dQWPaVS + Hcwxyqy4ikFdOqzuGKlRxGgT5ocb0/jTr8qnP/jMz/7hl9IjqZREbhtUUOZKTn4/Se7k+zV1pYgn + RKphTpbJSlIFVVXGiasd19dffuEj51ev3VrJT20Pl6KrWXJRp8ngZmBJvIhDzYo74qgyGi7QMJQp + qcw2Z0kq1eeRJDhCRRLZ2O3Y97/1ng+9a7+9OW5VqhVUaICCgwruqaHDqGamWdRqrS3qVlq0npaV + GAo+a0tDRSiZiuMFICVKRRNuCNYwva5a+6/Gl979xFO/91VuOBfnvbQNIlCwhKk44pgel7BA0j+f + Bf+3cKiIs14W2zTRdJDtdM3MI8OB3fZ3nn/mA7vhZr+/aaXMrMFRVSnFrPplixhWERDVahWE2ghj + sSknap0bFTfRtqvTDJrFcfHBBJqU3JmkmkiqlvU4aLgvmVuvKioi2MhK/PE6n1/fuT3yhfe+69kv + /wE3bpHg7AzPKlLBsLS8hiyvpcu+UyGj7mIiDu7WlMowcNjT97/y0Rce3V09UqZnvKRtfwYpU5YS + sWL1mMFuBK/WClZKJ5i7gUNKzMWTo26aWjOTAqbMI13DPLHbfeHpF9/z2v6RqcdRUqWIWRZ8moAM + bsXn0gpg2CjgS79WMJUqkNJcvFWKMYvT0Bjd9Or//OSHfv5rf8g5w6o1XfLn6FLi/Uuky87sggjJ + l7J1ZTSGclGQYfQ6aUMtnK0pPTi51UMxh3bpRifmSk3gmkWSq5oAJo7Y8uIGoEpKRrfsNI4laiUn + xPFKk5gLsizdUtK6q7XiBoUyrpNDQ22wmlqYa7vq7HAQQTNltpy01LFtumEak1JbGUZfQSNYxSBr + a1bEUfluz19HjCSCqtZqwpy7PI+lTSw30921Xe292vkZuizh4257DDM/+HfBQBjnsWuVriGVmpKh + hjpKTv3Ut6rrJD5XQBvmgjmrZFbRlEudsgugpg5VjNNd00StqFIro5G6dq6GXFz4Y+w7zs+P6wmy + OEkc0lyYZoYD08ju8LvPfuzxof/QfFjVqa00VbOTHHVakhVzEXF3sQo5M5sJuAr4kjl3R2F5EgJM + YHnGWHrNjsgSawYBYQLHKtYCxZBsBsomgxdhwgsF7l5R/JeefepxH2/X8X3bq4vKxplQJ0lSr/hU + W6DOjjfgc0HIjtvsE00i2SygOY3FUkNJxdSRShJqwUbu3f3i+59+b9+v97ucmGzZPVykZjCz2cVS + HqiSVN1zIR1vsjIjiIBXU9TNTJkTgJf5bOp/4dlP/vUvfaU5bw4dNLnBBc/g3M9ZU0FAj88UKLlO + M6rMhV3PnauXnn/6p3evPVoON7NZWZLxySnL6vJSq4rmtp/GdWp8npe9zibEacALGUQrqY5VhNyl + zTxPjeTZ+owjWDWBnFDHp0GUQU1aiqlVSU6DY9ZQH0/18OrXz7obv/2+D7zwB1+RGxdMA5tNFVNR + MSiQEMWFwnGCLBPh/ke+/7wQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhH93kbUOIYQQvu+S3l23L59lPJs0s2R17aqt6rSZSD4P + gzUNWRnmsmraOtcE4sqpMfxv/grmD9J/WkURRXQpICbuNzMfRKxP8deHvm9Avlqtvi7Xq7YpY7m8 + sUli13f7W132sVI8LY1scVGyUisoBiIPAp9VFDHB1BEHVxMFTZiJ4XrKlxqYYseI4anqqUvP8EHf + 08SKWGUY26Rtqexm5vqrLzz7g1dX79lPm0Pf7rzUOWmqVtMxsI0KFbVTRHRJJC7vq7ipLW/bNc1h + 7DsESbrUNT21SFkup4G5MO25vv7is8+89e6rN8uhcXs4LGxySpMKYvcTjMvPVR4a+4coYOgSHFV/ + ULwGcF1qjsn8fLaLCfzw6ac/8rMvfYlVIm9mcCjGStCx0AiHHe70+19/8rn37evju4MxIWYIbpB0 + ieY+fMEOjp0u4HizHrq807Q43Q958LPTZ09QxXFhZfUNh+s+K9c9OeWzGxXEKbPnnDAXlXSafuk0 + Ji4UfGZeL+81zp0IxZkK48Bcf/m5F9567+qn+23e96sCM+aGA0uD+/51Hkcbf9B7Xi5s+VAGIm7q + pl7VEGOaOOs4XOOw3/7yk0+/dxpfN+6TVe5fpyliy92pp9c8vZ0hDnZ/QS03bmm629IaBkWXai+n + 5PvDt0D+H/bu7MmS47rz/O8cd4/l3sysyqwqFBYSFClx6ZbUFCWCAAgUdoAgREmtxaSHMb2M2TzM + mI3NnzNmY/PWNtPTaknshaRECPvKBaIkSi1Ra49IYilUVVZm3iUWdz9nHjxuZhZASUA3JT3M+di1 + AjIr894IDw/3AF6+mmcpz5aosj5916WHv/YygmtbFoSYUXkG8nRqhOOjgjLo9Lm/NwRkEANEqAJA + OQmIGwb6AdcPfuvRxy68/r1PELbW6yomBWZNpWPMKhnkgcCUxlJeRggcU27rehhSSlBwaAlDVkBE + AWiMja9TGhx4VKm9994PfS9APW+6rm+nku3J9VKwEB0Hmr2KT7nNcnZEm/DSfQ/d++RXccs5dCtq + zjpCn2NwTqcrI2CGTstRmVqUKRwtUdVYLgHBsPqNh+7/SOw/uVjclnM66htGFDiPMcExCwikrHAq + 2NR2b7gvFKBpJVFBBlIe2629EALiAL+Nfo1l/x8f/twnvv/GzUPXOk0CH3yMmWhaIoCTiUubGx9A + SZ0rITMysZNTP60MEmXUGR/xAW9fwdaP1hxWAsdIQPiBa8wP2fHCPN3CEAIY3uftraurrtrZiWnJ + nPsBFQHsuzFxIMfKGewwRLDDNIGUj9dGJQG4DLISAcgEp/Dl3qo5QwCMCTXB+SrG0ddnOq6OUozS + L+fby7qFZww9moCU4QgaQAwIQv1WyjdVXsbYMHa2Z/1i3TgX40BAaKjrdD6HU+QVXFNpH7OAEID0 + fvZfKJJ3rk/SeADIQ6qARDgKvEpgctn7JfiylokqIIKWP95FJatWVZsRXRzheVGHtyuGa4RdGqP3 + 9Xxr++j6lTPs4bEe02ybITKuSpx78IQMhUKoXDV3HA33ikEQKicpN1W7yFi3s8s+XFOCa0Dl6DNY + XcoYErJicYQ0/tvHHtpO48V1/+MH/dm+Z2RMe1uerh0YmOLZeryXYfryhpl049qtBDASbxbN8pcS + AIBYCQoRCAEZcI4xDq6unSSsB6QRucdqjfX45X/9qzftX//UenUuduiHbQ8QBkBIpkg2yqzV488t + B8mAEAhwCu+ggpwyATEiscAHsINkSMbVa19+7Gd/uu/mi/2mCeMQyz0qx7O5PAFszp0UTqEQlKw1 + pn/XzX10LCtumc/O7x9i/wjtrAIDFNMYOABCXB4Ypl/iaVCpG+Ms1J4cFmv0/f/9wEM/sTj66OLa + OR6HdXIOCijTmKMDfAUWHpJEgDLV3ErO026sMq1Fmx0zC7Kial23jk48g0U0NFsyLE4WsemehQKs + yDQdHSuOn0jbDB/jrL/qZe+Ln/7ML77yCvbOIQ5c83Jczbh2LpRVlQiey0Pfu/Y2vWGsjDHGGGOM + McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG + GPPfw7LWxhhjzD85H/5yeysqZtFnuIOGE2MW5ULnPrqud7rOUS+jjorg0dGIAMpw8g+/ccEKnwGA + hQVO4QEH4ikeDEwFys3XfGO2uLwHFPDh9Z2zWeSM385R3oCkfr198exq2d0MOVMFpHEtizpXjmlI + QxWQBLxpZrJCSJMTIXUKp3ByY9V5E7LNjMwCSkAGA1JKvafShVSKvtFTDxnR9+gilj0iPXXv5y8M + /aew4sXRdl2LxpiVCUx5qh9OJ8vCQQGiLCSMHAkKAtRBSsNSCP0QGw8SDHmsfdWGClllBDyEoQRK + Pd66/PwDD/zYanFmXAbdpClLwhOSmTPAVIqOINnkIQXY9Cw3V4IBOBIhEWIlUVKAhQSbMRQGVLzA + SYmqOgDnOnzqjetf+em7nviT19DUKSs5J4wI1HWFmOGAg/3nPvPZH792tJX9iOjBpOWiRtCATc9T + 6CT27ADWKQRM70iPTgHfzSQ5rnNvOqAQBgDKuvmOx3B+OPrGpYc/8+RXcXvL8zBErSqS8hGZoABx + CYyWKy2QEaLIrRMs1mDGKuHK8PQXvrCdD+bd8ifW/a4qur4JIXaxdE+VkDkKMZQYcMKsDMWplCqz + CmfIFNClTMgEYQxeBi9wQNsiKWjA9YMXPnPfv7p+MOPUa2oBp0zTzaOlUZoJibNAnCpBSsGdyzBu + eqhCECIFlysLpDLYCigxKbyKEzBBaBoMtxn27Th+9GD5zJ2XHvrW10Cea+c9kwpyhqeMaUY52twh + U9n6PSPAY+yHCg4oPWapvUcGhg7Xrr5w32M/+cabFyvBcrEVApEnalIfM7Se1ev1EAlz9sF7qOY8 + 5CgCdMPQtM2qG5vQdN06AD7UEB1zFJWUUu08Ua6VckosqaxAnNFyoCys0x1BgJIIlfJ63pwjAIYy + Cc70+Ohb17/50OfvePbLuPUiJIm6oOQgAhGAweUcj8uvUXKVBIPge9/9+s/9wuzwKsfDOylilW+e + u36VWwDMJMJUEUah6SOnDjphcABQ5XKbAwpWPq7TBk85ZedoiXEYOmQBMmL+4h2f/dSqmw3rJgDs + Y06QmKGVOoFLrEpTsNopmI577JsYLiEzFAJiUrAwQEFYWeDAQN6/iqpBgs84A0CgLEMeneN312B/ + eJiUHW0uS6mGs0cIaKrvVL67sHcw9nWHi3BtjJJyl+P21t56uR8cpawK1AFps5IoQVUIECATlBAy + qKzOhMwiiqAZwGqA98gZbRM4uiHFGrtXBvpuG/IHbumke4PS63u7aBq0LdiDeRjGOrQ6gnwFM5Bn + AAAgAElEQVSAa1cfvP2v969wA7fsdhfpXHuGu6ElR3U+WuftFsslGJgxcj86XyXhLOJPL4D/EAIc + ZRE0jDHBA44ITMum/k4gvXih62IV2nFM+22LFKecNZXy9vQWZflXgSqxdxlYxfHMfI7Uv9E6nJ+/ + MXSNq9tRz3aZ1vGcP5vSGmOsGGmUccCsAhTriHkFiVBCJoE6p4BSZgbEK1pG7LOD59xEwvd8uHxu + ++ruFrYEFeAgJJwHXLuOOGLoX/65n23f/P7dQONnsuq3XNOrzhCgIqSgslGVevSUgaeysyhkmtpg + VRBcyVOfPJds2tKMuPmfFAyBOmQGQX35PpfniAw4FjgBVhh7HK5xuHrxsSfOrNZzxJ/o19vkfTfU + RJWrNI0CwIEITjNpGYDpkik4E2cWJbCAp90cY0TlPaXMQBXqtq7ywMiEitGvfuehn/3Em2+fHcea + /NBHZseStTzPAGCQwkFOVozT8Wwpq3hZ604mT8gAwTm8fuXg4q1bQEIcqfcuOHAF0eNbpix0HgJl + IrfuhqqtkQbEhPXihTvvu+foui6u7mzR+kgbAjlQhjoWgQfGEQ4SEJyvifwQV62bSe6BBDA2R8XH + 5WwFNCvgK0GWLkc/TOc4BboJCgd4AXxOHkqqrJmmDdcpqYOEGj5htz+6h/ilex+89xsvgBuqmlnl + p+UgA0SOMK1gOj01uvd+BxpjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYY + Y4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHmPbOstTHGGPNPLtT/0/NfQzdgYBDQAgykhC4+c/eDt83n + 3cFbN5+dzdMwDv1UWMxTfvi9/AmAtDRNT/US9e9I39KUUC1twumXytch/PpXfgcAVgnVDCnCOyT5 + T49/fn+1ro4Ws7a+qTqD64fV2ANI76gOkpQe4ju+yerKvzIkT4eblTIggIDc9EvKU0p0Om6pMCIO + 6Na4cvjbjz+x18e9xfCTa5wn6sej+bw5XPVbnkFKipTgeTrx6eNUhEq2OU/veTqcrXCKROCA1Gnt + K03j6ugQRCEAABIwLnBw7eVHHvmx69d3hn4rII3lIxgkrBAqMe+SnDzJTwJT9lgJgJSro9MQlV+R + 6XiUFUwKQE4OkgAqv8UKrjNuXa+61qNbYVa3oR3AUdUTo1fkjG79b++465FxPBfHTsaqbaUbp2Mp + MVrCjbOhjNQPDlq/Wymjn1waLfFL1k2nHIAD5qk7//aVZ77wCw+99DTVdQWfBMTIkkN5BL1xRjrI + DNlLxvUVoqDv//3Dj39sgX+xOJzFq3OXkSEZteMckydHIejYT1ngcmrKQC7TV8BcxhtE6oDspk4x + 5ylarNBN+rcfUDkcHv2HO+64b8w7Y78UtFtBV8hT9j0RpLStTz5uM3NK2fq49l0yrjfcDRQ3A7fp + hIoDhFQZUlqnrkwPZS+4mLJ2/ZcvPfSzT34FN190bUiLzm+3xxerDH7JIb+/pjWggEBc4xQaY3Sh + qRnx2rUQKiwOv/S5z33i9dd/zAHdYgBYJKs4kojsnF/3AztszapxMQpSS3UH4MzWPuki8MhVanZU + 0YbdGAdXuTH2TbNL4zAbYzsMZ6JWCs9IAl+RKvV974FQ0u86VW+hTkmnMu7JnBOAoa5OaFK3fuvN + 33385x5/8Tk06nZ24BBzKrHlcm3yZh66lNxqiYOj33rwcz+6WH38cDGPh4EVDpiHvIoVE/mqG4fG + BxAp4FSmq0mnFzCW6S4mbNZYEgZEJDtGytp1q/bsWXjGusOy/7Gj1fnD661DiohITXCKXDuvY5l7 + ZZ24MRh/etYonEAJToX1eDAYYKiEjItV9eQTP//YK1/DbCr9aoi1C+9vQrxfCqhA2THy5piUQL7C + 7Mz/+uLL6EYMHbL+9gOP3Ep6VmhG6cr+tVvd3ElylYxjlAxisACQqeuMcvpTsZdL1RxQUpCUwakI + 7OHZHfRRtmYHedb7ZnHmzN1PPQkHIGJnBseoW2SC5z7FUM+hoJoS4F31K1/+ClIHHaGEnp586LHb + bmri9SuVH3a3Ulj12x5oIB2U0aWxne8uVtc9+L3vv0ogRiZ07FI7W/nw1tFitrv3Pcijrz4PdmCP + IaKZowqYbUE2O+6pqz/t38wqKoAATZjH9VEI4X977imkFXLGoBCHIX/pwUdug5uvwnbq5hJdHBwg + CcyY1zQO6hmiJcKurAQgKwFulMzN7ArLIVxy4Whr657nnkTNmDWY12BBUj66jrj+zUcevHm5PLtc + /8gwnMu5Shhk0TZb3TB48tNCCwGmsynfKPO7lK1L9Hhznacr7nTznan9zMebyw0bEZ+8mwMcOE4z + USiPWI84uP6fH/nch1fjR68d7aZIMpRf9z4gY9RYNfPYrxwgZawJmQCC0+Mta3MCJTKucIrKuz6l + 2jXe+25YRuFwJkAIBweIuOXN/VtXA2PdA5UPzKwjhECqgJzeF3SzL+jxJS5T+viW2jy5lQERh+3K + xfX6Pz543y/88bddaABoN1IdTj/JlcUOKiCe1TVij5iwv//lBx/+5MHVW/slb3PfydzDOeoGrUMA + QxUuMKK4uo1D1JR6qLY7b8Zh2NsePFgZQGKg7KOQjBTy0Ma0t83LxbDFaAOyIk2jxqxUHtgAsE4l + 79OLWTnxqBgHzB2qmG7K/bqPX7l07xMvPw1k5xntVoQErqdl5XhNEAKBaDOexhhjjDHGGGOMMcYY + Y4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjPnhsay1 + McYY80/O1ZhdRK3IAsrwAhJAkPWhv/g2YsTY/btHHv7Q/uHeery9rmTZgyEExnv6EwBPWcHSABRA + oOI3qdd3q3CckRQtZWAH13hcvAUxYi9gTKgrkCDj57/5AnhE6hHTb1169NOH9W2gupqlvFRkbKLY + pGASLyx0QwPYTe3IrDTlD5XAKpsD56k9TVx+NBEc4CFIGYvh/7znkTtW6VNvH5ypOMTBa4oxz0OT + Vv2Zyg8xZUVwUz1YZcpCE8SRuM1pCuBo6snycX1RUQf0HQjwjoaEpq1BMa5XIdToe1x588WHH/zA + 25fPgxKw6lEzWDcR7nJtIQRkQMCkNGWtSVmgQKbpZ4Apq1nil+XalaEQdU7hlBzlqUW6yesqIHAA + GGlHx393972/9o1XMcv1fIs4QBQhY7F8+tOXPru/aseBa8yFVl1XuVP15VMVcweIbgZfT40EnU5c + T5fmeI6UMLhu2qUEeJQZStgMOACvOMey7FdICftXaecsc5MAcpVOp3sy8oAgqV8u0NNXP/34LUer + WRV/ultsp9SkvpaMjOCRAGUiQpeyH7PfvMvm1ISAzKWGy16IFYDHJpNK4FLMVagX9UI+M5IAGcvu + +Tvu+/TBqorJ1zirWC2j4xZEhMxQt7kKpAh68rknA/XO4qYSxKlAtfwcK0QB9QDzNKqxpKk3VVjO + HBRgjvNl//FV//JDn7/n60+BZr5tNlFXdoDbXJVM4BsDou9FLhHklNvQEIBuFdqAg6OX73n4Jy5f + PhMXfUTjUTvknJ3jmNdtTUk5jZi1YexHT3BtGNZDms/+yNPlW2/51S/9J2zvItcAQxK8gjO0AxGG + 4d/c88BPZsdvXLuYokrUUmYWbTzcJg/PIqSu/OeJAIoEysdxUy0TjwBI7fVikjPXhxfueOC+rz2D + xqGu2IXNUPANC926/9277775u9+/g7Ej2OLoGKOgUtfFyPB1vb3ulkpuhMo4tJ5E9PhqlhunTqxE + UM4EIRaaQvVeyrUlUXhGFdzQH2HscHl4/vEv/MvUzXzixtE6EzDG3Gw1y2XfkIPC55KBnyYD6bQW + 0anZVSdWkk3gXjK5wUGJakEbUQn+37yYJlgCHFghWci59zkj3heBZlAE2G3WEoBBASEALXzCDkD6 + S3/6p4iC5eo3H33wQw71lYObwEEdlypxRigJa9HN+YpXQMtiAlZmhbDwpotceQw9PFS2zvzxdvXg + S8+irrC1g1CDPLwDMSigj6hrCJoQ4mZPigJXVbS3i9SibqCMbnjsT78NGRAHrA+/9Pkn/tXq8Na0 + 9kuJSD3Q1lXu+203l9y9r/13zKB5893RXal3v7c3+x++/fuo6k+ECplRz1A2RMdgzlkdV6VMXAZB + qaygAihURLOqY4KAw2wLwwDXAi36Htttif1+4a//EKsV+vjcZx/+4KK7HcDiukgWBSMAPcq2OlWn + WUGsZdvx11zz7Qvh8ReeQqhRt6AK9QzOo6qyiluuf/f+B2/+27+5K6RqSK16HqQJrWqufbXfL/dC + LTESCACrCjizAGAFKTKVKPvJVkLTvEZZAE6vXGWvVGKAGexOFdMHBwAB8Jtbw222Hoz6Hz55/4/u + 73/GJVruz4myiCcOVdPHMaY8b9qhH7VfOdqstIRIiA5Q1Kn83xAihc9MjEQEwCOrckrkw2wE9cNy + jjBv6xRH9ANWw6sPf+5j2ddUcUWKjjSuRzQIrMwZQAKX3R76ztPeHDlNe9I7ZMKRglR3190F9ohr + 8AzqaTaDDOUNaMp7b4wZTpFG7O+/cPeDP3Vw7ey46DPiAoHgmtnYDQAn0RSHWcAQpQ71cuiaKqQx + HlFendv7s2brX7/wFJoGuQUqkAMxFKAEP6Jf/9alB26/eu3jW4yuGyPYQQmKwPACAjIhMaLQ5nyF + p1o3ZQcIIRHmbU3Rj3nlwbc54suXn/+ZO+9/9VWc2wPiqOwpkGNkIAPVtLiWcSyD9q591hhjjDHG + GGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhj + jDH/7SxrbYwxxvyTY6DGlCYkV5rWGQzAgTEMyPNfe+Z59N3XH370zFtvX/S1pCgs7+MjSEhLdVim + DLGIg2DTYIVi6mgS9FRIFce1yJIH5nYgblxNMwBIIh7q57vAAB0wrn/5lWdfvOPzs6MhHFydgYl1 + evMNV3qdJSZNJ/nhknrclDyPm8l8KpKKzRiByiFmQdTdfty5srh90DwcVd73KXuGxLED5iKe4Qje + Vyp5kBzAQnK6Pax08nFTLZGn1KcAvOmNDuPgHKoZo+vCbIb1AoeHL93/0IcPD24SjEPa2q679aBT + 0Jo2FzaXk1JlgSsJZHDJawNQIQBTzPt0z5J0M/LHgVeAVJ0KNvVoTMnoDAgoY3HwidkcRx2aGdJY + xQg4HB7+X3fdde/B4YcSoOg6VE5r3uQz9fitpnNHSWNuvr/JK//AbuRJ3Hpz6OV9oVORdKrP6uZE + nKDR7K9e+4377/vVr78IRIaPo1RVlTdtTsapeLYmsP8/Hn7o8VW+ebGMWHoXHQbvp8ZwjnCMMWYA + szpIzsgn+e0p/j3VwfU4ZS3lyGlqvU/HBjiBU3UKjBH71/+fBx/67MHqA9kDqevQOFQOoknBZeQz + TVnN8kHHt4yeXKAbBu+4VXx86XT6ZhlJOW57l55xLjVQBYBxGPeasNv3zdUrX7zrnl985Tns+pg1 + NNtuM1UcRAEBy+m+6XvDQJdi61vSjCwYE9ar3/zMXXe8ffShPGIe8hDXCQ3ggh9icgCgMeZZ42Mf + R0U7C99fx/HczrW9vft/5yvY2cHZswh1XEuoKjhkkgzJGFso4vDrzz2HTl565ImDxVHdL3Yo8zqd + bTD20Ax1m2ml5cJxuR9PBvX0LUDaj0LA2etXPjKe/eLnnvjFF55C8GABVeUSOJJyKRhAHC+8/tZP + xdSPPTOiQB2cI8na+hmyrrplRtpq5916WWMql7+DU6iq0KmDIikjSQAxLyVv1RUn+WA9x9HiD574 + 5Q+NcSfHPoku0TJE0IRK131bCvWUWYmmO9NNZ6nTEBy/v1OBQnkaBCUFUpklThAkzxuFZ80jeY+U + 4WpWgfL7Tp2/dwTQ8eKtQAZoOkSPpOxmlRCyQkVqZsz5V158Cov1nz72c/rm2+c4SUQNaHmbcqbK + gJCedOLLQg2ScruVMYkRNQHUHCr/172tBy9so92CrxU8KIjqpKiJ/azGkOFcypGrsOylbtgxoqJq + alDowQlo6uAVoG3kAbH9wvMvPf3JS1WY+8Xls6HZClit+5lzMWe32aneo9rzW4KrH7j9vqefRg3s + biE49RWpH1ZjPW/7FMUHt8kzl724LFiyWZMBaIw+1DEjMxwjCXlfgWQYY717HpmHfq2zGSHWrcOq + f+C5p775mftnB4e31GfScJA0VYDzATlOmx0hsyicgAcOfTv/85l7/IWnsTeDr1BvQwKUk/PrFCtW + J9G/dfnHlJplQgKHPIouhm4LTUppmwJk2Jw0E8CKaW2XaaoIhAint+DNKW42j+OJqgwiUkDhj/f9 + U7sRl99VAOyO14R197FRP76Mve4Hgg/Krc8RqllFHGPdrxrvVZL30Dit5sfvK0BmsCgrQAJh4XKz + KQAmjjlHpKoK3rthcf2WWYvl6s8ee+QDi26W/ahrHnNUrRizGjpkTM8XDM0l1P2O7fT0EjJFmunU + Flay7ozaV3nZ7fkdIGrsqdoCysyQ8lPHkwQqYGC1wnr5lYce/an9/ZvWCx+gNWYMiTx2QwJmbbvu + jjym4U2So3eH3i93tq7M23ufevLjbcCFs+pb0hZAouPnRUSgbee//HvPYhifvnT3eUe31E4Pl634 + anq8yoyEzfNPPn1f02YfJBCh70YvOqOtLF3u4kWgbpovXnr8F7/xCrQP22dHKGKq2cOVG5+Jjp+g + NoNmjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYY + Y4wxxhhjjDHGmB+S99foMsYYY8wPAW1enEAdsAR6IAI89ICrEbZw7iLOb935zJdXszMpgUAsTMrv + 5c/yIUqQkkElAQSqpWztkKAyxRUJCcilELl5EeCQnCYHSSqVr5kgY/KiDcFDkQhpBuyg3sXOzqVv + PvnsPC1n8wqtE0eKzIh8qvWrXIrCmZCJT6cqSU9eEE8aUBLGHspCQK2gCGQABGZoym1NbZuIHDxy + bjwBiCTb2/OYxCFowtiPUNRVE5kScSTOYFVWIBNiCQ4LA0ERMjgRIlyGIwQCsvepQprh4OAaJOPa + Ia5efu6ez35kMe6tVIe81brFYmBGJo7sBBXgp85mGUCVIMIQRg6Sg2ZCBonTqWlNgFM4BetJ0pIU + BGFkUNpMFAdlEpBACeAMN6iLneJCO9+7fP0r9z4ICBgYBG8fPfepTz/Wr8/l5ToOqq4GHIMBp2A5 + LpyzKKsyptc0VTJLZs2sJaBeyrJ6Y0KSIOUIg2YvOUgOIl5FCJkAmkKepc/K4mquLrrqR7sR+weQ + JWHYqiodTurZU/Vz6nwLOCbth3iQsJjPJEtPrMMIyVhHuOCpqbBJV+ckpMziyouUyygx4FW8ZiYR + luxidhFUAtCZkBiJS0VaRUkwdK995s5H+rSXdB2jiveE7JEZTNFjCAKozxQSb26TMkuVVTmjTDAn + 6kSdgIWmYDUd3+yA6pRQjS5GN0QXM+ep3Vv+ilgIjOQ1zjzSEHukW1381OXrX/nsY4jZN21pmgMA + EjST5vcbtC5H5JW3XesBZMXREovu2Z+5dO8qXUAXZRhW0Tm0DhnQKDW58oFeQTFlxXx7vs/zt27/ + 0Ee/9Y27XnsFt30QO+fBc6gPbQUHoZw1B/WtzpDmGLdw04dx6233fvOlj3/7m9/64Af+Zvu8bJ0/ + 6lHVrdvMmalCjkiITmPQHASk09+W83bIDtK0aBoQD+24uOWNK7h8iD478hlI08RiB/GQUsmd1Y2M + Y11VwuCWR0aflYKOaQ10M04taV6vGna+mQ1ZoW7zYgULQUmEhSk75KCxlhgk+3JfI4ukrbpd9OMM + 1fZ337p86dEf6but1fXcd7Oa5y2roGqbFMekUAaTMEn53VPZ29IFps3NCCm16FK9nSroUkmus5BC + CJliM/egjECLfJSrTW/+Hxcre+UABGzas6U7O46ZaixFI8AE7yKoQz3ifI3z9b985rf/6OLs+9C6 + 2QGx84iurMY8LQhlfSEoQcBlHymvEn2vKihcFqR2+3985inMt5C30Tck5HKulWfCnAFAK4VPxMpA + 00zRaEcYliuoeESfOz8KRiBDIsHP0dQP/9Hzr902u9I0Q8oSx5rgQgYDJO99/yXllGQ5m1969ks4 + 12J3D76Fb7oYAannfpDOea0AGtQr0OvpuvNmOVQoyAcoBwfPGAeMg6hznWQ0s3USRNT1rFJ4ODDD + MwLWM2p2t/rhekZsPIA+pyjgUgwXQnQYnQrxYV29tlff9+pT2D2H9txY742YZ6kA71Pe8a7mClw/ + 8uJLb87PrLQC+4NMujXfqmryEigGjpJBZVWEAEKQkOGkTGZyQk5chovMAi4bipb5Ajq54mClafY7 + YWSEDCq7iAppagSNAhiU4vToIiCBQFHzarEP9IFRzbAaEfuUUqI4BEgbXM1gySJII6COxHEOIbk6 + upBYp51LQAICSMqmxhCCOBKVIVRCLh6u+5safHD/6ut33nV+vd6h2M6Ekaqa2gAlxAhlUYpCMRNE + 66xtpDpRUDgWhjDJD7g3WadXeRJwwtu5aiIaQuYeXlMey56awEN5Gjr92IaM1GO1eP4z933yjeu7 + 49IRcoKMGDtQqirUNULulrWjaub7BABah/XO3h81Wx//1mv3/uG3cPMHcNMH4XYIAUhA9EgVks/i + M1oBIuPcLbh468Pf+c4n//Ivvnrmpr85c3Hg1os4dMwDUaZT0xfTFJASui47XcshioYgUZcuoAmh + YsLB6iMDY38N1zjooANVHoQ05BEYgBE3PIfcWAk3xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYY + Y4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjzH8Xy1obY4wx/xymHqHihmYr6gog + KCO7gJ0dnNl5vW5Wzc7Inja/9w//qae/kE0lU4Abk4B0ktIslDA9GyigCpWKGAAEVfBQYIjTjwoh + +x6VNjO04Ve//vLSVXr8XLGJsG76vgRlFgZYTs769AeDlaH+1Ddww1OKAmCww6z91S9/+aqmPjjx + TnQ6LWaMq5UDJEtA5cHEOoy9sGYuBc2SiHY3frAAEDhoUHiAY4pEACRndD0u1C0W639///3fvHT/ + hw+Pto6WMxccKPa5cdAp/CxKCUgEjIzrNd5u+UpbX57Vl2ftW7Ot17e2Xp9vvTWbXWvqZcWDQ2Yo + nfS8dTMivIlbs4IgAG/yn3xyQQEC5i1WR6tbyH0EhMURDg+wf/D8PXf/+OJo78rleoh1gDIDTvPJ + FSsjDZDCCdzIYRHC1ba+PKvfmrWXZ+3lWXOlbfbrdhHC4JzAQZ2CT6rnmwlGOmW5naoTBWVQvmFo + lQGMcdWk4eJy/dVHH8WwRlwjow4nP7VpsMt0lo7+l6/+537HJ8/LbjVrMETUDYjQuDDEvFyPdR0q + T2lIgaHT9C4j5xQOwmXg/o5nXMGpsmol6ezQY7386Hq9e+Xtelw37ImICPm4Pi4oAezTk3YT393k + 4ZU2jXDeDMyN3vE1TW+SGfnUgZKCVBmiGZXDvIIcrW4fx9uvXsPRIa1XSMfdUN6850kodfobnarb + J4FRvfEAdIopS47IA8b0m/fc/7FVv3twLcQUGigwjpCM4EL5Ve9KCh4SqsPt2R+H6r/s7t35/Au4 + 6WLa2RuaraGu06awLQqCCxRINtXmporep/kWLlzAuXO/9OKLn37xpb/c3roazo7UjMIsU/n+5DLR + 1FZnKcnXabaUEvy6AxE60Z0gt8fhlccfx+EBDg/9jbf3hPmqc9erei2qin4QdvAVYtYqICtINYAc + mARDv67ZlxkF8Oklkk8dw/HreCXPQ19x0KG/zTcXhrFaHpx1PPMUB5FOHEO6XgHvnep0rZVP51rL + HJ6iztOFOpU6Pp44DtP0Gti97d3bnoAMSBvmm5rwOxf2H67jTWuzSm8mIKFq3Jhz5crHC4MBUWb4 + CjszXNj92Vefe/3s/G/TQE1b8ro4vhtPnbVM7yknHwlAEUcQUYYkBzgGbdLa5IIPkEQEZoxJiRlQ + R57GsVxOB4hKvdWKZEWu3ebiJrCvlB225jiz9YXf+52jizcf1k0UrR1yOhn5f/BPbG4178KKgO02 + 72zBMchlwIc6i4JQMXuoB6pAiIow/TbfuMpO/0wJADLaGm0boqjzjQCV93CAggkqGVRBAIfk8npY + KFLd1ENSCNiV+4d06oVj7d0b8/Yvzu488dKzuOk8QkgcBFU6rmuzQ8rIAh9wZvvPgl9wS9qEUPfD + OqVB0qiayzpDBCiOk9UgYQgjs+om2MxQUiK5YVX+wXligkBAenwnMwBkgSRAMuR4xJWgEDisz9b7 + taYa/YiZQ3BUEZjhARmiJ06ilQse/tRCyF4QMpHycUy9fGTZ1MpDlEhqa9f16oEzDXKHcyIXJe1p + rHLsl0tPkFFEIAL2m+tGIpwTI92wCRFtBve4ZH28a0CZdFpzCOREx6EfAjompBjaFookZTXl48kx + 9b1TxHrxGw8/8sGD5c3d4JKQnx5OgmsiVCk5JAZx1n6dpOE3duZ/UDd/PJs/8fvfwpkzsnNm3JoP + IWTygJ/eWzM0l7UFAlQB5NG2w9k9nN399Ve/fvfLr/7V2bNvzuedv3Gz1R+897JCxrjDrDEFRo5R + YyTFFvlzi9UzDz2Mg0PXr3YIDCDDN66cYwRimQYieOezo/lnoO96/eN+2Pv69JPvyt/9MsYYY4wx + xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYY + c8Ky1sYYY8w/IwfUQKuogQCgxH2J4RxUG9RnLr3w9F/N3Srw3xtpe2ezjRQkpZHMU4jovzEAACAA + SURBVCibHIhBDPjpXwhaIqlT8vg4pMkgBjsQO8ADUwCUCXUNZjgHEjgA7giE7T2EmYY54Es0uARf + dVPt1XI0JE7gpJS2p5TpcZVONjXW6Z9S4tyiJCh5ZTCoQmhR14dnt952mpxj4kHU1xUUzoEJggzX + AAwnRKVxLA7ZiRIY8E5cKeOCBZRBkRUk3gs7FS6Dn6VVzIX3jvS7d332jitXP7y/uDBKBY1pzHCq + LlBLCQRJOYcmcsgC6evtv27P//HerX9y8aZvnd359rkLv3/hlg9+4w++fvPtv3/uwp/vnfv+rL3e + cBeQGVlBHhlQ8pGRpnBwaVoDgEKEVDhrSfwKoGABK3KPbQeKw7nF0Ut33IHvff+vP3vHx66+sZ2W + ypvwbMDIMggE0MCjIAs8NIQKmkfoug5vbNV/dXb+X87t/Mn5s3+6d+47u+f/7OxNf71z7sr2zoGr + O60Y20pNUlBALO1SuFMBbippZ6cylZyPk5YkgFbeI/bby+WtQ0TfISeIlBiqlImnqZTJE3ziCm2F + 7eYvfby67VH7NMIxskAEIll9zdyMA2vyCsBDHTRIRByh7NoRTqlRDZERT2VKQ7kXBMePvgRWRZv0 + I4vlwf33zfKYoI4TMChFYiCiInj1AGdk7xNy5gzvIAIlgMGhZIaFJLNGhrB3nUYKVVYmoqSQMiS0 + yXYLQpnRCgCZkBhKYIVX8VJK5uyYs1I/woeaEC/Eo1fvvBOX38ZwhNgDEGVQAIVy95T7KAN5k2ws + NdCpNJ6BLIBo+UlAPZTBbkRc4GD/IweHuzIykifogIrhCQxIzkLIlIcs8HCorofd13bOfeq1bz78 + h9/AuV3w3CNkyAiUtHumsrSAyq1NQEByUIYDkBi+xdYMF3fufO3pP7m4c93NGW0ASwYU5Ny0ihHg + Sp2coGUJmErepJg55BEKkMSzcf2B/TdfvutncPA2+iWmi6yqpCWVHMKlZ194Y+/8wjlS1IrWQwXE + U04dCKB2RDUiMLCJuZaXEmSa6zK93t3VJIChQaQBNHWgWJNDzIAGDwKSQAmOEGMOHBhICq4qYZ9B + ZeUk5yIjsxLEbZr3UKiykhOCChyBPVSRBGs3/+6ZvQeefQFNQ2C3TiH707fgPxJ6Z/GbNzsLADTO + +ak1zQQH1IQGCHBzzPews/vAS89cufX8ASEAIcMLAMo8bQHlHimbgAOCIghCZpen2RAlOZ85dxgz + ugGU4FH2MjhWxkhAoAyGBmQGKpdRQQgypZedy/AjHALBTadBpb0dtjG/cOeTz76xs7eiCpl8mSQ3 + RFJ/MCURlugkOkmS1XnAZXi4AFJBFiA5l8GkjhTQCBI0NC2o7xjYsgUzI3gQnJt2xsAugBsw0vE9 + kTxDkgfNgAwZa2YHiv1Qz9oocAATAVCPISMAXVt/fXf28O9/DWcvot5BHTwyQyqCo+k4MjERQxL2 + 5j//9RePQuOE5znVUYOHAhFANRMA4jJqxYyCHxnJQzwE4CCgjBKKFi/wSoTycIJMmweVUngmhZII + qU632Waq0fEE8wIv8BnIjJGRCQ4M0vuf/+qfb1WHvskZWu7HGn3edKSp8giSU0ZKnKXOA8cBkZwq + pOZAiTIh0+nGPDDVo1nGvM3AABkRCJoSI1NMTiGA+Co7v8ioGhoisJkmdSCp4qAdYag4eoeErBBi + KCRBEGhkgce4aWoTEREJlNglRAn+oKmuBI9qhkTqAAcHYhWvKM8wkkeQYuxx/eBj+9fb2EcWV1dd + nDbHHhm1Rh0zEoVKQBXwtqteuXDbZ/7kO49/6w+wexahYmYu12Kayh6ogRoU4AIco9SuG8CDIAgB + 8xnO7d392tf+6Ec+9CbmSag80QnAzpUnveOlkjZbHilUBIBuCueqWumwG/c/tr76yh134I230Y0s + CQSMqCAOKYMjGMKnWsXmn40C0xPZqTXxB6SmT33x7r/9e14nH3P89am/0B/0HzzH/xlxw0vf8VMZ + miF5831jjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOM + McYYY4wxxhhjjDET/899AMYYY8z/PwkAyJSXBjC1WEuIsaRn4cgF1NX1xn2g+2/5DJoqsCUzy9NH + TPFQKZ04+sG/elz/hTt5u1N/xYDmSMmjGSB1aN6K8RZo4079/LsOhjdvU9LLQnBTglVOkpLHBzRV + ErMjmj6XHcihnT38u7/zB3dfikMUjbwz/9thmc/M0M6O+pFdw8nNtK4Oruy1yJuYIkiyluS2vuNT + 5DjCB5ShYgEJFM5lmaUVoKQUNTe+zVk9VyKpT13luc8Stuj1pcbGjTu73w/NQy8+j8YjdXAB3AIe + IfzK888DGesj6PB7jz185vD6raK7a6EoGfCYzv44aL2hJWh9egwVDEi5sqyp7dJPzHfeuHT/+eVw + xrshZfbIiizo++gZbeWhOsQMB/XVvmI/9Xz+3L7IQdM8+syTqD2CBzuIhxIykCIO3n7x136tXehW + N56Lfi4K7nwNRECn0RLClNClzZVVAMRSGq0CkiEN89BozDv98G8e+dyvv/wKZqLMZQYJxNGUwgQg + CIpEwC89+9TX77rH9+kWH7SiJTKqaj/l/aba2dltj8am72curlZLD1QMDh7qVMXDAZI1b7rg5er/ + nZnfKkuVBcAI1K3XMSUFBMzwTGlUQiLAey8pNTXSAIkYgKV3GhxLljHfvNWGVQ9R8m7MYxPqlHNU + gaKpGCRpAG+G5OQWUlaWcqBCYC01X1GwEGKW4AJBU9ZecG7L4ah7+aFH7/nGq2gDVHIiOBJopuSJ + HbjMZN7M5DKTTmbP5kuHKcOMrgP1WCyev3Tvp7zDwSGDyi+TnhxmUTd8OMiB82/sbP3Cy0/hpj3A + oZmX9LN3AgiDCVOu+9SUlQSk4zlSVqHZHHBA//Nfe+aFn3nkX7gz825/1jjErDkJ4BnKHJOETfKX + lcvZKECKlOEdO4ikpAm3NbNqsXj2kUce/Ma3fF2BK/B0J+WcHRSz+nXoXjUTaO/G7621PjdbxhyV + QrvVrUevbge8S+QXvSctk/z0fff3m1YvVeFpyFkUQEwYgXlbazf4JozEi+w0BJWB0lCPOSgR1M8a + WR/GHMkBm2J8mSTlyiXJ3jOpiCBlOKDx8/26eWN7hq0aY0JLVLVIyAF6Emv+x/KuNz/exW7cMqZM + syBzTjHVoZ7NsLf9nSC3eT7ngmgULdnTza8rMO0UenwXT2+l6lhcQ2MXtVv+75ce+p9few3SYzbb + JKEnU+X0+ChVSgK93NzTIYGVQFM4GRnQ/4+9O32W5TgPM/+8b2ZWVS9nuxsALqK1UDMOW15kSaS4 + gQS4wbRlKbTYlmPsCc/yZ03E+INteUbjmdGIlAACxA4QFCXR4nBsU5TEDcAFgbudc7q7qjLznQ/V + fe65F6DIy7BshvX+4sTBuX1Pd1dnZmXVxZeHGKeS9kH+j1260Mwvj2E9rGYBK9/XsJx9jGq1lJEQ + AGpFCZApOu0E0/VPKiKF7WZ41nE+N3RvMdaye6cYddo6x6GnlK7dZz3Q28Wq42rVLA760+P1aj1r + KAMCmSqVxV68tsqvSvj1557lYEGaEbaX4DDtHwIwwDDmZRuYL7LdjF08Xs5vrgcBnadrWO66XtOp + kRdd0NiMYWm13rx6pORM15CNWqeLve1O4XMf8twJ9VYnV4U6Na3NVKbNQ6Z9y/Ts3IZqVWND0/Z7 + h7k/qSFct3ElYy/UoybODk42Y96U/eX+kpyG1aHU09PhYE+pdTitUSjjGEQLZRpVveNgFBDT3Z5W + z6ZAlCSk2JwMQ4CDrh36PnTxOlY0lGJJgwybRad5VVsYSmnbBtGy2YSuq5tNzkWUTa7zbk7fVytm + VUVVdKhDk9qTpC/vzR557DFiQ4gVNtnaGBrB+l5i2m76xxtu9V986JPvWq32urS+dUstCii6GWuV + 0TLzDkbKuFlpvLnXfP3K5X/4xFMcHFBG5h0qhpoRzp9vNg34djtTqkxrQ1A0U2ObCJGof+8zn/78 + ez/0wGY2XHttGYAwlDFtT7E/f5a3Yq0pjMNx/yMlPPnwJz/89NN6/wKDBFaD7OLmu2XzXS/n7j8L + easp2K0TePNcy71fjKZ++fmnmU5r8q53n36lbN9db68Tqdy9COX2E5xzzjnnnHPOOeecc84555xz + zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzp3jWWvnnHPO3buh + 0KRmCojWitpHnn/sT97/vktr5oUp1hsg1W1X7p5acgajbOuKccrY2dSrU7qWPBBSvzw6Pb6hsnyl + Td88XL423/8ffvczLFpKJnQc9y+9/0N289a89sFGbKxS+5ARa2oNtgsAQ4Ws1ajTAddzzTwBpVKt + aq1BkqZ+WANNjYPl2Z6eHNe24UaJp+9455ck/OqLz/3kIlFXdC22D0IIlIopccGYOdqnjh976Q/Z + 9P/mb//sw7N2UVe5XEfrFM4Mt4vbdnYMd5mq56KUQhDBih6v4jAEIGjMReNsnXtR7RqNgePVMIdW + wo1aZO/g5Ub/w6L9lSce+/H9PdIMIhJRCCAVq2SjDlyeffDzTxIir5889b6P/9hJXdZ1m2mmmDLb + 6Ph0pHdVDOV29JqGQMmVEnM+3BiDUW6Ui5em8O2IQg0opnFqoJJoFljfN/uLg0Xu+9c3t/rF8lqT + Xr7c/eLzj1Hqv/zApx441st587aj1KxOG6unQ4acQg2ax2pdK3nE3mr0dsNa73q8lSavS0a72fx4 + vYlFZ5JCMmQzDDXnXKD20nXdZrPOi+7q4vIbIQQbj5bZ3jg+qm0ilzzErr216Wddm0JDzuuxqhHP + oo+CmO4a6hKqCtXOytbTgpRqU2hUNZQhRK2Vazfz4awLV19nXJM7RkvdHMEqjcapyz4lq3Va1KZn + aWEDiRg6nkWvpyeUyCuvP/qhD/zVoa+3ViYxa0w23m4BS2X3lLyRfnn0x/ftPfzU73JhgRrNrBZT + EaAxGqkY1G17koDtGtZ3jLXBUCzGQoz7e+jJh37/8X/7sx/822O4sDptAWgUM8gkVDDbLiXUttV3 + m1aYaiw1aoR8erw6WHTplTdYbUgtXczVQiObPHSxwzLaWxfGk/BG6V7bP7z2tuUrpH/66O8wTzSC + VPr+N37+w29//fWfOmrzSR/G752yvv2ZhCrTp68m2wGIVK0atF00ofYrhNfX47qbvXx0eDNow96V + Wo9eeeOBtj3tb5XVzah0neTedm17FQu7MGeNEJL0GVXaCNrc6k9Pyvz1Vukis66s1mG2R2TAwAQN + 3+uw//MxxQgxAEPJzaL775996ss/+TP3F6saTItYVdD6FmNuQgUTxCpgxriyronzqu/u9thkDsI2 + mL7rHgfZVk5NkG1nFaTqNiCtQt0+PC3NAHqus6yZo/jrLz3+5Z9+8ObLLy8DtYCeLzHX7Yd60w4j + U5IcBGlDoKyizdEWUy3MZPc2qrvqKt/3KrvDmPuY2nUlQNcsGEdqRuyxD3z0r90cLrSzG6c3UkxB + yKWI0FuZHRzevHmjrvPxwd71gws0LSJTfV0sQAgSbTfgQNO1BkPfN22Hrq626XB/nvvR2rBqulvz + 5csa/ulnf4e9htxzsn7skb//k/1elysNw+q0QJOoPVUoUkFDrWombx6170kqomdbnGSohCmfrglr + OIFjTba3Dunqvl1dNt+Z7//jz3waMVJEIien/+rv/r13vHHj3TdPryCs8zozSyCcDHWZErnc0/Wi + ZERDrUMDbVIErVzL+u3LF1416+LiUuXolW/vj6Fpax3HNsmqH4AZlM0mhtZqqYU2aNmsDYvtrO/X + YiVGmpBssNepX53Pf+7iBWIEhk3uulggIzEGhjVdy3rgm9de+MQjF7/12mGnm9Prc2hEM6K0DRvD + Uivjygq0yPFB9/hh+mfPPcp8DpV5RyCXgoZiVeTuWvFZQvhsALapedSkSoRZYhPe89TvPveen/ur + s6auB6M0qbVxvKcZ7se6aFQldScrJHB8zOLC1DIXrN0GxiFMR+Vh6/+ibHsXeu7mXlWmFv25ubG7 + bv3vPon+nNc//+N2kz7XXJfzf20gqGqBcu5Ga/qmdy0Vueu/zjnnnHPOOeecc84555xzzjnnnHPO + Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnwLPWzjnnnPtBxIQRIFNb + jeRMxxudHPZKIStAeKtM6fdJzxfydi3bAoXYdnP27ctNXF8+0lAffOw3/9bhHs0B83lNtYYQTZDT + n3vmuac/+KEfvXl9nneFRTGTypTtnMrWsn2c2+ncbfHOBKxW2WV5xUodBZqUKKNGvr6qcqW9VdN3 + 4v5HHnvyJw+OOEzjLCYWWCUDOiQzJE6V2zYNhsosxYXOh1/7wy9/7q//zH8TZV9TZJSKvqkPjVT9 + bgMomDLUMk/JRBZtazVv+rFBV5t1Cl1oUr9ZnVi5cOngxmp1k3Y8vPiNYXjoc4//1KV9lvPSdOvY + pm38b1s6FmjaDE3u12FvLqK0sweff+bZjzx8+drmbXEs/ai7DPN25ACbGq/nUq9Sp7S51KKmBVtI + uO80c1q4v9tN6a4Vq7saoWGmoi17e988OlgP1y/O929Z+9Bjj9LN2EscNQzjr3/+BU57br3+/COf + /LHT/uIwtCHEJm7WfYCmI29M36pbOB1slTuqhtsRFh2pIaT1eow0s64bN7fGMXeRDYT9w5umN2PT + R8ZFvJGajz3xBLM9GFmdPvepf9DeutnIuBdlvHn9cL+rq01nIiazbp7X6xCbsY7bDwhVxIRQAdGq + SK13H201QCRGXQ1DWiZytc3mRy9f/pcPf+zXn3uWWVeGHJoYguRaq1QTZWq+7l4B0yC3G9b1rlL6 + JrNZf/aTn/qr6/5gs2opVWMITR1LmM6PXd1WoaAl7r8a2oc//X9z2JFSr00rerucXHcvK3W3FHZ/ + 3P61sqvS0oSxr9o2GYvNwIX0Sy89/Qd/58H7YldW11q1UohKLhbQ6bzftYnVbDtcZiASQ+iH3Dat + llxX/U9cufIvP/rRX3/2eWSM83Yc+zaFUkuctYyzby47GZkfHX3os5+hSTT77C2Y6xhytmFW8j/8 + /LNcv/ZHP//et8NCacpbLKHvxtAq1WTaNwKGWgFU4/HmdA51kYa9w68iDz37NE1LNU7Xz338keP1 + 6cVFaIfT4WSwlaWA2NSOrWKyOzGKCpt1SZHQkFesGPaP3vn1aP/TZ3+HNrIZwuIQpYBaDRJ+6Lqv + FQSzrCGiHe3s2nJ+WiQOQ7RBKWIwFb2lANg2/l6hCFCnHLVAC3nI+8slL19lPTLvc6tRExVMEcJu + 9QqEAFandbiNhQPntwcBOeuhVkyYN5WqSb9u5aBtkw2dwRSeP0usA6DGXS3ks+3asIOQON3ooidE + SkVku99NlzNUqIaGc2fP9y+mNOYxhhS2ifdEWTOur+R6xVhtTroQ0ny2Or0lhaSohNObNw5a3kj6 + jab7xGOP0cwICZmGSjHd/YRC3SZpNbUNFLrFLzz9xP/+4YcX88NH/o//jdiREvMlXVtiCa2wd/Kx + z/zW73/4F+ffuaaraxebhJbNpjbnorZKFeq0PfxANwZVubNSW6Eakjg4uPHAfb//8qvtweLhx/+v + n1rMafaYLVi0pohV9pb/+OmnuX7rKz/zwb2sMR9HqAVVlimMwxju5XpRBaHLdWgjCGWsx2N/rW3f + uHzlZx9/nPmcoozjMw89dPP11x8gab1+oMwDzLq82gBD6ZvZ3rA+npEKllRyvw4Q57Ff5U0eU5z3 + ly79k6ee2k5I1VlUjGwVFSSjlTJyfPy5T/3Cu65+568sF3byxiIgUYZ+aLSBZPRJbVhZo4SQNkG+ + EfhnL73E4RHrzHyO2Jirpnag1Df/X6HdWpWzsTj747YkX1FYzKjDq1cu3PdK7XpmUcahv9f/wdQE + ROX0dLMn8Tc/9olffupZrI5Vk07R+Qq6u5r80O1tf+nIdqdld/s33VRvzxdqkN0Nh9Zzt3f3zNCR + arv79PBdf0/FCHLunww7Z4/sbjC3wr1vuc4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 + 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845918xz1o755xz7t6NA02jVZIGqcasZWXXmzoG + tVGLgGzLmMC9JuTEiGcZRdGCFiGDwVhrE5Pspf/xS09TKpKJSkiwQKIq/SbHNjCf0+mHPv/4v/+p + n37gtKpUjFjf4lgUYq1AqGHbZBbEKELRYkI01JCMCCIgY668Xnnt/v0/Ozj61SeeY7ZHnCFGFwWp + edeUnYKaUvsyCJZCUqGv0Aa1Lh3ykS8/929/+m++d0jp1pjOlT5N3qICaILsgsGGjhVJYRzHGMfS + s64sYxQwVKlNoF8fR2Q53/vajdXrh/tfP7zwa7/z6Z/YW9Akuo7UhpASZAAipLrt/5mEIkHatoKW + Khq5P37gucefeu+Hl7duHcr1INV20zSluM8SyNvipVFklzY3RFVzndd68dr6tx/8+Ke+/AVpe9OW + bVxQQVF2aXENNOx3/+T5x6iFzYquI0TCjGqEBTGTei7PuCzve/6xr/z0w5dpT4fr8750i1BOS94Q + tiHFOxKHZ+lyO1sAU58bDIaymbWdZYy+Ta0N1wKkedisis33vmLx9fvvf+S3/k8u7NMoQQhKBZtT + 9t//+y9SBhhYH//mRz/27sEuHL+6NEsxrNerWexWeRM0mGy7jEXUBIRgU+xXlVple3hTGTfGZj1s + ukCEfj3O53v95rj/ztWfCPfxzdf48f2wiGOpUTVqqOe7kaZQEbApBYpBOTuZpoUklVgYTw9vvnGp + X8+09GA1FyTsYqYVsihSg9Errzfd1b0jji4RZ4RZQ2OVsaAJoQatiA1U0KTblSw2ZSSrCtOPNk15 + pYk69FXbtjQ63Hx9Npu/vNxrbtx8h8pc7ebIQjGChGhlg5zrqk45d6kphmEYGwkRycMQu/m4OR2v + vvYTseGNG9w/A1IMgGgoo4S9w//58y9ycovYkiF2dHuUXDUWGnIkwKGSy9WD+/fzjXa1ahjffBp+ + d9umtRihqNj0oRnzei/OSt68rs1zXfi1F55lvo9EKlw6ev8Xn2azfuz9H/zRfvOOWRM3A2dnk27D + 2NNgmiEQIuMKgcXs4BvXb752/xGzhiaQFqgWqFbTmDXJNPU/RAIUYoioDtCIfuiZJ7/43g/8lWJd + n1VKFYoKpoIp2xB1nVbO9EGsTrsNEDXGcXhnnNOPlB5dAJhuF5luE6cFVKpIZbd8lLOFuDsfpk2T + 3WSrlT7TtnTLTz315Fc+8pHZjZNYtodQp4PZPX1b2bVd0v1c0L1SuXHz3z70iV96/hn229p2goxD + bjTufkF12oEq26byvcyXQBCCsV0w6z5oT14149r60z0J0jQ3b90K0HZx3OSmCTaUsedWq3+2XHzo + YJ9mjgRsRBTRIttdIgBWEwMiNorGZhhrk+ZcCL/yhRdgICWalhrQjlUfuhkGHVzWn/7cY5//wId/ + /Fqpw2mltorZtKFtq7JnVwqVeyxbW5Xd/kncBc8BCUjmqP3lF/8famYcSBELzA7RmIU80gaVqLQj + +7NX9mfLOr5dD+rxtVoRQ7TqnXO3fcM/93phmKI5V4XQcTOEf/f2+37xqWfoDkktDJThg196llub + 53/mwXeLbNbXgsGwGQQrLFoYj2eJPI5NS8Y2PcuOMmRVlsvFn/X62myOdOxdZDRGUMi17XSgVNUm + FG7epNaj4eZF7Y9PjucQZtKvLIAZm7zuQmesIiByauXlEF/bP6A5KmUelg3Cut807byAoEmlmEW5 + Xa4+NxRVdsMjtpuFaTQ0aqdo/eVHf+eJn37/0UE7XH99LmLYPc1vLgQpy5iumJ68cYvjU5aHFhVT + rMh0Pwln1/Qfqr3tLxuDUQDS7iw2oezuHLY3c2e/qlrQe0qRi2xfa4CC7l72LLPO3duIbW95Arst + /fzR7v54tmd4F90555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 + 55xzzjnnnHPOOeecc8455+7iYRfnnHPO3btZA0VFyzAgghlBmc+KCLs0L9sA6A+UIKy7rztvVmaq + mxFmC1ss8v4s7+3bbNkTSbGUDMzaSIU2jvOGWRgCgTK190LlLIxqKLuSZTCCVaUKiHEWla5KUUyo + QjayMRo5ytW5HP/oO3/26Zd+9XNf4Og+Dvf7WcrLZihFStUAuq3rRdFa6yw0bdCyKRHaKb3aCbFy + 1PzSHzz7jS4dN3Hchf+mCF/djZnYudz12diIVEn9UGJkGMiVg/2mlBJDK0jXzvKwiUFKaF6PzdcO + L77nxZd+7fnnue8BDg/Z3yM1udZSTCqR7ZfKNtcpMg0DfV9F1TSwnHHx8MEnPvetg6PT2NnuaHRX + NKy3Z1jORnWq/CKSc45RhvXq7do8kGG9DrKNOMvZk2SXsIUSgs069vfYn3H/5bq/qHuLPO/Gxd5Y + lNRwsLcJxnLBxYNvtO1VkXa2v67UdQmBoNibgppvbpTK+bEVqlLIpWzaGMvYryp1ztdX5eWjwz++ + //6f/fwLjzzxKG+/nwtHt+Z7x8sL/WxZFgtmM/b37ejQLl3g0kUuX/nlJ57+G5994pvvfPu3L1x4 + I4SqUurYAHI+81mn3jm7ivo0aNuC7+4IA+RCMxcKm+OTJsXLs+7+49MnfvlXWa/JYwpa67RO5fxg + 3j7hpE7n0PRYgm3v20Y2J//ioQ9fHMZZzasNbcusbYay2SbVt13qgAXguAlfP1p+7MnHmC9IHSUK + IkJI28gr58qRb/qJAIE6pbIR8gBGk1Sy5lFmB/fRLT712cdemXdhb7/07AdiVhL7WQAAIABJREFU + Ew09Lf35puwuaypaFasBRiuhnWVs2Jwm1ftm7QO3Tj7zyUcoVjY9OWPWDz1tN0pDs+DKZZYdR/ss + F9Wy7TVZEEhJ11lGbdg7+OhvP3ZTurzLD3+/ZFt5D5WwLb8KoNS15peXi68sFr/24uc5OGQ2Y7m0 + g6O8WJb7rnDfpY899fSfXLry1eXe1VnXS6KqCXctYRHaLo0bJMQxzF6LzVcvzh9+/gnaFk3TPpnN + aq0aE0N/exf7YTBtBREbSxkrtOwdsOz+9HC5ijGwHbp6574HYDoFdWWXjp7WQF9zGMb9YfjsI3+X + qONwMr3L7Te07VWj7L4ARW/30UURkDq1UqfrjICNOaQmEKgRkW9FWZ0F1Xex9rP3Odur3xzwjaGJ + q82715kbGzabsY4D0EQU9GwX2l3Z7inwDFCt1rB71npFaFqG9b/46ENLrSnqYGWzXu+lpglxs8mp + Cf0wdF1Tkpy0i3/61FPMZoRItds7zx1HUREb16cSFWhSk0djPuNoycVDDvY2szYvOhLst31PqVRr + 6GbE+qcHCzs4KFiQMA1O1apmejtp/QO5XVuW7T6puxnv2rFrhvl8WC7q0SHLPeZ7Y9AVBOgCAogw + SzT68OOfeT3Za8c302whMBqmKiHeNQN//vVCwCynrhlhTHxjwytHR7/41Oc4usByWWPDYsH+ft7f + 4/Lh+5753FcvHf7Hw/m1C7NrA01Ky0Xc9JiRx+0nGDLtjHXPSSbP079fnb58/8WHPv1bHByQEhYR + xYwgUsn9uL2FMX7jwQ+ma691ZX3QhhQ5PbWhEruUbVDUpJaCJmq1TZdeuXj4C089hcxpuyFbMVI7 + L7ApvUyDZLsPf8dw1HrnhYldSBgYkF6UdsHB0UPPPffVksu8Synd6/SmLhxngtl8GN62Xn3mYx/l + 1o0Au03hh2k3+0uv3nF7Drv9dlog528GEc1Qzv3y9/Pdzn2fXiZAAKnbqnyFAhlGKLe3gt2ty/nn + n78ogE6v859gDJxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz + zjnnnHPOOeecc84555xz7r8qnrV2zjnn3L0xIQslBoQmNVZBFdHNai1GqJKKNFn5LsnP74vsMnQg + RmO0MDf01GaijCqlEeuEmdRZG5bUMTSFfIplcrFhTEQ0IgWpZyE7MdWKVkBMtilHBbVdNnd6S4Nd + 2HJqOkpLDTRtermkP7r4tv/2safYfyd7l5FIZUr3NSEFDSb5NJ+Mu6d3quOtYy21jRIybaarNAbJ + WCT25+958slXL15ah/bsruyspc2urFmFinIu9acaDYmmnTBv9eTWkLGiNTOWfq2wrna6d/DHy/2P + f+kPuXiJvQu0C7QppqOVmFRt1Wjf0rf0gR4bIUPFCJA3q3m7/fgQ6Oa87eJ7XnjyWjuTkqSkUINY + qOiomhVAq6rprui9jYBatQIknafQ99fmecQqZaRU7GykEQhGNKa49mpklaXoclNC1nmlq2ZRiBGE + 1bqk2d6KRNd+8vknvn1x8eqQ9/Yu1MpYkHB31vp8o3QaSwG121+AGbVmA0oeYb7sXouLV9/1jh/7 + wot/56Wn+CtXuLykjcBeahqoxEJColV6OCGumdFe5NKPcOltP/8HX/jR33/h33XNen+PmQikWlOd + St+WqgWzUG8fpezmvQgVxOjz0My6GChrmyMzDbGUulodDP3lzYbVCeNArSi5FqiBGtjmHrcnjlao + BgoJmopUpKJkxjU3rr/7+o3DWvPIPDL2jHnTNjrV3U3QGlLVWDRUbrbtgy8+ytuXdK1pRwhUNpvC + rjc5VU4baEEy5DvCqLssZVUogrZMCysYrTSsldIwa2687cp3NmtAIqebPMB8cTidC2fh87p7wb5Y + mDWqceyHRNMQjVrWm/3jG5dOTxj7EBMiWG2bthgpBkjkiHSERNLSxql2fLLuCzSNiEUskZpNm/K9 + /AtJjGAkIxVC1d22oVBDwyth/L13HH3sS19kcQRLtMNC39dqBAIj3PfAx3/viz/19HP//m3vupH2 + ocUCBlWlMiWui8mwAbpVaTfzC1/bmz/8lWe5HCFQ22Gom6EEkRAiWmnuOSv7F8qmta1IDCHEBmoV + Lh79ytNPnKZp7e82eimc5XXBRNQkVlJFt4+LpDYiQdmLoic3Obk5mz6v3NF0Px/hvZvscsUFrE4L + VqEBCcrphlEZAwdHV5tYQmwagt2xYwjbneQty9YGfRkvLxYXvv3aMw8+RK1JKbCyPBVYpxj8Hf3X + eyQoSK0FYd7B8cBmfWlY2+pmzUOrdIpW02pJQz+UruHGZjhtZ69oQzeja6tCCqiATK3uADoNiTBk + 4uxw22cvRAGtfekr1bYnYi0GSjMnBJREFt5x5R89+elvb06StsVKNs4C7dvk7dkA3sttQYEe7cFQ + mY7YMKEom8JoKE3JEmmV2eraBk1JahxPpWRKpd9QetRI0HG8SIujg7w+LZCSDKJrK1Vuz8H3vF6o + kYT1ZjVruQHXf/xd7336Rdp92jmqqkLVvqeXhvmCd15434u/87e//PyXrlxcp6VukqxDB6Lo9F4V + q5QcBqPdv/Ra2Hv9R971wRcf552HeRZPweZqFaIglT4vmq4F+srVN/7GzdXblDbI0Bcz2kCrlM0Y + VdpZ2ORNTM1mxCJXpXz42SfZ32fWjZmQgsl06aMLLTD2m6Ryx7qUalPTGkZqnnbf24HpOq3DLKGv + iWaPg/lrDxytUxiH4V7Xc92UgwSlxrG/NKwvnB6TQshgZ+9Xz99XuP+CFBQihLq756hEo4F0dj8A + CEUYdrcDOp1H38f3s70iQIT27L6i3F5+tvtTmbYsrRYq09eUv5bdD1SoSg3USA1G8CXknHPOOeec + c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHN3 + 8qy1c8455+5N3ZYmbWoemhmmGF1spl8IRqzbdNwPWLaeurznep/BEEidsKlTRjeYWj8d0FSbrCSl + jESRmPJgkE6TnibuitRWAbudrxZjiloXoYgUCWfvODUsC9wYubV/8Aeh+cqVBz754he4dIW9OS0o + ZqQIMOYCtdjQNq1FBmEoFZNmsUcpSMEGpGIFsoWYSbQLDi99NS2OU1tkSmxTd1nN20zt3CNqVkvf + hhBqGDNjX5dtQkFKjPSRa/vpz44WX+zih559hv29fLhfOh2nEnlIGtuhjBIjZcQqtW5jgVOuUBBq + 1yXKhtKHFE82YwmR/SXz2XGajZogyvl7SFN70zFP+cCUYoT1upRcDpr9MGwYBoZMLW857VYQSClp + bApqoYNYTAIi1FoZMu0iFMNQJNHE19XSYnF6fFwgzWfDSBA5u8W9o1Fq0+htU9ZngUwxmogYBqRY + U/P1Gv/j/qX3PPUMD9zHxcM8m+W2IwiCVGJFoUzPVYpNRUZGgRTZ2+PwkAsHD/3h731l3nzdrG+k + ogIm1aQKNdiUXSyG2ZThNt2uSQA6jZv1xgwRghDMarVFDLOa483r/+snP8lqRakUCxpyLVSwamBg + 289uhgk1gNTtX2BVyshm/djDH3n76fGsmCIlk5rUZ4Oqu3N1qksWiTea9upsxiLlrh1C6vN24ro2 + aCWcVXpl9xZy7p8XoqAYYkSqTJH4KYUtMIJBUtqGxeJXPvPbuWkFGGmVkNKt1elbnAso0Cqb9WBm + gihqQqnMEgdRlqtjNj3DSN8jCKjQj0YACahaqSZK1Kl4uTdrA4gRNaCC2Bil8oOYllPWkrUY1YTV + yHi0/0uPP87hIbM961qrgHSNJiEPheW+tQ2LOW9/+0OPPfqlyxe+cnT0jeXBq/P9V+fLV+fLq7PZ + q/P568ujV+d73zq88CcX9n9vph949gmWbVkeMt+jhGbWtk2o0ySM+Qc69r9Y5SwvPRSDmrrjCvP5 + 6/N4q9FBpaJqqFWdNqM7trvbp6pKHMZ1CLFRNrdev6hQhZsn1Frk9g4GKATOT6NuX/KO5VTPji1s + a83GrMOgm9Gk/+7RR8PsYL2+faV48x7ylmXr2DQnp7fe1sTLQ8/piZbSUGcSjcruN6dXQqet4B4H + 1CBgUVZjoUJQTvr7TlcHQTRQKrmCSLZigipW0E6+pfHjTz2DkFUlSq3shmw7bNNHqajGDhSRMRcU + 2rQZ+9C0JlooQhaKJixQppPLsBBzbJl3tdVShwKx0Sl9XLXaD3hKnad1OlrTszT4FK9XmMVOLdUc + 5oeX6mhA0zaUTB3oIk1gGAiRGDZRTjZrg6ZNm9FqrSrx/Lh+z+sFIJSkrAvHBwd/iLJ/xPLCBrWp + 91tIMSVNA2kMwuVLHB098vjj3/iRd35t/+ib3d4by/2rqX1trm/sp6td80bTXJ8fvbF///8buv9w + cOHBJ55kuVfms2NKhiJIR7VCFNpIhX5kPTz30Y/ff3IyG8dNP6bEWAGCiAhU26xXXWQcszXta8rN + ixeYLZkfoAShlF08eJconred1Xr28c6rVJtuuc5MfWls2u9FICTm7S985rds1lXuORusChWjtkHD + MB7mnpM1/TCt8+m9bs+QN4n/S9Pba6Se/5I7/ngH+b6/nwlTJ9t2e/SuuX5+CUz7V4XK7uZFON+0 + 3pXpKzZ9Zcj8J9iOnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn + nHPOOeecc84555xzzjnnnHPuvx7xe/+Kc84559ydbIpeKhg6BUOLaq5qCFPdsQJVKIIJwe6hdGhC + vV3F07NMqUxN3KVuK4eFGDBlVKk0lRogJI0GlagB2tdmzWvL+LYNsspQNcRidawEBbZFTDGqUEKt + EjAVakSl1lYoGa26kbSepW8uDj/w0hNcusRsjkYUpJpooU7HFpJhFukMzVAhBrUp+hjVtNYgYRtS + VYiBSJixxy8988KX/9ZPXVqtZkkoZSh53mF523ieBmSyK6jShVoyhZBkMVrpxwJgtWRO9rsv7neP + PP/8X59doF2wnK+hgRDrlBsewUI3oCm0kneFwEARyvZHApUwJS9r07Vligtqc6K6jrExG8e1QpQY + qg1WSgRDrJ61wKdYeB2zQquYwbBpm/ivHv7kP37hWfYqUjGVs5KhVCAErRB2ZeTt3IsoBiVEVSgQ + hMYSwwgJCTXXpKlaGTd9JEyZ6LtWlFARomhf61RfFxGgmsUQhrFEFcFOih7vXfpqN/vYSy9wOGfW + DEiauucqmFHHIEF3hwYspqLzVFFUTMm0aXlAlA89//STD35Kv3P9Ut50tolts9oM8wYbMSNEGWut + oqGiRpiS1IYJhRx1GlIMg6JguQhcSHphdcxmzWw/hFiwKmB1qr0WCLszdJrK7YFNk7k6gcrp6r6T + GxeHPo5BaATqkJuAFQLkSozRcjXqSYyvXrzw4OcepZ1VVNEUdVuUrUzjh3K+uV7CdqHq2YrdVXyD + VNACRdCAzHe/Y0pV2vZ0NA0BLWWkWp51jY3DW0ZMzWinqipaMDAVLGNWu9L/5sce+eVnnmZPajWk + BlUayRBDxUQkYUSh7HaVUM9WYCXUWse3eMvvzoRNZd4pY1iV0VrMWFQZB4YQbsqSMKeZFyiVlCJk + rIhpjAkYU5NSlTxy/4VP/tHzDOV/ed9HDtenh/Nm2KyrhZQaMa7X8Xh/9s9/97eZNTQN2gRmhUQi + VMRIOp10cbsI7rWU/BdpezgCTRjA0HmzT18/8Lu//dWPfuzg9VtXmnbYrKMghkzbxa6MGs6/0PQX + TRzX6w4OxvxvPvTwrz3/PLOSUzCRKWmqSsk5RrXtSXm37cVIpur67l0MlLGMKbQoSGA+Ox70R4io + lDJudyszYIBWNVMFTPSuq1vN4zIEyyvtK2PhdIzzFKNiU8Fa625+zt79HqfLENY2pqZlhH7z+Q9/ + 4t23hi6bVVQwGOtA0FEQQTLrTl/dP/ibyyVdV2qJEkTYjo3cEaMHxAQwQVPYjmEzq1SFwFSBzkat + BEFjo4DEVshUFTWTqsKQ69lr1t2lVmx67B6ysmG6+ggZ+rOr9m7E9Kx6bKiKKdakbQC3SQg9AO1s + j/WaLKZN03S6XlseBZLGfhj1zuH/868XIQjYqnDazb/d7f+zZ19gvgBtoQBCjKjRAAZpj1qwwNHB + R774LCenv/Hw3z1Yryl902qfxxSa2OuGeG3W/fqjn2HRkYRuBnGOcLafxzCAKnq6UjKb9YUyLKxY + rQWGaZUXFRPBkNoKVinUddt+o2vf/7uPkvawRCHFaQGd26KnBSV6e17Od+V3s8DZWSOV3UXPIAg1 + m85nLLqrxyfvbDobhnuaYqswXdkb6ppmXP/rj378Hz3/eZq2iGzfWs5d1e2Ha3/7S6ZCrZSgSi1M + 9/8yTY4K0y3vdMWn2e11dnZP972/357bOyZZp82dghZMkQhxW3PX2084vzbs/CK03Sv60nHOOeec + c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO + uTt41to555xz90zO/7TL1mrVKVp5FkpUKEK9K036vVWoBkI0tq3lSA1U0IoiqGxjllOP00DR6ZdF + 0KnwGuM/+H9++w8+8oHZ9fUDqSVXq4ZoSlrL3a1TEyqYEpExl5TkdDCBbnl0tc/fOrzw4ccf54HL + ZT5foxFiHmKUyjh186Z3FwJFBdJZW3bX2Lv9hqYmVBQICi3MZsdts4laxs1Cwt4srjY5CkF3H/5N + oz9mUsSyZstRm7HWlOJK88nF5X+YNY+8+AKzBXuHpHazbfdmmWKdaEDLbpSD7PqWMoVBt+Xs88nL + qXVZhBDlI49/+qvvfS+n/YV2Voc1ks96hndRbkcoZVtmrmp1MWbGvJvfs1Lm1F5GrAZ06lmXs9fZ + lpm3B7ZdTkUpSt2maXexZasSprb6WxyS0dfadh19X83MTEWCyJhL02o/VF3Or1r84677xAsvsFhu + ZjNAqELFduFXrVDFdBtWNai6LXwCQi5YZIN0szlX7vvwZ5/87Ic/bjdeu78w9Ju0O1+2HfKplCpa + ETDZ9eDt9lKpZ2eTCTEGOz15ICjrNe0pXQxIkHB+CiqE7ZkHdZu7HnOVkGNS3rj1Gx/6yM/367ZW + CAWdPpWaQZWqrVJz1qhjHtft8o8Cf+3wgNgoIm8OQu6Wi21rs7o7W8+iphq21W2gqlRDC5rPvVQQ + Ypc4iXrx0mvf+NP9Qtem3JfSlyhvbhKfLYbt8BfdTq4J2bi8Nzu8eZ3rt9g/lBCkyjiWqc5rqJxr + owaqAKbTujdUVAnkO0Pd348kgIxlnKW0kjFn1qPJ3uLr7fznHn2c5eGAYgSljMQY7zqxR7SJiRhW + XTe38s9fepHVBhkYB0JDNYAE84Y2EiB11Lb2Qrst6d4epTtatT9sKqLbkLNBiFzY/+NW/1qbNqt1 + qyLCWGw6R6pgYuw2ozotvlqa2I7rdYB2b+/GrZs/0c45HmhO41Fa9RvV2KUExBAxRGq4M3FvuwsH + gOrtTaYy7TmW2s1ISljs4tC+1szeFjdNPlnsqsaAirRt2282SahvNdQpppOhX87CftTfevgTf//Z + 58DY24PbpwrT6oUp+nwPl0hTtOYyamjERsbAptdXrx7ptuI6XctuH5ippNq3s5fncxCaqAqlbPcJ + sO32e+7afW5T361UVRQi5GnXm0rEAYPt5TQQqapmSGXXseYtGsSyu6p8/x8ZDDlXn1aQ6RVsVyaX + s4duP+XsCYUUZCB1m814erK+1HTDsGkDZRy7EChvcTDf9XpRLCl66eD/s/TQ08+ynBGMcPtaf3vs + pCIQEjEhMBuZtf/w2WfY9NQRMlHZjNSGdk6EZUdsiELQaax1O406fZrVkPdT5Obxv/7gg+/b9GM/ + LuZdv9oAaqoW5PyMQZPStyt/cnTwngsXiQ3FaKTkGuKdIfbzMyS3Hzs/WzJd5ESnn6aFb7WP2qoh + rSABq/P773vja396IBre6q7gu6kQlEakXxeEgzbdv1qzWrOfCilsJ/oeXtD9xRGoeUwxAmigFIKc + rNez2WLaNsTAdNpPIyCYYGJiUqky3dX8Od/P3mi6odteBAAqthlzSG2cNsyhoGG7enenfxVAps1H + UG7v9nV79MCbbyucc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec + c84555xzzjnnnHPOOeecc+4vMW+6OOecc+7eBGigAc6nBpWyzcGeu7uQKTl8b0FCgbBtOm7fwsCo + YFJLsAwMMAQsQqkx0450mc62RzUKpwqNslicWrM8PKhjrlYwk1pDJVTEUNt2N6cfFMqY2Tb0rAVt + u6+bff2++z780he4cokuZDZWVwohJAiBGhiNMZNHAigFRsJAkwmFs6heNI02jRHTW4wwTB81yI2o + q1mz2Lt4YqXk3CUE8i5PWWXKN9+mMkUDR6OXWCsjI9eb/c8edA996QscXGbvAWiplHHdMjZY2I6j + hkpTaQrBMKUkSqAIkdqSG8aAFVKhNSJoNAJkmEb5W80gFzpSOTFGY1QkhlCJlVRRM6GKEWw7yOwy + q1mtak5WMENt5K4FNE2yUSqlUqZUKFKr2FR63lZXtyM6xZJrjbUKgzAGM4GiZQy2La1LrVp30VaA + NoSy2WQzbdsRRjMkpCZs+ppbvq7ytSsXPvGHz3PfkmWnEMnxrK9dFdMscZCIjLAu5Nv94wAJlBBH + GGvtSw2kfa7c/9EvvPi1C3tXgw4WUjvfjEik6RgzwQhGFbJSkbNXk+28S0XPHqzCINbU+qPHwzMP + fpRUCJlS6G/3GuWum/t+nHq12qpEY3PK6fBj6zFa6QNjrFmpVCBUCTVBMlMDK7WJ8bjRf/TSixwe + EFM8i9NP76EQKlILgJw9FqGBYNvYfJmC7rsgrUCgBrJQbfcFFVNK+dNhJfffN87SUHLavY5M0WxD + bRvhPd+cnjYFE6tTZ7XhjdduvdOgZnJZlxEkaQiVaIAWtOhU/M5CgZ7dSuxFiIHQ9iEVubcudIhh + tSkpNafj2Gw4DCwir0T9m198ibffT9dSjXEIlbg96BYSFWpttruWFmJA16WwnHPhkMNLXL6PwzlH + My7vcXjIbMGo2II6KwOaQqgEIwu9UKAI+fYe88OmTvX6aZFQQYW9+Z8sZ+Ni3jZtX82KpVk82/eQ + 6SnbEySLZpFcxqgEYXV8fH9q3vHG6Wff9xBVg9W2iSmlbDbmimHDSAVU0Dumc5c2z5BRPVvYsKFk + 0ESBAWiajzz7uW8cLOtyDwmj2QjattmsbDZtOHtePbfVINAP/TJQNqXZDO867bm1IkiVPP32tLPZ + NqX9pnP2+2A5a0hQmzJy89ZvfvzhKwfLTS6ACUX4/9m792fbsquw798x5pxr7b3P497ulhAtMHFs + hxgT22UbcJB5SI14CGzHlB9xHmXHP6T8Q/6oxEm5ynEelYB4SSAkLDDYpoxTVDk2BGMwCIl+3Mc5 + e++11pxj5Ie11j773NstqSVwt/D4qPvonHP3Y+255ppz3f7l64JCclN3kLHJY8rf+cRP856XwAsC + xtRoy87a8HYWjB+wATOqYmne8Y1s89MyFCg+h64bNNf5iQZGcpvXhnkR0LNisgvrlvs2KQjLzuXk + uWDrtmwW8y6jNFnf0dfxnegbvc0jnBnbi1cv5Jyn8dgVMLKmqU1LjfqL3C9KPxq/7emVf/GLvPeS + XfHitCNGdrItlegRO2Kj2qB2I+yhUmyE3QXXD3jpBd7zXq4f8vJX874XeO8l77225JQECVdxTa7i + hk/INN8pXHWZceT1x3/48c3V5Bdd2e+PvebSUrJTLX2JQItj03Toy3/16Z/j+oq+UBxpqczr6N3N + yfLjWw79GihX5kywsNTELyQVo81biCil//XDLS++MKW3uX6qImlsLsLmiv0bty/c3HI40KovW/9Z + n1iezaSHf69cO91KK+0oWHbtm6ft9qFTfP6bgRYk4YLN29J8d+0CSVD5Ql8VXf+RtP5loNAyliSX + YkwZk2HM8x3G5ACCKybzqi4Vsbu7kuXexCVX8jjfC4QQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQlhF1jqEEEIIb5Mjz9cpZY7Fzn+O + 40vI9kvrWDrrCyzVT4E1jOpgDhWm+ZdWlyKnmzAnkOdUb2Wovr04DHWgabeZaM7cx7Wlab0eW3LU + 2JZuU/rqWCUnHsFvXV1+1898gqtLrq5cUyZttTSGik+tgiZSQhQF/NTRW17ZljLrfEC+FBlPHVOf + n5L8Ix/7kUdJXnv6+Ep7JVkF6OZqqi+1cOYPCy6kzDAhCYRhvO13F4+77Wcur/72L/xTHrzY8hVJ + AY52UXLCcMHnPCB3B+OYLEeq1KVtSj2dAVuHJ0GiIsZ2Yw8fPh0OT27G6wtEMHMzE9C7h78lcdQN + M2yNVC+JyrXHqYasJ/40hu6CyhzTPI2CLk9KbkpDmp2P0zPvC7oeWoMs0oYhQdnsjlYfjy1d8vom + /etN/z2f/BRd37bbUWUaWyKnU8ZZcaEuwzIHev30Bk2pMNjYMLxtdZO0Q3O77rjefvhTP/mZy50/ + eOH2eMjKVLk50nXo2YGZ0uY283rM88cxWT+XqxnZeOj+0jSwf8w04IIkBMTkLNA7DxeagOY4ltqR + w+2nPvL9731ys6lLa3xJ0a5vO+KetYI7tdaWe7p+KFuXsoZFbZnGyVzmKi7Mo4PKeYh6bY/ela1Z + fp8gYYopttSyHTab/+KTn/g3x/2TZs0QTM1kLrTK/J4CNuerz070XYN2ftALW673T370lQ/i3qUN + Qj3W84m5Thy998RFRvStZtHnMZk3GKfx6nLrxlj5XeHf9j0PHtYHD2s0g10gAAAgAElEQVTKRaXr + FJsAr8snWuf50uVVsMm6vLudjE3HdsO2py/sNpSOzdabsL2mbBA1zdWWZ50u0tOq8i4lDnS+ZkWL + sr34H37qk2+ggyRBJGk7VAUcXTcOX9YobSKoVLdmyDZthem4/yr3l6fGMDG1TgATEZL61CSVOb77 + JnRegJZ/T2tRIYO1ZUkEheurf3Wx+czxeLRaNrsEbRiyyCm9retydcY6qI2U4Ti99OTw0z/wl9nf + 6FnTeV7k5qJ2eaa6/YWHESlZEag05+njh4/f2Hgj0+Q0p5ewdDKa6Bu5e217QcmUZJparbS2bKvr + e6f5qnIcbahBgqUXv24GydBld1cjGQkvkNb1H1zmi1YcsXtb/3zlLtfv2/q8y3q77knrXr/eJRiK + KxM0MAzMl52lInW+vpa9PpVXP/PZ3WaT4TDhgrXWSffMRfP594vXpuFm8+B3ttdcX9TLq2NrIhna + stGz3K/MxW9D6zJmJNDdBW50md0Fuy2X12y3h20eeh2y2m5XfSL7Ul4/7YBYcrIhN7cch09930e+ + brB8nJAMIDKv9Q2ralWXrjmgaE2FfnfsrswqtOZ1auMzg3s3DZ6biMvOB4Y0aKf5ZYIpx0EhpXn/ + UMrm+z/2E5+xaZK395+YmhmQFWD/lK+62r7Yxh/93g/TXJZrdX3BaFq/GzSA1Oem0kQmV8CmJo47 + 5uudMGfT2P2L/cfuf8OcdvcJH6iVuqFIayl3pIRAJ6c3Oq+03+2w65w5u1cIIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYRwJ7LWIYQQ + Qnj7zPAlhbsmJPGlWGkmNqdn5Twg/cVbI5pzb7F3Op97vYooIqBrBhmSMycfc0WHxgCWsJ4JH7i4 + Gku/H8YNCW8CZdsfrK6x1OVGSAw1LUZuPN7fXnRoYXKe9v23ffoTXG9QY6r2dExeEpJIN9ONpww9 + ts120XlJaINa8B6Kkaun1qQhc/DSMJpShWR0Tg8Zg0oe6fSmpP7iAbaWk403TUO6gDNNdL1O4IV+ + w+8MN7/2wuZbf+aT5OvjY09dxuHoJJkHqUquqlVoc946QTLEktfMlBmEiTlcPQ/qGkg2llp5tkaF + MU2+86Y92AFvbFTSnEcWmmJqLrguqWSfa8eGzqfIrJhhxkg2HKrM2WMcaTAKQ6Im9dPbL21koSlo + WydIFUg6H7C4zQ8xQZ3SThHD+eyu/+/U1rpS0Fwhd5txGi1p98L170j5lbL9/n/6izx4kYuHdaBV + Nl0Sg5YxRaxKHaWur5fmmqJ4Rc3Vlk+h3eHonW+kFUwPh6OYoZVN+q2H17/jeUrXlK12+fK6PBmX + Q0xugpt40+U41VFTcXcxZ8mUqrOVrMaT8aB2+F9e+W6eHpjwLi0Na3gm1k5S6hElY7RG8/ePxwf7 + 24uR0kiOLDVtBzex3KcmnjPaidCNVfAukZawqClt6QRXGKGhgup5l/eUsbS70HIDny9eX9q+2enQ + Ds2m0qBO4PT9fnchm23X9arL1F1TtnNndo5m370VayGYuaw50E3shtvLemBqguLkbSaZi4mTDKlI + A7RRnDyXv5lby81opm5vEUN+c4Y6cnV9DUw3hz6lp1Je/9o/9Mo/+jRpO8HhMM5H27I8suPUL9cU + AjKvafM0ZpuyjlxsOp/gcKA2JEGPb2hZ+gtTacK+Vekg4wpChrI2fLNpRuVd9le8ZXrMKfUKDRKe + imlHuTxsrl5v1vrOVDSheXnWvLQDoOJJXVtr/TYbDPsmfdpKavU21wPjyFh5epswx0QQPY3AfFno + +ZHMq3+GDpgjrWI4OrUtmuZ9h0opbPPf+IWf4eWvsqTjNOaur4DmrpTa2t2+dq+zjpQiQOOFrnt4 + PF4+fYwK1OWRa8hcILnKW7W335oZdZp2ZG6O1PrydLSbG5wmNGGZ577sv8ecH7/8/g/+xMfot3YY + VVLKhS5RBMUFRTMiSz1e27y6obSMOdaWI3ewNVrvgFa0ZVDFVZbafW56Lzw/L/56KpR/SU1iYW3T + ylncGiOZJ0ZhWG4Z5j80ozaZPE3kiTyhFTOaYbzn4QtWJ5kvesVkeeIXv1+kl977r7fX3/HxT4DQ + 6iZf0pKXbpSpKVUZhAqZusEUTVCwnirNGEf6npIRGupwHKdN3nSSOlwwy97Empz/RxoFxaGCO6+9 + 9r798HCsHe7DuNtupzYBJjZkO5Q2ZKY0L52qbJNvGCWj2vUkcTSnfDeYd0Hr+dTerd+CCrqsrmRH + 25rAxuaVXCgdbrWBUGtFE7vt45Kn9LbWHzVAsyqqJKc+PlzUw8X4lFbLqTo/j4hYizbxO0sg4coR + BhhBVYf9sctpvttzsUmsqnmBDvJ8+tLyzC/4VRbrb5KQjCSkTNmykaZKsdFJ7AtDxnMlDSJDYdow + bag9NS83DusFJCiUea98p4cwhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII + IYQQQgghhBBCCCGEEEIIIYQQQgghhBDeVfIXfkgIIYQQwluYu8Mip58Wc8BU5wil2NvLdi6pRV1e + bqksKtpAGgro+U1MsrnCPPegyxKNHWnG2I4TF5fXcvt0moasuE3C6ZB0TnEjqCEw2fBgs/NhPzkV + pu2Oyw0XGxySpu0ljoiajdvuAnStPIJqkiXYatApQFsaoGTRU3i4sVT1xGsS9zm81+m+5EMbryQ3 + Pxp0V/3x6dA/Oy7rJyYNQ0sbBIYj+cH2ybZj29FtN5ttG0gKW0GsDkPqt7YGKdPySsap5rkc11mH + 0oX1nPrpFBiQuXzhNxvf9PCr5PDvtMMrrfpd3dzN773GvUOf46a2jBc4Bu0saQg42pD53brTKyyj + Bo7IKWyqSxX4/nslUyGtT5jH+f4IurdW+9wdxuGAby52v3pz8+rX/KFXfvoTXF+TFaErNJ4pAy+p + 1oTJafa5geEmQoKKAv1m4+OSJN9uN2hFK9cXf+2nPv6pb/7wQ83++DW8poGLLQwgqOOYnh+nz5Pc + 5k4t6xAN03h5cfn67c0u6QuHA8eBjY4Dfa9zF3k5WUsEtLlX2Xa4sd9T6//8vR/5c7/9my/stnbY + r6fBgDn5jHAYDl0RaxzNh93Fk36DpvTsiaQJDQU75c85zU45+9lJd+cLRUW4vxTosoKUREuk3Lrt + VG/aVMVaSngDaCRE1e/XTAXcEVtPsKhpxnJKbZgeFsG9TS3ltM411jDq8mU9ckOUOQTt4F7avTnz + BamjyM2TJ5ddOo5t0vK5Tf9PzP/T62uSFNhsO8DIk9Vt37Nmev3sYE7HUsdacpYMqQdIheqI2mHS + qzLnmeeOu/s8FZG7g1+7v/de9B02J3plXmTWkm6DRi6qlPrtP/wjv/bdr+wf/26yJm2e6gqma9jc + IZma0G/642HYJEQ4HpvBLqdt5u999/f97Z//WS7LeLzRzbXNb2ug4OtmtFz+IGYYaHLEl0t1llPB + UfFmk6i0ZunqAdV/48njr91sbm7329a2uWt1TJKfGeDz67dOE1ANG8eLvHmhGU+OXFzQ4Yqsuw98 + iSdLVVULDvjHPvTtf+L26aWkrLS6xN9xXAxBjCHJv7L2DQ8eQtPtBvKT/e3Vrp/f1JbjyFDnNjwy + 97bBDCDb3Ks+Lx6DJbSt21my9eOgvi7IjoIhiC+/EQf3L2lq2vyx724x7mYRDgppOQJb7zmYgLWL + C4oo2OvteLBpaGwvGW8RoVktZ+/0RewXTz/zR/8wL7xAyTkpxjSJpqJyfmiGK2haNraWRRAjKQlz + mxo5KdCVXqBWE8xoKZeGLcez3AEVXBGwiUev/sMf/Et/5vGTvlkqmzrtORzycsrnD323Aoxajmn7 + qiZUvY2eOlBd71mePwlvsuatZ1yEJHp+g7dcNtK8jVI2DbrccXNDKmPumwxf6ITeU/LuZtpfdmSn + NbapkzZs2oCZnB+ZWsNO1e239Rbh95Ardd1DM6Rh7DRxc8ANsZRJui5ETahObctiMlv/woC/2UY7 + X63OMt/ERejn3Xq+X69Cc+07JtllpxOmCbdlenpe/k6SlJJJ8y2lCqrnV/e7Zn8MIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYR3XGSt + QwghhPD2KafGYRNRMdZ08fzVwJU21zHfPteleQyozHVJxQzVU0y6W0q14qSGGmqguJAww6FBvhS2 + 0/SG1akkqc2nwbY9PgK4WBMdkwEdJKNQ/Lhv0G3IU3myHyj9tNkIMk1VGptNdzjWbjN3q5c87el+ + Kp9auWvS0mCECpsEjphlpYoJnub+piY0Q+Nyt//sfu/7Xd5YPXIYyrMN4LPhz1lrk8qc0vQqdZjA + YJy8lJIRxoRDTkWw+ajmwO9y/paqnzp3yV85/SAVcUUap3qrknuuuv/+F/7Jv/hj33hNuTlOpWOC + y66zaWRJVi+9QJurxvOpkyVfaMKQ1mFSvffhXJN4QhpawWEUVEioYMuw+tJSFSjrZ2mKiQJzpBaK + o3KWtb57h/kwzAzc24BfPLi4qfX48vs/9PO/ROnZKBl0FFHMTVKa57nPnyWlNaW5pqYVFDPEkpiK + TXPjuGPaj6Xr/Hgz9tL3HUno2nd+8id/7ps+8Cc2lw9GkZ7xuEcwR+eDtzUHK0t8URxxXOcssybo + SO12/0LXPXr89PK6ox1p9P2pNXtvwjRoJStkFCnsb186TC/t+uHJvlvP+HKmBBUUrnoOk+cuPS39 + r3X5gz/zMTIyTaQ1/KqMayS4oHLK3K618iZLcXS+ZsVIsnSFF2tGeCndKog2aUkcc3OVirZ2Sj4b + 6qK4GiTafPHL2ipupzilq0AWwdkI6iOitVqXE2tCGjEXnUAhu+ETVCR7ystSg+Ho21yyBLS1C0nU + NiWe7ra/eXX93/3iL3B9jYoM49hKt5XjwGaTExXmQ6CKGkmXsScJuJeLPLYp5dImd5eiKhlx5KqM + YFgZxm3poNLaPAddaJCT4qD6rgt2+tzhVgRXRG0e4zTn26txdfHbwla8U0TVq8yxZD2l5U3mCnU7 + HuaFFKUUmuixjsfh5qq9l9G4HbcvXgxYxTtJrMuRLZeFzQeDkxSfy6m+7lCy5lTNckJUGkYu01HK + oJcPXzi8+tnLBxeHx7fFm0MyO/Wbn5cFS1QESbfTk24o//v3/KW/9rOf5gHSzxe28WV0eVtrKYkL + 4vUF7D2tJWtmLUsCXGiyNM+TMKT85OohuwuKeB3HLne7iwbUMacuCXU5Zp1rsglk2XMNMcRGchUQ + +rsN3RItIT5vfmpYxqAlcbX57Ik0BShNxW2tPhuu5+vBF2E+gc1R9Ozah/mGoJ/3+mVjUMSwnACV + Bg0FTQo5cd3fvHRxvHktH/EjCpPZttvYdHx+q32r/cLf99IPfvyjXG/JE1gT041KJSmuJgwss33b + QH3edgtg1rykIzVLTuDWRJO4D8ep33Q4yWiGzOu/MIoZZFSWFXUg2/Wwv9j2w3C06dgXikDFzRT6 + hi8hYNT0piu/uus/9OmfpAwldUezrComqvhdznwe32dOhyp3Tev5EaJk1cay4LtiqFFNtdEyabC6 + 6TomES28TVbbFrVq1ej7HUnbfrzsZWnaz6tzmk+yR8763UBoCUtepRnHkUNjrLiAkRsKYhgYHIaf + eeWDD4e70rmsWWt/06w1YkuC2tQxdYeqANkE86K9d93r0/GzWv/qP/4pBLpLrKPNa31GlU7pEttG + n0jayIIpYAoaSfQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGEEEIIIYQQQjgXWesQQgghvE1rEXn+1+bvnWS6FihFHfuSgtawNBd9fQ+Ttcfsd++o + p54uanePz3M1E58PKVPHTkXcDFcRYLuRevQ1tav2bJfUHHJiONKJX11f40wkp+XS5UIzNpsMWCWl + ZTROXVT8LCkrCHdl1nZXvDYTV0BkOU5NbK8/M41//Gq7e9SsHgVqJenyqU4NPl/Hu9Uho8A0Wa/Z + jS73uJOSpkyjVlrC0Ux2PM0Vy/Mz4jofud2VK1GQu5a2QRJsaQCKkBOaSbe6vUj72660abLLbT8e + hgIuZz3gu1HweUjmxupSgZW78u7ZECluuCZRX6vUcx5VT8c2D4GcRluQeUyY54GcyqkrPcW2Aciq + c0oxp7RRnhyOt9vNN//Yj3Nxtb9MO4c2QANXVcF8PuT5TM3zas42OyZ3/eklmiwoTDQjlW1HrbLZ + dMmrTzkXLi8Z9I33fdXhc6/mw2EzJLN1Cp2GbR1AvzeEd/nRBjl3dRzfc7l94+bI4cj1Wejz2StO + DBzPU2U0nuy/9vYoh0PfUyfUdD3Vcnr3OtAVhqk1bf0mUQ+89jkuLvCy5KiFLoOAzdHKdYLOryWW + RJElBI7pfOIFTUt125YDlbVtaYq2ZEda43h8cDg8KF0aD5JbrcslI46LKXY+RICLIZggrq7mpjht + bKrk2ri52e0e0mjSUlo+q50PkuvcWp6PKc0XYy43pVR9dl34vCznrtYxq1hJv5vkez/xU2wvxlK6 + VlOXk0szNv3csq4ku181XjvfIFkQ06wOWpZlr9mymEzUnpy6jmkkJ1Kar5Pls+lanD2fPO8Srmuk + HF/GWxWozuaCXf3sw6s/Mt7URzdJzF0ggyMmy6Xs81QTxaAZXWEYQK0krpO8b/+U2xt2l5i2dsxl + dxoNlvI8NjfAl/76MpmX117eRt0Q1TodJLmqVMh9ZrP9zz/6f//6t//56Xi86HPyNLWGe1Y1t3l5 + kfuXXnWSiJtMtV5ud48OT/+T44s8eszuwnuVeYWZHyrcPxLeZBEFX1bQZfqmJNRJrFHr1TR0ddTS + m7nMFWDx08ABo+rf+vEfJydyki4ZCDRvfc74+Vpzd0R67xfqSAOFBirnB+Zy2ufvr71zeXpeIddD + Pw3U22pan6xnbm1aOwqirJXmdaSWWSIoS65+OULN9OW//ZEf+v++6QPTk9ti5ELyNI1DWj/SF7Vf + /OiPcbWZNqUImM03FtbORsZ9HgFf96z5H8lpwBO5YQlNmqw2TanfdHVqOad5mAqnDc8NubtzqCO3 + h5ee3MhhzF0yr+PkJWGGgDjpbCs3oQmpwO1jnk5M44ZLKiTDTVTT2cAkMXxet896vyZ3Wev5+tWW + FCwhiJDEkk64Q2Gs5MRxYBhfat7Z2zjFDhPWld7qoUuMw17hMqO3B8YDw0QqZ1O02WlAvmKdrxZy + /8fTL4FlWrv63T3PvQc9kyd/9un+7K/8/E+fO55lEQTBluugOc2WvV7WHd9MpmNODTH2R7Qw1L// + nd/93lTyOCk+JmtqgDql8eJx+ManbzwYJ3n+jd/cfKyqmDjgLrT5HrGhua/Ta1Xy123ya9l+6QMf + eFzyMV2Yl75qchHXKnrb6ZMu/Tcf/yi9pi4lVVBMaYokukLKAKJ04qrMC4bDept6OgWne3B55sbs + 2RE+n/Nnyfjnz4/fvdabnvq3nA9vujeEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII + IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEMKXLbLWIYQQQnjb1riwCZY8Y7oEEcXXhPESOZbn + E21fyFwyBk1nv1oj2su3ctbe1Lu69tkj6FHIrU03Sk0qU0UUGz2dNeHUpWunXqCDqYg3zyBqw/EG + 0R7mkOJc0Zyls3soeZPv1oetv1sODEUopwcLgiId/fXTqxcff+5XX5ajilaba37L85LP6WKZX0Ic + ARezpoo4DXEzg4SleZxyv+TxlCTr+z8zyndDdf47WY8TXU8ELsj8rRsqzY4iTSbrBR/GcupVnzjJ + zn6Yk5ligndNqXn+5GntU65d5SUznO4f2HwY62POk5bLrFNHXSoIojKIr2FCxwU1PRUH3Qw0I3Wc + csK77rP9xR/uL9DakxDIZX3pNxmu01c5ZbZl6fPOf5ROk1YgZzCBLAk3SuGl/gd+/KO/+p9/4D3S + TZiUJK3NE8PWMUxtfgF3MXHUyS0hprT5s3sbs6rcHN4/9p/8nr/ywX/xz2t+kED8+bt61blBqonD + 03/8oQ99/aPHO2U/oYogxZClEK+CuSwF4CK8OA2bN373N7/lz+1zqpqdPB/fHPM2QZ358OZfrqM0 + V8x9GZj1D04POIXPn8nJu3gxu5zqH53aZqzgvjatFVPD5JRFvmtLzuNvwty0NmnFTKGhefB/8OHv + +Zs/+/NcXekmtfV8pSUXCyiq7jJPO5uqiCDK9uK3Lq++4dEj2D83nm/J65jAmx+LPtr29AmnI5MU + V5S0zklyd2rwpvMl7jSd7v+SdX4BG/ISgO861pfgrhl8L5T87jKHStfA/TxrDE1JoPDw4q9+7GO/ + 8k3f8j5uj+7brrTR8bSkrJcQ8mDKtG4MdaITxdGJBz58ffvcz73yrR/4Z7/ERdoln+rgaeugAlKF + lqDSV8jCPA3tVGj2uXmNo1VQwd2zCjRpdiP91QZ28tle3i/b4ckhtWm3uRyPN0WWaXy+0y1XsePV + i+dEscPxpcTm1V//5Csf+OAv/8pEKQANzyAuZqDoulGardur3r2mGaZnZ9Yx8cprT3/oQx/+pjaN + sMHmqySV1FpTX9Lz2peDQp+52M7Pn6eOyjrLzldUuXdlkeatlbwu1OnuGM6j7Nbmdn1G0qRUhaqC + a/Y2fwSbk7FiKl/CLcG93vb8VdF1x1fk7D9piM7b3rxK3/toCWRDd3VTW5eyW/UJxJIsFeovdr/Y + XqIpi0JB520D6U6HWpByesPzjUNgs7z0sqNJXg4wd2kJls/LpwEUnUvCAGLGsX76O7/rG24OvZlZ + S3ineNPTwjg/r8lyoW3a4euetn/3bd922zGk3CQ5ZD+bpneD47jM/7sb9PU0nZLr3F+3/S6zvPy2 + b+1yrH+oTts6fb7zeZ8Jrgw2ZsGNIuB45XKS//Xbv/2//Mc/z/U1aYNJEu4ulK9Y5xF2zu5dzz9X + mm8K3Fh2WHXIfvZkxYUG7fwO8+z7VmvWDFAh0RzNTOZJZV1JzFpTLQhTg4TB1OouZaFVhg4YK8eJ + 2wEHqVTjtn78B/7iw+Fp3ybExMlG1+w7zLKRzFhuEtZiulOa7aqlN204fz7GMseWm15ABG9jkiTi + Ok3vaVxXm3SadABVR1zmMn0Trcqv/Zk/e4RBRTd9E24Px5zzt/7Yx3jwkLLFMkV4qauahJJQadAY + Cyi9g1jz6qLzlPTmmrI4c5+7jl6ytGFKfaGOFEXcYWpTlzbnnwK4F4z3u5Ntcv8SWm7BnqHLXvBc + pzyEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh + hBBCCCGEEH5PRNY6hBBCCG+Pr1/n1JzOuTWXZ3qDX05+8C7VevarZ7+Vez+uAUsFW+vTCq6M66HM + vcfzZpyIL/VQB8TWuPWSGlQaTvIvvSF3r6y5HKu+Sfw6dX/3hz76K3/2T9nNUbAkSbp0HMYO5nag + i+HFIPl5FfFUnJxzv4rr2gG0hAJzeviZEXv2iJ7/wfV+bc+WXKgb8wGIqS2R0dN5XiKsS4HcAMH8 + 7FSqk0xxmSN/z721nvKfz5R9z49fsLXhOD/+mdG4P1XmM+tnpdL1D5KLi97mnlLAzubPW5C3+Ek4 + D68++4lOL5toktKL179T5EGiONu1hutrKxonoQIuaxbUVZYibAPMUWEyu9pecjhe3U4MB9gZSQWZ + T8ac6fWsYtVdxDFD/fp4vDoeUqFlzOYXPKVLcdTE5uNRJ8GmtRdpSyD8udDivzdz1Tg9s5jMK4/g + jgq2lI+BOWkpxXg4Hhhu2W3mbq/Nk8rvLQYm2ZwMKQvNGQe68nd/5Ef/3z/7p7+0o22qQ0pLD9hB + 1Od2spxN2vXrWy0qb/X7u2L6aV6dIsSf/5nvLDmVyG3JcmNGUwzJx+OwuSxc7d4o3XGz3YodDsei + aVlgxJ5Zfk1UTeZ1bf5139qW9m8/81sMI1MjWem6aSJn/K6GrpmlAns6AWvZeu3EAkprdF2Hj5jl + VDrAJ/A+l/HR4f1XD+qjx9PxpsvdWMelDe1nm50rYstVsxbKe7N+sPcc90zHru7IQJqv/NEboKL3 + VtLTsulLnvluVVpGw7k9cLt/+XNv7IZjBlxy6khlP95uE+aIM6l+Fl7bbOgKaXmN5/ajt5418mZP + Wej9723ehJI0weQUnneS447NJVjX+/vvF+/eAjvHxJ+vcT/LT3utLZuFJlJ2MnNkV5ZY7Knm/EXt + F7lH5hc+u4rvDiA/uxHdv3V5s8F89mEkMBco6IhnBKuYPzzWB8PURBBb934BfdMFurO2HQ4vOtyu + +wuof1k3Zr8vxNbuveLGfHfgZOPBMDEe8AtT0zqP3Jc8hd4t5PPdZCyW3UpON6LL7dRyc7q+zjyd + 7Cz3Ps+KsU5dLjg2Ni0JJyVqIyUZp5rExa2korWRhNt91+1wR+vGjOMtPnXaaCPD9Pe++/ves68P + sj55+saLpTw4tD9+e3jJpm17G+XyL5+eT1oxBTWKsatAM/E5S3968Py9OaJSDc96bK2/3B3a/jdf + +dCvb7ZPyyZ7ebQpf+NTP1w2HWS8sK/sLvqNgjM5OaeeluYkdZov3am2lBJQitjYUl+mwyF3RUTB + WptK6vz5m3yxe2XrZ/5wPuz18D/fDP8y/jISQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQghvJbLWIYQQQgjvKIGU6DIqmrmtbFWsTl1W + 6vnj7H76NHzFsOaapSVPvX7bT3z0X77y4f94muTxY11P6Boj53GwxHQAACAASURBVPM3O1NiapQk + 7bC/LBdtOiKSW/WUJnDIQvIlfmmQJQlGJ0ijJIUEdSQvhVAz8FPXdy643wXpdemli6ksLd27RPE7 + +HVOp6+563sxSwBcm+kkTDBgA5aT5vZm4+nQ3ETUrZWk7DYME5v+K7ub+m4lZ6nm5ALqk20ueqSS + 0+cuN7tXp6/1+qCk2mhqCOprmtrRtVealqa1zy9mglW++oUH/+B7v+dvfvKneXg5knPGKimDqJMF + aJbQlmiQ17S5QTq7BovjCSNZJadEo2fiOP7Id3zvn3xc35Mvbh69fkURkdqqKa6Ig5Lsbh6aMDdW + 1W2JajsulDkZe+jYbsyadmmajl23Geu0/I18CZbbXaF2ndByapkLS9LY/R99z/d//f5wATlT3Ybm + 2mwrGWozul72XfcbF9ff9TOfpvw+/pVfTo3w81/6/Qcs5yqSrF8EwRNNLM9t46lpyWQ5TTIXXFDH + BF8WaZ0Xw6X+67BcLGsO3Oei/Nl5eTes5MLcp8/u84XKuh+5aNM5N67LYf/BmTombmcfSfW5zrVB + RQ0QTZCcBC40XU52BrC0vI6B4oIrpkCXimO342Hbb8c6dLlgklWAbck+r3zjCM5woE187t/RJhIM + 9R99x/dfHWvpRMTadPiOpJtxkmno+zQ9eXSZS/Ip2bvrNlRd7C59fXdsAiKacET7JE+f7q8uL29f + f/SN1z6xr7XaRf+Lf/I/081GRhlIT0v58I/+KNuO7RW2oRReKEllnqut1lRyVxJQx4lStEvNWr7Y + NlwQRbPm8djKhvV+QxMsN3ViPk9mTnVqWyP39yZAQuF8iOenf8EYegghhBBCCCGEEEIIIYQQQggh + hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghfIkiax1CCCGE8I4S + mAbcj7WNlYu+DMOUk9RqmVOWEr/r9v1BSjz+B0GTMMcJ3XnxwW9fdOU3Xv26Zx7zTJTxTRPmQkky + Ni+ih+nmQXfNVBkm2RYVbfefMX/fjkOWjsOQxgmoE32PjyxZ4PsTyeaQ8DLr5nwuuM5p3rsXfUe/ + utBIMKeRXR3EcEWWGrU4iiUHn5OfDvZ8OnTWcKd1qZumoSRlU3j14HF9/d56Zjxdl5J0VgTcafUv + fuwnPv0X/sLXPL3hdi8uKC5mc9l3ndbJlLNl0MF0SX5uzB88foPbp7zwYHI65mfi6PIitoS12/qC + KthchF3rud6QhLmkssEnxiNmPHny1ZO/1/K0f3JF0W0/Hm4qaMJAz65Tl7uJ6mBiemrXum4n/rcP + v/LXf/bn0KK7vk6HUrLV1qVy9hHXaw9jbRLjrAe5Lgtt4jg8vHnyYDyYtyZ4kkROIrIp4+FJEo6D + v8r0b7766psvLsjlyzyBn5+cTpNz1yE+i7W+6WIW3pTD6J40GZMgXcnteMgKx5rnyT53iWW5EAyQ + u4si2bOvBoDavDzK0oZ/N6zkyyLgy5XqsKas51Vb1w3o7uPoHHt+i8X8K4djID63jWVpVd99qARt + rcXPDz8toeuWNj/LT4FkRPG2fGNmStdvK1jWBqlVRGhOq3J7Q1GOI248ffL3f/AHX7693U1jE7s8 + tj99IxfDJLSKeRG3sUOOrXYDucjNzbDt3o2FevU3+aWDiGjJ+2nY7S7MrA3Hr3lwfXz8OIMkmY63 + Lyba6/udiOV8c7H9l698x6Pd9ikdvn286f76T/0wlztQckmqTFDSdHsoD65rGyenlG5vrWhKsN8f + d/2m69N8LHp3EEt8/u6XYqy3hMDdUn8iPDf3z4b83TX2IYQQQgghhBBCCCGEEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYSveJG1DiGEEEJ4Rwn0hVIGby6Q + smt1EAFf+qBn7E1fI7zLHQ5Dt+3pCiX/1sXuqy83Xcr1yY2CoTiCqSNLpfTNMrDOsbJJdCW3qW3I + vTcOB3Y7kudCOqvIzhlFwzap43O3/+d3/5VvqSQVS74f2Ciy5J9hqYpigkOTJRWsriDJAJGzwuY7 + ztAm6qJYlTUW6Sriqpg6uWmpKbWEJ1RRWfOv6wDJ8qW5F02GN0ilPwz7bb/hchtZ699jctb0lLv4 + sYtXm0pSLi4w+43d5o8dxmq3CXG3Jrhgjgri63RFnj856mI3+5f7TKvsp/KgcBxI4qVrkNEl/iom + aDodFPZMTXQuw6aEo5N5ac5x/z996Lv+wn5K++NGOuny/nAjsNl1++OYEmrLsZ1Ljgku3gQEcdTl + YrI/crPn9dd5+WoUSingKomDsVXWqZzujsZO/e9T0N0guTFUjvsL23dScXSTxsO07frJ2pPDk+su + oUmOVS5e/Buf+BS73e931nrJss6xZM8sZ8rOF7G1Vhy+AIFeZGrVU2r40MbLVHhj/3985w98y2jq + qNPAdClbq+uyuPlpEi18XtXXBvb8vTjFnr+veIfNTev5CAFcXO63itfbofQHYXE+i1Qv394vHK+b + 8nnQWiBDxhwTcBQ5LWAmGNqW6jfZmlvSETJlWW73e25vGQbG4aPf9crXlJyePOlb/ZDmtB9TSnsf + O7RrrolqTRJmzVrTVHa7y9v9zTD6ZqPjZFnkTTPS7xRZstDzZ7+bH5rTsdaNWgEbhm3fH46Hp4+f + XHUbWsM9bcvT/aF0mLnVqbwxfd1lf/XksWx2vfaHx/w/f+pPH6+2r2/y9/3wD9F3dFt2F2WzZap0 + G4cjFE2tUjIXu021QSQx33ash9OgAvfWdnVs3RT12fs9WaeB2OnD+PzpRO99whBCCCGEEEIIIYQQ + QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC+L0QWesQ + QgghhHeWkgvHfdnu9Paw3x9SUmvWlexTdED/QHC2237wlkrh+upvffzj/+wbvvHRo5tLBdc51Kum + iPlaXF7/z3ADFVewTUltatYqQsbb/vZ//N7v/zu/8PPYWkCXu+bhUrw8DjgXh4NMk7tPE5sO6pJR + FMMFXAUUu6uKgokl07OK8DMJ4HeQuJiJIYafapBz+Hf+IEk9g0JGkq+pzyUqKWtCUpYRE+TYhk3q + S79rbqk2i3Dk7yWbs7t+SovLMr4mLnOlVgTJ//VPffKXvv4bv7rf2niYs+vPkGfOyxIORVO6VB7e + PP2/Pvjtf+WXf6UAOc1V37kZj5/mry0NVAHmaKjOxyaA4M1F5XCcum0mK4+efD286N6ldGyDDeOm + pOptvx+321THtjx5PhzHQdcC96Q2V4QTgG6qve/p4x/7yPd95J//8jQ2zS1r8mGSbmlO3xVsnSXR + Ksy54hMHauPp0x/5i3/5jz59TEahHlqGadxL0h4Zx9ZorptH2tHvuLr+Uk/cl0CqpCqaTO8nlj2a + 1m+DU1IefXLoUsfhwGG8OA6dzT1jxFV9GU/xeROxeXb4XFK3uWZt/ty6va7w7571HLhbccWX9raa + qum9WSNg6x73FbxCK+i6Ka3c1tVxjR2fk9O2ZWAiz15Ois4n2kgJt6c3pd+V42GjSjPGiTZxPP6D + j3zkvfub997cfpNZfvT4wm1b8jBMfepGbKNiVjst7m7arFmXcuo3bRiG/VT64kkPw7DrCmP9/R2h + L888f2YZzKz0fR2G6VA3uUhK43hUQYzptm43uZmZW0Y2JU9Ph5ezaq2Hw/49fXrf2A7Hp6925Zc/ + 9F23pTzZbH4b/vZPfIzL62yeS8/2cm5Yc5zoC9DWM5NY9h2/32efL0Cbp8Hzzu5PzqPms9Pk+Aqe + /iGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh + hBBCCCGEd5/IWocQQgghvMOmaSoXlzl3+4nLvqvDWCTVqd6FeOGu4BtFu68sDjC1OqZ8y3i12ZRu + c3H5YPdkr6YAc2cXM9TVgORL3ZP7Zd9han1SETSlaZhkGr72YsfNLZcXa7R5mR6y3OUrWUnTje81 + i7ZUaqsjZf4jxMFcAcVwnSOiae79OnrX/X03JWkFxOdj0+XSmP9RAUcMbZLxhMv8y5Uh62gLOEVl + Pxxzv9mkfn4ZRMndO/Ch/gMwd3cnYD1xI2M3T9zUc9UxolcPj6+92s3Bz+ey1s8QZz7l1cbO0lcJ + 76+V6SDtAnOsSU5Ak7UwuoRCDfS8IH36bhynviv1wG5bRsa5BfvC517l9qbhXcpNrSnW2GTqoRVZ + DuPukNaXnAvxc8HUAbRv7erp8NJuQz1elC2SQGWjNM6PYRmq9UV9TXn7mvSlTrxx88JnPvu+F68f + ffbJZaZLmOFuZpYTKqVKusmXn/NMt6lC+v3eNE4jIBxzPua0qWQ7/ZHdD7i+y9aTd59Wa8q5oDaP + bBa6NpWpqfkaY0/zmJ8G0lUwF0xA0HW8BcO12NKEP5uq76JT4OsnSuu1o6bF6NrccQbWi9c5u3i/ + IjlMAmg67cGcSsaGzNsQ3F02JqfQsSuSWdZSE6qCoPOm5uQqJEN1x5M9w5HD4R9+67f+R7vNdR25 + ffLnzTeTXecy3tw83GypbRjGrsu341gyW83WXNo0mavSd+UwTF1tSdM266HIMI1bTfUw5fTuPAHL + pmGgYkCttWw2TJMNQ1ZNZuLtOEypB4WRjaQ2UrQbGg3JpkXL1KZGyx1PhnZ9lfzQXtqb1zYxeDl8 + Q5Zf/XPfXHN32G0/i33fT/4kuw27Hf2WJjltKlQAS7qcVqGe/pNrQw0UTfc3jmen9FsO8JtVz0MI + IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE + EEII4csTWesQQgghhHdYKQWz29vbTcKm2pdumsai6ra0J13ucnbvzqJg+PxSSoJntgNWyu6z+Mt9 + 2U5WljM8dwrb8088Dxj2kqx5UztUu+jS1bbf/87/z969Pltynfd9/z3PWqt7730uMwAIgCQkSrQl + 6xJad/EOEhAJihQjy37hOFV+k8qbvMlflKpUORWFdrlckZLIkkmRIkWZkiVbKrsklZU4sWhTJgEC + gzmXfenutdbz5EV377PPYEBSMmbmDP371ODgzD770pe1VveZN99XECPEBqDB3O7FnP9EhVVIv1jA + kWupAJJKdQFEPc5p0+n/+85i8Ck1Opc3FXKDMqjqBrlqgvo+V+wKoIoaUBVQh1Sf86D7Y7OfQm62 + ahe276WOP6n3OQv0Vjmo8VpEEMBdxAWqaJavpviORXsylNbsKki+H41+derG/40DQAGNUYf+1pCR + O/RbuKJprp16AaCQAsBFAcg+8ju/bdum7bZbLRYwNOq4uPzKL/zCj9R6FFVgfS3V0C609EgBzfVK + sF8lrtXlakLJtL+mwK2oR9tL7DZYLQDFYgnBPkg/b+tV9XkciDqHbQUIMAz9lz79C+/uh2G7O13I + sPOoEEAE7giCoeRdE18+av/rr3wZMfaO1YO+ZuwPhWIXwy7GVMv1f2WYL2QYjzy9OUeIEYC7BA1e + DV7hXZAyHsY6hqv9MIv7rUrhCoNMDXjDdKb8Rp2Dce7MexBcHeNEuJpiVaBylY1/fO1r7+Psnma/ + KGDTV6jBAHWYQTHO+uk5h8apZKgVpaJAKloT5B7d2Wc+8XNPrXdP990Ltcavfe00eDS4QyKQ+5WK + d71qEAR3aReas2kuSQHzJgGiueQ2QlVKKcMAiwHmQRGiit3QczBG3/d3y6lJfde1Y+Nd1c282qLR + zswKGgCKWkpwTTG6yVBrE5KVbpwuR0vfXNajhFabbrd7IqWyuzSgWTRDd2lJnlu2f/SzP3P21BNf + Ffnvv/Q7aJbwTVwuYlIERQREK2RMmMuc3B7PuOy3GIBczUfBwXJ68AR57Ac+ERERERERERERERER + EREREREREREREREREREREREREREREREREREREREREREREREREd1czFoTERERPXIKxEZiEvXqZiVJ + cLuW192HXV0Oe5b0eFCXFqYiWhRIL3zpc//6+Q98/9mQetufWZ3ryvecX9lHYd0BCNAIvFQfhtOj + U1iF7PvngAEyN3JVEAT9blVy8LkEnGIpdfwUdYTxEwHACpBWMW/GvCUKJOnRYF1QvzktWhGLcPUp + AOkCB6qKukaJl6U/akJB8ejQAdJXRCDJvg8utu9DqigcQYDDZmRID3eH/ssS5rCrAgpXSNd1i8WR + A6LxY7/zW3/0ofd/39luUdyqG5AU1RGaOAxFBTqfp8PhaICWQds27nb/20ee//u/9wdILZYLQ1WY + uso4fmWaHw4ANhWlXQ+S5liuFn21FsC6w2C315sTy7CSBYgQoBRLAWL3Nq1NAEybN1aox2j8GKqt + ahWIxZ5KzT/+yPP/zb/4Axzf6t2jiMpV/XreH0WoAByWIUnEDKZYAMgduu2ty8u3DRVQqXWh15cL + RRNwjvznp82P3WqhtZUHPp6reVCBG+BrRxfCSYCVTq+vGfvcrL5hiaPrzA0VJlBxQXX0XVN2wd0E + pg6YOcK1MaiCOrarMR/eoCgVumi6blCgWTZlMzQR1WWKRt8EYgoXB3TcH3Fo0VBCsBghQK0iUoF1 + X261EeZjo/4xpdcj5FX2j2vJOaWAiqBi1UPUMtTYhOqmIu4ON1WdEvcOH1fQoUPfYb3Gevv5j774 + jA8L2z5fhrYiVSwLomqwCkAEbuNK5VCtLgmtFasoGmCO6lCBVLiYAlngMAkIASHXxqGlynQPcuNc + Na0F6gqg1tKowF1FUMs4NbxakmkPvNYUAAyog4l40OI5BIHDqnr1hcKLAH0r8NJHEcA9DyEA7rf7 + vAxh/Z9e+6Hjk3/74+8/F2wW7cc++xtYHKNRPLPyJhkWAq0ZUSGCMN1JWbUhxIiSEVM1QQjjkBg3 + TQVupvuQuR9U34mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI + iIiI3mrMWhMRERE9ag74lBm2uTE8/eh6QNCu8rz02PBaJMYgAhuDowHLxZ22fUcwkwHAQeZyfsk4 + CATBx0L13lgkNQACBDeYwaCwq0Cv6z7iK9WQ7eTsYhUktE3ph67PiHCoeBnfWebUb0rYbMoq4dJQ + T07XotvYlng61P6NW/gIiUPhQIGYQato0QBXLXZy8vb1dne5aL/WJoQGcVEccc5DTk1JudaDHafc + 1TxjcPfBCD5Wa6eytcIE4qUsFkcuONvaE6sGXfr/lvpcPt6+frZaLoNZ7vsgGHIRhcMNMpetrwZk + jPDil33/ttNbf307YLPGM0emdY5ZAwB8yio7YDBMFV0ZG6/7p0A8BGC7xm74lZc+/RPbTciDXaVn + x7F3ZT9PD0dNFQUsjZN9nIniACygvL7+gcUKl2scH48fnoeuSQvMQeKDjUHxIhIr0A04WgBWkAfk + fFxyW4tDBa5y7Tj0AxqVdPuJX/rCP8VRQBNztpAe7EUjqEBgOWuQX/qNX/9/Pvbx9eWdZ1JCLve/ + kLneqPXkxqlVQhLRCkR3DAXZ3p69rdcOmgvgMDE4FAYYBOJwoAqyYrdYnUPORY+eecfF2Tlg8dlb + OQ+qQW/WKjfOk33EV030rE1fbSNSRGrW201anSzbWMoQg8LjjQwrf0em4jgAQQXq/LgDMSWvEAEM + qihDNgOgKk21Km5BFdWw6UJcoFbUgtJjc/Y/f+ql7++6d67X78/D0a5DMfFpabpaT+R6S14MELgp + LBiqwueNCY6pMn6wzcEB4IYNmyuHTWtg+hp8umG+tuMO9emmxzDtFwCFV5nPhotCxUWnlcpNp6k3 + 3qKPb+59PQlY1qrZjmv+gdPbd3eXX/3I8y9LuvO225/+zf9LVou0vAUrCMvxmgeB5UEXMWgAKqLW + 3IdmWea9GP+Uvlu1i/l27mZmxImIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI + iIiIiIiIiIiIiIjouwez1kRERESP1EFX79smddXnVCo9PiREAB1MVJcuMIOljDbL4AJxUwegJjKm + KMO9b2AuBkAcAuicB/ax12hARpPGT5ojiAIXVCD2+bPv+8iHagrbzcbsKMVQa3EfG6hANajCxkG1 + yzg6XW123atJv36SPvxbn8XJEu0R0OAG5dQVCHDAK2BQQBRBp32/uMBqBdEXQou0BFJ7VdA9iMLu + y9b7GXcw9W5svPPx5nNeVBDGGLNBJMFgAcuV5tynJ4/+7le+/Mf/1Xtva8JQhzo0IUBlk8vpIpWh + jovktQa5oC9om3BienG5+UGXX/np9/2dP/+zghZAAuZ+KQDUg0ioQWQ/13xMm7ujODKk4M75972+ + flIV83gYw6bi8yI8vomgCkwgY/xVzPZPF9u3YEXgQDG8/WQlr9394ic+9uIf/su8iK2kEBqYQQ/n + lwIVsChx3PbFAgKg9Lhz95986tM/6aUKwjj2Acg8tGto22bd79YwLBssI1QXQR/05aKOTe4AKLBo + zkt59uS0rtfhnpjuPv7N+fUtCBBDKaXG6ECMCuCzP/P8+/rdspg6UgVkGmRVDdCAfHhXYECW9k5z + 9O9Xq498+Ys4OQEE6QiiiIBU1B5eHt0e3iMCLWQcPQZUeIFXCNAEpLYEbRYnACKqBodniN6k69Ff + lsHGK5eqSIU6FIABYggCFCCgDhYXqQJ9RauIHoCAoUw167Ovo+9/44WPPmPllg4vbs6X2W43ob+s + W8MCC3U1Ka4VOqWaxaEyLV/z9a8gFDiCBUCKwmW6uxCHmgtExMO8opmgipkg+M26RPob/jpNBR8H + ifnBCmgIjgRXcYMUuAN1fMHBs9ylYrwgAFVtzH4LIB7UEabLV4UEE9OE1rG9OHtymeqd7Y/eXr36 + 1Tt/9tPPv3Z8+8O/+n/gbW9DYwgBbUIru8YDLJSSoIghNCHnrDFB1IGu9m1oU7vI5o3K1T2LqwgU + dq1sT0RERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERET0VmDW + moiIiOhRu0mNQHoArNYSY+NAzR7aiHx0vjjKugOg7nLPEHCIw+X+6c6rIrOgzoXeKbqogCvE6vxM + QN7VrtLrfyFTXVaLFzns3IrB4QpxLBvJm21f4Ucn58sj3DrFcYvjU/gC/obW9qMiaggAdIxzA6aa + IQ5Uy6tbt8QrikFS2ZXYploQxub3lE3dv89hAJMeHHVAXOHzAfdx1DlcAIVitytxGWtKqJpWy7uL + tNv11pcEeHWB31qk7S6ngDfmbB1oArZDXTahKeW473/w+AmUPnkHWQA6Vt6nqLZMY0Bx8Fb7MVCq + RE/I6LZfeOkTP7rtmpKrIwJh3FgcRJoxPWICE+gc21aYeJh3G47ppyYIMdZudzv7yfk56nBUemjA + UOco/WzengD0OWtK7ghSsN3A5eTu3WTVxSEm+60aP1nTa/0urm59U+OPAAjJikMgD3LuOgCFOzQE + WIV5n8J6fbF0N4G6AnZ9lt272tE9hpJDbMdQeLaS3J5pm+PLNeaoMBwusOmomgkwPr7nIWs6W6xw + coLjxk9OxUMxdAFR0PqR3KSstUOqoMwjOaBGy4BBQq4msXVg6PumTfMCbo9z1hrAWKuHQPfXJAWC + ABUIKP0Qlw2Ay8357dUJOkNx1IJug5r/pxc/+q6Snxt2P3Z+98lSYrYUUQbIUNsGuYhXtXFphRnC + 9AkCdwg0OKbSs8y3CA4xne4KHAaomIkoxjXuamDZ43C5nNZhn78eNq1lv2AFuKjDpQABqJj3c1zh + xQE4xA4emld8yPiYAxBNKXXdsFw0qQ425HecNN3Z9t0Rw6t3nrzo//QX/9ZX4a/G5r/7wm+ijViE + o5VCgLQ0FysqIilGiO36bdOuFqE1uEAOPuqQPiYngYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIgeJ8xaExERET1qb9KpG9ODB5k9gV9P89JjwWoIUR0iQBLs + epy2f++Ln/vTv/mzMiV+IRD1OUB5vXHtc8lQYeJTzrMqqqIEIAAKKKqgAHOy2gIQiiPXzbBBRGob + zaUrWRzpWgB1/r/ABq/A7dXpa1uLJwHbiJNT+BJIkJuSEXVBARwIIgEBgADNOEckweFdJ4sEeDxp + zCH74ykA1OfpI8AUrfR78sacX28lBwwarpXU96FQxWBo9WgZL2sfgiKsUjOcr9qLze655sguzwwm + BjNXHV9q2KdJYWPc1wOWTdzshiMJddjJRrHbytERonhA1bkXa9CACg0HWWsXyPiGDoSA3GHosNm8 + bX152m9hOSbx4uL3zMqpKzzV5H36MwqmEBub1lVg0GAIDrOq4suIE+uxvZTFEsGRWkgZB+fhMRKo + ua1SMwBRgFrhhouL5/rc1lLVooU5uwoVALar3eKJp/+8XXz0t7+A1W1kaNCHE27PZm1wCLBow9FJ + ef0sBPXq9xSs7zmG9EYOaEyGmhDK4LGJqENNVhY2jkOtwDj25olQBBBTmw6vOpLX1nLjPWyDRYTs + am8xNUcSClykAdK32Y6HSFAiEKEFZnBDKVoFUHiKjcGHwVZtW4FarEnN4920HnPls6t/jBunRgBq + jkuF9Kj59lFEf4bXL9Fl5PobL3387bAPb9fHpV+WfCtFLQYDMmJKqO6dq7jpDopgGkwEqYqaiImr + mIhprePYwTw7XUwd0YDxAikwsf1MdfU6z9wwrXI3LKw8xacBQOeruTjUMe0m4Ptqt1iwArfxVQaF + GBB9TFsDAS7zYi4AYOPCBgPg6gZXQFwQZTHkLknTINauhKPj7W6zvhyeWAiqBy/H/XnzzbzKQ0nt + v3v/T71u5ZU2/a3f/gJun2BpZXGagorD1r2uwjKlnPuQHsXa5AAAIABJREFU2grLhlbDlOTen4np + qD/Og5+IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIbiRm + UYiIiIgeKZm+msx9vjGgJzCBjXFrQF2mSh/uSYXSzSaGIChVHHDk2uG4wSKhXZQ51TvWC8far0zJ + Xj18B59ClIdvKlW0iEIVYRpF+6dMrw8BQY+O2qGU3aYfhqqOGKNNIwwyl1ANMIEAKWDo+idPnkiW + IEvUgBrh6nME89H/mWfB2KF0B2w8HIABDlks+t0WYo4iAg0HL56OzfTy6bHx+Ov8jUwRcXqrXIsb + K6A2nrNSKxYRFZ6xCiEABQKLv/jZ37gT5ZXL87Q6dUhxKJDivb+4OtQEVZAHrHfleNEWr1H1WOV/ + /dSn0PWoCodPUwuARWgEwjjRDOIwoFw9AciG3fCrL/3Ck3l35LmR0Oerbff7DQyZm9bTQu6qUHXd + zyy4qIu6pBCLQZMc5fJPPvoi1luYlWF4s0qsQsURgdr1uLiLXP75J3/h6X5oLc+HIla0FWEctwFy + Bv/TIFguERcIC+hDCkmHoAAQAzbrrpaT41Mr9aF88ncfM68KqRkpSS0FKQhq1+Xxx1WnnjquFnyB + 6/5WQRwBFgytRojChs6GsEhQ8dwniLs/+mV8vyy4wwxeYDXAFe6QChR4hpda1GWVQq1wIKRlV8sj + OCdvHQcKQsG4DgWYjpctAHM8uaB02O5wcYm7F3jt/Fde/Oi/fPEjf/LBD/zo2d2/dvf1d19cvGPX + 3+psOB/EEI+PNg4vnq0AEoNAXOGKKnCFHYwXA6wqqkq9dkfhEAtuwQ0ybZDABQ7x8d5gvgu90WX6 + /R2UHCzIe+Nl3cVNM7QXZKD6VeUbMiWx9xeD6dohjmAINrWuIWbiJg71ADXPoW0LrNusU0onx8tN + 50iNAKsYb3fbdy+aH5Ty9pdffs/m4scv7v6bj7/0mZ95H87XzcVaXltjB40JXYZJisHLkBDCGy8J + Bw/c4DNARERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERI+l + +Kg3gIiIiOi/dC4malXm/OCY1fO5O8iK3XcHRQVyA4dFV0UDv7oV9/2TxMYTf9iPHIuMYfqbGNwF + VVBFx4S1A8EQgKvms6B4jbD15dlxgjsAqIVSvagCEh1j8dmkTtHKFnUA4Gd37+Adz0GAGD1A5M3C + u4+AwNQBjEVugVwlwMtgcaEu3qwWDqsuXvqk7Tibrl4PHXPDI52+VwAGhJuzq98txuMbBRAUQR1P + AtSb5EASqMGRE2zhR8ACMW1WbQpHF6+dJfgyhurVCoLc21Wtog4sGx2GgtynNvV99k33VNdjvUH7 + dBUIYIAGG6OxYTz7Pj6KGjBW3pNABHDBef/knfNbwatlQFoJYwYY0yuAuZ86Vk6DXI2leduuBpg6 + MNVSrS95uYjbXTld+d/YVpztkAY8cXI4Gkc+JW4dNUtITZMgK7z8ymq9vdUNAgSHOipClgYyqG+j + ow1yZuW//YPfxVNPIi5NAIc8lPGsDq9FKnB6q6+23W5P4cor11+eAI0FCHJCV7GIimo6DLcbLcUc + WgUQRIOOo2Z/igUm8/j0CA/dUOEB8SjoEp7g0NB6NblRa5wIvAHGtjKiIAoqCuABQAjIwLwsOzyF + 9Ag39q0wX7DGrLdN93suAEyQ0W0xVKx7bIfPffhj74rhp3ZncXv51NFJ6QfNeZlauFfLx0EgOqw3 + qyZmqzGmbZdXkGDQcQGRKqhBIEB0AHCBibgAHoIjuABuauMiJfPqqvMFf17xXFzUXfwmjZwrDkBd + 5m+Baxnoafnd/72quyM4TOamtUGB4Ndy8SaoAgCpBpnPlYuZQN0AdKVfHC+w62zYJEADrA5dj2YR + L7fDyWLpfRYPu/UmAifLkLvhe45i+up/+sCi/ZO/+d6vh+YTX/4i8gapxWqJbYfVImpwwEXfeKD9 + jdcJIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqK3ArPW + RERE357J1Dkbw28+FtTm8hnRfzad06dvgn3Qx5oBKThQYRFBARWBAx7gEVKBsVU9FiSnkTBWGsUN + wD2BWAXcVafKpEyPjZnM8dVjcFcDRBax9bw2ICjcvXoFwvR82OHYKgXFsWi10RCTwwcAIuFb5BTf + ODAfxoroBjgEgDtMZNwXxFYhyG5BVOBRAlKYDsubb9Y+as1m5AMyN1aBqwGnV4NaAB9r04oKSMLy + 9Bur42fW58+iLhervtsGnZrWABw6vZNAXU0wDKVNEaXs+tyG9OTR8pm7Z+h3qBloMZ/cgDklqwq5 + z5CwWrXar33yk++ppV5uQ1Qv5u4QM5nCrwbto563CbAn+m5RbMw3m9r4QXAYDMBVmHSe2q1KGcoq + 4GJb3ia7z3/q0x//V//Cx8z3wdOvBrYGiKoAuWDX/YNf+jvPuzdlEJ1CrgIVh8+98M6tWy2xWFpa + OoBxjXGL94ukvlUE8AoNkJgQMnZD27buHjWazVNqnoDjGeRN07fiipoRkgA6nmhzrV6zTWvUfCT3 + ffewLxYDAEygDhNLjUIEHgpCA6ACcXxT3KTVTl32y/kUUlZVg7mL2LS/7lfD5lsu52+B/UXtO/2U + +fhPLzl4/UEleXri/rhPv0OMweRqYhndDiWj7//Biy+9aze8fd391C6vum0bBrjbxXnjqiGherUc + NHkt4lBFtmrmZmWRYObq17Zc5hECoAKQ6fgZJMzXvfEUXL3kYI/G79RxU5vW93HfDVU/+H1tv78C + 8ek3O3WfllPABC5wgbiYyHyt2kezHUDbarfuFgGikPG8FqwaHcxCEKu1eGma5bKK1+xdjUC9KO9s + gVyHV+8+d3T8Rx9878u3b32tWf0Pn/s8moRaEVWWi6TRXORwa+XqXs32l9TD2y+5d0b7dC/I9ZaI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIvg1mrYmIiO5j + 3wt0gUFcUHXMngFzJEwccKkyd2SJ/qqmLvFBMm+M7oWDUJ1NYXWTBx11pLeYelCDOUoAggU4oAaI + IRmaGCq89AWLpCi1OgRi4lWhQDCIX2UpfUocIlVEQ3DAHVVl/4Mpa60Yk9guKKIaYcUdIpZczQCY + wgETgQIwmCAbUggVxTxv6xlSQV+wwEG28VpTdI50XlsB9UEPTwegEBRYBQANQDwIhyZpARPY9Ewc + bJDsj9C9q/bBQs6G/FtJxp70/JcEGBRI4wM6/tdgeiQAbQN99u9+4ff++Kff08Zah6FN7ZD7FABT + n+LUVQQCBEMAIPBcAE0iPeC7zbtD/e0XPvjRP/lzRztOCx8qUtifZgckFK85oNVpyXWBouQnLu+8 + vdsE1a7Yokl5yEHhBnMP2rj75eLkD59c/eI//d+/8cH3v31t1R0hlGAAYoG7mdq4rZBx3a7jETBz + FyAgVTxZ4q3dgHKREK22Gtprqz1KhQVJKmrWhbJDn2+dXx6HqUErglItBa11C8vaYBtwnhbnyyP0 + qg6IO/y8H1bt4kGf4jid4AgELBalH9oULW9tnGkOcTimiSXX2r50PzHBEYAgQDUEQUym432pRdOx + xQtgXm4VqPs6LwRVrIZchtcgG5STGsUFkjAABk9Tz/hG8LnVfVXqdRhQARWBKioAhIQMKMJc9X2g + 2zOOT73nY6aL7MHXw3sxg/n+H9dcIbA5xl1LTjE5fJwHAWpAhbvUFBx1QJ+x3eF892s/99Kzl5sX + aj1Fxvb8WDVFR3VAVATi7gWuKupeoe6oASKOIDI2q8fbSH+Tq5juc/cwuI6da3XYeOzfcOU+TEHf + 4Kr1wZaJ4c0v4epi8OluyqGO6PsfAQflbnUYpp+q7yeb2dQIFwBWvFW4C+AuAndR8eJBoIDXmiR4 + HiAGnTLaQeAZQA3qq3797qrv6LofSfpHP/Gj56uTFz//eSyWgKAVDfFwN6qgwgo8IIzbGHyePDLe + T5qPd3TTzgWDVkCBwN8YiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI + iIiIiIiIiOhbYtaaiIjor84ELnNNluivzK+agVcFXodDISZ+PSjIwfZYGcuDDjXUhDknLRWCIaZe + YsllGbBYaO5KAIIEm8+4zUng62NgzMOawMUPArECjGnLsYc6fzCg44vnEWbqY+zZXCAO8THxGJIG + Fx/6vLrVPLNc4PISt57zAmlw7VMOHIY/bf76YMvWsv+qwH0auWNpe6wdX+uOXn+DN3tjVq3fcodL + 21y51jf8eA6QR1TEcHzrlaBPA09FyUO/SKgZso+rj1HrMZmM6ZSNo9hEm1qPKp7e7bBep8UpYsy1 + pjQGta2aQiEOERdxIDtCkIhqyD363VPD0O6Ku6bU1qGX8YMUcOmt6OKJb65Wv/g7X4Lm12J8Klkd + LLrXAgjaIKLa27SZY6933jqoBJe6zVgtVl230zNByRh6Ta2NIdxJzf2Q2kVfhiY2YUyibvp3DaW/ + e2EBtaIJCFCYtSJQGapvHN9s48d+7dewPIIBwYdht2qP+pJTTA/grL4JV/F7H9nPUV67vr35GI0R + 5TFhbnJ1LZhytgd8fqFMFV5kQfDy9uUKd+/gie9pRUquIQgU+oZa802xX9jnI2BAEBu3VxxBpjn+ + oDdEofujvc9XY3+PNq4982r2xj2AAG6AjifE3FJMlkuIsctdaloAnvsYFHXAdo1+wJD/8Qsf++u9 + /9jF5jmTvH5tEVI2pGBDwb2zV+6twut9rlkHm3b9pwdPtv2z7vcO3/5HjyO9Xuf+jnb84IC/4fny + hq/759j18XH9c2GNWTMAA0T8nU1zt9Tff//7zt75zp//1f8TixVOTxAbpFRyjssEYBiGRdM4qiBM + tz5+8H7T1Wb6q4yXrDfdOSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + IiIiIiIiIiKiKze0akRERPRo+dw+E4c6xBEc4Z6UoMDFnZ1GInpzAigQgDAWOwMQABEEnCXbHIXV + 6cmmAtlSFADFK+DjyrO/U79nnXHAZE4227XH7/1suVY7HJnABFVg8ztPX91hlgRpV8rXXoEu4CYJ + FSjQOm3R1R9xFVcxiEF87oA+eOPWRqAB4tgf3SceBQ4rsAEYgCosOz5+QgC8fPxLv/XqM7e3CY0A + BaGJOXgJVtWqelEUnWYBAJdpVAeDOtRwPOAfvfBxnG9xttMUIGZSe3dX5AwFYDrmqgXYGTA4Nhf/ + 8PkPLweBqwBD7kWQYvQKVKQm1CRfb+XfNwHLgOXyfHV7nVYSQgrRDUep2WSHanAEn8fdwfgzt9jE + BMByh/7Zo6P/5YWfx9kafV/gVQHFMPSALJqjULCKMcA6qxjqF1/61NOvXTx7ejI42gVyhYhDS+99 + V62JiMsm334KMaIxT8i7vk3LWnP7MJvW9JaQexf8b8GBqpbDvJj71BFvqzcvn0FWGLypSCEotDFN + fX9vd/yR2l8fp61SQMYLjFbYABSFK+AIdm9G+gFtj4ztcAMqYFOeePzb+IBjvuI4ACtiRRCgwdXH + qLEaxATw6gDy0AVVmC1CG6CAxVqx3eFsi9d2X3jfz/3xT3zo/d+4+/Rf/Idny3qzflVFPEgR7WXZ + I1R5aJdWetgM2lXxXo66/l3ryx/4f//vf/fihz///Pvx8l+gO8P29bgMA1C6ehwX0ZDcInpBD7fp + FnCaFVGQgARLqAlFpSI6whvi60RERERERERERERERERERERERERERERERERERERERERERERERERE + RERERERERERERERE92DWmoiI6NtTRzDoHN5zwOEmbsyFEdG3M5UyAQFc4GNKN+jP/+av3w322sXl + cQBErDogUQIAhcm44Li6jG3NiQMmXsVNLLjtw5vj065qm3PvcCz+3lMyvVazFgAwcYXAPCmONX3/ + 4vY/+8Snsdvctwzth9/NmwB/GL9a+EFYVAwRFmAQgxaIATYeZwMM6teq3/Q4GIdvEJzcfuX41p0+ + a0J17IbiYi51vAxPw3Jsql8xiI0Depnte9YbrC/RLGude7SiFUgJw2CQgBCGoQc8KADH5cW7L9e3 + kCokw2JUVR1yiSGEo+W2y33E11eLv/3l38byCDF9+Atf/PMQc5tK7o9T670dh9QNWd3VIfN02FeE + Hd51JS0053y7PQ27zTs2PbKMde3dMFSgWbRwASI8os9iviiGiuPz8yeG3ne7GLXv0LQxe+lq17bL + VqX2WFf5qjlunUJybyUtl3BtNChnwONmWsNl/DqdPvWrr9PTpnUbJu5i4/q/F82e1cVXPvVL2PXj + I7UCucrNy5zL1SUELqgCgUZgLEAbzOY904fS6J2unvc+OG5GUZigzJddc6BCDdNpE5jA9v/IZmYi + mpoGXlEG7Dqsd3K5xmaHs8vPvPdDv/uzH/qh187+2tnFu7abdy3buOuOAmKr62GQ1FSJ5sIw8Xe3 + JrZNCqHr3xHwzq48+8orP3T2+h987MVf/tAHsd3i9bvN+UVbC7Jh28PGO0idgvAC12mtAHD1oxmH + DhERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERF9W/FRbwAR + EdFNN1XB9mVKMROYQwVXNVciojczdkoFEGTAgQgNAVjgbIHnbIX1FnB3OFxVpeZ9IloAd923e00A + sQqIwMX23ea/1BqkDpveeu6FyvQWAs8VVvvjOiywQ6tAAVSgAdNe7FvRKteXR39IEcVxA8L4vwoo + PFiFh/HwVG0UgyjX5ceTwQ1tQj35s8F++PapvXYRUrusNVuBQNwcUB/nh43R6LEh7YJBTAVmaCue + GzY4u4MnngvAttu2ixbwccw2jQLI265dLQHpq7e5+8KHnn9vX8K2d4QhWhYLBYuUSs7DZreKuJPS + f1w2WC6gLarg5PQvnnvmHd/4i3aLmjMgqGXRLHwYcBDi3U/O2C4t7zadrVrd9hdNWX7/sMBFh3Qe + FovYpJ3VpQa4WdEUA9BiqFIE2+3K+0VyVIO5KnJfFGhWy9LtesNRavu0/Htf+i2cLksSVR3vTUJE + 9SIS8TCK8/QW8Km/Pi2l84I6NmyvutUuMBkr176fBQCAAACw4JZysPM1pCIgAzKu5VahN2owGHy8 + ixYXDFCMa7trgAVFgTkABRziGh7wJaYCBQAQFftLXoEZTIEAFze4AzZeCjPiuHUQg1eM12YoFA5o + Cps8LFPThILNDruCs3OY/ObHP/Ys4oeH4TgPur44WiXblrwrURCWTdcNiGKoddcfNwuU4cHuMz1C + UnurUbGIQLWkyIM9GfpFn58+3/zRe37yteOTT3zh8zg5wXKJZgGPYzbd9dovn+O00H0D/WCKs2xN + RERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERN/ajWoaERER + 3Sx+VQPzwzKYYwqFKaA+N2uJiO7Lr75eLRhB0ei60V2tUCmOCsSjk75mABAIINNaI/e8mcvUPVW/ + WnoMbyCAwO5XNVQfk6jTLwMuY5WzRI0KtCHFPNxShfViXYSF8QOmmiccqECeXviww4kBpuN2yLQH + FajjRozHw7QBIhDmyis9TmqBCpar//Gf//4aWoCcezMbZ4Q6BFAT8WvpcgHEx9i1w7Wpvro4/52/ + /WkM2wCcLFYB4rmM48EFQE3LJTygz0dWsL186uJ8cXG5CkEgKSURBEHJOS5To8gVZXH897/0RRwf + YahYHOPk9Jf+2Wfv1Nos2xBihYV2uR26q7k4TXUdR2rf71KQpCjFljE9keLp3Ysv/9wnoFH6LsKj + hmymIUAFgPcFFdh0/+iFjx2VISUpZilEMQmCoCjdbmOIq/Y1lVdig5PbSE0IUWG5OBSAqbBq+vhx + +OFNpfo08u9hAojpOMIcOn0LiAf3WOtCBFFKGRzIDsTgwSH3uVY8SgcXERlXbBsvNwrXeVmfLzz2 + cO+3BT5tDa4u5D79KEMdiECcHhFA4NO/sJViAbiVtFm/jt0WXfeZ973/Dz/xqX/7gZ/9maH73m9+ + 49adbzxpZYnBtzsVadsUovbroRSslm0tOSmCV73ftZ2+aywajDd93WCqerpoVqWebrvvvbh4z3b7 + nrPXf/d97/3MBz+Au6/j4gLbHgXjoKwwQ5k77MA8b+r8B2xaExERERERERERERERERERERERERER + EREREREREREREREREREREREREREREREREREREdF3gFlrIiKi+9iXwFxwn4aewABxBENT75uTJSK6 + zhVjrhYAFAIgy/FiV4Zd9ZhSBLDbRchYrT6gUynTVX3KVI/rT7Cr9We8rb9vY3r/buIQH1PXJq7q + EJuSqBhznYIQFCIBvhSg9ur5WizRpqTiWFPsAR/b0VO61x70gigwcZOxchrGeLVWjMdW4RGiAFAQ + CsQAt4eaQaX/TAIkQRLEBumoi8c7QCRoEN03rR0KhDkuexA0l1Q9VahLNDwZ0WzO4B0MyBJMWwkB + CI5S4CIuutlkIOH1u7/8wQ+8vWlSE0vdKbLthjggSVTB5S4XxeBydxC0KyxbnBxDBcsFFkfWnq53 + fTZX0Zz7RdTDyTvH6QEgAOJo2uAVueRdd/l0kCf6HS63KObdRoGgakAQQFCPG+QBF+d/Y7e7VXy9 + zctFs6vZ4RpiMZjh1hPtN0r/H586+chX/jmkRW2khmAISYpi8FJROfwfRzLHqg+XLzn4fl+5Do5w + PXod3IKbe12uEjDEKH1XgsBhojfr338qtIf2EIcKtHHE8ULj4+TRAISpZW3wB960ViACDRBsut7t + L6nztU2gAlGHGhRXz1QgIkQEQA2wGFVKj77DMOCbr37+Ax9432547pWXvydf6p1XnliUIy1le9Yu + jwoMiEOfvWgjYQHYulsBi6X2eXi4HW96qNRROySFB01trNVyN4gAgCmClHB+/v3d7gPr3b/+0Ed/ + /cd/HHdeQb8DoCiKmoAADYdvCAsoQAkoYvNUIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiIiIiIiJ6czcra0RERHRzyP1KYK5TU1bHsqYj2PwQEdF9ydVXvbr/VjTN + +W63PDpaqlrOEAxWPajd07SeVxsBIDa2TueOqUCm54hPbz4Fpr+DAqj4td8FYohDzRW+K4PDpOzQ + DRgK/OCNHJg/yAED8pjNvup136er/dZzVGAAqqDOZUeHXsW9x002Nh0fQ4JcK6KgaV+Oi+3RE5aS + 1TpG2V3uc8ndP6iOMKavYcg4QUXeYujhAlcNAYABMWLX7QQ4OmqxG7C+/OHdRjabbigxALBlkCal + bAVBl0vsgO3qicujJxHbXAwK81pdkdpvpuV6ceSLBHgxc78K4YpDTQGYOIAAoHrZ1SBoIo6agH59 + u+Rf/vlPohtSiIKavTgARXVUAG6f+9Qnn7m4CNvdcUDOQ4oIKkMpabl04PKsx8nqaylhtUTTIjuK + iagIhlKCBIfzBuWxsz9lY9ZaxvX/arnW+X+mjnFe7F83dt8FsFq077HrMPQnTRR7KCvzX55DHXH8 + DvtWPeCCOv1t3vEHvwMy5udtvna4jde78YcOgUyXWYGODWz4FLx2QRn3wCqGXtYbXGxw5/XPfOhD + v//8C+9+9c4zF2dvq91RKbcSSjeIQgVlt0khmVtq2gxzlRg0AQEom9o2D3yX6ZGSGBtBHAYTkTTe + wtTaJqii9MOTTXq61ie/+c3ve/3VH9ue/d6H34e7r+Dsjqy3cRjEINDxhjA4AmCwCpdx2Xd71HtH + RERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERI8BZq2JiIi+ + FZOr0J4LqkMEVtGkBIhVKAJyYTmViL6VOWcdHWOxcoxCJwk5Z59XEFct8LHRO9ZofXoxxOEwd0SV + CEhFkGiapp8C43PE56b19I7eNE1f8tUDV9s013fnh6zWCN1VXxy1PYZUyj986efR9bCcxRAAAYph + jGqPezG+cH4zeUhNa4OgABkAEAwxe3TtgQ5wBcq8f2pAhTDu+NhwoECHIL0ACZ/8rc9/7dbpOeoU + rRbY2DKXe4udLjIHnKeYuSoWOX/mIx/EZo1cIUDNQCliFVi1S2RDBmr5ygsvfO/d86UDEcUhAjf3 + nAPUzEqHutSv3T796Oc+B09JIxzaJI2KGF/6nd/+D6dHa1gQG2ejvOF+wAWm5gJ3BEAEZrBSVdCW + 4Xt2PS626HqFR0GFdTAo2gKUenp299maV6JdRYpiBdW8Ccl2fQAWQC16ubyNxQpt8CPxtnFRcaxC + DEAag8H0mBhjtAFiZYxUR0BVY8E43nVqV/vVH2AMMF/9w444xuJy0w2//IlP4nKHXBOAAuzyjbpf + VSABCYCZe+m9ZAUU1TAYHMA4beZn20O4xlxdLG38BUCgCgW0usAVVVGBgmZAqIAqIkrtAetRaino + Da9d4Ovf+M0f/4l/9Z6f/OA37vzQ+eZtm25Vu6DVHANgOp20EODIFmuPviTLWgrcRWASRZAf0kWV + HgmDDq7FmwaNDAWOoNNVMNTQWNQsOtRlqKu8ebJb/+D5y3/2Yz/8ez/5k/jay7jbY1Cr080khgqz + Cs1QR3DAJSNk3v8QERERERERERERERERERERERERERERERERERERERERERERERERERERERERERER + ERER0bfGrDUREdF3QGzsZUqAC5oUNn0WDRqjtA2ahtU5InozLgchUYfY2C6VqQ8950hN4DI1rcea + pkPHMvO4wKiq/P/s3euTLdlZ5/ff86yVl72r6tyeti1NAAAgAElEQVT6SN1CCISwhCBAdyR1S93q + boSEYBjjGRwzYxwmHP6T/NIvIGbs8Xiwh0FIGKlbiBEjgoGxY2IIbMbGXKRWX8+lqvYtM9daz+MX + mXvXrtOnpa5G5+i0+H2iok7Vrn3JzJ1r5drnzRfw7AEQRyrWqSJWkLGQibv0St0Xq+WsbmKlALK7 + KlynTdrdXadNM1Vt67Bc9YBfasMjqx6rATATGDJgUB93oQYiEM8eex9t59upq52BwdGlCn1B6vuC + iAxAkUvPjztvOsUBCQ6gCbh6+a+a2g6PfBo66mPmVWwq+jqmIQPAdZvwNACp4ND8PWnAi9+CGQSI + 4nCHFRSYoCiGhPXq2uL4uqW6+NgGdsGYrwWgpk2FVYj/dxVx7QrqCENJnaOIAFXAvHnh6PDUzQ0h + IJf9XdHtyWq+v0hwwGGACxobHl5ssEkA3IfBNoNvgmgwoEtYr67lPvS9wtq6KoMHQZzP1yUlGEJM + qgut/usvP4vZASok4Nzr36/QPH0XBVGHx4icDQD6vuu6umrvvN/ujd0OAdt7q8URRQ6LvX2T0PUw + gwIpoaruxz68bgKU3kKCDC4pNVqiZCi0hoQxa63jRcbgq35wvcfXGgdggEEM4ysrHFPZupbg4/U4 + OQAEQNAPqZQSK5Xl8UHXx2WH51/8Vz/72f/w1Kd/6njxoZwfOTm5sl4feg6ac/bt/DK9W7b33un2 + liKaNWQNRcJ+sJy+Xykgu5PbgXHudgQ3da/Mo2NW8FCP9w79u198/k+ffvp/+dijuHlblz36jC4h + yGaxCIB7EUCgogEQ/pcvERERERERERERERERERERERERERERERERERERERERERERERERERERERER + EREREREREX17bJwQERF9O+pn6UATDBkSYp/KgYQ+Z62b232HoBBeUonoLnyKZG5jnLb3e0G0Vz/C + Xqvbmc0cUJlatUlCP2sBvzNcu3u4AEGvPfTQ8dB7mV7JHAYURVIUUd/7OBBUIZKGEgUHR7Ph5q3r + p2usegx9hyErPI4paYcDXYmG2qey9X1t54qmnHJxASwDZjhd43QVhs0cm7ZxKIaAQSAx3M/tor89 + gTZSzVAB2aTgYPaPnnlm6cEQxqb12H8NjuAGwGXqQwMQnBtObjhM8qPH66/+/C/Cht7Rp6GgOEzG + EzYbbt76lx//+BX1khHdopsDRZAURcfnFBtwYvWv/NHXcKWBZlQITS0QuKEfMD+4OZt5ewhBBkIN + v9tgkLsN6iKoS3lHl7780UdR+iBlpjKTGAFsDIvT33zisToPSVEE3ZBEECIW6/W8ndcIHptbs/bG + 4QFmM7fsAgWib19LgF2Yl948ch4UIkAMCjfEeOXKlSF1r3X/6XyT7UVle0XI7pdCuHLzNtYr5OUG + hjbc98n6O6trRTGc9njlBJtTsdPiXfKSCnx7wVun3iBVU2e/t1Xr6eKq5gElYFAdoAWqAIYiBTLO + Ma14xFrQK2JThRDgBiiee+mZD3zoTx999EPf/JvLz3/jsuX14rQGoGk88u4AQihVsCA2Xk1RBACi + ozJEgzoGlT5orzGpFg7f718KC54CesAc4oju0RFcBCjQDM3QAgAu5mIufYdLZj948uKHXvnr//On + 3/c//eR7cHIbyIC3ly+HNMzdAgoAWLQUv7c7SERERERERERERERERERERERERERERERERERERERE + REREREREREREREREREREREREREQPPkZyiIiIvrMxEjnmafs+t7E29yY0iy6dRKBWXlGJ6LU47haq + dsChDgFM4Jh+lrss0McONmJUAXoDRAqAul1WEfMWQe9aKs05Q/DiKy83ItJUyRFDcD8rAds2EgxA + HcUMYpVCgW6xefjo8OGhfOXTPwfDDMFhm7RBFATBMCAEFKAA9qoXv8fh0dylKjZtkABU0dCd/trT + T/3Pjz2B41NZrdCtyunxbDsrFyv3dmvou8sBgxRvoBICmoDDg+N23sd5UQWg46jZft9/pG9/H89t + dcxcm+XiBwVIXZX7pqodEiGK4lZQErr+x80vC4pDAXUAehbHFhs0LudHNw+uYtbmtsptlS0bxNxQ + DPMWTfjvvvCFRdN2dTMYct7flWlknP9H4QpXYNrIul+/q22x7mXog2cZlxxWcLr4oeXqSKU4sqOO + UEVKOGqr1K8Rw+2cTtuDT3/pWVRRjg4MAOwsqu3YvRC9icQYYQ6gHxJUEcO3XnoxVnWR15hZXccT + SacrhbnABQHQfnjEy+effhLiCutS78CD9V9ADsCwXv6Ln/t7//xTP4PTBVbLkNY18jxABZu+c2hT + tQ7X18jDf1cZDHAYkIE8XnoBAWIIcCBgSMkFCVa0r5HDaoNlj5du4ZWbf/D4J9/7yovvXR2/Y33y + IwcHslkfSNA69Akhjs8OGcvcrtuG9nZOs+0Pu3dI7O7bSN9HFEVhJm7nl2S7dZU4AIEH9wpWNU0d + sl8Zyo8i/+jtl396WP7Lj30QN1/CyW1ZrTBkZIH5uPxTVq2JiIiIiIiIiIiIiIiIiIiIiIiIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6Dt5kJpGREREDwyXvTbkljgOZrUIhjwoYik5ieSH + jtAwa01E34GMfUzBfgVa/FypNLgF20/27t8VQzYJaNqQi8fYrov9V1/8PNoIvVvUWlAUEFy6cjk0 + NXyM3loIEACy64Pu2okwAKJRtAICkE+XRyldXvfYWL3pG/isqpf9ysXQ1tPjfPp+93T3veAa65n3 + boMjZ2AD3/zQrRsfWZx+6SMfxzefx2oZokpKMWXNFth1fLPxVES0dBsBoEAdXpodvFBVSTUYgiE4 + doHb3WAxmervLiiiDq1CJRJa4OTFb6LfaEkoFhGCecwmlWJz+tVPPfH2xeni1qppplc3CDC9kDhW + lf7F7PCpr30NZgmGIBJjNqjUUIUCQXHQ3jicvRKCidQK8XFE6K5Rqnsb7BAgAEFNx9urSuNm8a+f + eArHPbriCCJA6r/65OPvSSWuOhFEAAUpo6pC6hIEneU0a17UFu0h0hjTNoOtgU4ABzLguGvwnt4U + VHW8Xlx+6KpUsYiY3Jl1dqiJjPHyaUqWqW4tGvs8XEa5MmxwetygoApF9T5N1K+TZEgPpIf+5huP + HW9+/8OP4m+ex8kxlie+PBWgapqUkwJa4CnVKvf2jHbAgIKAKS89Dtfx6p2HAQptkdAD/RxZcocu + 4YXbzz769J9/9In3Dd3D6NJmY+7r1TLAZDbPCQoxw6ZH20BRIKWEktUdKhZCCeJSJCQJhqCmVfGm + lKaUylNwxq2/z5m4ixUtLgWSIUXcpzWVAy5iQV0BdQFKAVAKLHujNrtx6/HljT/72Aee/cD78K3n + 0Q8YDKgl1lmsSH6wxjsRERERERERERERERERERERERERERERERERERERERERERERERERERERERER + ERERERERPXgY4SQiIrqTC3Y1SgBTzg4AsNgMdajq0LrAoXIw+9Uv/hYwQHlJJaLXNE0nbndkrV0A + mWaYMdAbHNvu6FSr1u09qxomKH0RANmsbtFENAGKcwlsAQQOBI0o+XS9GnJadEUV2d0dAIJBMb3Q + bq6r6mqZEhQa4UATpCn5KGWsM3LAuhdY3bQ9CtTOfYzYhlTP7+0945AqVJVES+g2WC/e2h1fv/nC + j50c/x8/9/d+/aOfxIu3sR7QZ9Hoxibom4pAmgAgVjOkXKygqX/+i7/98tVLmyiCs8ECwAUu08AZ + u77ba7cAYmZdv9GIt149+rVP/wy6AX0Wj4IICcgFIm+1Uq1OHp4HL8A4FsQBqE/jclXJX125hPkc + R4cKZMspp6DBHLACFVSKOj792184jlV1dClnwMdVhDh03MJdRX5MbhfRMZgrDnEMaXMk/pZujeUK + aKWIlIy8ubxcVIvjwyoqUMWokACUVKoAANlsrXp6/RrmBzg8BBCmuWJ7xm/nAXpzcTMXFEOsQrYC + K6u+W2zWpigCkzF0u/8ABQQCF5RwNp+743Ld1kN/zQoQsRxae/BWqsXRddgs34n8ttXtH37l5f/4 + c5/7zceewmJQaOg20b2OleUcQoj344TWvZ9UgYApVA9BnNXFhwirkepuHVY9bhz/s088+sef/tS7 + nvvWj2029a3bsi4xoprLfC5Vpf16kbxUs3nKCDrNBooC2HY2GwdqwG6yABQW3IIXdY7gvxvGk0x8 + HNq+vYqdzeswQYaUIZdQV7Fuh8Gtsx9o8dZl/85bJx9cLb/+sY/9s8c/hdUat0/DkCKSoewvzYiI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIXu3BKxsRERE9 + AIpM+cAdcShwqalyzrmk3otpNbQBaYWjGcL3bluJ6MEmAGAYg5w6RUjHryIwwGVKV46Z2/Hr1bqM + DOi4fpeQVdHUWb2I2t4rAXDsOtMe2ro+mIWI4ohB3aYGcDAV110RGIClNAMs25BQt4ImdiiXY/0/ + PvlZnCaUyk9WAApkhVzG4GfAmOh03K+ArgCCTZeH8Rie9v/io489MrMaw7Vu885bNz/+wuprP/MP + sEjIgEM08iPPm0gBeiDr2IAPQRT9Cm+9/I2rB6tKXaYY7FiAHa/UY9k6OMSRFVlUTMXUxdum6gHb + bB4aOizXQIWClAym2PTYdG0oTZT1ugSZzuDgFgzwACgEq1p/+fd/Dw9dNk8RpVKtYgVABIjVNHKD + omrS/Ojmau06JYcduuvW7phgCDqoGlSg00iENGrNcAzvketQApYbdKtrs9g20focHH3K2V1VFSgF + leg8iNX1L33xX+PSDBVy2sAR4S2shrnC43Z6uc9vIf3tiGpKyRUGiAao1AczqeoiMIVv2+3jaSaA + jhV2hwlSQAoAoA7zYkNng8823Rd+4b/EaUEv0fWuF5fvmWw4Hn7r0z83y4uhP357jR84Pn7Pc7e+ + +vjncGOFXrFYoyBogO8ufvdYAKI6VIAKiNtLaXbPUiCO3GM54HbCXx7/28c+97Ebz//Qy3/xiC/L + +qQCqsOjVHCy8ZON924xoALKZhU11LPDTQc4BKjMg2McoCYOeHCvzMeO9fgWF0Xhtev7nI9DODiC + TzcUCYYAV/Hp7XctkKySBaWet8sh2TDMQzXTalhDtIrQZrH88c366Zde/tpP/gRuvozjY3QWCoTr + HyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiL6ttg4ISKi + B8IdSdQ7o3u+93X+AXu3GdwA2/2+/ZMBdkda8nVt0lkgdiy/woChT1FV4G1Td7Zebta4fM0MZznZ + 10vHZOZo9+CzZxFACtwAqKv4RZ9/75V2x2gbQYQDruMzOuAXXA/IGN/dPv32QE8pvju21O92y9l7 + JOZiDt3ex8AGJ323jYVIbE/Fba5+b6a4x3S35hY/e7XiGNKlIVWvY35ygQEhwAWDIWtYV3oqBaEy + ac+GsO9eEA5kz2jaT37py8+nbHWQ3YTjEIPAdDw2DoxVVEeoojvqgNR5Wad5DLpa/kjfY7PB0MlR + o7CurCOi3+3A+XZf9ydsf9XX2db6q96Hvbm9AOVurwGgqqIC6AbcOPlQrMut00Zx5DhcpHcXPPzy + 7V974knkjNQbZ5Q3m+l9VwUExXD5Mg6af/w7n++ijpeY6Xoxnc9jKB6yG0ZiEFNYFetln6zgwOUd + 6x6bHnmAomoi0oBN/xs/+3Q+fiWIzxtJCcDUeFeYAH0IN9rqxfkBDtrOslctAEtjNRjFYXAZR1Ko + cNg+19Z5dsm0NqhBx22A+HRd21741A1iLtlh40iMGtJicXnov/JL/wVWHdaGnH7900/ZZpn6XAUV + QxNDrEMyk4AMWBNvudxsGhwcoqmgGmM1vsDYmt+meO8vV4i54NxV/+yNgYtxNL4eVV0HwBwGQxV/ + +vOfX1SxyPkitcMFDth4hHfr3XGl53C4xlAJrpoe/OW3sE4oPUq//45sn2n7z/STOXKB3bEyf51e + vVQ//zwGZCAD5gCyYbl6+MWX29wdRMgKD2W8oy9vu3n6Tz/zWQxrVA3gXhwCgaD87S/Z4+DY27v9 + TRSDjEfRAiC+nWcUCB6Rw+IUx0vcPP6tRx//v37+P/+B557/wcXJQx3m0SNMIWW9EdGmrts2mkEE + MSAIAqRbref1HJDxgqvu6tMAGT9myN6ngN1e2htf/r8RJr5dFlyQK1wNWkSnOdDVBEVQ7rILvvd9 + 99Iogt1Dkk6/vmoLz+75nQ7O9NaaTO3wV7/iuJ3T1265eO6Br36Vb//XC5PpfMDus54L9s4Fm1ro + AARp0x02LQCIlJybUA1DVtdDCddKeeTmKz9+evJnn/70b3ziUdy8qcsNug1KmoabA35+SO7dctfh + euc+n/9w/T25zhARERERERERERERERERERERERERERERERERERERERERERERERERERERERERERER + ERHRdxez1kRE9L3ngMFsG8fyvbix79+0X7PbhrgSkACHwQps6kCPfypAgTnSXdOo34mKq07lrlAk + JNUiqKpQrASxod8cChoJKLWGS/B44X2eks+2LUsbAN/dDoMPGNbIErJeODC3i/i6hPGYiJZxb9zG + RBoAw3R0Lvb0vnuTxoStwwxmCisiSYJvA9o+VXOnxqXA7ugpTvm6MSI6ZQ7NLro9ROeNjUCMNeht + B3cKK04l9fGUM5m6s/dyYwC3sXtoAMSLFMCATXr2U0+/c7me5eIO171Y77lKoplYEXVBBCSjqCxj + ODmMtysANZLKbk2/TQ4KILBGakBxeOml69dPUqkbseIO2U2sgqLuui1bB8BTVsAL4ji2c7lUuvee + 3v7Kkx9H7KBFYHMPsZRoMvWHbSrpynYTyl7wcJodzn3dJaDowP7t45NkII9pV9gdtcUYEbuCzfAn + n/vsledfuF6jGOCIQB5Wc3Rv8wH9AlJsegZ60xhPJ7hB1GNTtEHdYn6QYwOJXYFrREAxVBrEXDCN + AB0f61AUgXuyGtIA14q849bp7z35BLBO2BgKrGB1/MPr5RUvCtn0HmNVHKoiQHFIozfd/vrhtz/5 + 1T9AjG3bOGJBVYVmPJtEoBAgDqZoWxxWv/S1Z1ftUba5x7a4qVhEqcwE6AyQ6FA1jZ5q7yHJtIwR + 7WL5UHF96ddeOkZaoyzRL694qcWCIJfgEsyKpxIFGSiKk0qev/6Wx778b1DPpwux2TiyC9SgCgQf + j+S9ruKaIzsygPF9yGomCg+7Ui9kmmVNYGp+jzfozc7GY+aoAHWgGA6OXmibIjEaxF0damFqBqu5 + FNMydtODIYyrYMEGKMEhcpiqH1umrz7xOPIx9BQo41Q6pGI+zb1muynYHCkhZZQ3sD7crucnZbvI + tHF96wBSzmugB8ppn1Hsq089/u5ucdgXK4qAIWMGOcybt6RjpGPoMAS3qvZyPpd+kU2arizj0R2X + wW4GK7s/2e5+BnhOgw89fFpIZ3gRG9Cj3+Dm6vff/4n/9JFHf+r5b7xj9cpDvo6GGOAJLiIKda8h + cUhhKDVEDO5wEUepVEruAbiIy3Sl13H9LzYtTsQgNiWuXcRF3+AK5Y4MsYvvf43LJN3+4OJTp9ll + vCt2Hei9O4/3P4s6j680/gmQAmjbJMFgFqpKNDh0CDoENTl7IXEXYPzuNv7qJp7VB3gSTyKp1j6i + V8+KApdt090EDmTRrFpEHSohwBzmEhTmohjMJerZSmi7CISMq5RppwAU0SICyHio4brdYUBgOi4d + 3aHbaXTa+N3TFnEXn/46HYcL2a9ti55tsgHu0ymBMYU+fVpUybn34MWTBDhyJYiloBjcMvxyCNeP + b/zkKy/9yYc/gOefw60bSBsgJU/jqZ4KCpCsYPzs7GXKU7sh572xMB0s7H0KHz9027S+K/DCsjUR + ERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERHRmx2z1kRE9L0n + 2xrlWNIqU3Ns+qvjXOR1L7Z1ltIyACpQAeK5e3zXKFzUtaRSR7GIuoE7olZADVe8gdbelFqdOtzj + QRDACgCk3KsY6oAhVc2BX/yS7QJsy9xw7F30t4fN8cZrZHLH17ZX7QqobVuWr7Fl+qrMpmy/+e44 + EN1TbzQV+YZeS7Tvum3dfSorQuRqn65tUlNMHGoKbOOLk11ydCrTpoRmJhqroQrfzN0vfvUrcK0D + dEojnmXjfZxUHdAGoV3GWTWv1xuXGEXr7WxgY2f223e961IO17cfPr2FxQJdH/p+FpuglQ35bAY4 + P5Xo9rue/fYa9prWugs8bjcOgEBfPUm5AuYwwzA0N155i8PWUEUqMEEdUGHAejEWtDmZvLkoEMf1 + gACiY0rTRVHFl2O4EaPFOqWsTQUAxWKoAWzXEZBtRxmAIETU4pC+Pxo2b+0Thr7yoiljcfrsz37m + +ulJO3hJ3lTVkHOUAHcoqgp9n8rB7P9rWly5hhiwO8N93EjzMbkORI2rIeFwhsP2r/rhNFSrlEOI + 6m5jLV4wn827kgGRbXh7e1oKIKGqKpGrGh8ayq8/9TjWp1isHll2s1y2r6n7p3JV4dTSc3XEpQPE + CAEKoHG7jarYzQS7vvw9tTdu5ey1xgju3r34Pw/fmQMOyQ4AOXkQRd3A0R9eyirqrj5dDkzEx7T6 + NqkLV7EAD+PV5GAmQ7aNeTS8TfADmyUWJxgGDH3JWYC6Ci4oY8dddnXn8emnTvwbWtvqbk+msQxg + PPsBADEGAOg3lyVi1b11s7w6pKaYusBVXSEePNVlgBs8A1oAqEKAkt/gkZW9xDMA0bJ/cTlrWutq + s4lVLaFByanklFOUEjbL+WKBV175+sc/+ROni3edLN8VwnD7pVb6MH1i2Y1pC27BEXxcZpz9SWH6 + ugfjWIX/ri5UdqVsKaJj0bmIFj238Dg3ZscK9Nid3n40KyomUkQcYoLdel4EIjhZr6uqqqqq7/tU + hlKmSWw7/Kej4QCCJoM2lTvckAqqqg5BraCqqq4rAaKqVVVlg1kx+D6Yu8Hdh5RF4ACyGQCXpm26 + oZhIkXOH24Cy+2i53XKdxpyf23Pf+35uEJz9vHuG6di5yvaHC7wnZ8dke5Ls3nexV99Nx3z9WQvb + 1T2Yi3sxg6pCtKRmsXq3yHuWq7986qnPP/00jk9xfLOGYZNgQEABVIO7w8e3PBtKN3TTBeWuh2Hc + vHNLOuOnNSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLv + A4xLERHRA8AhY7uyAEAB8pRhzEAyJJeEkBAy1KZ7qUEzkAJSQHZgjdhJLNs02F5zuRJUb+iCZwDE + xzqsVYZgUMDgnWMYW4DZocj+hrpz50Npvi1PdptegCo2xR2iOJgdl65ccAdelXJVOIJvX1enQ6NA + BYSLlgvFIHkMLxYgqyAqFCYe3BTmgqJTCG3Ms4nr/lbtuozqCI5gUFeIAgJXsXsRJqe/i2Q6/SC7 + 83+vHyiuDr0P4WMr3jRziPZD70BRFAFKr4ZoqPY68CbYnf4uU9dQHeoGoA5YrR1l8ID10QGaGkAZ + XHw7rhWuGFOOAoUBGehS45qGIkAST1ouNLwEaEJ8u+ufPPo0XjxF0q7EYpAmeoRHc7GCnJEKsmB7 + tPcm4QAN2xqiYtws25+jC+CAmMEMMoUSA1ADFaD7IUWxIjYAQMbxK//8yU9djbVA6gqeESskx4D1 + 4D2aGaTGMBY56U1DpkuSQXV872uHmEHk6WefOX7kLTl6FGzWKQt6dy8JwJjklW0QVAwOLH2jTZMA + DdI0c+06LDssOiw3WHUPL1YPD7l1xIBSkqpkL9mQMoaEpmpyNfvHX/wCZhWqCNHpLDx34ZbUD9FR + SwUoSvmFf/e1xbWD6uBAIb2hd+hMckG/WbdVPT3oXKvUAaSUNsXh0G7z8JBwsvn37//Ej698nm0c + xuJwoAgcUEPM0Prg+KBFI2i0dyAAJZ0dPT979vtwLRWI7I6Lbd+C3R7KWTR3ux6499v0Zuawdbcq + glgJksMCZgeLlMcibwnmYknhAoEF217mgF3cfXqe5GqQAAtpXW5fz/n3Hv8snl8gSdSIzRq5H1dx + KZlgPDUzDLCqQhNRReTgWS6YRd9uRIZlZAtlW7Y2BywnlBzgERbwyo3fePzxoyED8LF0bABQNKdg + CRElIHkNwDy5e4A38UILVgcMZjDH9NQo0SX2UN+GvKcr0TRatJldSohpGKAxhlhLQL/B4vTZj3zs + z973wR9d3ZwNC0+Wu9xWkBr+gJ3QJm7TmkCmirWL+DQ7GkKSkDUkDX3QPkgWTSq2N0KnJvf0bOOX + m5qpFdEsVZIqa8iqWdQBlwIp7jnUfmUe+k0nGFS8apsmaJ1QJ4jBXd3VXcy1uHqRBCBDmplD23rm + ncngrcaIcCgqnc1ch/UQg0gVJQaJIWqoNDQSGgm1atRQtw1izEBxD82sFE9d3zZt0jBIdERMK5WY + JRaZ1iYmDnjwErxMbXcpEAumoUR4hNVa2lDqqoRgGFPxAFxkPG3EEUyCSzBV0/u2zFAXcdHp6/zf + HApE0UZ1cbxoEMJLN9733PPPvv+D2AzYbBAEARnogZwAEwSHlDysBWbtfCGeFa7jum5ck5mNvXcg + jl+OAN1+gOPyioiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI + iOhNj1lrIiJ6QGzDpT52swzIDheYTkW6MTs3lcPGkleABViABygw5WCne8IUOQBwvWjX0QQOuEzp + 5fFfGTdGJGckw7ogN82pZQAhXDzrdfaIc9diK5jPGzjgLhJhBVVcVbHIBS7ZYz/SphdRm3q5e33L + /fj3G2xMusPHp8wARAGom8LF3QQ2vu6U57M1tRUAACAASURBVJ2a1rb3pQ6dXv2sBue4Xx1O+rtE + t4HG8Wwfw43YddbvW5XPvalbAF3fuRrqOIYB98egC4rA5Gy8bG+e7nMQEIHlpuuOLqGZodJQn9uB + 3TToAIoDjrY9HTrzMJtpLhlw04tlSkvpdXnyjhvHX3nsCQyphQdBBgpQYIbiKALTsUx616+9mvgZ + 2Z+LDA5gzFrnXZ1XHAaUc483R0HuMXTvWq9mqZSCYUAdQzegqhEEufHbhy0i0DQX3Fd6ALgBKNAy + nvZjRFQjrlz602Hj89YdVZQYtZk1du7aNp0zLjCRw+ao71cASvHUr6/G+Nuf+0XcXuH24iv/4B/G + l1+KQ24qzQXFIOJVXQEIQbSpTwtuxohLh2gahCnLey5pDQCoqrr0VsUq9wmzAxw0fyn5xnpVSmoP + 6iDIG48B5fz+iU9RUAAOr2I9C5pyvtbO35kdN27/ZD2bLW4308O26x1Xg8I1F131+itf/hIOagQV + Rdd32420Oy6gdjb/3TO+XWi5wnVXWnWIyxixP5vNHrAE8IPIvBzOZhjf+BBgjlitYyii49Rt2zWe + bq9oo/EH2X63jKCoaxnKcFBVs37z9huLL33m72O98ZsvowoIOuQBwLxWwKAFoUABUTGEceb1i71h + Y9N6O1KmK8J4wkMExWPVhNi6A8sFVst3Hd8+TAkYo9q71ypDtJPZDO0BqiYNQxQJKoMN08eBixzO + AnMUAeDnRsd4lRmD8dDxkqMYO72Oqm1RTG6d4vgEt27+q5/+8Lu/+fx7jleXN908eB3MkJoqpO6B + O6F175TY3bb3WWP8m0EMMIi7jDVkOSthj9dh232g2l59fUpdj08kLmdTosMdQ2eARCCoRMXQ9YBF + kSiioqIiKh7Uo3rUUul8Phssd/1GYzUMXUCoJKDYsFlXEmZ1m4fcNjUAM0u55FxKLiUXz8lztpwt + p67rS8r1rNEqDP1GY0z7W+mK7ZQrDrgYFNvZaezBu273bHvqGmKRaAjwKL49BNs7mYwfCoOaBjv7 + WOpynz6+vOr9HbcZAEKIm76fVfU8xtJ3P3x0+M5kP3a6eOZTn8ILz2N5gk1f9bkB2ggRTV0HoKmb + 3ejbvt/j52vT7df0MmeLOt37IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIqI3sfi93gAiIqIx2QqMyTGzkA0ChDGmp+ISROFTVCsoXCDQ/baWCOZ7T1UAneLU + 21DXBcu1RQ1nl0nf9dEABOAwoK/jum7XRzMMnfgbKeP6ufjf9AKq09/cUKJo06JP67bOF62GTZsk + GIt9Yru47K5wKbt7nvv9dT692XazHRjfnWhQzworCADUFEBRQBAMGMvWe3t9lvKFjlk5YR2Nvtvu + PM8xZg7va+tYo6RUJCCI5lRmTeUo2JyI27lh52owF7irAS4mjnFWm2KSBaFpSt9XVw9eChFVjZyA + dn+WG/dt7OHGSlACWj2tozStLxbzpllu+upi85UZcPnoyBera5sK6xO0Ec3lbKgiAC1IAVYjwgVu + Yxn03AGXbQ5TzvbXx7dAFLuZNmz/4gLPcIXoOJ87UIAABNcgAArWi2ceffQjy64assRGc4KHeY0h + FXFsgv+TZ34T1w8xFNQNXO9fvJzuBXHEgNnh+vpbT5776wOgG7wNutr0daWSIHsjerzQFGDVLw6q + WqyECPSYlXTtpds42cDs0jefe9ts1njvyQC0UVw0p1KAWuKNflgcXr115QqgHisABpXdGbo19H1d + NyEqksV6Du3QhpevHn7AHC+/MPRD06DvEBVt1XRd36I6N/MIADOoCjbZHMBi8ZZVOv7MZ2NaHtbB + U3EdVyMipuOuJVWxGrPLaBtU6qIAqrYFMoA7hx7u/Vw3Bq1x5xDzsb4s8KnBrBCwMf8dCVBhCuVm + 8xyknc2RhnU7S0HHlV1wlDHePj7kNVK6VQ0HTjd+MMNis64h75D58vYt9Eu5dglpjXg0j1Xqs9SA + lAwUQKAVIAWwMVItuOASV3bXozBdusq4tisAQkqw6FEt1PlrTz72/s2yTWms1ztQFCYWHIPiH/3e + 7+JSAytVc+gCOBqNVpKE6vWXdG23gvftwlghjkamS00CDOgFAWgAGELxoGIC3fQ42Xzh0Q//iG4+ + enL7Ya+rUAfxYZNCi1qwWpd5o5YftLK17J0S47xhmN4XHyvEuza4OwwBHhxiuwfsctZnhexdqdxF + C5DH009t7IHDAVWtgbQeKoH1rrMQhlIUljO2JWYDyjZ13w9oPYlgPpv1603TtCmlqqlFQt31EBmG + rm6qvh/qKgypxL35V3w6zVykbevU96nv21mdUqnVm6hd39VBIA4RwNUBRxivEyIFOn4yCtPnrXFv + RAB1mCBrdqiIBrfgfnZ9ccVZVVq22wCT3XDcRtzvGTkXFz8bmVUMKedKtQbyMDQHc1+vlovlXJtr + nn/i+ef+n6ce/2tpP/Nvvl5dewjVUVqnal7FdpbyUIUqFndP81jBDbDdqBcBYJDxrFHIeETHMLhO + m8D1FREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREdGbGbPW + RET0QJgirBgDzA4xhxoQxizeWcEaLmN4D0EUtk1qYerVyZSLzjK1Uaeqor3+CN7d+RR5c1fAEnqx + vy6rz/zh1zGfv5Gnm/7dNR51CqWNO5AhISh86NZ1DL/6pS/+xfvf//qffMwdTg05ge2iuNuq3Cjc + 9cGvb+MNGDdYpxh5gEFgY91NYQYtKthFbsXGl57eCz/bnvHZjFE0+q6TOwqvd/55Gh33/txzACKi + VS65ihU8I63Q1Ar3vQlsHAXiMAh2jXcx7AqOQN/3VdSk8Vd/9xnM5mgaeAYiYOO0OQ73sh1uGNbS + hn/y1S//v+/76cE8bPrDtvIuXWj7q4DlYnHl8NKVrvviE4//wte/jiOZzS/B4aLbDqZM7eo77N4F + wS6UuLM3M0+pTYFAHI4psimq27tN9ywIaY2hf0ce2uXpbH6wWq8Pmkt9v9BidYWS4FWFg3aIVR2b + u28VPbjsbkNSECJq/Or//rt/9N53/+jVQ3Sl32xiQEoWXjXSHeqih21z2q2vtrrpbFZL1fc/FA// + 01OfDiX/oA0Hgn5jBsxq2OAdCoAqVjlnn81uXD787O/8Dg4O87YxHLYbMl6yFAhNg+xQQVCUguK4 + dPDffOVLX//PfuLqvO3Way9oauQBQUq4216ZAI7TNFxqZ1Dv1t1VG0KNDjkP0DBGWKeFB6AFljRs + qoNvSXxv1aCqNpu+njVDSXWQAEzrJQFkagnr/ZjhdiVcQMYy8Xi7j7fZXYY+vRYTONzNikglwGYY + ZlX1K8/87p9/4MPYLp98u8DT81nrMco73l4GFOCgQupxNAsYzIfNIx3+t48/+g//5N/h8AClAFLV + 0bulzKoCFCgABeJ4IZF44bdtW48e274CjBemAkQFHDEgDynENYbVldXto3UHmSrKJmYCdQSHSURb + o22Aqk9DXdUqsJI0XGzpqtCwf+7tHm2AQxQq0/lZgPFSCsvY9GrA7eNnP/LRDw7rK/3JgcCHvkAK + vG3rRTccNTho67wcgj5Yp7bcORlufxgXGIADweHbKy0wdaZ1KtADEHV3iMBlm2ue+vQCdYdAbPdQ + dQigxSxoAGppqgF2e7Nprs6W3aZtK0yntdrYmBYU0Qws2nbRJQshXLnc5zJkC+KNmod8pZpFa30z + NPM6xlhmni1POwiE6ZOMCMqw7q4fxvUyaxqaOvQlqyoUwR0OE3dBEQAI40npKAI5OzTigG0XCqbj + jimkiNt4Wm471tMHKB0j2HvHeXyJ8RBVZt925fldNr6PowAUs9C2fdf5ctnEqq2r0g0HdX2Qu9sv + 3JodtF979CNP/NEfo+uqg0voepk3Mc4wGIJWluHbuPnupN7vwcPG0PmubA1wZiciIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJ602PWmoiIHhSy/cejlSkeFnzs + aAFBEAxQJFiGAYiItcKBXgAgAgFZUKaGtSuyQlEU+eIJ5/0OqovBdczfBoEAxSBV3TzyVpQM1ZJz + qP4Wl1Q/64f52K9VWMoWpD44RMqImi8YZhWfdsIFKTjEoHdthWKXabvgRqsjAAgGScCgcC2iRa1s + i9rbjJwHg2yTeC6AwwThrPomRafbdz1OZtLob2OXb9y7aff7dKqbnN3z3m7MGNAM6kAIAQVYbxAN + q5W4mdp2vIhtY5Nl27eekrTbam+oGktD5+ibFhKhkoa+mrUoY9MaBYiAOILAgWSoLx0hnyCWPDto + upKH09Sli85WqeBA4ZvhaikffPn4ax9/7Il//29RGfSSqIZQFVgWDQKB3T1g+5rH2cq2fhqg4grJ + wDR7jbXNOH5gsanUG9yw2fzTJz71ic1yFpH7TkSX/WbWtpLXMBhQcg20AGACK7hgCZUeBGPOHAKI + wRQBaBWzuV1/+Jt/840rjoOoIgI3jB3SvQynjZ3lYperKnepBjy5errSrWcFofR1sCHngybACgCN + sbVgdbXolldnTW6rb8xnHz08QvYIlFdt2265YgIbSmwCtEIISGu0TX7k+u1Xbr7laD4s1shwwMzG + gOwdg0MdgF2qq6HbRIECoUY/LJsKBth2GQS3MV0qsHXU567Of+bZZxFqlDCvazg2Mi4jdFf4Looy + rnz2Fhj3niJYVnex3U3jT7LtMdN35g4RDdKnQbWuD1qUgC72IRiCSFFHcDOoC4oi2K5r6xADUBTu + qAKiA7V2K0MpEHTw65YfW5ff+8gnn/7jP0R2HF2GuMwaAA1CgWagwMZFc4EKUF1oRSbT9wINAAog + loMVaFGgRxNRoWCx+rVPfPJpzVoh2VkbOTjUIR4MFSQWlyJqsQYAmMmFl4cCRN+ub4NlZJnGFOAR + hqgIgrLLWmtC6bA6eeaxJ9+1WHxoWF6CDwklSKhjyAWGMtjBbHa62TT90AS4P2jR9rPa8Pa80Kk0 + L6buY8HaxjlWAJ8+Hex/GDAxNXUZC+nb+rXABUlE4WGakhxexmVKqGerfg20G61espSuv2U1rzZH + V5CTASloERUX9RhLKIi5bV9I3X/7H76KJgDArAEUkpCPUey//8RnHs7hbaixGXJJJYjX0QXBLRjU + FIAp2tK/5Wh53K2uHc7ycoNKTBQSIGIuwaCCot4HQKwq4wmmwSG6m6N8/Hwn7jj75GLiUFNTc/Hx + g+V4SNWn03WfiRdFVggsGu6Ls0WSigFIOdezGbrOui4CQcRKSjlFaMlpbV7N9Frq6tR967EP/0eN + n/vDP8a16/0mhFmMonAgwNUK1MZ2NRDGi4gBbiiAQHSq1KtAFPcz4E1ERERERERERERERERERERE + RERERERERERERERERERERERERERERERERERERERERERE9wiz1kRE9IDZZrIUYoABAagNyEAyINV1 + qsXgCgSgEVWEMeaat1k6AAqXXYDML9hsVseudzZWb21brEuGWlDH+EoqL3b9T12+jJK0OrzQ8+8q + YHsdvCmRJgIYkBHqWGCdlzZG1KHIhbPT2x8MwLQ/cu4Pd97xgk8u2xjt9MyKrPDt86mjiGPsXu89 + Ul6VQBs7eY6pZn2W4yN6w+Rusb29W8ZUo+/ufI+V7UxSsiuAqka/+R9+/ueftLyrRY4xRTiCjROO + qpfzm6Yp9V41yyo+b/ip+RztLO4N8DLGB8cYoU0DKuVUVRWO5i8IrrvNgYOoni/WXawiSgYwXJZ4 + ZTUUPf5fH33sl//wD9FGNIcigOqY/o1jT1jOeuH7We5xB8db73iLxia3yjixjPsx7otOv41h7wD0 + HU5W7152V1VKgbsFjU3brDeLRiAV1mG2qOcwBERXiLJp/eai274qsOtAiwLqCqmrxz7/hb986ilf + ndiQx4QpIPsPAQAXAXLqoiIEiMMFoSAO/UwE3kdtO5ScIQ443LNDh1Ri3a5LumH1Lz/7DA5aNK3c + kawVg++1ooOEGOBwQzaP9VyG9Knf/eKfPfZ4c7y8KoLgboB7HaKZjbt3x3P6kCpAQpBcsg2xQipQ + RZGzeGqRcYigi/rnFT700CXECkWgyMnrus7oA3T3vGV/fN236q4YFDmYnRV1Vd1MoC5jNfbukzPt + U4GbJ2tiWwoGR4whtM2ttjpt4lEqtUMcuk3wbhmg4q4iBpigy2gbSSs7bND1qCvMWtn0/eXu9o+V + a5//+ON//4/+ADGijqgbd5H/n707f5Llug47/z3n3sysql7wHh4BENwky/aYlC2aIkWKWAgS4G5a + lreJmZAUM+OY+WmW/2kixrPZ8oRkkZRIQgAIkBJFUpYVsizPWLZpriCxvKW7qyoz7z1nfsis6n4P + kIyGRBK0zieAh9fVtdzKunlvFn75Tll0oaDDXD0m7a7DL0GmbvL0dxDy7nKxbaAYmzNeuPG2wQ6L + jeP+2RVHsUF1nbvrXYdkpAWSYG5JLGkyt3TZ6+EL19w2vYyAyNwEBlGSWKZSCtue09N//v4P/PR3 + n339+qxpqIUMKs1mGJZHx/XkVhYZxrpcdIy968t173+odpuvzwvjXPUWdYyEO2IG+PkVxnSScket + eY7T2/57kEOf0jp3Q0rqVKEoQ9KiWlTHyvLee9a93TLrj1Yf/41P0SrikBHQac1UTKgZMinRCqtM + FpbNQKp4gyWyWPlfvvoVtsKmUgopIZUk80rv+9UFas/m1uMf+9jhrfH4vitF2dY+IQeSlpuxK6aY + aa1ijdmhWZq/DLmaIiaOiyh+x6IkuDqCqXvdX57hYIYm31/HOC7g6qhb+sGubL77AjV9Tm3blM0m + q86nhwjmbZPNKFjTtVbH1lk5fO+GL9OvfOChv/vF3+nuaqmZAg2uMlKVzO4YT28oCbjigOGImO6/ + Ol62Mx9CCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII + IYQQQgghhBBCeO2JrHUIIYQfvilaPdVPk6tUz0AWxwxLZmxH+srZSB1oRrxShdzRLVh2i1VTEKm9 + JKU6KeEJh6QuVK86pdReMXGauSy7D1qb2lz4Q6UvJR0d0x5CYrUY8Bb5M4S95uCf73/K03/Vpa1Y + Srm+mvj0lI6lUQHHR2Qx93x3RTbfBUQvNXgBRcvgTRagdGQbaay3QVzFK46LZb/zmRXMUT+/UURy + SmOpIgI2YAkV/zMdzfAX3Bz5kzkfe7FdbU7uurHfNDkNYwWaJk3F5u8rhWKmoprVq8n2jH64d1O6 + avuZ7rusNZDmnGCCOi9drpCa1Dxf7bmrRx996vM07VgtJZlb8EJFfZ8gndKPRpMbKGh+7KnP/sEj + j/7EmvH09LJfALxMozB8cPTuTXnvd08+/86H3/+lL3Fk6LEo1S2LUqeRwBzcnqOHKriZ7nOkvk9m + TusJBiOAdfOimyo61LpUxWA70CrjBjVOT37rgUf+6o3T1VjVEUSMcdg2SZtszxkvXLnr4d/8TZrO + aikpCzQRXvwRZLvYOWgWmKK0KXF89zcXh8uTm0cguRnLkC/s7y67Hi8mouqGY9ONito4xV5L2Yp2 + 1UszbZOulbSt/fLwyvXh7MZBR5dIgqq/JMEO08/qsntmISuNJgdyy2rxrTa9rumsOGNpE6V6anT0 + irheCHCLnw+7WrXEqCB0BkY1SGQwparVSgvbVn7hi09y3JEbtMHJjRhkmjva3j+gxOru1Bq21grU + wdRVp9Sr4FRFpwyzixCn4n/CNOdFJOWMkSBNuefcPPrUZ3/3ve9966h6utnCQlxTHsdyfkGFqavh + IC6a1MroSbCBVhiNUZysTZW71qfvsfL0u979yFe/yJW7KCLLA6toIoELfZU20Vw2aM18amAmRaSV + mnDzXMnTzrQZqFtObz398GN/7Wyz2loWrNIkHcxUM0luJL5x2L3/6cdpU4JkuEzXhC4u6TIX85Ox + 0mQwsJrVC15IIPM+WPEySlc4O+HMuXn6pfc/8tNnN+8uY1aoJEFFqpvmtt9ssyanKNSKSDsaiaq3 + n3o/RCY+fV9QR9znk85FUSElTaVsvaac2mKVNC2tpZaxTdRKSpihihnFaZqEMA61bdXNPMmJ+DdX + x2dHd6293lI56Zr/4bOfpm0BmsU0B+eIdYYMkqgtLrtitgFzIFlAjTTFtIcW5pXbD3FYwsI42t1z + f4QvRMrnynW990O//8cUwwta0YJX+uF/f+RD9xqLRtYvfPd17eJacV2fLbWVxDium7zwMhquKWcz + VxmluHsryb32Rttqql4K0mCFtpWheBn9YJWk2DiURpJgiAqWHDHP87XYD2KNO29aC+oK1FqSCnNy + 23FXoVotoi4iNmb3ZHPc/epQ3/3c9Sfe/c7H/sVXOLhKexfKKKK02dme9ovDrgBCMVQR3R356T2K + TTsgsaKHEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGE8KMvstYhhBBeE6Y8WR1KkgQJjGIynKW+xwub8r9+8MP3bMdFrb0Niya35BtjObt2 + 7b/65K+yaPPhAe1UY+usH6uVZrE4G4aua5Mk0Mtmt2QKPgsuU45xKl8iibF6163WVb+LsVwgetmq + 152NTLnjvxf/phVNcrEE/YoYljSbVbXk2y3VaC6k1nZZa9v9NV2+TJazAGPBWrIOjOM9B4fpxpk6 + VYC5YTdF15IBiJOmVxdMQKjmuYyL1AmQqJg4OSJp4c/JnLgGBHVy02z6zZTnU0GwWit66XDnZdVa + upSlUMYxLRNtywsv3FfqopyvBOJTrbMC6g42nSPT4BwBHWpfD4+/vVr89aMDctKU55NY9uc0TFXf + 6eepF62KJo6X/6HLd5/WN2p2u1zJ2+X8qXG6am847ZOdfO79j3z46S9QJR0fLbMac5UyJco87Pmf + 0m9X3eI8i3jhHFcQIwlFcMxwRSpq0KR0emqHC6VtWb+IVm7e+j8eePh9p6fXtr3sHo2YOAinPeV4 + +c3VwdsOjkkpJ628ZnKj4XKUXdl62jFlP3kODr7WtG9qWkE2w7DUxuw8D7+fXeIo88SYbkaYporP + O5TNTz01VrErB1e+V+o32+aRJ59k2bJotv22SQvOJ6zN59dueLt8/DxKcZCWRfv84cH6xCvWKFgB + +nHwpIAJ6UIfdLYLlAJiiKOmiyab+DiMgAsj0OQXk3LQsOywNA9LSPP7vq34+wPbSKuD0S6U9Yh7 + xvt+47iyO/yul76I+YtqN8ME14vLOinT5RfvOn7+69/JlcWiYRzLWJqcvZTzLcBNXV0wFxNN8/6w + m2vzviBNGe4+Xf81Dv/5u9/9d77029z9Bopp1rHQZDIs0nRRZnhFEpeKSTtUtBGEgdpqYgsKQ8EG + Xnz+Vx948GfW9fBkk0EEVVBtPQ02umGHx89euYuDA7KCUVUEMs4Ut+ZSg3HQhs22LLsMojDtL6IK + UGGapjdv0pd//oG/9ZbnX/grpzeuDL04IC7T6uBQXcAVUMdl+ncaTL3EwfkBuG2HdZdpybLRh8Y6 + x5rlcd1uRaQvQxVJlGahVEsiVl2XqxfW69XxYS/per+pbaNXl5ta12NPTqfLw0ef/AKaaRu6FjEO + lzSJpE4Clf2qrQzgaL4wLubfTN8/9l9BbP7TwTPS7JZYaEBsd3z3lxjzDw7T/89MjhhzJ5sKhbH/ + xS//DqeniGGFzfZXP/5zP3F83zefv1nrePV1V+uLJ1cPj4sPdnp6TXItW03aLdrhrG+Vpknbvh60 + OWUbBxNh7D1lFsv2ZD2skrRt9lJ9qku7i5Mu7jXfZxeb1jD/mRwTdL9X7u8rBiR3cUwE16rWFe7p + t5qb/+eBB/7+M1+gLSwO22UzULG0WHQYWRgHcjdvcGme/wbTZZpdblkIIYQQQgghhBBCCCGEEEII + IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIbxWRdY6hBDCD59i + lWFJFs04ZlWzsN2w3nL91lf/wc/rN7/10LA9Xna1H8pQl203bPvl0dHz//b6H77noevd6uHPfJq3 + vK4uWi2izWoKKnddO3hpJL3q7pbf+aOO1do29/3arx790uefYNUB+dX0I21+67vXmAe5fyJBzn+6 + 9NMnzbgDiyZfTZliuBUbs8pc8dzV16ZxXKrrOz2qQFaadgTj1gv0Q/rO9a7s3pt4AhMquKA+l8Kn + x5tQFGChgmup/dhvsVGRRsD88iHyEF5iPrnEd+W/Oo7L3Farw1gXjXa5wSvDdsr+fZ8IdCnj0I95 + 0QwMrQ1s+6u3Nl019V1ye7ckmKD7c0TmPLwJuHbLa89v1t88POBgQa24CVpr0ZwNMqrs+r4CUCFl + ZRByx8H4jbtXb1/3rG/setevyJROnP+EiqhrY3al7//6d55/8h3vfPRf/Asak9VRESVRDcqYUkLU + YVv7LnVNtxjNW5Xzl3UVYR6wg9Ol4rhhIzrfq7I8UAcZBxrn5vXHH/7AQ5v18bg2NTUFMcHFkwN2 + dLj62np47v67WCyp1dwyWotJE/HFHx3OvB/KS243w4yD5X/zhWf++F3v2nz3203Kg1UVUVfBfM68 + qzhzR3mXYzfwKcwJTJuRVy62lqVsz9bXF82333QfVw5pdax9XqyGqaw638leZmC3jRAksVz9wmc+ + 84c/+7Fx65txfdxoTrluhz/lTRsUBaepmmwavAj5dFgfLxan2+1hphjXV+2LRyuabiAlVXabedqf + Vz5P9QR1+u33OT7q4Lo7JCqM5UhychMBN9D9kAAXkDuqq+E2ut+4pu6ygVMhN8LR4XPL1V9bXe1O + TjbbcblKZV0pJe0yt9PDxS2ZiuDTD/bJygAAIABJREFU3ucAAk1Ffbo803bRMJS7+rMHkjz98KOP + /O5v0xgca5qr2i2MZZyiwpe7QpxeMWsd164smtagLkhlYDjl5PSLD33gwTM/2AxLydJkL/0gXseS + oW0WXuzW1l9YHgEkxQ3T6e9V5ny8XuaaWMCtLhbqWD/0i3aZ0dHx4u10nU3PsOG5zROPPPZXTm7d + U7aHpYijnkBwN60umLhSFNSTeEpOwk0qVH3Fm+kPgLro+fnl0/4I1QQReuubTvrhBpATB13G62YE + NxvJyWtKZ9uyOTj+brv8dpLxzW/+d5uz//nxz7FckRTJpIRk2gY3spKslj6l5FQB3PHpPFdcG8Eg + OS5UqPNihdi0Uun0cU7V6jSvnxQByLZfwkgJ1OqFMDZOAgTHbPrC4OC46ohCk5ou3VU5OmY7TpPg + 53/v9zlZs1igRt//4w984qhfSx7vPmqPz/zwrBnZ1jqqIE6unhfLm9vNQdMmSl4ty+mZDRSG49WC + futeq07lc9cf7Jr2km+mu9NhXmnNbz89sjlMV5VSBRecxtG2ae6r7bu+ff133vb2zb33f+Bzj3PP + fc1qiRoGpgw0Dd6zqbQrBlAhnW+q8T0thBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhP9MRNY6hBDCD5/Akiw4brhr62y2vHjyTx577Mde + eP71pzfupbYFGwag6Zr+9KxLws3rK6NsN/cfXXviofc/9gdfTlczSd0YN2N70IjQSu7X29Vq8eqi + c1MpbUrETdVAyWxLgfbEISlNYoqxveq6l8xRsl2WbHejXKgbvgpezV1AhqG5JQwjbknlJU9puz8v + l700p9GqvuXsFtuRvjz1yAffmrpsg+2eanrquSiMJuxi+E0cF8bqWWrWvGobEO/XtEeI8mc5pOEv + tn1AfZpjFzmQkpVh0TY2jmenp4urd5PbP8OZ9oq4FR+KtgvM2ySYP/GJn3vbZtvVsh/klCatTKe/ + 7duddS4mehVubc7SW97yjz77WVYLcqeitR9S255XIV2RgoMoShE2w/YwZdw5XP1Pjz/+e3/1p++/ + LdP7SplgczN4ik+yqPaGsch2+2vvedfPfeUrSOpWC8OTStIEbPp1260WqTNcdnHxl7zwLmBsUJE5 + nyrzVxQhOWU7ZAZu3Pz1xz70V5797pWNLaZfi00dR3EUq+jzp+vmx/7SL3z2M6wWLFSFYRjapr3k + ew2vOTLvCMZygSspf8PksFkcUxPmft7YnDq+6sjtZ7WjOIbNU8znxPq0Wgjm4ulguV0s/t5v/AaH + R7RNrSbzhBcF+RPitYLpvIdOk1nJC9rFc8tued3uzcv1uOnGIUPBAL1tXZrKqOa7MGoyST5X5zfj + +igtyjgedqlsazlsvqbytx5/nNzu91mf3pGD70LIu+sHAOwHtJcqw1Baczx3p9tlVrXq4/wOTURQ + Xkv139cs2UWkHWTXcBZAMt3yFz7163/wNx5am+bMyboedS2juZWXPImpq+E+P8V8YzaqMFJubrdH + iTz6Paenp33/y+975B9+4QscNKlZzi9fatNgVJ8n/+XeQy2WuoQVH7YpNQjUnvWtX3vkkb/5/PV7 + ihXbCroetg1S4OBoOZ5t1uM2pQM7uvrf/cqvcvUq6ULe3kky5XYvy6BMbeVFuwRdb/pu2aVGGJyx + Z1jzvee/+tGff9t6s9quj9Wr2+5VtaohmJxfVEy3T8+cvOwWmtfQBavsQvIudvGa3x1VNhs/WDIO + iLPZlKpsDpqTWpb3XNm69NqcNu368PCRX/mVn1yu8MLVKzQNBssl7uQ0XZ8PQ9EsqmhqitWs+y8R + sv/IBJJMQ0J2ReQ7vmz4bohVSDKvs7fdxxU/v22+Njp/CU37S4vdGu0wor0qyvKws21JXcbh8Ari + xlbL6pe++Axjj2zY9o//7GP3HuZBspTtPY0cIVJL7TdXVsvajyLSn541pJy01hFjXWnMJWEypc8R + UN9Njx/sXJhnqu/+vPDqF1L3+3mguw9Bx3Es48mPpeZNKX/r288+/t4HP/TlLwvHNIIK0tK2PiKZ + Zcvo54dXLhe6DyGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCG81kXWOoQQwmuAq5RKHWkERjZrzvpnHvnYA8/fPJJRvS4y40ir9APY2GWs + uMEiYdns7Lmfunb/0+/9wCNPP8n9YnnZLhuMJFTzVbew0bS5XLZ5qk5OnbCpO1tVDEqhaRuvrR+s + ODwYctt6Q2XOtb1iuyIbXMiz7X5njk7ltjRV9KrKJbO71T0lMHKp9+viUx/9+U98+YusDsBAkH3m + kEsHC0Egi8n2FLZsN1wfv/zYx37i1uZoGBPUOaNYEdSZiptTyHP/LtTnxGWF4piX1pyzsjhoMRtK + 33bLSw4qhHO3nS9T4lEcrNVc+o0DwuAsu1aLsT7Dr30/h2MiLl2mLyRlM3C6Wdy6dcU9m89De8kJ + rk5yN+Zuoqr1ajea1be69q2akOyi5pba1g0SCuwTlskQHYQBUrsAw3MVS7nz1aHd3BhDesVLijgq + TOVghMYsOerJYVv6o2IPl8UT73jXY7/7u5SlHjeI2tp0cbhsmnHsU9NVbDQ6TXNy8Xytg329dWpU + m6GpQaWCQ4Zxm3Xgxq0vPvjht37re6/Djo7yuN5lXMWUKVHMkNKt5epbXffWRmiSJxkx2naLdXPy + OPwo0f0WOd9gnmUcx1ZXLFaPPvPMv/7go+2Lzx5uzSSpyBRbvXg2zae+oa6iCWy3p++zrxgyvVZR + vnF2c33/PSwPaJdI6hq9NfSL9uJmNO1k0wMBEigmU+tdAcVhFI7u+sBnPv17j37w3u2ZXt+krrV+ + aGzujCLgmKAuJruwvSOO+pTHri627BabbZ+gVndY5+a5+17PwSGpyXMj2tIc6tbzFKzMf7yKzf1V + 64dxlTPrwrZcOStNqWV8mfTpZa9k/mLaX35WoQoKGcMVbVgeDcd3WSn9tj9csN0OnWRQcXSXfJ6u + tfZlaxNTP58J6i5iV1ZLal3XIau/KaHffO5z7/jZD3/1yxwfs2oRZVxDO7qZ5MUlx18dVLwUSYhX + zBi2nG1+/V0P/eSNW69vHCuDWW67lTZDv12l5tbJ5uigOdmMJXcvdgdcuTrgKhlI7bR7I1WTgly6 + bJ1UzUqyjGrvdMsuOZzdJDsnZ48//PGfuHly360X2nG9VGqp0DpaxUxsOnbZ2O1e8xE2FDGV196U + 9vnTFlz8vA+dHMlseg4WjBscdLlYKs+5fuf4zd9eLL47bv7bpz5H7blyTJOple4AUSSjQqOghqtD + hb60izwte0D2fF483n9GXmBajTNOurDvu1LPfzqfnIOi0O7X8PMVRHGSUKHsHpWYv1/goOZpKl6f + 57U3Z8Nq1dZK6jLD9IRCMW0SMrJUDo7RQ5wP/et/Q62knmHzy+977A1Dr5ubbzju6rO37u2a4p2N + VRcd/VbQst2umgYbB8GnySCo4Uj6wSxx0+Gdl4ndtzln/uAdwG//Ynm+YZnM+yIldaktyevop9wt + i7eqff7B977/qU/zhmssl8DgxqJNqA20zbz7VaZ/VH/gu0wIIYQQQgghhBBCCCGEEEIIIYQQQggh + hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII4fskstYhhBBeG7QhpeqbVHo2 + m3/87gc/eN2unb5oOohSBtolPtAmNpWlUEAUMmocZc6e+85fOrj7sw889JF/+aV0MNIeggzmbZsx + T0kvFRpzoSpAqjq1wEyoog5J6yC8oOOzUqewWi2W0uWa2X8aMYc6B2R1jsY5l3oDLlTHnaR4tfbm + +rBbkdKua3ZuP+7LZcncZOgpxo2bv/qhj7z+OzfeMvqVOubao2KgPqdwmaLgqMn8WnNcTdAp3LvU + 9cYWWVuUQVk7i7FZNEx9uhD+nIlAg1o/dkLtmtpvWXz/G+qCjYNqgxu9UeQamsYt4v5yXUwTEBNQ + p0xZa6fP9uLh6oOf/hTdynNnzKdYsaopwb7v6EAVHBRGRrwZNhtdLUnjc+5vXuSjYUj1zhf904Zv + iCJowtLcp6w+dev7cVlu/LikJz/2sUc/+0mKsjxQXbEd6Zomp1KGJrflJYvPbYuOGDpViHX+jRs2 + sl5TB05Pf+XBD7znxe291bKwPSlZEviunTk9hW6zfu/48IO/8SkO2tpOrWI18Fr5c1yiw/fbbmKI + k5mTz4iBbaht0+JTw7P5Rs7HUg+nyLTfGVF2sTrlogVckuUpjXsevZ5faCpd02fS6+97+Ff+Gcsl + 0tlYtG0O2ubCxLX9Yw3qeVd1iu5OgXZFoFuxGTlsn13qlRdO3yxp3Q+rJF49+RwVnfrWU9N6+nvj + iM27/ZTbvrndHq+W/XrTpjZluTHWv/vrv8HhEdKk+ThZmsdv85kvIOza2cb5efd9PAX6cVy0DW4Y + v/7IR3/qbLzr4KD2Z/Ox2tXriQDqK7RbCadlHAw3XMhLsv3bfnPvIh+OYCzaZjuMLQ1T91puK1sj + pq7nadt5vlmCcbNRZ5VTHauNwxsYlt3q1x568Oeefoptx3KFKtuhW6zKlG6/DFVAhJZhoAint6jD + L7/vfT+7He4+PS2QYJXTOG4cFJ2Wf/OxLvO3RB/+9KdoOu2WhjlmlCQ5yW6DY57tr/RYTicnApmB + vECB/gwqN2/98nsffvdz69efrdNSitY2tz6YUV2qIS4+tefFEff5OIpNRXnw+Wr2NVa2tgtDEt/V + iJXtQDpafmc76NXDraW1W5/YXLv3gU9+9u1Xr9AqLXSJLMUtNS0uQqI6taKKueZ5RtJmwIqLCCBp + tyDOk1YFU1Hx3foj83eZ6aOw+WuOCjTzjj8nk2F/JbOf/2Sfb5/K1r6fC+fzYXohlelEAJzVsmU0 + zQrQ7B7TJDCvJqsVkgdQyA1UL9LksfuHn/8ipcdOfvVjH31Tu3z+5llXOWgX109P7j08lmHrQ4/K + MKJCnePR4gKuu1Pt0uX1V2cfsQYupuvnQdz+swv7uLhJAR/7YT4xFs1hT9puSul/+yMfe+Dxz3IN + Omu7RSEXtG3xAUmIILt3aWjL7jMNIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh + hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIfwoi8ZbCCGE1wBhHHHVksA2/+ztP/uhm0O69a22dUCT + mOgw5JHFtqbl8upQm4GclqttTz9SBu4/yq9n/dbTG0+980G+c52y9VZqmwfw2oO9NBz7pzChClVu + q4JVoSrJ2Ih99+7u45//NN4266qNjrIPZF6anVfJ9reY7aNofh7luxRpKAAcCHdrs9qMVLCy+/Xc + IZPzv15GNSrcGj7/no++4+vXf9L8cDwtdeMt4t4YyeeyNcyHcX98TChKUQxMOB3MGno3Gcdf/sDH + udmjui79a6wSGH5kucJt3WhFRUQVabh586zVRL+98yT881atatPQJvqBMf1vH/xE7vukuFDPzw4V + NDni8/ozGTWNokCf7Zudssi0CxspQ5nautrser4CUw5V5tRgB4vRcdrFQS6Kp49+7pPP3dVs86W+ + Aig0ak12ywbTgAWBLml1qsubl6sf/+M//v13/Oxnf+Yx/uOL3BpAWW+pZE0JkuhL1xnf5YELFNFp + ZZh/kSt+xvom3/j6F3/qp9/9wvUr40lu0+i6SMfqnXoSMGVURhWHsyb98UHiMNNlRiuDZ6c1lpIu + tf6HHy6HijnzzJhCvRUreEvbD8McMb3ryvOpHbK0S1xwURPEUZ8DnyZUpSpVMFQRRfczYUr8mqCY + YsAmy7/zDQcNI9Sk0nnBiwkXHrML69bbWsGGGVjFi1CFUqFZsOr+Q1m3V67m3K2aXKpf3G7VwdVR + Q333ldx31x7TmrBq6YeNaCrV+7637pDVXSyOUBVjasxToOIwCJXzf/zimL/PFk0D2DjS929qDu6T + 9tbNs5SnhWK+mJmuoF71ldJfLDLPBCBBnk4IB8vk9r/+yjNfX6GH+WTAh3GRFlW0SgbBdZr20zOI + I/M0NzBTG7OVRNNK76Q2b0tNTdc1nWpqrj//9mef/dfveeAz73qYf/8st4yyoDeGetkPbSw9GDSM + iRc33Cxf/pn3v/eF08Pnn18kVm1KnZyVqh1bJ+emmB0uVts122Rfu9py9wpNdTMkNEPDqIxFqQnk + VfzPK63kSjsd2OTY6RlWuP7iM+955F3f+d6PNVuRfhy3i2W32Q5iSaWKVNeCWFulKY3WpdvCPFWh + qnuqKgWxwqKwMNJ/agw/OKbm4ibu86knTqq0G12dHlz5j+3xv7/3jb9917XP3Hff2/7wX73jj/+/ + B778BX7ibu5pWBgHDSmhOefFMFqV1AtDltLlmvBGgFoLCRrWtfdOaJHsuOEVQOYNfURHFMmQfVrQ + FMQQg6KUhCVKQxEveMFM3FosT19/lCoUbPqnTg90w6zFOqydItoyT3WfL58U1/0XG6+FRkUNscH6 + qoPn4lqKpro4HlwcGicb1EKW3HQsl9xzhXuuce+P/fzTX3nXV/7gJ//gj/7VfVe+fqW5/rrDr9nZ + aZZRZN0PbdbkZEOnarorCCRc5q7299Fu87jwz4WTVF/m//E6hpioCSa1pFJSzS25Q1d6ul2bn9Xx + 1luWizd+6/q/edcnPvdTH+W5npM+M6xtY9OZV6GS5nw5yh2bTQghhBBCCCGEEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgjhR1X+YQ8ghBBCAGg6fLCu + HTnbvm1b7r1xQ7MO46gNY3GxJkk280YWdbMRRDXVIlk0i7ljJ8Uo97UlvcCTH/7Io196SpaNSleh + zRk3mKKqimgR8nld0hCmO+xKYAa7+KKY7+6oGKZKXmv6+tWD9xy0kCQ3pbqnV59q/BPqZwoXUmGJ + 6117s2uWI61NHdjZNM5dqlMBFzPBDFUp1dWR4ezqouV0Q5tYKLnx6SEOKLprXfvu2aYjMB2AC3k2 + FxM3emfbc/PWpz74wbffuHX/ZpMTo1tR3IT5cFUwv70LbjLXdvedS6BpOOs5Xi7qUK95hkTf56PV + 7Udjf3+dRzU3uxVXl/NfMyd9dXfoDMHOO4jzhzm//Ts+NLFdi06mI2zz+H0/YIfdRLrw6chc5929 + +jTgl6nK7e9/25tyQ/ZzT9S17g6by8X3vpuTfsdbmX7WOveMbffu9M4XnB90fjB3f3nJLS83VMdk + d1IgI1KnWnl2dZkPV3pJ3G5+C664VFVDkIJXfKrAXjxovEwLULjzPpeg06RTbOrdIow2ZhTxavjA + lVV7Mg7/9BM/91/+3u/uZvz+v3ccnPn43DFxfHcf2d3hZUeimg0rfd9K4vTWvS88/7pW6sYtwZSd + BCig4ijUedKaCepUlT5xq+3+7tNPcXRMKWnZqOSKyx01wwtjV5Bau9z6UKVJVEgN1678m0avNHpX + DyTQ/dmKzDPdb3siA4MkkOz8+Mxvv7LUpq+Vkxs/jtx68fq1UX7rw3/rj46O/vsnHicrdSSJLLpG + k6uKZpeX+SwNlJI9U6Aapaecsjn5vx9+8K2b4SfXmyt9Hd3XzkqWtQ66+wqjThVMWGv3QnfwS08/ + zdExpaaVJgHbrXK3n3QXPsTbV9r5TDd9tZ1GNdHppJSKzPuOY9w2k5T9lN4N5aVn6+4Qv+ytfzFc + eNcVd8hw0K6cIocNlF/83K9/7aF33nz2hTbdfuqJuSdccdvfMldXUaZm9u2vYrDO+XuHRxwes1hS + ISNKAsFtP5R5EdbbT3i9+FwOkmHZoel//K0v/Nu3v3cY1wo54RWX8w9bsSqiL7thyDzqWmjVWTSn + lr/XLmmXa3QlFxrbPt17vzn+OTRGz7cX2MVxzwe4/9X+0I02NJq1bciNnd5kPDtuktVaFZvWjdsH + dft1C/PJ4eqKoZCdLOdXI/v77a/QrJKZ6qrnJ/OFce6Ogvh+/NPZXRGrShVFUJ8WNMUxtVe6f1Gn + ZXDeTOcB6IVV5aWb7KXo/hnSdOU5j18BrHLUfW3ZvP5F+8uLRG9j3aJpHgnz1Yjc9trnV7aTMvph + ppTSJCnjoKgnO1Ku9MPZ955bdYdf/fjf/n/b5S/+5udYNbltKIWcSULSCkyvt5sDU9Y2YWJQDast + PSc38Y5N+b/e/9hfXp+88fnnXu9FBHV8rKOTG8bCYVYfR8dKv207OeuWf++ZJzhqsKE9ONyfZPtL + rDTfcuc78unCbJ6x8wk1X74JQBZBYBwZPQ0j21uf/OBH/uaL198M/Y2NwWLB6Ul/qA24+G7TcBcn + +TQGxRNunF9b+e7wXnaBno+dybzbTufC7rO+OBHlJbdw529dAVODuXBs0yRHR02D6jo329zearob + i8Wjn/o0Rwd0C5YLFJYrGio2+ti1K8xEso2jNrlpGoOKK+IwlH6VuyS4e/FRJLVtM9S+S1lUMCPr + xcOwH/f5bWK761zYpZHPL6fni48pSm0XTmo9v2qdbjRFkP26dH6Be8cugDRay5BSRkyyODrYKJqm + DHnWdD6ARqEaiCTapuaMa14dUuHs7OeffJq6Zayf/PjHnt0Mdx8srta6OTs7MGnMph1/t5rZ+WDE + YP8lyM8XCN+Nz9XmKW4vubRk91hXlztu1Iu7yMXDeDv1/XeJ+Ybph3lJFIC+p6p1nYj5QWW8fvON + zVJON93J5rMPPPqR336CJl1ZLLebs2V3MO+njojKxa9CF8f+ksl7+/ejO3eTEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEMJrQWStQwgh + vFaID7zY/+P3ffh9/UYoXk2V0XEleRX3DOomVBHEE8Oo2BTwE6GFWso9eXjh9DrjmvVpd9BsDVDc + kAK5GCQGyGqQVTMy7gqIU9NwLjOLI8JoLDvGHnE6ETdJurip+R8+9Qx3X6XPKJJEqLtG2yunvHyK + TBV0n2YEEnT68JOf/r33PfpjL5YOox3LMGaROqeGLc1VvORgYi403rn56EPT4qUebU++8ODDD3/h + C7yu9Y7aooYWUEatRmqdWiFTyrhIYkNvXStI2g40C8B9GJvaVuP6llub337oZ95VN4vNrZy0Vmva + BTUNdWxIMIC5UhWmpKWD2L4/nWzXXHTouavrTs9Kd3g12YiPSAOAeQXRuZvpIy7k1tDqYysFYBTy + osK4z7WBQruvrInh7iomU9rQc9KxqJCUuuvdGsJ5G9ub7OLU1OXTvlQ3pIxl2+RmX7dWVPahNgFh + 3IUkE5ZhX0E9z2D7bbE3FwObc9hTUl06RK0qIEo11FVEnDJNSBOKTHOmJqOgTbuy/rTJUoqbkNoG + r6jZbirXfTtwl580TLG5aK5TCXKKFvs+tCp24a0lDEvguwR1FrDB/Ja5VU05LcZh02gedyn0KQU+ + tdX3MT6r1i1Wp9t+FFEpyAZGaAvi0EyDvFgvnfKEiu8C5vnyJ9jubZigOkUTfc6w+q6u2GyH+0d/ + sV0wFIqRFKcqxawTEXHcmD/sOWw59zLP85nz8ZqG5xVRve31lVqpWRu0Tcqtm198/4NvP3t+Kb3I + 9Blpno6eVKjiKi5NbQ0sD9VqlropjAcHz62usbrCckWeau4mkO4oSfpcP52bkSnhSJsAWsgt6drf + /9JX/uivv/X1YDQ5H9Zy07HUUCuqU4RZHBVX3U0/fHeS+cUY7Xwwm+mzpx6I5s2tbty8cbP5/Xe+ + 81kbP/r0UyxbDlYsW1ksHO/JFZlGmCAbLphYZmS9ZtvTb1ifPf7wB+7vxwfr9mAcVmP1aSMAq70i + laqYCrXSZU7wm8vDby+v/vTidbSHABSoqOLN9EnJdK6Jn3+cyDzhByMrmPsgOTFPukuQJJvqy9SI + a+ewOUOPfT4F1eaOsl5slibIu2XBhTrFw+/IXftuGrm+fLjyP0cyz16rSdlvzAh4wrwfpWvQSrfh + wM76/CY5cPUybpIiAo7DlrrQJtddLVWqScVVp41Sxd0wcie19xEWh92ZdL/0m7/F6gpNR6LKPp9r + aZ4v5zHOZrfmwxT5TexOOoMKJEvLA26te69tbocyjEZiCqbLtPhP1zYmNOeZUdJ5ihtxafCM3ZDy + H4+uPPb0M6ScdF8R1X0mVtB8eyx0vsN54/6VcuYLGjEQM7E6P36+aNnln+c13wHVLcMBLWUji6Hp + HbPBKC2AuiVTMNwFfBfvVe+giFSmAj0ymrs2kC2pgnhljia7T2cr4CNiBQVNU3N6HndFDGmmTPXu + JCqyeywYMiDDFvqUG+9rJbmKpCJ116V+JftXj48jy9am4QmoC8WZAr/T578blc7XJZej01kggBsI + qohW0KNWbPmLTz3xRz/1/nr9hrNtMmZ1+mhcMFEXc8dk2qr20WVVbJpp6nidtn9HMSqOOiIskKvr + s2PVKzl99Wf+xtg1D3z2cxxdY7XiMJvIqK1BU2hUcbbjYIt2oD8iJaucVfoNw/OM41MPfOzqWf/w + qtGz64f0klGjL7RCFoqLoFYsa6M2DOLr5vD5fMhyySINzWJNvYskrtM1YcNuKz4/DW2XGNcKCZXi + yLSX4MWXWcCo3pKoA7mia144+bVHHnvLev2OzfqoDFszaVAYe5YJZxTHZbdYI+ruMs7p8qnru1vH + FcmM030usz77/EnhVZKRTDS5iZuayvSOdsH4uVrv81cSMjbgkBpqIYmbiYCoVjNVJEkdLeU0um9S + Ojs6+Lr5ybUr307pH/3mE3RL2obcoRlNTNcqRlLV6WJbFVdtOoRpNe12b6zN3fSX3O42fEipm3+d + 7lwb2e9lsj825/e57WjJxSgx5OKyAAAgAElEQVTy+X10/j+Vev7Il65mMv1x5y3750i5ncaaUSBp + x7ybXKiRTz9deJapeA2Q4OCA/EakIuPf/vLvoJX15p889Mh/sVq+8db28GTdUHObbBjMcZCmYXQR + NTNdNNt+aFuhzKv1+eWTTxdTGcykKojNV+o+nfb7JchdfL59aqqb2HnrWuZ1+GVdTGJPO4uCQd7N + 4VYxgTJPtKRQt87mXmlWN+SJ97zvsX/5jChLcSSjCoKn6VlHs6S7Lcanq6xptbb91jNd29T5O4e1 + 2G73irJ1CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII + IYQQQgghhBBCCK8hkbUOIYTwGjDFO2thW65ua/ayy6IhvuuzYgpT8k1Apw6v7B8OQjJ03B6u+Wcf + +fg/+MIz6LpZHmIGjhcEUp6DpaJUfChzZUym7qgipoI6VsmZnHCnyeDUWnoYa3u2OmKx8rwUbZgj + YX9SU+wy9pGylxbasnJwcHJ0XE7PGIaTYX04JYxR2wUUXaZ+oU0HrdrYaNM7g1PNX9dpOT15+rEP + PfL0E3It57TwuYCL01TMdq+ec8aLdu2IV+pq2TIUhkEab09POVv/00f/zl967sWf3J4dbU5NGaq1 + Xbvtt0Y+aFc29MxtRaogTuOA7UKdqJN2ZVxXuiQvbvprd933ws2T9vAY25JTpgrJXFQ4OR2OjjKi + ZeizplRICGkkKSnhNqWvp0lS97VsmHrViGRtylhrdYVaqtCk1HitJrvq7P5QOzkvaumBoS+LJEeL + FTdvNFeOcZliilNXMclt8Tzdd7SnaqlfqJK/3Ac9lSV17k6CKT7ilnM21MxSwqtWt/Ryz+NCkxdn + /WnLXK3EZaxOSoBO0V6f06m7x5y/dAJEp0Jzmg+YGQ6mt8893x0eQaf5si3jIueDg+X2xdNlu+gH + b7Xd2lbS1ItlV80DMKEaTaKTtNmuhfawO+hqoY5THVLmhKoqU49Wz0esF0b7Zy3gmfDy3b9sHNl4 + 97Zn27MYWaTi1bRRVTes1NTsRzM9j17MhNf5v9Mgp4q7brZD17W6PxKOZsapjn16ysnJtee/e38d + x0rTMdgdI6IKuGZSz3qZs1gdR/JdR9+4+rr3/9onWS5p5qa1UwU5z0DK/k/l4qy5OH+Skg446L97 + 3z132QtHJ7Is6yyZhrGMNie7cdeLn+P+MP5ph3d6erdV6VdlHLenVyW/8fjoD9/30PcOlt9O+Ref + fBK9KauDRUqIzm1bNwwRa7VSCzdO/8+Pf+yKD/ecbd69qcdnW6+DzM3v3YDE3FUbMcONnCiF7bL5 + xmr5iSefpF266i4JumsFX6jwXiCgBVRJjdIbCxHJ1JGxqv9Jb/blDdWXi27Y9s3ywMzoOoxqlmkQ + EBWqMiZSRS8G3NntY7KLKN82V+U/tZj852kuX07nV9plTwXBkdyUoeZWWWS2+r22uyeP3Xh6kBJe + 6tR/Taza1XqzXpDE1XXXohXDE6jb3Boee89CXqZv9v2LV1/HYkWzrHm3lUx8Sofqxdv2m87FQudc + D4ZKMWS97o8Wq+91zY1BlyLZhSK7PaoKzAHd25cmwabEskGp3iSx6qfmzx0esOzIOV18Mc7X7MTL + uvTaOQeVd6Py3e7GfmLK+U3TnQvWoHW01LZmw6b3TujaXHaFeNmn5C8wVMlTBNoFgza3IoKkYmRA + XN1FpiOkcuFA+f5MufOJ1cBlmjYFr6AiUwk8Y2vEc878/+zd65dlR3nn+d/zPBH7ck5mVlapVBIX + g9vG5jaMDQgEEgIhcTO2e7m9euxl93TPmlnzj83MmnaP7bGnG4MNGJAEEmBj4yvjhY0vGJCQVJWV + eS77EhHPMy/2PiezBMKUxuWhh+ezcpWkrJMn9469d0QcvfkCqqgEKFYsgfYP2Pezfo0Ah/nRpWnZ + 6occm2p+B1PQrRfVbvMRnl+/m8SMwVyApBo4MAsfHn59sbg8loM+H3BWw4V4ttK0m7WLY2NT/nYa + SvqO6W36flYEtgWUuhWX8iOXlut+/ffvffjv2sOvEf3PT32am9BoQbVAEihDrQmG1bBoArotLGBb + fvMDHzy09bXt9s2rsugGG8uQUhWREszQtAF91nnhJyFJOkaJQxX+PoR3PfYpNDUC99CIajcU/ILl + rNDFQrACStMMSoQyF4w5kAFaMhtIFFRwcoLV2eMf+LnXX795bbM9EoxWlGAQMrBdmJgN5/XsWweL + DRevJe9Xmdu6vN/5emNMueILK9b+lcygIGNXdESzjGWbICyqQ0EdI4jW43DQtv04JOauDduqOjHJ + R4fPiXzgUx9H26KusWgRawSx6dnC7vEBYCC6dSOx+9cXmVW+44y/v5/6Ll3q7/qC87mFv8vrX3xr + /eLf54t/KS/82xc3nUxbGTBya23dICFuf+nzX8JZ93uPvP9qqI8jlZPrV5r6iMj63lKSUFkpGWb9 + uFjGYUhNhaFDJZhvHwPASgBUaYqZTzfc/mho17Lev952xeuXaL/QvPAethe8xkCIOR2tT14T8Gtv + vf9XPv8ErhwjD+AaJiZUzIgQmEvKEsItwzg/L+dzzy3Pzz/HZ2XnnHPOOeecc84555xzzjnnnHPO + Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn3D87z1o755z7wWAMY7CV + C/0yNgSDTRnIXRdxKkHqLkh8MTlnhEI4knjP6YCTDRZLxSAUwARoQcpggFsACUjDQV3pukrUgRim + BqZdiWtR1f041ExkNmQY0FQwbs7C4rRdwqoyJAoNC41Dqut4h0eHgHrVDVLRtlvVgbbJllFSylON + UQkwngJ7U6LMYBAYgQIko19t727x7Lf+EdsVakFp6eBIa1aCYgp5gwIAZWg/9ggCaQBsgchjDIr1 + BifXP/nIe+/r+8t9F7aJg4xaqqZO/SBAc1B167PIYIOCC2Cm07GJgQ2YCuW2j86CDAS7TNiePnv3 + 8urNvMG4QoYYAUs1MkY4rEYCQ6iO2I5YBVjBFTaMJLWNPWzRTMNvCFNZTQHBCK4YyKpDWdSNQMuY + CZBAQ95GsBEygwHVfZVUu7xq0UJIzHKxOCTEFqcDDlsSME2dYmSAWLHL44UppGgXmm376vp57A3Y + 3cZlLpvyXA+dsu45gXTqlFsBg5hr0/488b4bNyV0aVs1FeWxT2gixxhZCV1CAU3BYIKcR7h1/ybT + G+8OcArH8nQ8PEU0iee28b5EaoBCDGCUENGnIctBQBpzRD1YVzU1xkT7SjfmM4UhBBBoTCWCQrs4 + u3F9edwgKZRhUoELIQEdEAhRQAUATJCAaXhD2QU7/9+lrb+HNuf/+PD7f/XzTyFwaCQjFyPiSBxs + LlODADGd++wEMGdAoTyVF3XKpqInUFMlIKe0jBGS1dJYLMoCpxuc3Pjigw/+OFEuEiWMwygB59fX + UBiZAKjo2IIsjaVgUcevZX3L7/0uXv4y1GEq7NpcPGXg9pupTf3wY5/59be/7d2sy5ubzkoYEds4 + DqkwYBCdboYC0lvu6u+XRoApN/3aVul43fzkYvnVN721xHooWng6ZZ261oQMsGpVsSyE7u+6qqSj + EHR1liBhl8gkMynzQ1cYhXGSyssWlLZmRJvm4G+P63ceR8hugQAYgXZtdpryujbl3lmmNuruKwOh + ZmboaisEJI23U7U2ggjnlBlYb1fjcQsO0CpQjQwQJDCQYAlAQA2CQelidZtYDCCG0f5eP08I/7BV + rXd43/YFamFAMQKBQ5zu/0hN/d4nP/2H73z3azvjbj0oFFi0lDrTbtvECqlgilnfMjOpEJmBq1pQ + 8pi/3ZVnXnHPw499BiGAtIANCPs7f6qwf9/XgIAKDCAujrBZP/LEpz57/31voiDrJIgGLpwBiAEE + 0ul2ZZDeUuo1NtZQUS4WwVQvr7cRiwpDL/XBnb0fbJ6HwfM6R2ABpmXFCAUw2n2zgAwNRxCBgLO+ + Cm2gTSEgaw0GVOY9yTw4NO20DIUw77p2CXPLo+WEbhWuHAAwhEJBkQXMmOdYkBjk/JCwmwhZpgMv + 85KVKyowoMgU/zaAuIWuqkJVMhhMwayBSYsq4ftdv3rDemA5QIggDCmFOsYGpSDMj3MA1GhXDr7d + +XmnTCO/K4oLAGbTwhwg4YN/8PGPPfie+24c2dmNKGJcpvq76LzdUqJCKGyMAkAJbJAyvd8+aHyO + bOr/MqsKSQ3dnG7a5WL93PXXH56+rm2+8oafyBKoWaQMDIWNmZkjowrbbrVo67LdLhRvHnJTtbrq + lrFRpJyLhPkqtUFKlw0IdZuGDiUHRgx1l/As1X9z9eitV1qQguIhKtVdbdx2G32aPwvk+SkLAAyq + gMBgsIA+WWskpNn6Tq0OywIFurA+wU196p0/86Ob9dUxL4RL6iOjEJRu2VsYvYQl76VgA9u0pZhn + qMJcwGLKaiBlTKlt2maLKtWiynkcBxUJmz7XxCbIwUzLoomp60sIJzF+a9n+3aXLv/zJT8EUdYUQ + sFgAhLoGc8YUT1aBggw8DWy8gxus/+optBCycCyIGXWIDY4Zzfihv/hLpA7d2f/2gfe9pks/mlK9 + 7a8QWR4zgVom6LhNZkBALSjaGDEpGReVBGQxMKEQDESE/UJFAJsSwGZ04W5UUkxrx507WyJliXVF + OR0Ppw9j+dgD73n4S5/D0SGsQQgFMKKMTFkXEqBqwtMcbNBCAKnM3fTz06kwTbA8r8c/nHsa55xz + zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 + 535QedbaOefcDxpVmqKJoF3RevoOYDA2UkzlOeh3xueSIhR9+Wb86Ps+/LNffjw2DJKsZtDAoQZb + NiLCMKDvl+t1VeZfOgX9YAwrZEhjaeKllM5UUTewjJSwquyZw+WHPvYRGIeqBZEBdRXHMVfVHV1S + A6TuIOvx5l1V6HNuah6GPBVfpzihEU0BTzHQNDSEGKnr7KhBTNBu+9rLx59/8J1/e3z07578HEgZ + kZeHoKlWCdLMzAA39RIATDFmWMY4YrX6tUcefUO3fePp6njbNRnEyFqMed0PSyERvrHeHtfQDBiM + CMYEAcq+YL2LdwK7gB4BmsCMBYdt2h4gffwD7/vgFz+FlBERqiUGtEXBhO4MkrHJv/aun+vq6n/6 + /O/SpRZgihKA3GuIu77vzhyGFQkh9P3Yp9y0QEafxiaIljK95DztaADQxmVJfSkWBQTodvu/fvjn + /8PjTyIDDDIw704JYOgcrJwDqPyiActdfX0fztxXJafiLVJCN9AwhBCGkkIr1iPpEHYDxQa69WAl + lHFA00AH3a627eVrCNUtEU0FEfiFx8P7QZredlf+3R04KQhT7XCO5+3/VCiA9mDsxpwR67YfBgma + cqouvu/+EAk5owoIBDNL3dk9B8ep9B/74M9++E/+cupoyy4ufmGskHahUpmecbuzwcU25x/fdPj2 + MwhH4ChVq9R0Sat4Png8/7FLEWN/I+sUS57Ol3dN5TpGIA/Dpq6rRgj9FmX41Hse+omT63UmkViK + RqqyjtNP3TJyZJ2Ni1osIQm+LfLM1XvedPUe1AvINBRKc/T3BRfv+xMqXL7yS1/80pff+OYrNTcK + Uuu6VIWQLQMwLmpT2Rp2fgfdTuqZwIpg6bKC+kGybfPQoOZpcoKCypzwpSlMSgoeoG1dl6EnEEmt + BOS5W2sgJZvOXEmz5muX2uGsS4SbVfW1KP/u8d9HUIjCVAm2v6fo4i20myUMAISQpgC2YFQEQ2xq + rLr/5QM/855yOycLJLWqimMpV46X11hw4wSX7y4Fsv91UyrVCCAQ034G3P85zR4XBtDRxb53AZgR + GQpVmHBBVVGFS83fLOPxjRttSc2yGrZj7iwIuoJ48a12KWUABlMrQWI3DgRUgeXK8VeqeN/RASoB + QaYXTheOeT6U758BfZ6axzDFleOb/+pVz/zZX7+OYMaYQrKk8/T7glVjqi0TTcecixWFVvG0aX71 + Y7+DRhAbyoDc4Ttk2l8xbKrK77K7MBD22fgLlJAMgbBcDn2WIGMqlQhKmcPEtF80563dfFX5lhOJ + IlUAmoqtjGOWUImQIOCWV7HuB4AAZNiUN2bdHZSez8/7P0EKZMU2h36oBFnBDWzEkK36jkn4e6xf + H//gz3zwj/4cjGEcQ1uFOpqBaO6Uzz8Lnubm3UG+tIvANu0xiOemuIFYkBhVjcvNh5/8/T9603vf + sLhWxlOGGc1b4mlm3S1GOl2facshU1kW6fxEaR9ThwEgEolDHtuqkZJz37/6sF2vunazvqaQOqcb + fayijpljBdW+pCbIkEuQtRkMiJWcnZ0dLe9aba4fchBmJU0JkQHjglIhlKGPgDRV6se1DkN79cbV + y7/8+MdwuES1SIUjXxhPnecCg+4663OcGRZ0v5kizeCqJWRoHkMjQbS/cb1ZNChrrIbH3vru+2SR + tuuFMGkSARQyrabzfaKwl7Cg3vaF3T8LgIkVIxCoEANkxGasgCgZT4l2LOvlZug5saqkMkpVL4XA + VEhXmjeCoarG5cGK5UZTf+gzj799scTRIYgQBCzgoJj3KdiP3vmxeND6n2SgADVlUgOqMKx0edRY + VkLAQfXvP/8Ubpz+1iOPvuFHf+z0+o0ljbbZLsC50+MIYuQeDDZmnR5BwJAJNJXLp89H0xxrRDQv + P8y63/bPu/C5635nz5VLxjZtFxF1j6NRh2772+96+N989nNY1FCiGFRIEEJQDANi1Fs+duhuqlEh + EFimaf/8s4k3rZ1zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz + zjnnnHPOOeecc84555z7geMZG+eccz9ITKfeYaFdDPS8aLsv74lOpUVAwXZhLVNCrIXH/uq2f9np + GTZbdFtoUY6BD0krWhUuhL6DDn/woUdfuTlbpiEaokEMohCNsBpoA5qcRkKo26WO6DNijH1V/+1h + jbuPESNANnakpRTEO9u0BpgRWju+K5GNY46EcVAlVG3AHP5kBWdGIZsSfSFQNw6crSaMA6hmBcaT + m/+N6pufP/nMfe/AM89hvcbmLGgyHQVqQ4JyWhcUxsh4boOTLb5x46Ov++k//an7H3z62y9/+rnL + 26ElMcCYM8iImUBk0HJcg8PchhRl0RBKCEr7WqSCk9AglJkS85QqTwACUkkybl6Zy+vOhs+87d04 + WWNYYXMDJye4foqnn8fzp4+99g1ffu2PPXD2zVfcfBrGsDanesyhL0Ytgy8WJQFFUKAAZH0Z6kVd + ETYdlFBXSFoAkEFMZZeBZIANKW0VpaqQDVIhmsnJTYwjNO2DkQGIQJzLmjbnT6mA8pyM3DfbCAY1 + ZEBhOvV8xeYkpwEFyFPndzX85/f/3BXQMCRucNaVZFqFRgm7pjtANvUnGVhEpHUhAxijYVFXIWds + ttjljufUo4KmniECKAhCBKYaeoCKZUImy8E0mMrc0eSy64ZOBW8jFJn3jHEoGPuKKQOZu8Ido4Sy + q9ZNvxIM4+nJVQVzYGYGE3RYnx6Npe0zgELzcdaKxlBNh8oZnBk5IFfIKLvDvZMZvMM0/MTN61/+ + 0PuxPkEZCTQCiJwxTwvBduFP5iJciKfrGKdKKOl02KBcs6IMYkmgOaW6agHWTQfL6M4ub1b3AksG + WSnIBBEVKbyf5XbxcqWATSpW4aSt/vSuy+//3BMICyBAGcZAERiViBxAtz84IrAG9eWbi8vPK/Va + QBZAUIgyGwprFtW5s7hvnt4GNXBAGhGaCrCch8XBsWIwjIZsyAYzw/wFcEtGpcCS9rGOBvQ2FiqF + 1aAGUy5ZNDOm6rAmHdZ9FWRTxWdece+jn38CyyUOD8DnzVCyaazmGwvG52NlgGZoijpUUAYCI1BC + 16Evl9ZjpbeTtTaGUTcOFiD92aWvf+PJX/hF6HqkYT8bGCKoAS2hAcpmsaDOqDPVhWqjGhRBERSM + 2HZB3OmJfykX4P8v5lt7bnoi5wKZS9NARL1AE3/lc49V164CPA5jXaMAxGiauk/jrdPxNKaqhCAo + yG2sq9Cssj5r/B+e+gIOlmgCSEURypzKNZp3I7cnVvPvXSzQ1j//sY/K5UPwtG/R6YraNLeDCMQG + NpDCCElQCACxoSGo4LrI8wdLHLao5F/iwzspZDAZChJQBEVst5bQ/ADul7B5yQNA02JoylIKAgmp + 7ZvWe+fLGTDFUMv53W5ZUzdukc4IuQ4xKNEWlKZV7PxKCjgYBAAXSEGYetbKQDAEgIH9Qm0BJcAY + SIqTzX959EN3Iee0DRF9hwGIdcBtrV9DQr9BVGtjp4UBLmZjCXJLMXjagJRpEnoJ65dN9y7rfu9r + SIQMgCKMUVU4XH798ODvYZk5qE5zBSvPwXQqYiXqtLPd7x0UyADOD3S/syYEkTElaA5AHvsqVlx0 + teqaiqRmA8YBUeI4JoZYyijWSD3kUkuUxcGoSIwul6O6yZubh6EqmnNWKogEYc4lVWEBDgUmMVoa + wQh3Hf3D8cE7PvY7ODhCqAwNSzWMF+50m1O5u8uDCgj77RMiQwpQUAJUDCUbxwZWoytNJbhx82M/ + +Y6vvPbBNw0Jp8/E2jrpiuRcDLA5+E1UaA5L0x3OBivYrDarFaIE42lVN3BhFDHdXSkmFVYRZR36 + pcRQmAst28PtOKDSIY2GfJPsmWv3fPHa1df/+Zfe/pdf/tAffxnX7sWVK2hbtItCERL6pERggxiC + QWza6YUCKagLovn/EvweiI0qUBSOFagilIzlknVQEoYE1A2ODnHv3b/4Z3/2uieeeOxHfuTPr778 + 2cvXtLq0jDWhQhYGERFhYOqMklERDbEIGdgQlWLhoBSUgoIMbLTbArARjAjTZxaFmPGd3BKwIRou + tcsosRjA6RUBb/nm9c+89UGsTjFuRK0pCEAqxQRgM+z3Szp9MjIgz1OLioIKbn8Rdc4555xzzjnn + nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc879y/GG + jXPOuR8A+9qoIqoCmGq+u7/DlCFlfGdqlJRuSdANQ6mJDrTcPfb/5f0fwLZHSgBKMSihFhBQEWxY + pL4ehmpqAU79WlOGAWKgDANIINp1G0Vc0HXQ07H+5U99AnVAU+ecKMaSksj0G+4kIZD+dx/7WGgP + GDBCXVEIWHd5Pw7TYExJVyMYQYCSUTe1GvqtSsBxLeHm6t7V+rWr9R+8/f7/821vw/PP41vfrm+u + cP052azxzW/GboPrN/H8dazXH3nXu750//0/vVq/5vTmj2w2RznXRakQgVNWItKcDw4Ph4wh42zE + WQ/dVXAZylCemqznZev52PZCABhMiEA9rI/Pzn7sZP2HDz78f7zrATz/9P/1jvsee/cDn3vPe798 + /wNv33Q/1Y93XX/uWn+GdY9tCQUSEQIpzBhzbFP2t1NGSejzVQvj6Zabpo1IGaWACcAc+dsn4uaC + LCyGuBlhgn7Epbq6kgrGATriwu1HAIFp30yl/Zeex9umFCgU0wAQg+Z911S2Po8124D12ZWh46Fn + gRmaCgYb8rCP4k4HvK+4s0GAKCgdAqOua+p6VBGUCvIc17w1sD29z3lR1MqU3cTUpNMprrg/xalj + Ov+sAUUAQRCDWhUk1LjZDRyZgSp89/IuGy+auOoTmElIgSZIm3SRC2w3mLss+PxLCQabbpO5Y8qM + l5YF/b7VxY76s8s3nvuNR96PbcJmG8EBkH2Kz6YUqO4z5tORn4fUaQqJGkwbtYqolDGECCNsC1vA + yc1fv/8dL1MKQxnSmHSo6iZZmS5Sobl+qjRft6qCCTqOz1aLf/3kF3DpGHV16/xHsN31uu3BYdQL + cP3eJz77j3dd7etWi4UQsmbbZ9R39/V5KP52iMAMMdC2H6WpM7Ba3wyhwlTXZAKBsLtFCV2nDBwd + hC7BUs4wIjATYEq2K1XPtyIMyzoA1FN1s13+ZWBcWoAZXE2PGE+PuM1Hb7BptArtYuoAUECjsG67 + s/lZyQqJyNpmlds7XTRVRYAIyhY/2iyubrdYnbWiFzK3bBTO/9Pmqv3+y3bffOFY24U/f0jY+efT + +eGaJyKWSgpBDZrAwFAEB5ewqP9ufaqLpssYBtQVcgJpke/2YCgBpGOBqm3SUKq4XV76RtWiPSzN + AUiAXW8ZmCLzL2XsDShmqiahLI7RHFyX6rSUMk219oLb6/x8laCkyjaVlXNGPKiux/iej34MTWOh + AQPlzt4NuzuTM7iAYHK+NND5kc+z8tS6FqACSgJhWS2KamAxK8A8mey2czCatwEAeLc4zn9LCsJR + EKjhbAUt+23hOcK8Bs4T8ZS9V4MqzpfXAATMJezp+QKAkrBZHw2Jx54ZCsQIUwxDxnfzYuvXMmVU + lY0DgZhlLGAhoQJK89dtzpYvhmwOxOr0hgQC+gwIIywgS3D9bz77+DN3HfVCBJCxQZTIMF8pmjdg + DBM2YSPQ+XjsnV8CQIBsJnVdgNRvGw6HVW2Z1p0GDlXV5JKqWCs0oyTkVIaag5acV+uaJYiUgpTG + DgWWhagmCqEKRCkrQEDJOlShLiltC6yRv96cffWwwT1XUS8hS4NkhdRT3H13fBeHBcCuOq/z+IRp + NSYrqe8l0pzVHRWnNz/y1vvecrJ9w1AujRuxXjiDTBWhFdtv32zac9wy+dwxRMa3/F+43RQnMLJp + vdNpVzDNV4CBFDoSUhm6xaJ5ui/Xryz/+tKlv33ZK+/79BO/+sSTqBa4eg/uuhuHy1JX6zxmgkXe + pBJqLkDR3W7c9nfoxU2le3EMI6Rx3uhGAQhcAWmAlWSWpLLlEQ6PcPWe//GJJx/99GNvevKpP6zb + rx4ef2t59K1iWi2yGdmyhAcAACAASURBVENBmWggmgr1Nl1YOv8wYmQmZvOTCyiRgnVftr6ze2EA + AClDrdvokBY1SoJu+pdtuzf06bcfegjrDW6c8piDlkqEAgMadilrgAkcdl/A7mbbrafzU+acc845 + 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec + +wET/r8+AOeccw4AjECkKFqXuXvFdt7KtSmdaACpWoRBkHQXSLZ9StnQCGkpaptjPrj329dxY43F + sUCFGcCUaMxIsSq95jpWSMWozL+ICmwEWMEJWtVhGHoGDo/bf1x3J1eP3/nYEzhcoK3AFCQawHVV + 8p1fTYVxvMTp9RwPiM/6nEksFBzUZKNNx85QVgNhijRvky2XlW1L6VKFYMTZdBxyCLiyqJ89Xb3u + oP3JIX31jW8dY6tCYz6r2xCaerXaBEVVcBDif9tvD5hl6JsYhsFCjX5AZVmY6thshz4Ctu1r8MBW + jmTMVnUmcwx0TidOzbypxCYG2Jxwm77bZWjGUQAC8WC1jfeqHNxcXTm98fRDD92/7dI21+GwAaSM + YwYRXlY1//FtD/zqH/8JrhwYeOy7tonjdBsQC02XowADdPjdBx79qZvD3dVy3d08CFIJUioVB7O8 + v20uCuCipaqxHnC5QtcPL1scox9gCRxAsWDq5k7VSAZxIWTo1IWM0POMNwEGIi6AgRVzClt2IwAA + rIYC6hAy0ka5ZEAUpBCQUFQbsTtOMYB29UkNIDWYBmKpTk9XR5cabDeg1hBANUimCyBQGJ/HGm0K + i7JM8ehdJnvfmiNSnqORCuHdUSMBQhkpo+sU1Be0QUyJIzZbbRn7kDAAArEBZjqmBU2hYlR1DeGy + 7Y6qAE2CDDB2D2YhTGNr0HnwLsSV72jY2khF4lL4ntMRZxmtVDrSWEGAMF3pAlOYARoo2K59STi/ + 0WUq4papIQoRAQybLUrA9fUX3ve+B8/ScjMmUBVBgbpxrUBFEYTCOl3fXd0QOmAo6OqDG4urWFxB + s8xqoaJdjVIMTDJPiUABBN9/nNKAAWhbXD2+/4uf/79f91OXoWMeqhpbVQBhekJtPseXUGkdC0JE + VqsCNv3QtLGh0HVdIAGYdH6ItEzHrA0ZEdI6XwrRsrZRztIYucxtdQMbgs5NXBBCsgK5UejZo0v/ + w2d+H0eHoBYDEAlg2k01u5lIbbp/wTKdEuv0ABvQtq0AZTpiKIZUtQuc3sbJEjAOfUXMqsTo+m19 + cIhs6EY0baa5uVqgRiBhtjkEPNUeeSrcT6NtAN16IX8Ik6N0HpdVQHaN8Sm3rAZhBAIZREKXc6us + 166ePPvc3YeLcbVFhgGqanMr/JapY7qrhUEVx0Gf1eFrly5/+LOfgzRWptZsBuk0xZeX3HwlIBDC + lDiOYvVzoXnV0UHYdm0pYrccku2eg+mBTgIYAsGMLODZblxfvRf1AqEtEGGiiu5o2pTAjJjAeZpZ + CETzgQIK0gJMjy+gUAOXEUJliAT0W/T9fE5BLGdg7hPTbrtmtNsGANg13QuBAVXE1eq37n/kF//w + D1FtVvXioK2mh8J2M9F0QXkeA5m2PDr/Ob9nsAwUEANECPOehDMa6SwX4mLQ6V6yqiIx6+Yd5jwC + 32v9uhwj1h0tj1RHayoTFACBGQZkAoMJxjK/mcIUCC/lkk1zwrwhnvuwdUAaEZlBNSrgaHjvJz/y + tbc/cFc/KLFOG+lpxbTpPaRQAJg0MwpNq6opwGTMht1GWgEMpdRNg2HQYYgAE8HKOOZKokERQhkH + A23T0DSRkjEzE+UxhyCUy6ClKizCuejhUTucdXWQnIuNYwDqKqYxKdIIU+QCLJfL50i7ey7/+8/9 + PpY1uEZPFCABCbCCBQPQ/fLP+2FRABCem+UEMIJAAY11M79mu0K3efztDz7Qj4elH0vfQWNEpWCF + FpS+mEABURh9R+v7jmEzTJMUDNPBG0jBBDIwVMHGWmjqWxsZAlPKowDS0tjljvjkla/4Sl392yc+ + i/YQY8HRJQhrQQpIWiLSsqLtuKqruqlYMQoJicx3+G6t4Qs5c/c9lBGhglTTpJEqomG9rhdL1Kxa + WMKoiVAFFQiwOMAyoIzv+6s/Rdf92oMPvX65oGefu7dpMfSihciMigmKQYoARLtOO53f5NM/yQgK + AjGgd3QbfIFRhc2IZSXDUOpKhHhU49Pn3pqO/+BtD739048hDbirRdsAKGMWiUQ8MAxoDCjzpxXI + brt1oRavd3g/75xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz + zjnnnHPOOeecc84555x7CX4IU2nOOed+kDEZsdGF2N5UQr7wEpqLpDzVZS/0egHAjMlCZFuvX130 + 0x/4GXSDDD0sGSELAI0lIeVaqSQF5gytEZSgc0g1S8zd0DXLmAjPr/rt8d1/tWhxaYmmLiGCYLAC + KzAR7AOGdwphRMZdl7+u+LZSPKgYiIIy2HmI0YympjIAoA3YbMYCM4hRZRRyobpqoBhWw7WIo6Gr + nnv2J8fuDac33nhy483b/ieeu/nKf/j2Wzbrt/TbN67Xrzo5edUwHGy64xD7LpmhZCwaMUKB5dS3 + IdYctcgGoT84uuujv/d8XfUhFqLCpbAaqdF5EJd3yd6pmDvln2vBYQ0zdL0hoCKg747T+ONJL397 + dW2dXxXoyrBZDr3lUgWuGPr89fsk4Pp19GuC1hIBBkJByOACAAU6wgak7t6s19TSuD4INSDbVKrY + FFWAp2TgnNrc3WCKAlhKOFqgFEgaDtZrbDfQAlJ7kfThPoipmMKoL/gCdv92a8stQxPZgLHDdntl + HBYMAGYQIQDFyq2/RckwVd+TZoBAkrP2fX/cNpfy+Hs/+7NIXcAAjEAxTKHoMB8D4fzP6YCIwQzi + 83D0+TiwzT/IgAoyYYQN6MZf/9C/5u0oEgIFTdr3Gl7YdSfs30wRQ1AgCsZhyNtuIYTtGv2AsQcG + cMIUrQewG0aZo7+s4DvdtJ6knOIwvCrjUw89ihtndHOF1KHfwrJBDQAxiKa4KNnu7AwMNbAiGAIQ + UBgQELSMyD1QcNp99D2PvvLp5+5dnTVqddXkjGGwEIgDF9ZysdhKUEJhDAq6+9pfNwcfeOxxNIuR + ECqyNE6zXwEnINM0+ZWXkJ1GACLb0QEuHX7r3nv+sapKHVKaL5soppTwCx7h719VSZ/ADCPUFW26 + VErSWyryrFPFnNiIswEgASNrQVHVhZAVpV2HeGbTLBJH1bGpT++5+6FPfALHx4UFSmCB8cVG+x5B + BWWaKWz6pQiGkCEMISAIIW+wPQXGxdmNqLcXOY2QICEnREYrHPvtbzz4Hpyt0W+CZahClacM8BTq + hhJUoAKl+Zu7L+j5g0jT+vdDZ5rlJmXeBMzDx4SUTecYMprQoGkf+d2PXK/jWZdaIkzxVrNKgpLO + G4lbB9EUNmgxDFX41pVLWDTgKmD3m3h6ysx2qdHbxoCgQEcbAKA6/PlPPfZM3fbRMMVrbX9jzyen + NCdm2cBz+pMJ0Lb5Rhtw0IIiQEp0vszfMbTrQ+/au9P0tLuB5xmHgWlWVEMfuWC9/t8feX+bcgVB + pCFnu7CmsDEbA6xAIRQGoGSgC8VTYRyAX12AzQZRmSkR+nI+Q+IFl8PCPgU7/8Nw/swYABOoWEZO + GAd0/XFKCyYAZggiAIoVu+VN/4n1q16d/udHHsXZSYuxRVLTAjAIqjSNyXRG81UqmPvEL+kykDKU + oNP9HwBTxAgwyqiQCgctrl75+tHimUU7SGQDyIznJdXmaZYxj5Fe3DK/gBJq4aHvzYympjVQzCoR + KxoheRyMiAQiSCnlYimXnHMINOZihKapU1ZkZca47gjIxYgFIA3cj0kCFFoIFKSi5sZg31wsHvzk + J7BstYmgABES5AIGKtkNgpxvYfafCSa8u+4y/VcJAJAT0gb9+jff8cBrN/3lmzdD2rJo04ICxhEo + CC2ZTTs/BkC7Zf0lXqnbQkpIhDSltI2ghkIXzosUKNMGdb40ZlqFkwbfbuuvXVr88dGlN3z68X/7 + xFM4vorlIe6+jJr7USkAQGSJzAQsqkpgSXsGEdSQbjk7m4va3hj+J4UKBVqAgiJEgNWLJUhhShJG + TZHrwAIGFIhkTVuWh3bpAEdHv/IHX/zpxz/9lXvu+ou2/sbh4qSuBqF51Scoq5IVtsJmbMZGmL6m + l9g82RsZWIkK/UvsB3KxNqLkEgOnVMaSC+uB4J7N+lXPPv8n737oPz30EFZb6ToMnVTVC39+Xq13 + c8086wPTMnLnj98555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 + 55xzzjnnnHPOOeecc845d7s8a+2cc+4HgmkGEZhHgIwZYkA2GCloah/u22vnUbv5+zblUaf3QSBY + 1qXgcN1dW3VYd0gZpn0ZCkAp4+bwm+/64KWRBDL1w6YSamEUnkKLRdRaQUlpFAzV0dPV8peefApX + LqOuy5y2pQAJEKMXzQT+sw0OQCEghEee/Oy3rl5dFwRAFcQAhCyyGUNt18clAxQ1o7Bm0UQlwyIF + Gi1ojMaWoAWVIGFIYUjSj0ZGbUORB7Ze55K4IoCROCAEq6LVqbdsYBEFDKWojFhs27v/nhocHj3d + 1usomTF9JZnSlftEq4oamfHckmYyiMISoGgImlEYFpDIhoymJo1YjZYDcdMYApfaCu4iu/f55554 + 9GFYIssigYzpvO7L2AwYC85OMXatbkpZiehgQ9axJWjud31ZFJ77mvvWGoHISiToCDLUoMtDevzD + H4blKUIHHUwLAapTdzCzDhVSDUMuABee66QACqErCUBADmUImkVVy1hYjVNJZ9ABZ2us0x89/P5X + rsZFXwKghEFNiQw2vdv+ytouhicERjHNgVEz4rC53G1f1m2x7bA5RS7WDQRmICdgF8OeKrlqCcip + jMaqVKbO5ViKMYyQoAWxII4lwiLGiJFhqFHQJZx0P3bSXR4RswVigdaQCOwfwBcQsOZMhGxgBhhq + tizlPz38CFYb2FZtDSRolpwrKwEIpsGm5z9FQAoo3dkUHhkEaFXv6s5ef+O5v3rjW5942wM4+RZo + Ax1y0RFxizhS6MtulkkDSkIZSU2mErlBMyAMlgwTKUhrnN74zP33v+n6jcu5Y+SApGkTCZGAZGSg + StalkKKJsAJh6QpyhbOq+ZvYvvcLT+KeK2g4EJCmZmoylAEYsEusm95uKrAQuoCesYVhsXj0qc/+ + 0bW7zuqWFJKwZKiiTAn3ODWW5XaHVEdrwJaZMiPRgkFjbgliRawwJUYCJeOkNBZOKjkjgdSoEKNY + LjAjsIEZSshCHWjkSKjN4kjxpG3/rBXcdQmxNaoQpqTkhaY1AwQGKnBlGkqhDFIUTOH3mFAbKgbD + Row3kM+Qnv/Su9/2mtWNZRpv63QBszwGRlYk6MHY33faf+Jt78LqBKvnoQO6jgwBQB7JMkyRs0AD + UHLCHKClXUh+/7b6Uprl/5U7L1jvxkKhCgAqAAExkIRpsp42BoxF881KxliriSZUAivGzIVQbumi + z3sGjrE3SI1NwC998uM4OkQAxnmwjZBhghym7uztngChAJkAlAALAFhwePz1g8WGtSiIYUbMMcSY + oSwaiUuBgZUQDdHITJlrzpLjwS9/5hNYCCRQMgbojldoFZakdDUQp+1EBkxR0i4yzjwHSrlQMEiN + EeubuLl6zfXVwThS4K5kqualUAwyd5bne7sQMqMQAGUj0fk1AiwCY3MKHVAGxZlA6zAlh+cMvBjE + QFPi2KayNWtKMkXhy7QLCLlEIEKjdR1ywukpzrZfePCR15ys23EjhGBALiY5c1G6jfXryjjc99w3 + H7//zTj7BufnRW8aRjKiLCg1NO53hAbYfDvfjv0Nt4vcC6BQAJWhnV5AQF0VFpOIZfveL37+K9eu + rqSuwLmoBKjCCBRCgTIsoICSEjYF00J/ERkMDONsGnf7DTMzMyKoTk8BG3NhFNh0TStCJDCgxQJB + CXkcInh6KonAxEaUgRyoYy0VClAUocVmGAnNOh587fgYx5dQV0Y8PfCZwIIKCDqf6QgMoOkI58VO + AEYGCqwyhN02sgTkcQBtsP72J97y0w/cuHGlGwlERACQQBkckQVlNOH5rpsGXczE9NYLcGeQIVoy + A8EYmTlxGI0tNmpCoaZpL5+sAqa9UCm2DvW3jl/x5KVXvv4v/vq9X/kqrt6NK5cRBGwgZEJc8hQ+ + rwBGAIQggERupltwTsNTxrQQkmJfCncvzqCFUqFkGAi7m9IEGsG1Igi3AAAFKWIGKRWIMlHAosHl + I7z8nkf//E/e9Jd/9NTdl/7u6pVnOSaqiGJKUDLUpQSMQAlQRrHpEpqaEZTNxOZ5sxAKIV34iHEn + KJESsgGAmZqQEUiJizGVS9b/+Pb07TduPvbGt+PpM6ScoUUwlhKAUFASbt3I7D4Emk6zYbmDx+6c + c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO + Oeece4k8a+2cc+4HAjPDFJHScilhMWpBFKpJb62ukYGhc3t6/ndgyv0SlBDbJhcMBgmoNR9u+9/5 + 4M/gbI2cWrHaMvoez52++mZ3mViRAN2//z5/yYbASAVE6JhOXn7Pw48/hnZZquWAOL9ol9P+l2nC + BQC1oA5/d/lyVy2tQFo2gyEAPB3DNALzQOl8OkYGyoRMpgzIrVFMpfPGIRnRFM28gAEto8BiU6vm + 2Czbuu1TiUJboqcXy7+56+hPjpZvfupJVI0uDvYN5qmjrICyGqmRTr+O7eIvYDKQzu1HYO4JGlBV + 1XqwbkTbxLGU7bCKIECrILVwGm4e1wzNWkYQSkE0LIEKqIYEFawGrPJvP/jwMnfgHqRzvu87i6Ck + t0YMFftcOiCKZUp39QM2HW6usOlEIbCiAAvAMCYSy0pADPO9UaYvRgZYogElZ0CmXyQhGDLSRgCs + O6yH33rne+7u+kVOUXX/q3fsRROL+9MhiGmt/eWh+40HHsZZxtlAJjwkA+b+q2Ecp7fKFYF0DCJD + KcVIgQJwlAIMmhlhGiJjFN2lQ1cdrp/iZvfUox98+Xa4FutUCvLIgJCVPGdojfCde8v96diuId/m + 8urVBjfWeH7FfUbOyBkUoCLGggqjwrgKcf7ZO1yZnMayZtT9cG/qXnN28uqv//0n3/coTm9itY2p + hG6odj1dAGXsIMC4hZbp4YsEUzABGcg59B3ONviHb37q0ff/+Nn1V4ybNicjgFQJU819irMO3Xj1 + 7suk6Do0TbVJpT1cPIP6a1euvP3Tn8K1q1jWicGsyOOUbp8mrN2znKC8r6h+/3juXAqioA2/8PnP + fnWxXF06QETqQUCIFQnGEQa8WPP1e769AMImbCRGojzd2Odf0zQOBRWapvFbp/rpbuGK1gUhcMpW + 13WMkYw2WlaXL31tUf33n3scVUSIxDWYITy9w1R/NAKgNE1wGmAyPUxT95aAkiFAWW3RJ/QFz558 + 5MGHX9l3y7GPdnvtRSM1Or9Rm5Jetl39xOmN37z/HVhtsNoCQD/CTILMQyCElGBahajgAuTpWTHs + O+U2F531zj8BP4h29wmwv6UAgTKyYRdnNYAJdfX8wXIb6oKKOUwd4SGNRjbdwLP9OBYLhE5xQoz2 + EE0LmXuwYJ4S2tOX3P4SbwAYZirQihAApIxF+wuf+LgtF7FBVhCoK2kcUiVQw1B00TYMIsX/w96d + PduS1Yed//5+a2XmHs5wxxoQg5CgPWgwQhZQUBRQSIWFLdvRaoXtDj90dNsv/oP6pd+6I9zt6O6Q + bFnCSFADVQVFgQaEWrJlWZQZiqLufIa9d2au9fv1Q+597rnFoLqYsrH5feIEde459+6duTJzrbV5 + +UolG0maEys3mu7Ph4HlgkVn/ZCSAvV7LAo/EG6UApocMcoUy92ckgwpvgstTxlpn2b4oxNO1s8/ + 9vhPujfjONbRnbJ7hnaLmk4r/t3ZatoVgImqI45XfDNe1fSJj3yE67f3NyWtj6X2MELFbfuFgTnb + B0OcJjcIlIFsqFUgqwHDWlCO15yuf+uRD7z15ORyf9pVRLYzsDqITwvT61y/OrMHVuu/cnLr1x95 + lG/d6DZjNw4cH6PqZURwoRhVpoBrhnR/4y9ng3NWWXbFEnZ+cqhQ0JFMO+fCwRNPP/0fLx68Mm9r + R62I4NCXIaso5l7cQdnfa0/HcxvF3b5XfDvJn20j/btP+8p2I7e9suf/mtzT8N5d9+3f8Onqj1jb + fWs2+9rhwa9++tMsF2ij6FTDtbN/6EzXekrh2tmlEVA8mVlN280VqlBII9mMm9f++Xt/4adO7zxw + fJSGFSLTCyZHbffK03rjujtTm965qpf0xmaDgXGgWVKEOj0mriJp0w+S9XTYaNeJ0c7a45HVYvn1 + +fyrD7/pS5ev/Mwzz/73z3+WSxf8woXT5dzaDp3GxATjbFfgYIplPAtZyYmkyHbzu72f7W7i+n6z + 6z+KTLG0jTULKKrT4O/yzVo597mL3TKgacjdMF9w4YBLl/7B5174+SefeeXht726f/lbJXX7+9Kw + WlMLOcswoAlg2EBGEuye0GTbR8lE7nMz9v0RFzn7KMd0DG5Wxmzj3urooaM7P7epzz/6EU77vDpO + 47ppRPqahdRQDBdsyn/fs3/fltRDCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII + IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCD9sImsdQgjhh8DU6qtG01zPzc3TsdW9EVmb31Pw2uX3 + zkJ6u3Ty3QrdZr1RmHUw4nB11h6+/AqrNf3AWLh9zNHps098/PLN212/Ucx16jobrslpDHURT1Tm + itZ0J89++nf+JQ/tszg025Y1p97mNs/12g7xD56AlIpmHrz8a5/6nVvNYoTVylJWwFEw2/XDzgf5 + FBRL1MSo0suU8QZIYqpGqqRKNrLX7L3raFq3JbOp+oepWm5q3Rwn6mpzfNqvF7mro9+atS8+tPcz + X3zyY3/yOR44wNVPhsY8m2+zrabJcaEqozIqLjZVrs+F9KZC4nQZNJnmqtnSpiboDhYXxvXYJtoO + 13H0flXqqdtmMXvZNzDkLEif0ijjmKp1Y5WxcuOI6+svvPuX3nfLDsa1iynbgOX2Su2GKLkl23bj + XnsRfTo4y1Yur/tnHv0ot1asejZrcZ1uG6swKJZF54Ya4CU5DXhi3KY4qaikGZJrzT1a0OxJSuL6 + CddOXnj3Y+85Wi/Lus9lVFzIRlM1uSk29Y/v3gmO+t2i5FTQGxN9Zsx2MKwfuWUvvOuX+Naa60fi + VagmVswpdElGq4IzDjoUcZmlrkGTU6DAiIumBIzgqEAydM36Dqdrbg7PPfLRS1//2pW6Wp3eaSHl + mgB9vQnIs9jwYixvv3X6J+957DPv/dt8c2DtaDNWENhAxdq2qOCKFRSaNzyFZ+BmFbSx3NSDMrzr + W3de/NlH+eo1bh6lccyboSkl2WhllWa5HzfMZ7R6vDk2ChSXHh/pj7lzm2/e/s2f/sC/feJX3/m1 + Vy72dxKngpkwJko6q8iTjBY5vnbLoJ0xDoMIN4bm5b2HHv29z/NjF1nMB6eUAYwOMkgG7WAGmRGf + wuPNfW3pE3SO9mPGRoyDBXvzD37h+Rdms+vWNJqbxbJYXRdUkDav7f4az2wnD52+tn1S9DU5RgGF + xmn87uMpjpqCVtEqlNEXUEdbNrDelPWJ2FouLP+wKx974SmWc/YPnAxsht4VdJuTL9N7bFeWDA00 + VXElG22lNeZKgtw0fPUGXz/5w/f8yruv26IvNHJfWVMXqlAVk21YtIHMeHVz9Ojt4y+8+4O8eoeb + x4gV8QI9dSODi5Gm0vbUtN7VH3fj5TBiBa/Yj2B5dFrbqIjvWq2uVMNNcKNW3MUQI2UWs//xk59c + zffHZnZkhax51n6P1+6tpL2DW017Mt+naBWKcuzrmnFFIcO0JE1d7e9n+jETR8ro4PstXWb/ws21 + jRtyzsC8zZoZDTO6JHW9Matt15lRqyfclvsvP3j5b33xRUaoWTUBm7G88WFQRTo2iCHKkOkVlnO8 + VHykKEUMClSSQ185Kr/97vf/+OpkfnK7y1acxVwaQblnYT1LtGYjG8lAzNTPUsqa0OKXhvEdR/3n + H/v7fO2EGzcZTvABDHfM0YrUSl8prmBM+xqnlpYideNDcQcGGcmVO7e4efvFv/nYLxyvDoc7aL3b + R3aSSTJ5PVu4s/XLICcurvr3vFq++PO/wldXfO0GXSKP1kkRG5UxUWB0vCqe7/f/cnE5V7J3uBtj + 3u7qzubSNI1ad8DFC4/+3me+9KaLR82cQsqkhllHklJ8GLHULmphdTrM22bKOZ+/KNNo7PYX27j1 + 2de0j0teG7PGSH5vydq3k/n5fdTZXqUxa6p3hbbSJJKio27S8k8f2v/Ai0+xf0C7xJKYgd27Rth0 + +hnyNCnKdtkoUnrvW/HsLjlXZb0eAU6PuXXjmV947MN3+stDnzOpa0nK9saTxiSbNCZqimvVad62 + 3bD7qmHVUN/QJ8xpWsY1g9PMFm5GGTphsWiLjI2Cu5GON2wOHvjTbv+zVx9+5xf+4PEv/zFvvsrF + joUU+q5JLqCK6PThJXkRt7PlY/d5ADHEVDxjGdPtlyuy3Xvf9+r+I0bQRJNpsjeZBFrRaSrAESMD + UNAeLZJ8+pSo2x592rbahTxn7yoXHnrfM8//4aUr1y9cfLU3Y28uacZMBp3BsCE1tHPW/fTJ1F1M + cHHUZXpO3+hPnDp9djMtkgYVIBnJa8K7TDPPZt6pd6enP366+c2/8V5urBh6sV5s47W4QMuQKQ2D + TEF6wFBDSFh6n7RTEwAAIABJREFUIw8+hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhPD9Efc3OMUZQggh/KUczLCRcc2tky//jQ+95fYN + 8kkjtS0k2yY91VSwqae3LYo5fj6rK5ZVrLgIJ85+0x2bvKJy7cEH3v/s00jC/V8//tEff/nln+4W + q6PrDUiaXpkpYOmCoS6YWZ/kqJ2/9KaHH33hSS5cMt+TPAUlTbZHlAF8e3RvZGvSsApeJafj9W/8 + 1M/+3K0bb2sYb99BOiD5iNiYwGlsGz3zqUco57LNPjWwxVC2rTU3NXZdw21actd+FmfjzJd5PC1Z + cEEXLeuhT/qy8LUHrz724oscXjATNefWzWceffSvXbt+ZdOPCmhTZXp9343X1GM+ax+eXTsXEUd3 + EfNKGmklabKNy5g6Ns7K8MVyk9tjtE+8+1Of5GCf/X0GJzeYMYzkjqH8P49+5CdXw1vu3D4YTzyN + yPYdv71dfb6hePar6Ycmu3FwXeXuGynfunjhK7P8j555Gs00LXmOK2Zs1ixbbGTZkYSUSamKJqCM + DIXVgCTWA/MZCmNPMjb9v3j0gz+5Gn/81sn+uCm5uNamkny6z3eNW7FvO0J1QGw7dFDStqcrhpTm + uNn7+sGFP1+2/8PnnmKeGXvynNJSCvOCFwrklv0FTYcpBtZTR9anaOZkZDYjGVIZNgwbCr/+wcff + NpSD20dv6+Z+ciuppSx9710WJI/jKArbJ/Q1Y2mAKwZFt79TT053aum4W7x88eB9n/kEFw/QhvVA + nrHZsD/DR7oZKdMmUn7t4zBVQlc9N6/97iPv+dmbtx/YbIqJCDrdxfe5vZWsuKGsRhrIKtXSUZq9 + fPXyV5fdS+7/7JmnGAYO9shglW7BMDBrEMeN6qwHHNbjrz/xy1eu3XprtfnRtUOs22/Wx+MMpoKm + C21FfDuDKXPaWbH1YH1f/XjR3Lz6jnd98hO87WI/WzhZoQWrPalUQ3QOCpao4oYr3rCL0L9eU+xZ + WNsm5ZQgrU8ozu2TL3zgsYdevb7o1zNsrp0LA31K5PF+U4pn7UKfbtftOJ9/yqYHje03Z3MUaBUZ + k4PlStMJ7queRc61bb5J+YvD5WMvfpYLF0iLMj8UGMbSNtOctk1EAw0kNyqY4ZUyUCqbDSmD0Bfa + Bhuo/okP/a233z5589HJYlxtZGNeZkJ63SFpF+rZvT2d46556SZHee8/Xrj075fdr332SeYtZaRN + YKwG2gUFlgu61ps0oBnS7uq4Ure1a0mo3GcZ979evnvCpbLNYU4R1u3tYaTRsYqCZhqsUDdcu/H0 + +z/21pe/9YD3WtYdACUBNNOl3O4Z1BFtupfH/tUHL73rued54G39XuuKURKaIGN3ZxBP97u4T8dv + 49gwktPGIHUK7SvXnvq5n33v6akfH7fTRCkUY9YJlaF4I6l6BfK8Hdf2Umr/6E1Xf/XFz7HcR4X5 + fCglta1DekPT1tN+DK2GN4wwH4z1CeMJeUSgdJQGywg0xubkd977/jdfv/GOrHp6WqCbt6v10Oq2 + Rz49C3gnKKy5u7xpFcYEWGMko4dZk0dPa5pXS7m9f3jjLZc+9onfZNaSGlxxUEMMreQ53RUEBig9 + wxHZ6Fd0C6yhjHQw9P/7+z/yV076t988uTSsVEZ2Ce1k046oMUAqYq9z/UqO2xSuXR53y5cOD166 + uPiVJ3+Txmg7xkrucGEUug5RmoY2TXHl1zv8GNMc6tu08zRciCLbP+32nQCb4nN1htvcuP7sI4+/ + 49atg36VRhwayLNF2fSASFr7MGsbxpr82970bGs9vfa5sVBHtgnxuxuks3ffjtf5UZt+ux3Pibmw + cSx3x93eVw733//cp7l6gfnB4N5uN+IgFJTpGZyedzEER6dda5oK21Pp3RLVStOm6jIYx0esTz79 + +Ife+Y2X31wHc1Q4LixTSm53LyoKmAjg4ia225d6VVYNuMzKbtJ4Y0jO62HUnNw9G3k2r+vTArnh + pOCz+UnT3Z7tf8X9Vz73LPsHXLywaVRs6NRrLSllIw+FJqd0VrDGpgGEs6ny7P12f/RzP9k12ncD + H74LP/eNTLcfgEyL9fSbXb95Gsl09q8Ep+x+nnEYYdVz5xbr1fO/+EsHJ6dXzNPpaj9p9rFRw/uT + kb2OsW73+moqLkBVH5MB2XjN8/uDPmU1YUwCrk5yU3yayYdK1+YyFGFWFocv1dVLlw8+9vyzXDlk + 1pHbviZTbWS7DgKCbWvWDtNHhR+ZzUwIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII/7WIrHUIIYQfAg6lou7HN8T0mUeeePjrX38obfz4 + ZJlQx1zxpCBU5J5MMmAkQN2nAJ4ZWTFTT03vnhazI+emz7xpZ+rN5mSxPj0QTbnDxp4BLFlKDtSq + jIkqkPZeabo/u3zw8U//Gx58gG7h0squ/OZioNt6rkwRVX0jS11Wxk1u2jLmvFqxufUvH3nve67d + Pjw59ZyArnpy83uTjEw5xl2eeWqSGVTZVvySbTusYFOD7OwVznrPmjJmqG0KCLnBR765xx+/5erH + f/czLK+wvERWNj3XvvHb7/mbP3908sBqnOrg6gkMrSZT21YFu9u0Fkwooi6AiJPN1RHMSZqWJnZU + TrpMl/jWyJ03/9ifDn5zefC/fPITbI5+4/EPv33/yvrarYPZxX6zyrMy1KEf/XC+3FuX9vT40PpF + FqtlOmW1JnkSpjRjhXHXXJuuHefju3dHRFCnSirS2mx2ovnYi89nVRnqUMootezvHx4f9/3lK/+u + 0f/5uac5XHqbxZXNyK3VP//gh99RSrp1fe+gu31yJy9mpRTpx8vdfNaX5nS9X22eU7HBhVSVbU/c + q2Jiye+5fPi2Sl4lu4ijgglVGafie9a8Kn6s3bjY33T51vq0aSU12S3lRjf9cUqp2uzfL2b/4E++ + 6HtLscSmcHT7//jgh36yjvnWzYPl3p2TY8uac55paqvpenUgunCTzWYzWqscLGbr0426ZGmKW6et + 2QYx8WkkxQQXB7Y5SdlWPgF1BakuJrl3L4vuuNV1Kyfjyd7eXn/7eH/v4p3Tsb905U/b9p985lkO + lrQtIue6iz/wrLVusPnicFidKNmFZrFgtRmS3mr8tpfZ3uFgVPK60dO92aO/8RvMLpIz3iNGP/z6 + Rx5/WJrZaj2z2vXDQWLPaWtfht6hbfBRHVAzIRku1G32uHFUkCHL8VL+w+HBo8+/yKVLdM065c2w + 2W8XuYJyNN5q24XRAUqvWEuD5ym1CPeZta4glOQbW880ZehvHnep5c6t3/2lJ97+0tffkWa2KYOf + tg1jqQ33mbX+toM5PztNE9HZ/27/hdPYFE8VBxNHzJ3RWSjFyDr7amNfeuv+rzz3JMuL/ZC6Cw+5 + 6Hq0plHFprR6ZVudzJAwKoxr1tf/1498+CdfufW21I1lbWZts3fSjxvovL5FVG7eWsBBsxjGoopa + Ee6ja7otubqCqAM+hV1z6tbFTyUPy+Vqlm9uTpumyY1uNqv9/cOT4364dOlPOvknzz/J4bzkVmiV + LOeLtW7bGOSPUnd0e1Ob3a33TjlMm4KsI1IqWklKzmD9SoeBl2/+wUc++s7Nab51bda11g9Tw1h9 + G3Y1QS2BovrSvHvxTVf/4WefZ++yz/Kt/njWtS3NWTF6QBXyNq19f+NfrGYVSkGlaDqqZZbaxWrk + G9/4vfe95+3D6fJklSAnTBgKCZq2cWNTxnkntXit+dWH3vSJy5f/6dNPsrekk2IlpRZ02NRulv7y + g/hPYNTBXDQLtA5HR7/+s+95+/oYPVaqeFLL4snFhzx0xS6vmwdn86Mb31xAnrVlHEv1VhHbPhou + SO0UhP5c1vpcAtkRR1LCxcxOsIP9q3f64aZ7nXdDliHpqAlobExUl/IXBwd///d/n9mcO5v/7dHH + fqof2lvX9/YWx8fH824x1jKO/eF8ttyU5nS1X32Rs5WhKlUAbasCVRSKUJXXu36Jk8Tc0Dw7KsOK + LBcOr9V+kyqJ2awtw0albfLi5WF89fL+P3r6GS5cYTZ/nYO/m57tbhx3G3jWqlTQqWs+TXOJ3X+h + X1PX3Lz12x/56M+8cuPH1hvtkq16kSS5W4+bWWol0Q+bpNt9BUxTLmdvZXLPRmi3/dCzFcCEKtO8 + 7QLqpLsV7O2uaWpjJ7+7mRTHScjyG/Puyw8ffPypT3LhAm3r3X6FfJZh3qW1DZhGwHY5YVW7G2Au + uFGhVrpMX7hxwtHRFx9975v79SW31A/rYnvzBV7qOKiL+PZsqsrZupNtSpYDLi7bdZmpcv06L9f9 + cx3cuzw3K4P1s1lbhkGMNO8ovGr2tQt7X7986e89/SkW+wxOt2TZkSil5JRZDzQNOVWhQoJUwSFN + J1UMd5LtPo9MI3b3+r3mA8K5vxO+O5vuiN3Wc7qft3PXubL47tc23bFm1N3HwgQqDqPjRjHGNesN + m81vfPjxH1/XB4Yx3bl5pWtKf9R14nidFkCXpqq4IO4wJgNPLm/g/QngJoInXBWgilQXPLPpmatI + XpTBTukPr1z96jj++f6Fxz/zaR5ebjIpHyptGkEYMuO2823dFKpnl7WOGy6EEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEHyb5v/QBhBBC + CADkVIchXbjMrdsf+q1ff/Z9jxysytVml+5Dzq1ZxrkY6i77p1MI1QWdmtaSx1oT3p8cHcBFSs6z + vtxUoWkTtd4Zj5cISUBdvEJyBUNYZ67l5qsHFz/+1Ke4dEg7J+U61JSS3E3FTcd1H+nT/xSpaR3N + CXJmf/n3nvr0H/zce6/sLfphlYypbSe+HazzqUj1e4J1U24QtmeKTx3l6YfbBmFRnb5XZyylERkK + s1kuXm70+CX+fN5+/Hd+h0uX0QOSbkZmgOpyubTjExfESWf5vO1/FERNXxuLlelgzhKL5lDFT+pq + aFI9bG9npZm/ZPrhT33mry4PmXWsj8h7Vy9cOnjpq399VD3qEzIeHff4bH9/c+NmKjbvmtJXLwio + 4HdvF3x3slM++vwxng3avUdIos4Spye33kRbKPX4Vpub4qMqSdkcrbK2r5puDvfZjOxPJ2jUyqZ/ + 62r99qPjS+uT8fjmX+nYnKxqZTlv/eimQeq6UkezqmwvyFmj2Ha3/vZH90Tg7gmoTwFD2WaSS+s8 + mHU4vp2PVWd5uHUsQtK8qmWvpRRqWnrbUUp1yzSYse7ffnryzuOji+vVcPto0aXR3Mw6SX2pQE5s + Kst5mjeUysnJxmF/Pt+sN5lme4zbJug2e2mKYGKkXUwxgaPiAiTx2SLryYme9lfHZrg1SsZu3+pa + Ni9f+wlN16qeXjyk77EOycgbm3HtUF+v29z2pSh6dHorwVLa/X64mNWuX2tRR0/h5Fb6t49+6Fa7 + v5KUO3LtDzebd/fD5WK571tcVdf9mBERzSDKMNIg05On26jq9joq5NSdYrfm3Z+2PPHsZzhc1sV8 + NGvQtl1Mp10LXXtQ8YTJVDBFK1lldxvfbyNQqEOf591cZ4VxqLW7dIVaSPzSv/nEZz76RH351Yfn + nazWrZScdqXo+3wLdhFrdlnIu3OCnLWLz7kbT/U05ZwTTaPeS9901xZ7f3HQ/Mpzv8vBktmlvFwO + Q0mqXaPAOIyztplKqLIL1TsqYnhlGN56evJz/XDh9p22xaEfTkWbktJ80Wzu3Fl0YPTjKpGSNfd/ + tqipyfQUKICbC6WUhnKlyfXkVTnRd8xm48nthGrTrI6+3rG8Vjm5tGSzZk9TFiPB9hW2w+P6HQbq + v3Xb0xU7G4YqOGQ9C4hqEqbOaIHcLliN7LWvzPXCjZO3SFr1wyKJV08+zUzb6d0Eh97qZm/5D596 + hsU+maHvD7ulT7VgAByd3jsL99M3v5dqHXqZzZeprQDGwcFf7O9fuHG6TKgzVFTp2nYcBvCxlHmb + h75soFu0Lx3d+adf/AMWB/hgJjmJU61K172xk6FjZiVr0zuNgPesT99ydPIzR0fCyVmTekpW1wSO + 13RyevtgjlfGfjBnNmtqP56/bV0wN9W7yy7TNmP3jQtDrU3KFTvIeTy+to8eSlOPThWtaJ8asK6O + idEV90pZ45l+/dbV+idu3bq6Ounv3F60jR2dVLyZzfz4eoU8a2odvYyQcJ02k1M4GRnA1Th76P7S + 9UsdQQzvy+Ygtwei/e1rD873/WRTtJZ6OmsRXw/jnQf3Lvzx6ZphwO5jAj2b4e/++VwIfDrytDuB + qUissFr3i/mc3rl0+eNPP/OZ933Y5FiPbzw4n23Wm25cz5t2GPtWmu2aIq+NN09v8r1zubtk9e5f + OOdm8y316dB2m8ztnk83qb3dzb5ycf/jz3yai/uklm5xshm7WeOy3cBMQz1t22zavapNq4+c3/d4 + BtCRsbDq+dar/+Lxj/3UevNXx013uuqdJOy1e+Omh1HPzab3ct1+anDuhq4V8DdyY2+CuLqY2TBr + urHvV85s0X191dve/vWDw194+lM/f7DgYMH8ABoc6kj1nFqAZoZQh0G7djsXyra8fnfY785Zutvu + o3LPo8f09J3bdIbvypVzH13Ewact3dlfuOcJ3T6z2+/OPR4yJakTOdHBoqOf/f0Xn+f49MmPPvGW + 2QP9jesPtQurG8P7Qm5AMCFNLyCmfM/n8wfDbdp5+N2Q91Syr85iLw8nJQ2r3LSHeXZ8/VsXU/sO + 6z71+Md+8fOfmF3ax3tE2QizlKCcjUIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII4YdYZK1DCCH8EBDMKDkPyHy5R6mvXlo8ePTqQ1Of + TXDP29LfX1aYNCNnimhffUaXsnVd7xujjrWWMdHNGNYV47CDJLq2qlQF0NKpWVPG9az5/y4uf+2z + z7G3R248t5s6zhuBgmSfapvbjNy2u/mGdiYd7evoZvPcMm/pRw4Obh8svnXt1QtCY+i2pepTR9CE + ouCanbTNrdlupKe4nTH1C6VuQ3fb8JpWUq9qwrwW3BVoXAdsSKMt7jTtf7h85Zef/iT7B752udAO + QtPAasWm+LqAmqAgblNEb2oTGkmde+q4gjrZ7h7b1Cw0oc+22Vu+4v6q5tOrD/29f/WJH794hTbT + NZSR5oBrq01/qvRNA6UdbWhaMqyPj1tyK03fn7S7Gqw4iYq7MDqY2tQvnIKa4lPJUqa0YfJt+U9d + TUjYVAQ87deLJmEu1bu22wy9NmxGuilC2+TqNowVF6oIgkCqNNxmPEl2OMu6HmrPrAWRYT1kJGnq + +z5lxun0nVS3RV6wqUeu/tognKBKUaqxrZLrWThScEhC3590Mq8+rjfrrkME25S9NpVaTWActBi9 + JZnhijVIXtm4kSKt5nUtfXVoVUqtXduty2BZF8p6XQWaRNZkZsNmlTO5ldVqNUNld6QumDIqCmlX + k9zmS51dY7HWkxOHtpvXfmMwS231oW7IIM28GHUYoSKDS5Z7o5Y/aCaCMZRKt6fD6XiwUKr1/dAI + jXhtcGeoZW8279frtzbN4epVaVpZVRtXTbUr+93xaT/LU1m8zps0jLV47ebLuj5tpXEHbApPFgXI + NamrUNdyejPnP5svnviD3+fCIbNuKEObUx1PdRRmi773vJBEypBYY0ZtkcbP7hy5m+N9XQSSaZcw + Eop2KWHFkjvdnKtXHnvuyf/3iV+88bX/+N8dSF57Ktx359nP/meaIhWkCmk3f6sDnqbYsBiu063u + eFVDtk+iOP3GjOb6fP/Zg+4fv/Acy4toW0trTtvkbdlYkNzhQBH3BIgOQoVWEVfGrll1Mg6JTXWv + INBpV8dNPR4VvFIL3Rw2BtXvpx3pgqEI6g5VsGkKQcylqtAPJ11m8Fo2p4ucIPWlT5Byklpt6HFw + h6SouE5ntM0q63dJsv63z7YLCOroCBWq0AoyZYeVrLlMZetKbmYshq+U1c9euJhv3c4MZSzp3Lip + T4uRDkmPpFxvlWbPUyfZu6zb6rVME67a2ZDr9zP4SWWz2czaeeqWUyi58cSsI6Vf+9xzX/qZv8YG + nLaTsWccSwFxc/BSKhzstdcZ5cpVmg6U+UwoMNa+J+9X4Q3dbwhkUR+HWTNzqKqp0/lyNtx+tVPY + VV0hiZNqNSHlLmldDX2pzJFMslKL06BMa6hT1MRN2dWQv21cDe3FpEt1VRotIzQzofTJ8VqVpqtT + fbpOCyxiSKZmSiObWtxoE0Ppx7FrspoPm00SpGG1GecZKkhNXqf9j6sjNqWdBXY7zL98/RIMkSSe + 2jRuBs+pQLPe9NS26ar3o5OdRFstr2uDdNzXFdttQlypU4udbbs6O4ntD7c7vum/znzeDZu+bWZI + YuGPvfDC//2Rx9+5v6cnd642aTg6zd4L4KXuhv7bC9a7JXy7Cbnn4nA31qtTYHe7h5x2fHe3VYB6 + At92f3dB3GuL5stX53/32SdZLGn2kWbYjItZU7i7kG17444ISaYz1ZSmbLBNiWBHh0rOWLHGneu3 + P/NLH3vPye3Dk2P66knmbScum/XpLM9WZVxo53Xw7WRCMk3cfdxd3HZNaHVNLvJGl4PFanLR4oCP + 5uwdHHxD9NrbHvjjRv+nZ5+jbWk62qVZ7qs1qlkbgFrXfT9bLgwqolgCsKLTUzXtBlVQzJCzPaGy + K13r+Xz49uKE12GaIHbTlovdM2Wg0+bUhTpV57cfgjRNv9iNcvWiScGql5Syu8v+Hp2yZ49/4ZP/ + 5yOP/1S6uHd0sr/qzVjOtK/f7QPvG7snUMcE0wqCu4tUyS7YWApVEo5vxj4V9ruu0tjxyTvm3f/1 + 6BP/8Hf/NQ89ZKx1uT+NT8duoGz6mK0/otuZEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEH64RdY6hBDCDwVREipAbuiaX3360y888ug3 + rr16gbGrIEXOgrjfPYrlaE42OsVNSUnFSl+KtRljdCFlaiUpTYLCureZbFN9RVilZp3z7bn9xeHy + 1174HPOW+Zysp8VmuaEOTCHW3ZvtDkN448OjTWpGr2ao4vOlDJuPPPXUC49+gOOjy7U2pq+pJjog + 5qJTn3Uq3omj0wCeHfcUcTxLFW7LhAaYuKiJM1ZK2x0zO00H165e/eVPfYLDfZpW9tLoqIAbTUNu + 3VStwcddEHHblcb1bnp5WzXe/jJtv9VR5aTNJ42uGzlq8zez/p0nn6VboHMuXqXLpaFA7iRvCk1S + UpeFjRcbFOqIOYuusb6YW0d2ym4gOEtDbhul94b97g7YuT/LLvoojiqLlnGsmZpyWg192zZ9HdsZ + UmiMTd+7tIv5HBTzbe3RQWmXczs+6ftxkVAYR8y96xa135jVVqW+9lBsd1nOHeQ9FVCbsotpqpWf + /9XuLuzI5j34fN5sNqMqjTIOVRsw2pTqWGg6M01TN15ol/N6emcz1FmaWp5Sq+eU1kOf26aW6tXm + SRCvhSSoaJWKsVptZhnK2ds7iLgrJrs8J7uR3J2gi1CceZLSr5U0y+04Dma0KrgOfW+zvJjNAczs + +2zLcj60efYT272Q+hQ1b8Dwmhr6wmZts4StrEKXwRh6T4nqljM2ri9nlfVp6+K1FywnhkK90++3 + 1EI1GgGrCVKbh/Vpq+pWX5s1dXXaVZajJt/e616ZLz/6mc+wXPpiz6DVhA1t06KJSjeXClZpt3VP + 8LT95vuddAxX1SkgOo40DTkrrqWWvJjT8KtPfZI7dz734V+8msrBajgoQ1enJr3e8zLfaczPj/C2 + kCqCq9xzp0/hYN89ZbuXkrsnVYVRWbXzk/bg3y0X//iLn+PgAOtIqrrLv5dRUwPTROSveRDYNSYx + ydJYzUnbzdinFlEdynrRdJtxNVvouLKcsQ2je6eOf7ec5Pcktlunpu/dFYQ2Uw2ExULGVVWqNOCU + sjadL2cXEN91Y++5orZ9nL6fY/mvm5/Fj1W2gdbtjw3SuUVEhARJYdahzT974fkvv+v9e2M/o3YJ + r/cEeqfc6yrnbx1cfOzJp+hm0iZnFEAEm27U7XqZwKBOR3Cfx25u3Wyxjbu7Tze1WZVWZJ6PUlPb + jr6vvS+6bj30TZPdq4Nk5oWj9XDnYP8Dv/VbNIkZQxlTtgS5m5vLf9qj//pOoLpoVqMqhZqE4+M7 + neZtgHh7k2dA3NWpPg5l1Mxyga61uIlZTkIFV3FTQaZOud+7rt17GjnnYRgSeGWRKZuq2yuObCO+ + fm7acVAMTJezuZ3cXI19k8GpY3Fou6YOY620zbn3EpJV3yaTz68sr3/9AuiNZlM10Ze6XCzXq9N5 + OzsdNpIQYRzppCkm5Hx+Trsvdu+pnn2nuxO5e+iCQ9t1OFRY7NEOv/b8MxydfPojj988vvXgctbV + UktRvMk6+lTKxmTaE56f1bdec8hnE/uuqW3nBufbZ8vp1qcqvXLapDvt8isHe3/3uU9zYUneM0MT + bdc4JKi7891epWlxUJjuICzJ2fgrkDOyGRov3Dn9xLvf+y4fD/uT1NemYSjOWN1dRUrtF82sjr0q + JrsdHXC2fTp/eoIJ6ftqWts2KX227wWwaeJ2fc3PXWgyZhiM7fz2LH1D0jcP9/7200/+zcN9FnOa + uWsjjjup2V4aH0yaNF8uNpi5dTm5mer0otWQNDWtzy7KWZGd3QeAM9vb/PxV+w43QLjH3fvCYLsp + PfdzPWta+71P69lVcHB3hGJVkiJqTXsKud1rWm+6/I8+/zy3T59576M/kS9c9XJ8507TiOAutv1A + cffNgHsVqaMiAAAgAElEQVQ3Wuc+CdjZ1RTbfgK8vwlIpn3bbomdzjXhZM3U6k7O5Ewd2PR9k+1Q + u3Lrxl+VPQZntdL9Q6QYWf1c+Xt3y9m9u2H/tvcOIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIfznFw2bEEIIPwzMfUiMCUYT9va5cOl9 + zz//R1cfuJ7mKbdCrfSaNk6RZr+aNGijuFOcrFoZNSVSWhuuuZplNVKpWNrF/3KCHjY0s8V6BGhB + ElZpoenaG4382aWL7/zSH37sS1/mwkWW+yRFWGZNQMqkLLtK3BQgVXT6kjdySRVIMMuNJKpQgcVF + Ll5932df/Mryys3Z/pi00IuYJIrjDpUma29myQesYtK2FRPXKdY4tTEdRqUoRRpv5oaPPu41pHFE + zBKm9Npcl/blC/tfenj/A5/911yeschDm45qbYQ8kqYQWfHULPBWvWFbFDbB1TU5GcsJTaliBqJ5 + 2CUpXXDJt6X5xuUrL1648OmHH/75L33p73z5z3jTW3ngYR64SpOB7DRYosdWiKs0XudlqvklRMjg + /Si4gGMYcpaYOyt5G2qkSrJt1RgQTLHkdvaT6Z6UXbhRHK9kRcBqbTSVaiilbq9OgzVm6tsybSFV + Ep6w1BXtKp2ZOgY1YVn7cTSdgt+uRuM0RrKp/O1TQVJ9d4RnB+9nf8Fec15nfxSbfmkCittmbCHb + Llj+unl1Ba+1E6SM2S0LXt0LCu4Vr9MRzgTqdGDTxbRknt26QlensPH5F94ev0NS3FyFqmx8KOqe + MXGhpu14TrdRdtL3sWV1oSpVcXT65y4UkK65bXiHtgwgab+y72TIArVuD7jpmlpwo4E0FVzLNmgo + wtkNY4UsOIwVEzQx3RhJoRbAMGnMGKVtqpMErTTC2svN5cEfXH3gr3/h9x//vS9x5SFmezZdZdOk + LSRUydsmZTOVAKVBO7KSEaFhe3j32wJUBHClJppmGh0AUymaatex3OPqjz3ywpeeO3zzS5ffupot + DESS4SINpIIhSVQHEEUaDKTJG6dqKrqtxk53cjJPboo5RdtkjAPVkm0w6SgOSgFJgqMVqSjUnL+p + 6ZUHLn3+8uKJLzzPfIm3dDrNvdta53T0059VEEUTqkADGXQ611zGXKqC5wTqlGRjqqWuOvCVJcEr + QAtu5b4GUxzF7nZeMcHUXbFkSIWKOtmxtecfzUz1/RJAhSQkUIUGOmimJ1mVpKie9acBMOZ7zPe+ + 2Ui9tBwzYxVnNtKozjV3xcFR6knbfPnCVS5coVGKM1ZIjttZJ9gRJ+1e2bYV0fvgkgEqVKqkUQQx + TUX8FMZVu3fDlzXtAYOPuRUvtc2NA4I5feruzC+TljSC0uaU0OnJuM+J/D8HwZLXudAYvgGvGdQR + m1LIBojTmDdm06J8vhh99r1ibbGZeSdQ8bKd2dL3evPvckhCAu9HdbKhlSmPLLaN+YqTpjXX7maI + X/f65W7WAoKbtpLKetOhpWxMQVDfLlcuVtOIjvcmhP/yAZ2+ptu+2U1lafer87vQ6Y6dzm979zYN + SZi3XFzy8KWPfuH5P7z68NcuvfmVujBaQNxaaBwzctecOkXcuzyqjCoy61ZYxaXJ0zwoisu5Vu5Z + C1wQpQdJSUTcxV1EGkPWmM6bAivLJ4sHv7J84PcefOiJFz/P4UW6BbnRtjl/umn3JdMgq52NWIWK + DRSkgpqBk+h1vMWrN373Xe/96d4ubKqNhRYzOiRVS+6epGYvvlF1cN09OS62+5q2qaIuAslJboj5 + fV0sMLRKqpJwBd9uKAUX781r0sFckrJLuosjI1pTzofX88Hn9/bf/eXf/9t/9AXedIW9PfIcSTJt + jBXb3rnIrm/doXPJiqpmUEETqdl+DDlbCncfTbZL/fmbZTfo232Rxv8f+LqcteRRufuhT5HtIE+3 + 8dmj+pondPpT1kbQrE2SDCgsp8+h7JMvs7zEAw996I/+8MVLh9/Yu3iUGxPNqp7pcRfcmWLnE4cq + OJj4dlpwx9VJVVIVmRYU2f7d+zxbR13URR3BstXsNbkLJIGCj6jQJMGL2epA+rcf3/nsI4+w3jCO + vtm4gPv0zmWcPkopmHo9+/DiYJhhFauYYc79PX0hhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhB+I/F/6AEIIIQQAFXGzJEjSQVQZ88Hh + Lz/9zNMf+FC3Om3vDJfm4sWHiozHXV4MZZUqIrQJxBRqHUbITXaxrlEb68bqbDbzoe9HTwkvzNsM + +c7JqgXTZFZrpT1MX79Tb1e/+fADjz35NBf2ONg7v0TuqmTn2m93Q2X/2YJw6lDBpuPIyt4S4YOf + ++xvv/cD72zbh4b29OTOoUvTqZspXnrbmyVEbF1U2AybTpK7b09EDLDdiZjZpvZNlkWTx9W4zPSV + tXKa5HRv/xvzxYeffOpdB3vs7ZHzIM2aOssJA6u4IUaTVsN4fmhcwM0QIMFJqQupOSmipZSuzcel + lPls085vSTq6ePGR3/pXP3Vxn/kMERYH5FyngOIuMqtT4lANWK97lVnOeShHTEXiu75XF02+U9/t + O/7wO/NtxE4dodYCkJu5qq43K6SSpwsFKrj1q9MGbZuujqsKJEWm5DTbOrXsctT3Hvx3P6TXU317 + 7YtUaNpUagWGWlOTGXtVA0UdZzhdJ9FZm+q6cu5eFyd9lyP5TkdoTJXT73WMtr03plfws/KiMVWl + ITfdbjyddN/N5rvvJFMC8+4LpKSr9bg3k4qf9uzN8s1CLzrPOVnfpNRUg6xaV/04hwq5yf1YOkUE + afNmU5J4PisL3ntwYyW35OmxgLZj/P/Zu/svW7KzsO/f59m7qs453X3fZjTS6AXCixPHGCUYY5DA + YiSBBEQ2xo69TFZIsvInZa385JWVZK384JflOI7BAkmMNCMNQiBbYC0gtomJJcAajebOvbdfzqmq + vZ8nP1TV6XPvSEh3pDMaw/NZrb6t7tPn1Nm1a+/q+eXbU4ws2LgT6NFhs36xWnnLW34P/ubzn+DW + DTRxsumnwKeTlOUinZ/24EXyI6HEb0ZdnkRYYt6aemolpc2NVQEf/4cXPs2D+8+/+we+O8t6tPVg + Uq7W0jSSq5UqdGstvWWjQBlLl3Nfi6Z5/BXA5t4nJNHdsGsFdXKbsnvf15QZC+1J01+OCfLJyb3d + sNusv9w2d+/ceub//j+/784dTtbkhibZVGPdp7wfHYLrcXs4iGtehiRUr41qdRud1Qq200+T+EFm + 8fG9+lqQ6er+Go/xAk7Oa9V8udvi80j5wxNK96fmjRczfl3M69CjbWN56EfzWio2SG6dD3zio//y + B3/w7XDaJhtodV3qudeqoBvZ1nRvtfrvPvox1htKTyuijaMFVJeR9vmZVb65xuZDJ87mN5T1g8/+ + 6u++/0P5j//oJlnV+p03UHZDzjzoOcl61az+/Xr1l26dka9vMKaWqu3f9fEIJPFSrMlAJuGcnd3s + H9zvdHmAGxTAxRDEjId2CvtGrohHH+Ckr/kY9fm9i16fFmGqLatd7raqumm6fujTq6+7b3TT/8b2 + L2Ba+V0RUVeWenfXsduB0KhWq0ldxmGJYT8O2X+apYd/dPiFPTLRpvsLSaaCakrNL3zqk9y/+Pi7 + ftR9c3JxdzUO2UnQZsbteLZqyzj2/TAFcHf99nS1KsNuHEuTGCtiKIoIro/0uauxWqXtrraQcjuW + IaEqTZv8QbHLzekrq81/ONm8/yO//MPTfXXXucxtelnuAZKYH95IT3uFK0Ida9OkgbFFy1hwNDdS + YXuPi8vnfvQn3zmwubxqdChK72zmWUSd7iz2A/L1hv+xw/UP8+V2WpEpO+yCQcrSNNmqYdp7XTXq + br1zKU2/PrmXT/7duvvrn3meTcuqLe1JmtLUhwe2/+qrb/r6qu/sH6SvfnT4plwP5sOn6eFT86qt + 6k96vunBtVCS2nrTtgM5/a0XXuDFu//iZz+0vfdKc//eU+u06kSq4ZiRVK0CmJiLz38SzqvcdFFN + K6Xj2HSs/hrmwcG73f+1wsGrXP/IKy7DcDqM392t/8m7nvm5X/uk3Lmdxh2miNLk3Mq0SBWrSdP1 + U8n0HJGyDiGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII + IYQQQgghhBBCCOHb7HWLcYYQQgh/MjETHBlJkNcbmpYnn3jm1z/12088cdHeKH33oLC60ang5aoV + SbmtzraC1abBYNXQeBkGa9S7llXO/W5nqCs0XDpe6uWwu9mtV20+H6utGZwv7Zqr/+TP/evv+HPv + +fQLvPkW6417+vrH+zqaOskjlDkEZi6FrJx1PH3rZz7/2c8+8abf3zyhm7e6n1z1dTe6FbIz7upu + W7KgKa3aZvRaxAa1QW0QKrijRqqssyW1K6lXlAKl0OXVLq1efOrNn77ZPvPZ53n6Nt2a9pRmZYUT + Uqo76kgyyo5xi9rqtKsUZ5wKa+4UoUgdxXZeT9frUenNSi0G41DK+uzfdKcvPPHE9/3Ob73r08/x + tqc5u8lqw/oMVyppn+dTXMwwqFMOMTedp1RLNWib7jG61K9p/M0xxz0JTfKca25KzjW1TTdCqVYb + 1S4hAzIoV8oVukNHXWnNWqqN0DZdNmmKNqbJs5CdZFOW8pj1QRckpYuh5oQ6NK1lpdPqO6joiJeN + NivPPliB1B53/j/ueAq715aX1YdqmYij5ivFe9fKrVN5uS+v3Gjf+sIvf/l2vn+mdLm41zqOZhtB + 2mQZmpSRwRBW211BO5qVLQFkd9wRQytaWWexgVpxSE0eeppunQUTKmRZ7/Kd39Wzzz79jv/8sy/8 + zd/7LE89Qc5sVlAKg2GF4bWVlV8D2YcVjSkZL0YLDShKhs45y9zZvOfzn3v+6bf83unpl9dtTRkZ + nTIKteXuznbO6No1q05brd5JShU13KkwCNOaU8SK11XbaEpZ2G3LuKvJ8coojGXsVpmU713Wl8+e + +o0nnn7nv/zcM5/5NN/9Hdw8YZ1JFbvSZCk/Zq3TYCy3nBYqAyJTH9iGI43r1yFOl7sKtVRPSdsO + mSqv1TAXm3LWCnk6+Mev4v7ZIlZqT6KhsFmR9erNT1orw1CEHXJVtBbFoMf/g/DlrmGzAmOzIlGs + Vqhurx5j+Sb+VJb5f2QsASiSRkuklpvr37ZLTk6Ekt1WmZSQBoOzU3r3yxvrn3v2n3KrISWcitbp + +dySc+y7E4fiVpt25xgkM3rbXu5a7eYHyNQMri61Jqoed/+a8u6G9kn7hJGYIvSueCEV8uirlEUZ + KkbXNEe9H3iYHy7XNqCGKohUhhZuOwzlqCu6Hk7UaUEXFddkOZUGbbi54onmvZ9//jfftPmDbj3e + uDUkcpfGAsBYqN4h65RXOatTd33W1Ail0mRyEnBxF3d3DKrPe1/KDLu67kgNYxkSkKnupaxeyaf/ + 7h1P/YVP/9L7P/cRvvOM2xs04Un84UtquqczEzehOOairjq1qNvGM+MG1eo5t7kR1ZHLr3C3/8g7 + 3/O9D4bTB+cbrNay3uScD8bE5w/xR0O833piSEFKVTMUz2pZXBRavO621ap5bvPpbsxVmnPJX3nq + zb/5xK3v+1fP/rXfeY47Z5zcQE6z5YoOTDHiIpSMtW6tR5z6TzUnQTZra0GVpuP0lO96+w9+6vnf + efqt2+/8rouqtS9DsX50yc1QxGnmfUBwxWQ/yVWw5KWhNFYF3NvqrR35P/nmTF5lS5vTK374Qf/c + u97DgwcMO1TIOoy7YmMVq9hVrRV9ZEILOq1b8198002Px21PCCGEEEIIIYQQQgghhBBCCCGEEEII + IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCK+f/PUfEkIIIRydOph7Kkii + DnhDXm94ULh964OfePbD737/3Yvzpuq5jzdauoEGL2Voc2pW3XhxhdE01AJw2uCDFydTKnRdHnZ1 + u+PG6Wq42J1IM/TbQbAnzv7t9iq95c1fNPnAL334P711xs3TYdUm8nELYI/v4bhmqbhP39ZubHR9 + e/3zn/o0dx889973ndnl28+ebHaXN0fzXZ/RJutYiphdlHq2WZW+3xfFrrN/eB19tZG+960JJ6ud + tPdp7t66+WMf+6UfeOIGXebkBFkNAy10CYFSCikx9qyFmqkP7l+ce8quOckwH/fU4kSbpBfbrXVs + VcYuD+3my5fj1e0n3/fxT/xIk3jiTm00aQMwjNRKk1A7fN+CQqo0WZ2VfWGzOkndHyZOz26fn99f + 3Tw73vi7MGXhkmVdAp4m7lr6fnvy1JvOL3blzp0vNEq3RhtIgqMNXfeFzXqd2y++Ymendy4fPOi6 + M3FVy8uTWFVDbDkRR6K4plZ3/XlKqdrq97P8YM5JFDdUWXd/cHKqqy6/cvfGyenFg/PuRne8o3nc + 8ZTXer/66iF1o0lN0noxmLmnW6svpfK9Z91/9tyz/+THf/x7u/Vpak5E22E8GYsPYxXOr/ozzUnz + WIZ1e7odS61VNQlVXvVaVlxTM9SxTe3VOHTtybbY2K62ebxCanPypdXZjz33yR+5dcJp3raaBm83 + K9xK6bvcjYw4jh49Y+nzFTV/vWcoKUkx8Qpp3fLgipsdF/W/ef55Lvtf/In3PXXRPDlu18N2Rd1e + 8tSdbne/15R3/a5Bk6RiYycJzAWT6+StOrnrzq92pyrVWeXsxQzTrtv58KBtRte7Lvr0W/8f9O/8 + 2gvcWHHS7VDFEjXhiPT9eddtID1GcVgT3eb/Oz3rd5dvPX3HWC+KD7pqd7vdSle44BlwNeb+OHDc + VO9u6M+eetPFeT/cufOFTlitSV2lkYP3Nb+82Hw84WvLTTOWsckNxTg7/WKT39F0id2AdVpsZLVG + ja8M3Lt19sGPfZwysrqB+FhMm26g2qv/KvbrGO5rmAo+lcodnCRM+61qZpUZy8//2nO//84fewsn + tb8skJQ6UuFqS0ntPU2cdbVrUlEqmgHFK+6IHXsyCKqaB7OsCiAd65Mv3jjNjaOiVPGklsWTiw95 + AJJlsaPmtrWKjpqAxsZEdSl/cOPGf5HXSEO3/sJmfZbSH77C6enm/PzB6sbNIx6L+LS44clQdUVM + HKTubLdarWw3ZGmbdPrHY/+lk7Mf6TboEQdHoJm+8v0nlekrVURpoL3Blf6tT/0qL11+4id/6uxk + 1Vxe3m71rNRuN2SG3K1qvxWhVSTp+VjXkNvVbtgptCTAxVXMBFfXaddwMZxBTNOQZZf1vpZ+sy6r + 2y+erH/in/0Dnr5Nm2jX0LFzyDgCPt0cOqjhLGlwN6igkGV+R2XYiqTUtowj4w6Mq4tf/eH3fM+9 + qzc3rdqusuvW+sp56ZrDHV/UHVTdxP3I2fX56XU+ARkxHKGUQpMgp2KVdvNKz30v5Tve9hd/8Z// + +XXiLbdK6sSaREd/3asXmO/xqSBgeI609Z9qxvaK9Qp3S0lPT3GjbX72Yx/j/v3P/fQHr+6+tB62 + T65OfKjqZgrzn82YoNfT3lzmCrqAmlbR+fmPSnmwKyvtUr99qvd+e/WLz7zvQx9/lg3jOLR3biP0 + 406bbtWsDq7Rh/62nSd4pKxDCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGEEEIIIYQQQgghhBBC+HYQ94iHhBBC+PYr1XMSDAQXdruyWmUxKJXSU7dsz//R+97/ + jvvnb3rl/tNOMxaphsi2+jpJbpqL3bDJWszaJg19bRVEyDL2hlA8iUgH7gbN7sbt323l39+6+bc+ + 8SzrNSgna5o0WE25cUivqWR5LM7SWjVX2+LAmiSmGDgUY7xiuE+/+7/e8+NvefHu927Lk87gYyuJ + Lu92fZOwg7SZzmXs6ZM7IAwuD5qzL9269Qebzd94/uN0HadnCDR5ya0a/Y6mAUOVCv2WTWK346UH + z37gr3/XF7/09OVlok8Yc6EsGwY2Zu536y+f3fy3N8/+61/5KCc30YxmVisacyuSFHeoqLhoBZCM + Mr1NxZUBWkzGSj9weUWC8Yr1iir40WKfAiI42JKH06lSaoiz29GeUo3VKbmj1ZrQKUc7jIwDuwuy + MlywanHBdP5gKZYv9dNj8YQ11JF1wQsFcsvZhqabx9Z66sj2Es1cjHQrpB7xgB5zPD0hfnhBLmHX + q567L330XX/lnXfvPbXbFRMRFJuLnuAKjviUi6yApKavY5cTrd69Gl8+kT9481s/8OxznGxAudj9 + /Q/9tfbe3bcP4/fc62+Pva6SD1ciuqu1a9urYVilbqh9moJ8/ugqISDaglTJO3xs2q/sLv3OzRc3 + +tLp+uc+8jHWZzQb2g1dQqEaWrfj1apthYwpkmolvcaQ9zfMDeE6KTidiOmMpGn5s4r1jJmspc+e + GB1x+p5aeenuh3/qQ++4vHzq6mLTX64au9qxUtqmqb2n1Fjtpzk9zSHb54EFEcbKatXRl8FrS76H + Xz5589+Mu/tveuJvfOxX2WxoOtZrJNGshuKpEYc6lK5V6AFoXp0h/toMN/rCVWV7RStopYw0HTbl + VfP8MKlQgCl0fSxiJGe7o91Q4GRD13qTBjRDms6C4EqlTH3khEqUrb+2YmPWVEtJIgyXvPTSv/3B + H/3ul++nLpfdlSyF6T9u9Pff9t3PfOxjPP0Um27b79puU8FwRdy9m9alvXmVsvQ4g7/frrNPoV6Y + 26KUQk7gW1585XN/4d3vfHBufrfpsD5VvFmtLvv+Qd787tueev+/+uTu7E2rkqn7S3KcjsmPPRkc + zECr4Q0jrAdje8F4QR4RKB2lwTICjSH1uPuX2NQJxnUOIYuhlbymexKBAUrP8IBs9Fd0m6PfD1CQ + aZVIuILhIBV1xp7cYInBWW9YN3SK6hFj5MuKAaAMS5BdITvujNWbbOI7+isGY6xUo+g//Ksf+J7L + 3dvv3rtZzhsGoEKbcANHmlU/ere+fbk9X9HLPKnnV5teIZGm++y+6b681n/f2h8+0fz8sx9FOroT + 1h05kRo0U0C76+1eqMvFpZAx8AKVNDW5m+kOCkPMRAR32+luy4svP/+uZ77nqr6tXV2+/IdrVNf0 + 1fqRdYeOJJt35qqAJzdxjpu13se0XfAkri6YGHhScLusnht5ucj9J9/yb+48+bMf+SVubFglX616 + mowOPeuWoZIyCaQW3JHlTkl4nM0u/MdmXm9BdFd62s4gj96qUHp2V2zP//FP/OR3fPHF7xqt256f + NqlOD3d06cHLcse7/yNPXfC0LDt1upyOwQRzz7kVafv+qsub2jX/gfF3TroPfv63uHGKw2qNpH4s + bbPa358/evc85e33+8g0/+O2J4QQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYTXRWStQwghfPs5jEZSFPqhX7WNo2bU6m0SlIvx/FScL32F + Yfyl9733yTJ2292pSlucYdy0Tdn26ybLWLMZZt16/ZWLS29VV21/1XebE03tdiymMkjaaf5y7t7/ + 3Ce5dZMbm8FLe7oZx5pxyRnBHH0DRa3BYKoMK+RyBcDG89wZFHonZxKX7C65v+P+5XPvec/btLHd + TkvRMq7bpvb9pluVMtg+FeYCqs6Q9LJhp6mWvLtx590f/mVunnD7jHVXheokQWEYd11W6oAokpA0 + 5xOl8uA+O/97P/gjf3nnb9puW+9lrsOqk4fEtvNXklysb77vo7/Kas0Td2qS2rVTeZFqqOE+9ttm + s67L201fLWs9DUML2AiO+miWtTte6XPpOJJsSa8JqFV89JKlUURNEfGK6NxQlKkdrEA1qYYXSkNO + THlOwRXVKe543Iy6QwFlkNqqMQ7USrdB8tSQ28n0KFfoEB9M2iPG5F7DeAqvPWuNJ8C0AtXocmtl + LHg6yX+86v7F2dnf+OSvcftJUsOuUAvjlnsPfv2n/+bq3t0kxfrLO22brO7Gvk26kQy6pbogPpet + 1U1cAXM9H4bm9MauyXfHcVh1zZ1b7/5H/4CTNeuOmxuaFln7dO04MvbkuaFsW0vtGqVU8tErlvu+ + 6HwRz2Ha6dyUga4xtZ5qaIZuKKS8ExNNnRlXA/e2XF59+K/+2FPJbHxw0qY0jGm0dFVudJvqgJlM + J3duW6vTNN1Vv9O23Q6j5cZy1tXqpbF/ab3+65/4OKvM6YaknJxChkRRKjT4lEF1GhlLLTl133jv + cIAtOJxWsoCNri5TaNZ1SRdPT2dz/px0vJ6iY4P1rapMUW1thqVL204xV5+y1jZijgvSRNb6G2AV + 9YoYd+8+9/1/5XvvPSjDxenZugzjUMbUNi/fuvObN578Hz/1Aiutm5WLGuxq36VOAPNWZb4yZP7s + U+H5ce4IrrPWgE/B4+VCcxC2/fm6rx/5gfe89QtfvHMy1v4qs8653W4v9ebNL6F/+dd/je984n5e + 36SjLo3iVHzZUuSomVs3qtFXVl1JDJCgs4Jta5aKNHRimQKCZ6ocd/9yDKpMBeRps4RKcRrxnKZL + NuFaq1TwatYe937AbD7D8yqh+yVUDMzNxARpClwkBNbTHcvRDmi/nLtQuU7bWkUF0WmhL+KD6rTK + d/TwyiWvXH3qp3569eDlNm8b+lZNh6GjKVfDpj273I6eV02bmroTqsu0VeOihjrZXJuTGy9dXr7k + Vr/j6Q/84j/kTqZRTm4hHejlxdXJ6U1Ha/W8v7gApQoFHFNoMWAAQ6cGeJouFmcoRduc68jVK5yf + f+qH3vXnzq9Orkarw41159bvKu5sNpQdqSIujpqIC1PW+ngDf30Cpls+AxIuLkxR7axyNZbVrc0f + 1crKXVEAACAASURBVPrgyae+7xd/hdNbPHmHPNa2GwuiTVo2nmkWpSly7HPnmERF9aj3h+Hby7Fx + 1NQ4WGKcJkOlVSrWYAw9d1/mvP/c+37iLef3b487GUtyQJZbUIc6Na2ni1RdxWX5kR01626Co2aW + XbRtbRgKlpEXb509d2P1d3/zM6xOWZ+QGlT77dB1q/32ejgIwDztfWlaR9Y6hBBCCCGEEEIIIYQQ + QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhNdLZK1DCCF8 + +zmMsBvKuhXFEgbuqJBxLYYkUoXdlnGH7ugvcf+ff/KnnrqsT7kO9+7ebvNqHLvtcHtQhV3bXp6u + /khKPd200lxcXCB11+jFevMLH/tVujXNirxCEm07JxmnmOhuS5cRJ+c3UE9r38lUXBkhQapguEPL + VT90XVOGq1WTOd8hTr3g/B6p+99/5mfb+xc3TDdIf3GV101VTNRgKp8l01dW7d957qOcrkHm0ljX + 2fZSb91E9Goc2qar1Eyz5BvV3FQUw2FHvxa46P+nn/yZt7x073a/TYxCcRTU0FdW3d9+/hOsOlKm + FE5OaJTMgAk0zBVrM7N23cOAbSBjc0zUdd85Gx0RBBKGG2UkZ4SpBng080yQffp3yjtOH661WMrX + s8XNAElTVxmglpIaZRovfA5fk5GpQrdP6h7r+MXpR29bgSK42Sja9NWSNiq6H7pipdF85IPh8ccT + SYe//thZ66oUrcCKxouBG2bwx6vmX7/17R/81PPcuV1yyp4xZ7clJ4bK2OM9tfwv737vE24nK/Xd + ZbPrTWRsTgfNgGLZmD4cmpPTL+0ut6frX/jIP6draQVRmg5b0a2QOmXNAZvCl9NSJ4IkqiJcjLQr + mqNnLOcOqi8r3zSmPtYmpfmHXr2RV/rL0+6kdXbnF92NDbi40/fQsh3QzDiglbLl8uJ/+8BPfGfT + jXfvazp1tKq5uIkpqFsy6q50p5tLtwdqw83T//6f/1PKjrPbeEu7IkNWUgKxwVTbubwueHHpZFy6 + qHmO235Dpv1FIbmLeLVRVAUZS21zd9CENZZgrR4zay0AFYdSyA2idTkRzf6iEBBzMAz0sbLKf+Ys + p9AEU4B87/7/8WM/8R2XF6SyvXqwbruhFmvSi+uzX/joc9x5glaLLmsPOJR+t+lWsv//1+MP30zW + GpvS6RUqWEEzQNtf8NL9f/yhn17v7tXzB6ftrTqWlGWX8r3N+uc/9lG6Zrj1VONInY+n5lLw/Hpk + rQulR7NrVxwxssLlOZtMMicbnc4RX4pic975WFuGwLxKTfum67RTOOpT8ndvHGgU4GBTO4JHstbX + Zeuh9E1O4GaWpHGkikyPO+L169f7nc23Rgq4MDJ3wJNjBW14cXvvZH3awnh+ftqecrWjTtN8ZNhS + y9/74E/d7IcnVOv5+elJd9FfppRSSaBjoopM93W4DprOVf7bj/4KJyu6TM60DePIelNMpZmX1t3y + 9q3YaVJszrQXAARLgIHoIDi02LTMO7lMpWeQ8y0vv/ypZ370qT/6wjsSKTUuNox1HFk3iNMq1pPl + umlt4uoIpu5HHX4TnyZm8rlsXUWKgtRU/VI4v3Xz87n56c/8Brdv061o2yoV9zQmJJHYVYCuQQ5n + bQWhJiqvw/1A+HaaTrsZqpSx5iZVDBAUGHbDWp3+gvv3P/GX3/0951d3St9UK6qgXbFEdakIxnSF + JtDklrzK/CcHR51B0qy2/Xbd5N1YVrkFqONF4urm5vOb0/f/5udYnXKyQhMyr9eHpe39HTuHEfp5 + kWc/DiGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGE44msdQghhG8/h2KIYlhiTIwApGpiuhLIju8QhwbSCAN1pB95sKMKdaCODMMv/7Wf + Oxtk7Pths/rAh/8Zm0xOFEEFRtTZnNGtLWdrNhmoeBmlSbtht1qtKAKOgBspv4E6clMeUHCdUnek + 63KmjbXkNEUu1Q0VcIcrqGx3DFCcyx5N87uTqcMouM4fbcPN07rKIy54wtIcHTOmGCcoqZba5A6o + lTk6XCHRC6kMeTR2he2WcUDqEipWSLRrTm/6KhUhC2LWXz3oTtcDVZAGZVtoOlLeCTu8QTrI+0zm + EodGcHcRcWwsY5sb3KiG6sNxy285ndJwy4ywOba2r1EngLHUphHcrluoouNYm6aZS6dTx1iWnzK3 + J7kudx6F7zPSU+LXxyRiteSUHRESMI61aRJQKNNoHnP6P+54OjQHB/QNZa2vs3meRmXM1YSuqFaa + lEsdcmpebJvffvLJD7zwAm+6VVebMpa2aQ1wEzcVoNKPXPSMAz5Qe3BMSJs5tS02p6mdaYKyamgy + rdI1NMmQQqvobsdqhbupj0nVqI5IRVMzFa4BhKIU6F6vrDXMwd2yzMhs6H666pJKNUemEzYtOK27 + usy/1QDn56jTbzk/RzPeIRmx+QNniom7gmCVk44sNM5mbaCyKaXmJlkttdam7TCde/Y2N2MdaqIH + hfZxstZLMdFRn0LRjqdXhasFA3MqIMfMWgOYYVMuWIcyNrkB9TnYe/2g5dijaf0ncnCG3tJKtxUy + p6PxygX379FBMspITpixvsHpbdqm2vUGs98S3Uzl4KR/E1nr/dUly3fqnKllrJ4TnQ9st1w8YNyi + mSKoglErpxvajvXNkhqBZPMlMGiZwudp2TuOxvARs5LWBq1BATF8pAHRQsMyaAfv9Fj716Om+wGZ + jxTBhTKOTWoAqpGm7vXx9lPjYJVw1B46H+ZUQeYUa5V57hz1GjYQqgCW5vmn827iNML0TU9cwUBd + IxlL6HyQ0/JejXFkGOl7tpeosbtgowwj6QYkEnOVdlrGk9JksnCy8iQ7SYm2Ra24ZClghijOfEPf + QD5Yt31/2+Ps74UMEmX6oZMrZIOdcf7gV/7LH/jzD77yVhnYDtawq6jSNUhdJqbhIoa67C87E1z9 + uKNvgovDHKV2wRBH+ywXXXpwduPzVf72b/wmd26x3rjkwatJWaGydVJLwqdbiSXhOyfTD7LxkbX+ + U2y6XmulSVARMcQGXCTjIkIPGbp6wdWOr1x87D3vffPu4tbQnwy2Kt7ZkLxOs9zASUZyQdxUSnLE + j561rua5yZiZmJriXtxzohov3jp7/uab/+5nfp0bG3ImNebIq7avpWxt84jIfLzTtfBY+28IIYQQ + QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII + 4TWIrHUIIYQ3AJ9DVlUMaqLghoPmSxrQE1vyVtePN7ziNoeNzedvssQkFRKoTr1KiiGZvCLnXgC6 + KbMqPWoDGJpphFyXX30jZbSm3iqVPDV/k++rgAVZyl+eHio+AtUwwypmUOfQo7B0YhUUA0lkJavr + HCCEOeu6xMaA69iY7wt8DkIvJMjVKJUy4BW1OfUoiivSkRpPDIJgLRU3BEhO5jBAqfu2mc4R5j25 + Dp491A2dh+KomU9YmosA2DIyuv/RfIwcztQpyD494mA6XddSlf1beB3IPHplKqNjGHN28vAxsmRU + /bhD+jjjKfhhZv7rZ63370kcSEXZNtUhm+YqjQGmol9edb9z603v/bVP8+QtTtZzYnxeXqZDMcwp + gM2Lgk0da51XralTrr5cLYLoPPMloTpnoSv4FIq2fSm+kgrKFPtcrmiXYriS5JjlWscA8TkmOjKn + RBPkqSS6n8VpPyuYeuTX1/4jplSzTQVrwND9dPI5Xzqf4oQqmlCdG7RL4xRsqrA/+ipiU+9z+unj + rc8O1aZJ/2gl8ZHFjbK8cDru/HdDp7czb1YPv53rl15Sp+Frmy9DLVAAWAG7ESskW6LtQEYbZD3v + fteLzP5JYL8myfy915C1Zrm+pvMoS9Z66bNbwnCHglXqPutraEFAFGlcu0pO17FbGzCHliz+OkwI + q+gOgI0j00CIoYZQUEObaX32/Ts95vVysOlPdL+lSnHU9pupHX9wZFkfXR5948u0YV5gl4U06fGO + 6nqNnF53urOd7qDk0fuoZdovuzz60K3UNE1rwSpeoKI272Vz0Hp6FsN1WlGXNVycLCxd2mnXmx5c + 53lfZX8dkrBlZADMUOZbkdH6pknzHch0SV9c/K/v+qEfvn//7eevnNRB3Wx5I9PVoSAmLlRR29/g + +nQUr8cKWsybs5N755dNgzZ4pTG+1K5++023P/TJT3J2i26NCm1HVcdIyztwWe5d51lUmfeFaY9T + B4n1/08z328NBpSl6Jz3fxcXQSiJSinsYLdj+8qHn3n/93/58u27oZZzFaqTkyBNLSWpVrVRTcEH + ugavHPUSEFcXA0w8TVeiuglWsfXmRV397p3b/9XnfoPbt3orWVuvnpIUZwrQqyNCLSWnfPCkdr18 + RtY6hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII + IYQQQgghhCPLX/8hIYQQwutgbs8pGOQlK6uyLxzv06dzXFkRfSik6HMZ7KB/rVMWK0HO4HhiXB4I + LJ1EBzO8kDlum/Gb4fsO4RQLrHoYdQZsiiy6ziUwgZSUpHie47viU65ySVuroPvmLIYI6ZF+5H6E + OBj8Kca3fD9Nj5zCjblD6z5AK/NJ0umZ5kciSALwLOD7wqhMB7gUnx+uNbJUDw/L1j7dyuyLZ/6q + efKt+nz9CiaYQToIb+/DkMtjbT+PbHkL6aCuaAcH+/BJPubx+8Ovagfx5mlsl7Nw3bR+o4znYRX8 + 69sHs3WZQuIko+ryU5l+9FAm9VXPsqxFCh0IdRmktJ98zLll1CruS9RapgFcjn5u2zIN+JRn1kS6 + PsD9VSxFGNN8Go61FPnhBHUEGrku79r04o/EUOdM/XKovOpsCiQl6WFtFMHnDDg6nxbFBdd5VYc6 + DZEgPj1ieddyPW7LybcpgQq8loyuz29E9hVJx2VZQmc6F2E58vyXeW/y/ap4sNxdLxVL9zH8SeZ0 + OqDTmStYWjXQGIApIwBJyNfd6oPp/dCiJNffe61MsOUq0+kSy/NpLYIvrc6mpo6kBxtuUXyaD4bK + /ljEHNh/52ArOZJ9T1RYJu2cjZ7pdIxzn/tgiznmfqHLunv91qXs17Ppn/TIlneM45nHYVko9rvU + 9SI2PybJ4RZzRMvibPiyJWHz0RqHJ25potuys86fp2U/JdDpdjlDByC4zLfNaWktv3qx4nquzq+S + WDYLn8vWKVl59WXl04agtXpCgKbpHGqx7I5Xzu/z4PIH3M4u7t3IadvbSiW96uKcdihDprMwNa3F + fbqR+WYu5m9EozqcX9462VzU4X4tdd3c9fziE09+6OMf59YTY8q22iQ8Izii6lid7hYEeeg+ZL7t + MbSATOfg4Vum8KdXwR1h/otombRp/29OnGRWHRv56U8+96kfeobyyh3JbS0IJl7L0EjCq1V3x5Xu + pBsu++bIVWgHXE0NxMVNqIILbbfaXV69Iw19hvMLVLrT010Zu9xM72tef4S+36261fXTPfJ3aAgh + hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgjhyCJrHUII4Q1AltopCHmpeRmVTcIxFyQpZW7rVQVHfSlT6txPFQw8uYAiWqcs3D7bDKI0h51U + ybhitdVhamEKtGV5zjdW4HpKuhZdxseFCtkzZKQAiCNjj4yoogkQ0r74OXVy5TCiScKQpcsoBvpQ + L/DRYuFhXW9qCCpoPnyYKEJZip6KThnFCmDZFdRF5wbpFCCEOj8AFXLS63Tu8oq+fD1lI+uSt7Q5 + mLjUN4/5WbB0XTMGX/K6yxEaUyT8cPzs0WnkMA87113Mw+Tc8d4Fcy5OpuOUihjUJcA8x+OnPGR6 + 5Iy/McbzNVMnG8mnirPr/goSqsy5ziJLPlCYcrTzuVuy6+mRaSnXpXW5jhNPkVdDzIX92S9qCZ/W + q4o46kuC9zpUL9Mq+PpkLJds87Iwtkv7d45J6/UD69K4vf6p7686w21ukwIy5ROv57wd/G6axmt/ + zpW6vGhzMOX2C1ShTClfRQVNrrjh436deQzLulSXM1VZrgU5LBwfrPvHvBL3TWuWN5uWxQ0eXjXs + VVdx+Coq1EznIIwOFQXy1PTVBpgXumoA6WBZngb2a1503/R9gC8LrxSkzu1hy9ScBM+M850Pref9 + grKsJ4aDTlvtHMk+NseMUSGjCRHf3yHMa2NiX25Wps3c9ajXy5QGB9IyVss/1TFoFDN0PlVHvhNg + /973M4e5Zu0HU2gaoqRHbypPq7Xs102dFjoXaMUwMJvXTD2Y7VMAG5Y1dlkGZX47D78REuR9ppr5 + jv2RyngFE2SKMc+3nTZfQIrPN7Hz5jpzRHDY1f60zbjWKqWSW6Ua2/sMr3z2mWfe/MdffrJLl5eX + J5uz/uqqlYcuVgcTmbcgJ81N631i/HW4l1fBhssr3bRjuvn/npw885kXvv/GGXkFkterChRHxc0k + 60B2yNPRzfNnCosjTgYX66efTLut51j///Sy+a5IXrWW+v4Cy/Otk5ByFjnlzH/sMx//p+/58b/4 + on9XLq7jdltO1omxloLBulv1V7s6Dm1u3cYjHr2AmKPiCanTDbOTzXW32wG50afG3bPf/xfe97nf + xljduj32Q0pJc3LYDn3Xdm23qtVTEpgXhOWqtZj4IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIbwO3ljFzhBCCH92HfQ+faknIoqpTMlK + Kaih5mp1CiHrdWqxgmGO4+DT56VQuq/9zTFdBAPzOSqr0OBdohOauSX5rWnpfuulqdMn+L41u4/e + zZFFg1Gp6dEwty4d2iSkKTg9f9OXKq8+3HNln7Hkke/PAziPbMEMW7LEQkUNhXydznXAFDvsVaep + Su7TMRelpKV6eP2616+4fE9YMsTkqVD76iP8ln+eD/JwWsh1WNevD0bmQZ4/Czod6kOx8CkwOZ8U + OByW45Y4QfbDr8h8aIigTBfX9GA9ePxRPj/2eH4LwnZpLltbmlOSboLvl5E015ev055iiKHmYiM2 + t5kPstauFBjRilYUEpawhCd8P4QGGGZ4nVvm0/TQKWCZ9nNYcawieJ4v59eNgyFOM485zn4KX9eX + 928cpqapOcWn32R/iZpjflDrBF1WmwSCLyvTMtP2y5SD+PX1s0yP+dkO5qQ+dtOaeXrv94H9bz/y + 1vyRpz3a9WgH61hahh3Zj+7XOIbw1c1TBTfxAjbVbOf9sIDp3LQG1Ej1od/2gznxqnHeb5yPS16V + 773+wTSBfT50WzLx86ZuBw9e2sOPPtsxLTPTMpZwpO63hoOVbTlM1+tvHnv/Yupbz3caCNMmO33o + 4YZyvCM5mCrXtzO+//TQQ+YssR79hlIONybUoCI+TTJZ7rTEEJuPeV5C56D1vAIvQz19YQ9PTtm/ + U5+/mH53ur053PDmh+iyxc+3FgYkNKHzUx0s/Yqv29awvuxI0rayvXef7QUP7v3Ke3/8bQ9efken + zTi2moZ+VMlVpcr+vcm+aa1cN63n6Sm4HD+N6y5Ne79t/mh143dObjzzwmd44snter1zZbVxpJQx + J6VSxOv1DFFhXppkGpZleGU+8LqcyTfq3yThmyYHf9nN90rw0O2xU2E8SMjX1LK5wZ3bP/vJT/zr + p5/6ra75Um44aS63tRbyZtUI427IuanTL/lx944qmJiL+/w1uKprm9vNurN+WF1d/SXl19/zV7n3 + gIvLRlRVhqtLgXXb+fQnwX79eWhw4j9WhxBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhPB6yN/uAwghhBDmlpsIIktcVkhTE1moaJ3KpskE + N0hUnWK8S/EqASj73iGG2KPxPKGSfQ5lWaGAJtEkiGVx8vSwPOIg6XUty35dnmBqFloVCjq18VyQ + fYdWAFZTi9AOGmhzk3COWKdHnlmowggsLWFjaqzNbWrYd4j3bUOb04eyT5DZXE+bI4jKPt0nU6hY + 5287TJnnMr/6FPQToBpuc/VT1eWgpDi/qC2lSLt+F9Pj9zHcI6kgeRqrchBCbeWR9qO66DSHE0u3 + 2+ZHLzFynSZhnsZhHrIjZwvdmGLwZJ2C6KiITocyX3SYTmm5/cw5nscZT3utZdmFC+h1K8+maVuF + qu5iCLjKHJCdApxTSNKc6WxOV5+yNDKns3rY5SzTtN2fdFeR5bUhYUqaLlLd595Bpya8TPVolf2d + +etwCni08CyQ5PqVp0VgGrZmSQU71Ov0t+r0JmQ+5Mqcjk5CgmW12V+Y+ugrGu1y7l3mZm2aB0Sn + WGqCeX0ScckDyj5p/42+zelUzrMouQJ1fqe2r+TOE0T06IMvluYZdJhwnHcpWbLfcxN3+u4xD+c/ + fgqOgxniyDxDsHml42COjTjQHJaAJ0s49iAQfH2dPuYJ0OUZln+WEHJFAU0qSaZ9YRTqNJ/3e0E6 + +JX0UElaHvrnaFyxTrCUposahLTvgk/h0v2aPK0R6Zj713z3suykUDEXFUiWcMEVBdXpvkTmxeHY + h4Rhunw93cg4KtOpZF52Dk7W0e5PfN7fUZ2i3wlNoNNWut+l5rsyZb4g5ukt85AC6NJQZ1lg5wmA + HcSwr39w+CRp+agwTFl0vb41nddUv15dfRm3OcStvq0lNU3BtOxON4lX7r/wo+//vi995cY4lEru + GnctQ9GUirirqasuq6SJqyPOvmk97dRVAMmPXOzfcuJfKfblt7zjtzfrX/j1FzhdDeJtXqcETt2O + q3WLUBpXUjVaOdxxwPXwfni6z2/ne6AIWv8ZYCBaJE1/1jWCuOEG1zfJTNV2K7in0WgadMWTb/6Z + 3/o0D+49/8PveftLL3/X6cYvrtjutG2u+rHLuWm7YegbPeKe4eCoiyEVxySz/FlZR6QmnE7x+5dv + d/n4T37wvc8+x3rH7ZvtqvNxkKYV9+okfXR/W1bMYx59CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCAGIrHUIIYQ3EL+uV+0DgDanDBXU + MDCdc6tAvQ5Wz1/s+3k2PyPX9ThD/DoRZ2mOP6uBCuJzbXjp2j5GNfX49mVCk+v8sApqkGTJYS59 + WVwO45z7RjXXzd3Dfh714XSezsneuWIrcv1Uhw+5LiNOn+fQ4/UDH6o4HrQM53Ay+/N2eLb5ar90 + 8H8E3A46jvufHPl8yXUZ8nq89+MzHYzPUcn9IExl3/lxCkz14vmnB7XS16NpvbB92NKn+bQc8Hw8 + c3jyyIf0OOP5GpKU6vNbc0H8uh/58NtantgNt+bh706jVFFDGlRQZ6qYzg/Yl7aX5WW+cNLDzwLo + srDN+c597p0ieJoXsiUBLyAH0/5o5qVDHzpU+CovPeVBp2kiqHJ9Ugzdn8HrC3I6ofsr/WAtguvl + 6Hod8OWH8/LrTD3fadx8idmKvrbJMAXEDTu8JFWomE5vZSmsToHh12H0fcppX1ftFWwuau8POlqO + 3yidLz6xOXJ8HcQE9hsHgJHYT/6HfZWp9arZ+43y651pP+ENdZgS0HrQT8+Qr+c/LMVfplVIbHq2 + h17/6GVrplsAEaoY6HybIfN1rYeHcOzNAhw7iJuawoDO17LP/zVjui+qHD1hvBzSnIJOgDsiihko + Ok2blHDUl2Xz9TgmmW80lqVVpzVtv8An9PD++Lqkrjhm87p0cN8i1zczc1dcD64IV9zmrXzZ4RKo + MM7Phswb/XLuDm6HjINBcepubNYrsMzIsOPi6u//0I/86MsP3t5X1olSt/2o0OZ2rKPnw13XYbq0 + TEHcp8vHBJ+uqiNPiG1KL3erP9ycvusTz77z1g1urLdtm5BhNzSasqZm1eLsxj63nYP6dCEhy034 + 4v9n706bJUnP875f9/1k1nKWXmewmgRAwY4QFRIZtEBsHGwCQFC0aIcVYX8Av/N38is5bIdCtC06 + RGEl9o0AQRIkAxQogSIJEiMMZqb79FmqMvO5b7/IrDp1unsAnAbOGXDm/4vq01lZWZlPZj5b5ZvL + t3Nyyce5ZKqIoeCVbTNbqvJBGieEjSSFbJB5yO38B4UrQ21bu77MF3LV+aw05T1f+OIXnnnf+m+/ + +w+Wi/5sNev65bJdd31T2qvuekyS1Z1fao2kkmtTlsWsW3Xu8tR+U4YHx28uP/h/3/P2/+Ebf6R+ + UNtY8ey70s4yHtNKp36M2g8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABcPWKt + AQA/AzbRbqYo2qbw+pj26WNsok1rZKEsYzDemNjXaJvFq2GKkPQyptmZBqlqG8S4DcYes/BMUpp6 + k0ztVIpWFxP3XnYpjaFhTfoYHFgsFFUeaarmU1qhdJ7oWYYxazGklGsTQCuNVzumBYWkIrWbZNAx + R/Y8I9qU8pgu/m4A9RQC7rbJvbZtOOzFzMvzr4W2QWWudNXNB2WMEC7TIcZtdmM+lZJ52iaDXOfZ + ioMU2t67q7FTDEvNJNl0R0IqNqiMyckh89xJ9pWFxijeaWNrNEa+bU9qzFXXFde38/tWFCVDmi5m + kaRwRWwjVqeoS7/CC/pE1/MJ1DGBNRU25cwWOw+5dKlkNBGK1DBYtGMd6+QmzVJKhcslGyQpGtWp + poVyTF+2lIdcmzTr8/jZqZXFdK7RjB9v73yVTF4ylGPCro/XvLOpT7vinHZpjNM+j9XcNM8NY+WD + bQAAIABJREFUu1CGMr1LN9NusvV4PjaehW3zrTfx7ra9fb79NBVVMgu3cd15yHvKpwzUlOU2nNXH + WGI3zTdluwyvksYc3NgZD6aDxnhj6tRWryEy2Hs1Po1c0/GqKcf6qZ2c780yyY4/xBQwbN5ssnen + GYVLHoNUxxYtWWjhnptasDOcPRTM7K5N4u8TVYhpKExJ6qWc2o9PK6d86AjV+ZhuPlbCUqQmN1nC + Pp2L78YVp119TLIpG9nUksMtqqLK5dOgP8Uej7OIqY+40vHrYmeS1SxSXuWN79zIqGbRWLPTwV9t + mVJepZKxM2N023wmc9tMch6eEf102fnf86nR1G88chFSmlJ0N29NUoQUipBPz4ZCU0K3SdsAbFfu + DGmaBoLYZseP/btJs6KculOfJoSPNKXd8Gy5ymLejcPu/Xs6OfnM23/tfSfd7bFctalddWk+t9Tg + nsr0lKV8+rEwzVwlpSuk3MwoSrjlGKJ9VV5YLH//6af/5ec/p3nRUqHVTCV6W85n2wFPpvlsXpX9 + er2cLzbTm+kOhPk489LmepRpDuaaftEwAryC+badngedjz/lrB+0dlWTFc0UrcxlXutQFq0G5aBo + 2tLe0c3ya1/64uee+WeHLzz3xpl3908t+qndyZQ+dddXUfrcpMynKYtvflTKFF0X0mzR5mB9F3vW + lpPn33KQWj3Q3p5Oz7S3NJumxbsh93pomdoPAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAXLGfocxOAMCr2U5CamzCJEMWtsmGPI9RnZKbXTlG3j4cfJvnKYjT97Zcfp4PF6bw88Th + 85enmqs5yyd3IZFsG5UXm0vzSGJZSnXKKt7kzI5nZ+Nrytwdtx2viWVsLsVmF5uvpTz1MNvu2h5O + HZsSBneCDPORG1I3r50Cq16Iy40LQX+2OenzY1yf3J7j5rzKWPk292Anmn1KCLfpgo81LHJaH2W7 + k+3Or7r0O7GXm/TgsfZrrBU2NSq/sP1VutT1vGxxbKfa/JBra1Mu5pSGOTaN2BRq/LrvVObN5Rqk + Ko3B22kKV/j5TT8v/OYmXyjCI+fiyvF1DfXgvAw7gb7nr4ddKM/U5Y7rHxNdb9NV2tT/bTt+qMOJ + ne4oQ1kVObX+SCl2O/NHLsh4y+xJL5Rd+G/bIFy6mP96XTdiKoQpN4Hf01XbyX2fVl9nkf4eit2R + aHP1ctNW6+4trbLNjGFTF39o3ucTZFq/9B5tOyDnzta5ub9T1vXOxpp6mrjGEW9sjbnTTDaNe2cL + nfdu9XHTg5+q6XalPdR4dd4TnV+dKwww3tqer2vsOnynnJuCXXM3ko/0YJsOzi72+Tq/Wucdsks2 + ZnJrE6isqT/fjcqObfWQbxaiSqHI8+llKN2myXb4Ix2vduvYOC6ElJrV0Gqt0/XvvOM9v/hg9dS9 + F3x1olm7Wvel2HxmtcuuxlDTxwlDyjLHZuKZj9RC2w7xId8dWcKy2jafWzvrdX7b0sdvhfyhLcPU + u5827Yvz5Xf3b/ynG3f+5Re/ooN93TzUcm7trEhtU7LfyVyXJBXZcj6LGKYx8eLV2E6AH76PeGXb + mesWqWyrzDR9HefqVXk+TSttMzZpa9SYwpQ3b+jO7fd8+pPfunH4nT7rvPHiqoocStOEbWdWKWVY + hmn72q5/4gpXQiXk4Z5uipKhdEt5Uduo7/tuqK0vWulg0M2jF/6vD31AD+7LUnXIWtPkblk3s7sn + mPQDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+Mn8zMV2AgBenTYhVn4xzsrP + P9v+3Sb2mcr2k82nZTfAzySpHaOqz1dsUub8fLkd0wkfLszPCpPa7ZIuJLBuTtYfSgIzuV/IMbxw + gg/tfLvBhVXnH/gjG18MEt9JmnzMppvyPFSYstlkm3rY7n51jGl8uCSPlNCmHLirvmW7ccXbVReq + 06aMO+93PyoXTuJiJb+O+jaV1adra9vj+oXP9cgJXWFxLnE9n+AS+U5In+cUl205fRIWTcpzk0Zt + NqaT+nZybJKmHmasYSa125t1sa29xDk+3KZ206DL9mT9wja7/dXVsccc4seohxfOeqdTtU2AvW23 + evg+vvRxy04V8Atp2Y8LYn0Ctr2POr8HO/di6uHOe5IrbpCP9OfneZbnBz8f7x7tMXCB7Ywd5yHM + 01V1u9iixw3sQrPzR5vbw9XgMtf/vGqZZOct+nyfZee4VqawUvOHC/O4gfWlepufIts2BNfYJT48 + MEzTMNfuyV5tkXaP3krRbJOkt4OITZmw19BWxrNuzvu180sxFmra6MLildqZOV9csIfKYLtX83xy + tzsf25137c4Ptl8s26/vLNh2MT2nQ9eSY90vaV4lk2qvWVGYziSX9lSVVT5ThNYnevHex5/50D9+ + sN4/O5WqF9Whm7sppEg3mWS2iYeX5fmV3SxluiykZoy7VoR5mklSuCzCsrrC0lJNqMS0n7BMU0ie + aT7rht7KLJRmacoYoi1eh1CRN35vqOu9m8/uHfzF7OB//vzndLCnZbsZWaYe23ZntHY+jfWL/c22 + 84/da7ozNFxD+8LL7OLcbzt2mErRfMx/l5Wpmeem+x3HkZS5UlXLotcefuhrX/74r7y3PV7dOX2w + sJO02mc0zXzd9XuNZ9auqjSyYrWmp0sKq9r2EiHJ0+KxxXyp0uc41baY4u4lWaQ0hWmnuZS5Gsv9 + 9Jne+Xd//dm3/aP3fuFLuv2ULW+eSnNTsSkGPk2hcAuTXxh3AAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAFwZYq0BAD9rHpdi9RIBk/bINhcSTPXYNb67/WP28zPpkfC+R7IvHz6H + Hxnu+Mh1fmTPj9/xS6/8IesvHPel79QP39ejt/vHO+JPz+MP9tiM78d89KP2c+Uelzf82DXX5dLX + 85K7T2mTbe2biOvc+fz8f/NtNudutXyoTbzEBfwhHu5tHs4efVwlLw+vuxKXCrH+0Z8/0v/8qG+9 + VBb4j3v0S7mw20e7Drv49uo9epovefSf/cHpZ8BLjR0Pt6aXGPR/6hd/t3X/0DKMCbgv3eO9LMPc + w+X/EVOyaymbX1z2C0H1j9nmyp3f1kcvhT+y5prZS7/b7esulvyxtdQe+/YC3/l7caO0MelWNuXb + WmpWJKmuo537WX/SSLOmUb/W0Ot09du/+d//oxeP79w/2ltIsoid4VqmnXH8pf2Ymz1c3JRJU7J1 + HbrZ3mHfdZkZkY0X9+yHaOd2tk5ry+pw/1vL9oOf+/SvzA9140CLVuWlZtQ/bgkeHvdfpraPl8uF + NrjpSuzRlmVS7FaLkCKktMaWeyXsw1/64kff9d6fn9ntlR0Mx/umk9X6YDZX493p2Xxu6y7rEE1j + YfKMtGmqrFTahSnyZco+/h8XVk7R8pJi2iRtr8+fH7qmf/533vWe3/ra19TMfL4XobI5btUQSklF + MUZ2AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALhqL1+QIQAAAAAAAF4RLGQp + WSM1MknhikbyKlWpxqyNeaxvNbNZu1+ryQd1Z/rB0Rv+83N3193c6mp9djbkNi035ZIr3dLtcqnV + ckWJLJHbIGBPtVVNmEfRzp5DFrJqmjVWTx/ksF7MZ4qIIc3bdq9V5iCtyvzv9m5+8Gtf0e09Pb2n + /fJIJDVwxWJsSuMbd7mpVFla6un5R/74U7/41X//zacPHsxu5ro9XDSn3Xp1ejb3pq5t3i7njZdi + Ug1LS3ma0kKlmqrHDz/yT8xWVW+Y3/qlo/63/9t3qTubq29NsrEtDoMypKKibCRy3QEAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIDrQKw1AAAAAAAAfmKplKrG3GiFakpyxbrKpRxU + u3pyHKFSQ+uVfvDcH3zkX7w17KaGeWshlVaSXApTmM6TrPPyz68sZJE25vWapXmah0umNOWYqWue + LrlkshykUtSfnczk8+Xeuu9fOO1/0Ov07uGfLOdv+9QndPOG7tw8sxhKJsm7uEZTPZW2rcLkRd5q + Zns3NW+0bPS627/+xc/+5a07353N16Z50eLwcJCn2lW3Km27WodMrjHT2quValZ9am5XarnYW73w + 4p2j43849Dq6Z0cvaOgzJQuNTXHMuE7pMgH2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAJ4YsdYAAAAAAAD4yZhkCsnlUpE8pV7DWVY7KFLKTa3bwcwtVKvuPfjdD3zoqRefPzi9 + P6zuD7n2osblKaWqqfq00zTLy8fuhuXmNUblesjDLExpLrmlPFXC2molvO81nxdvfEiVRUR/ZE0u + 7hwc37r9J3s3n/nTb+iNdzTfV2+L2bJGvZJrCDxOlTqpsymBXSmlK6SqWIV6l+1r/5b2DnVj/91f + /r1vvfl1z7WzddW671Zup+qbdt6v1vvzxlNKU5ZQqeaDq5rC82qzpNOH1VmR7890eP/5z779Gb14 + ou5IpZdC6XPZTF63+fWEWwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABXj1hr + AAAAAAAA/ETSVG2TRRuuKJJSWYpVVbkN66Gr6Sndf6B7x//2Hc/8wz7v9mvF8bxVMTWurJIunWD9 + qLAcg7Fz2pWHqZpVG/OtlRaSLMeCp+eYEWx9H8uF1XUcD4pF+xcPTv7y9lMf/Ozndev22eGNcFeZ + mXzmM+ORGq5RSiHV3WTrkFKldRXJrave20J7h7pz8yOf+Ohf3rx5fOfWUWg+nx8cHvR17W7RDZZm + 6ZJvnwmn5U/e4n6kRmW2WKzXq9e05c33jz7+7nfr7MROXlSk0pWNpdOiAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAgOtEYggAAAAAAACeXEq9NEgxZkVXKbzIGsVMfRk6pTfN/qzs + 6VR67v5n3/7ML947feroQawfzBdtppTKTl6nHXrKp4hsWeZLHfcly2MKV5gs5SlLpWxw74tXj/SQ + UlbTa3ikRfXoinqzDMWQ69T+zfl9zY5f/5b3f+qTet3rB1sULSJT0WeV6o8uA/DT4lIruTRIg8UU + az2+TH2o67Jt2kYz+bLrq+7eeuaLn/vmrZsnmvcnq+7sSJ5mGalUSbVKd0UT0WS1Szevy7NQ4yer + k+W89b5/WvX1x/f/vw98SCeduk6SctrKUmmqJl190jYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAADwKkesNQAAAAAAAH5CIYWkHPNoUyZ3uRTWWI1NKu/9o09++ENvvf/iL6Q1p6eH + i9nZundTDprtlRqqpmomuaU2yb0yhV8mfNfSLGXjV9MlG+NypZBSqrJIy+pZPfuS1bNtW0+ZlLPF + vZvzPyntf7hx992f+ozuPj2URrPWxlNrWituhedpuD6mMYxaUtSxSXhozH42eSOfW00pFOGzG7fV + LnTr9oc+9Xv33/i6e4v5SlrMPFNyhTxMsrAMV3VlSZW48hTpOvT7i+V6qH03+OnqdV335u//QC8+ + 0GqtGtKUbK2xEzl/BwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCqEMMDAAAA + AACAJ2dSKzWSxlTaIrnGPOlOzYmsb5Qm1bXq+nXd0a2zF3V2tHCLrpsXWaiYcl2t0eAKkyRPhSk8 + /JKZ1uN3m1AT8nDJJHl6E9FENsrGskZWU9/ozLWS1KrUmuu+UXmxnf/hwY1f/sM/et9Xv6qnXiOf + NyqNwhVeZlVepUrsLq5TSiELNZJJoUgLlVCJtDGnXWEyl0saJJ9p74bu3PqlT/+7P7u7Xw9udaeR + qZSsaIh1WE2vYemSes29vWwTu7SibujSzYs1rhtD/Py91dff+0GdnalbqSpNvTSY+qGfGi0AAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAq0SsNQAAAAAAAH4iJvkmz1o2xdKavKjM + 1VrfWbfW/aP/+/0fuHP8YDlUV4TJ00qYhaVMaSELWZgsNS5KuXldTgmVMJMs5emWKqmSUdyyykzN + nnedFgtbLPz0VK21tjz4m1nz5wf7v/nV39fdu3rt67Q/V3P+6Myn52ihKXobuFZFKlPI9dRcTIMp + xtY3tRKX3HtvtX+oO7c/9OUv/Pne/vfme3V+UNrFMPTtzL1EZmYqU/PlYr3ur6HwY3L22CTnQ95c + d689Xf+f73+/VmfqVjKlqwu1TTv062soDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAPAqR6w1AAAAAAAAnlxKVZJUUpYhDfJBFkovp2rWPu963bv3sX/yT9/9/PHh0YnPrZqqudIt + XXKlV/OUe3oJl4WlPOWpMNUneXx1HjxtKVeUyJI5dHVIZaOz01i4uvsZD+L2Yll7+35p/+zNr/+1 + r39O+8u6f/BiDutWaqVGKZfcUpZRVIuqFD+liwf8KGNstUmb/1OqyqqUsmTMNDQalCGPtcfKwkxH + JyfaP9Bi8e6vfvHbv/Cm72VR9cW8lKxdn32qmc+yKs5W82Z21Wfg4SXURjSRnpIsTcuh+4WjEz3/ + nGwVObjUmFzRtoX2BQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFw1Yq0BAAAA + AADw05BSSpZS5hhMW1yrXken/8+7nvknq9XNe88d7s3O1hkmSWGqpmpW3SQzyVMlw1OSLFNSbra8 + XEFMacrN1y2nmOswtbfaIdUUedXh/nJW2qMu/7OXv3r67j//3Kd15/Zw686xmjJrBil34oTHHShD + SeYurpft/u+S4jy4PaeXhRRV6qVU3b9xq/O5nnqt7t798Cc/8Tevvfsdj+fPhr7TfN/d1a+6pvhw + PcXPsXWnS5ZKeZjmtf5cP/zu+9+v46PSr5o+ZtL67ORaSgQAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAC82hFrDQAAAAAAgCdnUpHb+JTJXDlmVLs0qJ6qf/A7v/Srb3vhaLl6scwl + V5VKZslI0+BaFw0uKduqtqqkLCXluPOQLhsiHaawrDYtpIUs06K6hpmOHvRuqp08TX2T5eD+4a2/ + /G/e9O7Pf0o372i230tFXaturrCUqqzKQtWUlkpX+KZ0wPUIWShdcpeb3DUmrpvM1rK1THKlF3kr + FYUruiG6bDTb08HeM1/55H986+vLa5+eWcku27kPqXCbtbNu6K7nHGyMvJckVVPJYXl09Naa/+qd + 79Hz99SdaOgWi6XSlTyyBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAK4WGSEA + AAAAAAD4yaTOk56tkRpJqlV1pR98/x/X/nUnD0pX+9DpaXew126+E9IYdZuW2uZQp2V1VVeYXPK0 + SxdnTLb2GL86Fi1MUdQsFaHF/rI0e9/v1n+3P/vTmX/w4x/TYqn5cq0202ZqZvJm+82UTFVRZbJW + anmkhpdHyiTJQ55yje1jWpDSG6mRW7pJy8aVyuI62NPB4iMf/eif3zsbFodHfZ51MVuWdVRZXLp1 + PYExV97GbHjJwpUlY6/WWw9Of3mQjk90dqzo1WeG6zrKBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAALyqkcEDAAAAAACAn8BO9nOaBqmTOrmGXj+4/6UPfvBung796d5cjWlZbHXa + pylNpmwy5jXaCNcYQZ3p6osG1+CqJksrKc8fXoILPNNSPoVbX/goeuUgVamLs0H3l8s/e+ON3/jm + 53Xjlg5uKa0Mw8wapa9PQuFSyHuVtayXVNWMpwlcp6n6hxRSToHwIVe4wlt5ozEHXiVVJLOyOl2X + oc5cQ+3kruWh9m6unv65Z23Z3rxzWtVZrS4pruXpcISpd+uLwmTKkrXJOrdyuB7u/PV/+eYHf0Or + Yw2n8lKvozwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAqx2x1gAAAHh5XIyn + jIf/5sNbSFL4tImN/7nSlC6lLHYzJsewzN3YyeoKj/DwlNKVVj3kVV6lYQwHzOl1XsKpFNu0zunw + AAC8+pyPi0qp7qyextvNqGvSLDUbep2cfOy9z/z80T1/8OJipmEtq1Lkop229FSJLKGyM8CGducA + 5inLyz2/2kZZW+aUA2whpadmJh80a2cnKs/uz7/3+td+5GP/ToeHaho1rdKaphmGWkx7y5mqZDG9 + FC6ZxgkGcH1ymoD6bhOznY+LVHa2t5RqLJZzFeuGUy9NVZHP1e69/9Of/s6Ng+/W1P5SZRahXmHN + hcOFqW7z4NPH16ZN5UvM0X/0SaRlmLbzdZM8s9b10vQGb2+/ePRv3vsBna51dmRFY/T8boeze/ix + mMpBGvREpQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABArDUAAABeBqmITYy0 + FMrYDZbW7iv7GuuUokpVqooIeZVS3ihnpkbKxiWppmyKoHblGPBnlgpTJw0ud6VkmoVm0UilU1lX + rZWhjEF9r+hDEcpUTAfcJluPK0i2BgC8GsR2yJtSY88HRfXSsImYDWmQqmk9dDJZqlRpNejo6F+9 + 971vPjm+sz5dpjRoZtakaTNeW0qyNEs7z4pOM0+VtCasCStjqK1ddvA1NV5TOWjWtF3WGmkzt1QZ + ioZ2qM395fIPb8/f8/lP6OBpLe5qsZBLXiSfN8UludRI5rJWaqW2yNsxTvhCqjBwtUzy8RGuSS7Z + VDfb7ZrRmG491cyQarWa7WyQV3m1VstDPXX43i9/+gd3nlo1e6uz2qTSrXNVk9IlhWlwDa6UK8ck + dwuzlIdNNd+kS2ZJ5zaY3lOSKU2pMNnCT4YzWd3v4i1H0tFaZV11tFYdJGVoGHKMuJYiIqaY+pB6 + aaXsxzckWwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACXRaw1AAAArtuYPDfO + RG1675JSHlKcz1GnDOripUruUi9JNm5l2fc1vQwZrXumhlAzOz9KmHanu+1cfVWmGveaUVRq121i + 8hqla10buSuKyx6fVRlkWgMAXlVymxebF1bqYqyzSVVq2lk/SBHqzrQ+1rPff8sLR7fX61lMmbab + KGt5jq+Xioa2zQZjCO6TOFvHfL5sTbnq5ou2melsFRFqS1P29v+qLd++sfc/fuVLunGg5Y2aLj8P + qz4PrZ7mEmOIsBuZ1niZnFfITRUtj9bG7bLFbvT0efJ1kfYXurH3rs988hsRZwe3c7boa9Z+bJxh + KaUr3bYx1Oct36qpjrt90vo/Nef0KZ1bWnW1aZXqZ333+j4/9f4P6+RkNqwXylW31lBlaSlP1VC6 + b84opqIRZw0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8KWKtAQAAcK1SIYXJ + bczMG1/ylNfNK6cISUmefZUyM6pJC6moy7WyU8Zi1nhTOqWsMbUh9cNjk/ZcUvRyU3HJrKpvPe+Y + q5f6dqitqsvnVq2pWRS1DjJJQ9G6qDeTTGmV7DwAwKvCJt22SnWMn03JpTINqjPFTGEKk0qqpGap + oYtsVIeV7Exn977ywQ/94tnQhM5K6c1SkqWUFmMu7lVGQ6cfLJZnp2dujc2abtWfdVosF2qayP77 + Tfettzz9vq99Se1cs32dHRcL8nHxCuLyRtY2KnNppqFRlJBSWg/aO9BTh//i29/8j4vlabvX99pf + WkmZUpKnNbU0tZQY47GrbHBVKQf3zq0+ScM1C1nKJEvftn2XmqK+lxd5rA9WZ08fnfzvb3uPnjst + 63qznauVTKrVQ2mq07dCKYVLC2n+xBnbAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAwKscsdYAAAC4PvnQUp6vCik276a8y5QkaxZScfOhC0nyGKyXVa26m6ddd3y0XCwzotZhPpvV + ePxxPZWD9lrVQYqYtcvh5Phg1WtVpRLpGuM114NUlGoaN0VRmsKmoilUQk7qJQDg1cO2mdYmudIi + x5ExQzkOujGN5ql56xFD8dDx0b9+xzvedHbS3nuurTF9WZamdElmeeXPo4bVsCiLIYbohtlM80YR + cVTrXxX99d0bv/WpT+jmDd1+Ws1cB3vykL3EHAL4+ya3U+xwhSslVdkgCy1mcsvDQ9288Z7Pf/o7 + h3vdYpbrtFSaqnnIpcanFprbLiAsJKVcOeZfP0Ga9NT+tfOLwEIHN5uh64uGveyfWq/e5gsdnerB + mY5PFCENUihqeeh3RBZFI7k0uHoT7RcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAC4HGKtAQAA8DLJTZb1hm9yL3dT69J0ssqSmhdXL2UtkqL/zPs/8qZ7q9cuZyerB6GheHZdt2g3 + CZwWnuf7ttSisW6tYorMrj+5vbd3Y7X+3Y/8d6ryRkOj6tJ8IWv6s0HpSlcWZaPcJgI2qZYpNADg + lW8aTFVSJac1ndSPn44jeGYqUlKGQvVMSi286uTev/2n7373aX+wPl7ObB51OaitFvLe1JvyWkbS + 3MTu+qxRej+o1K6/ufirf/CWd33mM9o/VDOXNauqM8/OduYewCtAyqaZ9iZJ2mr1yEbHVb0aNUu9 + 8fbbv/p7zy/2rSzSFKbB1Zfpb3XF2IZM1ZWWntGELIuyXL5A2xhsG4+VJkmNdHZ/MGl+YzF0D+7W + 7ubffu9Lz3xAx8dKqUpDqpFas1DTyaSQy7SdkJtyir4GAAAAAAAAAAAAAAAAAAAAAADkoTOtAAAg + AElEQVQAAAAAAAAAAAAAAAAAAAAAAAAAcBlk8gEAAOD62M7f81WbZDuTWqlsNzDJFNJiUaJKVcpB + p6eL9ZlePHndg9XN/mw4OTpYqqaG0LxR9Lu7Tp8i7kzyGHLeaAhVaTZbrE/v76/6p09Wunc8P+2b + s1pMsl6xbpcz2Zit7VNZ7LywAAC8GuT4b3yNg7Aip5F5HKN3RkdTWSjvH+nBAx2d/MK9B0/duz8f + hqzZRjSRpvScNo3rGU0tQ9l4E91w1kezN/t+a9+Zte/72Ee1d6jlDZV5L3krU5vy4BEZXinGVHrl + 9k2TsrGGn9ShXTYhdW2by5n2l8+97vV/UXVaSkrVrLrSYkyJHufnIYVJtt2th4rycu0ldybU2wBq + S1mjIpWFTo9W86J5qa/J4Y3r9b95/wd0eqrjB5q3oVx1a5lKkU/Psn1TmvPdAgAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALgUMnsAAABwraaYvTFpzyXXYOolKYp6y7XprOhMWsvW + sj5jbdl56ZUn6o/14ETffvEb7/zNu6uz4tGYsspNxSWpbo+SDx+3Skor4wy49gvphtl/9eDsa7/2 + AX3nr/Xgea1fUDxQ01dbD9FvI7jTJBtk8pQnyXkAgFe+lGJMoh2XTKkwqUiSy1zusvOEWZWQy1rX + fzn66tt+7Y0ROdRWak2WKdUxyraEe7or0uKHHv8nZqEmI/sa1Zuy3Jt9r+v+9g1veNdXvqQbt3Xz + KTXzfkhvJMW6Wxc5D8jwyjE1YKloKFpJnZqSbUlfliallTIly1bN3ns+8dFvv+mNL85uRM7SXJLn + 0EaUDEuFKU0ulZRlpjS4V/fLh9NPU3ObArFN6Uplp9lc3Vp7c6lR13Xrvruj4a2rM917UYtZyoYu + FrNlus76wUKWknm6cprWm9TwiBsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4 + rDGRBAAAALgesUm1m8Ln0tSPoXu111A1DFJVkUxKU6aqFKGztULqht9+3wd+aW2LZ79/pxlsWDUu + pVpXHWSSF0VK0hiXmfIxR9skc4sYQmqKapWZMjWUxffTj9/w9J/M8n/67Cc1a2Sm5aGslVqZZL0s + ZC5vVZbpxFoDAF75xiTqIlmVJJUY/9+kWGt820wbh2VV1+mF+1/+1Xe9+fvP3lE/y4hexWwbZ5tm + oSKlT4m7VziihikyMzXb3zs9Ph1m5dk7tz5/uPe/fPWrmu/n3o0xprvru1nbFPnQ1WZWrq48wLVK + KUPm1TRIKbk0G+fGlp1pUBbVRU31VUPV/Qdf+NV3vuXo6KBfz6Nro3qmZGGqljKVnPYassy5SSV7 + 1yXC6cMyx7m9XDJLeaYp+sx2aYo8W2u2UIRa6ajqaO/mn9668ZE//ppu3pJm6bZWNPImJKn61IMU + xRSTrSvtUQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIBXIGKtAQAAcJ2mALyU + 70ThRalrna60GrRaq1urTRVTmrpOff3Eh3/zxkl/I2wp6eTBTcu5usi1SSVUUiVk2aYaKWRr0zZD + 08eQ6+mYFrGTeOepMEWjs2IvqIn9m+vwF0vz/I3Fb33047JGs6Ic1J9qudB8qb1DLQ+u4RoBAPDy + SinG+NmUFNuBVPIuU25VitS+qa47nzfWnen+0f/x9ne+6/j0NS88rxp7e/N62ru5qUopU5qqTFLJ + tFTa1YbQ1khvNITOih8f3v7m3v5v/NHXdfNATVunPG5JKpJqSJI7sbh4pYhUjNVa8pJSKk3TDNmG + zqoUM7mqK1udnenF5z72znf8ykl35+SoG/rlQv1a5mVwk7KNOmVHpyJnkrt62Y8bax2mtJRkaR4+ + BmZ7SkpTSJmm6gqb5u/VbLD2OwcHv/wHv6+7T6s56BaeUlE0KckHU0hFQ5EUjSQZsdYAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADA5RBrDQAAgOsUklKq8ikvUyrqtV7r+aP/7cP/ + /O7z9147n69sPSg98iDydr++cbp+bW3Wp/fmmnXq2uKrOlirsE2sdS3SrKrIatHZTqy1UlN43rTK + IjehdyVlqZpqGvWDGiurrOXgqe+2cby3eKBmFTGf+dmwHmbNX84X/+vXvxGLpZf2ZbhsAABcozHW + WlJRaEy2lksuaZDCFFJIe5JqaFhrfabnnvuTD37wzneffWM7U7eqNUzFvcmssjrmY1eTpBK6hlhr + m7X9urP95d/Iv31w89e/+lXdPqwHhzLXeCZTaLcUvUzyslkN/P2WUlVIUSQbs6uldMlkIdlQrU9F + o0ZqM90G6fSeXnjhm7/yjl84Pt6frTVEHSRrBmtMUdRZSialPBrJpXqZWOtpXu4pS0t5bJq/Z5qU + FmGqpu0s3dxfODj848Obv/6FL+upp49LWTQm1UYhaVArRaMqmbJR0nYBAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAACAS2te7gIAAADg1WVMyozNQispQ8OgGnefv/dLQ1k8/3znQ1qU + mnPPYX1ye789Pe3nkuZddOElZlUKpUk5ZVKGorpJYVLJKRXPUrJQPpx0t4nqlCTTmPgnKzYbdHL8 + g9ceLPxvX3jd3uEwRFOyFp22Zb3s1Q3e5pTFDQDAK9oYYrsJuHa50hRSk+o6WaOZ66Q73S9FfdWD + 08+++11vPT5+zXzv9ORoLi9WJK9R010m0+BSyc3OrzjTWtJ61VnbvtA337t799e//iXdOlTTFEmx + Gcdzc5IlUmHTvAB4JQi5y02DsspDamxT4dMaVw2pShrzoF2aL3R4+LeH+4dD19TqXTdbLNerdWue + pvCSXiXJZJae1fISQdI+NnzbBMlbSF6nTsA8Zeme8nEeL6XFaV+XzembH6z//TPv/40/+oP9G/vW + pxpLG0IyWRm7JHmaZMzNAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgEv78SNI + AAAAgJ8m205GLaSq05PXzGaHp6c3++M3zZqnVmevG/rXxPCGg7mf9ntFzdxO1tHOpjTKEiohSWmS + Vdkg62WDpDEyL3aTraW0nazrUW7/lFJKNwylne8XK2erNx/Ob5+evGHo7pyd3j4+fer+gze3S52c + qZld09UBAOBlFeMQmdsHR95LVYpBM9dcaqT9WdHpA52c/ev3/LM39f1TQ9edHO21B2W2HLLKMhRh + HvIcB+Ntrm1e7fOokM/391+Y739rf/9dn/+8FjMtF2qaPOulTaD1uKAY5P0meBd4ZSja5LSbZDnO + kKUpnF4qriblU3q9SbOF5vPf+L2P/fXrn3pWpVku+66bN23JKBmbHY1CirFB/9jMcvuNlCSLsS/I + KWPeLM3SSqqkTNpf2mLo3hT5cw+Odf++HZ8qU0Nsz04pyccfE7Fp0AAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAB+fMRaAwAA4FqZVKR2E7Z3Hk+333alv7c6StPxcNL54HOd9uv7 + x+uastliWOdMssHWazWN0hQ2ZVfL5Fab7JusYy5l9fMca0nbJD0P95TnNA9OqSntuq+qpajUfiiz + ZVTde7A2k5SNazFvbjSz/v6x5oc5XNdlAgDg5XMeE5tSuszHNNyU3JSng7qq7kz1TNnr3oP/et3P + HzyYmxZNK6l265SypJV0DZ6SimTKzfDtl8rEvbTey3PRfGu+fN+ffkOvv62bN/pu0DptNpft5PN6 + L69VJTQPHpHhlcJSJWShKh82UfLyGGu+jxNnFVOxsdqnFNJyqTc99d4vfvzZ23d/0HuWpg5rWS9V + T1l6E9aE2siivHwOvJ0vZXqqZLhSyrCcZuzbkmaWtH6tJlY3Vw8++uFf1/0HGs7kYfKiZpNM7ztn + BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOByyOwBAADANcoxwE4muVQkl3IM + pevWq6E/vLHftmW9WjWtIgeTbs7bWePd2apKs/kyajaSsoz72YbqWcrHWLtUmGIbmH0utmWw9Gkz + U5qKbFBXZstBtTs7bVvdWJTGyxDDWahfD7XrPHqZgtw8AMCrwDjc2c77KplUJFXZstEs5VUnJzo9 + +9SHPnTzxXu3S5G0HvrT/ri0s9bbdV+beSNVWZXGsds0BdheOhT3Uh7M2v909+77v/77unW4mnma + t+1CpZVLFmlx8Qx5OIZXnBz/eFVTVXKs5BabWu8pd3kZN3apKJv2vlwHN97xe5/6/q1bdbEsTZFV + eZXkaR6lRNm0mss14TSlWZqNHYBleqYpwqK6qmf1TCnH5in1qzhYqGnKwdC95tlndXKk1alUlUXZ + Khqly6ZCMDcHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAngDJPQAAALh+rpSF + LGQpk5TSbD7zEuve+npzNmt69eu6nJVu3VvGzNRKsV61Vsp8f12rZfHwUr2ELJRSNVVTypU+5lWP + 2X0meer/Z+9enyTJzvu+/57nZGZV9WVmrwBIECIt0jZpUUFSDkuAiCspwKbCDstB03qjCL/1v6UI + h8XwCytkShYpkyBxIUFSgi4hKhgCJYoOkMTispidme6uqsw8z+MXp7qmd3e6d2p2ekDsfj9RmK2u + zso6eepkdaLefE2ylLT70VMppWk9T92Rda4Yz3p5byVT600d6+Qqq2XXLyVpcdRrPs/uljucAAD8 + BWBSL5WUXOo0SyENUpdSr2nehEbNa03173/80z+w3b4wbjXGeqPFqj86PprnbUZdLvvNxSTL8Cop + 1UWulL3vEru3+Bf1O6vho7//Rb1wpM6W6mx2VaWpurbyUVG1lW2VRdEXyfmCDO8xFjKFJCnaAk8p + QzlLUaVoF8bZtoxxriHd6V+QH+ulu3/4wvD/jefhscvQtz1ll7KQ6oEd6TCFvJpPrurKy+tztTFZ + zB5TialE61uHq7hy0jjW09L9pXn7+Y9/VNNa20m1e3PLOkyxGx4AAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAACAQ3Tf6wEAAADg/cSkdFmr6LWYnZSuLEp/OBx9azltaw6DVSsni9W9 + i7PF6WKatqX0kmdI0jhOy+M7EdK+1ieFZ2vseZqk2SVll1FCkqLlrmWW8nQpwpSWYdF5vL6eFste + 6WZdNY0598d9N/s8Tu6aQnHirw3dTx4N0iQV2pcAgPc8u6xOt/8WydpfXVM3DJYXWl/o3hv/xTid + rtcLU5H1y7Iep1qnk+Vq3qzLJpaDashTUu52mC6T5VNErS9jtunSfjSKK4VdT61Lub9Y/cnpCz9x + 587m+HSpSVMoU2ZWHr1oKiSXuVJlX8gF3jPaVbHaQm/8uvxzSqUvCplJKjo+/qUv/NYXP/Pzy9f+ + 7APb9arKMpUtaa085GyJy3j1o3MsbXcup1yqJlPm7tePPhUy5cuu2+ri/OzucvEj1v39T3zif/3K + v1BRljbOdpQuzZdP4vocAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOABZawAA + ADxXaYrLJF4xWYZmKXqt7vzJ0Z37L/Yv3o2V+bi+WJZid16smsJar+7Nsbr0fdJy94AkvSmLZ2+q + 9z2mddfi2n5XXex+rK6Wxz7KPsapk+lo9dp08Wd3ln+rG7v5obpTsnkAgPe6kELmSrdUJymlkEzV + VeRab3Wx+crPfuxHt7VuZYtVbrY51sFMRTluipuknNN3adtwTWpF613V+qCW9K57a+HK0lq9aRGW + Y2hY9rVWS8UcD5bLf/PyD/7CF7+osuwlqagruz/cti9Yu6vfP2iSU7bGe4nt+vFFKpcPSJK1K1h3 + XX3UJSlUlIpRudVwrBd+4JNf+p1/+Mm/+ddf+/rqwTalrqt1qqVfxDQPy5JjtdyfxY+/xg6PlMJS + spIhqey61ZbKXUM7pdxft++u4j1lRdrOY9Xx8jjm9cmDh3/VXPfe0OJkY8symCn7MEnpHorybCcQ + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeB+wzPxejwEAAADvIy2LuU9QF82q + qSk0zZqqptDDcw29plldUR3Vu+xWL1ltNyalXLLYNf/mUBSNoWHQwnRcdGzqBmkpdbc5HgAAvudC + GTJPuSRrf7lTMs2prs66/9qvfPyjP/Otb758NtvRycXZ+qSoj7i18eyy1opi2Qq2kV7DMnuttypF + c1ceLpb/8eSFT/7uV3X3BS179Y+p7QJ4u5Ryrm6jQupW08W299R3vvnVn/mZv7o+G3yuF1k6U7WQ + 11o7l5SWlibLm7LW1dNSJc0PuZzPTO+7zRRd122n8ehk+Vrav3/lA5/+6lf1wt0svqm5Uklpyq27 + Ou8fW9cGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcB2CfAAAAHiOUpYqklxV + SsUsLyWsuKZJJ702s+6cKtVC08qlVG4zU3e55/aKJnnIXe31w1RdaepMQ7nQ7Bp6qdzaaAAA+IvB + 25/IUEhyd2tZa6lz6exc9x5+5PWHJ770YXP28Ozl1VHdbm91QJZKkyxSZtlJbpmyOlUtj1VGfWMx + /PNXP/B3vvglLV2rUAkyt8CTSCmkNHdbKUZl9EdF01Ynp9+98+rXz8Yf9LCo85iDaxPz0dFx3V5I + cilMvrtod2lXn293PBWmEnbd614nTDVULGTpJZeTLs42Lx6f9t/+rtYPNXRWlqvVoppq5FB6SZzs + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwKEsM7/XYwAAAMD7Ru5y1XKlqSra + T0VRlDnPZpJ7bC58tZQ0TXPfH7dO3m0xqaU6TZKqxXz5cEmV9FbxvNhM5bgfZx11ZK0BAO8DKZmq + tilJi/ZnUSGNa92/94Wf+pkfP3u4mLf9HEelWM3U8/h+qZokt+g9ZZprmceiMdQV/Yc7L/zUv/4D + 3b2rZUmX2YLSLfAkUqpSRHZpbtK0Vu9y1+sP9GD7lZ//uR/6xn/6iFdF5hi2Onnj/OykmCkly33K + Ot1TulK2TgtJrWrth3w8hGlTc7F0RU5jrpae1SK611995Ut3F7/4xS9q9YpKPx+ZlFarMksZdHA+ + GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHhfI/ADAACA58skC1m0wLXvLkl9 + HKtZL1soOl/ckRZTLV1/rDBl3t4ts7X8qnJSTq7q7UfFbFNonqe15jga+sVap1KJ7/H8AQBw61rB + OiUpFKGo0mhSzDq//39+9jM/XHQyT8s5F5Ll7m/6rQp5Na/ms2vq5qlEmnta74qi8+XptxcvaPWC + jk6yLGT9bY8HeC+pWeVWi2RS1+l8rTDduauX73zsC79xf7i7WedmE+p0fn525+gkTGlKy7BMKaU0 + VVeYdj9Klm7pHu5x2PfPKS2W3XobljmY6jasL1G34zdf+5EHb+i1b6jOGtzmqShKKcU53wEAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAICDkbUGAADA82Uhu7y7u7nLh34p73JWnVJW + ItzKEHK5yW7t5maW7U57IZN6qZNSs8nDVZa9BsmkcX371U4AAP5iSCnl8iIVhbevkOat7t/7y/ff + 6L7zna5GL+/MlTmGdhHs5yFMVVZDqlYuqranq68tjv/Wb31Zw7AxrSeFuuQrL+BAJm3GkJuOj1Wz + Ruj0RKd3v356597pi1pareq6Mm63fnm6t9MsTGGSlJd3ru7zzQ880TAys3PlpLK0bWp7MXZd/8Hj + xQcuzn7zF/8nbS401eKetSpMdugrAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AKDxAwAAgOfJ3lK3c8ldMmmeqlLWeem6CJlL0masVap2azepyus+xWlSmtJK2qBiyosczzSNplFb + nSyU9eA0HwAA349cSlmWor7IO0WnWduLL3zqMz/y+huvyIbSzZZTRkrD4vaHkyqhLqOP7CJLVlnd + Fj8bVn/c3/n47/8LvXRXgxbSovdab308wHvJYKVuxi61WPhs3eyd+lKGIavUH/3Cb33+jz748hvD + kKlB3kWYZHl5kzzlGVLocWXrQ3lq2tbVYllMdZOdqwxWPab1xZ3t+IHNRufnGkfNcl8o4tlMAQAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPA+Q9YaAAAA3wN5eccvI9ddXzIlk4qs + qErbmv1Q8tp9PEMe8lSn7JSucIVbzU6+sGXRYpZnN6i4hvI8hgMAwF8EJsl3fxynqvMzPXzwoYvz + l6fJpiqFzK0f5KrjcxmLhZQyueSpND3s+//0yit/88tf0Z27OjmSp+U0Vzl/roEnZlKdx9VyUCpT + KUVaSjWqFalzfeDlT/7qP/12N+RysZ2nsutYP7qZdremla2f/ho+/ahbThcbK0pTMUXkNNW+0zI1 + 3Lv/Dz/3OT18qJp1DpWSEXp3IW0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADg + faj7Xg8AAAAA7zd+tWn9iMmKUgrt/u2Ktc61v30fz4jlrrCd+9dI343ABjN1vh+SVanQzAMAvG9k + KtrfwW0M86xv3/vSpz79Y/M8ZXZFUau0qDUkFde7aNg+4WAyS84uSWWWu6zr/nzofvYrv6MXX1a3 + kktKKa2oSibRtgaeTHTFlXO6m7wPSUpzlYiUD1K30HTynZMXX9l8d6ntopOl17kW210ZF7OpRj/0 + tc4pSZ4mpVJP25ue5866mlWeHiZJXdZUmk7kf+n+Q11c6PQ0hy5NaWG3+H8XAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgPcmmh8AAAB4rt5SvbT9oymlLFUURe3f6CSX7NZuVwcU + Ur6loR0qkkvlcuNbTnYCAPAXg2mc1iraVJk0dK7t9h//7Cd/+P6D42m+spWUz+mbJVuU7aw0lV5T + aN33f7rsv/7ii7rz4ma5qp30aDBTar5pXwDeIvPt17kumVKmrYWOjj7zW1/42nJRXnwxIupcSyly + z8zMVGS/XG7GqT2xdajjKYvWj1TzUFG6pzxlUmddd779oXH765/8lOapk0Vs05WKd/tiAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwPsMWWsAAAA8PynF5U27HOb+FopQhGpYjVKj + iyg5W87Srd0sWuA6pCqN0mxSdxmyNinVS117LGV0rQEA7wOp8EU/KYaiMksXF7r33R8zvXR2djTP + JaUsqeKpklFuvycbpk2dbFBXVS80LPw7Q//7p0f/4+98Wd1xJ+3GYEXmrtk1OZlb4AmlpFDIWhje + JN9dCJuq6jhIGopeOfnUv/q9b4ZFzTIsJZ9rnSRbLmtmbDbLvrvcY0ghC9nTn4ZhCrOwR3nsEpo3 + 86tHp6fnDz9ss87X2myLYiRjDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAByO + rDUAAAC+B956GWpX/pWk2BWwbz0jvavutVerUpXSJJd8Nx6TilT2z6BsDQB4H0jVcbpwSXNou/7l + z33u5MHDk1QXcinNlG4ZJaulWejqX/Hb4K6s6mwow+Lb6fdefumXfvt3dHwqV7e/YDBP7SvbZK2B + J3OZsFbKUmmqkvLytMow82madXqqO3f+/PT4jdXp6+NmU6dudVRksdkW9/YUT5nkuWtRx9N9Klik + ZVrGlT1YytJW3aJenJeYj9dnv/yJT+j8XDWGK9fpAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAJ4QWWsAAAA8P1eD0bZ/yCRTuqcri1RcxdW5Opd7Wpe6xZvMr14VpzRJ9c1l690v + kqY1AOB9waReftIvimbNZ3r99f/8wcPTGspMU5hCCnO3qQVwdctZWc8cSrGqccqzWH396PSnf+Wf + 6PRVLU6UUlxeS5iqPNSFPPjKC3hiaUVuCu1O6EtzzOpKRO2Xx7W6usWnPv/rf3jnyD/4avTdPM9l + sZiVstJ33TTPu8tlu3rRHE/TmLeQwpWeIWtPN6VnrbNiOC6L2P7o5kz3vqvNVDKfLp8NAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvJ/R+AEAAMBzZbpM3V0G71KqUpUmeZXP8lle + 5SnPW75e3TXwUkXqpO4yZq3LFt9ujNSsAQDvN3NoDm3Wev1bX/rbv/BDcy0X52/+izhf/pH0lJS3 + +yf7Yl2Xq4XK8YM7L/zxy6/q1Q9qWEgmn2WTLGS7dq6ruHrnKy/gyaR8UlfV7c/vlNo5ZaWbZVOY + 5KX0GhZ68YVvfegDf/TGG1ouHk7jZhyHYRF11v66WtLl5bS/u0toUzWllJbyKJ5Wc17cObl3Xm2z + /eG6+cJnP6tt1ZhPEc4GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3udo/AAA + AOA5i93N4i2P5uXv9loD2xS39K8y2s0iuowhNaS6VMlde9taos/efAMA4L0tXaXXHHrj4f/xC//9 + 3fv3/ez+auE1ZWEeJpvls6Q0hane8tdLYep6nWm6v+j+YBz/7u/+nu6+qGVRV9VVlZDVFrYt0hA+ + VLd319MF3j/aRXhqd5VbpXr5m5SqrOuHuaYUKtJq+T//k1/JV144q+Pq7vFFRmS0s+1tHwN55Xao + NGW77m67tXTJi9t4drZa6uXjfnn/3t3zN3QxaypkrQEAAAAAAAAAAAAAAAAAAHFW2BwAACAASURB + VAAAAAAAAAAAAAAAAAAAAAAAAAAAAIBDkbUGAADAc/e4MrRfPnzNFWrc2r+SxWVSOxS70PUBhwMA + wPeZkObHPRKPErRWdXZfF5v/8mJ+cT2uMjJruiRXXv1bbWmSFH7An86w3e2R9JDH5c73G4TtBpSy + Cy3+7Lj72//ySzpePhg6eRuGV3mVpCgKC6k+bUgXeL+y/X8s9KZL9TSZJDNTptw1DDo9/Ru/8fnX + jo7vbcfV0HspoVTIvQtTmqI9T9Y+H/LZfP0cliGp68yrtmfTKvUhy1/+2Y/p7ExzK3G3a/h2e0tS + O3afb3w2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJLIWgMAAOC580c3kyST + XCpSL/VSkcqVyrXszU955jdzmcuv3Nojelt+2x4f5AYA4PvBlWS1JmmSIqVoEWhVaVLdKmPXhK0P + 1I2/+YnP/cCf3X9l9qV3VnybSoswpUrKQkVSWA2rBw0lpdlz9gxLS1m6ZNVKtTJlWDesa/pyWNeM + IutloYXdPbfTr50u9MKgYe41KVy1SH2qVJWQK1y5u6rIVGZKmudHAe+r928aXqakiANC3U/xlKtP + lLTdbvd72D/4WE+yzd7bDz8zbx7nNE37bZ7iiN6NcRz399/xpfcz8IRTceizrg7g6sCeg/3kZ+Y0 + TTdv+e7XuV9egcsl93ZNvvtB3ssVKq7tOMuK+kH9Ui9+8I9e/tC0ONU4qcsqyTwiq6mawlXNFb2y + VNfkrU9/yAyY0uQpT4WUlrIqqybzajlpOQxR3M7Ofvr8nu59Q9t1SpomTaM0jdPmTYHrlruOUCql + eFvZ+qnP36dzq+v80PP3mazzq6s0M2utktq/+9/mpad7CQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAADxzZK0BAADwvWBv/entt8ds/8z/tcts9juPAACA71v7oLXt/ptSKiS5K0Nq + qVFL1XGzCaU0jbp//wfO1x9I6+dpPY3bqS6XdpmnNWW5/CN68LdLtv9nN5bG01S6bq7j8fHi/vl2 + sTALnW0Vw+rPxnzt5Ph/+eLntTAV9SrajbgNQb4/TFearOzivl3XzfNca91sNl3X3TCqs7Oz/f1a + q7vfUBRuxdbNZtO6wmY2jqP7wd+zmVnbz2Kx2O/2hvLrNE3uvu/FvmXYb9d13WazqbXO89wOf57n + G8a53W77vjczd5/nucW2by4rPyuZOQzDOI7vOAnNfjMza2neq9Py2I0jwszanm/YeD+ettk4jsMw + PJ8cb5vq7Xbb3iYz6/u+vQuP1d4mvbt1Pk/T7srXJLt6FewKMynqJGmxWGw2o+QaBh0tfvHXfu1B + v8h++eBs6ya5W1ckpbX/SfKQx7u4orbUlRx2ShkRci+ymPN8jBcXyw+sz3/3sz+vebJxkrnc6mY7 + 9H3KtlPkm/YlmdRS2TX1LM7fp3Cr6/wpzt9nss77vm/Lr+2wlCKplDLPc2b2fS+pTbKZPWF2HQAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALeNrDUAAAAAAMB7XXWFpKiaZ5WqvkrR + fmVKDZmD3NRbf+yqW33z/Dd//n/4oNm23ldfh4U6k+b0lKS0y3BtuqVZyg9poXqqC3VhrlbQjf2v + xpzGTM3bE1ffLTtfnvjwwLpvfeQDH/vtX9dqqaPjVH8xzdKj+m6RTCGFTGmqUkp93z98+FBS13Wl + lOVy2RqrjzXP88nJSavGRkQp5eLiotVYH6uUstlslsulpBZqHYah1nrd/q8jaRzHtp9aa2sY35DX + 7fv+4uKilLIf6snJyc3HtVwuSyktdfzw4cMbDkrSYrEYx7Htueu61WrVRvUUx3WQWut+Gksp4zi2 + Mu7N+9/fbzN2w7xd3eDte3js/vfDGIZhnmcze8cS9tsdOm9939daV6tV13XtLR7HsSXPr3Or69zd + Wym5HftyuRzHUS4tey27b69WZ6d3Jy/D6Z2cp3EeJdnu7JYUsvADPxx2r5tmab67PXo8JKVc6sxX + 7ucPzo/KML5xX9OoCFWpWhmOHj68iIyhvwzeh5Q2W44WlrJQcXtW5+9BbnudH3r+6hmt81YHb8ey + X7fTNHVdZ2aSzs7OMnOxWLQHD90/AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + boM9RYEDAAAAAAAA3zdSqpJJZapSqkheFCZJntIYKq4u1vKYNnO/mf7vv/6xn/jm6x/Z5rJuz+r6 + 6NhikzFrMFUrUzGl9xElMy2kfJSYfjKWLkWrZFsoVaqV6mFDuup8oWWni1m9LcZh+cdD/28+9Mrf + +41f1at3Z1Ms7kiudZTiGpRSUZhC1WWq7lWq281qsWyv1TKrujEHK6nW2nLRN2+2m9FMM7u6cfuG + rTVcDzKO4zAM0zS5u7s/+R7aq7dh37xZu7Mf6j7o+3btuNr91riNiJtL2M9EO4p5nlvyNjPHcez7 + /knei2maWrj35qlrG7SN33GfETFN0zAMbZ9tYO841c9EWwmZua//3nBoV9/K21jn+wFERFsJ4zgO + g8d04dtJr73xux/75H9W5+Ub3+01rwafIjxVwpQuWVimhSxLHHxeXH19SZaSNKX6rlPapk7L5WqK + OmV860M/8KsvvPC/feGLWiwVqaOVfNe2N6mklCGPjVIqy3CF5JLrmZy/B7ntdX7o+fsM13mbzLYI + r85qW6It5t11Xfu4O3TnAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuA1P2i8B + AAAAAADA9yWTSvsSKIrC5NbC0uExZ0jVNUtTSDV7pR48+PC9Bx8Z5zI9fDivFwudnWdXNFzWUS0f + VawtdWjTuklTNVVTmky1ZC1ZN5taq5bHmmcddd04DH/aD3/26it/77d/S6++lN5pcWczhknD0kuv + vDw+pWSx3/lysZQ0z/M8zy0X/c6TZNZCsPtH5nm+dvCZuqy37qO/T9HEzcwWee37vpTSxtB2/lhX + h/T2AV+nzUCbDUnXNa0lTdO0v991XesfP+GxvBsto2tmtdZ2Z7FY3PCutc3med5Xe2+YtKZt0Pd9 + RLR5aDt5LHdfLBZtbmut7c5zaFpLiohSyr5prTe/KW/R3spbXedt41JKm+dhGEIW/ULLXndP/t2i + /0Y/5Csvzcv+fNe0fvRsbx8UefB5YemWbilLWZqlSSZZ33XTPCtrL02bTd91mubNa9949fxcm41q + qhskpbQdp0yVtihcVSmFKWSSqS2Wd3/+Huq21/mh5++zWufjOO534u6bzUaXje3VatUWWFvSwzDc + sJ4BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwPHXvvAkAAAAAAAC+b6VUTaWl + rKViIblCSrlblbazlp36bqF7r6uO/+Azn/nkOC6njXrr+7Ld1KOFpo2KZL5v1D6qpVoeHK6tHilV + MynN5CbLatKqt4iMUd1C2+08nSy/8cIL/93/+2taLLRcVZW52tHgJqW0HmNYuF0djMmllCJjc7E+ + Pj5uYVczM7ObC69d183z3HVda9zenI9191prKaVlXN3dzIZhGMfxsImQMnO73c7zfHx8rMv4683M + bF+qbsO+eaiZmZltzOfn56vV6rqSbovOmlnXddM0DcOwXC5bpPbQgzp0e3fv+77ruvV6/SRP2Q8y + M8dxfJJ5G8dxGIZWaL45H35V6/JO0/QU5eOnKCW3CR/HcT/IFj5/rIhYr29xnbdJbvfPz8+7rlss + FqV0KiqTPiT9Felr0i/efeGHzF7uXFOonZySpeVTR+/fLE12Ocwi1YiyXM6bzXRxser6Dx0dfev8 + /O/84A/8O+lPZRt163FcDL1rN5Js1XvJdiOTmZ7J+fsXbZ2/m/P33azzo6OjVuBerVbr9bqtxjaZ + ETEMw9XZbn1uAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAfM89vmEDAAAAAACA + 94aQqlQlZVGaMpTRAq/ztrp02imnVLq6hb718L+6GO/E/LBO8zxrqtOsztSZvFM1qyZJlvKWjDWl + HdZ2DdPsml1KV5bqClOaXOqt2KT1pDpr6IZvRf30P/unevUl9Uup69KWUplVUttZw8Ivj0/SLp9r + qU4q5sfHx9M0tQrsMAwRUUq5YVTzPLeQcET0ff+OBdlSyjiOpRQzaxs/RdO69V8Xi8Xx8XHrwkqa + pum67dur9H0fEfsBv+P+Wxe5lW6Pj4+va1pLysy+71us18wWi8VTNK2fQmaWUqZpWq/XXde1qu4N + Oec2yPb+tnyvu98w/+M4unuL/u4jwTfkddtLtzzwer2epqmUcmjD+OlsNpvFYtFm4Orb8Vjut7vO + 90ux1np8fLxYLCJCXpTSsrsn/Xvpq9Lvnb8Rq1NNJilMYRmmNLc0T/nTz5tLnvKQpylN23n25bKY + 5WYzSH0pprDz8w+vt/+N5NKs7IfB9hPQitZykw1Sr13dWvZszt9D3fY6P/T8fVbrvNbaFu16vXb3 + tpNW724v0T6IWj780J0DAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADglpC1BgAA + AAAAeC/zXfFZMn/Ld0HdolioSx25aQ5t589/9nMffHiuaX20lEzbrU6OfLuW9ZqqqkuSpUq2YGy0 + bOy7kVI1hUlS3cxD3606zcPwzeLfODnSS3e07LVYKqSQZpkrU9ZGIvk+ay2XvIVsI0LSvtq73W7f + cRh937eIb+vO3ty0ltQqs5JqrS0n/BTHXms1s4hovdvMrLXekFvWZZ26pYunabp542a73e572JJu + GOp+3toMtHm7IYP9rLj7vnrbQt0353unadpv3CLf7V24bvthGGqtLeQ8z7OZufsN+fCWwZa037gN + 790d5TtrL9Her/2b+yTv1y2t877va637xRkRZqY5lUPdamO6J/0H6cuzvl36tQ/VWudekpRv/bR5 + Cqld8z5MYVoM/bzZyHYUUed67Pbidv3TR0d3pCJN47a4xmmWHqXuy2XPun3a5DM6fw912+v80PP3 + Wa1zM9tuty1lHRHtiFoyvO386m6fTx4eAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAA74isNQAAAAAAwHuZSYPUSdVUfdedzU4qkqRaFamctV1rs/1QnU+nbZoupGrqinITg6tWy2Ip + l6xkekoWaWkpO7BS6qmuel+9ZMhqdc2u2VVNZpJZZLnv3R+dHn/qy7+l5SJLkS7z2Z0kmasrMkk5 + 7xK6lpftbunNQ2pp1XeYIrN95LjW2nXdzc9qAdd2v+WBn7rV2rLWZpaZrR98c2HXzLquq7W2H6dp + escDbC3ndr/W+o7Z2qu17Hccz7OSme6ema1YvCsoX2PfAm+H5u6t832DUoq7tzzwo0LzjftvI9kP + 7OmP7YlFxP5A9v3pG7j7fiW0Q7t5+0PXeRtPW5lvelOquXchXZi+Lf2h9JVvfef+nTvnJhWFSW5V + WZW2OzGfZvauNq1TnvJa5+Km3XuRUhZTmec7GR9ZDD8i3ZEWRRdj7fuuKneB7SpVSZ6yaE/TMzt/ + Dz6oW17nOuT8fVbrfP95uP9saSH5NoD951UbyZN8IAMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAOA5IGsNAAAAAADwnpaXN0WVqrzKq1RNMU/qUj5rutB2+7//3M/dWa/LOJaQR7Es + ury1rKylmdJTptilap8iUZpewjxlykfPbjtzrccphtXr3fLPf/BDunsik+0zzCa50lUlKVxzMcUu + 61senl/UlEwR2Z6y3W67rttHXvcB17fLzGEYJJlZix+3B687AjMbx1FSK/62/V+38xuUUuZ57rpu + HMeWld1utzdkp1sNtwVuWx12GIZ9Ivftaq2LxWKaphYwnue5VYqv238pZbPZtKBySxq3Hu2hx/Vk + 6+CRNrB9mDkibj6u1v82s3Zouizm3qBt0NZDm/mb9z8MQ3tKKwq34R16XE8xb7XWliJu63az2dxQ + Mm7z0JZrO7TFYlFrvfm49MTrfL8gM3Mcx67r2lSYPGtKqkWbou9IX9H8NVe9c7QeNRwtxnk2eRlO + xip7qq+frzatJcWVO/nW9ZVlnO7m/NGXTl+SrM7LodQ6t/C9TMpQeHtaO1TTszl/Dz2o217nh56/ + z2qdu/s0TaWU7XYrqX2gtTXWPnX7vt9ut21h70PsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAA+N4iaw0AAAAAAPBel5LkCle0pnVILnlvF2dvjBYqs84f/OWLs3JxvhyWi6pFtb6a + p1maZCGztC6yrzLtcrNhuraI+w5cMplkKqESVqKzKJJWd+98Yz29tjz9u7/+eR0ttVrUcS0Plage + s8WoqJrVKreRLpO8Rq6OT9JVU96ZTJvNZrFYtPRsK9fm9exKpnpfq70hv1prbTlYM2sV2PbEG17i + sVrDeJ7nYRjcfRzHxWJxw6zth7SvEbd87HX7Xy6XLTTb4tn73Ox1+99sNsvlUlLf9y1A2yrIhx7X + IStBkrquy8wWZs7MxWJxtTr82P23O624/OQvtH/K1Z08dv/tvcjMVhRuwzv0uJ5i3oZhqLVGRCsf + L5fLzWZz3f7bum3vbFuH2+12uVxet/9D17mk9l64+zAMLXsctXZyb8HoqsHKhfRV6cvrB99Open8 + wXbZl1K6zfhgOF5NB1eS3/qp8ujHdKVLSnt0C5NcR3P92PHdn5RekGpcdBmWspQU7XNG6SaXQlKN + Z3P+Hnpct73ODz1/9YzWeZvJWmvXdYvFon2gmVkLZrc7+0+2GzLtAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAeJ4OzlQAAAAAAADg+5Epiyyk3GWlQ1GPTo+lrS4e/l+f+Nn/ej2+ + 2Hfzet1ZUUraFWTDwiUpPWWKtre0q/95CimphFJqqVrJatX5dnzjxZc+88UvarEal6e9xjIMeVnj + ToUk241MMpOKwqScao2IRd+bd8q6WCxa0VlSK9e2Lu9jhxIRJycnZ2dnuqz8rtfr1Wp13dBLKefn + 58fHx5Jafvjo6Oji4sLdD5qClhZuTeKu61pqNzOvK792Xbder3UlRdyGfd3rbjabUkqLZ0/TtM/c + Xme5XLaNI6LrulJKy+4eelyHakfdJmGe5+12W0rZZ3Efu32burZBK/ia2XXF7sxsM3Y1tavrj6tV + hNv6aUNqTzx0Hg7dPiLGcSyldF3XXq7W2kLF12kZ7Gma9m/0ZrO57nUPXedtVtuaae9OZhYvirmY + WapKUbtJ+qbqVx6uP/uhF3/wOE6iTmdTp3Hpi9huHv8WHqK9qZ6X/155k8OUpmoq2/HD55vPuP/b + iJWHslOVSkiSa/dpljKLVBR/NufvoW57nR96/j7bdd4a4fM8u3t7XUmr1Wq9XrfQ9TRNfd+3fw/a + PwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG4DWWsAAAAAAID3NGsh2FCamVow + uUjKiHl0mTbn2ow/Ok0vPXhj6Eq1FoxOZaQpLguqlpKFMtuD1oLWuyL1Ie1ai5TpslOrtJDSMs1S + fj6cfu2lOz91utTqeKopTze1Pm3L2pqi7Mq2LqnW8L4zlUGq5kWSqqSIaMnYfcp6mqbMvG5QZ2dn + +7x0RKxWq3b/sRtn5vHx8TzPpZSWcb24uGhd4QPmQXL37Xa7XC5bMLg9eF3TWtI8z6vVyt3dvQ2v + JYqvy+K2I2ovtK/V3pCtHcexNYynaeq6bn841+3/WcnMvu/Hcdy/WS0AfN32ZtbG1uaqTcgN+zez + VtJtyfBaa8sDv2NOWJdN9L7vbxjPdZ5u3trw5nleLBatTHxdjLy9le5uZq2BXWvdv+mPddA6b4Hk + duBtm+12G6qp6vJWlx8zZpVi+tOs//Lb9145Pfbt5sTlqhHzFLFYdbk97LyQhXR5ku8+YWQpbx8c + KUm5e4MypCm07N3eeOOjL979x6/f03fv62Qpd6XkkSaTqw3BJEXq2Zy/h7rtdX7o+fus1nlmtme1 + z5n2cu5eSlmv16WUNn4zazNw6P4BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABw + G24qYQAAAAAAAOA9oWViXdmVVMnLRvSw1DTrwfYfffznXrz/8DRj3mzNVD2qR1rbLlt12hVSXg1d + K12ylF3GrZ94ND7LwqJYFKXLQlbHUtcnd187Of6l3/wN3T2tNbpixfoiN7nLXd5Jg7zIFS55pLzv + 1uOkFuqep331NiJaG7iFYIdhuDkf2wK3kuZ5blnW61q/TesHt15yi7Y+RRM3IpbLZXvR1nzdV2Yf + qw0pIvZDbenu67af57lFZN297by90HXbD8PQ9twmRFLf9zeXdJ+JFm9uA5DUJvaG7dtb2aK/+/Tv + DQ3p9qv9xm3nN+d7zazNdhvSOI435MafFXdv79f+bZ3n+bqmdRvkfuW0t6nv+xua1k+xzvfLsj1r + uVxGhCxSc5WGxVLykGlYrqV/XnW2XI2l24TUW1WtkrKLm97Mm1j7fMkrTesrLndrLluYn8b8YeV/ + e2epdI2TvOWxs0qzfBfJ3sWyn835e6jbXudPcf4+k3WemV3XtQj6/ojcfZqmFgtvv2rHe35+fuj+ + AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcBtuypYAAAAAAADg+18oQ+aj3E1d + hBTyTnLVWRfT//PxX/hr96Y7mzEihiIth800SuoVJXSliZphSlNInlbCw6xaFxYl65Onj6MlsiM9 + FpKFb9NSposh/+OR/Y3P/zMdn8o7Lbs5w+daSl90WaNVi2vv7qb7KHVDH6mc6tANyjCp7/pxnpbL + 5TRNrQU7juNisdhsNo8dUguv7oYX4e6bzaYFpx/LzFrut90fxzEz53m+uRB8nfbEaZpa0ngcxxtK + xpvNJjPbIN8++LfbbreLxaK9Snuhq+nZt2jp2XEc20gyc71er1arpziog0REG1WbhGma3rH4u5+B + /QHekO9tvyqlbLfb9q5dncDHam9oG0zf92+Z8yd0czn7sfYT3vd9Wwk3vG7LCc/z3GZMV2bjsQ5d + 520A+/elrZ/MqpznmFSWUkkpRw1FOl/r9W9/4eMfO8v6yqnPD6d+ZWXuzjablRfp2hjzY49Mkqdd + 3pV0tWndZmO3Q08VFZtq6eTnD35ydVcPqwZPl0m5386klEmuNHmYntX5++Rue50/xfn7rNZ513W1 + 1mEYxnFs9/d59ba3zGyL7fj4+KCdAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + 4JYc1qgAAAAAAADA96mUqiRzpe9+mCdtxg/f++7L9+/dXSy972rVeD5eecYuKmuPC/R6KuygWq0u + 97a7E/LZvZoknXflT168q5de0HKloU/FwqLviqb50Vj2I3pz5NZNXV/mzUZSkaZ5kjSOY63V3c2s + 7/vtdnvdeFrrd5omSe4+juNyudxnWd8uMzNzX7YehmGz2TxFE7c9/WqJtqVhr9t+nuflcjmOYyvF + tgHf0LSOiMViERGtO3tz01qSu0/T1ErG7fCfQ9O6vW7r6V5t/baju/kpmXlDxfntFotFe9bN4d5p + msxs3xpvE3ho6/fptAmf59nMhmGYpumG1zWzVjLuuq5NYHu7r9v+0HU+DEOrwrfG9m6FZ8rS3UM2 + Kk0aemlMlV7Lo2+f3NXx3YvzUNG0zcxc+LXr88k9dsl6Xv4qws1j1lHEjw/9P/r0pzRtrIbSJXPJ + FWltUzeVTHsm5++hbnudH3r+Pqt1vi9h75vWmblPa7cFWUpZLpc3fAgDAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAADgOdvVOwAAAAAAAPBeVXOW+ah0lU7KSV2Rtls9fOMffPSvffrB + d1++txlDJ8cv1PMzN1WvksrjvjSKy8Csp5TefnQdFLfOSJWFz5tQ6dYWi8UwnW++8aEP/Ni/+td6 + 6VWVTkWprRSWvXRtbjav1G73qWx3u+7bLr4HA961UIRMs3lIg0IpZaeQatW9N/7gr/zEj9//Tir7 + XuNGQ+kzry1nP4PRWNaq4WS1PVt73z3s5q9/4IM/9cXf00sfVL9UUXYh1YzJvY/sJfm1YXc8pZbH + bjn2Vltv1fBSSqtcS2rx7Fpry6sDAAAAAAAAAAAAAPD/s3dvP5Jl2X3ff2vtfc6JiMyqru7pngtJ + 0ReYgAH73bYozZAz1FwoGZYtgRLsB/5nhmEIFmxZBmyTmgvJmdFIImhD9pNtkBRlWzcP59LTXZUZ + GXHO2XstP0RkdU+Peqaru5LVVfX9IJB54sSJkysKHfth98MXAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAwLPlz3oAAAAAAAAA3C2z0rMPsiKlVKu0dB0Ourr+92X26DhuNQ31sN+nilkp4f/aprUkz/ND + kixc8YRNa0lWTP0YdSzpIeU+8+379/+4TNpd9LFmkSST7PGv93m8+9njI8rVwB1Kl+ppV9kVUpdS + FqpapyK3h6+9+nB7MZuWRdUU/Q6b1pI8Ne7q8fowbS609vuh8a3v/62//GUtx9PKlC0V4dmkMFOy + RDxtrbVSSmttGAZJETGO4yllfYpYR8SpaX08HmlaAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAfEyQtQYAAAAAAHihpTxytJKpta9VUu8aUxZf/eyvPvje258ct8e91NpQmrt63H31 + 1d1dN0sffBhavp3xRz//mV//R9/RWIpkp1RuK+qD5F1kaIGPjXM/3k1uF3FFhQAAIABJREFUcskl + k1ypIaXd9Mtf+60/vBiW7TC6LOV+1xljm2/aZhyW43643C6zds1eX1ZdPVSuPeSlmLlaUbOQ5rjj + cV4+tdbMrLWu65qZ0zQty3J6aRzH3ntEnIrXm83meDw+02EBAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAABwRtYaAAAAAADgRde6Qm4+lEEpKfXokQ6Hn+/99RaH/WEzlWGj3tP1ZxJ9 + 9TqHNpvpaHGoOj64//nf/qpee121Sk0WUiirsrJ5BXzs2Lk073KlSZ4mSeopk+5f/r+vvXpVq1wp + V6bybr/FxTwzvNjN9c1uLK/V7SfefqTelK2MymxxmFUmmbelVVaUpy0iMrO1VmuVNM9zKeV0vCzL + 6TgiJPXeN5vNMx4XAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAkigDAQAAAAAA + vPhKUe/KMEkWmo9a+t/5i7+ye/T2ZFmHqbmvi1ySye3Ox4mlFfdDLvtB82c+8Yeta/NA46VK6cpu + PcvtrlWopO5+IgAf2O1XMiSpyrxLaVKmhqp79/6Lb3/rzTLum2aFSrnTWUKeQz32KK5RiiW2S37i + zbe++cUvqR+WdjB331zG3GR1GurAevK0ZeYwDJJaa6czEfH4uPfee1/XVVIpZVmWZzUnAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3o2sNQAAAAAAwAsu1kW1uLmkVFeGHl390s3x + dStmdljn68M6jBqq1r7aUO92mvRFMex2EXko+j8Ox//0f/nH2l2qSFZT6oqueKdsnVLe7UQAPqh8 + 5yuZUjf1c99aak3muZm0vfj+ZnOz227vXfa2yuJOJ2otJLPMOrmknNdP13L/0Vs63owpHVdJvt2c + Ljai1k/b41j1OI6Saq1mJsndT7nrUsrpTGvtdA0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAACeObLWAAAAAAAALzSTb0aZ1rWbMiX19Zt/4S/8wo/eKvN6dTzsdtODy7Etyq5hmtbl + 5k4ztN01bC/evr6uXeHDj974eV3cl4f6aVhzeUiLtLhEgxb4uEkp5VJITWqPz49VpawaVKcvf/Ob + bz64/92r61LLnc7iqTHrxuvcpBZeB1n0Nt/P5b/685/Vj66l4Wa/yCTXMVs7J7nx1ERERGTm9fV1 + Zt7c3LTWMrP3/vDhw8yUNAzDsiy11oi7bZwDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAADgAyJrDQAAAAAA8OJbllaGkpm+HrUcP9Pb662NYZfbiz7P++ulTtZSsczDUO96mP1yU3dj + jv6wTL/x9d/TxX0NrvH04o/vVtntA8DHRL6Thu5SSucAvXtr6ZLctdn+i2GYXnuwtvZT7vRUFMve + 1mlTUjq0xce62/h0c/NvrauOs47z7mKUdH2c3Wunav20uXtmRsQwDOu61lrNbF1XSdvtVlJrzczG + cVzX1Z3/HwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPCxQEYCAAAAAADghZZS + 2DiOS6qYtMya90NfpBwz87iO0qaozbJS0i17v+OBorjdrO1Hw8UPhktNFxomTaVrkZorTFbkkzRK + XWr2vh3aiDj9zExJ7e4DugBkIZNJfg5aR1GTIkN1KNmb3LS9+P+mix8cl1LqXY/TM81LLj2kHHXM + uS/xIPyTNzc67NVXpbpp3EyttSq763leQu5+6lUPw2Bmp4PHr9Z6/m/g3ScBAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwbJG1BgAAAAAAeNFFKuUmHY9a5r/7lV/3ZY3opigZJeSp + UAmZpLD3q0g/HSbVtOGVV//pMH3+O/9Q200qZHLzPAes3eUKKZSKULzfrdx9nmd3z8xlWWqtrbVS + ir2PO/1cwEvBQiXkoVSRXCqSKZVhrt41FKlIu4vf/OrX24NP3Fjpdodb0GFKj+4ZpjRJslQJTT0v + r6+/9ZW/JIs2HyW1tmxr9aRrDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJC1 + BgAAAAAAeOG5lOmSFHrz7Z//0cNXfOzmaV3WJVMWT5dc6Z53W331cGvx5qLP/a//m167r613b+u6 + SpIi1M9V7ZBCRXEOXL+PaZqWZXH3cRxPTevee76PO/1cwMsgpS6lQpKlRqm+61UvWrLJpTpoe/HD + afP2drN4ucOBLLplWDS3kMbQ1OVpNfS6crx5JB3q1jyWXRktlEsXKwEAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAABeemStAQAAAAAAXnQ9lTn0VYfD137ti5+5nqe198xeevdMU5hZ + qmT43Qdfl2L7ze4HF5e6f1/37q/RrXo3D7kkl0w6h7VNJv8pke3ee0SM4yiptVZrlVRK8fdx558N + eAl0qUtSKKSU0hVF6QqZya3MGaqjNtvP/Q9/9we73bHe8VfPQqdBTJbylKWXsGFtr0ZovtHbb9qp + Zd3l9S4b2wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBzgpwPAAAAAADAC82k + oait2l/r6urnHh7euOnjcZ2GobnWotWVJlecC9d3XLa+qfWfbDf/0bd/V9NmSRvGTcqsDkdFyE1e + JOVt4Fpe5PY+W1ilFEmZmZmnpvU8z5kZ7+NuPxjwEgh56hShDymUUkpyyTNa72vIZJulNZWqT336 + X97bHe6yJO2pGlkzPVNSN4VZyiTPtFfM/5s//xfVQy3CUlVpp4UFAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAeKmRtQYAAAAAAHiRpdQl9a7D/qtf/OLrS9/1HCzm9SAp7PFVaYo0 + 5R03X/dD+e6nP6FXX9Gm2mCSZzOTBlXXbXLWpHfqsz9t/8rMMtPMWmuSNpsN+Wrg7rhkcj99Kz3e + +aqarHoplmmmMoxVJXSx+Y9/53ePpd7lRFlSJeWSpTxN6ZKHlKn+8OrfkbQ/aL8P2byG0bQGAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAApDttigAAAAAAAODZ61KR6a2Hn/r+m/d9 + XPIQvpZBFpJkmVLIlFL3lGRpnnc1zNU4/idf/6pe2WpMl7JpMI8IdymrTIskxWhSekohlfe51bIs + 4zia2bquwzC01mqtmXc2OvDSM2k4H6VkXcrb89YXRRv8Uqa193E7aRo0b4/1breg084DlJSHSxZS + moZxe7/kwzd/qONBb3wqpHH06PL3W1AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AACAl4Y/6wEAAAAAAADwEaSkuH28c+70UMpCY1t03P/el77y55rn9aOhFLnSZKna5bcR67APHYRO + KUMedntsCju/dDruprmUt8ftDzY73X9lrrVJkswkKVq//Sy3k7/nI/7rjOPYe5c0DMOyLLVWSWSt + gTtlKTv//jFeig+Tm1yqtXTpJkLT9L3t7s1pu7hL6ubd3rMjff7Gn1aJbh9yKk/5ebDTlHGc90Os + n+z65pd/XT1aa5Zy+/EF5cc/Q/7EAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AHghkbUGAAAAAAB4PuXjpnWX1lQolSGllh6roiu0SnPX8lD7Nz99yN3VsjO30tLUzxVss3SldzuH + rkvKn6zmmibJ1M26uUwypTzlLdJG75mLspW82e3+2f3XP/vN31fZjD4WFZfkkquOg+SnJm2V6ums + ZJJLPyV0W0o5HYzjePt5PmwXF8AHcf6GucldKlI57zIXqZy+tG5y+baOqsPnv/37/+d297CaDb7K + o0xrpLnCFKdEtiksu6m5N/d4wm/wKa8dUpilhSldYZl1UGnrK2u83osOx111xeM3xU8+UhGK95yl + bP0z9d4zU9K6ro9PRkTv/fHx6QIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB8 + fJC1BgAAAAAAeN6FJFOmKaU0mVlXhEIuRdP++n/+y3/l4nq/UZbB90tbQ3VSmk5vCVOYS/I8N2I/ + 1ATvvDNMIRuKt2PUqnGQpf3g2P5kt9X9S9XR5CY/9bDfyVabdFvJffyUSDXwseSS2+032G7PPP7G + nk/Wqsvpez/3yWXaRM/ec13XcTOuLd/djM5zwv5DrD+WklKnpSss31W2VkltVP2tK/XUskrxYwuO + 3ru++LseJ5Stf6ZSiplJyszHfWt3Pz09HZ8u0I+nrwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAPAMkbUGAAAAAAB47oSkNHVTmktFIYXWtTdXk1I2qo7ynk3tqH178L23X61TkRTt + YvRp0vW1JIVpLeofaYvI8pyKDSmUCtmprt1CdRznRcVKrl4fvP7X/+Hv6kIaaVUDL4fB9erwG7/z + P+3LsLa43O52xbS2obznOpdUQzXDn7wj3V3d1VxpCjuHqGtRa1LmpvW//aW/ov2sq+s0NanJuzx/ + LGPtlm7pFrKQpd47IN5HRCzLEhHjOJrZPM/DMERErTUzh2GQdDweJS3LcnoKAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAZ46sNQAAAAAAwHMppJS6JLnClRqGMvdIqbjWuSuz1JTa + b3/hK596tNj1tcZy05a1h6fu7Sxk3TxlqfMvnXvTT9KVTVcWZZFSSsmUbmmS3Etflu2oeenz7tX/ + p4c2g+5dyNmSAl4OZjlUVd9PF1Yv++HaFMce7fEak1JamCSVjBJPHLUOM6WlpSxDSsvwCFOuqpLM + Xh3qq99/U9cHTVOX4ifukO8+ittHsnX+gbj7OI7uvt/vJU3TJCkzl2VprUlalmWz2Ugax7H3/myn + BQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwAltDgAAAAAAgOfS4wCr5JIpJbWp + uEvHQwxTkZnmay2HX5j7v102l0Xqy1isjLYeFWvqnJSVJEt5SrdPn0jI05QmmZTFswyhGkrTKmXX + zab+8b3p1/7gH2l72VTJxQIviZS6iqbLt7JcDZtFubS2uXexprqfVhsLecpSCkuZ3p2Z/iA8XJLd + vimkbtktlRo2m2Wdx2X5pZ663ivWq+PeJZPK7VtOC2nX7Qp2OpVPOsXLq/d+PB4l7Xa7zIyIeZ5L + KeM4juO4LMs4jpLWdZVUSnnG4wIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEAS + WWsAAAAAAIDnUZ561u9wmaTuakptt96lXGZl6Hi4uLo67r/v2eZ+DEtJRSqSp1uapZ+a1vZhM64u + nXO0UsjjvOOUUk5jzdAjq//3Kxe63KhOLZ68mw3geeUmlw1f+MbvvX2xa7uhVB33+1rf2Zf2NEuX + LE39Sber0yWzdJM8b5ceO73ix+NxHLc+H+/vH/7Or35ObXmwmU6rn+JctLZze1vr47I1S9STKKVs + Npt1Xc1MkrtP09RaiwhJp6Z1730YhmVZnvGsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAuEXWGgAAAAAA4Llkkksupc5HpshYqyTp4bxYdT3a/3ef/ZVNny83F6ppVWtXZo7VrKmE + hlDtGuIjhFwtpPDMIVRC3b25ryW797m31psP24c2/Y1v/55evSe3wdmPAl4Wll7Wopx07/6fbPx6 + KnNqGKpFesry1KX2ErJUN3XTk4bvLd3ThtAQKnl+uBRdm2E6LIfdZnilz29409VD690iFFKX+jlu + HVKTmjRLeape+yluHVLcyb/LCyQzI2IYhnmeT2VrSaUUd4+I3vvjK8dxpGwNAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwMUFGCAAAAAAA4PkSKUlx6q6eI6LuMkl9cD8eVpfuT6MO + sw7rLy5tq96W/XGe62C1aDnKwlzF0ixVTnHZj8BOOdqQp3lKijCFayiyyf60xdWDNzRueqm9lk4l + FnipWFXrujf96f3dlds4aplb9eF22TFLebpLMuUTNq3Pt8h3Hv742LytsRnH+XgYbd0ue8m0rIp3 + rUEppVxyKaWQVinfWVhv89Z4f2ZWSqm17nY7u+XuZrbb7WqtkkopEdFaG8fxWc8LAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAiaw1AAAAAADAc8pud3ZCynfOadwMlppi1XH5+q98 + 8TPX89CXKKpVuWRNbfw2jX0WOtVdP2S+NdMzXZJZlBIqGWEhKVJ7z+9/+o1f/sY3NFwUHyT5U92O + yluS1nV9mrcG8JGlqZn04FIXw29+4+/18WI5qMoex6QtJbkpSmQJlfyZt/wZTmVrT4tQHcfjsmw2 + 1lofl/m//uyv6mZRxGqhIpnUQqmScqnc3iEkmWQymtYfWETEu3vhkqRlWR4fm9kpcQ0AAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAICPA7LWAAAAAAAAzxc3yeTKc3I1z+1Vi7VL6tkU + oeOitx+9frV/vbWxnzutLpWQpaW8m9LOKeu0DI8wU54S2U/Wcg1TmLp5N5eFKU5t2mHSw6H+X6Pp + 1fuqk1J9af6h89k/obVmZsuymJmkYRgys7X2lG4P4KMKKYrePOy1mbTZXqn65mKYdmtbbleb01XS + qSWd8icsW3dT9/NqdlpcLGUpU0ZftmNd5szUa9vp3+ypm4PUwxRqUshTKQuNUpXq7Xb5R45rAwAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB9rZK0BAAAAAACeY+f8aoZSPmwlzxJa + j3rr6mt/7TdeX9u4zp7pKU9ZSlKa1qLmWkuGZVqGqbmaK568OB2mNHXTWqwVhXVXryEPzaveLPVv + /v539MpOCpnGUr3n02rG1lrXdZ2mKTOvr68lmVmt9encHcDT0FOXu4uUq47Xw+4H3d6aD8M0ra5u + dgpRp3VZvCt0/UGFqXs01+rqptCpbG2S1zpkb21pY9Ww0c0Prj51ddD+qPV41NJcWaUiKZXSsdfQ + mOey9ZMvhAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDzhKw1AAAAAADAcyX1 + OP56KsCW25PRQ7JBpmzaHy7+5XfvrcdYW7kNWislWZhS6q6U0kKSLG7vah+i6Hq6eUjdJAvLLKGw + en15+YPdq9rsNA1tqq1J2ZX96fw7nD5QpqTe++XlZWYeDofTGQAfBy6NJk+ZpLl//utff/jqg7i8 + WBRh1l06rUIWYY+/uU+2BKUpLVMKU5rCLOVKy7YUU3VF182NPnm5e+04/87nP6+IrUoqDutB1VRM + y6JS1KUuxU/8eVYUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvHDIWgMAAAAA + ADxv0iVPU0hFYQopJPcySW5t1bJqf/wlrxeeU5FLnrLQuRebnhZS5Ckleypbf1ie5iEPc8nV0zJd + km7q+E/G3Re/9Q/Ui8JVpEFhofLE2ez3s67rOI6Saq2SWmvb7dbsqd0fwEdkqbJqWKUuXVzq3uWf + TH64nK7a2s1THiYpu2d3pexJm9ayc8xasnflpy1Nq0JjlSlTo6vftHvr+kbvOrTxME/K7TBez/u0 + 0GY8/9k8/0xi1gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHihkbUGAAAAAAB4 + Lp1i1Jah7KcabEpKV6T2N//7f/ZXy9s/PNzcaDq9oO7WXSmXZKfQtU4F6pTylJO19PO5Dy7doloW + yzT1czjbtK/TP7//qnaXurgv9xax9tVLkfmThmvfzzAMmdl7l9R7H4ZhWZbW2tO5O4CnwiSXomt0 + TfWvfudbP9wfLrYbySxNkizeufhJ1x+pZJSQpSzN0pQecsnHWpd5VVG6IupYxtJu7q2zDk2t6GY2 + xThtZnWd3vGugeN2gT3PDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALxYyFoD + AAAAAAA8l/L0K1IRsuympUlN6lKavfX2vWKv3KsPbzJM3Wx1X927m6dKqIZqqOS5OVsyS5xa10/K + pNGjmsIkO42Vfj0Mf/Nb39Zrr0WJNE3u21L0IbLZP/1vm7l7RJRSJI3jWGt9ivcH8JGYmlpWLUPJ + wfTgUptx2u58Pw/dS7hnSmlSSZVwT3uiJcJTnllSp4enPJXmIUk5VrXUsWuctirDUdpZ/1uf+7Ie + repDPtxL6rK9WnepSEVydSkfL7AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADA + i4isNQAAAAAAwHMpTuFVM8lTlvKxSsuqZf0vv/Sly1xtOS7HdrG5vf7UnT69SecErOW54GofJmh9 + klLcHsilxfXD7fTd3YV2u5seOU4h9WVVuixk8ZE/+u0fznN59lS2bq1Jsvf3tP4ugA+u1LpKoTgq + opqm6V+lrncXKS8ZJlnKU0qFR1g+6RJheb6Dh1uezkSalF1SSBc738/Xy+H63naM4+E1WxSr1tV2 + 9yQ79MOgauoyyc5B66e2SAEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAfS2St + AQAAAAAAnjshyaWQutcsQ6gWyVKyrsP+8q3vX9o6FbNVtpqnmTT2GHuYQhZ+7kFbSmkmmad5Shb5 + pNlpi9CcdVG1uckGvWX6p5/4xOf//rc0TLvNVKQi1TooT5PH04rGnkrVp5/uXmt9KrcF8NSkLDSG + XBpkll1D+bU/+IM/vn//MA2RTQrJFEXmx0hNEZZP9hfM8tSst0iTLFzd1DMtQyUUc2zcB4uc1+Kx + 8yu1H6gW2SDVXdmMGd66LLqd1yaX7PwbAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAeAFR5gAAAAAAAHjemGRhkksphXRuWkdoPurm5t/b7Oz6WtFGryWLJE+VVEn5e4ux9tHH8WqZ + 4a5x1M1By8W9P9qOenCpWpQ6P0yy8yGAl4WpN0ka5UVpJplp3D4adjcZpRa598yuzLTdZd0fnsYK + YeHnPrV5mqe5QhaSxoiL67eUTUq5ssekQdFUTJJLflpO39k3D51vBQAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAALw4yFoDAAAAAAA8V0xpSsnVilRDJaQeylUlVOJrv/KX7v/pm69O + m2PXVTQNTyFc/dNFi+iyOW3Wrm4P48Vv/u5v635RleIcsu6mZgrV23QsgBdfSn1Uc0VLW1Mhrall + GNZhs9mkae29SXU3rtHXfbs/uucdLlm7Vf/Gdfmdz31Z2Zr6ZFYUaynNTOmWspCdFlWpK/p5AQMA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABeKDSEAAAAAAAAnicphdQVUppCIYUk + KULrrMPNZ9b13s2h9thsyna0yBZ3HLb2aSpSMQ1jver2lk165X6vg8q5Yd1M/XSlZOxHAS+ZJeTF + 5KOWpmnUbvzRdvzh1dWy9mG7M7PD8VgHRUgqdzrJ0PXgmG80V2/FU56SVkWXy6SUTDJJspQr/PwM + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeKGQEQIAAAAAAHjO5E8+dymbvv/m + f/vFXx/ffvvBbufruj/2njn3917+1MUypyTTo6VdTff2u1e0etPYTYvHlXSQJNWUnSLcdz4RgI8F + k7zn5FpNWUzTRtn0xvDXfv/vjZ95oxZb2lK2Q+8y0zSOh2VV3uGWtWeWjNKbtJrWtc0pr+nRe5ea + 62g6mrpLkoUsWK0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwAiJrDQAAAAAA + 8HyJ2wM7919daa4u9fi5H731qTq2m+s18uLeFCErd16RnlNlKsuqdbv74Sv3vvBbv6Xt/X47bT7O + WJ+O4n3vA+DFU92yZ0pdimXV7mIuVRfDnzx6u282+7Ut87LbKlZJclnY3c6TWse+6Oao68Ng1VLV + 6lgG/7FrJJOMpjUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABeTGStAQAAAAAA + nj8mt6xKyZVFi6Qm7a8/+cPvb9dWp91Y1a7m6BrreKeV2DBtN+V67mVbbi6mP76/0YNLqWxUS+QY + eSFNp00oO48O4CViIQtJJrlt1cvUpO7ttTf+1O3evYvo8lUlZbKi6nfZkg6POtbNvPyPn/2SHnbt + u5ZusrXLUrVrE9pIkpoije1zAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvJjo + cgAAAAAAADxf/F0/z5VokxT9O1/4wi8Uq32d5xtJZprGshyXux5oXftmOzyK+J7017/5NV1uNI6e + ppQiSsSUqqcpXXLK1sBLJCKKlyJll4pJLi9S/fJXv3E17h4djxdVKsqUWtRS7nqeeZ4vRr+/zJoP + yq5iLeWP/2xKodOzkPpdTwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8C2St + AQAAAAAAnjMmj7x9kmnZy9rU1svow7x49ElVXe6KkPvdZmI9VULHtS27ix/Wqu1Gm0kmtZSHXIpQ + C/VQKk3dlD/7rgBeEO5uiiJVP7ft06WhqG6OuwfrUJuUTXW0NVZjPVoSAAAgAElEQVTFnS8PddDN + um+bpnGRr3JFhKRuyqIsSpdJVSpSkd31PM+73nutNTMllVLMTNIwDJJOJyWt63o6f/qnBgAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwDNH1hoAAAAAAOB5YpJ6H0zHJcIkN0WUvmq/ + 37ZWMj0zLSVTmv+ZFKS9TjZuvhv6yt//lqTIrpSqyfzchLU4PwC8dE5b0O98/UOhQbp38Ufq8zi0 + JqvKJYcyKe96zUqFtma7eVZbpejLoRTvUkrx7iklpenPZAl9rpVSTvnqaZpOiWt3X9f1dObxNZLm + eXbn/0cAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB8LJCRAAAAAAAAeK6kihWF + fPBm2seqedbV9d/+3K/uVqVKLz28p4qySHdftk4/rnMbN4/uv6I6adp4rSrq0SXJXEWqap5NYakS + MkqxwEsjpZSnQiaF1FUkDabXNv/5P/4H+zpuxpJNaVL293SlnzpPeeqVRb/4/f03f/lLOqxlGJcM + k0wqipIy6VS5VrjClWyh/zS999ZaZu73+8w8HA7rumZm7/3Ro0enA3fvvZ+61896XgAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEhkrQEAAAAAAJ4/a/Zjd1dK1YtMurr6d5e+bepW + Qko7XeeWstRdl603m3vfvZ6/e/++LjaKLrPW5lIsVVJFppS6FCJnDbykTFI+TlZnU93XQeO0lk1b + oqfmlIovarK7LVtHaIz8TPpn0qWQRTUPqSiUcapw36Jp/bOVUk5Z61LK6aeZSXL3cRxPF0gys4g4 + HQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCZI8sBAAAAAADwvClWpnI4HI/L + vqhrufn6f/jLv/j29XaNvN3tCVNYeKbrbhuxkrXjUj7xxt/4xtf0iQe6v5VFrUWRIe/yVJU0SoMs + Temnwi2Al4Kl7NSKjpBOG9JmKoMG9SnX6tqUafRJrWbZTHHH60MpFq3lelzmK/VZal29SlIopZBS + 5TSosX3+gdRaT9Xq09PMbK2djnvvvffMdHd3X9f12Y0JAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAACAd9DlAAAAAAAAeN5EyLTdbi7Hqcaieflzbhf7/RhhKZcsleeQ7J1bih5Ow3cH + 03Z78NJV1jb3dZaZpJBCMrmdngN4OYXLpBLySMlVx5SsPpw2Dy+2123pXb33w3G+4zms9fShpGLn + RelatYl0SUpZykIpZZiUrnSJleunOhWs53l2dzM7HdRaJR2Px1JKKWWeZ0nrug7D8IzHBQAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgCSy1gAAAAAAAM+hLo9ZKpKuDtrPdTl6aSXX + mq304mmmkEV43PUo+8H/xav1s9/+bW128m2RVy9lHE8tWP+x7Se3kMWfTW4bwMeJS56zrUf1kFtK + s1TqZ7/z1T/cxjLVXZWvcTlOfpfrQ5g02rz2cbvZ3Bz/zn/wFf0obTFJXSGLc8Q6JWmVFqnf4Tgv + glPBepqm3ntETNO0LMvppc1m03t/fDAMw7quz3JWAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAA3CJrDQAAAAAA8Fyx0GCpbpJ6aln/+y983pcbrx4Wpwss0zOl9HDlk+//vPOWc2C2 + e/bHsdl8fM8My5vB/9nFVvcu5WMNKWWRks1rk2QpS703bw3g5ZBSN6VJpjwno29VqXdN28P9B2tX + HDUU68t81yP1nnX09XDcuT6tpsNB/bS4ecplkkl2p3HtF8opXD3PcylFUkSM4xgRklprpZTMPB0s + yzIMwzMeFwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJLoCQEAAAAAADx/rK99 + rZLW1Hq46PvL0de+rMXn4mEpk0mesixSebKydXqYhVynFm16yJurubpS6ZFFOVgxG7Wkrmv9V9sH + ml5R2hCZbVEdQqWW0aVyvqdOZetzEdt+6gAffNLMxz8jorX2dO4L4CkJqUmrpHRLnzRsVKTe/3/2 + 7q9HkjS77/vvnOeJiMzq6p6Z/cOlJJMWIL8JG5ZFieSu+MfwhWFeGZIFwzd+R4YuDEMGbMgQIMOW + SQ7JFSzaht+EDcu0yCV3dndmuqoyI+J5zvFFZFXX7Exvb/V0bXbPfD8IZEdFZUWenkGdAWIuvtay + SOOoZVfmJ9V3TcpirSje0H54mVLKMsewH9d2lcNP5B/JsqSk4bSxTN3UpSKNd0sML7HVrKdpkuTu + 7r6dSKq1SjKz7WQcx3MOCgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgHvIWgMA + AAAAALx7xjIMklrXX/3kV8P2LZQKedoWoz69LU0Pa1pLYUp5mv10fNpkJhtq2V0ctc5rXB1Vn0zH + 6eK//Bcfqu60HKVupaS8p7147JSnI6WQYotcvwlmpxEjwt23cmq+3Bv6WAAPt+2i7govkpTdpGq6 + 2P2V5SHLuB+XJUp99EFijd1Ybw5LLf5BO6ivunpuqZIyueSy2JZFkUzx6AMBAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAv3BkrQEAAAAAAN4pKTXXYdW6aF3/99/9vV/9Sd8f+5Aa + u2rElnpOU5hCCntwlvX0I3l6ccUQGrqWrm553Q4a6zTqyZPho7l/rKL9Xgpd7FTUonepZ3w+Im2P + 8CgqM7emde9d0rIsrbU3/SEAXlORRmnYvth2U0hpZftilL6h/+T//MP5ybQelmkotsgfM0DvKZet + 0YenU2nx7b88/unf/h3V6TRYnIasqZqnHQgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAB89ZC1BgAAAAAAeLe4VDSONh+1HH6l1Hr9ydDSVGpESUnqpq1unR55e/4gYSmZUrKQTqHZ + /VT6srY2D6MfF32ytqtn7/3aH36oddFukOfautVxVe+f78Heu/Dwcb7Yuq5mVkqRVEpZ13Ucx1rr + G7o9gC8tZSlLpUJ2V7Z2yUM6uDRI3q57SB5rL+XRJ/LUOI4/fj5Ptfxy2U/PD+rLorvFFCk/jfqm + VhUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwliFrDQAAAAAA8C7ppqW6zJRd + 8/Wnf/n/TSpWSxmqS5bZTd3uZaQtHvYBFrKUFKY0s1RYrq5uip6e+d5ubFeH6cKvp+lfTzu9977e + e6bih3X1YeqSyQcvPfPUhP3pQOwD53m5YRiWZZHUWpNUaz0cDpmfK2oDOKMuRWx/yiSXzCVvUpEp + q3w/jBc27LzW3h59HC9aj/OzSaOV68PzYTdqF6tH8xcPy2dpvrdEAQAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAgK8YstYAAAAAAADvmCbl2tTaP/nur3/r2YVVXa1La717pN1/41a3 + zoeWrT3lKZ3K1rdXUxlWdxfzcdnt/Sc3ERdP/uzJhXaDLHtqmC66dOyzyaQtjX36/HtCbzQWa2aS + SilXV1dmtt/vtysA3hb3989t5L5LLrXYLuVfWPy5+mz6Bfz6Ro8W2g/jcjPvbNwPrnUZ4yBFv31P + l1IyfX6DAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAF8FZK0BAAAAAADeJS55 + yqzocPVBO843PwlbL/f7tffmai5PDXGXpo6tJP2A+6dKhimUntLq2V0lVNIytS7HRdJUnrr/8Gr+ + R//qf9U33tfFRdoWwtauTJLW+Ti43YZhJUkWqXjYKK/SWhuGYZ5nM7u8vJSUma21N/ohAL4EC3nI + w6QipdRN3U616NHV11kX46//Hx/+2XcuPxk8yqNP5NOw3w3Hq0WhMpR6fdRPjsNN1rzLWkfZnpvT + tAYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBXFFlrAAAAAACAd4lJk0lL12H5 + 6/MyqK+m4+FQ6yhJCktJOr1KkvzhZdb7P7IVskuompvZ/ol+fLVe78fjB99UHXqdljC7nc2lKl1M + u7xrWNvnb/9m1FozcxzHzJS0rquZ1Vof6/MAvAZ7sQQs5ZJLW+U6QmXcy4qG8WbaH022FbAf1bIu + x3WofrHbZXQdD//se7+r5we17gopTKrS8MhTAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAGdE1hoAAAAAAOCdkrImzfP/9u9/9298dHXhilFyGyKGnkNIUkhpZluOOh8etZbC5CmT + hlRJWW7FaoveNasN+rP3dv/e939fw5MSGs22mvV2lC1eay7F6bB49Ue+FrslaRgI0QJvl5RS2ZVN + 3nVaX9ZVUpLMpHD1quNYDmVf970/1q64E6mxyMxu5mM37abhScyKph7l9j3lbmn5iyY3XiYiIkLS + uq6ZuZ3cfbe1tp3cvwgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIDzImsNAAAA + AADwTonQOms+fCfzg56+SF27YWyxlpSnJJNM6WmyTHt41TpuM67+4mddaT3auJ9cOrr9+TRqN6oM + W7fWbg+ldL9La6co7Ou0tQG847YF4DJtS8BePJCOrmpS77Ki3cXzaXezahwn5aM+sk4fbe2KiDLU + Y65Rus1XilnZTXHaYClJaeqPOcpXQ0SYmbuv6zoMQ2stM4dhkHQ4HCTVWjNzWZZhGLb6NQAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAM6OrDUAAAAAAMA7Jbv6rH6dOh77WleNq7T2 + Woa0kOThHh6mMKW98nZf+BGudFlIGafGq6V5Fvv0MJvZ+OT9v5z2unwm5Vat3uLWL+LVL61YO8+j + gK+XMEtVSdLRdHS1ojQNRanUWPSk6H3/j/70w+M4rHP3l26PNyN7SnKv7n5U5qgySbbKQrptWpvS + 1T+71fCF3N3dzezy8tLMLi4uaq1mVkp57733zEzSuq7jOLbW3Nn/AAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAbwUyEgAAAAAAAO+UCC2H//bv/+Zy+PjiYrjYDdmkWpe+3gZYLczS + Iu1L9VjvfjpNq/tSpFqeTLWt+sEnN//gj76vsWo3/HQ5OyXTqXX9uaj2FrV+vdY2gHdVuPIzv/gh + rdFatrBcs+nJhfbDUie3+tizLF3Dznrv0eLyYmqfXL13M2teFCFJSkLWD9J7H8dR0rIsklprmSkp + ItZ13d6wXam1bu8BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADA2ZG1BgAAAAAA + eKdE03z1wXLYZ6zzepy73I/LcRyH7fvd1U160aV+7Yp0muRSSMfqx+prX2Jts/LZX/sV7fetDipK + 3Xas7X7QOmQhKW8Pk5vcUl+utQ3gHZOuLJJUQrvULlVTRTJXs3DlUKfUoBy61/AS9ojh+zANe7ue + 02pRZP90/pvDk7/18fKnv/a7WuPe0LJQkcqXWKBfE2a25atrPSXJ3f3uvJRSShmGQfcC2AAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADg7stYAAAAAAADvlNZ1c/zgox//W5fPXFp6 + WBl3025e1jB1U8glN6VLkuVDo6zpniYpTWlbhdpSrnSTsnpePvs3bVHZ9bpb2mr2uay1Sbc168/e + +d4B4Gsg5SEP+WkRvfj1j6IcTsvCezeV4aOij3dlLY87Umu531lrTRFP9lNeXb8/t/cPq3pKetGy + NlnStH41dzezWmtrTdI0Tb337Xwcx+3c3SWVUo7H45nHBQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAgCSy1gAAAAAAAO+YNf70137737nu9qNPRpXRxggd5+M0lbWouTx9CHlKUjd1 + 83itNGt3dVOaSmrqmrpK1zL6Xzzzv/3P/3v5bvI61iK1LvV7xequ6FK8OFxygtbA15DddqIldVe6 + 0iMtpKgZQ2SX5ohqJiu/8a/+xf9zETf1ER9Ze8pWeWiQuyx7VzGvpVioS1KTjqbZ1UxKKdhar9Ba + M7PW2jAMZjbP8ziO27eWZSmluPu6rpKOx+NutzvrsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAADghaw0AAAAAAHBueXpJ6TYG/eI7eZeHjlBvWtdvHI7fWnPs1ta+G8YiG+Vt7duP + WIal7EukWC1PVewwhaT0GqoR1XQcyv9Vi/7ad+SmVFvXu5+6nTu++KanW7/+VADePfd69il1qd+l + 7ptLZc6w4pLkJi9Xl5dL8Rcp6XSla9tFpngTC2SoyjkVUWttrYVpbUfTqr6oryFb5e1ukSXPz1+h + 1tp7r7Vu7Wp3X5bFzCSVUnrv7j4Mw7quu92u937ueQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAACCRtQYAAAAAADizPL2G1KXcwq8ppVJqqVBPrWqrlu041uxmyozq1ttBuZhnkdVu + NeTqaT3NlDLJFP7AxHWaJJVUSXVTd1m2kk2ha9UfPv0ljU81uEx1mDLcpbvD5P7Th0zaRnlxvCF3 + kdRlWU7D55cIegN441LKsJSdWtFeJIXLBmUtVud2kFYpNXxwzIuUaytYp5/2RXpK3dQtJVneHWkP + /n03dTMzN4/oruLpMaR00Hytw2GQVnWTWjaZXgS28TNtqzgzI0K3e3g739RaJZVSzjQgAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPoOsNQAAAAAAwFskFLm1X1PzoVeTpBZNpchc + y/yPf/O7Q2+yUy7UFa7T+RailsXtd81TD21af46llB5hqaHMPv2DP/gjPblUtcPhSpK5/1Su2l7W + sH7TTetlWbZI6rqu4zi21iSZvbkPAPCmWLjiFLlPKU6vXX2sg7xpPapMR993M+m0uOwRotIpz9un + 4p629bbHnv/ke7+ptduxPVEZFXvTvMwpe4MrCwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAHhL1HMPAAAAAAAA8PVmUkomP5VevUvFZNJuLAqZpfvUZFVNx/Xba5t6PPZQYUqTpJKZ + iu5KeVtzrXsVV3Vl7vcXLXrPHEs9S/h1HMfMzMxhGFprtVb9zKx15iM0cgH8DCZtDenUVoj223OF + 5KoqqTgc5/2TvbJ/WrKbf+FtPO+a2Kf76ssVpz1lSld4167pl9qggzTVaU1lV6zj7iIk/5IfAwAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALx9vqARAgAAAAAAgF+ktFOp1aVy23tN + U66pLo9aVNe1K7qOh28flzH6L2CqbuouSaaUcik6PH3/h8OoaZT7luAOi1LqL2CYl8lMM8vMrWl9 + PB7ded4FvE3sdNhdInrLRPvdi8bdZa6rxvIP//APmrvF9oORllKG3d7mS4fpw07HdsNTIjs1hHS4 + UWs6LpLJTOaS5ta+7EcCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbx8yPwAA + AAAAAOeUUtweW261pEnqknYmk9LVtK+jnt/oeHh2/XyMeNSRLJWmVtRcaXKppha3/3c3/NqHv69h + kJkkzYtbOeb8uNO8XO9dkpmZWWtN0jRNZuYvcaYxga+1vK1HS7IX206ScvsVlne5XT7RWLSri9c0 + 2wrW4REespDkKU+TlKa0TFOa8uHPt7ur+9bMjrXE6kqTFHWqsq5a1ENKDbWtfV+rvYl/CAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBbhZwPAAAAAADAWyKkUNrdE5u2rvLT5XVp + msrv/71f/072obdHnSNNklnI8nR4ai3+0dNLPXumMihNXjWOH3/68WDDow7zM5RS3H1ZFkm11q1s + 3XuPlzjXnAA+wyQPtdWGki0yU/IlpbGq+lpKyLdedVqmnaLY2y76jHz9h9vd1Lcwtqmbhamuq3pT + m+UmN5mGobz+3xEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4i5G1BgAAAAAA + OKetYu3SKaBqnuaSijT4oC6ZVFWnqlyfHa+/scxj5M+85ZcVJkk1NHa5lKYwzaX+wIt2T9SjR6q4 + ij549n456wOmeZ7HcYyIZVlqrb33Uoq9xPnGBCBJKaVCCpk0VpmG6tWstTYvrZsrYvHavIRtb1eY + bsvWt5vm9lc57LSsfn6eGro81VzNtVWzm0vSe73peFRxlYy+tramtEY+7rYFAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAzoGsNQAAAAAAwPmZQinJu7xLRbIMxapy6rbafNSyftPD + jnPJ/ujzpEpqi8mGSalDGf7hn/yx9pN2+1KrpHXtLqXauXrRETFNU0SY2TiOrbWtbJ0vcaYxga81 + u90kd/oWt3Zb2yrJQlOt+2nf0rTbH0uZS00z6eXV6tvotWTKhz3iNslSYeqeLpVMyceuy6v5f/mt + 31GGLH0odRi6FH6u9QYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8IrLWAAAA + AAAA55RSbGch9dsvIxTKoSyuY5VW6fn63/29375U9MevM1ukRRR5qTZ3mVukzz7p8kIXg8y3LO1Q + B0l+vudL7r69mpmkWuu5JgHwcwoppS51qQ6DUm4qqZqqZlK9KfWmDHOk1cFCZmpN2++45Hpzmemt + t+2poety0Xeu27eOod5kKVkqFynu9jNeIjMljeN4d2XbzNu33H1dV+n0b3A7BwAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwNmRtQYAAAAAADgnk8rdF377kpJFSHN23960Ls+un/s6 + j4PeYNj1iwYKH2pXZOa65DhqtvKjUn+yv9A4HO8y1rd1bZ4uAXipvD2kvFeIDnneFaPzlI8uKZl9 + 93/+n9rTJ1nGeVmLy0Iu+bBL2bZ1wrbwtNIsTfHAfZiyNJXcPk4mlbCx62nkfl1k2WJVNClZbj8P + MzOzeZ7HcSyl6LZgXWuVNI7jMAyZGRHLsgzDcOZxAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAIInwEAAAAAAAwJmlFKGQirq5tsq1hyyzxaWVOKzqs+IwaLHeZS+S0o9kXedhGs3U + U9Y0vP/+/30x/t0/+VAqkySXyumpkj1yYhvAV49JLsX9TXavfq1p/GHGUmzy6q5a1aXlcPOFt4oH + Pt8OU3dJKpl1a2vf3an0HJr6TRRXcZO5lNF5gP5KmTmO47IsvfdxHHvvZtZaK6Ucj0fdhq6395x7 + WAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEhkrQEAAAAAAM4vtxf/qVx1LVVd + F9OgNuv5x78UbZQfHr8KOozDMi8ROZoU+sGnzz/55jd0sZO7pVLqL1rWzvMlAK9gSikkKYq0HdrK + 1nbvbSm5tBt+lL3uL9do66xcNRarwyi5ZLG90U4//hpCCpOlSspSaequboqYe1801a5Y10WZo3Th + xV59Syjz9J+vdV2naXJ3SaWUUrZ/1TKzrX59thEBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAABwD9khAAAAAACAszKpSC4L1VRIfbu+RUKjabnROn//N777yz++smObLsawxw2trss6 + TnVN+W6y3XSw8qPpQvsLVZdF3IvJ5u2YAPAF7HTE7VdKKdzSt9xx36769rbYlmF7//2Prq+q1cFl + rpQyM+Txuc2XD9+Facrt9baNvbrPVZqKede67qShjkrrh9XWZMf9bK01SZm5Fawzc57n3rskM+u9 + z/O8Xd8uAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4G1Qzz0AAAAAAADA11xI + IblMkny7ZilJKbOqsup489elZ4fjbrq8vrm68KJ7bek3LYdB6q0WLYc5Jf+l7/ynf/hHmib5Nq3y + dszt6/JoowB455lS8aINHa5wmUpRmkLqpiLJQhZyaT99qqj7i7zqqaYumfc0N9/25Fayfu0NaHkb + tDa5lKYwpWle+ti6FrdPF13sVKyM9dTbxsvVWjOztbau636/v/+t4/G42+22c3d399ZarfwvCQAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgPPzV78FAAAAAAAAj6+ZmqmkaqpLMimk + JXQ1K/py/XHrYWs+nZ55vvJmX8q8SsXM5OZDGZZatRs0FEmKLimkZur2iG1tAF8VEVKXQqF0pRRS + SClJKXUpbatfp0qq+ic9fBznmL3KTCreoocUd4Vpe83atG+fEKeU9RbJ9lQJjUVPjv2f/ru/pZtR + h3Ve517UTY+8br8Keu+11v1+31rLTEnLskja7XbzPEs6Ho+S1nWlaQ0AAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAPCWIGsNAAAAAABwXi75XenVXqReTSbJFfFf/8ZvXBY92xVFX+br + xx5oqFqXdPcY60d1/IEVjYNqlUkpl1wqL54rPXZlG8A7bNsPJvlpZ/hdlPouTn0buTZ50Tj9F//y + +9dt3g8Xsaqn+rKOtcji/k23vWMP3z4lc/vZuL2Pq7u6dVqaitEAACAASURBVE2R3w7pMKsM47iX + 1Prr5bO/XtxdUmZu1ere+ziOESFpmqaI2O12rbVSypkHBQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAwK167gEAAAAAAAC+7lIekitMkrkkk6VqS9WUjje/GutwM0eLkHmxyPRX3fNL + MIssplRcj+XPL771d//4X6qbTCohuWWtOkVo3dUfcZJXi4itqCrpeDzudjszyyS0DbwtTO7Si5VV + JD/lrO9ft+3UB02DdvPqyja3tLEOGUu16BmSPG9T2KmiTIsXVx4wU2xla0UxafC+3XAcd91vVK5l + +2Xu0+DFpNe4/9eMmd1/3fLVd5t5O9mK1wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAHhLPGYCCQAAAAAAAK+SUkiSTOHqypBie2RjRcrQJ59cfnr9/jhlKqKbSRaPO1LKB1tWfbys + fzWMurjUNN5O6/cLryaVtyD5GhHruu52O0k0rYG3jd0epz/8dGL3vyVJnqpLqRoGDSUyhmHXM4dq + fWme2lrUllJKMk+V+FK/76HSzSxlUqZujrPKKlvU+zQO6l3sEwAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAHwVkbUGAAAAAAA4p63x+plnNHkvAbvO8vFpk7WQNBb3nv6YodUw+Vg+ + mXO6HIZxWs3kLvcePeUhNamZ+jZ0ymJLzJ5BRLj7PM/uPgzDsizbdXuJ80wJ4OcW0pohU6alebNc + oqep3FuRabLU1qJ+bZZSWivRPNOklLnJrVZTLCqlm9ZqvXy5jwEAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAADeSmStAQAAAAAAzmzrs7pkstuEqrsiVynjD37rP3y22rrMXr21/viJ + 1VyiP5n0/Pm6yI/P9vImqXjdZgypS03qkvJsTWtJ7t5am6Zp+7KUsp3kS5xtUAA/t2Iu90969Glc + okdEaym3sIzPrT/TtpMeLGVhSktZhClNVoahFj8eVFyKeY00b2JxAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAA4CuIrDUAAAAAAMBZ5emwuwc1tr1ELaFY3p/bxXGpaVlUa5H/Ip7n + FK9Pdxc36f/xh/9c39yr1py7qUjupwGVCnm8XlP2Tam1ZmbvfV3X1lpmmj1++BvAozFJ8+H6Yrza + TT5O0zAWKXp2V/eUZF82NB1h6uZhboqS6SlJN+viPd87rDo2rTEMPrfZxD4BAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAVxBZawAAAAAAgLfAKdTqt4eUqfWgvgzX1x/U0Xoej5Gm + pa+PPUuErg/t+dzXZ89UU5NnNhsmpRd5kcr2tu3Fzla2zsyI2DrWwzBM07RdyZc4y5AAfn6+Za0v + nnzvwz/41zfPlx6Waaa4d9xuy5TiNX6r07YbmKSSeYpkp9z8G7v906vj//h3flMply7q0NbDG/qb + AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG8RstYAAAAAAABvCZdcJm3V1QxF + 1/NPv7nbzTdXg2u/c1OO/rjPczw1SXU3zO89/XOl9hddpY3D0rvylLHeJjh7JtrMtlh1KeXq6ioi + 3L33fu65ALwmkzxWSert4ld/xcaabTV3r9ZN3ZSyuzenSRYPun+YwjJMlvKUpVzbLrPJx09//KO/ + EcPfLKNuFh2jpC6GyV51TwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCdQ9Ya + AAAAAADgrOz2SElKqUshKZpurv/p7/3e/JMf74ZpMO/HiBZ6/MiqpRblX5h+5/vfl2oLNZkP5TTf + vcGV56xbR0QpRVJmXl5euruk7QqAd1T1orXp6bMf3ByvjsdaBvVY19w2n6Wfdo9tjeoH33+7kUue + p5tIUnr2/sH4pM43+vS5qpXRFdLazrjiAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAgEdC1hoAAAAAAODMeuouVt2lUKZCramt+09/8nTwtl57HSJkZvkaHdeHch3kP9yNGgfZMGWZ + sphO+e10dcmkIldIcbay9daxlmT2+P9MAPzCeJFGGy8iTWPNlEs1oob8M9smX2P7hEySpSQ11+rq + ZpK5u3pIfRhM6oouk0p5Q3+lr6zeu6R5niVFRERsJ5Jaa5IycztZluWcgwIAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAestYAAAAAAADnlJJch+OyFVrtxeXUPL9/OD6dBinbcR4H + l9c1+yNPZBmaqy/f+qYu9upSFrdTBXYrWUsqUnmdpCwAvEodpTFsnC72h8PBBh9cJVVSYUp53pbs + 8+FFe8/tSCm6q7vCJGmJufe51LKWrhLdW2SXFz38I75WSimSpmna+tbuviyLu0uqtfbezWw7Gcdx + XdczjwsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABJZK0BAAAAAADOrmUfd6Ok + duySTOYZOhx1nJ/d3Fx98lF9eummtkaavJR45MzqGtJu/2+Oi8ZJ06RSsodLW97VpXrXtDaXi+wr + gDelZ8hcq1R2cw+XuqKlPGWp7lqLXnsHeqqEl5QpZBlSvw1kj3VXhnro/bofVY7NlaUE2+1VWmuS + 5nkupbj7PM/jOG7fOh6PW/R6O1nXdRiGc84KAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAACAW2StAQAAAAAAzixMKSlVp+LSOh9dLpUPv/f3P+j9W+9dHq6eZ6q4WVrv4fGIj3TCNO72 + B/P//I8/1DCoDhmy4qe6a8oylFJIktzlziMmAG9EKrq6LLTffzy3RTFdTL3LTJJcofxMZ9rywR9h + kqWnZdhWuZakMEVbIqKONk2TPI/tuRRG1vpVaq2SpmmKiMzcTrbW9W6367333qdpkjQMw7quZx4X + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAkmgOAQAAAAAAnN0ga31WpFJt7fth + UpeeX18e1qetHT99nikzmcy8lMeeJv3meDi4az/oYpJcPWblQU0KRSikLkkydVOXPzwsCwBfrFhR + qZrqJ6PbMPZ1Hvfj3CWlJJc87fWXTrrSUuouSUPX0M3TJXVlZOtzTq1rXt6rY5GWmQzzq7XWMtP9 + 9P8azGxrXUsqpZRSzCwiImIYhvONCQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + gBfIWgMAAAAAAJxXSGFmKqZVQynK1GHVOD3pbd/WXS2SrBZ5PSyHOu4fdZru0n5/JWmcDmuTyapL + 4dtzJAspZCGTpNxKswDwJphUpH641lT/sz/58BhtWdUOy8Xl1F3dJMl+euk8bAl18zCFSVJJG7pb + ShbDbsjUrto+XEvqGJr7NJJhfoXee63VzHrv22tmSoqIZVm2N0jautfbOQAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAM6OrDUAAAAAAMCZmVRNSqlIKd0cZUWH+b1h7GsOw3CxH45r + X6NNKlrXRx2muX5sS392qTn2406m3mOIHNRTkS4VqWjLWtvpTwB4Q3qUyycausrqF/v9VC00X89z + 0VyldE//XNn65xWm7upmJTWkPNzSPCVpPi5yZeRwXP6b/+B7+vioKOuhPbCa/bVTStmy1k+ePDGz + /X4/DIOZlVKePXu2nUREKWWe51LKuecFAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AACARNYaAAAAAADg3DwksyKXIqTUNKof/6vf/e1+c/VkUL86zod1GookH2rP/roflPqiQGuodPNu + 6p5SNrerJ+//nf/hn2na9RZh8sHd3LOYPORd6lKaJJW8K1wDwJtQrLclp1EX++O6xNxSGqYth5yy + kELy1148aaf1ZSFTl6VJHl7GsnbZVHJevq1BUXSYh6m+sb/XV1Tv3cwkbdXq1lpEDMOwXbl7j6Rp + miLijKMCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgDllrAAAAAACAczLJo5qK + LDSp9abloPnqm8dPdtHUVMxGt4xuHkv0XjwemHON7RGQySRLWbplWqbVukSGTc2GpXhzral1qH85 + PtN7vyyPUvuhHVZJ4dtR5Kma958pfXEs+xchMyVtndS7fup2EcA7KtVVi8mVVrx6UXOFcghNXVIP + U6ooy+t+QmjbWyaZZF1pUlG3sUprn8ZqvSmlYXhzf62vrC1lvZWte+/bBl7XVdJ2UdIwDNt1d/5/ + BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwFuBjAQAAAAAAMCZuav37Blrm+s4 + yF0fffRvl2GIyHsF65Bkr9dsti8oYZuOyzrtn2Qq0yLCXcOgm9CPx72mvZZZ1uowrGoKKbW93t4p + ZKEMZbzWSG/A1kntvUuapul4POpeSBXAOyelkMlLhJR+PB7TSxlKa1FSJWXKtAx5qOo1nm5bWErK + UxX7tC1cWWPbsZIUnqkMWYh1AgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgK8i + stYAAAAAAADnlNJxDStWTEMdeoYiVXblBz+qoW6WpjCtReHy1BDy12tbS/nZROuu1n44KNp+HNWy + zbJU3T/5tEqj6/LicJwlH1RlUp7G9VMK1iWXuexsz5fcfZ7nYRi2svVut1uWRVK+xLnmBPDza8o1 + W7pkfnFxGWv0tRc77b1tHzZX93jVnV7KJLu3D9IiLNJON5eFKWSxLTnK1gAAAAAAAAAAAMD/z979 + /Fhypfl9/r4nIu7NLJLdnFZrZgzJgAxvDXhtyAsbHv0ayV5qYRgwvPNfZViw/wBLMCyNMLIMCIZX + Xlve2RhAnh/qHjbJqsy8N845XtzMZLKHZLOKRWax5nk6eOveuBEnIpPgW0D04gMAAAAAAAAAAAAA + AAAAAAAAALx/ZK0BAAAAntnV1maf2XtmZs3c3v7h7//nv1vHZbZebVRmzV7pVUkqM/U6PdfZvnhN + vvQ4qGpkHKqdb26OlRfXh7tz/u3nL//rf/4HOS45nQ9XH96fUF9s9bT0+rj/mRyPx0uv+nw+P+6s + r/Fsdwl8a2uWpdbzGJn9VZ/LRx9WtfQvDpjJJUGdL9epv6WWtJk2Lws9rFmZlfnFYBvJSNK/OAQA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADeH7LWAAAAAM+pkjpnnZVlmTVGZqovf/7px6e+9sv3byGr + Oh8yrfNJFPZ8Pm/rIVUz5+1wGKdTLeuL3/7dXC3Zlnz0QU+rtDkz98eo7EhG5b6rPZ+1+Trn3Pe9 + qpZl2bYtyeFwuFSugR+jSlqqZdlaS1tur4+/uLt71cd2PGZmJPc564fs9OtqMzXvY9i/lsS+jLZe + SbLMkTnTRv+KNQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOBHT9YaAAAA4FnN+5RrxqjUGLdZ8uEc + 171vvdVsjx3WxxrrG/iKE2fu87DjdFivx93pZk+//uD/+eSTbIcc1tNM0uac6aO2Zeyn1Lg/591Q + Veu6Xt6/fPny7u4uSWutvsaz3izwbbTzaV/Sqidz/rLl8xdXL1682G/u8jB96qFO3eZD5Pp1rzF/ + bRzMh0j2/dPydfSM/ekXAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8D6RtQYAAAB4Nyzt5vblVSrn + 88dXa/XTNrKN1ExL1lHraC3jDSqr7S80rUelt7RKqpKRcTolLz588Ys5+8/+Subo1U7n3ntfq7a1 + pdIOW1qSMTPeNK79lp3P58ub3vsHH3xwPB7HGMuyPO9dAd/FYbvKTKvksP4X/+s//eSjqz979Wq9 + elHzkqOebY5ljuVNx9CTefjQtq6RGo8PypeR631P7xmS1gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + ALyfZK0BAAAA3gnn5PrqmNM5L1/V3as+TpeCdW8ZyTKzvFlitUaSNtNmtVmXjmuvjKTWJb2PjKzt + askndze/urr62//sD3L1Yp91dbg6LEuNJDnv51ljJv3X1p6p52tcb9vWe59zLssy5xxjVFXv/Tef + CbybZua+ZyZ3M9XS8mfZP/rZT/fbV4+Psut+oM1RGfc7XvMal3+eqDlzv2zWMV/sPfueOcsDdAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAN5HqhwAAAAAz2lW+pq95Xbv6cmvXv2Pf+fvXc9RNUfl3HJe + srckafP++Nf1a+XpUZmV0bKnRqWl7adTlqoXH/7R6Lk6ZlnXqnVmmWmVzKzbNqp6Mi+p7XfGsixV + laSqWmtVr//b4R1wPp8vYfIkl9dv9vSY0+n0Pd4ZP7ha1iQ5VFpydbxbt5vzvtaSJLM9RKznN6zw + zUZl1LyMwZnMSs2ZGtXnUi0j11kOr25y3jOq3vw6AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8O6S + tQYAAAB4Zj3ZM47rMafk3H9nznY+VdWsMSsjdUlZV0aS8Qbh5vrqFvbteR9JO65rS+b805efXf2N + fzdjpNpy6VeP+3rsSPqXniON+9jrfNjgTd3d3W3bdgmT7/t+d3eX5Hw+f8Mpc84kvffT6XQ4HC4f + eR/UQ7f6fmat4xK0zphfTL+ZGqnfnD//Zk9naZupOZdZ6clp/+2r6z/8u7+f27ut8gYTFwAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAN5xstYAAAAAz6xl5PKYZrbc3fwsY+m9ao4as0abrWa1mVkZlfkm + ZetLofrBpZZdeXF1rKpXd6esy905608+/NeffpaPP06rX+tVP0aDFV55647H4+l0SjLGWNf1+vq6 + 975t2/waSZZlOZ1Oy7IcDod936uq9/7cPwdvx6ykPcyavhz2tZJZs7f0llkzyaiMSptpbxo0n5WZ + NqoyL9eaa1v6eW+tZh/zs5fry1fJk9kHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA7xFZawAAAIDn + VMmSbGmnu5GZZLZPP9kqc6altznbqGW0S2X67SZWX97eVdWL4+F87tsh+3r4b//wX2RpqS/FXGfd + N7GXhy3JyJiRueYtmHMeDockrbV933vvY4wk9TXGGHd3d9u2PfeN8/bNZCQ9mTVSI6OtvbWZUen1 + xVi6xK2T1JvOxPsTZxuVWUmlqpZl6WNeXx0+bPVbL67TasilAwAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAA8D6StQYAAAB4XqPmGHen60PLSPbz1eluHXOMLHNuY2yjLWOp+diQfoPnOfNJp3oks5I288Hh + WDP73WmpnE45V+XqKuuSltRIJS2pXLKuS1KzZbTM+xsZSephgzd1Pp8f36/ruizLJWv9dVprx+Ox + qpL03i9vlmX5vu+TH8ZMZkYyMmdGW2Z9fbv6TaZPeyhi18ysPK7Qe2+1jiTV5t3p5Se/SDLypt1s + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeIfJWgMAAAA8s3Fzu22HJJn5x7/3t65Ptx998GKpx3p0 + 69VmSx76q+01Q6uzMiujMuqScU2SmskYY9+XpG21LTnvI+uaw1Va0kbaSKVXRtIuT5F6MlpGy0N6 + dkbTmu/qcDicz+d935Ocz+eq+vjjj+vb+eijj66vr6tqTvnh90o99KRn2qyRh4R1zbTL+EpGpb/R + 4+02L1P0S8Orqu7205qW0/mc+e/89m+n5rKu3+3nAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgHeR + rDUAAADAM2tXxyR35+S0/+7x+q+27ZNffpalJRk175Z2t+TckqTNLONNLjG+Kj49+0hmVZJKzxgz + s3qrWZmZqcxKz31dtmYyknEpWreR0TPG/S54c3PObdsuXeqqOh6Pt7e333D84XC4HLmu683Nzfl8 + XpZF1vo9Mup+sIy0nNv9+FpGlpE2U/dR6uwte7WvHG7foL7mUy1tZla1LO3FcfvFL36RzPEwAAEA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAOB9ImsNAAAA8NzGSBvHLWmzPvvVcnP++Grt+5iVmZptT72V + dPRM5kibWWpc0rBzaUuSm9P4t2vd/NbHWbe0jLTHjHWSuqRf52MBtqXS0iotmq98Z2OMJNu2nc/n + dV3v7u6StPa1zy1Pp9Pl233fq6q1Nsb4huP5cak8TJbZkjarzUoqLePStH7whrNn1kiNZLaZelJD + n31syzYq+34+3Z0/+MkHT6YgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvFfW574BAAAAgL/UZtpY + j5Xexinj5WH0Y2Wc9mXLPtaRduzn1FxmMjPSZqtltNcLXc+lpaelV/pcMtdljMq5rX2MkZmb68Mf + /dZP/uYf/rOsrWaqWiVJa5e+7EUl62Pcui3J8rAbvotlWZLMObdtu+ypqkvr+us8fjvnfHzlPXBp + Wlcu0erKOTXb2pYx0mrWHLm0pmsmWUeS0V7nX/6o2VvazDJGzdYyMmfNJNUyZ41R6Wl1NT8736ZG + y7gMw7f/o75Hvs1/gFX+rgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHiHCHIAAAAAPLOe5LRnP+d0 + 99HSTue0Y+7OyVzbbKl5yYGOqlk1ct9ZfR33J9RMZstcarY2q/ckaWvry/anaTlcZ1mq8phxrSfb + /R/3ydkn+wHeqjGSWlIt23Ye89z3XMZXZtIy20zNutSp32j9evhzzkpm1UyrpN0nrvNQTTfiAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAeD/JWgMAAAA8sy1p2fLZ/t//x7+33vXjVbu9yfFqW+ZIxt5y + bumVmTZqpmbqNVKuoy6nV5I2s45xWXbUXLZkJGPMPl6064wlvfdkfm8/KcA3GzNVlUr2npqn49or + 2+HyZc1k1GOX+k0s40kMuzIrozLauMy9NrOMOvS29WS0pHmEDgAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAwPtHkwMAAADgOVUyRrL3fH73u7MdZ7u9HYc157tzMlL9/ri51LykXF+jaZ0ksyUZdV+rbkll + Xha5PaUdM9acDu3li0NSOR40rYFnVFWpJWm5OuZ6uzmur/a970nuE9Tz0qJ+o8Xb/IpO9azZK73d + 17LbTJtZZstlAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgPeOLAcAAADAM1vum9P9xek0b26ulla1 + LEvrrY/qbWYdqVlttm2Mbcz2OunpNrONLKMlGUlvY9be0lPjcLV8PvJZ8sur/P0/+J/y8xeneeqv + 3c0GeGtaaoyMVFql7f/lP/0nVx/9NPMyJO/L1t9B3S81L4XrjKRXRpu9jd4uRySzZdblLQAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAALx/ZK0BAAAAntlIMvfc3vy05lVb9j4q62kfo2Yqy8wy0mZLUvPS + WX2drnVSM21mXIqwyagx2kjmzV1ft1aVfV3z4kWOW47X38PPB/AalpaRytLywXWOy8t9Hz3zoTF9 + GX/zTZPTNVNPk9WVWemX2nWNLx/65lcBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAd5msNQAAAMBz + msltP6V6qrfPPrne1iRZtsOy5ouiamW2zPa6QesnKslIRpuj9d5mbzkclmVf1rus55Z2zFxn6o0v + APDdzZ4kc2ZWy+xZl1FtW5ekxldEpt/g+fZXpaorvzb8ZrVU699h5gIAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAMA7S9YaAAAA4Jmt65pP//yf/b2/+/PMfnOz1DJuX83e20xLMjPSemu9ZVbmVyVZv9nD + KdVmVcZjvXXss6rVcd3XLX1JbWtq88AIeC4zVcnIWhlJPx6ytLs+nh5SGcmsN81Nz8pMG5VR983q + ml9sjxnrkaSlX94AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADA+2V97hsAAAAA+EtuJCMfvPj53j96 + eVP9PJc1vS015uwjmZVe96nVUWnJ0l9n9YcMdhstNWrcV65rpvdxXvLJcf3lsqZaRluS83mv44/4 + kdGcb1q7Bd4R8/7lnFwvbVnW9FTSLpHpSs2077D841RM0maqsowsMxmj2nbu59n7srWUpDUAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAADvp++S/wAAAADgLaj03N4cbm8O/fTio49OfU8y5niSbq2RjCdx + 69cy6z5lnaTNWTPLTJs5rIdXo//i+ur3/sU/z9XV5YBtWyMMDbwDZlou26zHR9ntLTWt28NYbDPL + zOxZUud+3l58uCd97DmdWqYH6AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALx/VDkAAAAAnlNlbPs5 + S/bz7eF4dX752dV26Dm3Vkkys4xaZtaRZY4k47UvMJKZzFG5bJdlkzrtp/7B9R8fDrm+ylU+qz2v + GcwGePtqXv5saRn1mJauefn8cMjrJ6dHZdacNdp8vMhlqdpa28fc1sN++/nV1XI63abV1s+PhwEA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAMB7Q9YaAAAA4FmN5LTnvF9fb5/f3bbWbs+nbT2ktXmfoG7L + aMscyxyVtNdsrF7qrZcy60x6S2/JXDLb4fp4zritQ5artGVb1jEzz/2t/4gA31aNJCNJsszkKybe + k12z3iBu/WuLzVSStLZt691+qtZe3vafXB9y3nMaGeM7rQ8AAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AADvHllrAAAAgGc1Zk4zr05pc15lzHG1tdS43fdRg4Zy0gAAIABJREFUl7Zr1WwtYxlzGan5+mXr + jMuWmqMyKqMqqVc3d3c3dx8tH+d0nc/vrjJapbbl+/gpAX6zGqkxaySpZB3JSM3MejzifvzNuhzy + ZleZyUzNWTUqo1rSbs57Zjset55x3HIcIy/vcrdnyloDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADw + vpG1BgAAAHhWI+nzv/u7f39/9erypOZ8Hud9v7peHr5vvZKM1GNd9fVirqOSzJqzHnvYc03a4VAf + /OzjP+kj65qrYz/dvNHyAG/TrHGZVTWSkSSjMr/xlG+/9tN3ozJTMxmp68N13893d+dUlsp8+eof + /Z3fz35/AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPA+kbUGAAAAeFZj5NObv3H9kxc3fb3Ltizb + kjrk85t++X5vbW8ZNZPZK70umepvvXyl1zwvmS0taTNtVJttpL06zT/eb/7h//7P87NjjmmHtWef + HhcBz2dmjseW9MO7WZfG9by8H5VxiVLX6w2sNlNJPbSt78vWVbPy6nSzLOtyWLat9T3tfP7r1z/J + p68y3lJQGwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAN4Z63PfAAAAAMBfSpdSaiUjWdvLP/7/fnL1 + 4pibu9vTumSMHK+S/XLMJet6n1/94txvfaVZSTKSNrM8qcVeX7e+rrk+5FC9Mi/t2KQlrxPOBniL + 6osJ1JKHbvWotCSVmmmzktZmHvvXX2t+uXtdI3MmqYyZluT+tXJc1733ZN6e5vVVPrr68PM/+Tc5 + LJm/6RIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwY9N+8yEAAAAAvI6ZcR9bnV/sSpL7/SMzX2wZ + ma8Oy+nmPG7OdTxcnXu2rfU9vTIr6+jr6O1SYp1v/jBnpjKXNqvNVJ1T/bSPPpfMltFajiNbZR2v + Gc0GeHta39s6l6Unyb4lW05zrrXNZFSStGQZ2zKWyqz0zF7ValkzZsasda3lcB5z1jJrma2NqvtU + 9swc1XuW68NpziStbTVrnntLztnPGZUc07Jf17zatp68TPZn/YX8OPTee+9J5vzib5DT6fRrh+27 + XyYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMA7QdYaAAAA4O2byV/MQ8/HfTWe7B3pp4/X9uGLD+as + 0+nu6rCezqPP+4NbxjIvkexWSb1+2fq+6TpbUjVbzcwas8ZhOdRomZW0kZa0StZUvclPDPAWrEtL + Mvcslwl4vvvpxx/f7nc9c6/MmYxk1pzLSB+Ztdbe+zyfa0lPxvl8d77b1q33PsYYY8w5L63lylJV + h3U5fX46tLWWtZ/H1rbrw3Ge9ySHY5bMdnX9cj+/vDn99KMX6S+/YpTzZcuyrOv64sWLqmqtPb6+ + ePFiXddL7rr3fj6f13V97psFAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgkbUGAAAA+H5dWtYPpeiR + 9EsltSWVWcmcOe0fvLq7/bM/e3E4HJbtdNp7z7bdH//UMkebo71OZbXNbCNbT8uoS267MipJ+u3p + qg6pZG0zackyU+eh4go8k5E63959sh72jNO6v8qSzz7/VdY1S6uWLKlKVUtrfV37mllZjzWS2dLW + zJbDsZ37ua3VlixLW1trS6ulZalallwa17OSZa12Pt9mzCUZe5bD1anndPvqeLiurb169XkWGebf + 4JIP37btdDpV3f9VtyxLa6333nu/7Jxzbtt2SVwDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADw7GQ5 + AAAAAH4IMxm5D0b3pJJ2CYCO8U/+1t/5D/f+2x/+5O7zPz+kLUuNmvuepfJFEDtpecPgdD3EsWcy + Li3t5LS0V8ftV1drltb3Pdt6Ot2tyzHVvsNPCfBdjPP51dXVMZlplbEk6y9bffLRB6f9trKvI22u + Gde9pbc527Kf+k8+/Oiz9vmyrsthu7m7fXH9wacvPz8crpL7hnWN+7LyqFG1XG2H021fslwdPrx7 + +eq4rcvVodf+i7vTclzbeuw5fPrh1SetZ12eDmH+omVZrq6ubm9vLx9ba9u23d3dXT4eDofW2vl8 + 3rbtcvCz3SgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABPyFoDAAAAvHV/oQw9L2nUlmTkUpkeM1nS + MvafZ/npaYzTy0OWkZ7U1dXVp5/fLlu12cbltMc29cNab2BUzktGZZn5/FD/70+2v/mH/yQtS1t7 + 79eHY0bm3kt5FHgebduuZmpPZZ9b27Je//Kv/u7/9ekvx/JBarSZzC3jOCpjOfca597W7Th/9jv/ + 5s/+5O68345298lnP/0rP/vVq8/HnKMy5xypS986c2zL/PwXNx+9aKdX43eWj/79f++vtdvTMve2 + tdn3ltrqMPryZ2P84icvsn2QxSP0bzLnvDStX7x48erVqznn3d3dsizLslTVpW+9bVvvPbLWAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAA7wxNDgAAAIDvRyXzKyPUbd6XrVPZ0+cH+zzcng7bNvq5JdWW + l5/ffnC9zdOomTafLDlnkvl6Veuadb/E0xN75ebFVQ5bljUz1WYf5zVbbbKjwLO5vTkdrz/oaeuW + 9KTWf/g//y+Zp6z9fpbONeOYSto5lWTLuWdb/6O/9jt70pOr5PM//tOR9GQm/XJSkqSSJdmS+WpU + cvj0s//z//6X2UfSM85pM2mZaz69yU9/lusl25JmJH6TqjocDr33V69eJTkej3d3d3PO0+l0KVuf + z+dt21prVdV7V7YGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4F8haAwAAAHwvvuhZz6RSSUvGw2uS + JSN9Zt+vRj/PnmVrh+3udNr2/Xpt2UcbSarmQ84680srvo5RSVIZ62iXM9fR8vnIPCZLluyVSrtv + wKqOAs9itqurj0bPaNkr+8zV1VV+/vOMuyyXiPWaWRmHJKk9GcmStIzxR8kpOaZeZR6Sm2Q+1Kzz + MHVb8tF6eLmf1qrTnFdJrn+adck8Za20kT5Sa/7KkjFzdcjSkvYsv4kfi/P5fDqdqmrbtn3f7+7u + 1nXd931Zljnntm2Xj+u6nk6nw+Hw3PcLAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAImsNAAAA8HbN + h35qkqWeVFVzX7bOfZJ6VGbOI/s+bl799Oqw39zuydVx7fvexkxmzSd96dlSfdbDNV5fr7SZZaSS + WZlpy3qd2lI1K0kbs6eWLK+bzAZ4e2baQ0i6KlmTdcsYcz32JFkqbbkEq+uQmYyZqoz+J8m6bn++ + 7/3JSo+rVlWSmvl8H1dXH/3q7jZL+6yPfPQiyRjrvvaZquS8nz5Yj0k7z6SymojfaNu2JPNBkn3f + W2tJxhiX4vW6rkk0rQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAN4dstYAAAAAb9l88qYyMpNql881 + k8ylJZm5O+c0cp6HVvN8qiVrZe/7JaFaX9WuvgSz21d88y3uqmavqpmWnFtOS/t82bIcUzknLeP2 + dHs8bvNH2HCdc16atWOM1t7s1wO8A2pkjiSHWpOslSSzpbelZ85U0i7/1HwYtUvN9FpqT/Z+Tns6 + gsfjwpeTR1pSN3e3WSpzpOZsqZG2Li01MlryYj1mJiPb5cz2I5yJP7iq2vf98eMY47LzErrOkykN + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAu0DmBwAAAODtG7/255c+Vfoc53Nq5rz/D//gHyxzjKS3 + jK+Lfs6H1HVl1tcf9jWeFrJ7y7m1Wbld699sLVctx/Xm5mbJ/PB41c+vu/ZzegymVtXpdLp8rK/3 + rDcLfDvVU33JWJLMS5p6jKS+vCUP+erKrMy0r4hPf3nPfNxZ837LQx57ZkmWtOXpSfNJIRsAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAADeI+tz3wAAAADA+6aSllw6rEvuK6zz0k+tSye12rrkV5+lr/sn + nyatP8Sq29dUVOtLfdXXLTRXm1nH7JW93V/l1dr+m//jD/Oz67HPn15fJ+fMuSztsRT77uu9r+ua + ZM55OBzO5/O2bcuy9N6f+9aAN1VJRjIq435czjrc73wYkOOSu07SMtNmS7LMjLSac6QuB3/1+pcT + Z6X3ZSZjZM6MqjaWx7lXI8t4mLkeoQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPC++Zq2BwAAAADf + zf1jlxqp0ZOR9PnQpr67y0yOh+zjr//sry4jsyqzHk+sOZPMmr1lPn4z2+Mxr6tm2rxPwl7i2r1a + Dutt0g5bZm5ub1KVJOM7/Mw/rHVd7+7uxri/423bbm9vNa3hR22mzaz3/1XXuA9Uz5bRMlNJzctc + vczKh9PqMrrGF28znm5138m+NK0vYeyseZjJyf1VZktaT+tJ6rL9eGYiAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAfDvrc98AAAAAwHulHoLW/bFs/RBR3bac78a2tSxL0jOT0+1nf/pva7aaLTVqpGbq + Ep+unFtm5jKrHorUlWRWm1914d94YzNVcxlJss4cestpucpV9pz283J13DPW2z3beh++fuf13o/H + 4+X9q1evXrx4cXV19by3BHwXM208DM6Z3A+7+ThK05NeWdJSY6ZdUtaz+pKaSzLv/3dR836tx7E8 + kn4pWc/HIddSM+3h08P47WkzqYz2xXcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwnmi/+RAAAAAA + XkfN1JfD0CPjUrZuS8tMtjWj53yXZfvZhx8uM23WMlp7kqweNfc29pZemZVcyqyjvUHTelZmpbfM + yjKzzLSZmi3nyimpHK62nj4zc7Vmf6No9nOoqiR3d3dJXrx40Xs/nU7PfVPAm3vsT19eZ2qm5pNh + +nQ8jW9e6suTbHzV25mkxliyV3o97PrSiZ6fAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8B5an/sG + AAAAAN4vD1XUVl8qW8/kZh8frC0zc99rW7JtmXenu7uabRkt6anUzKWJfQlRj7pkXbOkMlPJTEt9 + c871S0Yls/U2RkuStWcZqaS35Lj1q3WMZGZLlqq9pa5reau/j+9Pa+18Ph+PxzHGvu/bti3Lsq7r + vu/PfWvAG6qRJclMWnrNmao87KmxpFWyZGSO5TGBPStV1ZNchuh9mHom/UtL5zJFKyPJrPSZLOOU + 6qklbVbWSpIlub/KZX0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4v2hyAAAAALxVlUvOup7sWdJa + crW22/OeSpaWrOnJy5e/s5+Pfa85Kqn5cMoljD3T5miZ91/U/NKy39qszLRxfy/jvOSXV9svro5Z + 1nPSWtZK9aq0nvRk/ob13hVjjG3bkrTWWmtVlUTTGn705uOkezLwHt62PBlS87JVZlpaS8vD60zL + 060ur1+6zrhfYPza9evxufn88QxEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+NbW574BAAAAgPfK + TEalJXVJpVaStowsyWwj25wZ1db0kXP+1X/2n/4Hn/ziRT/PmqmH8HRmqmrmuGdU2hyVJG3WSNrD + Ya/hcv4ylsy+1PzVIf/6Jx/8J//qf8vhakuWmWRf1nWmlrf2a/ghtNYe36+rx1zwXmgPJelKe6hL + XwZp6knbup7Wr2eqxv3IrTnn16ao5+PalTlnUmlbZtLuU9YPF6j7W8mvlbD5Osuy9N6ras55eW2t + 9d4vH5M8vgEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAODZ6f0AAAAAvGUzGcmTRHTLuGRURzJHRkub + 1drIb93d/Nbd7azx5XJqJWkzuW9OX/aNL15fU5u5r2XPpHJa8udXW47XaZflR9IvVdcllzsFeD5f + tKXbl3f+euX6YiZVj73qfHXT+mtL122Z84va8q+NP9PwW7jEqnvvSeac27adz+fLnqp6Grre931d + /V8SAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAz09DAgAAAOAt+yKEOpNqmUm739seQq29RlvG+P6b + qW1eeq4P/yRJ1t7Sk0pP1kpmu3xXySLjCsC303ufcyapqmVZ9n2/fGytjTHWdR1jXLLWrTVNawAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAgHdEe+4bAAAAAHgPtSTzYbtko+u+Kn3JRvcaqTlr/AA38xiq + HpVRSWrrS/qSkbrcYH2RvJ5fuQQA/AXLsiRZ13Vd133fW2v7vh8OhzHG4XA4n8+ttWVZqqr3PsYP + 8VceAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAv9H63DcAAAAA8F6bLZWRzKTuc9etKi0j2X+gW3jy + plcy29bX7C172y7R63nfte6VnmxPStgA8HXmnI+96nVdxxittdPpdDgcLq9Jzufztm2XADYAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAADvgvbcNwAAAADwXrm0q39DFnpmnT1j1BfJ6e/XqIy6XDmzWq8l + WTNTI5mXB0SVpCczGT/MPQHwI1dVrbV1Xdd13fe9tdZ7PxwOY4xL2TrJtm1J5pz7vj/3/QIAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAJDIWgMAAAB8b1rSUkndh65bch+8nqOdR/a+9h/iPsZDZLuSSnqW + m3XNuiT5IqtdSbWZH6qzDcCPX+993/c5Z5J1XZOMMZK01pIsy3L5at/3qrocAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAwLOTtQYAAAD43lRSmUkly2NdupKRnHru9m2OH+xe2rzf9tZ+cbXles328HCo + 7p8RLfctbgD4zZZlucSqL/nqMca2bY8p62VZqmrO+bR4DQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + wLNTKQIAAAB460ZyH+/sl3cjGSOZlZpJZnIe/+hv//5x5vHI70/LWGpWktn6OePq+r/6l3+QD7cc + 0iu9Ho6bWWcOSX3DWu+SSzn1saX63LcD8JdUVVVVktba5WOSS8r68ePjtwAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAADw7GQkAAACA702lX97MkTmTJG0k6cmpf3Ta1x8kxlyt9T5S1bbtcNh+efMqxyXX + S2/pyUxmWqpd3t1vPwZVdTqdHluqc05xawAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD4ZrLWAAAA + AN+XLyLRMxlPctFzZB8fnPdl/hAZ5jnGTDJnxnh1On/885/ncHiVtSctox6Pq6S+fpV3Umstyb7v + Y4yqaq1d9gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAX0nmBwAAAOAt+4o2dI3UpWD98DRm7Ovs + y/yLh75lo7JnLv8/e/ez5EaS7Yn5HI8AkKzqbt07d55Ba+2vSWMmbUaj59Be73AfQ0s9g2ZMkplM + MtOjyEamP3btdncVSQARfrRwAAQzE0mymslksr7PqpyBgIf7gScQEcDmt52PtcZms4n8j//v/xc5 + HyNaxBSXXO12irV+PeHWx+Nxnueqmud5Xdd1XSNi5Fs/6qXrBQAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAICXN790AQAAAAA/rA8J0VkRPaJFRcuIioge0cfWs6qI2uZ+XVtEVM/d9k9/9/fR26bH3GLU + 0yOmiIhYIiJieiXB1pvNZlmWeZ73+/1utxs7q6rq+dPCAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AOB1ai9dAAAAAMCPq84/vrQHP8NkVfb6JvHRVdWr2jT9un//tpY/v3sXx/gpI3pE1ilb+5wE3b9F + RV/H8Xic57n3PjKt13Udbd7w0vUCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwMsTaw0AAADwDOoU + FP0hSzn7eJAVkRGtL1P1549azohYYtuy1j5Nrf3hTdztYh2Z1hFx2oyI6DFFn15PsPU0TRGRmVXV + ex8PRwsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPEqsNQAAAMBXVtEi29iaKqaIiqyYIyKyR0T0 + Nfb7v9u/267LN6inRWRVZvbt7v8+Hv/Nf/j3sd3VEpGX9O1T3Tk6f4OavobWWu89M8d2RPTee381 + sdwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8O2JtQYAAAD4mtaIQ8QSEXmKjM6KiDliFxERvSLi + sPxv//m/+U///Nc3h31l3R7s68iMtSKn9jbyzz//FG82sVlHORl5CrHOiNbi9WRaDyPNOs8vorU2 + 9gDwzRwOh8t27/3edu+9qo7H4wtUBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwGPE/AAAAAB8ff3D + v0tk7x/2VEbEWn+/3//9ft2u36ieFhGRS8u38yY225gu9bQW0UYA9+tKtAbgO1BV2+32cDis6zoe + RsQIsd7v98uytNYyc7PZ7Pf7F64VAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAiIiYX7oAAAAAgB/K + dMqQjsiIaeRFx3J+KipijejRM9aMatEiWz1jPa1GgnXmh+2IS5HnMOsctUm2BuCzres6TdOyLNvt + NiIOh8PY2Gw267q+efMmInrvrbXD4bDb7V64XAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACJCrDUA + AADAV5cVEVEZEZHZKi651RmnrR4RPSP7t0iSHtOPeXq2aBl1KjIi2njiOaO1Afg92Gw2+/1+s9m0 + 1nrvEVFV8zxHxHa7rarM57/mAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8CntpQsAAAAA+LHcyIfO + y1MtokVFRmVGtP7sv8/kKcS6esaxTRHzudQW0fJDxHWPalF+LwLgs0zTFBGZua7r2Njtdq21iOi9 + T9M0Mq2H4/H4UnUCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwbf50FwAAAAC+XEaM/OrIkWQdES2y + V0a2HtFatdYr81YQ9tdXGcc2xzSdCrv/dESe6gaAT6qq1tpms5nn+d27d9dP3d3dvX///nA4bDab + ZVmqarvdvlSdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXGsvXQAAAADAjyWjskdGVEQfO2ITMccp + N/oQPeY1IrK+WYT0mKevGe/mKab5tCOvnq/+jWoB4EdRVdM0HY/Hd+/ezfOcmREx4qvfv3+/2+3G + nqrabDZV9cLlAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEBFirQEAAAC+rhFmXdGjIuq0Ky/bERlr + xJIVrb5VqvXZ2uL93GKerwOtP1SRPTKEjgLwmVprvffWWmYuyzL2HA6H1lpE7Pf7eZ6Px+Nms4mI + 3vsLlwsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEBEiLUGAAAAeEbXudUV/XCIqm0/xH7/ZrPd15Kb + 3Vrrc1exVsU8R/Ul6m22aBVTq4oRYt1H4nb2iKuHr8QISa2qy0OxqQDfUp2N7XES7r1nni6Bm81m + PDtN0wvWCQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwIVYawAAAICvKaNPI886r/6LiIy228bb97FU + rNH3x01uYz1+g5jPad7Guh4qasr/9t//j7HdxnLIKdaIjyKg8/VlWrfWRlrqCFJtrbXm9y4AAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAuEnMDwAAAMDXtkb0qIw1TynRlVEZ67rEZhtv9//9f/Vvczke + o0drh3V99nKWY7S5RUy7u9hso/fYbcdTdcqx7pEVUa8o0zoi1nWNiKrKzNbaeLg+/3oCAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAwOsl1hoAAADgq6qI6FHRI9bzjh7Ro0/zHG/fxi+Hf73kttrmbtdj + 2c75zPW0aNPa12mKt+/fR0RstrH2qlNhZ/2jf16DzWazLEtrbb/fR8Q0TaOtG166XgAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAB4efNLFwAAAADwI8qoD5vRokdE771t76K///mv7zZV7/dvt1mtXXo9 + l9bacTlM21izYruJ7XY9LNN5whxzV0SOUl+N4/G42WyqarfbHY/H1to0TZmZ+fhi9v6KMrsBAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAA4Fm8oqAiAAAAgNdgREN/HBGdERk9M6N67I9/mqY328123vQe + y/HZK+q9t2x9ic3dLt69i2zT9q7qQb96ZT8VbTabZVkyc1mWaZqmaYqI1lrd8NL1AgAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAMDLe11ZRQAAAACvQGVURouYKrIiKqJ6VK21RFZMsf/1l9jv++G4m2L+ + KZ+7nmmeM3PpcTgc4g9/XHpV79FPwdstIiqiR1SPiGev5qvqvUfEPM8jzbr3PvYAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAI8Saw0AAADwNVW0Hi0icmRan/a2iGlum4iIjLufdhF9O8/LEvG+bo71 + VWRfDu+nFtvttrWfYjlGy957ZrSI/JBj3SLa68q0rqrtdltVEdF7z8zW/NgFAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAE+R9AMAAADwNWVEi5bRoiKiRyyRvVpbs1VlREYtx23kpq/92DIi5g/R0s+i + WotouR7m4/u72O56rNM819KzYjoV3aJNkZtnTtj+yjLz0gq0BngpVTXP8+Vha22apqoPl5RxogYA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAOA7Ie8HAAAA4Jn0iDWyIvoaURGn+OrN/Mv+7WF51yPavF17 + j3rOn2gy2hx/OSw1/7H94e/iuBz6ISJy085FDm1U1545ZBuAH8bxeIyIPIuIeZ577xHRWttut1W1 + LEtEHA6Hly0VAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAC7HWAAAAAF9TRfQRYp2ngOi6yo6OXvHL + X//+D39qrWVGROQ0PXdB/RB/+rs//D91+I9DQSbXAAAgAElEQVSHt7HdvGnbiFj2+8oRtn3K3I4a + 9fZbIwHAtc1ms91uW2vH47GqdrvdsiyttXVdM/N4PC7LMs9zVW2323VdX7peAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAIsRaAwAAADyHHiPTOu/v6T1+erO8fbscj/PcDsdDZt4a5CsW89d37/7y8+bf + /e//86hi2e/n3a5HfIgYreeuAoAfTVUdDod1XX/66aeI2O/3EZGZ2+12pFlvNpuI6L2v6zpN0wuX + CwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQESItQYAAAB4RhkxAq0vesX+GPt3U2RVtWy1rI8f+/XM + m1jm9uc/bOJNi3leD+u83UVGRfRoH+VZVzx7yDYAP4rMvLu7i4i3b99mZmttt9ut63o4HPb7/Xa7 + jYjj8ThNk0xrAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACA74dYawAAAIBn0iLaiLYeW8vSY5oj4+ec + /nD307rW3KbMiOyfHOtv0uP92t//vI1cI9tmu40eUZcn40OW9XNXAsAPZF3X9+/fbzab8bD3vt/v + 53lurUXE4XDovW82m2VZRueXrBUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIAzsdYAAAAAX1/7aLtl + REa01qIqlh5//Wseloxc1yXbc/8+k32NNedfq8dmjrVHtaiIiiliiqiINfxKBMAXm6aptXY8Hrfb + bVWNneu69t6naZqmaezMzOPxOE3TixYLAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAicAiAAAAgK8p + r39wqTYejX+mjHi//w//7r/5h7tdPy4xEkDPOaDPWtLm7m6NjIyYp+P79zFFRGSPKaJHrBHPXgQA + P6J1XZdlefv2bVX13i/t27dvl2UZUdbTNG02m2VZXrpYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + IsRaAwAAAHx1GT3jQ1J0nv+LiNhs7pb9T8d10yNjmqaMWp+9npxqWWPt0eaI2NzdxSivR/Re0Xv0 + HpcSX43e+2VbWirAS5mmacRXZ364kGy323vd5nn+pmUBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABw + g1hrAAAAgGdQ9x73056Ku2W9W5e83+F5ZbXN2mLEQI+Q7RFrXRER68N6X4PW2vF4jIjee++9qkJs + KgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8Saw1AAAAwFdV9zKi22nn6dm+W5fduk4VERn1jX6c + aRVzP+VYn2rJbzPzM+q9bzab/X7fWttut733w+GwLMtL1wUAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAA3y+x1gAAAADfwMiUjoiYa52qR0R9w2DprJgqoupczNj74d/XGHJdVRGx2+0i4u3bt9M0bbfb + ly4KAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAL5rYq0BAAAAnktl1McPIyOiR1aP6NEie3zU5XnK + iKj8kKt9khGtR+sZMY0fiZ69kK9smqb9ft97r6qffvopIt6/f//SRQEAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAADAd02sNQAAAMBXlSM9uo//T7HVGZGnWOnKHiPxOqNnVH6juvqYKM/h1RnRIjJaxHTZ + /aqSrZdl2e12rZ1+4Doej3d3d9M0vWxVAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPA9E2sNAAAA + 8AwyKqMiKk5p1nHKt+5xjrVeM9Y8p00/bzG9Z6z58U9BGZV9jcro84f6WtSr+b3okmCdmYfDYZ7n + 3vu6ri9bFQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB8z+aXLgAAAADgB9Mie0QbadbnLOmK6NMI + ja65InpWfgi8fnZLi79sN7HZRUyXHO0eEZGnFOvqH6devwKZp5dSVdvtdmxU1YsWBQAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAADftVcWVsRXdAl5qqp1XSNitPA5qqr3ftmOiMtD+KTL+2e0l7MQAAD8 + OKpFxLjNnSqyR0SPqFwilk32TURGRIu1RW/Pn8KcU/vzuv6ff/hT/PyniIw1oveomKK1aBFzRIuM + UehrdMm3bs2PXQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwlPmlC+BlLMsyz3PvvbWWmdM09d6n + aXrpungdLu+cd+/evXnzZmQSz/N8yUqHJ4x3S2Yuy9Jae//+/d3dnfMPAAA/qB5xirg+bY/w5Zqj + 5qmOmZEVGfHM36aqH9fNP/zxv/uf/pfoFbvt9XNj9jqXFhGVH7YBAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAgB+MWOvfqXmeIyIz13WdpqmqWmsRIZaYz1FVx+Nxs9m8efMmIlprx+NxBBW/dGm8AiPW + elmWcSK6u7sbJ6KXrgsAAL6+023u6atSi4h1immqymg9pops0b7JF/Ee0XuPiHhzF9kjI7JV1Mi0 + 7qPajHj2gG0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4IXNYox/n0asbO99RMlWVWb23ke4NTxt + vG3GG+bdu3dv3rzZ7XYhFp3Ps9vtRix6RPz6669v3rwRiA4AwI+pomX0uMRaxxptiZimHtkjYqrI + npHf4KtUztu2Px5iPUZfq5bcbiKjV02nSuP6prxHtI/3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAA/jHnE0/J7U1Vv3rx5+/ZtRKzrmpmXlFn4HNM0resaEW/evKkz5xM+x7Ism82m915VP//880uX + AwAAz+OcFN0izqnVrSLWiGhLzx7Rs75pdnTPFttNbKbj4bDNWPqabaro/VzvyLPu364iAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAOAFzFX16V78iN69e5eZI4d4Xdc3b968e/fupYvidRiZ1pvN5ng8 + TtP0/v37eZ5fuihejdbaaMf7p6oOh8Nut3vpugAA4KvK0bSKfr1vG0usa/v415ie0Z7555llWds0 + xS+/xD/8w2a7rYipTT3iQYh1j2jPWwoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8KIk0f5OjVjZ + qlrXNSJGpnVmijnnc6zrOk3T8XhsrVXVbrfrvY+U65cujVcgMyOiqnrvY0OmNQAAP7CMiOgVEZFT + VPQ1lsPcrxOlW8Q3+TI+tfjjH9dlqezTPEdErz7n/WTr9kjWNQAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAADw4xBr/TvVex+BxCPKemRat9ZGyjV8jtZaZo6I65Fy/dIV8TpU1SUQfbxtWmsj4ho+qeqb + JD4CAPzt8v6jihZ9jf0S+3Xbl8iqPPVqz3yP0zOioqrieJzmOTIieo+as0X0FrF+XG+7Xz4AAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAA8OMQa/37NUJkL/mgVSXTms93/W7xzuFLjfPPJQpdpjWfL1PA + Ip9lnufee+89M3vv+/1+t9u9dFG8GofDYbvdVtXlnHO9zdcy1jki1nWdpqn33lobTx2Px81mc/mq + YvH5EVSPbBEt1h5v1//hv/y3/0VVRKwtMmLqGZGRz3tXnBm999hsqvdsLTKy4vLxmj6UGj5zAHyR + zMzMy83b9f6qGvvdUQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHxXxFoDAPBD2W63h8MhIuZ5XpZl + nueq6v15s0L5kdzd3f3zP//zmzdv4ip6ma/rEjY/EqzjnF29LMs0TZc9o9v4IL9swfAbjGzPvHrc + M6YesV/+k/1xu1ZE9IjMmCLy3P9bysrIiGqRPa+Lruu6AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AIAfjWwwAAB+KCOH+HA4VFVErOsaEa01ydZ8pnVdf/rpp2maxpsnIjJzvJ34Wlpr0zQdj8eqOh6P + mTnPc+/9El/9yy+//Pzzz7vd7pJ7Da9Wj+gfPTqufzwuc+89R4p06xnTtzjH5Eir/iix+qN5XSgB + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4HehvXQBAADwlR0Oh81ms65rZm6324iQac1nyszj8RgR + 67q21kb6cmZ+8kC+SO99WZaxtrvdbp7nZVlaa1X17t27qvrDH/4wYsUFivPjGCeSiuh97mtGbxVZ + 8QLnl2qnSgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACA36X5pQsAAICvaZqmdV1HMvFmszkcDiPZ + +nA4vHRpvAJVdXd39/79+/FGuuxvrb1gVT+e3vslrzozM7Oq9vv9brd78+bN8XjcbDbzPEfEdrsd + D1+0XviNsiIyosZWVERkRFuqLRE9IqaKiGiV9c1SpqtV3s7SzlMZFS+Rtw0AAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAfBNirQEA+KGs67rdbg+HwwgnzsxlWXrvL10Xr8M0Te/fv5/neVmWy55pmsSi + f13jQ3r5tEbEbrfb7/fj07rdbtd1HcnirTWZ1rxu1UaCdY1/MqLV0mokWbeKiugZEdGqRT7j1epB + bnZ7+KwoawAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD4PRBrDQDAD2Wk5Gbm+/fvI2Kz2SzLcp1S + DE9Y1zUieu+X98y6ruu6Zgp7/ZouMeFjbavqcDiMHOuxf5qm3ntrzcrzeuVIiq5TWPQ6oqNbxKbv + 5762PvpkxNoiIvq9oOlnUBGRUXkVX33eGnna07nbKMbHDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAB+SGKtAQD4oYxM66rKzMwc6bmtPXdUKD+OEWjde8/M1tq6rmLRv7qxtr33dV1HdnVVjTWvqmVZ + WmuttaqKiPFxfumS4W+UcUmJzlgzIlrEWiNkuqoy2ila+hkryIiI3s4B2nXO246IjN7OdYZMawAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD4oX15rHXLqDptV0zT1Huv855TguC5b2b0emSM+NDh1Pcy + wr39D5/6HE9Enn3mYGOEzOifnSo1DvnyYp8a7aFb448Vu857+/x1uxz7xLO3/Ia/Dk957tywisgv + aeFv4fTA38AZiL/VuCOtutyarsvyVd9XQrJ/ZOvS85T4Gn2tjPZhz1fwvLG1X+5Z38w3vw5W1bqu + p04ff+nabDbv378fmdaXiPq4+rJzOBy22+31BnynPvw40iJiipYR0SuWtsvtVHPva+WU0xTLoX10 + wNfXKjKmtlbUGtljbRGRcxwi9hEtYhc9IyrmUx2v/CvhEz9l+B0DAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAL441jozs7W+rpvt9rg/jCiyaZoumWSZmVdZpk+HIseNPKEviWS+Pur+xqP9H82ffvTh + 0+PcmvTRV3xrqNYen+s3pCyNRXsiIPxh5+vthz0fHfCe1lqcIiPlQgEAwNO+t0zr7840TcuyzPMc + 5+jraZqu8633+/1utxvbMq15HTIiWkTPESNfPSqmHhFzxL7OX8Uz4rm/VPeMyorokT2qRUTUiNqO + HjFFfShjlPLKk60BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgFu+ONa6eq/MqKi1T9PUWuu9f8i0 + juuw5IiI+uxgpkus8qMByZ8Tz/w5qh5PjL6M/3QZt2a/PuqLKuo3gu1uv95b++M6b2ocnpn91gQP + wqmqYmTFjQNz5JOfk61v1zP65L3ZX0nK9fcXK/gNlq2+sAXg9+e5rwBfGpH5XV6Rvr+7CPiNvq83 + 8/hqudvtlmW5fK1YlmWz2UTE8XgcG+u6jm8srbWXLRhei56xtjhMEVNEi2gRFVExR+xOz0f0iIwb + 3/4BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgB/HF8daZ2uttV7rsixxTh27eJhlfCsO+ZGRMyPi + VgzzZ8YkfzJQeczyMKf5ctRvy2N+NN/64by36nno8+Oon67nCSMHrh4L4n6485XEVH+p9r3F+AEA + wO/ZNE299/1+HxHzPLfW1nXdbDZV1VprrS3LsizLdrt96UrhlamIyqjIyKiInCL6Kdl6k+dM68rI + iB/y2z8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcOXLY60r1uMyz3PvfZ7buq7rWvPcluWjeOAR + 1lz1BXHIo+e9mOfLw1sxz63N1xnMY4wnZzm/jjqNP46dpjnOcc4PM6qfqPlejnVmO4+QcZWifWsZ + bu3PnJ6e+omSHt1+tOfD7OrW2qPH3hrqeon+xmjwF9JeugC+iBhyeL2+7Hz7ii4kP6jnPd9+f3/f + L3p/uhjBl7r3EXvqQ7Sua2utqjabzfF4jIgRZT1N06+//vrzzz/P83zdeZp+4/cm+L3JiKzTzyU9 + YsqIdoq1Pn2tHz9jjNDr7+9SDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB8RV+c6Tvipauq9344 + LOtaEXHKtD4HHGd++O9pmZkZrbXWTm19rJ/drmepWsfmaDPzHM3cH7aZedlurWWOXOq+ruu6Hnvv + 16NVrVX1aDueHW1mZtYY7d4IEb2qxrxjrnvtw8qv1uHm7E9UdRnzM9dhVD5Neam/92W8insjPzrO + 5/TRfjfta/djvAoAXrsvvn+G37cv+8hst9vee2ttXcf3i7i7uxtR1j///HNEVJ3idnvvMq3hC1TL + yqm36DGNPOscOdbn71ktR6b1h+9dn/pJBwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4pebfcMx2 + uz0cDj///ObXX99FRGTMc/QlzvliERFVp4e3UpAyoypGp6oPybvtlIedERWRVXU70vqktcjIOkdu + R62Zl3nvJ/tOGf08Y/XlVMz52YyKvESlneqJUy0ftRmx1qnPGCejMms8H9FHDRV12nFKfb7ftnP/ + 0afqdGwbc1Tda6/X6mHboiKrV11Gu7UOLcZAPSqqlsufLqNOq5enVT0Pd2PGjIr7VeWn6tTeauuZ + x//SVLL6dBcAfkiSm58k5hM+3yM3lOMM8/jXvMPh0FrrV18Cj8djRMzzvK5rVS3L0lrLzNacqeAL + tIqpt7lHrKefF3pEy37+ESMyoyKO4yOaEdHdDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAj+q3 + xFofDofM+Kd/+qd//Md/vHuzPRwOVX1uU1zyoCPWdT0el2VZel8fHaS1tq7rsizH43Fd10tuWVVl + ZmaO7d57772q3r17d6OczMwRb7bf70fm2Tj8Ufv9flmWMeNlomtVNQob3aoeD/bNzOPxeDgclmUZ + NV+PcKmh976c3VqH3vvxeLysw60Zh7/85S+3nhozrus6RhtD3VqKsfLH43H0aa2N1b4cMh5e1uHW + pKPb8Xi87vXkK+BJzx2T6U8DvBjJiABXqkX276L9zowvdxGx2WzGl7t1XceXx81mc3l2fH/svQu3 + hs/Xo0XNUS0qI6NO92e9R4toEb2ijZ31/N9NAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIAX9Fti + rYe7u7t/9Q9/FxE/v/npFJb7cShaqxYR/bmT0kqM2StzCfy+xIc/EeZ9CQUfwXWXyO3MvIRwZ+a6 + rofDYaR035p0BJYfj8dLUPcl8/sy7CVJ/e3bt0/Xf8kFH9nnt+LD3717d8kLv45sH6nqY+OcC75c + dj40uo1Jx/aoZJ7n8fDeOlxy4u9pbbqsw+FwuLcOj9Y/ir9e7bH4j67DrVjBW3/HS4erOPm195vJ + 6JmPPNVajJd7eR2/IVg9MzLjxrKdRn5i2E92GKYpnnh1lzIiblZy6XZvkOv6v3QdWouqD50v6/no + vE8Meyn+MlpFRJ4z8a7a8e+9Pdmir6c92SIjIj/suTVOZFT/3KPyRj2XEa7bbI/vf7TnJ0f7ze11 + zZ8/8r31fHrdPnOVnl63T9d5cvOd/fC0cL3/0aee9skDf8PIX3TIE6fW3/Barq9TY2dr7frhVzFm + GVfMp9ftb5/30fqfWLTLpPcK+Fr1fLHPvv98osJLOu8TB9469vNn+Ru11sYNxr3pfsNcv+Go33wG + eHq0iy/6+I/7n3s7v+hs8KBzi4qMKSIjWtVpz+e3LefIXpURWSOf9urZMfLD/Y+1kVmZU9ValSPl + NrMu2/fa1ubrnpc2c7psXz17frWt9d7nuS3L8vSKXRZqZFoP4+bzch5YlmU8bK0dDoftdhsR+/1+ + t9uNAzebzSf/NPCyLt8Qx/Y3yJFeWy6ZtdtEZkTtl2ObN1NEr96yfXcR9wC8KtffWy83z+MOcHS4 + 3KGt6zpN00vWCgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQET8LbHWZ48EWl/pT2RYfh2nqe/HrWm/ + z7ZqBJy2NlWbpnkTVZuqtbX50f7TtOl96T2u+1St87ztfRmReyNCb11rXY/TtHl0nNGnKi8hfK3N + rbV1Xe+leA634pkjorU2nr2Ol76VxDmGvc7tvkx3PW98HFb60DzPl9rujXMJnB4Roeu6rus6sv0e + Gj2rZ8Xa14jsLec2RfWM7FHtXpsxVayjf/XMVlFttG06hSn2NSrWsf/WOFPb9FrGjBnT6DmOGntG + zzHLqOqRcU6vt0ZC9rqul4W9zjq9LGZV/frrr7f+LtfB6iOT+4m/+1//+td4LPxymqZLGvoYbVR1 + L/7zYgR7X+K94yrN7vpNMkYYHh3nEnN+bx0u74rPX4fLUox48uv1fHQdHu0w1u3y9htGkfXYJ2NM + +rD+65jbsTGGuk61fLgU579j7/0qLTseaU/PPmwzMiNb1EhlvmofHWfeRO/n8O9LxPi5zfbxjI+N + 8ESb+XHN9VGdjx51mfFScz2o5KP2yapurtKD9nodro+aru4sRsZ5fTT5/cjrUwj61Zsq8/H9TxtH + RWR9dFq42f9BoHJc1/PJQzIjs2XWuj5+wGdWPsa5uj4+sj73LhDjld6L120tLlfDJ6c7jXx99bxX + 89X55NF6Hlm3zPq4nE97EA8cn1z/6yIv69b75bJ4qiSi1e0Q+Ovxx2s8j/Nl9d/L6/14zA91xpMv + 6elX+zDz+4kQ5Vv7x3XqYZ/P/2RdbnXi817UMM7n9zrfu4f5nHEevPNP63Dr+vj5o92r5JOdL/cJ + D/8uX/QqztpHG9Vq3B5nq8qxnZERI+1vGnuuDjy1vc5XvsqMzJw/7D+PnBGXcR5vT/fAOT44mRkx + XcV4P3JU7/18Uv+onqoPH7fMzJwv79t1PVbldjsfDofxtvwNf8eqWpZl3HXc3d29fft2s9lc7nvf + vXv35s2bOCcmSraGh5YW/5Lxfx33/9nUok1za/t+nFtr2SJiiqiIy8cbAD7fSLAed3fzPI+ferbb + 7eFwiIjdbrcsy+UO7YkfXQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPiWfmOs9YjdzFNS5Yfs6nZK + bRvpFP2052bo9dczZtF+923mFFnVe0SPqhx7WkZVZD1sazlGrK1atsiobNHXXtH78TBCkescgdyq + tSmj+qPz9qVnq6yW2SNaZLWorB5RUZV5TqbNuAqVfcSyLNWzWht9p1NqX6zr8mj/Nk1V1fKcbnvO + WK1TDOFpzvPMN+ddD/s4Jw3ee+NHnYODq2XEnDHPU/QbMYEjADuniKgpM1tmthZL71EjnPajdpqm + qogpqzLaCAhvEX2/P0SPaP2U61lr5pS9Mtqj49R6jFpbtKjeWovqFVlj+7Qa/bQ4U54DESvyXhu9 + V1ZtpraZtuf1/Cgm/PwqT8Gr//pf/f2jy3Adz/kwZfOhR+M5Rxzj5ajrYMsnEnpGhPNIc7wMuNls + Hu58ImR1mqaHlZ/jJx95dbdeWu/9snSXfPSnY9rvLcLl4b1E7bhcFR5znV0dV3++S6z1ZZxR1a31 + vMRpP8w9va7z0uGXX365XdSHhOyRpf3EvPv9fnS+Fyh++ZNd545fB5Y/Oul1vPf1S7j8HS9R6Pv9 + /tY49SBb/XoZ7/n111+v1/9S3iWm/ZL4fnm7ftE63IspPcec14izimjnPOAP7XiJ63pc16paqzKi + j9DliFa1Xre//PL24QiXcXqPdT2O0XqPqnWaNo/2/+tffx2p25d1Or2KG4s83g7jkIhx9eh1u/8T + idrn6T6Mc9p6rN1upt7X3uPD3+GxOqtHxfpE/dOUVXUOga6qU/+pxfVf+LJdVSMG+l7bWkRGRvY6 + X8o+nIweCZIecdUPY6ZbXK/8mLciot14AW1qvXpfTyNURPX+IQl+5LhXXfY/rHy005R1vgiP13jq + f6P+x9uTR85z9z4u16fNh2enJ1zO81cnzPuD35roeue6Hm90fnys62vZdZD2+V360XRPnGd6f/y+ + 6MHsn1iHy/mwqkYNT6/c54RMX49873Xd6nku4KlL82dOeu96cVmNEbrer+r5nJdxfZ2q6v3e1fkz + y6px/j9dhsYYn7PO9y79l9jvy9v2Msj5/byOU9BvSyUfRo71yE3cbreXC9C4m4qIkZh4OBxG1jVw + 7dDan3/e/Nf/x/8a87Rfe05t2+YWaz8c27yLiMw2RWs5rnDP/zMOAD+K8RPZZrOZ5/ndu3dj5/gR + 4O7u7v3795cfDUay9UvWCgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwNlvjLWOiFPK0TeIrP4c2bWv + os2RDpwjgm6tXpkVFaONWO+12Ub2ZYwEvdM4FW2KiClitBWR2SJzOuXkPZh3mi9h1SNvL3otURF1 + isWNq1i+J8zzfIlyvI7mvRV/ex17PIxDrmP/rp+6VcM8z9fdLgdeQojvhVDeil085yn2czhjRaxX + Mcb9Xns87jMzYr23f7fbnIs5/UUzKzPP8ZD328xTFuhIxb7eXxWjnoi198q89I/zvB/a1qaIvATc + RvQxde91tadF9BGL29rjcba91wjNvRyVOY2Ixkf7V+Wl5yVwt2qdpumq53iLjvH7o+OMWcYitDZf + +i/LOsbPrMyptcg8bT9R/2XPdejveXEhHzgAACAASURBVKl7Zqtazwv++OtqrV3qucyVWb3HjXVo + D9fhYfzwaZzoa2Vkj2r32pZztvp4f0b24/E49mSrjClbZbZsdY6ovz9O7xHZq+flqLH/sudqOyP7 + n/70p0fH+dSx9/vP07bXsi5VsV6OvbSj8qjWa6meFWvL+dY4FWtfx+now8qsS0X263FGn3na3lrP + sV2xjpozpuv9D9tR+WivX++o/96zY7RPrsP1vNdrUrGuS/XeR6zpQ5fz4SWX/dFs9cv59tZ58lb8 + /K2M29H/crasqpHkfY7fvm+Ep46U8Ydh3g/9y7/8y6P7rwPL17On48NH0Ph1qZdM+sslYDm7df5f + lmVkll8y1E/n349fyOnaUPXu3bsakdQfRzqvax/b67ocj8u6LiMavPd6NAL6l19+rep1Su++XAgq + ziVU9XXtI5P9fGF6ZJx17Utf1rX3vl76VPXWpjH+2NP7uizrshxvjTNmGTP2Xr2v1yHv9/pX9V9+ + eR8RH3KvL+2N0N/Mj/Kn713lH+3/qIe5v62NrLjHJ3508Kq6N/45sfhmZPH1J+b6bXnjE3lzqPsx + 8J8q9dY61NWf57rPrVeQWZnx8EPw8Nin069b+zDI9Tq0dn/q8fBW/a2NEOsPy3Vv3tsv5DpT/Gap + Dz/vlw/yZftqrpvnz+v483FvMK7mT7/fHpZ3732bGeMeY13XNkXLtiw9IjabaSzFrfFvaa0tyxIR + 0zSt69pau5z5e+/jbnm0Mq3hUUuLP2+n2E3Rl5zmGNe0irbZfMiwzsiK6fTc2Pv4V10AuBj3ruNu + 8N53//1+v9vtxva4VTscDu7WAAAAAAAAAAAA/n/27i5Wli+t7/vzrFUvvc8+82dgxgRGDBAwcSBY + oLw4UkYmeAThInEsOxcRk1i+iAaHlyHGkaMkxBcWIcYiE5zwIntMzAUYjAgDM/ZVFEdKFHHjXJko + cW6sXCE5GIf/nP3SVbXWenLxdK9Tu7ur9+n93+fsfc7+fvSfUp/e1atWVa3qrr2nn/UDAAAAAAAA + AAAAAAAAAAAAAAAAAAAAgMfg5Fjr5eDf8vLH2+i3zU+MGKT780hyxO+qxu95rt5SGnS1H/xc26nJ + fDsZz0e26z+tq5mZatgPCzySb70UF7r0vCyECNb1d47AUnKhZ/jt99Abr7GCr3Ic6kGYt3MkJvbg + T2tc914642J87P6T8/jtnfGwnOBY5g/MslkRKTG2+82bSSlpIc65xpP7tjwlehOMWP9Zlx4uKWLb + dzfzf/rSrNSe1Pjq7co7/xXP8FY175sHZsfYeod9nVJqjLQd7L+371v0dfyxajTbhExv++P9r4fu + xtL3fdvCy+juGNuF/m8SUmej2lQlBPUj6bnmZtm324RG5EAc7Oa4SRErZmZls92+9eOgZtnPj8zW + FJGd5WbARDXbhvKpiRUTretoiGYmYRvcfqidnLMU24SOS1AxUVPdfnbt999ysKJBRXQbCh7McrEi + paiKFhOxKL5dNTu83TSuawS4qqiYSlGzzb5IUTPdZqGbRd0P1lURkZwnD0FXKRqiiISgqp79eTim + vW5XxMSKiKkfuGKqEtSPwGYHcz7cfylJLW+6pS/fKHKeLBfV6EuREkRVTW03pnejFBVRkRjn7057 + 73vbpS7ciZTt+qoaXmZjmy3lD1sWsyDmwagi0gQppTxbHY7X8miumvrsV8GR2Oyv/ZqPHd7uvAvb + PG8z83yvfU3TlFLmOdY7EeDeeV8n53wkPrxucf78/gdBKSWLba6vvTjzTeS8xBq47s8sxah7ULpH + vM+D5Btt5mPSLPuyabr9cVtm7exvZR4ML1pKllymGNqD/Ymhrf2Zx8DXIPl59PtivP324O0fZ08W + n8eHHzzatvWlL33p4Pnqus7bmaZpmqYaWL50fi8uLuTQp2eNGa5J6j5Udq6vef938tHraK/t18FW + Spmm6WA7/tOl4yDb3LvqxYsXS8fBtnHyfhy8b0s3kC9evKhtzrdVH9ssUd7MTj0ONUR5m8q82cGl + dspWPRLer7aNpdRM+dpP8ZD4zSeg+IaO/PIlTRPMbN6Ozt/xdi72vWfmP/LtmomVYiIiWXX74bm/ + /s349kWbPHsRlVKkSOlXcZrylPI8OPwmP7O33G/7wDazGGMdnP4+WYeHn6/bugg8LSmEF9GkibJ6 + VkRaES0qGsq4Du1qcwH6pX3krQcAgD3+q2j9xdbMxnFs29Z/3fZ77/o7b9vu/xEPAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD+DkWOubPPKoLGWPich+oOZ9L58Yj1R8a9VkxJ1Q + 5KVY0KZpDsZOe3xgbaqusxTPXDMI91fYDyXdT/2sduLxagdqbOSSnUjFmj+9E9q91P8ap7rTWs1V + rf98lW7sZ4ovxXLP8r9vbGInBrUul+ID6y7PX+7RgyeNBz/O22jXEkJQVZGQs8dJ7iY3h6DbfMQb + y5TGety2Sz+SRURFbG9Z05plGzOZVTWlsR4HVdlm6Tbb8bDbH1XzDW7zszfPeMub6EfJnjO97c+B + dmr/VTUEUY0iohq3edhWT7Lv77bl3aVZFlGRvG3HPxFCKd7/A8tt0vb8Gcl5mA2Jel7i0nkpJc3O + o9b1S0mzcfJyKzHGg+dlmoZZOz5Kb2zLn/Fs40289KH+dN3mo9BDMUsxPyPbnTp43GS7v1afadvW + TLcpxbkO4+11sXs827YRiWYmEvwS8SOwvRz8KBV9GV1/+Hi2bbPtvJTiIaxpdj3uXxeyc0V4gnW9 + fGtPfLvbGN3D59GviHoczCzGYBbqtTO71G55fzaTmhhtZp50tf+q7Xnc1XXNLCR305LsvW/Pt1sz + ereHwrPqD7c/TS8/d0TEA923l/PB9o99WNfhLyIiUWbxwztSGrdjyeO/fTyYqvpullLfgTWEw5nH + cuO62+3ptsMv3w+jWdlsNIvdWOYyBgubdye1GKLvSM5J/J3t5nJz+LWoqKjJZil+/crsE8HfRXOe + Ntu9uUyS5OWn3o32VdR8pAUT0dhIsNasbuvG0vx9TE1FQ6Micft+la3Meu5XnPn43/8cuXHo5p71 + /fadpNSPvP07DdmO/K/4yJcdPF8746G+Yd76eb3/fD3C844txai3bVujr+cv3InTrk0tJdKFEGqi + 8869Su3Y/MZgKa57mqaDdxdL7yeepbd0F1GPUu3Y0nb9OHj83nxbtSf+ZN3HpePg97Fz/vw4JA/D + no8T2d6Pzfvp6yzFZvtLPIF7niA+7/PsPdY8/nyf76aZpDSN45TS5AHb/tL9j+Dr63UpuRQzK/Ui + FrEQom/Qc89Tmjb55lb6vn/x4sXv/d7v/dIv/Wrb6jRZ14VxOPmXmrZtp2lqmial1DSbO66maXzI + +ZOeI05cIrAvB9Hz5xJUsrUiaV36PpSSQ9+JhU2U9ct30Lf4zw4AgIc1TVPf9/44hOB33aWUEMI4 + jl3XPWjvAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAsPEBY61fCiLbqDPZ5C6b + iAQ1/+GBZNl7Wj4lmwP7Fu+4yjYoyzxmT7dxhoeHYhrzfnKhqqgFj+6VWUqwiFhZiMeO7SZN0ERl + G/1osokU3Ys/DAtxiSUXuREbuRNbu+tlTvPNPYiz5NGXkduisrBdmYWM1VTEbePmL/Jg2p3Y6R0x + hJedn8dJLvV/oTe7e7ecGv5yB2YZ0jtPbnfq9njL2Ghd2UNX/YwvrT+PH55ruzjbbjGzUo7F35ZD + AZlm0rQv48bNSjHzgb3UTt6mhFaeRFtKnid+ao20XMiS86TGGt4rN8bkrv0w0cpjTXdzgJdjPnfC + WXearWewhnS2S7Gps5hPEdkc/UPJ6POYz319183TOm17KA4FIR87v9P4MiZct6sdjKGd98puxr2b + WdnG0Abfk+Ax5zpN09J2vVc13nveWj0msnxFuzRNtfOqGpvG/7UUk2x7x9NmMfMubg+CLMct3+jV + 9l1J67tVfS/aHsZtDPZef7aRtyGEZjb2pk0s+n4I8+HzMoxT7ZiqatDtO/nh9cPsPXMb3Ohvp4fX + 9/efm4qobuPbdx0/ayJqdmNTGg6vr3Uh4leYf1aEoGbisbLqueJ7x2ouxHpmbrx1z8fz9toWEWt0 + 4f1QRcVk/lFiIiLNUmx5yQd7ps2Bi11EysLneLf5/Np927GcdHt34ds6fr1bsZ3OmIiZxVlc8eYQ + qZiZlsPjf8m0fnm9h03Yr6osvp/YwvhpZ/03HytmujhMZDOY9MbHgaoWP/6q3p+gIjHIcuz6tL72 + B1FV/NoU0aBpGmubqupNWVBZ6H9OJiLqme1+CPYvZd20KNtxsq9votzMwN6O2MP9L9OmnZ3jUB/t + HIclZRpVpNFZoriqiKSU6luWqsagpkFiOHI869t42Daiqqvnz2ybrj1ff2fc3hq/fXBnlz6dj7RQ + d8gsm6lZFgmqphpFikjYX/qaO8/7M6pR1eozWfIwju+9994wDKr6iU984jOf+eGmkXH8QJnWIlIf + +Mdm13VXV1d+SMm0Bg6zcHW1Fu1EW52k74KYhBiT5LJ954gqcft5a7L7oQkAwEExRjNrmmYcxxhj + 13U55xjjNE1t215fX7dt63+D6rpuGIYaeg0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAIAH9EFirU20iJRtGKQcSi0sTzF8+vWxsEm2fifoLN7vSGxqXW2efBxC8H960mHNOzwSL+3x + gfP1zaxYkYV03qX+1NDieaLt0voe67K/zk6KYU20LQtxqr7+JpZybx/n0aR11w62Y2Lz9efHdmmH + dwJQa4d3lvvr7LW0u9rOyvPxsBRjLLNdmx+N/V2+JQY4pXnuYz3+S/1fjLveO49yNA65xlLOO1xD + OuexnZtzJIdjLOt2bz2Vx89vve5qqPDx8MvjP617Md+Rpf7PVzh43l8ehL2EzmqapvkgnMdCH+n/ + Po9Wevm2sDT8bu7pvPOyfV+qz8/DSpf637bt/IzXw1JPxK0jynkwdm2qbNPTl7a7NNq9nbo7O9fa + weNwMDh2fu7mqx3vj2wH9svtHsrvtUOx5a7GvcttY3W+uaW9OLj+/vuYqh6Pmz24Czst7Dx/fLuy + vSj8fUxEYox19B45PjvHZOd9o3441p8eeT/cGRuv8r6x36ud9WsjS/3POe9sd975+eXv+3X8Qt4/ + pEsdW27icPs78ef14t7/HNnsxULzHgO/6ZjezB0/2J16LuZx8yKxCZv7ndnnpKoubViD3bzvKp6p + 3bRx+zZVNqHss+3uC2HnOJSyMD7rPh5km5eLvDw13r2lT6La5u4pPngcjt+3bI+Dvz2bqsYmmkkp + xbxXdkv/m3Z+3q2+Qebx5X3v/IDXt8Ht57L/SJdis7dr3niulIPHeWlHNz83s1L8U9Vm9wPez92l + atlu13dwkzq/fcaPqr/HWiPSPFuNw7WYqTaf/GP/+t/5O1/8M3/6z/zu7/1/+x9Ex3vpsYjTNIlI + 3/fr9Vq2WdciknPu+97vbVJK/t54vEHgUbLlD4d7UEPf1fxtSZJkCbFu2GSTZa0ii58WAADsUdVx + HLuuq/+U7edO3/chBP/dNqVEpjUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMAj + cXKstUnw7N2aAyplG35mN6LIihaREF6GXpfXtsTbyrZBhUfS9myeMbldrfgz24jHnZTQJVpjL2WT + IugPbSccUfeeOfTTWQ7q4rq5xhDurHPznya3pGjW2FORxVXnzy8dTpv92G47XDuNHo9KvdWrrHZr + Eq2V3aBKm+/SjR8c21DQsLNObWehY0stLcT9Lqw9S6Wcn9L5Vo6lnB7Y0G1RrLdcETdf/oqn8taR + cGs7O1f0y6t4Hto6C+pcau7GFX18u7MNLfZn731msf8H21QtCxfh0nbzTuxuTTaVvSNzmxsxrbcd + t2OX2KFdeMV29l+71//DeaXzt5m6WNrwkRNZ8stVXuUyqrt38z3l6Pp285/yCm/fy1u+5fhubd6v + 5kzMJOjmFs6Kt6G3DPT5Rrerzd/6bn3t5nWzHX6VwXlSrOyRlZcS7g/GtB+Jxz7JsUbs1vG8bcP/ + t3eojh88lSjy8lW3np2lT7GS5eXYeLn2ke3OR/XLV3k7KnH3kLzCPUlt6vDhfOUr6OZFd+z87h/b + G9fI7Nmjrdw4Dv7Kehx2mn71nmwut537wJvrzWLFbzk6B4fonQZ/kdk7yCm3h69Ei1meVLVv+usp + /XPf9I1f/M3f+L7/8Pt/+7f/r80mJTRNIxZSTkFDkXG2sRtNeYK1iAzDUJ/xlEQR8axEP27+oB4N + j8SuPzptB4APwIfwfMxtfxN7gMhoFVFTMREp2oiYSLAY2iy2Cbve/IHFP+MakXJr3vwj4dd4KaWU + 4n+qmr85AADejJppLdvf1OaPfelB13gb+W8o9Xfw+f22qu587PqN9zRNTdPMb79LKb6mtzOPQgcA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG/e/WUUbeL9ws02ybQGAAAAgCdKpfRt + 18YmpzEEyeP0tV/7tT//ub/+3d/9x2JUEWnbNqWUcmqb9tYw732en6eqMcau61S1aZqcs6rmnH0d + D1C8r8x74DU4eeTfjZpvqnjGtWgRkWCqJmqiNxO4t3/keQt4br1nWo/jKCJkWgMAcF9KKSklz672 + z1m/zdatGKPfZjdNI9uUa1Vt21ZVSymlFDNLKYUQfCkiwzCQaQ0AAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAwMN6a2KKAAAAAABvF8+xE5FpmlarVdd1V1dXX/M1X/PTP/3TP/ADP6Aq + 0zTEqCJlSoNJFo/dtRNyfmOMOeec8zRNIuLJ1r7FeR9qDh+Ad8w0TX7hl1K6rss521EP3V8AAN4m + IYSmafxGuuu6cRybppmmybOrRcRvxUUkpSQi9aPW465DCL6mf1I3TeMre6Z1vWMHAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABvHrHWAAAAAIDXwsxKKW3bhhCur69FSinpxYsXq9Xq + 05/+D/7yT/yXbRtzzqomUto2ntq+Z1rXbYnI8+fPRaRpmtVq5c/nnEMInnd7f3sG4LGIcfPWEULI + OccYm6YhvhoAgHtRSpHtp62Z+R1113WqOk+w9gdN0/jjvu/9hWdnZ6paSlmv1yG8/H+jVHUcx7Zt + 3+zeAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAl4i1BgAAAAC8Fp5UN46p61Yi + klJarVZnZ33O6dmzs3/nT/7Jv/nf/40PvXfmCXfTNImISNj+d7uaad33fdM0InJxcaGqHmVtZjln + 74MnW7+GXQTwwDwjs4ZullJKKbbsofsLAMDbxD86PazaP2Fle2sdYzw/P5ftPXkIIaWUczazYRhU + VUTW63WMcbVarVYrbzDGmHMex7HrunozDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAA3jxirQEAAAAAr0XOueu6YRhyzqvVKsY4DMP19fXl1Yuu60opn/jEv/bFL37hIx/98NmzNsST + 2w8hxBjbth2GoZTStq2nWXddZ2b+05zzMAyyzb4F8I4ppYzjGEIYhmGaphCCqvryoIfuLwAAbxMP + tPbb6Rijf5j6kyJyeXkZQui6TkSapvEnu65rmsbMmqbx7Oppmuafwn4DL9yfAwAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwoIgNAAAAAAC8FuOYYmzbrsulrNdrMwtBnj1bfdnzc7Xc + tGE9XH3913387/1P/+Mf/IZvkCIqolK2/8mt8bOllFJKSklE2radpsmf9wcevBdj7PveV36tOwvg + Qahq13Uppb7v27bNOatqKcUWPHR/AQB4y1xfX89vp7uum6apaZqcc9u2McZxHEVkHMcYYwhhmqaU + kqqmlPxGvWkaT8JumsbDrf0Tmc9lAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAe + ELHWAAAAAIDX4vnz51dXV6WUrutEJMZoZtM0TdN0fX2Zcz4/P5+m6ezs7G//6i//qT/1b5/aftd1 + nlPbdd0wDKpas/FCCKWUEIKqtm2bUgqB33+Bd5CqjuPYNI2IDMMQY5ymya/9gx66vwAAvGXOzs5E + xMxyziLiIdYppb7v/cbeP4U96LrejTdN4zfkXdellHLOqurB2KrqK3N/DgAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAADAAzo5NsATgFQlhOARBfPkMAAAAAAA3DiOMUZPmY0x1uw6VY0x + Nip5HBqVYKXv25/4K//VX/gLP9K2sb5cVVQkiO4k3nlStWxD9eoDj7j2Zzwzz5OtRcTXn+PXWOCd + 0XWdP+j7Xg5d7wCA18pvq+rSw4/9Zqw+SCnVZ/B2qf830M4n7DAM/iClVJf1hnyaJj/jfqM+/3+R + QggxRg/DltlQ8Ua8nfkoKqXUcQUAAAAAAAAAAAAAAICD/LsZfEUHAAAAAAAAj0QtJvIqJP/LFQX+ + AAAAAAAAAAAAAAAAAAAAwCN0cqw1AAAAAACvw6c//emf+qnPxqgebm0mMaqJ1RnWYowhBDObpqkG + 2e6bZ+aVUjwbb56l5//052s2NgAAAO7Ab9XqzVWMcRzHEIKIDMMQQliv103TEEv8lC3dn7dtm1Iy + M3+yaZqmaVJKMcaUkqr6S1Q1xrherx9yHwAAAAAAAAAAAAAAAB4T/zbOer0upZiZqtYv7QAAAAAA + AAAPziuD1ut13/ey/XMWsdYAAAAAAAAAAAAAAAAAAADAI9Q8dAcAAAAAAO+mV68rDebr2/d893f9 + 3S/85qc+9anfT5chSM4Wo3rZ6pRKzlkk9P3ZMAzTdCwZUVVDCDnnGGPOueu6UkoIwUPypmlq27Zp + muPx2AAAALiVJxBP03R2dubP+P3Ver1erVYi4ksPMG4a/i+JJ+rg/XlK6fnz5/4ghDBNk6p6srUP + lXEcY4wehu0DCQAAAAAAAAAAAAAAACISY/Tv55RSVDWl1HVdzplkawAAAAAAADwGqjqOoxcE5Zzb + th2GwSOuAQAAAAAAAAAAAAAAAAAAADwqZEgAAAAAAB6DEmMzDOM3fOPX/eYXfuMHP/OZf/gP/28R + UbWUNgHZXdeNY0opqarZYmp227bTNOWcZVvmOo6jiKhq3/cekucp123b+oM3soMAAADvmpRS27ZN + 05iZ331Vfd8Pw7Ber/u+L6WUUsi0frKW7s9FZJom2YZeT9PkCdZ+f+7p137bXyOxH24nAAAAAAAA + AAAAAAAAHhEz80xr/6KFf4EnhKCqD901AAAAAAAA4CWvGDIzMq0BAAAAAAAAAAAAAAAAAACAx4ns + LgAAAADAA9C9/0pJbRu7rvmWb/nnf/5zf+1f+Zf+xdUqpiRtq20bRaSUIiI5ZxPR5SzqaZq6rhMR + j05MKZ2dnXkSdilFVT027+rqSkTItAYAALgzv91SVU8sVtW+7z172MxijD7biKoSSPyULd2f+zTK + MUYfIXWQzG/RU0p1tQfoOgAAAAAAAAAAAAAAwKNkZrL9loV/R9q/Jv3Q/QIAAAAAAABERMzM64na + tvXqoZSS/1ELAAAAAAAAAAAAAAAAAAAAwKPSPHQHAAAAAAAQETHJImJiv/u7//irvuor/9bf+sUf + +/G//Au/8EvTZE2TRSSl1DSdT7t2vGzVf5pzfv78+cXFxfX1tYj0fT8MQ9M0TdPknOs6JOQBAAB8 + EHU+3LZth2Hwf+acPetaRMwshDCOo89Fgifo4P15CCHG6MHV0zR50HXbttfX1zHGOmZSSk3TmBkz + LwMAAAAAAAAAAAAAALgQgn8LOuccQgghqGrXdeM4PnTXAAAAAAAAABERMxuGIaV0fn4uIk3DZHcA + AAAAAAAAAAAAAAAAAADAYxQeugMAAAAAgKdCZ/+JhRv/ibSxUbNpGs+fnw3DEIL8p//Zf/KX/tJ/ + 8d57z1KSvu99/rVSyvGtNE0zTVPXdWZ2cXEhIqraNI2HLKaUPGFRVcm0BgAA+IBU1bOHY4zjOMYY + zaxpmpzzarWSbZ4xmdZP2dL9eSllHlntc9NM09S27bNnz9brtb/EhxAAAAAAAAAAAAAAAADm6td1 + /As8TdOQaQ0AAAAAAIBHIsZYSun7/vz83Ev7RWSapoftFQAAAAAAAAAAAAAAAAAAAIB9xFoDAAAA + AB4FD5lWDSmlruumaQoh/Pt/+lM/9VP/zfPnq3EYSiliWUQ88W5JSklVp2lSVV/ZzFJKImJmnmPt + LbRte2tINnA3evDZXGQYPjwMbUkioiZqIiJ2eG0AAB47jxzu+34cR59exBOI/dZrHEe/JQsh+N3d + A3cXD2Tp/txnVU4phRD8GV9BRK6vr7/sy75MVVW1bVvZDi0AAAAAAAAAAAAAAAC4aZq6rhORnHPX + dXwpGgAAAAAAAI9HzllVSyle3W9mOWevEgIAAAAAAAAAAAAAAAAAAADwqJwca+3JAWYSQgghlFJq + zAAAAAAAAEfY/D8tN/4TMQnZLIZWLJRSQgjBiuTyye/8jl/+pV/8+o9/LAYJIl0TLRf/RVRjEBXR + 3aBr25JtqmLlgYvzlOt5Qp4/7+sAH5BuR/tLafpfvvOP/rNf+tKzKYuFYKaWQ1AxWQrCfj09e3f+ + klMnYSTqEgAehP+/A+M41mfqO7NPOzIPJGbakSfCg8xFNrfoMUZZuD/POfszPmzqCvMnPfpatiPK + n1yv1/Mtzu/wfbKbN7SrAAAAAAAAAAAAAAAAD6d+fdq/nuFfq9C9/2645ccAAAAAAAB48vb/gnT8 + vyMtqYYQamWQ/xULAAAAAAAA4fUj1QAAIABJREFUAAAAAAAAAAAAwGNzcqw1AAAAAACvi+3/llpE + 5Fu/9Vs+/xu//u1/+Fv7rs1pEktBRUTMrOt7mWXj6el5vSGElNJmKjdVEZmm6QPsAyAiO3HWWyV9 + +TB8eBi7UoqKyib4s7xDOdNvWAjBkyxV9eLiwi9kkukBAHgQPldy0zT+iVxKqZ/Ud5BzrpnWq9Wq + pmXnnFer1fX1tT/21Xxbsr23l9kvCAAAAAAAAAAAAAAAAAAAAAAAAADujW2XB4upAQAAAAAAAAAA + AAAAAAAAALxViLUGAAAAADxqKSUzOz8//5Vf+ZXv+Z7vEZGgUqzEGNVkXA9imzjqGKPZyfWvIYS2 + bWOM3oLn5HkUH4BHzsz88s85P3/+PIRQSvHLGQAAvGEppdVqNU2TqnrUdCnFP6lP4gHVquqp1SIy + DEONuI4xTtN0dnYmImbmvwKEEDxC28zatl2v156xDQAAAAAAAAAAAAAA8GQdyBUiaggAAAAAAADH + WZj9Jwf/U9kuAQAAAAAAAAAAAAAAAAAAALz9iLUGAAAAADxqKaW2bbuue3Z+9pM/+Vf+ox/+wWKi + KiVnM1utViJiZl3XeejdqUopTdN4Tp4H5onIHeL3ALx5qhpC8Dz7aZqGYfBndMFD9xcAgHdZjHG9 + XouImeWcu67zx6e2U0rpus4jq0Wkbdva/tnZmar6M34bX5Ozx3Gs0der1epuvxoAAAAAAAAAAAAA + AAAAAAAAAAAAOEhlk2Y9XwIAAAAAAAAAAAAAAAAAAAB4qxFrDQAAAAB41D70ofPr68uLiy9dXV2I + 2g/+0A989rM/8exZ3zRBRNbrdQhBRMZx7LrubrG1KSVv5L333hOR6+tr4m+Bt0VKSVVjjG3bNk2j + qneIzwQAAB+cJ0m3bdv3vWzvz+/QTghhHMcQQs657/tpmkSklJJzHsexbVtVVVW/gZ//LiAiwzD4 + +h6JDQAAAAAAAAAAAAAA8ASZyLFvUt7yYwAAAAAAAMAFkaDbNGudP8vUdQAAAAAAAAAAAAAAAAAA + AMC7gu8GAwAAAAAetS996UvPnj37yEc+EmNs26hqf+JP/PGf/9xff++997quCyGUUpqmEZFxHO8Q + Z+uBuNM0NU0zTZOqnp2dvYb9AHD//PIfhsH/6WmaIqILHq6nAAA8CV3XTdPkH80xxnEc79yUf3AP + w9B1XYwxhOAJ1tM0eWx2XcG367cBfd+3bTsMwx1+LwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAA4OloHroDAAAAAAAcs1qtxnG8vn6/7/sQmuvr9XvvvfdH/si//Gu/9quf/vSf/Uf/ + 6P9RkZxS18Zxyn3fbwNuw15L5WD7ZhZCyDmnlEQkhKCqxOABbwUPtu/7vpSSc+66zp/nEgYA4EGM + 4+ifzqrqD5qmmabppEZKKefn55eXlyLStu08GzvGmHPe3vBL13VmdnV1FWMspfidvN8bcDMAAAAA + AAAAAAAAAACeoBO+MLFZdf8b1wAAAAAAAMBLduMvSEWWKvYBAAAAAAAAAAAAAAAAAAAAvJ2oOQcA + AAAAPGpmVkr58i//chEZx7Hv+6urK1X9+Mc//vnPf/6Tn/xOEWnbON3ItD7NNE0hBBHpuq7m4d3j + LgB43UIIbduu12sR4foFAOChNE3jD1R1mqZhGOah1K9IVS8vL+cf6DFGEQkh5Jz9eb9jn6YppdR1 + Xd/3bdvWKOuUEvcDAAAAAAAAAAAAAAAAAAAAAAAAwL0zEds+AAAAAAAAAAAAAAAAAAAAAPC2a+78 + SiIBAAAAAABvRghhPVyFKCJmkkMUs6zaPHu2+rmf+5kf+7Ef++Vf/lURGYeha+M45bZtp2kSkabp + UkohBLMacndYKUVEPHXPH6uqqvrjaZratvXEaxHJOccY/Ukz4xdkvDofQg/MTN6hMTs/pKvVSkRu + udoBAPctxugpwn7vpKpm5gnEvkJKqW1bv4N62K7idcs5+wexL9u29cd+w9y2bUpp/kkdQqhjxleY + pmnegt/V+1jyO3N/3h9XdRNmZmY1XbuOunEcu66bPwMAAAAAAAAAAAAAAPCk+Pc0/LF/fSLnLIGv + XAIAAAAAAOAVmIUYRWLZloyFEGv5GN52XpzlJ9T/eFjnVThVSslru2rB1/Gm5j/1lxxZf76CV5nd + Os9DXbl2Rj5AvX+tTTu+U7WfXnR5a1d9/VfcI7cz8cWpO1Ibke3RuPXg7/fZe3tkto2dgy+vPBjq + S+pwegPqHu13e99jG+f367GN8w94/X6QcQ4AAAAAAAAAAAAAAAAAT8cb+roeAAAAAAD3a5qms7Mz + M/nxH//xj33sYz/7sz97dTVOU/Yf9X0/TVNK6ezs7Pr6+g7tq2oIQVXHcfRAPk9njDF6FZbXPqnq + 5eXl+fn5ve4cAADAWyCl5JnWHldcSum6bhxH2Raul1Jyzm3brtfr1Wr10P3Fg6lzE8is/t8HT9M0 + KSURqYPnVDHGnLOq9n3v8xpM0xRjVNUY4zAMIuK/HbRtS6Y1AAAAAAAAAAAAAAB4gvz7FbJNGfHH + m6BrFTFhyZIlS5YsWbJkyZIlS5YsWbJkyfLwUkRENIQaaC0eLyq2WQdvP/+zoRdeecGXl2vdIUzX + X+uzNHhTx7N+55vwxNkj66uq14jVPqeUvIhsqf0aDOyP75ZlW0rx41M3dDzO2X/q26p9qD0/uL6I + +EteJbh3vmnvkp+vU/euru8nonbgeDu1h/OawSPtz3t7PFHYn/dhY2allDeTaZ1z9vNb6x+PnCz3 + qMb5fXls4/y+rt+7jXMAAAAAAAAAAAAAAAAAeGqItQYAAAAAPA5aRERsofjHn/d1RETkrG/zNIxj + EpHPfOaHvumb/uCf//P/8TiO01TatvH4uhAaz7Tu+34YTgu3NjOvcXrvvfdevHgRYxzHse97L8fK + OXddJyKllPPzc8qWAADAE+SzAzRNM02TbO64Bv+RRxSXUrwEfbVakWwNn6mhTiXgkyl4OHrO2UdR + 27b+4KRm5xMue566mY3j2HVd0zQ+jYLfrnvg+v3vGwAAAAAAAAAAAAAAwGPg6RJ7YUI107pt23Ec + QwhWShAV0ccQjcSSJUuWLFmyZMmSJUuWLFmyZMnysSzVTERV6tJZLiIS20ZVc85WitcH4d0wT671 + Kq22be9win3KhVrA5eWHOeelcOJ5jLG/yhN8jyQKz1+iqsdjj72czVNsfeh6Idup9WU1hVe25Wle + znZ80zWb2bOfj8ck13jg2vLxZGU/X3Vf7pBpXV9eG/Gu+kE7uP48a1lE/NgeibWepsnznn0T9awt + re+Vhn5O6/l6A/N4zMfDrRnV8vjG+X15bOP8vq7fU8c5AAAAAAAAAAAAAAAAADxNi1/vWxZEior8 + wi987o9+xydKSU0QM9sGj/myiEjRIiJhKZAMAAAAAIC547HWe6upaghNjHEYhiJhtVr9/b//v3/m + M5/5nd/5f5smTKmISNetxnFsmial5L+rntwpVTPzMrmdmrdhGDzl2gu0KFvCDSayrdZWKZs/mPze + P/0/vuUP/Qv/5J+IaJEQrZiKiBSV8Dprt//xs7Pf/siXf/f/9lvyFX9Azs7ETLSYRrPN33H8svJ/ + 6bbzb68j5awUyT9mtXTZy1Zf/WT5ypzcp8wHTx0/rzIY6mo+eI68b+C4UkpNtk4piYhXqtfH9Q6K + LOG31M4FcuvFUi+u+ZuzV/iP49j3vYh4wHnTNE3TeA66Twog2zT0O/TTJ3TwGQrq1AlN01xdXbVt + u16v+76f9+0OmwAAAAAAAAAAAAAAAHhUDn+vw5/Y+wqVf895/rWKnJJs64EBAAAAAACAJf4XpbZp + xzTVJ5uuLaWUnPf/ElVR9fl28eKsmp7rebqnnkRVHcex6zq5LZX5uKXtTtPUdZ1XLHoNo+fdHk9K + 9io2L2073v6SUkqM0ffoVbKW/af1CHjor89ZsfSSnRTnWyevmJdt1o6dOt/F/Lj5IfLDdet251s/ + cjDvXMdXD50PpzuMw5PW90JI//t50zS+d+M4Lg3gRzjOT2p/ySMc5/dy/d5hnAMAAAAAAAAAAAAA + AADAE8TXqgAAAAAAj9ZODdKN3OtxHFercHl5paqr1bOriy99+7d/2+c//+vf931/9h/8g//z7Ky/ + vh7GcS0iKY+iByZoO85z77ygzud9MzMv5/Owxr7v55V+97C7AAAAbxUza9t2HEevjRcRLzv3xznn + nLPfNcUYa6E+nhqfhqDve7+pXq1WOefr6+s6Hvweu2macRxrxPWr8xkEfOD5jAbeSM7ZN1EDtoVM + awAAAAAAAAAAAAAA8BTshVuXUnRLitVM62a7LgAAAAAAALDD/7zksdYlTVEkqIS2GceUxklEmqap + ZWV423kJWI2P9SKsI/G0B5lZ13XjOHrhocfcquqtCc076yyVgHkxo6fhevsppSMZtz4LhJn1fT9N + UwghxniHeOAYY92QBzkfT+StWb8hBC+p8zU9OHmfqvpBqPviu7aUvOubrvnBbduqatM0p9bledld + zrmU4tV/TdMcmTrDu1SPXp2OY+kUzJ/3ytNbR4Kv4x1QVR9Op56vpeO8xE+Q75qPk1JK13XTNB1c + /7GN8yWnXr+PbZzf1/V76jgHAAAAAAAAAAAAAAAAgKeJWGsAAAAAwNvC6502VUxtF4ulpmnOz8/f + f/9FjDGE8KEPfejXfu3Xvv/7v//v/c//q4g8f/788vLSVEIIJZ0cjzdNk5ecebWbqnqItWzD82ql + n9dZ3ee+AgAAPHoeVi0ifr/UNE2dOMDvmmpEcUqJTOunrM4akFLyG2yf10C2ky+UUvw2+9Q5I0Qk + peQzCPio2xmNsp0gw8OzuW8HAAAAAAAAAAAAAABPk3+PwsyCaN/13/u93/tdn/xkJxJP/rIGAAAA + AAAA3lH68k9F/shURIKJmNlf+xuf+63f+q00pkbFguZsZFq/Mzy5NqXkmdYpJU+oPTVJN4QwjmPb + tl7Y5ZMzHFk/5+xzRMxbqPM57POqMc/Brfm73sjB9du2rSvXDXlS70n7lVIKIdQNqeqRjXqXPJnY + D2Mp5XjgdM0P9n/GGEspS1m/vgvzDnjd3B2uRz8gHhVcD9SRrF8v2atFozvd3te2rWcJi0hNIz5y + 6Goosocfz9OdT921k9QaWE8H90PhlbNL/XxU43zJqdfvYxvn93X9njrOAQAAAAAAAAAAAAAAAOBp + ItYaAAAAAPCYaBHziqywzbGuyvb5IiJtbErJYx5fXKSmac7OVi+urldnnRX93Oc+99/+dz/z0z/9 + MxcXFyGI2ck1VyIyTZMH4I3j6MV1XnkVQliv133fe1VVLcr6gPsNAADw1qkTBEzT1LbtNE1N0/h9 + 0fX19dnZmYh4XXrXdT63xUN3GQ+glOJF/mbmU1fIdpYHD7r2GQH83tuXJ7XfNI3fuvv9+TiO5+fn + l5eX/tPVajUMg08ScXxaBAAAAAAAAAAAAAAAgHeKf7t5+0WMEIIUMzETG8bhm7/5m//4v/lv2TBE + I9caAAAAAAAAG8FEtP7BSE1FRIpIKvk3fvPXzUxFzMSyBRGNmvLJJfx4hDzL1tNevTzwwx/+8B3m + Z6iFYx6J7ZVi3vLB9X2iBg+a9X/WFOQl3j2PcPbHx7N+vTVv38zM7A4ZyfMJJTzo9/hGvY6yVtL5 + a72S7ng/a2K03BZj7D/ydbw0b/7aV1TrQ0MINVL6yPo+4UbtwK0ny2Oba8f8RB/ZqZpe7IPHD9fr + zrSWbeCxiJjZfGgteYTj/F48wnF+L9fvqeMcAAAAAAAAAAAAAAAAAJ4mYq0BAAAAAI/WPMd6NwQx + pZRz7vteRFTi+++/vzp/XkoxKTnZj/y5H/7qj/0zf/FH/6Kp9LEdhunUbYcQPIFvmiavIvNyMlVd + rVZeYObrENCIx8+8cFyySBExsSAqRUVFdKemmPpxAMAr83shM5tnWnvEtWdae6V913X+5EP3Fw+j + 5lXnnL3y35PORcTM/F7a5xRo2/YOydM+XUXO2cehql5eXsYYfeaU9XotsxkcVJVJBwAAAAAAAAAA + AAAAwFMx+1JoCKGULCJt0+Y0dU0zXF2ehRD44igAAAAAAAC2VExMooipiG1L/VXa2EixKGpiRURF + soiQaf0O8YBen1HhbkVezuu8atavbCNpD/KJGupcDb6+Fy0eXN+rF73lGKPXNh7pjJl1Xeed8W0d + jyteMj8adYtHZpnwSjoPS/YCupqXfFDdZe+et3xk1+qm6zp3Pl815de3WA/X0sq1Oq+uc+R81frT + /W0dXN+HXx08fpbvvGsn8Y365ubDbGn9RzXO78tjG+f3df3KieMcAAAAAAAAAAAAAAAAAJ6mu8Ra + hxCsFI8ECCGYvYnv/AEAAAAA3nG2U85U9h7fqMsyCSGGsvmVNPd9b2lSETFrQhjXl//ep/7db/y6 + j3//D/3gxcWliti2nNLMREINvdtWOu0WfXlN1DRt8rBrAVWN5fNn5snW9YGXbPkvzpQzYe7NFA3u + bVVb7WUqoqNolNxIE6+H0vQhi0SVxoJY0VBEwssZDN+GkesXXSnFUyo9PPWhOwUAT0utSK+p1fP4 + 6vq2TKb1U1bvh+t4qJnW9W68lFJKSSn5yvNJH3w2Cp/gwIOx6/QBIQS/8fal37r7C5emq/CNNk0z + TZP/ajC/n5ft3YVHZdf5Dl7XoQEAAAAAAAAAAAAAAHgdbnxZNYiIaEk5hRCkWE6Titg4djGEIiqE + DwEAAAAAAGDDVNRExJcWTUyleL510CSWhD8nvZu8qstjdOfl8PMk2nk52Ku0llLyhOwY48HVvG7L + i7l88gd5hWJ8bzzn3DTNkQxduVm/5lu5cx26J/iGEGoLrzKJhG86xjhN05GE5rrLvju3TlKxU6/n + Scx3nsTAD8s8T3pp0zVoOaVUj/zxWGIRKaW0bVsP/pFiPR8q0zS1bfvm5+jw/fIpC17xYD6ScX6P + HtU4v8frV04Z5wAAAAAAAAAAAAAAAADwNDEXPwAAAADgkTuQaX1s7VLaNprlYOXbvu0P/+av/w9f + /ZUfFZW2CbWK0mui5E4Zw1542TSNpzN6VVUpxcP2RMQz8Mi0xqNyPY4SGxHbXEomZ12YUv33hsnb + kWZdhRCGYfBgy3EcvSzTQygPeuj+AgCAl+pHs0dH+5wCZlYnnvAnU0o++YIv62QWfvu9Wq3usOlS + it+u+72EtzlNk/fEbypU1W8z7m+PAQAAAAAAAAAAAAAA3hxd/k5oEFEpQTbxRAAAAAAAAEDlydb+ + n9z8A5LVTGsVkcD8de88VfV6KxHxUqylCu5ax+3hvqUUrxFbr9dLWb+uTt0wTZOq1ikaDjKzruv8 + JZ6U7FHES42fWod+fNfatvVMXBEZx/FI3XrNflZV37W+7z18+sh++QH3+F49mqysquM4+obMzGe9 + uMNOeSebphnHsZbaHamn81OTUvKRICJd1x0/X360p2ny4kE/d0tijOv12oOfvQDQp+84db+ObOKg + OpD8SJZSju+XPLJxvuTU46aPbJzf1/V76jgHAAAAAAAAAAAAAAAAgKeJr1UBAAAAAN4pXsX0/Pnz + Fy9exBg//vGPf+ELX/iO7/hXUyoeZd00IefJw3y98Okkfd+LiNeVeRVTCMHLltq2zTnX0qnjZVTA + GxTOnj0XjTImmZKEKEVyklVzY77CTcS1Hp3I8PHp+34cxxBC13Vei+iX4UEP3VkAAHCDmfnMJj7B + xDiOPkHAxcWFzz3h//QZB3zpkxf47AM+UcUdtuup2N5y3/d11gndzqZRSiml+J0/AAAAAAAAAAAA + AAAAAAAAAAAAADw1NV7Xq6685MqnUFiq4+66LudcSvGE4NVq9Sr1X541Wxs50v44jn3fm5knJXuN + 2JGWT6pDX1JnjfAgXo899oK4g1TVU3i9IE5EhmFYrVZH2q8x1TVF+Ej7Oed6Xrz92smTeDZzSqnr + uhCCH9sjB7N2qR4Qjz1ear+eSj+5R1p26/V6tVqJSNu2pRTfTVkeD0e2e5I6kKZpMjMfM0e2K49v + nC9t7iSPbZzLPV2/p45zAAAAAAAAAAAAAAAAAHiaTv6aGgAAAAAAj1mM8fr6OqX0oQ99KKV0eXl5 + dnb2cz/3c5/9r//q3/yFX+z7dhgmEen7fhgmL3w6yTAMIuI1aSLStm1KqVb9NU3jidderOWPgYdl + Kr9/cSk5S3smsZNxkrYvqbRtUJEwX1OKSRB9a1Ktc86q6iWpfgGaWYzRSLAGAODRm6apbVu/YY4x + TtPkn+ki4rM5mJnP7DCPvo4x+mwXIlJK8ZkITtpujcdW1XovMQxDnQ3BN+fR13V+BAAAAAAAAAAA + AAAAAAAAAAAAAAB4Opqm8UxZEYkxllLOzs4uLy/9mX2llHEcY4xN09SKMA8qPsgTZ0XEM3T9gYgs + te+RwD7bg9eI+QuX1r+vOvRSyrNnz2Rb9RZCuLy8PD8/P/ISzwOepsnL32KM6/X6yHF7/vz5xcWF + bFN+r6+vz87OlhqPMdYOeJeePXt2dXW11P4SP9p+JJum8ahsP0QH12+a5vr6WmZRxN7tI/tV6/V8 + 6WdkqWRvtVr5sSql+KwdPhnIqft1Kt9rPwgppWEYYox1TB5c/1GN8yWnrv/Yxvl9Xb+njnMAAAAA + AAAAAAAAAAAAeJqItQYAAAAAvFNSSm3bhhCGYei6ru/7rusakx/90f/8Kz76kZ/6qb8qKn3XDsPg + pUentl8j7vq+Tyl5C03TeFmUrzMMQ9/397xjwF0VkfOv+Kg0nXhcdSli0nXBkkT/y5AVUS+sDFnE + s67fighHL0z14sOmaUTEMymXykQBAMDj0bbtOI5d1/l0AD6rhU/fUAOnc87+wMzm0w00TTNNk69w + 6nZzzj6zg/+z7/thGHw6jGEYaop2CME7dl/7CwAAAAAAAAAAAAAAAAAAAAAAAABvi5TSarVar9ey + TSa+vLz0/Nojr/KKsJRS3/eeTOzBtPtU1QN0PWK2lpIdiROu8zn4JA9t2x7JuL2vOvQY49XVVc7Z + 45Zzzufn52a2FM9cy9NU1TOPc87Hp7a4uLiosbseH36ktM3Mzs/PU0oxxpRSCOHq6urW87LPZ+RY + rVYeGFx3dmn9lNLZ2dm88s4jipeOZ63+8zb9hUf6U4fKNE1+nP351z1vgJl5qWM9QXXYHPF4xvmS + t32c39f1e+o4BwAAAAAAAAAAAAAAAICn6dg3/AAAAAAAeOv0fe+5d6WUYRjOz88vLy+15CkNP/Ij + f+6zn/3Jvo/DOMWoKaWl2rAjSil935uZp9/JtgzMi+i8yMoTr+X118gBr6Jo+J3f/6fSNTJOokFm + metBZF5yV6SIvGWD1q93VfWLbrVacd0BAPC28NvpGOPFxYWqnp2d+Se7z6nh8zV4oPUwDH6/nXPu + us5npjgyJ8IR/ptCjNHMmqbxu3qfhqDve586IefsP71DbDYAAAAAAAAAAAAAAAAAAAAAAAAAvAPW + 63XTNF5+Vcu7llYOIXh8r5eGicjx+Ry8JNwjfn0pIsfje1XVA2692XEcj8fT3ksdes1mbtvWO3C8 + 6Mw355v2g9a27ZGsXz+8vkJKyXu4lPVbu+TnpW1bPwJ3qIMrpaxWK99oPVBH1vculVJqV+uJPshP + ZT253sMjx7/rOm+5lvu1bXs8CfteeCi1bAeVH9gj6z/CcX4vHuE4v5fr99RxDgAAAAAAAAAAAAAA + AABPE7HWAAAAAIB3yjAMwzC0bdv3fQjh8vKybdumadq2vb66+J5/47v+7he/+NGveK9k61qdxlFF + 9qqOwvHfl4dh8LqvlFLf99M0iYiZffjDHxaRnHNKycu03kCZHHCrHKT7Ax+VtpFnZyVl8SJHFRFR + E9n8F3zcFxF7e5Ktx3FU1RCCZ1t6RaIte+j+AgCAl1JKbdt6qvTz589FxAOtQwhN00zTVCOuZTuj + wdXV1dnZmd8A3Hm7Xdf59Ae+7LrOzDzHuuu6pml8OgnfBDMUAAAAAAAAAAAAAAAAAAAAAAAAAHiC + 6owKXqNdSjGzcRyX6rhzzu+//76v3LbtOI5N0xyJofWpGGKMwzCYWSnFi7yO8BIzM7u4uPCXHGn/ + 1Dr0JdM0+Y7Xl9fqs4PMzDc3TdN6vTazFy9eHG/fD7Jty9zW6/WR8+Jb9/V9N2snT+Xn16v5Qgiq + 6ru5xHennibfxyPt55x92NQJOo7Mv1FKaZpmHEcPjTaz999//9YhcXCnTuKjyLaD6urqqp6OpZ16 + VON8yanH7bGN8/u6fu30cQ4AAAAAAAAAAAAAAAAATxDxWgAAAACAd4onWHvtk0fiNU2zXq9LKara + 9/03fOPXf/7zn//Wb/1DKd0x4zaE4MVLXdcNw+BJeCJycXGxWq18o2ZGpjUeiRTCp77wGxKDFAlt + JzGYiqhoPBzq/hbpui7nLLOaTxEx4qsBAHgb+D1zvZf2HGufX8DM2rbNOfszvoKZnZ2d+YwPto27 + vkPs9DiO3nL9Z9/33pS3WUpZrVaqmnPmlh4AAAAAAAAAAAAAAAAAAAAAAADAE+RF3F6+XUpp23YY + Bo8cXnJ2diYiKSVV7brOQ2Rv3VDf914ydnzlWoA2TVPbth5FfOQl91WH7rNVdF3nL59HSh+kqp7Q + 7JVxpZS+74/EEnve8zRNIhJCGMdxtVp5pdtBNXK4TnmxXq99707iL58nSeecfTcPSimtVqtxHP2Y + e4e980t8Xg4z8wq+4zzAuOu6Wujnw+l18076CPcEZVX1vVvyqMb5fXls4/y+rt9TxzkAAAAAAAAA + AAAAAAAAPE13+Zqaf2ksxnj8C2cAAAAAALx5tZSraZqyFWPsYrA0lSk1Gr7qq7/yb//qL3/yk98h + Iqoyz8ILIRyvnRMRb1NExnGsS39Vjb6rUXnzyqucs//zSDkWcO+yirRRulZWXfJ/RvFk6w31RVAJ + eiDq+lGrF2ytHrxDvCWQsKtjAAAgAElEQVQA4G5yzjWQuD5ZSvFacX/M/4mAI3RLROqEJj7vg2w/ + 5esKfptdJ2Lwlf2BqvpPfRoImd3V+2wFIYSdOwQzqwN1GIadZ3xI157IzfkO/LGvXG/v5y8HAAAA + AAAAAAAAAAAAAAAAAAAAgLddDRgWkWmaXiWcWLYlXTIrGXuVDd1aIe6tqao/CCHcmvV7X3Xoq9XK + H/gWY4xH2qnFbvPHt3a1Hivv6pGYalX1/apt1u6dpO5CPTjH59nwLtWVX/Hk/v/s3VusLNte3/ff + f4xRVT3nXPt6CA74YJLYkbkdyxcFESkSQihEImBZIrKiSLEJSiLbgoDjB+IkBGRwiBQZRVx1/BDO + AR0ExJAXA3mI8uD3RJYS2YrfIj/kwu1w9ppzdlWNMf55+HfX6jX3XmvvNc9ca67L96NW7+rq6qpR + 3aNGj157jvH7JJ/s5nSM4Sd8yZ3Y6tIwDDdGOz7Fy1PP78pLVc91R9fvs9ZzAAAAAAAAAAAAAAAA + AHgzvaC/VAMAAAAA4H4tyxLjpiLTrvf++c9//gd+4K9FPl1KGscS628XRxevGoYh9h+DmpZlSSmt + 67quq7vnnD/hcCzgDjVLKklDcllXalKTuvThlNF0vJELDQD4JLZB6e6+5VunlOJhLG9Dvk+jr4Hb + iTlQosfuR8MwRPWLurcsS0Rft9ZSSlvsdExwcIv5LE4reQRgh5xzrXWL3I7ZQPb7/R2dKwAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAzxExWgAAAACAN8I0Teu6mvnDh186n8bdUNY6 + /7W//h//xE/86MXF1F1rrZHzW0oax2eOu5OUc+69994j3C7CrXvvwzAMwyDJ3ff7fUTrAS9MS1JO + ytaUXPJjpvWjZGuLMGvSrAEAz6b3vixL730cRzOb5znihEspETYsKVJ+I2n4vsuLV94WTZ1z1jHf + OqKme+8ppdggls0sOueRv76u6263i2DsZ5JzTinFEadpkhQp2rXWUoqZLcsSMduttd1ud6dnDAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAc0GsNQAAAADgjRBhimZWStnv97vd7ktf + +tL5+fn3fM/3fPazn724mNxVSpZUa12W5Vn3n1JqrbXW4qG7R5ZeSmld19ZaZF3vdruU+DGOF8pl + SsmPwdUfnV1tkpNsDQB4NimlcRxTSpeXlzpJ/F2WJcKDl2WJlN9xHLduEnA7WyL1thD96nVd3d3d + a6211uiW6xg+HXUvlvf7faRTP5Pt5dG93+/3cdy4b63FVaDjL4K7OFcAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAJ4vkrQAAAAAAG+EbMWbSs4lZ0mtta/81Pvz1eXFg7Nv+sw3/NZv + /cM/9ae+dq0tQn1vETzde885l1KmaYrcu967mZnZMAw55y0PT9ItYrOBL49JKStlpaSe1HW43Qiy + TscbAAAfr7W23+8lnZ+fu3vvfZ7nnPM4juM4LssyjqOkdV0l3SJOGDhVSum9x4Kky8vL1lpKKR5e + XV1FZ9vMJMWWUQ8lTdM0DIOkW8ROR39+e/jWW2+Zmbunk98MtdY4LvUcAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAPBKIKYIAAAAAPBGGMdxnufIli6lrOv6e7/3e+fn55eXl++8885X + fuVX/vqv//o3f/Ofl5SSjvHTzyCCq2ut8zzHmi3fOg63Rd+t6xoRj8ALZq4sZcki5vpGpDUAAM8o + 57zb7dZ1jUDflNI0TbXWLVFYUmttGIaIFga+TLVWScuy9N4vLi6is51Smuf5/Pxckruv65pzjjq5 + LEvkTM/zfOtOuLv7yc+DqN4ppUjITiktyzIMQyRb+y1+SAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAA8MIRaw0AAAAAeCNcXV1F7OJut9vv9znnd999d13XaRq+9KUvnp9N7779zuc+ + 97m/9Je+y24Vax2xdhGVNwyDpHmeh2GIcLvz8/PTlXd8bsDH8mQuudRlUlZP6senSLcGANySu/fe + h2GY5zlShCXlnFNKvffoHYVxHEm2xpeptRad7XEcW2vrupZSIkZ9mqZ1XSXF/X6/j5ppZimlLXb9 + dpUwjjJNUzyMWGszG4bh/Px8v9+P43gj+hoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAgJccsdYAAAAAgDeCmU3TVEq5vLzc7XZmdnV1ZWbrur799tu11rXOJaWf+qm/91/87f+sPHvw + tJlFXmPsU1KkaEfEXa11K4OOGdh4nVl/tOxJUpWU5JJk8nyMkvbj7Xk7LU8UIj0WZk2yNQDg2ZlZ + zrmUcn5+bkeRInx+fl5KkZRz7r3XWiOQGLi1nHN0qmutwzBEnnopJaUUa9w9oqxLKe6+LMs8z+u6 + bpnrUSefVa015zzPc5QhVsY+r6+v33nnnaj5wzBIItwaAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAPBKuH2sdUzTf4dFAQAAAADg+UnF9su1S7mU1pq7R9zdmEtfq/VWTNl8HPK/+5f/ + nZ//uZ9+6+2dTDINQ5YUv4DNLClHHvDprWSL5DydRNnt9/tYcPcIwIuUx1iIp8i3fk11qde6SOpN + klz9Wk3Fu0me5KO8SMcKFJs8b1t9VTq5nQRa243/vhr8SFIkygMAXrDee+/9xsroF4WIGX6xhcLr + KSrSVp2maTpdf/o/rWI5oq8jf733fpo5fZp1/bH/t2vrtG8L296i8uecYydmtl0R28+B09dGMveN + wgAAAAAAAAAAAAAAAAAAAAAAAADAvbsx0irmZIjlYRhOhw0CwMtmG7ZpZjG7yw3blC8AAAAAAAAA + AAAAAAAAgFO3j7UGAAAAAOA1UGuttUbydK3LfHX57rtv/xv/+rd87r//xffff2ua8lqbpJRst9u5 + u5mZzGTJUrItnfpjQulaa1vW3W63i4Xee87Z3Qm3ex15KUWulFT36/76epBrPkQbdpmUXMle3Afu + 0o1k69dBrdXMlmXZoiu3CwoAALzhlmXpvY/jeHl5ua6rpNba+fl5SoeO0DiOkuK3wJaQ/aw+3M+P + /bfWdrvd9fV1LMdmvfd4NqUUPRb6LQAAAAAAAAAAAAAAAAAAAAAAAADuV2tNkpn13iVtY6B0HABl + Zuu6juMYA7UAAAAAAAAAAAAAAAAAAADw2nhNQowAAAAAALidaZpKKSmllFIppbVWa724uPimb/qm + /+m3f+dPfPrT5prG0pvP+71JzWtX6/LmvcstJT8kBn+0GK5pZpFmJ2me55yzjjnWZlZKOQRmm72g + 08ZzVtcqaWlqTWU3nA1lJ1dK2bus6/E4a/515tZKKeu6TtPk7g8fPpQUF9R9lwsAANy/SK2WNE2T + mbm7u19dXcXKlNKyLDnn6JnP8/ys+39SPz+69DnndV3Pzs4kuXvO2d3jR0drzd2HYdjv9/RbAAAA + AAAAAAAAAAAAAAAAAAAAANyvGGMV455677FQa621xrCsWBOjou67sAAAAAAAAAAAAAAAAAAAALhL + BCcBAAAAAN5okWDn7uu6llJ2u11rbb/fD2N+7733fuM3fuM7vuPblqVKyvlm5nSMvUwpPSWOuvc+ + jmNE2UnaBmrmnCPNOh4SaP2aKcOwri0l5Sy5ZElr19pL75JLVeqKQPRDLjoV4JbiImqtPXjwwN2v + r6+3ywoAALzJaq0ppf1+H8uRPL2ua61126a11nt/en/+SZ7Szz87OzOzWNN7L6X03uMQkaUdy/HT + 4w5OFQAAAAAAAAAAAAAAAAAAAAAAAABuJVKre+85520YVIzXzjmv6xoR15Fsfd+FBQAAAAAAAAAA + AAAAAAAAwB0j1hoAAAAA8EaLHLtSSmttXdfeu7uP46hWzdv77779cz/zM3/1r/57Kak1z9nMLOe8 + ZdFFsvVT9p9SWpYlpdRam6ZpXdc4aOTbjeO4LIukeZ5Jtn6NJCmllCxFXHXXw0vNVXMbvMok6269 + px5bm/PR39K6ruM4SiqlSKq1RorkfZcLAADcv+gelFLcvZRiZqcL0TmXFJ3/W8yo8qR+fmttWZZh + GMzMzFJKsbGkZVmi6zLPc2wfkdgAAAAAAAAAAAAAAAAAAAAAAAAAcC9i/oTTYVARbm1ml5eXMU6q + lBLbzPN83+UFAAAAAAAAAAAAAAAAAADAXSLWGgAAAADwRpumKULsIqm61rquawyzLCVdXl7mbH/3 + x3/8x37sR0pRax4ZeK212EbSJ8nAiy3neR7HMUZsRoJda+38/DyKISnC7fAa8N63f3VpV9eaJs3t + F//N7xha1BaXdUndLIKvcTvDMMQlKam1NgzDsiy11vsuFwAAuH/LsugYax1rzKy1Fl0Fd7+6uhqG + YRzH/X5/66N8uJ8fU7es6xo9/G0DSeM4Rr9lmqZhGOZ5vkWcNgAAAAAAAAAAAAAAAAAAAAAAAADc + ld67pG3U1bbe3S8uLmJNbLONmQIAAAAAAAAAAAAAAAAAAMBrg1hrAAAAAMAbrbV2dXW1LIuZlVIi + jk6SvPW2np9Ny7K/vr783r/yV/7+3//spz71zlBSpBD33pVMJinJ8pP233u/uLiI+LrI3G2t9d57 + 75GN3VorpUh6+PDhMAwv4pzx/FkqMmtdkvL5pKsrrfWd2kvvkmRNcleSJ3ni32e+HGaWUuq9x5U7 + jmNcUAAA4A03jmMspJSWZUkptdZyzqWUdV1j/QcffDDP86H//4ye0s+PHUaUdZTkwYMH67rGs9tM + LszhAgAAAAAAAAAAAAAAAAAAAAAAAOB+pZQklVLc3cz8yMxiEobeu5lJYjIEAAAAAAAAAAAAAAAA + AACA1w+xSQAAAACAN5qZDcOw2+3MLKLmJEXUXM55v9+/9eBB732er7/1W7/1c5/7xffee2eabo63 + jO2ftP/Ly8sYqBki5W4b1Zlzbq2llB48eBBHx+shJeWkeW6SNE5a29nasm8fMZ/1HdguvUi2rrVK + sie718ICAIAXyt0jZ1pSdANyzpEqPQxD9CIiWHpd16f055/kSf38yM+O9Sml+JVRax3HcZqm7dCS + aq30TwAAAAAAAAAAAAAAAAAAAAAAAADcr9ZazH4QI562+RDGcdTJICk9dV4FAAAAAAAAAAAAAAAA + AAAAvIpuH2t9mgbEtPsAAAAAgFdUBEtHlF0k46aUYjhl772UNM/XpaSUpF6/4eu+7rd+6x9+4zd+ + fUrK2bx1uWRdatsOU3r0WzuCq3UcnxljNVtr21NmFpnWOWcz2w4d2+iYxvfi3g7cKZOmKctdLrU6 + tZb98ITpuPQCivH6/rtNnNoWG1lKue8SvWK2oeMR+XmPIpJ8E6Pcn+TG5x5j419O2xv7Oo3Sv/Fh + SVqW5V5KcqMAW8X42KaglHJai+69/DrWkNYaX/rAHYr5U6JzPgxDrIwpVPR4BymWt7Z6GIYb3afY + ybYy9vakfn40/tsPitOvgOj/b7O6bO3Vdu1vLRKtAQAAAAAAAAAAAAAAAAAAAAAAAIAXI+Y6kLSN + eDqdYzDEoKrXeNw6AAAAAAAAAAAAAAAAAADAm4mwHwAAAAAAPrn+3nvv/dIvff7H/s7f+R9+/X+U + NAxD7731R7FzkWMXqdVPyTHdnoos7e1V8dSWjR25d/M8T9P03E4Kz4VJKWKrTTLJlbxLMleSumSv + T8otXjGRqSnJzJZleRmGkR/a0tYi6XOe52gbP3Ljp7SfL5vWmruv67oFdW9v/qurlLKuawSKt9bi + 6+l+41cjpDYCYmutvfdxHJ8UVr09FRuXUtz9HpPdo+SnZUspEWcL3Jfe+zbfSnTI3T3nHM1FrVUn + zcizimbTzKZpimt/XdeY8CU6/JKmaVrXdRiG+EIEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAOA5SfddAAAAAAAAXnrWZV3qUrfk77777o/+yI/8p3/zB85247qurbWcLAI+t1dERGUp + 5Wl7NYtM1njhOI6x/ZaltyxL7IdM61eUueQ6xFvbEzNTibfGC7Yl5rr7OI611hst2AsWMcnR7kV6 + aM756THDT2o/Xyo555RSrXUcxy23+DWIK26tDcMQZ2RmrbXWWoS/3ouoCfHGRqmenji7LEukjMfG + rbXe+z2Wf7v0tpj57XQA3JfWmrtH5r2711rNrNYaF+y6rpKGYbjFbiPNOq7xnPM4jiml2GEpJfr8 + 0SLRDgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAnitirQEAAAAAeDa/+3v/7/vv + v/83/sZf/8mf/Ltnu9EkSVsIpZltKXdPiaOLTNDYICJCl2WJ9NN4dl3XcRzNLOL0nv9p4a75SWB1 + kkzdJE/biuSPHgIvUillnuctN3oYhv1+f4/xmbXWuJ+mKdrSUspTYoaf1H6+uBJ/Mq21/X6/fSP0 + 3ud5fgnjt59VpLpGGHlKKb62nh5D/lzFoeMbc6sPT39JpEdHvuy9lz9q/lbh13Xd7Xb3GDMPvMni + m2We57gkr66u3D3nPE3TaW/c3cdxjCzqZ5VzNrNoP6PlMbOLi4t1XXPO+/0+jujuT/keBAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgy8e0+AAAAAAAfARX2m6HVdZlPXl//523rx5+ + Sb1/97/9nb/2K1/4ivfe7s2HYYgQSnfvvW/LT9p/pFZLiojTWuvZ2ZmZLctiZrXWd955p7VWa00p + mdkLOGXcqS7rx2TrJEvKaU3JLUkyj5slqZt6urc4VbyZWmsRo2tmV1dXkna73f0WKXKsIyL0/Pz8 + NHX7w57Ufr6w0n5y8cZeXV2ZWUppmqZ7jA+/Q621CJCWtCzLNE3xidyLcRzHcYykaklRi54SCx1P + xWaRgR17eFHl/YjyRIV39/Pzc0mRa3tf5QHeZJE5vYVY73a71tr19fU8z7FBay2lFG3OLeLn42sr + dh4vj/vW2jiOZnb6dfxyfq8BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAF4bxFoD + AAAAAPAMIgjT3YchS/r6b/i63/zN3/zTf/pP1nXdwkoj7/Njs+4i06619uDBA3e/vr52dzOLxLvr + 6+tSSiml1kq85avKJZeblKSsNavL9KEP06VOcCFeoEjKjJDO8/Pz1tqyLPdYnq2h670PwxBJ2xcX + F095yUe2ny+ouM9iWZbWWmQVxxv+GsSUxhdcSknSuq5mVmu9xyq0LEut1cyWZYlE2HEcnxIfHvGx + kna73bIs917+qLrTNEm6urrKOUe67X2VB3jDrevaWttahpzzMAzuvn3L9N7j50Dv/Vl3Ho2VpJzz + uq7RWJVStkvezMwsWrCX83sNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPDaINYa + AAAAAICP0O3R7VQu5mrjWCLoLuf8x/7Fr/zCF77wLd/yzQ8ePJAUK/VxWXellEizc/eHDx9KikDr + SLmTNAxDzjlWEm/5ynFJ6rJ+iLW2pJRqMrckPfZpunUZsYV4oVJK67pO09R7X5YlpTSOYynlvspT + a43Q0GEYogGUdHl5+aTtn9R+vqDifmKllHEcU0rLsvTep2la1zXSoF9pOectDbqUEp9dfPHdi/jo + h2GQtN/vI986gqs/0jiOUf79fr+98B7rT++9lDLPs5kNwxBX5XYhAHiReu/RA3f3Ukq0DLXWyJmu + tUbUdPTMb9E/j++vLbh6WZaLi4taa/xq2O120Q5Etj39fwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAADAc/XKx6gAAAAAAPAimVnvfZ7nYci9V6kPw/Cp99795V/+/F/8i99lUmstQord + /SnxpbVWM4tkO0mlFHevtUZKqKTeeyTeEWv3ykqSZF0eH183P4mvPiweYtMTwdZ4gSK2U1JKKaUU + LUyt9b7KE42hpLg3s2manrL9k9rPF1PaTy6KZGbxPkuK0OL7LtcdiNDoUkpkSN/7SW0B7Wbm7lGq + J20cmdax2RY6/iJLe0NKKaqKH+kYtg3gBYvGQVJrrfceTUQpJYKuoyWPb5yc8y2ajnihu8c1bmaX + l5c55/ia2O/3sfPeezRQd36CAAAAAAAAAAAAAAAAAAAAAAAAAAC8EP3RzbfZFQ4L/qHbXfnofX7M + kR4V1Y+3uysRAAAAAAAAAAAAAAAAAAAvu1tOi2+mlFLEbGwT/QMAAAAA8NpI/ugmT6c371ZK6f04 + Ms1b7zWbfuLHf+xH/8u/fbYr3ptJJiWZpJzzYacmy3EfqXgWNz0eKBvLkWm9iThtSadRndvv8ciC + PU3ExH1LUpJcpmRSc7V+Zmbe3eQyN3NzyZMr92eOLT9UHdfJLdmxinY9uskTVQI3nCZlllLusSTh + RhV193meP/YlW3P3EgZa33D6Jr82MaWnn5qZ3fjOepG2CtB7j1J9bKO3bbal0t5jLTpNxo3I9vsq + yZdjy24/FfHn4eXMngduMLO4BkspUaWjGsf/CItteu+tta0+n16zEYCtY+c/9pBSMrPt50C0llvX + XccI7Q+HZMdBIwB7a69ON4vlWmvs5xYx2wAAAAAAAAAAAAAAAAAAAAAAAACwDU3aFrZBo9vMBtsa + xjHhk+nyk1vv8kNotCS5mrQeo6RXqT2eN/3h0OuPisE+Ccz2Ry88CdOWf2S0tutGgrWfZFp39a7W + SbZ+1UQbZWYxflOSu58O89RxDOkrOpQbAAAAAAAAAAAAAAAAAJ6r1yRGBQAAAACA+9Olvt9flaT/ + 4Pu+9+d+5qffeftiSCap9SaptbbFPR7vn/kYKaWzs7N1XcdxjNGe67qaWUTZReKdmS3LEivv9ATx + bB6NZzTJDkMr1ZW8y1zyQ0S6dVmPUOpbHsjkppbU0ulwy0eSS7r9/gEAeDp3j4jfq6urLW8+Isav + rq6iQxIdoVLKNoEF8Go5zbSOyizJ3dd1HYbBzGJlrbW1VkqJ+y1tOi6T3W53i0P33nPOMXvCPM+x + z3VdoyTLspRSzGye5w9HywMAAAAAAAAAAAAAAAAAAAAAAADAx0op9d5rrTFkSVLOOaJhx3GMcYLb + mi3fGngaT1KSyS3JkkwyNaV2nPeyH+dGSMepMA+j+PzR8lPuLV7qJ6PqPnpGhXR8Kp2+3pX8cOT0 + aLNHeyL2+NUTQyxj1GetdZomSb33bXqWGPUZYzNjDQAAAAAAAAAAAAAAAABgwzT3AAAAAADcgYuL + i2VZ3Nu3fdu3ff7zn/+Kr3j/wYNzSUMuJvXez87O5Oq1S2o1hjn14+3j9d6XZRnHUcchVRFlHVF2 + kh4+fOju0zSt6xphe3i9uamb1qSaVJPW3FvqEZWddLiZun2yCgYAwC1EoO+6rtM0ReCupNZajOvO + OW9bbt0Y4FW0zVYQs64sy1JrLaU8fPgwQqbjYYRbx727j+OYczaznPN+v7/FcSMVO/Y8TVPOudYa + WdqSYj6F3nvMsAAAAAAAAAAAAAAAAAAAAAAAAAAAz6q1llIqpazrOo5jDJiKqQxi4oJtTUTG3nd5 + 8QpwU7O0qMxKs5Kn1CytUtMhWzpLRcou6ypdxY9J18eb6Wn3H3GLZ135GFidJfNjwLakpGaqpipF + Sdphpo/YoHzoxhSdrxIzW9c1hl66+zzPu91O0rIssabWenZ2NgxDay02AwAAAAAAAAAAAAAAAABs + +Js5AAAAAADuQK01Rjq1tn7jN33D7/zO73z60582U++HUOHLy0tJKdk43XKsZiklQuzWddUxM9Ld + r6+v3f3BgwcRs7eFSuK11yyGdB4GWm6D58xlLknOeDoAwPPUWtvv98MwRPej9z7PcyklpaTjYO+c + c0xmcc9lBW4r5jKImPatPpdSJA3DkHN29+iZ11q36Ouc87Is8Vug936a8v4JxSHi4oqJEqZpKqW4 + e0yaEEnbov8PAAAAAAAAAAAAAAAAAAAAAAAA4MsTkdVmdnFxkXMupcSApnEcx3HMOZtZjGYCPokt + bDpsUdPxxOnygZ3c61FS9Uffby+xk5cc19xYd0O/ccCYmcEfpWJnKT11D3gJLcsyDMM28NPdv/jF + L/rjPvjgg5ibhVhrAAAAAAAAAAAAAAAAALiBvxAFAAAAAOAOuLdhyDHAaRiG84uzX/3VX/nO7/y3 + uvdSDr++p2lqzZf9arcaxVZKiVC9Bw8emFnk2JnZ2dlZrVXH6LtxHCP3Gq8162aHTGtTNyV5cjd3 + 88N/unk39y3jGgCAu5Zz3u12kq6urmJOimmaWmvLsizLMo5jJFsPwyApsn6BV84wDFGTty53zFyw + BU733ltrUcO39SFSqHWr+t9ai95+PJymaVmWCNiO3n5kZm9B2gAAAAAAAAAAAAAAAAAAAAAAAADw + rCKsOmYqkBRjl2LIkpnF/AbxlJmdDp4CnsSk4ppckzS5rMmaxq7SpSb1mP+gy7pSV+puXV/W7bF8 + 62MdfXwbV3YVaZAGKR9vh2jtKFiUrcn64/nZeOnFQOZQa43hmZJ67/M8R8OVc771eE8AAAAAAAAA + AAAAAAAAeL0Raw0AAAAAwB0YhqHWOs9zBN1N0zQMw8/+7M/+rb/1N2vt41gkzfMsKaV0u+Ga+/0+ + hk6t65pzPjs7W5al1rosyzAMMXSqtRa52nd6cnh5JZe5smtLr3aTpJbUTM3UjSGTAIDnpfe+LEvv + /eLiQseujpmN4xhzWIzjuN/vJS3LknO+39ICtxYTr+ScHz58aGZnZ2cxA0utNedsZsMwRKD1PM/R + Y2+tjeO4rmskW29Tt3xy7t57j4kSSinzPEemtaTIjy+lROc/Fu78rAEAAAAAAAAAAAAAAAAAAAAA + AAC89szsdHTSNmqhGNIAACAASURBVIgp59x7j+FRsSalxDgmPBPzYz704b4f0qbVt9hpV5e6Kxak + Z7x36dEO1f3Rsx/FTyKwo2zbyD+Tkh49/cwjAnGfzGxZFknRauWcY6RnSmmapnVd77uAAAAAAAAA + AAAAAAAAAPBSI9YaAAAAAIA7UNuy1vm9995xb73XlHS+m9q6fN/3fe8v/MLP1LXGyLWhJO99LLeJ + nTaz3nsE5sWAz2maSinjOOo4LjSldIvMPLyCUurJXMk1di/d00l4dWRa16SeDsnWDJsEADwPKaVx + HFNKy7K4ewztTinVWs0shnnvdjtJke973+UFbqPWOgxDpEo/ePBAUgRap5RKKeu6bhHXkoZhqLVe + XV2dnZ0ty/Ll9MzHccw5R3J2rXUcx/gV4O6RHB+J2nEIfgIAAAAAAAAAAAAAAAAAAAAAAAAAuLVt + gJL7YdR6a+3i4iKGTfXec87rupZS7q2IeLVEZLV1T125q3RP3XNX6crdrfshVtpNbpKUupJ/6KaT + 24ef9Q+9yiQ7zK75+H7sUKQtAPvRQ+uKQqbuqbfUm3V/6snhZTPPc8y7EmOcr6+vo8mS1Fobx7G1 + tixLKcXdc873XV4AAAAAAAAAAAAAAAAAeLkQaw0AAAAAwB1w991u94d/+Idmdn5+vt/vY/3Z2e7b + v/3bf+VXfvkrvuL9nK3WLilCqW9xiC212sxaa1uOXa01Mq23Le/mrPByy67syl25y04+867D2M1+ + XyUDALwZImFX0tYnGYah9x4PY3nrlgzDcJ9lBW4r5imIVGlJkWNdSqm1uvswDNEtj80kufvZ2dkf + /dEfufsWd32L2OllWWLP28NpmmJX21wwu90ufhdsPwQAAAAAAAAAAAAAAAAAAAAAAAAA4JOLkNdt + JOA2j4Gky8vLbXxTay3GDN5bQfFKcampd/Wu3g43xc0P0yCYy3S4HYKruxRzY9rjAdVySSnSr0/v + 41j9OK+Cbcf2Rw/7o1kXIhtb/miTY7i1dam7elf301fgFTFN07qu7t57L6XEYMwY1xyNWM55HMdl + WW4x2BMAAAAAAAAAAAAAAAAAXnu3nObeXfFHqCkl/sYUAAAAAIAYnzmM2dVqXVJS79XMva1J/hf+ + wp//B//g17/qq/7YOCZJrr6NdSrl8Ns8548f/rRlQ57eR4qemUXGno4DqyI8e11XEXT9wj16v2PJ + THf6KcSOkiv5Y4HWSlLW2pRK9qpUNVhObnpNP//TC6H3HhGPAIAXJue8pVlvK1NKOedtmQHeeA3Y + kU5qe/TAJUWF3zaIhOkbse5bvz2eNbNxHHVyvZRS9FGXjLtHr17SPM831kRXfyuJHu9wxnJsHP8v + L4q0vRwAAAAAAAAAAAAAAAAAAAAAAADAG26boMDd414n46FuDJCP4VHA0x2Dq1OX0jGsOsmyilS6 + SlLpKk15UaoqValLy7xPkkmt1kPudPctgDoWTI/dPwrEPs207j2Sqd3VmrtUW9+yqtfVm9Is7eWL + 0iprSl3WmpuUpb4uSc7I2FfOMAzbEM7Tlup0OcZ1AgAAAAAAAAAAAAAAAABu4M9DAQAAAAB4jtx9 + HEvv/au/+qt++7d/+zOf+Ywkd7lrHIukWrukYcit+RZx/UzGcYwRocMw7Pf7Ldl3C9iL+8vLy7s6 + Kbxs3A63tatLeZB1m0re5dFXr8t63wV8XrYEx957SinyIP3J7rWwAADgTREd8t57a23rhwzDEL2R + lJK7L8sS0dettZTSFjsd/Zm4fybRL4pjRQB2yDnXWrfIbTPLOe/3+zs6VwAAAAAAAAAAAAAAAAAA + AAAAAAAAHjGp1ZaUBhUpJU9JKcmur+fWfa1NipBp60p+SKzWbtotS5WUc5Ekl8weZVrrZOHGSj3+ + rKXYv7ssW5NyTv34kmHIkkwpK0vJlPfzYso5D6127xqHnSk/l/cFAAAAAAAAAAAAAAAAAICXErHW + AAAAAADcBU+PbtZlXepST0lXVw/Pd2NJmobyhV/+pf/w+/59STIta5VJJjOtaysltdZvceSIxNvt + dma22+0iAy8S8pZl2R5eXFxEWh5eA93UTZLM5aZuaklrUjkfrpvMrXTVpc3rkso4TWeS3XeRnxd3 + j0zr1pqkZVm2ZHcAAIB7sUVT55x17JlH1HT0W7YueuRM99577zlnM1vXdbfb3aI/k3NOKcURp2mS + FCnatdZSipktyxIx26213W53p2cMAAAAAAAAAAAAAAAAAAAAAAAAAMDBmIaYcsNcyVLvqqvOzqaU + rJQsSV0mDVLqyi6TmlTG0rpM2s+LTHVt8gjAPmRfu+RbDrbLH7/J5WtXl0zKsqSlqkv7LsuHGRd6 + jyeVpNyVpYtp15u35rmMSsWVlvXDidkAAAAAAAAAAAAAAAAAALy2yn0XAAAAAACA19xut7u+vnb3 + aZpKGX/4h3/43fff//mf/4XL6+V0MzPzZx/dVkqpta7rambHnfiyLOM4Sso5z/McsXZ4jbmpmdx0 + Oa8X57v54X6vera70NrW1q5bu+8CPi/rug7DEPGNOed1XaPmAwAA3JeIkT5dSCn13td1jZzp6Len + lFprKaVYOY7jshx+Hez3+5xze8Yu3LZ9SknS9fV1ZFfHw9baOI5xrDh09KAAAAAAAAAAAAAAAAAA + AAAAAAAAALhLLklt3/Iut7mmXUlJZlr2c0+ahmJLzylr7vIuJbmrLcrS2pVMTTt1ra14lRWpS0nW + 5cmsS0nqcQgzmUmSzNVNknVJSbUqJ8v5LJtqkkkyuSlb9q6S1JvyoCR3d1nOdii2y13jwOA7AAAA + AAAAAAAAAAAAAMAbhFhrAAAAAADuRJIk9UcrrEuS2VrXnPMwjGZ2fX1Za/3BH/zBP/EnvvZHfvS/ + +uIXH6YkSd4/Yo9P3POJSMurtUZG3TAMkWzt7mZWa52mKVLrIgD4Dk4ULxM3dVOXmknSkNL+cp+l + 8eyB75dLr+XiYhnLoRK9doZhiBD3uBBKKZHgaIfhpwAAAC9aKaX3nlKKTOvLy8vdbpdzjodXV1fR + UY/uSu9dJ5nW0zRFAPazZlpLMrNSyrqu8fCtt96qtUZJtm1qrcMwuDuZ1gAAAAAAAAAAAAAAAAAA + AAAAAACA58V6Hrrc8pSu69WuDPI2jibrWq9UpWZqXd5VpatLpfVH/+xn+tpT0jTsWl3mq/n8fNer + u3UpdevyQ7i1rOcuSS3JTS7JunkyV2reml9cXOzruppW85/8x/9YZVSaVAYVU5J2WdlkVUrNzJSk + pOY5ZWtuZn1f01TEjAUAAAAAAAAAAAAAAAAAgDcDsdYAAAAAADxHvXd3n6Zxv9+31oZheO+9937/ + i3/43d/9XV/96T/+Qz/0Q//8n//fklLSuracrTV/pv2nlGqtOWd3773XWiWZWSTkRXhepNZFgh1x + v6+N5DLJj5/nIa6w9dFytuT75QNfhgdv/X9W/yA1pdc011qKKp1zfvjw4YMHD87Ozu67RAAA4E1X + a42k6lLKxcVFdNFTSvM8n5+fS3J3dx+GIX4sLMuSc26tzfOsk5TrZxL73B7Gz4Htx0JKaVmWcRxr + raUUfhcAAAAAAAAAAAAAAAAAAAAAAAAAAJ4L61pXmdS6lvVsMD38QJa0nzVkNf+Pvu4bHii1eT4v + xfbru8ke1OuvaYuZ9dXnfvWgaBiG+Y8+yMdpEvrj4+GyS5JHsrUkk7nMNabUWm9/sLdcWrar3n7+ + z3zmD/Z7e+tTX3K/8trPp5/7X/83TYOyqfWyO1erGs/UpGJyySyNzM8JAAAAAAAAAAAAAAAAAHiD + 8GdzAAAAAADcoST108elZCnPy/W0G1tL+/1+XMdxHFtrf+7P/dlf+qVf+v7v//5/+k//z95lpmfN + tJbUe08pRR5eKaW1ZmYRXLff76dpaq3pmGxNdt1rIx1rivkx0Lof1udc6jp3lYv3P/V/XX/pi+/v + vusf/c7r+i9AtdZhGOZ5nqbpwYMHkty9tRaB7gAAAC9ea20cR0njOK7r2lobhqHWmlKapmld12EY + 1nUtpez3+1JKRE1vXfrIn77FcUsptdZpmiIbO2KtzWwYht1u9/u///tnZ2c3oq8BAAAAAAAAAAAA + AAAAAAAAAAAAALhj3mVVDy+VRz280jho7f/513/m3ZTn66tdtj+zLLn3pbR6Xcch5arluu+m3JaW + Tf/CWxd/8MFlajXp0ewdMamCHyfMsGOsdXK1JLnkMtfc+s5SSXludW6+Oz//3S9dvf/Og6s/+t0/ + Po5maX/58Kf+1a+/XlovY9/tvtT97/3v/4fGWWPWPOtiUra1taFMx2MCAAAAAAAAAAAAAAAAAPCa + I+PnjnXrkrKnyJfqpmaSlFwmudTt8DeR5j1J8pfvbxaty9MnvT+Ja0tf/rlYv7niUbzCo5374WGP + ezuW5PgU7oypS6pWJGWPh91NTcVNybskeUmSVGW9K0kauiQ1Uz/++W/60AdjfFi31R9PIYz39tH7 + GdeCpxtbRhOU/LBya5c+tPObEYyPovI+VJJP9Al+6KKWZC7/0J9rmw41qtvhmN20XfjHYvStId1O + KhzOSNEoJenQCMuqJPP0SQv8AvWPCJR81LKd3ic/fi7HVjc93g7rmGC41Qc99XyPRz49yrZ96h/1 + qemjKszxLHp8TjdabD9Z1rE9OXwPKsm6eUrepWTqL/7TOb7/8Q4fiic9XjmtSzL/yFO/fzeucd1o + Wq2ffpB38B39CQqz9XaOa3r0iLptXaB+yN187v2f2P+j98BOlh9vgpLUZd08ziAd+3LxriapR8n1 + 6Gp5+TpvrziTXClqUY5eROqSkrq53B6959GRzh/dSt2jdLwE+rGtS1LKPUXl6daj+iRPx0rVD43n + 4dRiD4eVdjzfl7PxwTM4+aZelnUYBjPb7/dnZ2fTNC3LUlJqavvr+V/+2q/9tV/71R/6T37wf/5f + /pFLZnrWmDkzi8i6yLEbx3FZllqrme12O3c3swjJS4lG7PViPRoLc0vHZiOnXNe5nL91fXX18I/+ + ML938cWUNIyy1/PTL6W4+ziOUdUjJ5JMawAAcI9yzrXW6JwPwyBpnudpmiTFGneP9dGTWZZlXddx + HFNKkTkdr33W49Zac86RaZ1zbq1JMjN3v76+fuedd9Z13Q4dfac7PW8AAAAAAAAAAAAAAAAAAAAA + AAAAwCtmm9/Cbjw+rHpsEqMPbR26vKs19a7W5a5W1ea//Cf/la8YL86b8ry8K/uavafl6qyM8/Xl + e2m66rMkz1r2XdLZoLa2bDLpgw8uL3Ly1iVlWbdHk6eZ5CY7Tj5mJtmhWEkyU3Z17909pTS6vNa3 + h6Kr/fumdrUU6Z2c6gcfvK9i1a4ul3dy/m+/7hv/n3Vf3z7/PV+/8M/+iYY85KIqpSKTkiklHSdG + sw+9Ra5+8n7cnNXhuO22zfE9ZHgfAAAAAAAAAAAAAAAAAOClccuYHzOllMwO2YVm9qyxW6+lbr0n + N1duPbukVJNqilBMJVez1E1rltTHFpnAL1cuX5Ikl/Ub96Yudz1+7/IIqgxdXdsfVHq6uVcpgiFP + AlBvRk66/DS61VxJ6bCld7dj7J+0LZsredqyVFu6h2zU15Wpl96amaZxv67nSmmtKXs3u86pm4Ze + vVqxSZaSXTdburp5Kr1LWotqSpJyT+aS9XaIOJWk5Om08uCjHS8ESW6S1JXcHvub5K6UewS8d4+r + 1RXp426HsOfsMlfpSp661FOqdtjhaXKJu0fUazROZp7M3Dybqbt1V7ckO5bE3SQzM2s6hMG6u46h + iSmlrlbdrbukbCkCVNw9m/U4mrS1D1meu0uqqUdT6UpxpZurS4eao95Mbt2VssyaBrOqvspysb7s + p/Fs3z2nwZfmvaWdJe+2+JDHWevLU+UO0c7HT/bYiB1aNjdFxGm0b10pKSK6D61uV5d5Ujd3jwh5 + MzN5N+tu3cysyj1Zic+rd3dPFlytu7vMs8ySeeutdy9jN3m3rRm1nMys95pSSmbe3by5u7mUDgMO + 3CS5myVX7q4ecTi9W2qmbim+DrIfPsElq6aItVbuhyZiS1OP5OCPjeW+m/f/8BEkc5l6dpdVV2qm + rpTjOlIzT3HhHINpXxKHynNsV1M/eSfduqm7mqcotlJP6s8x2bqbaurJU/Zo3rtLPXVzjx5RN9Wk + muTJc+/aktqfj2Ny8KF0kkw9HZpHuWm1rviUPTVLMmVvSbKemqWeZOqpq/RDLV1ybclbqm7qXl62 + zturLh2ustTNJI1dbj4nSXVqnhS59z3S22s6jCx6mdLmU1fyQ3vYx+6Smkmez+ytD64u09sljX2+ + fpiUpIuchtZXWW197epTOmvVZbmrK3fJU1fynnqRtJban38sPe7a403E8ePLKfUmUy45r0uTkpnc + vSSrScv+6r133/7Zn/7vfu4XPvsLv/DZ1mSmnFOtPaXkSt6Pu33CsLRjz0oRfbcsy7ZhKSW6fJFy + fSO7rrWWc5ZE4vWrqKe+tYeu1OMnvOS9m6zP10NWMrtams7fkh5I+T6L+zyd1uqIaQQAvEi9d0kp + pXVdo++xruvWIEe4r6TTlcBrL6p93EuKTOttzWnvJZaHYZjn+fz8POKob2ywZV231vyp/zcuoqxP + F/rx10Qs5JzjnwrNbLt49/v9brc73cmWzN17j39SvP17AQAAAAAAAAAAAAAAAAAAAAAAAOBV0For + pazrquO4p200k7uXUm7MV4CXykdkJT9lLJo9ej7mKbCIhXbJpUUaJWldljKZHWbSyPN+P03ncqnJ + TU3KRZLMmy4/UHJdX2tZfuQbv+mseer+r3Xl6y+lruy9dA3dk6m368HSdZ9d8qbej/MgtMNCciVT + 7z0KGWPq/PRs/NFDl+THWdqOJxLPuLek5LXu7LDBcJyMK1mSmrf9mDS4rfv5X0qqHyyfTvpvvuZr + m6XLIf/X/+SfSa7zcw1Z6jq76ErdNcSRmpSkpKXOqeSuNspjSofuyR4V4jAjTaRyJ5Ktn5tt1pSt + mYoxkttoypRSNGg0YgAAAAAAAAAAAAAAAADwYbeMtcaTmG9/JZiOyWNJ6hFNaiaLUD4lU8v9EGgq + T7L+UtxLXZYev9chyDbK+vi9y47JkZGntf2x3umfs/YP/QlfZFrHW6FDwquk3pVT/MVo/N2mxXtl + 2t6ow1t62LGbunpSt6dFOeC2SlrW2rzLk7dWSjG1tdVmcx6HZG69l+Re6/X6wbAbFYG52zVwo0pY + l+TyqE/JRbL1J2d+bC6O/PG/SU5++NPviETu8azp0fXih6j4frIHj7Rj1yGZJHWXSspu/z97dxor + W3bdh/2/1t7nnKq6wxv79etuNgexKQ4aKCmyWpMtR/YHxUFk2UCARMqHfJINB5CtJJYdCVEAyzCi + GJAVIAgQWEEMQ5AVybFlWJZoiqQGkhIlNSmLdItskk2KQ8/db7hD1Rn2Wv982FX1bneTFLv1rvr2 + 4/rh4XTduudVnVNn7312vd57/x0EyWJOIkEEkkSxHtENhYB00N1rFCLBbRQiyVKKk6pJlO4+lgIg + 55RTcqeZwZwqqQYnk5MTIgBcsMm0Xp+41PxneP0txGtQOqkqMNLcUptVQcU4LYtk0TanRIFzMnO4 + WCnSrlu5s2Bb/k9e2cR6eO4A4duzhviJWFMXAEIlhATQpFzcxskAqmqDnDQBEBRzH2iq2miqQ6uL + mYgI6kB7oRCEgJ6SiKiKJHEKSHOnOQFRuBkJEUlQFZGaaW0mAAU17NpBiKSs9FpGfF32xEGlrD97 + JZSwdTF01LjoE9ntOOVA6xNcAFClxuZu7jOgah33LwBsc3gq9YqchTv1JiHVAa1B4HRlHVNfM7hr + g6BCJ15w3zwtdcpE7e0oYFIrrwjWLc/6kClCvRVIfGqfzybBWl1Qu2GgbwvXi1K1nbWWCQgxUYH7 + pgmiKKAU2/TZZF0Zz0JJuCO2db4PxCG1PgLigFNJh7irqDiEKJswVsqfXUvx5UiErdvDddkzBaA3 + j48Wi8XxdFDKatZJkrw86pGVMJApJTrdPUtTamEDALggQWtCPAAKvZbhcIcSkTYpgOXhwWw2++// + zg+95jWv+fEf//FhYileb9+imnK2UqB8qYW/9spqJ202my2Xy6Zpar5d7cLVTDt3r3l1kWz9quOC + RFIErDdWw+Y+WH+fiewgGqD5M+gShBBC+Aq0jbytqdU12brGV69Wq/l8Xqd8T9PUtm30N0L4gsZx + zDm3bXt8fNy2raqa2WKx6Pu+Jk+3bTuOYykFQNd1wzC8jHepYdX18Ww26/u+fuMws9lsVivs9svC + trbWxPqmabYR9SGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEO0ydT1QzrUWkTnHCZmZT + nUVYShGRSLa+Q9TV4U4sAcf1ckYQAg18cO20aVvCV2Vqczv0q8Vsp/Sr3MxBCJAJDAXjADFM9jfe + +pYrZZodH76GKoO3X7KYvGCdui91pHJr++Lnv9j+6xeHPz8Qe2u9rlciAGQD7Hm/XubmH77lLcfz + +TNj/zMffwRtg6Oj1M6TJoh6MW0SBOM0Nm3j8AYKiA2jgyIpNwnAcjnOd9rNmyvg6wUbz9KyOXeM + OiNyG2Vd11EBsJ0BXR/XZVhEZDvdMoQQQgghhBBCCCGEEEIIIYQQQgghhBACItb69lIqNlF2RqXA + BUIoIVQhkgNEcgg8uyhhUqNbdR0U+4puHTABBc7nbb/E+Tae5FbMXs3eQx0y6eJ1cOf2AdbxWloD + t9b5oMA2nNexfUfWlyrJazLq5mOEbN4I8PpAhXYrwFIQsX+3iQlKzv00LQo7kjZqbkshyZ0s03iY + 6SgGltl8obkVES9KoM/rzPLsSATgLrfGEJvINtz9hbmi4flqqd6OunYgAaxxyJu8VaVDalXS5DXM + 1QGIAAoShIJq67jWdVZoQk3qzUBKAtkkKNM2Sa6qAgGTQASSNUmSofQuXjOwFZJFSRJ0c5IUUARp + HVTvBZ3O3GBmSKqdAChepuKNSM5tygKgrIO1BTn3Dhckv3X6gpIIpQthCgpcqETDGpDupTZUWRME + U0kKF7Sq9MEtw4vSpGmZdylq00rPTJEToHEAMHFsAuCT38ogdF3XGpeaga2ODoC6C0xRIATcoatV + 3zTdTjsnZZqmycyUkpQqSRVQdx+KEVDV1LQkqVIHWBuFJBRJWKYhOUQkiQiRN22poiFoIJ0UkDAC + 7jNphWASE07CApvUAWRRpes6axkATL3eUGTTLJivi/HJwlxxM9WhBmCfEoWDFFpyAFoUk4giC0WY + wUSpMeoKoIbuJp6JOzU2jafQqbw1HYIO1BDc2g5orTsmcFECylMs/EqoqZy4jgJkV11XVZgoiOQq + QOO+uWWf3ucD8fq+INREIUj0RCQ6iERsZhW4yTrTGtCialIDiev9CwYBUFRNHFChKrNQ11NWXvGS + cEdsXYqpuXhDVSq0TsFx39zvhEhEdii1CEzXd7ozItGzwRS9OtdB1CgKE+sutSNXqT/eaTAsjyS1 + O+0OdZzc6p6CLAWaBLSkdK+J3eIC33R5t1HZ4U7VD8umaQSpaZphGObz+fd93/fdfffdf/fv/siz + z95ISVTTOBZCJYFf8LvZn1RCao51nURasyRJ1slXNSEPQKTThRBCCOFlU9W6AkVdnCLn7O6175FS + mqZpm2kdmbghfDG1ow6g6zoAJAHUxV8AqOo4jnWJBDN7GZnWqloT6OtSMgCGYajZ1TXrepqm+Xxe + 37ou2VDf2sxUtWmavu9ns9ltO+EQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQwtlTJxbV + RQkAiMg4jtvn6z5mFlMFz6YvkPL8pYKlHQ4QIpoEBhDrLTDkpDoH6OZSIJIXE5Bne8v+eDHr4APK + CApWK0z+977hm9rj1WycHihlnrC3OPfcwc3z88776eys9/VSZbfm5o3LNp1H+onXvXFoZ0dZf/qP + HkaX0CTt2kmsd+/a1pwZGQAV2uVUP8Rlj65ddGpTSU3megmruvqigwWCWAL09iqlpJS2UdZ17mSd + 73wy8VpEogULIYQQQgghhBBCCCGEEEIIIYQQQgghhBeLkVW3kwDJa7aj1wRWbOJ76+NbSbS3omq3 + Gcz6ym+p25zhmp/34hS9k084NJ386eQuNauPqKF9L9pHIV7zp12EWIdubv+2Eslh6iYJyhqTvf29 + bGOtpf7CAUDqR3riiMKflsLQStcalCwCg5XieynvL8fp5s29WYuUh+Vz2ccbkIPJu9nekPKkoPis + aHZPRE1RBlwIriNs1aH6J719eIFaSdYhxyeeJ1CTbAkFQFGs650nh8v6F6Y4ESULoGYOSY2Sdzjg + CmQFzdU8EcmRDGpMhIDnMuDF3RWSIQoRp7trkykw0kFPtUbDmcDkki2nwTkMZRITEU2YipEUUQCb + 6k1QHYJ1+LELXFnztim4NT5+3VJQhNCkBlJEUyOQ0o+7M+Rh1HFovFFpMggfVjI918mQUj69kOSX + z9Oty+oAqJRaSVibUMjmklFcuL7RCDLFKYmCpm3dve9HACmlrusoKCzwUQxJkESbpCA5Tm7LBloz + p+uHmiAiksE2iTjpbmbiQhHVxKST9ZaSq1hKRVFAE/G0LmeGmmkOkeQiFBgdrur1jNxlHQ+8KXiQ + migsMAACru81urm461DhUyWEwtWRPBEoCleQkpiTJ0BNarGsxdFrpdOzcKfedBtufZ4u64BwuIsC + 6zRxIYRZUCPhayNxWtM8BFDW9ge+ue/r+rqrASa6DSdWV6WfuMqn8vlAHLL+USkuIJIJARW6wuun + 4UKFgBSAENt21QCKmygTHeKqLqogIEJVCqCbdukslIdX/dbUAKi7ECZqim2bYPVeBa3zcxIVfrrl + +WUQuHDd+yUEhBKUUlbLZhruGvudxdwOVikb2nRsy+Ws7XMeXEQaEAII64nCKRS12scWMBKtvwI0 + TdM0zfK4TJvwUgAAIABJREFUTynNZrO+7zU13/3d3/1zP/dzP/iDP/iZz36+FEfNnxbkpinT9JJe + X1VLKQBSSjWRTlVJ1kw7VZ2mqWkaADdv3jx37txpnGMIIYQQ7mxmVgOt6+IUpZR159a9xvSaWV3A + Iuc8jmPbtq/sAYdwBtXVEGp0dK1ENWo6pXRytZfahydZ69SXz91rPa3fC5qmmaYJQEppPp+P41hf + 0N1rMn1905MVdjab1UUcbudphxBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBDOAJJmBkBE + 6sTAOk+Q5O7u7tHREYC6w2q1ms/nr+jBhtuoLt6i2KzkogBQRNVQEtSdSWcqGIFpwg5Km1sME46O + AP/fvvd7r3/s4+emcs9y3HGfp8bpKBgOjvfzfLVazeVVvMhcpt89nx0eHd+9t3/t6HBmfkj+T/ff + t9rb++mPfgQ2NipNO4dNQIY7VAsAwFdj1zXoMlCgmih1bZm6eOJ6W5ctks1HHm6HbVh1XUelzqnc + buv0yboAi6qKSG3lQgghhBBCCCGEEEIIIYQQQgghhBBCCCFUEWt9Oyk1uQLiohA3XUeQgjBR1NTJ + dd6zrwNFz1hWXeI6fxSbAad8/rg7P/mjFEv+gnOQF/wF6IkIXoUYAIcrYICrE0qBCYF14GVjyI5E + wJG95ptuMq2lhlD68w9Mt8d2FlNrX7USIb3spk7NJh8ww8SSJF0efHjv7+5du4bcoEE3HPU7M7/7 + njd884NPFx/SOrhaqckhcBXUK6iAE4CCSoHzrBX/M6emwFbbB/oFi7q4QU3Xewl0EwuNxtfpreug + UCpFARHSOQFuogRJE5GkmsXbjDQNzVgWpXTmIFAmTMRqxDBh1aMfME7oR4yTloKckVOatehazGfY + mWNngfkeyoiUS+LY6jjPY5ZerJi185aFYxkBUVUHzEEvnbZCmNaAbSZA6ADqYQMQilJdMKmCmgsb + bSaFEyQa6O7YLx9+pHn06VkRpAQhphUW8/yWN+1+9VcfebEzM9KdgIkLkBw1UNyFJdXsak1EdgiR + XExgCqhRJgBakiCDDaimauImnhumFnSHm9EmupdpBrZAK8iT5XFqzZttSvZqicMllkdY9eh7jAOm + gr4HkyTVnNDOMF9gZ4GuzRfOoUloEhIH5ZDAppm67mYqI0WLi2sWFZXE5ADXF2v9RwGK19xlrPO5 + awAs1rsBSiSvzymA7FrTkU+1iRC41juUbMJoBQ7N1Jp8DKlB8e4QF1fk0z2gl8JUXWpgM1TXvYui + qGH2AEyRHMnh4nXSyKkeO6EOBWDqFGBzhZVaC3DtPOitGGKl+CmGEouv06mJzNpeav2UTCgCslYF + CjxRhUieTNRVkmviJOvIdTeptXUTfL4uJ+F2IpTsBLVI6KRwqBJCEDA4FUXgvm5VzlqClguK1tab + AExTcjSOebFdluaJJ9NHPorDPiVF22Hw0qb9b//GdPWuwQU5iVCc8EmoiSKiBZkQCrFpPM/KrSuc + jlIKyb39nXEcp2lo2zyUslxNb3rTA//iX/zc3/xbf+sTn/jE8fEw69q+H8s4Qdb9ohO+VLPkm+a2 + zhElmVISEZLDMHRdt52XFZnWIYQQQnh5RKTm475gOnd9nFKq3Q9sArBf2aMN4Wyq3fKcM8kaLF0f + ACApIl3XDcNAsuu6vu9f6uur6jiOqmpm9aWw+bJQU663q8zUnXEi03oYBlVtmiYyrUMIIYQQQggh + hBBCCCGEEEIIIYQQQgghhBBCCCGEEEK4I4lI0zTYrEhgZmZW1yU4OjqqkwfrvKf5fF4fv9KHHF6C + F687JDixcoV4jVpO8LqzQUZIhyYlgJCCBugE6EeYox/+h6/5urumMl8eva4MuwIALhispFboCcWb + Ip0ujC95KtzZocSw6ncUq8ODC1mG/vhyky6nfHT9xk+95oFnzPpze//kIx/BYoEZmGXStje0CXm3 + NXoSwJylSG7hmxxrAFpXakJdcyxylW+jOjGzTocEICInk61V1d2bpiFZV3p5pY83hBBCCCGEEEII + IYQQQgghhBBCCCGEEM6WGBt6OzlgkgAoLdFJB5goghPJznCAFFjNFpUzlI2oBIhNsKirKOBOhTio + FK/P1zRKoVJgYP3t88ZM3orglRr+mDavCdbMY7oAcAo2KbzrCN5Ug8A3mZECrTHbNdAadBckooYi + rwfHCrhNtkYk/902SlUiQ8yL0VwzSrkgyR797Pt/6v+4fzUeHx+LuHR2vVN/69v/0uvf1O3tHbY5 + udbgSRdVuInXIcxCUahDiXXAbcSQ/4lqfmr9oGQzQLwG8Z788Aisi/+m7igUQOMuhMC9hgSLrkPH + HYlI8OwlQxqyI3KxxgzTiHHEtWtHTzzx3OOPHT32xNEzTy2vXStHS79+pJOLuRAZ0mBd8c1MVJm1 + gBRIk/O843x+8Q0P5IuXL9xz5fz99y7uuYxze5jNPMvRAa2bWdMWlcHdRJrcJG18nCCeuA5Br5nW + 3GQ3KgVQrMuPAjLRu5QAGac+Zb0wb7pr1x9592/c+OXfujhwycm95DIu7740/76/8parF5vFnqWz + 1UJw07i50BSmNcu8JhHXU8a6VaOLuMAhtR12So3pBQCSnMY8jjO3hUqiN2NJdSbA8RGffe7G408+ + /fnPHz/5tB0cXP/c57QftO/TNGW37K5uMM+5re9loIkUUc95zKl0Wfd35xcvz69e2r/nyoX7rp67 + 5x5cvnR5f3doOtXskkdor6lXm1RdE261zA44lQ5QpEbVAp5c6q2wkj/zpoACMhvENW+qFQnZxCE7 + xSFFSAgSrJ6LnZnis7nrqbpAWFPSXRxgEoBiotSaL67Cmpt+usnW9Y6shMNdSQEIq7UY6uLKbSum + JnDUDPtT4grWVHXh+gAAAKR4LZaoieZQULJrcoUkagaQXROLq5so4Sb1r7uAQhFCSVP3s9SFe1Uj + tF6LhPVsm9pnENbgeTEh1I0Q3OpS88zMy+GmMKf13VlNkNx3p3J+efQHP/8LB+947+7RsTQtoGry + 7N7sz+3+d/vnv/0gz12TKIxFFKQpEqgQAdXF1p9GdJbudPP5/PDwEIC7q+SmaQoBwMwuXbr0C7/w + //7Ij/y9X/qlX+6HMTegi9lLLhNN00zTtJ0pWvOtm6ap86xEpGbadV1nZpFUF0IIIYSXqoZYp5Rq + jvU2MRdAnem9XaIipdT3/Ww2eyUPN4Qzqa6MUBd2qQnTImJmNdyapLvPZjMReRmZ1lv1lYdhaNu2 + vjgAd5+mqdbcutDMMAxd19V9Ukpd123/Vn2FEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE + EMKdxN1Vtc4n2gZa1+UIVLVOHqxbAJFpfYeoC+8IABc4WBeBEgGIlJGLlaSCfgVVNcdqgPkPf+3X + 7fbD/eTi6HiBYV/SkqbABCwJOr2UhDRhueDMeYbWh3kZdrIAaLp8bTXtCzAZJrsCjMUutrOj3v7n + N7/1ufns//zIf5DdRStT282H0aXNk08ONimLcL0UHNeL6rCuVAnFZo24cLuoKgB3r/M0m6apadbj + OG5XXNkGWsf6KiGEEEIIIYQQQgghhBBCCCGEEEIIIYTwAjE89HYy0SGrAvMyZXMRAzy5CkkIBQIS + 6xxEpQJqYuvBhmeAb1KlNxG5AByb/Ol62Dy5BYBmnZO5jt31TWxmDbA8GbpmQiQHICYoCSabAFei + cSSHgBRQMcj6t+rroGqFC6HrV0DNe3XUaG11EQqEzDWrMtwOJvAmLWmSWaAUzAx7R8tPvOPfX3n2 + +r2jjeOYMmUY9+DX5VP4wz9qv/2bgNRZKxQlXFi0DixeRy0LkBwUNQHgHsnWX5JDAWyHvr74o/IT + jYfCM0eCJolQoYIKqnBdfyk0NdATNVE781kZdq00xbAc8eQzeOTRmx95+ODTnz76/OMy9ihF3RQ8 + n3BBRNxmDnWDE6QSJIUgqao14brQzZ0CyYlJjx7+sM/am7l9yjikPLty911vfcv+A2/cf/vX49JF + XL487i4OJR+6D1ZGpWQmemu1VAiRaopwqu0Q1RWTbhooUWYd4QSocCmpTHju+o0P/N7VGzfuHq3Q + UhL4+Phzpbv2zJ5gdO/P0kDidSi4wgFXcSEFQk0OpRAwqbcJWI0yd1U4QE8GustQc4sT0BZfmF8i + ZTJcfw6f/jT++LM3PvzwwWNPPPP4k9PyeLdpd3O3P4xltbzSZDWjW6IrJCtqDSVW22QaOgx0ioma + qqsSnxnhx8ChijTZZu25++/df/1rL3zt1+DNb+7uvrq3u3PUzA5yLjlNoiYwcYhDDHBCwUaYhZ5N + E31zZ9n+0fojNkVdTjPM1ZEd6ik5ssIbjg19UlAwpZqoa+ub+DqY2ScFz8z9WujZcnJInbyRakY6 + lZ4dgI4KQh2SWPsbderI6d0fFWyVyBxMMagXdYMKNblLPSphIiDiqi6wdYL4qRBQ6AkuRM2ApyjV + Cbh4IhMBSkEyUUpSqFMduYgAnFlJgAFUL1pcteZkJ3omWi/A6R7/VxoTLwlCOK1xZFMwFc0gsukm + aR7bnPIE2Bn78E1U4YkQShGFAPSZTfj8U/b+D159+rnXzBbDaur7caa5K/1j73zP29721vbu+WGC + 0NU9z9JQViIJhFBZc7vFZd2DOkt3r3C7rVarc+fOAej7HvBVf2yFbdu6OGFNbv7xT/7kN33DN/zE + T/zDMoHyBW+NdaLaCxv5uuvJTGsA2wfbH2uCHQCSMecqhBBCCC9DKUVESilN05RSavbtOI4AxnFM + KanqNE1N00SmdQhfTNu29UFdImEbKQ2gVh9VPTw87Lou52xmL/X13X1nZ+f4+BhAXYth+6u60MzJ + NHqSy+UypVQXoxERd++6ri7WEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEK4w9Q42JTS + MAx1GtHJoOu6T30m5gmeadtlm57/xBfc0Tfrt7Tb/SgQJVQdVkrXZtgEMfRLHC5/7O3fOF/2bxBl + 37dAm0Rcl+qTwYBGsRC4QxJUPEnTT72esfVhXhIhUHQJm5fpUteUMg2GueLYkcBxXC2EF63suv3I + /W+YXb74Dz74EPJRt7+L1TLN50AC1AFs1tfSuqDWrUVkItP6Niul1EnNJOvEZwDuDqCuq1afqY9j + fZUQQgghhBBCCCGEEEIIIYQQQgghhBBCeIGItb6dEkprvjNNF1cDSo9kEIfnzWBCAA5hHWoIV0Ag + WOdIn4UtAOr6xy3Z/NnY5jgSatLUbOn6o4tT1MXrMEoTeE1hFWw+AXW59QpCCJGI5EhEjdw0gQmK + AoAIhF5HZ6qgZlwLFYBQE9UUAtHNZ3oKV/Urlwsk6TAM81aS6CjaTYZrNz7/rnd9jdusv7kDVZNp + HO+dNXrt+tPv+fUr3/K2ho2aE8lETZ2Kev2V24KiZy2N8mza1hRyPSgZm6R4F/D5mdYb21xgF6hC + IeCmziaiLWVWfFG4OzrGEdeeWT3ysU889AfPfPSR/NT186vp4ujnxnJ1mjJMQIrXwygg6erMQNo2 + CJtB6ywAUAOR63tZMQBXFTwaxUcHPKXhaDj67ONPvOd9z84av3r3uTe/+Z5v+Pq7v+Ztl65eRZsP + 4cuuHZPW6PqiYrJuNCgAQXUTUHzdVFBz2w7DkEUXjU4sPD7Ateuzx566y6a9YSjwNglUbyxXfv0A + x6vUzG//dfpTEwLruFZx1gtdx6PXYflKAUWAVMOJJ3GFJUyd2/nRZ8VnRvQjnnj8yQ9/5OkP/sHx + o4+m6zf2Vv2lgvOlXERSNznqhchgFuUwbtKr4bCaRyMCJ0Sw/RXXGc7mhEJVC0Sc4qB7XxTjMzeW + //GRJ37tNw53ZnbP1Utf9/Wv/5YH733rWzGbTU1z3Oiy0TGhSL07KJj11t2wvvu6JJ+87bjAFELk + U27R13Hv8FkZri6XTRkArynhAIAC2KaQO6AQORN36u392ustO6/v0VpqgjhcPTfPzrtldqI1gakr + ccq3SDcdu4l39cfZBugEcUBBQU2nV1tHa3Mzt0JqRT69/symuYSuZ3UI1t0wBzwh52uz5qhpJtEp + uRKUUaCdlyurZTcNwAghJAFauydwBwFrQK3B6K98SbgztgqKChxmcIAJuX1mMT/Ozfrzr/cWcRAU + BfQsdvmogGHbT6bPSinv+c39zz5xLz0fXG/zfGHWlkly+/Bv/y5+4L+eXbzryH2kZWHbKMqmz0wV + 8XqG9b4f85DubDnnYRiGYZjNZimlaZrm85mZqaq7933fdd33f//3nz9//kd/9EdXq9H4J7/mSTUD + b5omAF3X9X2PTdZ1ja+rM7Lq7KzTOMEQQggh3PFqyG7OeZomEamhvCJCctvfqMnWs9ns5BoWIYQt + ku5ea9B2TYT6TNM0dQ2FrusA1Iom23/C+/KIyPHxca2Y9Zlt9TSz+nz9alC/O7RtWyt1PQYApZSc + 4/8nhhBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhHAn67quTkHaBl1js2pBnTw4m81iqtEd + g9s1zKjYLFYmQCISgIMjqGNc/c2veuP9mi4f9ztjmSWFYmlFNPXuZmgzYJgIM8xbHUYv4Ly9AxaZ + UwK7aAumo6Eu2aHHg89blBFzwMf+vMq0Wu5S5NqN/+W1rzu8dP6n/uAhLBZYjdCMthOF1deqC0Rt + VpJLdZ7fq/8zOlNyziTrfMz6oM6jFJE6MbM+4+7biZYhhBBCCCGEEEIIIYQQQgghhBBCCCGEELZe + 5thQcj3q1N2bOmwLEUeBRZmu9MsP//wvfu4DH7o4jsYB4oJMT6qNuotPDjOliCRPygSAAuGZ2Lpi + IqhSs0bqf6BCldRkVZWUmqZpZ91sNkuzTppGF/voZljsYH8f+/vY28HuAl0LAE1C1l6wEhQVpuw5 + r2CmaR2fQColiSZJTnPNAIrRCZXUuNCsE5Qy5pyQ0lQKAUmpTK6qCgihBECl1+GxEft3exW4ZRp9 + 1rXHh8fnJ8G7fv2e557VaWVqicVrCKxN59h//L2/ceVvfP+sbbzJ3rTm0JyW/fF80bKs4yeFuh5P + S0CgMbbzS1pn1wp0MwBcARdMpWiTc85GX4cDQUrxNiczQjwRbmPSJqU8OYuxS6kx21tOO8slHnvC + P/B7Tz70+8987MOLyeaON5p2Ba1Ja8hkWr87QRe4ELm+e20ueCLQug6MPplyzfWeAGAQwKEQgGzL + dM64M9nl5dKOV+WPH7v279/9+Ky59MDrX/8dD+79uW/eu+f+cWfnZivHCWPKDibPWdMEOugCVRf6 + NPainM/nbuM8A8er2bwd0c9g5X2/e8/kyacJ3qoUYwZEuuXgkOastRAEEqG17QNcMSlcMBGpyWai + ToWShDOllEVW02CtKKQbp0vF22dv4tFP22+897mHH37mjx9til/O+cpIGX0u2tiQ3QAVOOrJU0kD + QG5Ll1Jr6fK0zrHepMXK+oEIHO6saduoibJKaS13K8zH8dJyHK8d2Mc/+dgv/dvjtnndg9+8/23f + ev5bvvH8pYvXm/ZQG5fWJBV6yslK0ZxG52jWzeeTmxJKJKMQJoDCANZY91NrIhSFCqGx8L5i//Gn + /8nsk48ujF7MpRORzDFBTNVECwxAyyR85e/U660WUwMgruIZ9ZEXUErTPt409/1Xf+3KX/jOa3kY + um5IRpfONflpVQGXUuR4P9knf+7nlx/4/YvTNDdSlOYJyROHXIoUONWls6xIrnKqn9KEQjK5QsVV + REQ5CaHujqTN7HON3vXXvufuv/xdz3SNtbPep5wk068WH9/9mx/+V//mAm1mRdkoa21wSwYAXhsT + PxMl4Y7YAhBJZey7BGgeqJ9azL7rf/+JvpsXbR2Z4gBAd1ETUERwtroQShVh1mY5TkzqZZqLYxwf + +ZV3vdEUNiWF+JgBwNrR71vi5i+/c/8NX/X0uNrbP79aHi37lSY1ALVzu7nv12lIZ+lcw2mpcztL + KSJSSgGAMjWqrlCFmf0X3/ufX73nyt/+oR9+8snncsrFCoCc1MzqF7gvEXddc+kADMNw8pkaUFfj + 6+oUrO1f2c4jLaXU74andOIh3C7bkNRxHNu2BVBnG77SxxVCCF9BzOo/OLDO5a5bd9/uUHsXkWkd + whckItva0TRNfbDth5/s1dTH265O0zSllJNrKKjqtqtfd5im6WTFrF8Hap2tlfTFFfbkW9R6vV1o + 5sX9roirDyGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCOGO8eI52tsZT3U+UWRavyrweY8p + mwhluq9nrtmUlUkyoMWQGgAwQ4ajDDg+wuQ/8ta37g39W1V4fNgCKdHpDjQJxQyiKlocIgBcBOPk + KlDARld51a+XouvVcRIErn5srgkrQ5JN+LexQSHgq+n+pMtnr//km7722Sb/4489gp0ZTCy3BZg5 + 4OuFO13c3bNmcBN2HW6f7czK2o6dnEFZtzXl+hU9xhBCCCGEEEIIIYQQQgghhBBCCCGEEEI4o2J4 + 6O2U6BhXsycf9z96ZHHzkDqI0JitSJu6DConUZo4KGJJfD3qsmZ3vuJbE0wirqIAZRNjW4O3RQx0 + 0oAVcBOgClV7TSOSAZOqNbm0zdSkoW33rl6VvZ35pcs7V6/s333XhSt350uXcG4PXUd6MSInabNr + Wk3TciqLvb3ep9HJlDVlhwg1CVhMkRwQqIk6SNU0y5yK1yGaRKoDPwkH1sGH4fZwdSzaZji+iTKd + lyZPR59617vuGvrWBpF16XWBEIsynR9Xn3nnO1/33/zAk9MwahqZEnXWzr2MtZAJFVRTAaFiYFys + LwsFDighgAsIzHYWwzAs+5WqppSEINjlZhwGzZKb1lngDpRs3qz6C6ltDgc8/cy1D3/k0fe+7+AP + P3zxxuG9SR5YLTtfx2cK8YJhzgQhjpqrDQDYXPP1UdVfbZ3cR9YxnOqy3lMJIVtOAuwDGCcARXR1 + gKPnnv3khx66trs//6YH7/3OP3/Xd3zLXZfO3Vgej/OFt/nm6qjZ2ZmsKGsCs+11cyqXy5VT9rqd + tmuyTefAruCj737/1aOpqUEsNc7ZFdDlagSSn7FY6/rpiUAIpcLRACaQlFbLYTabadZheTybzbrc + HF27tkjdxZTRj7Nx8Ccff+y33vfku9/TfOoz962GnaP+jcBMQQzFAWjTtl5qTrXj1rU7UenW1277 + mSi42UEAKmUdd61UwEW8JqzXl1Iq4RncnSadqKAooOizXP+1d3/6fe89vO/eu77tW7/qu777wgNv + RuIBsdrtDofjncXe0bAisNjbPTg+UsltznQ6qJuiku1W2O3pUbooXF1Xxzt//Lmdj3x0Pk5KFGlJ + a20kaWgsJRMkYS6s1fBM3K+1mLgLUr0ZIyVhohlR0uzG/k775NNdv2x3dkaliECJU21xhapsVkeL + xx9PH310/+hoMZlIIunupt40xRMBtJTWckYazE+1P5O6xijqJFDESFO37GxEJ4Pl+Wx/3n37fzIf + htzkEUxZRAmbdHmcPvvZ+cc+vjP286mkosmhJLUUNVOADaDJHWegJNwZWwA5tV7GlElJo7S7l8/z + 2tM2u0tyA3HZdBhY5zCdvSk5qtr3fWobVZ2s7CS5sJqee99vL24edf3SABEkOEkIWtqFYfr8+z/w + Nf/tD1y8sHdweGPwsrc7H8e+zolZn++mSUSdmvRKnl94ZaSUas5cDbo2swcffPBnf/Zn//7f/7GH + HvoQoPNZt+pXdergyRC7L5+qmlnOmeRsNrt+/fru7i6Aw8PDvb29aZpUNSaUhleFbaTiNE1t29Zc + 9phMGEIIIYQ7W82urn2euowIyZRS7QuVUgC0bTuO48t48fp9RES6rqvrOEzTlFKq8dvDMADoum6a + pqZpItM6hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBDOLIEcHR/t7uwKYO40F5GUGtBB + TGa5SUJgtAxiGjCs/vbbv27+9HP3atqbJnfvusZ8+iIrxtX86gTQAYUDsDthrn9dIFLrSTuUUKuL + YokngnABBKjz67L5zEYTTavhx974wGfa/LOf+lTOU247uEKVfS/zuYqKbF/1bK2HFkIIIYQQQggh + hBBCCCGEEEIIIYQQQgjhK1mEVN1ODqBpJ6Lpp/k4pkTNIISSyzAJmDCJ0BMAqDmoKQsA4TrC8xXe + Ak6hUwgKazpa3bo7ao7gJhqKpAuY1Oju7qBrgmZkZUrlsSdN1AQmco18FkKRqel273vt/K7Ld73u + tc3r78d9V3HlYnt+/9zu/PqNp9qdHZ3PRuFYenMVSQpJ6m3bDeb9OCKn1LaDma36eZMTfB3CKjX2 + t2bYJsZIzdskEZnCvsxnszT0F0xWH/oPNz/xyGvKKOStxFlCAIXvjtPn3v1br/ve72v2eZAacWWx + rknDaGjoojXe2BQAWocQphHT+KXI82Ms62MX9H0PlZw1pZREyzh6MdW013UjcHOYXGW+syurVXd4 + 8/xQ8MH33vid333qA79vTzy5U3CpYO7tLAFIpPl2dPOJVPhaqdZbqABKJGAdsS3udStKqXnETqjA + lbrdxzYvKJtgzu1o8/qLDNlNutDWHFeO7ei3P/TEez/41MXzb/iL33H+P/vLeO3V64u0f2H/YDye + tXMOk0ycdzMxG8qw0yyGrCsr/ThcoNxtcvjO32mevjmbkCECEWQApAuaw4MDnLFYOxe4oCiKqhLJ + NTnUocA8aaPJpkGytJnD6gZSvme33bu+xPUDfOSjn3nnO59+6KELZXhThh0eJIM2mInCKI4kdPFp + 6qEKpHUKNYhbn/+toGsVB5Fq2WISNIBvU1QVcFGHQHQTaL2+pq4+5oFk6+tB8g4UgTt36d2x9Z98 + YvXZd3zyV39n/rrXvf4//Y79v/QX2kmbu87fOH6Goov9c+O4mjl3Zu00mgtMtAgAT8SsAEBJp9k+ + UEuFJuWWAAAgAElEQVSRnLOpwYd29G45tjQRMCnEGzIRJNwFyUQkgTgLd+q6pZY6z8NFmQCHuMAy + NRXrJkuSU2ob6VLRZEWSymneHJXSsGnHvFhRliUPJaEgA6It1MG20AwQwAmbCqZFak61P9MfLxsk + gVBcEqnI8KzIsEy1yWc92qIqsxaLvmT34irMgA5cjt3ExtjRVVUFyQlBFvo6Kp71A33lS8IdsTVB + 8VWBiQE+JU65bzD2i7YbCxJQ+w8QOlzOZMdBVUUEUBHJivk07hwsP/6O91xerRwmJ2YRkUjErvv4 + +ceu/c4HLl7+nj5nzNtSXKRZn9ut27ECFJ69HO9wenirra7fwsTJYvO2IZnAN73xDf/0n/5fP/RD + f+d97/3tVb8C0HZ5GCbIunP+5dcQEamZ1jXuLud8/vz5aZoA7O3tDcPQdV3dcxsYHMKZ1bYtSZJN + 09QcR5z4t4sXe3lJ8CGEEEIIZ5CZqaqq1h5OKUVESik1l7r28JumqQ9e0svWNGszA5BScneS9dtB + zrlGWdc4bTOLZOsQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQjizaqb1NE1NbtZPOeAK + IDcQOGzC4QE8/Y9ve9sFK/cc3NhPsgtP7inpwTC1m9WLFHVtC/XNcmQn3kdroHVdUkY2K1m9GlFg + 8HW4tSAxkwCSA4ZEcRMVeqLrrQXcMI395Xa2WK0u9M0/eO2bfvyjD6Mr2FuMNrS7cxDoR+l0ZKFo + i3yqS0KFEEIIIYQQQgghhBBCCCGEEEIIIYQQQghfvoi1vt2coMwkL6QBhzJAMBGca0dagrqYr2OA + RUS8FICAyJnY4gXhA9wkoyVJ2KRcC+AkQIBi66Q9AeAFMA7r4FOByHoAKoEkIkWHo2cftvn8qd97 + 6Camg6zl/N7s/nvbe+9+4MEHd+67B/dcRddN2kxtLiJLOtp2LMXd27aVtlkNg7ufO7c7Lfv6cUMI + OLX4+oBPNQf1K4+T7mkmHX1+vPyDf/erl1UaN55IWKzjhhOwKNPs80/i9z+0+IsP3hSKqo2FKBlS + QAoAdRETrSOaXzQiOXwBsokfrnwzaLvJmTQvpspOszQ5p1SGUsbxYte1xvbg2n6/PHjooff/f/9S + H/7Y3cfL+0buUpAaiABCYxF1QSK3WcVf5CD8ZMjieud16ObmMb/Q480pbBKRN88IkihUvPg0lVoO + FjLOeHg5df6cPf6L/+qJX/2Vy3/+wQf+6vdcePOb7trdu7kctFtw1h4cHbmm+Xwx0Eqx+aITx6WJ + ePy5T/7Ld1w5WM5TchsdUKoJjdSE6eAIxsQveZp/5modMoVvmtrsUPrx4XG30xkn61cX2+5cm9ON + Y9w4PHz/+z71a78+/dGj9xm/tu/bsU+Cgcjz5O7T6CQSJOWk5Ogu9RqdjPN7UfN44rooN7+Xzc+b + C0/UK7i+uJsXI2tDDwUJB0gokWgLkf1iw/EwXD9cPf3Mpz/5saNf/jdv+i//+sXv+LaLe91zYv2R + 0dml+TQsFQ2gRWGbe0YilHCFnWKSqzYpA+IEJHVIC9VUzB1JHURWJAeoIL0YN5XvDNyp19s21ftw + IhUAaSIAvBNXI22iuzswsRVJmoud4t1RCHGBa2dK0TaruhQv7shokrgIGln3MwSAi1s51f7MQhIA + IQkZ3EmqIAFeoOIZ2lBqvLx6Sp4IAanaZknD8aqbvDVLdLcJBGEA4RCBowA17/1MlIQ7YAsgNVlV + GjUIWSQ7ynJUk8ZlEqXA1LHuXjqoZ633YGZd04KqLLMGOwdLfOwT48Mfb71QNAkBmoCsc66Q6Xe3 + 6eO/8o5v/c5vXVy6gJzHZZ9ScujmBqrbcGtGpvVXqhoXN5/Px3EEICLL5ZKUxWLxz//5P/tH/+h/ + /Zmf+b8BDMPUNGma7KW+/jb0ruu6YRhq7l0NpXP3rutqgl3OOTKtw6sCyZq8WDOt+75XVfez1f8P + IYQQQrhdapr1MAxd1wFYLpez2SznnHMehmG7G8m2bet3ipdqG4y97VaJSM55uVw2TdP3fdd1KSWS + eua+pocQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQlhzehI1syY3cJ/6oZnP62KJKEWc + KD2G8Ye/+ZsXTz93ZZh2fDzfdrRiUxmB1vx8k1aT4fmroGzmlfmJ/6jcWqnMlfonrGx2hrmsT7au + 0CV0gXKzSCNFCYdoXZNofZICJWzs58DlWffszes/ft/9B5fO//THP9Z2CaWgFGSFsBFOmGIJ0BBC + CCGEEEIIIYQQQgghhBBCCCGEEEIIZ0eMabudlAqXtB5V6dvxlwoYHaDAavYnAKcrqOuM0LOy3R6z + iACQTSDq5qhhoBIm6zBjqSmVdR+CpAME8jqcFIQAYnVopk1zKRyW7SQzkfMqZXWYnnqWufvMv35X + abt07ty5r/6qq9/09sXXvxn3Xdnfn9+YRHYXIhzGXmWxyGkasbx5OMtNjfulwgWm68RRtchJvm0I + DLR23h71N3eHAZ/6zPDhP5xTBkd7YmxxcgUg8HnxK4fLz//qO1/zLW+f75RRDKpilnOyTZwwazkT + ArWkRLL1F6WEQwHoi8KYm6Yh6cUUUCPdUXyCaTs/p3LxaMAzT/G9v/lHv/pvh09+8g1ZZ8O0w9TA + zdxtTABkqGm+CgACJmCbeVwvkavAgaZeJNbkkvU+wvVlk1t/a9MmnEjOTa4uosQmD3h9IoVAPak2 + 5dSAWtzGUtoEoF8u+33F+b7c/LXf+PjvfLB9w+vf+Nf/6qVv/Fpc0afHZbe7x/l82Zd+LLs73er6 + jcttlucOjv+fX7z6x8/s0c2WIhQKYQBGLaJlfnyEftX64vZdnz+tRKjDBEXXQ9hNoOoOT+e6HmPq + fH/F888+o0/exK8/9LF//Uty/bG7fNARnaPJHZOOZg10Wpms85bFKV6YkBoRwtZNODdN+eZHADhx + DWtxcyFg9Ycap6q3dt68zonL3VktK2JSE4GR/n/27jx4suyqE/v3nHPve5n522qv6lb1Uupudbda + KwgkjBAIGcYYsckeZBiGwB4CwuNhGTEMg8PGgCJmbMYYYsaGWYjxBAjw2GCExSYhJCFp0AKSQK2l + F/W+V9fy23J57957jv+4mVm/6pZEV1PVqu4+nw5l5C9/WS/fet/Nn+49X50nW1NQZRXQeuQ1ypNT + J+PJkw/f+Uh39P+++Xu/4+Abvkob3hzEnb7LFCKRIhAgi1PISC9loPXe7VnkxpIqIAAZcSGQmtab + WgG4nkvLe93l8GiEmYLAYgJwhhGFyL0QiqpxKaRGSqYEquncBLVL1t4aQcEgTlLvyBmkAAGkUJgm + AIpQzy6tUcaXdi+pZSIAbGbRMJ8XQgCgBK7J2qwgZdOgBiWDJVJS0+3dYcmxJFMNxDzvDhkRlGAA + mxHVy+GyOB+e7Y8C7lM9WwpDFBEW+t2MjogbE+oESRSkohyKisHo8pqzlDRFBFKLqjKbjTRv/dG7 + D27vBCAHZoWh9GTKCIZgAHTYZ779LnzmjtFXvGKnCVFaLWA2kNbsd4ABVmi95M9rjd1zjH3+xjnG + 2Pd927YhhJQSEcUYm2Ywm/Wa04+/5R9ee9Xxn/qZn2GmnM9lWp93q583+1/wehERIlqG3tUvgCEE + EVFVM6s5diLyN99K5y6pUgoR1XM45xxCaNuWiDxh0TnnnHPPVbWX3ratmRHRYDAopUyn06Zp6htq + 7nUIoe/72rG/oOWHEACYWf0sVa0LKaXUjzCz+lssvko455xzzjnnnHPOOeecc84555xzzjnnnHPO + Oeecc84555y7DAlx13WBBQyoxcEQBpQejUATHt/+37/5jeO77nyR5X46Prwy5C52fSeQHpAYJimv + qQGYV2aa1zA6V8tiXv3PAEBqzUHjWsrKns2TzwoDdbsMDIXVrSMG1IB5WS+u1cOMtBQMmkAlg7A9 + 2x5FOZrS0Wn3U1des72++ot3fBpDsVh6aFus4Yhn885xzjnnnHPOOeecc84555xzzjnnnHPOOfcc + 47HWFxu3sNCVMrFCjBAhRUylK8pQYgiBuOaPBjZoDYs1GF0Wj0vLTIJKFz/V9zCjhpvlAloEphLA + BK4pklrT+IxrPDaDjQCNpqVAMhrGegBllpQU46t4UCYlTfrdU2c/8+EPnx2FlRPH12++7prX/if7 + rrsOhw4h65nx2TQYaju0NvZFDVy4rhrDAnlA8sXHJFRKaSVsiGy9852Htreo6w2kddQwLeNyCUBQ + 7OvzXZ/81PEHH1nbf2ATiZoBEcyKGC/jVGueKMhgNUbWfUEyD9x9YpalqpaUo1DLofQJqbQhjsCD + 7U0ZT7bf877b/t+3D+6++7jm1VQ45xChJasCNbgXAIMJqgXGfN7Qb16MF2dAayK17L38aR5WSwYs + Y2Lt3CtPWOFFpjUMUJ4PPQ/MZpbUUl+IiwiBSAREKAkbI5DIbGcyAHiWtrZ2P3HnnXTj9dd+0xuO + fM1rrZRTm2ebwcqhQWtbW8eLyvYUH/74vX/wzuPbWy1PCiwyrBisGNUo7hRzj91dPnzgoh+jvwky + yHmtqxZATEPOYbJ9QDA6e/bMH3/g/t9918rtD15l2qQuMoyRgDTrBBQpkKFlNjOr7a8il6xQNj13 + XM9vwJcv7vlJAeBJMbF0/plnBCMslyvKhprJbQBTPWHqgPuiCsDMUoe+WzVshCZtn+lL+uS/+OWd + d7z9pr/9bQe//us2RqOzWpJYYjWDEs/3wyJ7+FLSnIvEQEQwS1pmlo1YmIBgWhSlnu9MYkGIoX3B + ZXO/VgIYSiwqVARMij4RCqGgZCGEaBKYgzD32vc5gy5ll8+YGCBOZFOkxjIBwiIsmqEkhRUEyTXT + mgkElEu6lxZzYIxAYCayjGKGEKkUKqqFzMQgplyMhZS1tpIw67uhyIAC5V5N9zSM80ZsvtGXwZnw + 3HgEECkAamZEYGZmRp9bkinIwErFaB5KLib1eFxWKc8ikvo+8rABmtkMp8/c/f4/fSFgJRUzVZih + hPm90gjFwP3s2LR55A/edcWrX9mQ5J6iBLUeBiW1equet9v0hWKP3XObiPR9n3MWEWYmIlUdj3dC + aFRtMGz/7vd+z9Err/ihH/qhnNPTWD4RLZPtYoyqmnMGUB+JaJlgZ2allBpr59zlqcY69n3fNE0I + oV44F5rd6Jxzzjn37JJSYmYRyTmratM0tfeORc60qqaU6pMLXXjOOcaI+oU3paZp+r4PIajq8iPq + NwVmrtHaF3nznHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOXSRt0wJALigKsHUdNYLJ + LqaTn7zlpVcXHBrvDKBtE7bG06ZWFGs4KPWlMFMp1rB0ZrVQjO4pVMU2L8hDBobSuSJk2Bt9/Wwk + Oi/YVbeI5zWfQOBaOM+AQjqvygVuGun6xEAB2gam5WDk6fjMNdROuvjDJ078i0//JR3YaKOgGBDq + spxzzjnnnHPOOeecc84555xzzjnnnHPOucuBZ1NdTEqMohoChu00hRz7YGizWsnUrJhlK8WKagEA + gxqIwMrKi6zfL+0jFoEHi+05lxgYmAHATGEwWEEBFIgcrILNs7ANgDKRzUNVdb61CgDMLIAwzDSn + us8oEJUySQD12EftUHh3c5r+6na77b67fvt9aX398MtuvvINrz/wypeCm50yPStszTAzgEAGMY0K + MjVSJb6sYg6f7YRDmU0ODAMefvTB97/vqtkMmVhaaF+zSZeptwZmtZZ0MJ7e8/4PnTjxovGwmcIg + pFm5xjEag+a5jIU8hfyvcW4PGSsAOndBMqjUx5StzyvtYMChffhh+egHP/0bvzn53ANXhVGc5la1 + aVe6vJsLQBCB1Os9Qwtq5DNDQeeOI1DwpPDjRbLmPP+ElyOtbf6IPY+2J5CYofOQ45q2y/MPoqKN + oAkSVZMaKYhAJEVLJEmTUlBaBgtSnm1Y35TJ5NZP3//p2+7/D2+/8W994+Gvex0OASdPIghOnZ3+ + 1jvu+qN3H+zGw7Yn1djCEkAwUzYOJJIQzbA7vshH6G/GgMLKxlGhQGE1oHCOuRzpSjNme98HPvs7 + 79A7Pnc8p5H26LoocZJSC4qRixWBUcNd3zd1CQVUIITIVNNhYXwu0BpAbY5JFwP/z6VQA+cGuJ/3 + 4jlsqOsJA4yIbD4qng2KGo7OizafmdBSvSUgm2WAJbMpz86+oONseOQX3rb1vr964fd816EbXrjd + 5t0IFalJ6gB6AZHRJc61lgZGZkWNtWtZho0lCxqsBIEoF9NChYrlkosBA65zJy6L+3UhdAbiHGEI + ACHDlEEEiPRBZsQz5V7ZwoBCLJTZcElneqjCmLSR0oasUnIxgxmMWAU5CmthgAFBAwpqE6VL1f8p + DGOYgguMuQ+SmYvlUlJk1oLIXAL3ginlMaccYmQ24xQskW1Pxmsp9aUnoHZ/2Jhqg2bgeu5TuRzO + hOfKoxL3xAUJ4GKYmMU02wklI5gS2BAKBBBlUYbVg3C5zFxSArFB2AxRsZ7s8fe8lzbPDMBJVeah + 1BbUGJB6XTBawsEu3/GRjx966OHBi2/ezSohsPaFzQiFqDbFhRhQ8d7tc9yT+8QKoO/74XAIIKVU + SiGiGGMIoZQSY5zNJmb2htd/3f/39t/5wf/279999/0X+qk1ea7erGscXYwx51xfNDNmVtWu60II + nmntLn9d17VtWwPam6apydZPI8HROeecc+5ZQVVr7LSZ1bRpALU/X4OuRYSIavd+2fN/6kIIKSUi + KqUA6Pt+ZWVlPJ7/fXUwGHRdF2NU1foG55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz + l6ecMxkkBIiABX2iwQC72z954/XHuukVXbKsw2bQ9RPr8/623e46aXmcUlFEQhuHk37aKBGKEGod + quWMNSWIMs9LjtWkZ5xXvvDZSXReDUQBIyjVOjmqgNi8jgEBZCACDAK2Pq0Nhtuz6cqw7VOXMwJp + BNi6sHPqJm7eet1LNg8c/PlbP4k2gL0Gn3POOeecc84555xzzjnnnHPOOeecc865y4jHU11MSshN + Ozt2lF5y47gfJ0wJOkoDqGSW3M+wu52n29onzUWsbZSGeTrIpS0qVpQUizjYsicadu/jBa9SzSIl + rcuk85fzhOWzYW+8wTz5lAiwmoswjy0lYhhAAhRVggBgqoloinmGtREAAi0TcBlmUJvHqhogAACD + mRkBgxBglruuAY6SGLSbbl2BYT8tmx/880989GPpqiuufd1rj3zta9euPt6lstvIJDSZBGAFizEb + wKqkMK7DQOf7oCayAmSLQZzzKES15+WwzrpnxBZJt/XwQNlAi+HCNa5YTVuila2dxz/80eHW1lop + uwoJrVHSxV6tKbbzsNdS1k3vfPd7T7zxW1euXBurUoAy1XhjIwVIFkdn/lnP9tHHF2Ie9mzzMGAA + hVhpHuW7HJY9Z+dOToYuf6EEVQgogtvcr3Z923enP/Ppj/32b8X/+IHrszadxZ1+KC2Qum4iEnrL + wiBDzmAgCANcSk0onmcY295LYZ5RzUpUOChxIkqCLnBmYD7SmhVQPteksDGgZEymdZ2DFTENqqIg + qJg1BWzKDDMyLWYUIMwMJTVtuFXNDQmoAEBBJEjRpuio39kXh9v33Hfbv/130//ntwbXXHXwiqPT + 049v3f65K7a6E6WUfjcDg4iUQASjuoctmEgxMcN095kKvWfMj/V5KXpsvAhF1kVGOBtYDGLKqsHK + oKQD0xnuvPfO3/ytzT/90JVdPpQzpbEAEoMmHSAqsiUbhgDNpe8bRlZEZmFGKWpGsBroSyADK1CY + MyMxJ0YWlMUoeSOghkeTLc9BMrBBDGQQs6AIqmKoB5HtXJSscmGDGbHNW3oiAKSmRKJasqEhijHk + krtORRAM+4p2p06PhvnB93zww7ffec1//o1XvPlNo1G71cZJCIWoEIPJQEbGUFFGvZftadXZzl1N + T5sCptnUdDTavfaanHNU4kRsgckEHXLuO5vNZjv9pvbTkYY2I1geZhum3GopdG5N5peQPf2oXQXD + 5rMyzrs7Qwp4HEMnNAvcCXrhXtBujGIYjmQtSqOBM3XGyUhBw0nTrh09ktthMiqwAlMjkF3SS0BV + y2Cwe+WxnZuv59JlVVHRwkSSUWY2S7MxtiY6zaZNNBvl0Go3ShosB7Pzttq4Xj51n+ieXTp/Bef2 + Es79KyngnnkceRxp3HAWGaBth6u8uiLDVoXMilkR45aGs8jxyOGuiYUAtpyTauZCAUQlMyNQEMvZ + 6pUCo3OJ70pg43PXAvgJR+0c40WP7oudGE/u7y2Ofj0rnrg3vlBf7qkrxEowgBbLLE/a/+e27vN9 + 7ufdCntyD9Z43jUEzh21J615Lrl2C0EgM7KEvhcrgIKIDAIEZTbCYpHPIF40OOetvi1eB1BKibHJ + qaCbobPP/O7v37zS4Mw4MghBRUghUAHIkBREEEPQ6dqsefA/fujIsSt5dGhaEs71Xc/roCr9jZo7 + 96w2nU5jjKurq9PptO/7GCMzl5KIqG3bvu+vu+7Er/3ar77lLW/5yEc+fv4//Wu+5tRkOxExs5wz + gFJKDbQG0DRN3/c12TqlJCKXaguf05bfrRbfh4GS0XX7Z11TdNH9n7On9X3fVapaM62JqGZa1wz4 + L/V6Oeecc85dKsu86lIKMzNz3/dN0wAwM2auT8wsxvg0+kU1GLuUEmOs+dbj8bh+fQAwm83qe+qa + EFH9/4+cc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc85dcp+3CAntKWFGSvM6SGxgCWFe + DatPMCBn7O78g5e/7IbNrQN9HgNtI10/DeDIvNl1ItjtNAhWV0I/zqmfDmRQanEYe0L9Gd67MoZ5 + Vas63+zZXiyFwHVb1ZT3FN5Z1uiz+qb5PtHIYTKbDmMznnYGbKw0k3E/IJAhqu3XbmgcT575ietv + /l9u/xTMEIHA9cDVWoh0/gcYVMH8hOIUzjnnnHPOOeecc84555xzzjnnnHPOOefcJfA0Y62J5vGV + bq9O6PFBuO573rz+nW+i0s/zDo1hDDLAoAUlYXc6uf/+u269/bHP3qGf/NQ1s3Rg0se020IMxQAw + MgFAULDVuMRFMDOUa+zoU3k0piZO+y4QEVkBAkMMRICeG6e4TLKmvYMlF2mRaovo4foKg9RAUDUD + FBbQEFFvvcJiEAZpyQqtGdjEgKIoCOCIsohyrfsD80WzAZqVAKmJsIbCEBLWzKnbMFktzc7td5y+ + 4/bN331HvOb4iTe/qX3Ji/btXz81GE7iaLdLQwxaDmYzzdpINOFpScrUhJhTx2Ay5j3JvWQZZIWe + X8nWdVyskQJgZaV5sGUduiqmbLoMi62/XW8knt698/f/8ESfcrK12PZpSlQjZgvXmE9TQgSkQ7dB + euWjj+G9H1x905sfiw1FMrYCJVM2JqhYNMAghcD6/Nr5ygqAC7MhFAagUvekskFUAS4Mm8fEI8Ni + YE3ZikYJEmjS9RwbI0HJXPJBA04+/uiv//sHPviuY9uTgx1GidkgRFY6QIWoIA8aSbnkjAiIiCll + g0ijJQdiRTYYBcpmOaMNECPLpKBkIYXBpI3bEZshdOsbgyNH148eGh3YHzfWw/qqrA41hOHKiqpq + X2w2Q6d5Mplsbs92zm4+/mA6+3h/6kzcmezvy1oqUTVoFpBqyTAQCQe1eh5p1sJCZlkVBjBATEQM + QwRQurUOjYT+VNed2SyfuHVV037NbQabNsRiigwiFJqnskaWrpSmHUUr2N6kLxrJuncM/NOLTJ4n + xBvXpRmBoAYUWIxtmuQhh5Z42o9tkCEhK4sFhlJJ3I2PkIXTm2d+63fu+Q9vPzqZHk59WwoMXCPj + kyrARMlsKAQuOYMEGSCGmtaWmWsLPs+RMWPLzNMgu4F3mrATeBLD6NjR9uD+1cOHmrU1GrShbaQd + hBBySqVPfTfN067bHU9On5k8cjKfOjPs+v1Gq7nIdBpTGkAjEUFDRNchiBFzTiWAIZyyCVC0NvYo + NRubkGtMLkEIAyD1W1cxH3yoO/W2X9v8+Mdu/jt/++BXvQoWZsOVoqydihDEBGRd18SRSZiUHjFm + KwGspFbjn2teOABju8A8aVIiEDNOGm78hz/W5h4GGIMDSgYKQOAAVeQpujS7+4Htu+6+/+N/Mf3s + 7UcfO3NE0QYmy0iAgSWiKFNNkDaq6fUXcr8ugBEKEIQ1qRAkoE8IaFK7/jDK6Y3V9S9/8dFXvXzt + xmtHx1+AwQpAsLDcnmWv43gIm23cCawEpb5OWWC7tPFCxPx4sCu/77tHf+fNZBmm8/6PGYhAChiy + YXv86AMP7957/0N/+sFw773rj5y8arBSxjvBVAOIwIkBqb2d2lVhZZDCwAQjNrCZkYFJQWBGSWAW + RdNbsx2H9x4M4eU3HXnt16xfe+3+K46jbWCA1HkiBZh3PA5L2GppVwKTWIJqHgRBUu5JJ2PWbIAV + ZlIlVWLQvLtQCEoWjFXVAIEYs2KeJiWmqqYAGQhMzAVkVsRIyBhEAOyJZ8hee9sfNan3CABixAYV + qCIYzKCAMMCSUgk1W/6pnW8AWxNS1mAIRmaqgAWYISqzEYG5duRsnrNqTGbzZHSez2MiAszqlhIb + Cs+TrYUZamRgm3cyC8wIMApGdeITA4UBQLSeP9ACAaiAjKNKmU4DCigDLKZY9OIy6zOQ8aykAIvV + YPL5pykhqrLCSI0tUb3aQcaNNpQsi60Gwrv+5IrN3eFswgYxFGjPBuFGGUULgQRkCCxFy6hM7/y9 + PzzxTW8Ej8ejofL8BBBTPRdu/TzqLD1fff72mZlzzjFGALPZjIhCCGZWrydhlNwzQzUfPbz/bb/6 + 7370x/7RO//o3VkRRFKqqXUsLMXqTQ3EbEUBhBByzjWObm++XQ2iq/F4KaX6PMa4fHN9j6qGED1R + YzYAACAASURBVADUdu/S7ZfnhvkkRRIAMEWavfd1r33Zznil7uH5VzNTAkDP9jmZX0I1uLE+Aqin + qHPOuWdM7So0TdN1XX2FmWvXosbrppRijLXnUJ9/CdfWueeGZVd82fNZZlrXLj0Wvfecc33z8vX6 + r8yslFKzq+s1y8z1mq1fE+pj/Wrw5K8Pe9UPDSGklGqW9nKB9Q31eY3KrhnYy18555xzzjnnnHPO + Oeecc84555xzzjnnnHPOOeecc8455y4HdZ4REeWclyUFgPMqDdYZgl5q4BmkOFcXaxF7bOeXytqj + 1PozXWbKCARNkAiOPZjUGiOYYjz9B9defRx4cT8blKyEhmC5MEHNZqoCmKJlwJCmWQgAivbzWlqA + YRnkDEAZsMWv5mv7nCjqZ4SyqNdke8oB2aKOFhY/Ylmvz7IQcukjA8B02gshESIhKxgIlg6VvLo5 + +6UbrpsdOfKWD38IKwMEGJEiqCEQkwIKECBaoLUGVlMP+nNgt156e1snMxMRM6uznrGouPIlWjXn + nHPOOeecc84555xzzjnnnHPOOeecu6x52M/FVIhTxCTy2UEERvNIQkIhgI1BrBpLGR7E8Krjt7z6 + q3g8wW13nvq93//cez5wNQ6ls6dWSZjKrIAE9qTQvnkklj3VRwCTvltpB6pFLQVA9VyedB0cWQOs + Q02AImiNk8U8hhIA1YGMixetgGoyIgMkopysZ0PTNCCbdZ0BgRAjW9asSIoGkCZAS0pGDCPAzgVn + 77XcXF1ugFhTgJy45FXYfoP2D8+2tv/ys7fxTde97Lu/6+jLbpkMc9l/YKe3STcObRPbBkSzbkLC + llNBaEK0XIxQSMkYUJ6P1qXn2zjNOvjVwAStP2aa56bX+EnUoclkkklMi+QwHuOjHxs++OgIDEJK + XZRBVtubwGeLIN0o1I9n+4kfeOe7r/r2/3JfCGfHExqyUmFAoTASMzUYw0DPQDLl5YrrgbDlZbCI + 6S3EAOS81oOYRALvTsbSDiKB+9lG0pXd6eSD7//023517cF7b5jN1hVa43XnSfRFCUbKhumsNILY + MAq0mBJAlkpuKWRLDEiQXDQZtKVxaKbZUttobNPKCh85fOBFLzr2khvxwhPYfwjDIdoGTBDSGLpA + yTAzEBEroqI1FsLBpEhTiKKf4OwuHnsU9z00u+POxz57x/aDDw5gTU5t1hE4qoakDCMUCjbNOiBI + G5GKKWDcW24ggAlUCtpSAFb0DIgVLAbTiy0aN0apuaoADAJhA+eM2fhSx/oCmOfw2vyaMmJAQ2hm + 48nqYFW7tDXdWV1fSW3YHU9iCFb6UPJG7lcR8PFP/MW//reje+67emdnX5dq81TXmEA1NEYabpKo + Fi0ogBCyQgTCXDpVoOGmtzwlYGN108qEuJcQDx44fPONV73i5bjuhdi/D8MBhkM0TW3/lObB0Lzc + h2aAIRXMZuh6PPoYHnhg81OfOnn7nfmxk23XxZSlmzZ9WQnIGaGUNgZNOWeNkaE1dJZUzcxyLgIM + giRdJsOiUTSqozwd5Hzyr279yCOPXP3t33zFt75xto7d4WgKUNOO+4k0cbiyNtnd5aYdDAbjrgsh + wBQALY8mqRnTBWZa7zUTeXhlBIzmyyNS1Tp/pkbsqK6FPh0+fPTIK1565G+9Ho8+Mv39d979rj9Z + 29keTPK+ILEApRgUHLSAAKF53C+e4l0biCyFkXLiGBQqAbsJYWXlIQunWrrxW77j5u94Iw6Mpisx + Hdi4Z9ZTbJ/CDI75bnlmWtqZyGQ0fOKrRYWZDVCLxHLosF1z1ZFXvPT6b3gD7rjzzB+/89Z3vfv4 + YLBfYTk1NWVp8U+NAJvfQZhhBAWKGYPnEa0GEHpAmsFpi5OVjaNf/eqv+i/+U7zkxu0wmK2unWLu + UspaSFgJRRVybqfxYufT4gcphoLSzWjPrB62eTuzoACSamQGsxVLJQFkDJiZWWCqgc9FNatCuBGh + rLCisL2dD3rScdEn942WjGv/iAEiIjNddMwMgM3v7E+pf0ja9z0zMwcUixzQUqY8nVrc29uk5Qwa + ZlPb04GEzf/j+eEyYjIYzApQipIhCquSWiFQlFZZSyk10tsISov2bU9PgGzxVE37jtVoz1weUjaC + shqpgi/1Wc0GNtbzXyFDzY9f7GuiGuxtRqaxFOlmD3zwwwd3extbFJiClmda3Xv1ngWyXAhYLXrg + sbP6ng+Mvu2bHkcPYoDEoGD+4ueDcxXpoq2yX/iFn/83N//KP/9f/2XKBUAIgSApp/mXHiJmLkUB + 5Jybpun7/vMuchl0V4PollnXm5ubGxsbzFxvjmZWQ+k8ju6vo7UZoPpc8/6u29d3rJ+n6/I8/nLk + nHPu2Y2IiKjruqZpSimllDrlu07tbpqmVqmo/YeavOucu0SWBRdqdPTe3vvKykpKqf69q5ZdqBdp + CKHmVdfOfyllMBjMZrML/WhVFZGUEjN39Y94QEqpfmvo+75e/l3XtW178bbYOeecc84555xzzjnn + nHPOOeecc84555xzzjnnnHPOOXcRiAgAMwsh1BTYmnJdZwapKhHFGHF+aqx7BtAyShm17MziF7b4 + 3fIR6HsbNgHGKD1CBLNqajgSFLOErnvLiRM3hxi2zhxgminKnioHtufAPqn0gX7xN9hz8aT4Qhs1 + f/383+6J+j6nvlKIFWpANB2YRiBsdtLNfvSGF/3i526HGI1GLDDiUhAwr7Ozt8Sfe4pqe1XLodQn + AFS1zqakBTNLKdUGzTnnnHPOOeecc84555xzzjnnnHPOOedc5bHWl0qNvluOSuxzCiEIA2pImlUH + xs0wNF924tCN37fx+ld94ld+/US24c6mWhkEqtGzZDCwzZPCFBeYcUXQlRhyN+uBAc+XIQ1pbywC + sC4yI01N1YBCNB9bXIMEa+IIgCABAGv90RRGSgRTJCEQoaRZMTAQBdQiTZSBWLP5FKnXAiYQm8C0 + AARdJFSijr00RqFzUV41/K8YSOqQTGPCKEifNZ3dukra8skHb/3Ez63ecsuJ734TXnYT1pt44MBY + w2bXQfpmwPtbybNcsqa+o9gUQmEFclCYsXKAMeP5NXaTjBmqBICXWaoMJZunAhdGZgAUiYPqqOhw + Onv0vR/eODMOUsDoCxhGBhibFK3ZnIZCqoQosB6R5ex9D1z16VtHX/7KtDrYsTSPvTSGhToYt376 + 8yq2jQ3QmnbMCqSAOg6ZoDXprpfaYuii6WCCTGddDAzS3W7Wrg6MqIwnV2bi+x48+a/+/eaffejK + frxBFDpKamABATCQLsOe2TAiKWozM1VjIAo3gZEVloMABSkXAigOphzPhjg9un/9JTe94DVf2b70 + Zhw5XJowFirErTAberVeLTOZUCFOphxinWxgRaloIPCQGavW63Btf7MPuOra8OU6ML1muo3NzYc/ + 9rGdT3/28Y/f2j708OGUDgizFStgQltj73srxgQSoja0ljoCWHkxlNuECui8NnYvsr1DvusFb9id + ABfaiF74IQaUMqPmu7IaG3FTwCJ9t9U0TWjaseWSYpTVAWvTzw7l3GztTt/22/e8452jhx48tjIw + wyRymxFMeZFBSwQF+jRjhUjkev9uOOdu2oMajYOAGZJiwqPHV5oHBgEvueHqV7/q5i//chw7BhEQ + 9TEmiRqkEBdFtpooy/O5ImpkiAqYcjGKxqurzEhH9zeveNG+b/66fZMZHj+bP3vHXX/+iTOfvO3I + 9kTG0zWaSpqllKOAmSdJBcTMZBbIQFCFAmKQRRC1zTO/kZjFcGDax4dOPf6vf9NuvefKv/e9g6uP + nD6w+th4Nto4tLM7nvF0ZWOYUq9pvNq248lUYguwQAEUggJManbRMjVroPXe58zMTewN4zzZuPZo + 2gij67/vlq9+5V/98196weZ4urUZI6e+B8GkFDLKYGJcWIy6qppIIwCVHAW5QJrhXSXvfNkNr/mB + /wYvfUmfS9q3NpawszsdrW10uVysTb6IFlfBnleYRYQNuaQupygSWGx9Ja21snHDgVce+8pvfs2f + /+z/UR49dSwSjXtaZHUrIbMpNJjCwAw1ZCiISMEAauJxgbbhYWD3xJUv+cG/G1/9ZRg10yhJmlJK + 3/dZlYSJiAjM/HmPigFFqIe1ZgBmkzGpFSHj8zKe6xMBCAgBZoqiagggMBdhI7OUk9p8ClBAIJiq + Jg0hQANUDUbEILJ5H8vUzluTumXzlFpkGNW8eYPZ/P6Lefy3WW3uBBc234sNIwEztGiPnBU0hUUE + hhUz7M2vhqHUQ0q2yM6l+QQzMyMmVVUDFSNGZASDKoiQsiojCgWTUvpSjOotiqAEo/lsnEJsAC8/ + dCHNOuh5rzyT85d4T5NidW113nAZkBhGND8ZjAFk2ChKuzvWu+87/anbVnfGKxEodTM1FgXmJ23t + /2QzAgJjoHTgzPT+9/3Ztd/y9RYaMoiCIAQUBqCwRQ/euS+KiCSE7//+73/hdTf8yI/8aN/VQOrM + zCGElJKpFc0A2rbtuu4LZVovl8bMpRQRKaXUKabHjh2bTqdYfENkZp+g5ZxzzrklM2uapvYx6hMi + qrO+azhuneNdf+XJ1s5dUrVkTO3Mi0jf9/V7we7ubn1SSzAAqJnWtS5DjaWvtWaeRqY1gFqYJoSw + jM3OOddU+7r8GpvtmdbOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOXW7qxKI64ajW21mG + vzZNUysM1AlEngX7zDFgUYeHCAwoUKDLH0EMKBEbFFQrm2HY1DpgTGHQTSfNiggD47MgwW73E9ff + 9IKioUuDdrjdzeS5mEX9JfTkykuVzSsJwcxqWSoFeDq5nvnHrnjBz9//AATUoieMBDAFFYMqmEBN + PQfoiSna7slqgRQzq4VWUkq1dkpt3Gq5lZSSiIiIt2POOeecc84555xzzjnnnHPOOeecc8459wQe + a33xfd6k3hCCAgwyCTYgUiuKCeiM2GA1bnztq7/y6mtv/4n/OTzEg9lWSlMBuCa2Wg1TfLppdloC + Q2p2sSIBuTdpmmmyLJKFE1FPBoA5gGlqZkJgBgCeZy5CrZQiRELMzEJMaqUUKnkUiXJHKQVgKNQy + odNuglYAgyoKigKBBlEiiHJKRjXcG0rKxvNNo/PGYpLNw5JnpiFQYNaiZiDTRpiL5dIPpt2+JI// + +a1/fscdB1//6hd+97et5dQODrTDwWSAgtnW1rgliTSUKN08lXAeGKyAEoMAe54lKwNqTFBabDWb + ArxIYWdbxEYqQcyGqcMDD57+y09dg4CuK0DTSupLAwFQ9kRQ1ojKUiwQROI66LY//IObbr5ht13n + YYBlAAapo5+5ZrQ//4bJSo0DJxRCFgAaFGJKgKGmlYKMAC3MMJjZYDCCJTOEEEbCdGbzmCJ9+GMf + +eV/c+z2+67NWajknAIakZi0V9Jl8CcD9chSEOSewRzFNBdVdKoGAbqCqUBX22kY7Mb2wC0vvvF1 + X4OvfBXWV7E+3BrGbaExszIFZsmzqGZGpswcKAiMi2oxrnMRjFAEgBmBAY6DMcSK5sLGFGMQ2ohH + Dq9dddWV3/RNePARfPSjj37gg3ff9pnQTQ8wj7rSBFiRohS4BVkp0151AODc+WLzdM+/7hQiNpgS + CFrEkCdTuTSH9QkYRiiiZAQQq5Fmo6KjUZs1Tbu+aVYDB51MVsr4MBQPP/rJX/yl9iOfOnx2+/D6 + vun2qdSIzZPgBQbQPL04Rsw6ADWdhhKyTaCElUEYz7JKxuraJsXJoYNHvuY1N3zda/GSm/JKOyWa + ltKzaNNkll6NSAyAEhFJDfutwTMMAfUgmKmqohSCimEUySyWNByONjYONNdcc+PrvhZbk+7dH7jv + T9//4N23HTJZ7Sa5K41RAAFCYLOsBhESsVxQSiFeNBnG87uaQaBrxKspHcny0Hs/+KG77vyqf/RD + B1/zMo7Nma3twWAYo41nE+TchFj6bjhoelUArADYSLWeEPWGcjHUHVLn1WCRbF0Y2znJxsrd08nK + gX1ntraPv+qVL3/rT338J3/myNbOqqoCTFAUIhSAiUHnWtqnosAY2hA0g4NMmM8Oh/HlN73mZ398 + cnBlEqiXlRzEEHnYdOXSZrRfRGZWVI2Ig5AIDFnLVklbsLixspv6q7/ipV/xL3/u0z/+03bXXVeE + 1jIYusy25sWzbFCDkpIRyIhMCRmYKm0PVvP117/yH/+Ivuj4Y2tt3/csUlI2gojEGIyQTbVmJH++ + AGglGJHWrohZnnVMBKDAake53rZquHXtoighZQgQImDS5zzTwgABjUAYZiiGojBBEfSpGDF4npNO + RGDC+WHFe2KblVAAwCIAUAbYEILqMM9IoWRE87hrEBiMCzkjyNBlZGgKRCsjJutzl6EyiJIjGQEF + lEEFxoAY2Eqd1ER7Mq0LzCzlVqiVQFqoqGUwQRgQREMGDJZKrisXiOeXFebh3E/ogxGRmtZZU/10 + Jl/Ss7wejdp7RL2lQpW0EDIDQDAWBSsbQYPpdGd/0Tv++N2j2WwIEm5K6okBQFAMpHsmCKmhYYDA + fV43fuDue7buvGPly16adVBI5ofVYEB5/nWW3IVa3rBKKWblDW94/W/8xq//4A/8/dOnzy4SrOfv + HAwGs9msBsullL7QAutvSyl1mTHGmk+Zc16GUxJRTcirs1Kfie10zjnn3GVvOak+pdS2bc65dhiW + b1gWsPgSraBzzwu1KEy99EQkpbS86GqRhRp6HWOshWZqz7+mXy//SFgjsS/oc2s89vzPaKUQUdM0 + XdfVV7Coa6Oqy1ecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc5cJZgZQqwfsnf5DROPx + eGVlZfmeGGPXdW3bfonW9Hlmb+kVOu/lAtC8bs38kVGL2fCsS7GNAJrRiEpCzij4kZfd0jz06PGm + HaqlNOsgBCIQ4+nWNnQXgggwZFgwCIuZDUxlvHN10/yPN9/y1ls/g9FwNBwACskmpov6agTFsqyW + z8z7opZTI2tqdc23Xr7YdR2A0Wg0mUxKKT7V0TnnnHPOOeecc84555xzzjnnnHPOOeeewDOoLrIv + lJEcpMmmWVXJzCxDuahBYxxuzibTduXK619440//44/+7D89fN/OMUQtqSbggqguk+r/LjDhrysW + CUo0TdYOR6CwNZnEsLbL2jdhp+FZI2kUm/XVtQP7w8a+4TXXpHbQtu1gMGjbtkYgCGg2neY+pVnX + zWbdZLq7s7u7vYPdrXT/vWFrO/T9Gni1S2F3smq8StKX1IhwK7nrC8DUW0lqJSDWTVBSI0uL0ZIM + kEFq7i8A1JTlGgxpqkaAAlYMXCxAELa7syMeHaLQTPPZd77njk/+1bXf8PXr3/rt6wfW7x+ZrTZT + 2GAwKp1ClVjZmMv884ygNaTQno9jasmYDXU4shgIaoARqwFgUdTURra83vf3/Mn76PTptuSkUEZg + NipqwvMo2eUhW+xJg6g2k/GpT3wcJx/jKDTcT8YACjEZiRkDhaDAxQmhfZYgQJSN0BOyQElFUVNv + CwFkQUEGNighMZQ1UOi76Si2JeWR8Oj01sYkP/Yr/+dD7/i9G1WDTfqiA4YB0g77bieAaN5GsEBr + 02EEy0mAQFxMMwCGgARSMmR945Toqf2rx9/wupu/8Q249oWIcUphwjJjzpAMiiBkU5TEMYuxMcjE + CL2SmpkxMxUDEzETi7IqQLDU7WYiSKSmZZWiYsrZQh6OxoPUrq2tXX/VsTd+w7E7Pnfnn7zvk3/y + gevS+FBXzJRRzDoyhdAwRO0TLc7Yqoa/kgK0GGs9H3s9Dx+dv2bGYDY04G4yGQH6hQcS7229n17a + vRKzqRjI6ucYGTOxEZRASmnSj9qGzXbObN6wf1/z2El89C/+7H/7V8d3u7Xdnf0NY3czACEbQPVk + mG8aaQ2CjgImFDWDEVggbWgms92Vjf339tPH9w+v/a++48R/9g04dHjCTS5ccijMKqKMpFSMmaVG + y7CBQDArWszKPBGTiCQQkwnXHRkATRoJZJKoPC6FWXjQNBuj9b/3HS/6r781f+xjn/3t3zn9Z395 + NI33gZuax0pkhmJ9KcYMCjCD2fzQ1FsZG9hMCWS9sMzK1iFu13YnH/if3vri7/yWg9/15vUjK3fu + bA7X1hoENW1DM+27SALUppzJIMpEWghWm5SLEYK7HHFeA7fm2CxKn1KIq8UiDcJmq6Pr6Mv+hx+7 + 75/8s3L6NAtA4AIQemEli2C5kGkbArKUWGCKWS7ba6MHr73iq3/0vzuzOhqP1gpxKWANpkjGIkxk + z4pbmDGZWTGDWSBmZmNiIpKgbCb5UesPXcG3/PxbP/dD//3mfQ+usgbkOuY/KgCtrWIGjEAAk7GZ + GRJoJtKt7T95cP1V/+SHd09cOV5dnXHQ4ZCNQ+D5Bc9UTIsq0bxX8QVX1QxMKIWyRrCaks1blnlC + +d7pPQpmkEELTDMRVgUcI2C52CyVYoiIaAbjJuwyZitkwsxsZqUGx9dF1myn85ummtcOABA2EIoR + FLKa0pWdttO+GAkLKNvTupMaWIA8Wjk5CNsMhpgNCluIDZKQkVEB5RqtbRCAjQikRDSfj1EKSqai + I+K2aJNzm2jA1oKy9qmACgKBCUlRGLFFi4hiyGbGYlACW23HFefHexMghH4yA/iiXNRPAxkvd6wS + eN6q1zMSmZmApjArs6GQipB0HR45/fCffuDGlAftcNZtNef67QpCYcaixxsJxKTFyKxFCZtn7n33 + e15+04vOhGYcYxIGVEzrjnq2ZNi7Z05tmeiJtwARUmVhfs1Xvvo3/6+3/cAP/OC99zwIoGkaM9ub + VP3FF19z7/q+r7l0OefhcDibzcxsMBiEEOrSaiSeZ1o755xzDkDOGYCZ1andZlandgOoAbe1REUN + 0/X+g3OXVIyx7/umaXLOIYQQwnQ6HQwGWFyP9bE+qX/0Wv7bEEJKqb7hQj+3lFL/6FF/bNu267r6 + Z4Su65Yp2sxcV+xiba9zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7m+uTgAkImZeTgWq + 04VWVlZSSiGEOimJiDzT+pmzp+INAbXmWy3gt+eX83ommNdN0kEbx12WNrQo6DJ2pz9984uP72yt + DEIaTzGgIBgiWMlmZh7se7E9uVqOwYgIZgokmGqhGkwuWEEuZ079sxtvOjtY+blP/iU2hghcj4nC + ABMoziVbsydbf3EiAqAWRWmaZjnfuW3blJKZTSYTAIPBwDOtnXPOOeecc84555xzzjnnnHPOOeec + c+4JvHz8M2Q8HlOQEAJJBKOUDJZgTckqspLbwYNl9/gt1137nW986Od/+RAoEgyLzD8DGRsrlsGK + T00hboaDk5NJWRn1bXNy1udGVq440azve8ENNwyPHY7XHMeVx3BwDYOIIGha49iFyEQ11UBVk2pf + NLJI0SEgxCwBIBRF16GfYusMHj2l99136o57tu6+9+yjj8t4PBLYeLdJeaUdDtg0Jc6lEbGS5qMu + SRejZAEsRsgut26ZUcrIGckQBEQwRTFQAUlejUK5szzZr82QsH3n5r2Pnuw+/Bcv/eEfvPoVN52c + pWa4wk07Pntm/+q6WjY6NzRTAYYu1uF5pO7uvcNeyZSBQqwErgOUFUxqpG0pOL354Pvef3MImP3/ + 7N15tGXHVSb4b++IOOcOb8hJykyhwZJSg+XZ2IAZCjC1GgxUuZmpWm27u8EUFFXUgirAxuBmMmYu + VndR3fSwFqwuBlPQzdBMBuOBuYyxDR5lkGxrylSOb7j3nnMi9t79R9z78iklUU6RliVr/9ZdZ513 + 33v3zHHivBcR384oIRuGPkeKy/1o+44gGQFkiIBIXmPaOHN68Xu/ffjffOvOMCCwEAkxA43UJtJP + gjTWK89YCUZag1qDIamSQRi2ai9MBgZHhTBy6ZvEZZhvKNa3F825s+9+/Y+NP/ChW3dnadEJITUg + odFk2s+3GgRAgtVrh1nD3lIBpchWSlEwQ0O8IDZj7g8cPN02J17y4lu/6p/i+JEtxmI83QVRaJUY + RiAj0yCIasJkBuMAIgLBEMAxMoNgLCJiRQUwNTGDKGEyGRUZiipZVoOJGlKIcbdkblqzcDbQgbY9 + cvDqW2571i0v/apzP/8r9/7F29vZzuFI3YXzE0Iznsx25+NlRjSwlyr76CUhG9SWM3VnBKOolHfn + l1V+Pha1hDEFYDUmHoXAopiM17a2z0/H45QCzRbXti1/5MPdH/zWX/+nX7j+XLe2tWiQFUSwFIKq + AKhh8Hbxk3XICBFgKkXaMEIcDX2/LVhsHD4ziTd+xUtOfPmX4vqjJxMtmlGWsEljG1QIFlmIBxUC + hcAEIzMjBDYAIQAIHGBKAhMTAxsTG0OtvqSm/VKQSBpMCMJ20iR0wzWf/vxnPeMO/Mk7Tv36b//t + X797Y9FNOpmYjShFaov1quCIi2k4tsxbBykZgsEAkI2Im6DbZ07fMIoffsOvzM+cvu7lrzhx3fWn + drZH65vcTnZms3bSdt0iLksgGK1a219RtY/NXrvzVeowSbAmTkc0ml2YhdTMx8358fzGO55+4LnP + 2XrrWzYCWJZ3FSNSo3SZWbScmi73I4AisoSzKT3zv/taPPPWjnloGs0DMxKnLGZKsWlEFld2wz9+ + jCmAVHWQwgYiYo6L2WJtcyPwhCbTs3ExlXjin3zpXT/7C83udpTl9R5sGdvKANGyG02oJ5ABoHls + 7wr47Fd/O269aWs63ckKobaJ3aIbta1JEREE5hAohr9nDWuBTAYyoOsIiLCiekmYdV2rZQQ1gRnI + KAYCOMQeutPlxSR0TcxrE0qjtbUjk6uP8dHD0+n48MGNMIptMw5NACckQmjRMCii1k8umS6XyjAA + AijAWPRbP/FT7XDe1GqdxgwGMdBlZR9npr4Zb7z4xbe96AVYnwABzJABWdCs1W0FFSAD6kOPpwAA + IABJREFUAALAyBkAKIAUZtCCMqAIdmcYhnzm3IV77n3gvlN5ZyeItKatDDSbr+cymSRlXSyySG4Y + YMCYjdhAWBbTy95Ttiy4lyu56Or9y0hBukoYv3jIHi8KQGlZCV8W81QD2xk1sBqg3B8dj3f+4A8P + nTk/GjqoMUAIBtk7gdigxAoNADOsmAKBOACTfn7yz9+BLz8z/pTxbtMKEcBRtf6W0OO5ve5Jqd6q + zAzQvl/knG+//dbf+I1f/4Zv+Ia/+It3DMNQO4XWgDoz20uwe7QPrN8SkbW1td3d3cViecfp+56Z + 9363puU9HlvonHPOuSe2GKOZlVJyzuPxeP+3uq6reboAPNHWucdHfUAIIezu7q6trdWrUlVFpF6t + KaUaaJ1zHo1G9VtN0wzDEGMspfz9zwuPqH5g/fUYY820rkPY1OEe6rA1zFxn6tgQzjnnnHPOOeec + c84555xzzjnnnHPOOeecc84555xzzrknAiKqXX5q3x8zo9UYdCKSUgJQ+wZebs8j9w+yP3jXQISw + GpBqNXwbMwDUIGQFUd91zWgybRlDh1Jwbvd7nvnsq3d310QkS5swdJYITaC5yFNr9L1PHDUwjIkC + oGb12BlQFCZ6NMZuvp3mi1fdfssP3/UhCGE0DnFvcD8D0b5ka/eoavFVSkkpqeowDFj1bq751rX3 + ZUqp6zrv5+icc84555xzzjnnnHPOOeecc84555xzl/AMiStMV8lzSqukTWMG1qcbg4mq5ixZ1EwS + BwphQu1i3oNCCdOP0s71X/QFp/6vX7R7T4KhBIOqhQA2qAIgMF1GsnVhOqd84eqjW8cPHPzUZ113 + 24kDJ06MbzohXS/MSCNNUTn0bFnFzIQ4W1CKDOyFehIRGSIHiMKM1KBGAFNAjDaN8cgmbriePvW5 + V4dwtSkeeHDn7g+feeff7L7vA/lDdx2azQ5ISUUUCFSz/ZRqDKlpWKZZ86r9rC1Df1cBh6wIBiEU + BjOBLAjYoBnE0hnGiUGahnLN2nhnsTt7/3ve9apXH/vqrzj2NV957tjkwa2dzdGadBoTAxiYATSK + qGqkSmZPsWRrW8VkkqkRyJRgRlBSJVYQGxoxEEqwsRR7y5+u3XdyYhQANjSGTpEIgNpDQ9bDXvIo + U1FZD2x9f/fvv+mOl71stLmRqSmBhRXKNdK6hFVy+VOmmboBQ1hltSrYkFSTgAExDIEzQxkNEJVb + gbByE9RyivnwvOC9f/uu1//I+v33HOx2G0WTMC/gkLoh03x3zEQkdjErnBQRqAVJVqAesgQwt+cG + Ozlqd6+/ZvS5n/XpX/VlOHbVudluXDvYp3h+t5tuHJx3CwQOgQIpG0UtUSUaoFxAy4OvVmAiEkDM + NWLVmIkVgYiRLKCfL4wtmBIZTMFBSYrRKHJSDsKUGzE5zdCDB9vJ9NB3/dtD7/3Anb/0C+/78z+/ + Y20SFvMLu/MDLVlvRqit4GvMLT3SHr648bUldp0HwSyK5Z3dvz/1tUbV1hOSVofsstSiRIhB9exW + NgWiKGfRZrwR23jhzP3XmfH2hZP/y0+fffMbr91dHGzHoaVYEkSIWESUQAQmXYbsggEyY7AKgYsp + oGZDHrZgu1cduvD8m5/1iq9unvPMs2WQNJbUFtXReDLrem6CBVZoESmkMUaOxqQqolpqJxGxAoAI + hKA1ZnZ5qyEiGIOJDVAiqmnXJgYV0dFkXICzvc1b2viCFx39vBesvfWP/vL//NlbTs3C6fNk2jYt + D6rIZICAwQAtC14C2XIxaoAIwCKylqLMZweoue+3fucDJ0/f/p3ffvXxqz+yuz1au0o0aKYmJrKs + pMowQBFr5jeZXqnCZC8cdC/ZGrW4Uyr9gGKH0jTHJg99EzexMd78os9/93ve0ezq2pDr5hDT5XbA + MYKQSu1vAEg73jhxYvMlX3jnom/S+ohiVhEpjNJahBLKE65byKNdNUUlhFA7LzEzzIgoIKyvb+TF + MBmPzp85Ox1PchPT537uA7/8K2sLnhYrqxh7JpAxDKRGAbEGChsIaK3J4+nTv/5r8Mwbz1hgGm9M + mtnOfExxHCdCJokoBgOYWQkikqWk8AhVXwKiIiiCQWt6q4GtliBL9aoWhtWwZUEiNIEDEpRnxXbH + zdkjIzzjaRvPuePa5z4f112H0RpC0jhCjKUUZiIOClO1YsJGxjCtaclkbKT7pra8X5BpssImZMDW + bHdjc7S9kG5oaiL8cp9f3gnXB743xTtuO4HP/0ysjXMvIQQmg7FKMAKgICEMAACGReYWYIDBKjCB + iClM2xh06Lnkq5ivig22tx/80J1n3v+he9/xV6O77zl035kj85K4sMIYYRy6XsgoCgcAUCPUa3mv + RmEwAjEgiw5iF2saVOOlL2tDHzuj2iMIq15bhlpdrSHWtopXB5WAAB11PS5sf+SNf3htsTFksNzE + iZYeF29GCAoiGMEA1WVRq6yBsKZ21UdP4c1/Nv7aa4RUKLAtF+Tco3n42TF03draWp8zc5zPdmKg + X37DL37Xq7/7l37pPw99Px41XTcwmdolv3vp/SRGzjnXTLvd3V08tAOqqtZkawCqCuecc845AECN + y62JtvUZcBiGpmlGo1Hf923b1nzrnHMdvcI593FSB1yo193a2hqAOnBMjJGZ6zVYc+hTSimlUkrX + dYcPH14sFvv/FHa5mqYRkVoClFLqA4WIAGjbdm/pe/9yulLb65xzzjnnnHPOOeecc84555xzzjnn + nHPOOeecc84555y7ImrIa+0hiDpai2qd1h+o82bmXQUfJw/vhlWHxiIAvMrj5X3fYija0UiQwzDH + vPyrm267btDrsgTIeNx2i3nISAwz7OZu1ISc5XHZkqeK/QPF2L7DV4dLUjJmZtAyG57JDIlQypCA + A+hGat92ww0/effdCALigAjWOuSO+1iEEHLOzAzAzPZGSrHVWGBmVjs/MrNnWjvnnHPOOeecc845 + 55xzzjnnnHPOOefcJTzW+sqryda0L+IagJRiIgZLMYbUmJkWEcXOsBiNJn0hi6G0Y6Od6575jOGB + kwkIilXkMgOPpWXhwLE/fOS2138/bjiMpOWqw/cPfZisDdgkChBlgcAKAwwiZlBQNjOY7bXDq/nW + VkoIzHV1VMnAzBR4pkAMRMHMSC2C4mQ6ue66G1/4QvQZd909/PEf3/v2v8inHohD1/aLDbFWELTG + tAKAgXExULbmM7JiGVBqgsSgADEMg5GhBZjABBjGiXPWwNomDLuL9Yj1fuBz5z78y79694fuesE3 + f9Pa9TfMTaRJ/b5YxBpGqPWLp1Cq8kMYsRp42WJVgQBAV/mdUbWxkvr+vf/f715rJLNtbqnvrQ0Y + E8zUljHW4GXs7vKjGKRqieO8m23GcG5r6/yb33zspS99QNFFBhSkbAywAkZG9hSKFVeC1r1kylBc + zMVkEGAsxMsftJqxqpyV+9lxtd23/MkHfvJnjj1w5lgQFBBBDClitsjr45EMfRGLYRn8zAq2vSJD + jWAMJQggEbOmvX/arn3ap97xtV9hL3zmPYzUtro+nXWZEMZrm/Ouj7ERiBXJKMlMScUUhhACFLAA + JorBzMxMl02ojShEBtS0iMFgFrnhyEEs50yksQkFMkhhSpKFCzGzcloYcqR+PJn3+einPf/WE9fi + D9905xt+OX70nuMhDbMurppo6yrmFsa02od1r+1vhF93IIBlAaPCxbQbLjun+jLp8oJirnGopDAA + OhqPdmeLOBrNz23dPj2Iv73zgz/xU/TOd127u9gM2N5ZGCMgMkhXBa/WTSLAwAoCA0oEA0IgAe+m + cBokx6879sUvvvllX3l+o7m/bYfxlHuLGpqQ+kXHMShBVAVGidvQaJF+PmubBioEYw4BFigpxAiq + wiCmAICMzJaxusJqVANdFWIwTRQSh53ZvE0jSTwL7W4ozTA/+Fmf/rnPfMaHf+x/3f2r966d3b4a + pqvSvRYwBjYSJSzDYQ0AYggqagADpe83I2g2XNvi/ne846+++3ue/52vOvGsZ9+1dW586LDlHmLE + YGOhi/fF1X3kyiRbM7OtPCTZmqgZjcICtXeNkDWx3Z3vrr3oBWcOrR/r5lPLTDBDsHpVXw7jPJTx + KEnOPXA+0I0vetGsG5qrjzYD94s5GmqaRouRWYqhl8xPkobxe7FGQhSJgRovLYnNCN1Q1jcPs6HX + IR05eOT5zx3+8C0MJdsLyl4lC5uRkpHV6HVltqadrU1ueMkXnF4fx9Hm9m6vIw6jplv0beJhGChF + IlKRrMLMRni07gRsYEMwY5Xcd2SGmky/Co6tHUX2dxdJLeXeYJAmPag021y75nNedMc//kd49u2Y + NF2KFzh0KWozNkTJhSk9PLRpmaH+SCcLaTSCEQglqgSUoHq8IMfWEAxkCkIA5FETxR+dMpdR0ycO + iU9N29IyM5sKAKZ2WXyhgHINdyZjKgHGSjAKEsiYjNSAIkOzPoowywVSmumhdPwzb37Rp7df89X4 + 4N32prd9+G1v60999HDiNbULO90oAjWl3ECrlaaH13RVrM8QASlIdVWILH/+8ai6sa3K8Bo+XoOu + AZBxMA7KbDCyEhCLHlEMb/8ruu+B0WwnwiJHKT0TCaGW4PXGxEZCy20lAjep6zOxjAlXz7q/+/03 + 3fwV/ySpKKKtzo261fufKZx7NG3bLhYLMAMlhDAeN/P57vd9//90++1P/4EfeF3XDQDMwAxR7D3r + PVwphYhq4JyZ1XDKUkr9br1L1vkY416HLuecc849xe318Y4x1gzdpmnqiBVt26rqaDSqebef6DV1 + 7pNcvQabpql/16oDxOxFzqeU6uAy9cdqnX88Hm9tbaWUiKjW/Ov7l7XcYRiwL696GIa2bfu+3/vM + Wg7knL0ocM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+4JqPb6MbMaX13TrOu0dlAys5TS + MAxN03yC1/WpwbAcdIewNwIOAIbtG2zrkmFnyKAa8gJ9/vbbnn7dbHZg6CdAhuVeAQROojkwKGA+ + SLrMEZLcY5NSvYKgqkSkdQgbcACpSCKYoSXoYvcoN6+67bYfvvNDMEZkEIOWA/cBCsJTZ7y+x6CU + klKq8yGEUsr+8qr2qRQR4FEHoXLOOeecc84555xzzjnnnHPOOeecc865p7LHEmtdB7UPIdRGWrWd + 3Mc7LvTJ5aHJ1rV9LidiJUBNtQDL70oDCwpjDDrhSNQcuPmGD/9pGi1yKwgGLPNZmU1wmUl+XeQz + 65Prjh/FJJ6dNHOkPJ4OEsg4GAVFVGOGEgrUjAmqKETGNctxvwQzWc6HuhrKQGSCmJICTBbNkKnZ + bmU7hrReJofu2PjUW27a/vLhg3e+/21/dNefvf3a02ePzIax2ZgCmYqJwSyiqEYmMkCMjWJMxiil + RDIogoEIcZkLyGZaMyBVlBgwWEYimEANm4Jbd+b3vPlPPnjf+Wd+3SuaF3/W/SKSRr1oCJQCW87G + LAIL0R5TXviTmQIstbEywYyJMhsS8W7XjybrAPqymARsdLn7y3finvvWuh4RvVha5jrCgMwANKwy + rUEXZxTgYK2iKzI1/eDv/e5nfNEXtSHtAhFCqsxjgQ0lx3GzjIh8yiAsU9XZoAQj1GMxzzo9tLG7 + s92EGIUDrGNtCAdFJ9vd9n/+tbt/9f89eub0IUhe2DigGEyZDBPWYehASAwBJKHrsB7BxiqFQETI + hgJYwSjy+TS68+Dk2a94+caXvKSbjLaaKJHNgFLawEoisoiBYUOoTdaJCchEQwpkmpSCwUjVoGZ1 + E+rFSAyCqWowENecaw4STUkhgQkkWjKRtqSCog1yYoDZlIwbiaEIlM41AYcPHPqKL7310579d//3 + L37wN37v5tjYMLSBBrFR0/bDwCmqZNJHbGHNZMoAE6RmHTOjlHFIZ86fhylEY4yqWkxDCEYQkUBX + oK22EjSyiKRiTdvOh0WIKVuOHPphaCftvNu9ddzirz7w3h/+obVT90znOyNFETQRAFSNAAIROLDO + BTEBDFNwIM3CIBYwUy+YMW1NRtvXH3/et/1LPPvpW2nShTbkMEKsNx0UTRRlmSnNAVCFibJRCA1n + RAQgoIBMiUC0rAywgZVrAqsRCiMzhCAMAwdDJESjprACmqZaiMWigoWDTbtpy+36077/VYs/fNvd + //Hn4gNnDjaJB51nbULIIk3bDjmDUBSjCM0AASIEJpCphdqKntAUHNVh+66PvutV3/fc7/yO6z7r + eR/pz0/a9cUMMUYl4xByP0xGTS5aEIxDUOBKFOk1tueSBGIjFJBCKCKoGQUGsskwGoGG45/5GbP/ + 53cOYs4EUgRRs8vrAUDAiFLpMgIWDU62fOMLns8Y68IGAE0jYVGgFAAY0KNmpz9RS9C6Yss43iIE + EDMAqZVFJiZkLdTGuRVmazpMYhoirnruMy687a0wUEEzwpARiQxBTSKimmpAyRgHDCnez+VpL/5s + rE1LbLTXphktgnVB0yQUFLIAGMzAVFPGyUCPHjceFBFEir7rAtFeJyuqhUmEGUzABBhRQCmmDLTT + kyGdefpNL/zmV+KO27ZiQ2GsFDKzMZiADIY2BiOFXXp+Lr9++HG0BGVDFFYDK8TAhRSIbEx9SeBA + ploCkcIu3oU/NmTaFg0iHEMHIEVVDTGYGWC27L0UQAoiMoCMkwJaj+mqoxMBFpnVSjYwU6BQoGIq + kabra3b7jevPuvnG/+G//dAbfuk9v/PG2y/kA1y4lLYNw5ApwASBydSYQbVCtjw+SoB1AyjwJ6ii + pqtOPGwgA0OVmAEzS8QoRgARFRKJnErhLr//N3/rsBQ2oYBiGsOoSG8PKwhoX9+wnHNgAEiGNcgD + 93x0+13vWv/sF4GpxCbGOJRcRGKTIE+1+qr7mNWTjBRAfUIHALNAkNzHyGby8pe/7NChQ6997WvP + nt0ygxkCQxW2fK7XGCNzrCl0y0/dl2C3F2hd1U6ne/P1bwJ1nplLKTE+lr8wPFVoTbW/7IzAx2bv + rlf/bnNJ3eaTTz0J63zXdaPR6HHb1c4557B6iN6b1mrJXslcZ7ye4NzjY3/Fb28Ihr0LsF6eez9T + L8+UkqrWeiP2/XFs799/dbgZZq6jNtRhaJjZzPbXuGqqfZ3v+/6Sd3LOe2tSf2tvidg3JEQIoVbt + ViN0qI8Q4ZxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84559zj5pI+2kS0N+xAztkzrR9PtWtW + AIj2JVvTcioGGMAAwQyBABPKPbbzd91y6/FutqlDU8c1AkQVSJ2BicVUDSFckbGa3EX2KIM61Cuo + 9qUTLMelYrPGCKACk4A6+tgBHZr51mtO3Py6D3wQBzaMo2TEyGZ6JcZI+yT38I7M+8ur2pnRuys6 + 55xzzjnnnHPOOeecc84555xzzjnn3KPxMIkrzwhkF5OtAexl8oWHtNdlYTVSDWIFTRNQeoBx8w3n + R+FAB6ptRvenHV5mJFNhmqWINi3aZreJmZOiYWM2ZlUysGmND4Ax1eapj5TEWRcr+1tM0nJz6hbx + qsFrjbktTBhPZyjnpX9Q+cDo6gOHDz/n2c/DPzs//43fOvUnf3Hqro8eVlor0pRCKKUUjgCZKWIk + UOxzHoC1mEwyAFouaG/VGLZqZltT3PatWxtinHW3tM2p973nHT/xkzdsnb7mS1+yRZzG7Tz3sy5P + x5MsFttWVeVhGZOf3AgwqBADFIyVdJmaXsqh6fRC14e26XVgIO0u7n7jWzZyn7QIX4xgNIKQGu1L + ttw/w6wiliVEoIC7xeTkKbzz3fF5z28m4z7PSQyJh65L00ZU+dETRj/58DKlXldJtAZQYTZiTs3Z + 8+fX1jcDbNjeGq+vDfPtDZXJ1u7Jn/vFM7/+W1efPX8olNaAABEYBwBcr16CAkowYNHjwGaTtwex + nFJbcp8NlDg2zbbp6dFYb775s7/1X+GWG7cm0/PMFgNq1Ovyk8RIhQAwGQLACgUzWFBbQ2tNTuVL + CgTAaBkpTFCuZwTVd7l+OkNrtt5e83gJgIENUS7uo46pn0y2Szxy4rab//W34IZb3/Oz/+noog/b + 24dG49IPBHQ5t4EQDIJHVHtGLJvfmxDApZR5t2zabQYg7MXcXrmEPzPJ3WJ97UDfzYvoaDRRbhaL + 2Xg8xu7WCUN8zwf/5vt+7KoHHhgNW9QhBLBh1bxflLjeNYpgnCiTDRlkMBMCWgqBeJY1j8cXptPF + iac973u/a/fI+rnpBBgBkSWAarQ88+p8M1pGC1O9HxkzwFCyfcUpFMYgxepN2gutNbCBDfaQZNll + 2GuUoAQ2ATgolDCLaRbTeNQc/vzPvuOq4+97/Y/P7rn/+GgSVVRK07RdP89AQ8sbXE3WrAnIBgYp + 28X7y6QgzRY8e+Avf/AHX/CDr77uGbef6fP0wJHt7d3pdEJR+0Wfu0UajWezbrq2pvooJ8QVObiA + MswgXBio1SchnieK1By4/bYL+ANCQ8iBDFb3/WXes80YVMiGlPSaq3Bok2ITNUkoSnX3q9RcXRIy + RCFcXnb2E0uEKdRIEVkaXpjR2ri9/pqBVyHNNbreasZ6IBCZqBEFE2Buuj0d3XTjDRaiUBCGUg1d + thwKG6JeVtKzGhlgrKJ9NhODkoEIFABFLzBCIqQQILyQrDFssW1FHPtvPufGr3/5hasPXVjf1PE6 + zywoA2qkQkKmrArSek6QsZHuTXmZnnzpVFdlu5ECCoOBhZcHnGFCKkysVJPhL/dcC4ZoEk10Wbaz + EJh0r9ZpIBArEYgDFEBZnoS82qtEBqrVL6vRzMsjBkIJ2DXG5sa5RGT5lm/+pls+/wv+7qd+Zvev + 339110k/jBr0A0YtSjYzQLCvogEigqh2HUSWiyNTI641FlsWax8/Sss6bbBl1natkisogINxzqVp + Qxk6ZQvGbbfAu/+6vfdk0y0aAgfuBwV6DjEjGyPI3gdzrQPQvvI5GMjQmKwNw92//6bnfOoLZlTi + gTgMpZCNxpOc81OotuQ+Drqu++Ivfsl11133yle+8ty5c8zc98uTMoRQSimlANo0Tc65hsZd1ufX + 5ODa+zTGuD9a2LnHn6qKyGg0wsP6SzvnnHPOuUdUSqk1+b2M6ppjXR8Qarz0MAz1HQD1HQCqWt+s + KdeXtdD6HFEXNwxD27b1/fqQUgeGqE8WIYSu62oFzznnnHPOOeecc84555xzzjnnnHPOOeecc845 + 55xzzjnnnlIUIOBiEi8tp90gqQ1qUEEM0CKRDGXA7vw7brzlBrXRMEwYGSDG3jASBhRiqsOI+Wgm + n2B14BlWoA7uFRTBEHJPSK9+xh2v/+AHiNZiiEMuqYkCZbAfNOecc84555xzzjnnnHPOOeecc845 + 55xzHyeeOHXF8b64UBigBCUwdN8LNVg0mCbTaAISiiamOQG3Pu3cqOnDXjtSrZmnZGH/J3+MhAjM + QgzjqNwKtYIkSlAjURalzCgBpVFNgqCRNJGmIClIYk2siWz5giVYMixfQkGItIZPooCyUZbQK+e8 + 2Em5rAmPcypduJDbC6MDi6PXTr7+6258/Q/c8E3feOrmEx8JzVZIoDQiRANnmGIoVnJum2a9HZeS + H5ZJWRMcicAEYiNWhrFi+WKK3VCQQDpcxcOxBz5y9j/+H+f+w/++ee7UOM8bselks4txy6yTXGMY + njoIYFMAwigMo2WkogEjjjrvk5FJaTZG2s/wtx/ZecdfT0pmSFIkXUYwCkMYtgpd31M/zUxpmdEL + YqQs05Nnzr3pLRuwVLqJUcNUUErQFBor+pQqgghIqlEhjByWF/MQ0AdYCpPpuubhwoXzaaPd7c4d + gq5f2D73P//M7Nd+e/PMuaPTERf0GbBV5OiqVXJQBIUQFJgSys5AhtSgz32MAECx2V7ovWE0/8LP + u+mnf2T29BtPb66dZaJmFJSSUCNoBEk1mILy6iUMYdNaMjTCUZlslbEKDbZ8RVU2ZVMyBXTvJMmM + IUiOUliFVYiFgiCpNaRN0DZICppgUSgOAX3UwkO32B0hTdoDZ7q4tXkMX/tVz/zx15+89tr52sEd + tcGkGY0nKQ5iRBczmPdiVi/ublt9YRYALppnM6hFYlKjfdEpVypbnQ3aDRvTyTB0HML6ZH13p+t6 + acaTWbd1fbLm7e96z797zebf3bnZbYU+T9pQbwi1NbkShBW0KvDRlAFsNG1GoxgSgGCmOnA8Ox6n + Fz7/ma99tVxzrBw8Vmwk1AhxCVaCFbbCqGV+MCTRRjSpxtXxIlOsLlghFEZmzoEzc2HOxH3gLnIf + uDAbIRiSYJQxLpoEAArrPGkfERSNAIAyhCHEA/PAcbvnxZFr9Hl33PGj3yvPe/bJlGZDYVVQCYT1 + UWxTYwZYA0AEdX2UdRlVaxDAjJkihnIslGvPnHzf9/xA++fvPCrD+e0z07XN+aLsXJhvTNc4hWHo + NiYTGwb+OAcXKgBoMIsmAT2oCGkfeCvSNXfcoRoDGixPKL3sTGusUo8VQ+B086fg0HSIwYIpKUga + taSIWjN9i1GxJ3wng5qJ/ogIiKqtSFMKQfvGzkeZj9P0+k8ZAjTAVv1hjFhgtkyrB6slwAyd0TAZ + 0223GgKMc8AQLJg0WoIuz/OPcd1qVU0YBpAhLzpbxWAaoSgKgcaxU4SYQHHb8nhySGhtdujYwZd9 + 2eFv/bru5uPdgQMtj+Xs3AhD0D5qn6RwkZA19EZiIEUypEumao8wNXAJktNCwgLcgwZQAQSkRoNw + KVyUtV7M+8rAj50GU6iyKQFkCFqPS60XKJtRzbu2WsYyWSBLpIksBU1RmihNkra+SFvSFjYytIZW + 0IZ2bKGd9TQ9ftODNOlvuuXmH33t+J99yeLwQQXygNEI2z36AMRWEBTEe/mjzCY6zBfQRy6gH+eq + AxtoVWwSggzagIMpM2JA0y8OAmfe9Jb0wKnx6kDUuG/AlGse9kV1hz+cEaZZuj/kf7H/AAAgAElE + QVR7J/72owciDzZQgJmRKMw+3uWbe3KpZ+PygXL5FuORnhNXV7GY5NtuOfGbv/5rt912a820jhEE + SCkAmLlt22EYHkOmNYCUUkqpbdt6CTPzMAz/gO1z7rGoeep93zNzSmnvJKRH8YldW+ecc865J5QY + Y53WMGlVFZG+77GqZdUfqPP1qUFVQwhElHMejUaXm2kNIITAzHWJNdO6PlDUjG0iGoZBRMxMRDzT + 2jnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjn3lLQctHD5FQEEYwghtMEAhTQBOnRNNJSM + ncW3P+2WI7CEDIMJmNErMqOQggRkdZwoAEE+kRv2SamONvmxE0CgALNyKmgEQcEG7ncPdzvfe8cz + cOokdMEN5qYZfNkDgjjnnHPOOeecc84555xzzjnnnHPOOeeccx+zp1Cm7OPj4SGO9ava1pBsfwIr + 2DSCSAqTiZkQBmNsHCijiVA0rCKEIQa1ZU7r5R2yGnspq8aONacQUGEroRQuxqIswTSJNqqh5gku + c9GYajaZYZWUxgBob95YwUZUG1MKi7IAEkxSQDANoEARzUhG08Vocm4yPTXdOHv0+Po//+rn/sj3 + X/+yrzr5KVffO2p2xpPBQAGpRZMQABkG7TtebcJeAqsQLo1TBimRLYOpaDAdjdZzhmZMCFcJjp46 + dfJXf/UDP/2/bT545nCfQ99BKKXUtO2j5m1+0tKaWAkAqLnWDLCSElHuF6OUSKUp+ZDazpvfcnhr + u5WCfbHBuhdZDQ3LU533f3pWSzEwIAWDYszxYN+f/C//BefPj7d3W0KMsctdbKOIMMJT7QjUK0ux + DE+tSeEK1lzy0AE2mUbBYpPK+snTp37258/+3huvvrB1OIZudyaCBIAoMAhKNeTSmIxrscMAK0MR + U9wZ0K4lZcwJ95red+Twc7/xX9zx777tvsAXNg+eDzGsrc/7bnUVkxGUuGZjG13Mrr54tZECWksk + 4Yvtp+uFt9fwfS8pub6EoaRGMLAQK6IhGhIskcYoMSizAVBlLaxp2oZx6rpuvhhstH6+nd6/fhDP + ed6zvue7t29+2rm1ydCmrW4OswTg0rSUiw26bf+MGRMCrHQ9ciED1NgQmEkt4IrF2hEwjTEpsmaB + 9YthYzIdtyPb3rnZiN793ve/7vU3nT1/VLrUdUFghQwBoOURBEDLSzRQNCWAEyIMQxEFFqIXmubB + jbVDL/686//tv9Ybr7u3aU/Ph7ZZqwdMWIVr2rEqIPVco3podLk3SEGqq5eRruKXVQlGqqzKKqTC + KqxK+yPMUWewvLMoQWp4sAKFWbkWFBwna6cNd00n5em3nHjNd3TPuGV7c2MYjXd6UUMZimZlBC3K + SIHCcvetjoMSgAALbKEBxb4/kofrTp9+9+teH//mfbdyop3tyWg8bUfz+RxMYCSzxvjyk30v5/ga + N8JR69leCDWsFkYYmHDoME3GA6gsQ3kf20lFxsSGAlq79hpM2i6YQhgSrJBpUCQJrJQU4bEkGT+x + kCGCEixmCSJapINhfYObpAwLyAYCAzAIsQHKoOUZbcFCsvU1HD+WKSpzYTXSYBpVG0XUy9s/y4xY + 0qgo8zmTES0vGzMQQwkcISJWhEAXmD46mRz/ki++5r9/xc6Rg6dCOD1fENG4SYRiNc2aCkHqxSUM + Ja4l4SVTe6SpEoBCyAGZLQeTYPX2bUZmJEamZI+5Gk8GMoUBxkEpGJMxGbhe5opgFJa3GArKQRGU + yTgY6nxQBKVV4jUHZVaGMSzUXSkiIrK+fvC+By9cyGFx5NjJjbVrX/mKo1/5pQ9sTm1tPPQAITWj + LCVQAgAmgQkAJobJoocRUI/lZR7Rf5i9yonuy6Belc8Es6ZpSikI1ppMZws8ePbU298xnc2mIYpB + Bm0iRUKRfTeqi6uv+xfEtrzzAhhLOXp+1r3xTZMAHRYppcCpX3TJn9fcx+iRkq0BtG0SkclkdPDg + 5hve8IaXvvSL2zbspc5Np1NV3Yusq3lyl6WUUkohoppIh72IeuceR8xcStk7gfefjY/oE7emzjnn + nHNPLHuJ1HszzAwg51wrTrXCz8wiglVtv2mamjkNoOu6vdrXx27v12tUdtd1dbl1KiJN0+y9Uxft + nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHNPJRqWQ1IBWGVaExQYRBgI0FR6Kn0aOizm + WMy/4/objvX9mpbSdaPEBcgK4uWYYLIaS4oBGBv5eCafSLoaWZHraH51ADkAwGaKa/P5VefPfu9z + noPdnVj6ROy97JxzzjnnnHPOOeecc84555xzzjnnnHPOfVx5s8IrrEbVsjEb1+TXGh0KLDNEbfUl + arNCJlGNBC2FmqjMyHxg7TAsKTgH5IAcVNiEagLiY1gnSI2YJWRGCdJH66P2UYdoNQkSsBpkCNSg + 00tWtWajKu2bqWmmACtioViYhQhAMETT9aZJgXsrO+h3rN9Gv7ChNxsslINH7ps2Z2+6auNbXv7s + 1786fuHn3TkabaU1jdO+xyxDAGYAFiJbDcyua84obMKmZAY1mJLJMqm7xouaMgQ5gBJQesCw2eLa + fjZ941vvfd2/H29vTxfz9VymimE+SyldsQP/JLE81oqgyxhZMjDQD8N4OiWiVq29sEvntj765rce + XHRRa9gtYBcDGckQFcFWzZ1XxQgb9tLbBRCAiKYGOnVq9pY3H8xDLDKYgJXIkG0ULjs270nNUM9h + NgLZMow9KBrVpmgD0zBo6C1vH9zdvvBzbzj/S79x1WI25tLlfiHaxBHHkapRbYBc0zYRgEAW6jEF + LKbxIpf1Jupu3h1gV19135HDJ777W4Z/+uJZM22mR2UIYx53i9l4OsnMfYhdjPMY5jH0IShFRYTF + GlCdA/oofSz1lRmZuTAL8UMy5o2xCmStJZUQG9XATiZjgIFgCEI1L5XZOBiialINKIysLGe73TJu + eZLQEo85GxY7dt5GePptd/zk6xZ33HKqTXHaiikjEOiS3bt87X0jwAAxI6IIJhEsOgatSunlj5Fe + mVg7NgSx3PVNM8pSUgqSFzK/cHOI7Z/+zdu/8/sPnz6d+q2oCiAAqgBYwTXjPBiCwQiZkSFFpaWG + QbOhE6aYeGibuybj5h9//sFv+fpy7MD9sIHDdONg13XBjKFGRVhqEW0EIS1Bc7Ah6BCssJaghbWw + yl7xTsoohEIowUot7euNq76//84FKMOiWis2KtaIggbjXLd+YM6MVsqoFAu8a8Dk4IctdDdec/sP + fnf3vGfcBUUb24bnit60aUYFRaEgApZHBIAwDEzKwVjUiNgMVtD2+Zqd3b98zQ+FP3rHNSSlO0+m + oWm7ITdNK31neeB9YbFXXFRMMsaFAZSAevAa4SgcOQE6PnqoTya8zEm0y6xfGSCsRmCCZj1w6EgP + 7aHKRhiCCQBYYI1tieOB24LwBAtktP25vfvU4N79r/rDmUwCMXPK5WCnhzJIgBDW1jYAFoIARkSm + DOMa6lzfNJARhbY9cASp7UMQIpASSjBtBJOBJ5mTPsKi96/DnnozEwiDWiWddcxsTAIYgwgN8zAr + o9CI6txkdOSq98mieekXbnzdyzukYiPTOJ1MZsNumgDUB3TRcqO5UW0EbBHGQtB9ofJ//wvQaNKK + JtFGNdWZZUZ2rZXZaiseS03eqPYsYigHjUFC0MAXk60RBUECWYTFoCEqreLtlVelxKprjAACyuAM + yoRMEIaYdKPI3faFA5O1jenGbJ5ltHlmMqGXf9nGK//5PWgDTcaxpazBBDYwiIiWMaNmDNI+w8D2 + 8POKHy279wpiLBety7o9wwjGMGZmNRPWojkMiyPCW298C06fXIMx1SB2AHSxSvSQDXhIMRUMVC9/ + AEArenUuH37zW3H65BqZFokxMhDIHnLDc+4hePUCUNPoH/oC+r6Pkbe2zodAbQo/+sM/9PKXv6xt + mQgAZrNZ2yZAmcGR+mFxuWuwl0VX4+gAtG3rsXP7XRKiTER1R7krK8ZoZiKScy6lmJnvZ+ecc865 + /6oYo6rWGQCz2UxEmLl+OZ/Pa2221qzqTzZNMwwDgLZt639YHkP9n4j2/3dmfX2diMysRllXpZS6 + 3McQm+2cc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc09qdYC4vb5VdXwSAQxoQiDpqZuz + KfoFRDBffNuJEzeGcEglLjqYzbKiiTEABqnDwTAIOhIdCYxQeC8x210xthrAa7+HjT+zJAQA0TRA + hTAwMkdD5KFMDeu5P7qz/QNPuxWndxvNA67QQGnOOeecc84555xzzjnnnHPOOeecc84559wj8Vjr + K4lWqWKXtCBUoObR7SUB1ljC+qaZKUHMiKOFBhQOXH1crYGF5YfWyICHNVX8WARdxuvV7EGQrqa6 + WjUYWEFGrMTLVdr33TplW07JQFhNoTBehncaA8wayIg17O4sFjkrc2ibMG5jEygSR7IUzvXz06z3 + Jf67hvXTnnv9t3zTC1/znRduuvFDFC+06+ONwwOQFZxQykMzSklBNWVZQVK/XKZur2ICQwoXui41 + TQyBCc2Ytce0t0+5sLA/e+e7fvjHN/v+YDej+W4wlFIue4c+mdnqFIqKqAi6TK8kgwbKAYOUkPPx + ON764z+nU6fWtEQ1AEqQVRPkmk7MBjIY6SpLeFmSJCIrqrDAMcYouUTTg2Yf/N3fQ9dF0GBoxlFL + b6KrtOOnEKF6vTBWpUEwDgaDTMdttDyZ7d40Kx/5+V+999d+82mLftoX6cooxPXpVAl96Yphfy6e + QfdHySpItYwD90PZAWZrkzsjv+jffGP6nM84ffzYuTjOmgJSWWRVXR4+ghAXXq4YWQgayAIsCAUh + KkzKwEMP1SMGbJKBsDw3yDgosyGqBlMyXIzAXhWGe2tNZmwaTEfttJ9388WuSg/NzNpO22HUfhhl + OLR++2tfM3rRp38khQsx0KjJ2B+X8pAT6WKsKC3LP2YwqN+dkRkDUCMDqTHRJUGD/xAiFkMjihia + po3D+bM3KvA373n/v/8Px+57cGOxkIxAiBEpxMQBUGOt+0Qv7iGYmVkOrGIZTDxq50QPprj2jz7n + xm/4H2cbk3uIFjEq8SAZDJDWYnm586GgAlJdlu0wIlvddFbHbhkeSwaGhdUvLj8H9ZCtQmsZmSEM + BRmIjIJSMIBMWJW05jEDYGM2XszmKaVZ14XNzQdHTT56+LZ/+S/kWc940FBCakctANMcwYHQa6kZ + 6rpvvRgKqKIwMyWkBFIcFD168sy7f/TH9C/ffgNEdrdGoxE4dEU4RkO+Usfx0ex1D1CwEpQIIDaO + MarKgeNHcjSwGUAIjxDF+1/5dBVo1sIGM0wOHRyYLBixBjOQCnHhqEiwxMZRmZ/M/QyUICFkAuj/ + Z+/egy277vrAf3+/tdbe+5xz7+2XWi3JkoUtbAsbWwzmGUIgkMQECJABPGAGisoEhkl4TEIVqYkD + MzxCMpNhIKlKZWqGBIdnCghgUlDBD2AAEwgYWwaDjbAt2bL16FY/7r3nsfdav99v/lj7nHu71S2r + 22pZrf596qjr3HPP2Wef/Vh7nau1fl8iQ6PUUgAFEE9uOToEGI29H6vHLAlgICWCGRSMECenTsK0 + MCsQFcGgZEJQusaLCxkaM52vNnnGAAIh99oF1kG6NM0hPrA4f+Ivv/ql3/jV81t2FrOtuVAMrRSl + wLvLOcMIGmx9zbQAC4emCF3av7rsv2yoOdPrWPBoqMsZP1fQTVfzGtPcpfZZqS6wXpVq2v3mox9q + McbE67HHZaTKBzfjIqxKxXi8gUpMDCohQq0vZVVgmdNet/3IbadOfc1rj37e5z/abs8L6yAxcn3r + TWtsqsxsJWPor+3TfezINhuB6m6ofXxVYwrLMsQuFQytFCz1z371LUfMogG5RKQAtqKiaOLYyzro + LR/aqoe+LHDN6o5qXVni9OMX/vAdtyJo3wPctsnjgd3Tc8V2jwNAOpvNQgg1Ou67v/u7v/d7v7fr + EhGaJvZ9Timpql7TwRZjJCJVVVUi6rpuGAaPnXPPMjOrRyCAlFLbtvURu4KP9/o655xzzj2H1P9L + MgyDqs5ms9pZYua+76fTKQAzyznXLxT1mbXD3/d9zrlpmmt400t6ZTUwm5nrV2BmHoYhpVSTrb3/ + 5pxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555y7edWKWTioh0NaOAsA7C/wxNkf+qtf8D2f + +NK7+5Lm+42WSJh1LQeeD4U46rosVa1WRMBYG8eusWqNuyylqy04dfHLASEWhpEGQgdEIJVy+2D/ + 5BWvxO7uMcnRd5lzzjnnnHPOOeecc84555xzzjnnnHPOuevm5sqUfRaQgZVrsjWvY0FRg1vJapSd + kQqp1DRTpUBRFIisigJCN41HTxQOACdBEiRFXcbVrwxHZQgFZTImQ1COQk3hJJwEjXCwmokae059 + CEK8Cd6+BK8zaw/fQIVQgmlUNCUGjbBGqOFum9MMoTMNmousBsvFdFjm3W7GO03qKCFMH9Fw/sQx + /JXP+qQf+eftl77moe2tD4sVbmsqra43YzAkHcOY2cbNsflVvYFUSaXkY9M4DD2IzLBaaEhTMwza + by32J29/55/8b9/Xnv7IMZat2EbubrZTQEiNNClaQVAOylHBhtKEfcsDSifA2cUDv/obxwIHKgxF + He065jEjKBigdUi2MIRJGKgBjWSKejRHBsEMYlMYPfhBvON+MpQUQEo6JGIrSjdZIEWNxQ0KI+4j + hjA+MkQ6vffEMeMXzIGf/839N/zSC/q+wZBiE8Epiy7nq7zSgJhCMUgdxEyqLMJZ2BQsxLmxOXJW + LYAdPfah2exTv/Pv8xd87oXJbGmRY6vEiWIKsena+bAElWCFUOqpFCW2ObY5RUmkjaERirBIxm3h + tvAmEL1mohPWN+PxcBJOMj65FbRSkuZoOWAIGAi53pSKUSmshSHERgSEKCEt7HjYOjk93iqV+R7b + Kjers3YhHN/+MCHfdsvdr/+uMy+758Pb0zN52cRLN+/hVkvXza8CZvU/LBcL1BTddW7KMxiLIgSa + tgNTUWOO++fOvbDr8ODD7/w//1X3wYeOqyRFxwCggrkUJg3IBFHWzFyIhZiUk6JtAgPQQWDMtD8M + j6o0n/xJL/t733zu9lO7cbqzfZyIYrKV7IeOhZQNSTkJBQPBgkkwDcpBm6ANS0Ma2SIZr+PkiYzJ + iI3JiIx5vRN5/RwD1+OqEBfmgWPmOHCTuSnUFI5GMLI+5RwKUAAUDkLh6GQW+uFowzLfbY2Xob1w + 1133/eN/ZPfc89gwiKGo5jKEYBQkMIRQGOMWAIIpQUC5bcNCch44Zw4ImssJzkfOfOTtP/wv8f6H + bk/N7rnzzF1faEE5bHX1qnqdCGMVqQ8McFDOHIfAA3NmUgHHODu6nVmVD1+qr+76QmRqYGbE0Jw4 + 2gcwiEshFCPtAy1jWMbYhygUarT2cxY96XZY7R1pbBaKAtIYc0AfuDCXFMKp4/PEUEpCbKxQY0ht + 8dQCgZkKbEk2OXUSgYQ4GCdBFORAi8TzxKs4Hk5Xuj0ZG5ICxWTZkx20J6YwoIstG8Bx6NLencde + 9vVftjw5fZyHRRsGwnI1zGZHdue5m+6oJViCJUEaKA0hKiJrDMqX9KCe4kbGiiTWFWp7bpcxLUMz + cAMkA9fOWLAaAA+jOvnoKgjrELQEVdayDqdXthKKcDEWY5EgMl7lYaQ14b72BzIjM4agQ9A+aB+1 + sBY2YREWJVESBFsOCwtDpuUQV3EWlFAkzYfuDG+f/Iff8fjLX7qabAPcm1IHJZiN1xUzC8RWBKuL + Yq03J9f1PvbHyG+D0no216ZXb2YEIRRobGJrwO+/nR5+dEJqDBUjigQ2wDBG3AdlI1Ki+i2g9rHt + cFdWKWhYfyjdYvvAr/8On9lPxbKpEARyk3WX3Eell3wxrP3J8Spfbxf/tomxH5aL5b5qUS259K/9 + 777qDW/4sSNHtmqCnWrh2p/nq/5yVEoxs6ZpYoxmVqPv6mKde9ZsevUhhP39fVXdZCI655xzzrmn + ICI1l7ppGhHJOccYSymq2rZtzhlA/Xe1WqlqSomImLlGXNf86Wt43/oubdvWH2usNRGllKbT6Wq1 + aprmkuhr55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555y7uYwVTC5CAIOhiiGjyL/921+N + P/3zF17Yv2W+aMiWMJCWoRfRWRNL0UihFW4Ls7IBK0YmREOrCJ6S/EwzGnfaZas4Yl3CsQqmBBVw + QQQ4GAgF0KVhAYQYAAwlHxvy973o5XjkPPr8LH4U55xzzjnnnHPOOeecc84555xzzjnnnHM3l5sr + 0/fZYXRpzNj60XGM4ebXNSCYOYhI5JBzn6VgOitdIwSG1sBmss1+urpBoGxKUBg2qaV1VUAaFMEY + Y872GGVtuPw4SByKgXxSKqTWF/Hmk4IUZERFVHJRBVFIMSYmZm7bdrVY5r4PIWXDrtj5SXdmZ2d1 + 4ug93/U/v/Jb/s7Dp449PpsOs61VQRMBqqG5B4GCNWJQ13GA9TZ+XiAG9KvCjFIKI3TUlmEVQ9el + lvPy9vlS//D+d/+7f789X7SrOcoKUKWDaF6gpmCO0d7rpR48flXb/zmpfhYlU2VRroFzPAxD27YE + HAXhj+7Hnz+wRehl3Ox88chmunSs88EPpmBwBAsEggAyIJT+NikPv+Wtk/lqopwXQ+DEkQCzpxdN + +VxOb336COsIdrCNpz/XMyhFHAt05OwF/Je3//G//L/vOj8/qmJSSimBQwBY0XWggCELE41L2JyT + UCNSAtiygFO7aqfvIXv1t/zd9Pmf++is3bcQQ7PQvNJhWZagUkpuYiIYSNnApjRmqdJ6pxOAGotI + xmRgRbDL58Lyk2+HzlkADCMzHlMYFVAl1fWZts5fZGY20dX+Amo7s6kFXeRF7NJqvpoeu+UDZPvH + tv/KD3z/6iUvXu1s94aLj5+Ljsv6NjWZsRiMYCayXEQpXDcZrG4xg9SDfPOhN0s9vPj62YVReN3+ + 4GCDVP2gRiHGGIflXZGa8+f+6H/9vtmfv+/YcjElhRiMsoIQtpuYS67LWa802UGzagIYgwNi1+2l + VO699+5v+/bhzjseT5FnO0+cvRBCAECELAPWBwPXPWUgKJlhzKCv7TNvAi/ZsA68PPiX1nuS7GCP + AAxjJTZiAAquea4y7ktaH4RGULbxV33fN0yWcxviMJQ5x/0TR+WuOz/x7/9P519w54UQmiamRGBb + FsQaT25cR+TDmGw8wvo+TyMzRULikLRIKvnIanHsgx/6/dd/T3rkkTsJrea2bcBh3vfj4Xpo19Qt + UxjCF+2pKwUbPwUDlEwICjJaH+CkgA0lo23RNj1MAbrW9ioSE4AQENs4PZJTBzaTXPPFa4i4kSpB + iJXoBu/CcQhBRMTMmDLZYFlViZl3dlbMpoggQAAjGs+Tekwzs0EGlXTkqKTGUOOi6yE6nqTl6rcN + UQAANfQr01KDk4iYiFqOpV92sTnfzy+005d/1X+LT753t0kro8JsIbbtZH9vsbOzs1oO9ayx2hAS + bH0a4soZ25dP3a6nHqISFwpKZONOJxjzpvNDT5p79PRsIpYBGMu6Kaph9rUrqwQlg+HgmZtI5kNT + aFTXSzu85CFLN52YGTM4hf3lfs45pSQW8/ax+ZHZq77pG87v7OyC0KDIuO0ZITDp2HMuGJYEI6N6 + GcHYwdZLetrXA5muU8NZQQCNoeNMCo1NWC2WU4vtcnjgV/7TXaShz/UAgolAmBAYWmofXq+0lzYX + nXWvFsSWcr/7++/ABz54hMyQc84xtVhv/INe66F2e33D5mnOHcbM+/v7TdNMJpOUEjP3fa+q9913 + 3y//8hs/4e67iKFiZoDBZHN+He4qPJWaZjcMQ40Qrs37ZDK5Xp/needaG/LR2I9dt9JjV40g611H + 65jzoJeZsPq8oaq1e2xmW1tbzAygPuKcc845555CCKGUAqCUklJKKfV9H2Nk5vqImdUo6xijmQ3D + 0Pd9zpnWfwKL41/3rk4pJYTQ9z0OddvqMpfL5ZEjR4ioplwD8HBr55xzzjnnnHPOOeecc84555xz + zjnnnHPOOeecc84559zzg23qZNnhHw7fHX9c41pxhcdAazAAyWAg9z/8N/76+fe859gwHIHOoGzg + QGYQxaxrhqEQkZoSENb1I4THcgTPbPWiw5UAa/ETqVWSwHpxURThg+oo6xKCF9VOqXW4Ngvc1FHZ + 1Bs89F7j8sfbR6u4clDx7ADj0MuvVLaFnrRWT1rawcrj6dW24nWBLDvYGlqrZnGEMeZFunbKKu1y + eevu7j97+csxn6NfQvJBLUo9OH4qA+RpvLtzzjnnnHPOOeecc84555xzzjnnnHPOOXeJax9VyMx0 + zeGNz1MGCKuwKo2RezVqcXOrWaHrHwGggETRUuBSIiy2sZ/vNTvbIKE6OHDM9kMwC2a4miQ/JRgJ + WIwAC2QAtMShhAJSGAtFISZoMOWafrWOMb4kydgudwPGT1dzDdcfXBgCK4E0MJiMTdVMQGbEmbow + kRiXVjRQihwKDUa7R7YfjzL5qi/+7B94/emX3P1Q2/VpKgUUYAAxjABOgrAUcIo2ZgGG8bZJMxWk + MaobBjPLASg6LLVPAc1qceveYvi1/3LmDf9hp+xF3SU2NYoUqaAJrRZEigwLyIxc8wIVSRHYNNRI + 0xuXMSzAghFKkBz7HHJhVULHkRbDRDnk8th/+sVPGJa8WoUaImkIpsE2Od/YJGny+lfBavQj6oFj + GAI0WAwamNEYZnv7+/e/Izz66Ozs/hbPeiEkDGGlVA4GDV8213Mdz/Y8iGlkQxhyx3FQU06JWPts + hBCoFTm56PEH97/r//qRE8sLWyGbqAAgFFOAmFEKoIgMUiPVxMQMG2Pvg9ctUroAACAASURBVJmq + KhdMAgztmdjd/brXhr/1RR8MvJhsh5BQsqZcplJaKVQicZCaJDxeBYxUSUuQEkRJQMKm0ZRN2VQY + JUDp8k2Bkj75JqyFuTAJjVH3h1q/sQ083NQIoSfr2TgSke1Ln8labtPAR2mKJWk7Pbc17W+/5TP+ + 4XfOj71wEY/1ALVYAhQjSNWUE5EBCIykFkQRGcwoMGIr55/YgQZTZVYmIc06xEjBlIyNWKhujTF7 + u478rgdeFA7KA3Mf2TiQcSgWxKIqm9aWOVlqOJZh76gt29OPv/ef/R87f/G+U8vFRFVEiGBGAWxm + pRQK4xB/AoLWFl6EVRimmhjZUALO9cP+8Vte+q3fJi95ybkQmhCXZWhmM4ihWERgZYx7oe4gRj1r + iYzUSMbPAiWMe3Pc4+tXHWrStebF2ngJUzYlaNT1zcZHxqeB2SgKRSEyBhQkRoJ6qBCJsTVtZspE + ZycdPuMzX/B3/85j27Nd0wKzghbQAcEQFdE0QGvTAoAMiQBRssIoJrkBk2EidmreH3/wg3/+L35o + cvr0MdkvqwsNtQ1NARA0qEbVIMZiAEsIfeSBmY2jMACl8cC7qhaFoNE0mApxpkAWoyJZHzAwh5yB + naMrTmopgEzHCOCrayOKdpHLkItQs3W8V85qFIMhwFJUNFKi9YwegNIlV+nnlst2GA5jgw6l4xjB + de4LkTUAF6RmtizMoSWCYR1wbAAQiURhRRpo0yBuz3KIhgBwze8MhqQarbApoJdtr568PjXoXRBK + jSnfP9uYBkMbUymmGlQlEpa6KpNj+Y67t1/zZWe7EwNNGk5WjJlFcgpkWiLj8OlTzxcjqd3Cp1if + S28EY2EIWwlWggnBgtmTDyq7eLrL00TKQZk1sq0bPdIoIUqCJUVQEkJuRRuBEmdmQ+3Bgtdze+r9 + qByVWZmVSEO9wYKFkItFa5IkZDShaQKL9pGsp3J+p4mf8tJTf+1zL+wcKRZ5QLAAgI1MEJDMkFrF + cCEYgjFrYotsAOol5ur6w9eGDLAIi0ZgWFJNWgqWaETKMEMz3TU8+Gj/nvt39s/OBNFAMEMhsnHK + z3oCFUMYcrDdlMmCEZShjHVDzQBl2NTk3lLO/sIvTsp+pGHaTMpgSjDSwqqsbBoFQcE2Tosi4zpB + qz7NSG/0LpN7enRz21y7D26H+2mw2DRmJiIiYmZtDKQSGS+8887/+HM/+5mf/qkMMIGAwAA4hNSk + rs6+a9vJ4el/T7ZJmKt3arh1KYWI6ttd8pzNEwCo6k0VUFfDp83syZ+acZnv4E9voRftGiX0EX2E + EBszKUgRiVnMSsbzd2vXHGusoxCdc849y+r1vcbTqqqq1jtYX/TrX0IADMPw8VxR556e2lurscr1 + /4J9VE9++Q2k5lJv0qnbtj38+OFPV+/X6GsiCiFc0qU/nHX9UTtmtek4fGeztNqAhBDqQoho07as + VqsnL+Tm/H7hnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnLux1Noxsqkgo4AqVA0qUIHq + uo6EYqw/hnEWlQ59X5Ot1RTBYD1kuPC+v5gMyw6agQUgMJgFoCGUfoiEWvmvLrxW0ooKMgihXH01 + Pb1sAjQd5G3T+mMW4sL1FozGlxihMAojE4wAQxtiBEcEpiRGxWBAIMDGHO5iAKM3tG1b56FNQmKA + wcxRjChEcChm4MAh9QaOjTEZUzYYQwxjNYIAIZS6orW4BoIZZTMj1vE2luQaPy9B66czBCCu14ou + KtvFOpbl4U3pvEtKqxmN5SY2+FCWOcYKNMrQMbRbAAMTyrBKKBNIQtnq9773nhdi9wmUOayM+dW5 + 7nWsjxxkoFyu3NNNxczqhEQAIrK5DyDnjEPFT5xzzjnnnHPOOeecc84555xzzjnnnHPObVx7rLW7 + rCslOD7VS5gYCKZkCkCZLHLNRNxkWm/iA68uuZDMapLVGHZVEz1NaazuXwdTkoHWocXXHNtsOIhy + 3KQ5XhKhCmNSJuOgfPhXQrynujpy5OE22qvu/ezv+yf5VZ905ujOhXbSA2JARDYMkmOMAVitSt1w + AAws6+Gtm3GcNd5yTUEqjNgGEzmJeNf+8KFf+s8f+cU33mHaLPbbwDnnEJt+lQOnGkRREwGtvrgm + 3V5taORzVKyHga2Dh5UAYyLuENq9fTzy6BPvfOeRoW/Aw/pYoCuEnV8SpbkZjGsEgxFA63jaLSCd + O/fom95yK0fqNaRJX/qrynx98sDcG5ExFYhGLqaSdXsyZWZdzLcv7Kbz++/4kX9953x1gkyzDJKb + 1NQXARfFiBIQI1StFIiN4XQhhCZEK9DQPMx2/PP+0u1f/eVnJk0/2y6IAHMdrU6ibMJgQ1C6JAbv + cBriobN4XImnaNkul8mqBsiYdD7moX707bNOAD28qxnQQTRL7Gb7HB5tg919x73f/D98eHu21zbL + AdOmzWUgQiRoER1fNB6cijEWPSiwWMQsZCAiJa4toiGzgeszD10T7dDxrAfRpCAbI1KMULO667so + QUh0tTxJ2NndP/2Gn8If/cnJ5XJbSlKtL69j1oUPjny+uG2vW3ul4DYJsAq8e3Tnnq/4W/aKe09v + z/oQNlv7UEb4RXuhHmfj7VDgZU3pvuy+e2p19YLWO5tWff0RjHls0uvPm8RrwNjAQizEBixjeHxn + euRzP+f453zm7tGd3QIKMIC5blglAwxGJnxwJABAjbCFEZQNjWBb5eSit/vffebf/8T23vw2Iqx6 + VVWCrZskIzK6aHx5PX3q9IZrCHytH5+gtS1iY4IGM4bA2ChYauokCuhm3sFV2BwAY8sZklFETWlH + BJgMBA1WGPosBPpef7rO76wzNQxAMJARhRaUlGBmBBAhgGE1On2cvUIAmyqT0Bi5ZMRGCAY2C4pN + B+MqVqhe601KXsGEdHwfUAQYjJL4I5Rf/uVfWrrZvNsSCnxRs7Y5NS45wceT4mpXB1CgjLNNMMbJ + 46AzQh/jYUDGbKB1rDWbkjFphEUARmBoUITxEsCHzxq2y942jdIYsTw2UxqD8nhWkEZGzn0faLk1 + Of7lrzlzZCJxGscpOUQGrrvXDDpgmJPpZoFkAPTZ6o8pMLb5MFaAYAThgGFYcgxNkSMDv+8///ps + sTdRRKkb86IDoG5J0EF/yehQAK2xrZ+wbox5UHTQrf3F+Xf9MT7ySLdaackcA69fPk4Ru2jvE0Dr + J6gdLM25jyKlVMqwtbX1Cz//81/zNV8JRSCoIhCL2JCHJnVMXPMprwEzxxhjjKp6ONCuRt/VEGJm + rvlz9d+bwjP9jYbGSwNh3ZO82NjmjO3D86EL4Zxz7rkohACgbds6hZuZh2Gol/sYo4gQUb3TNE2d + 7+2cu0ENw6CqTdPM5/N6OovIdDrlsbAHmqYBUEoxs01C9tUSkU2mddd1NV+8Pt513XK5rPfr027q + 7xfOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOuRvXJtZ4Xa+k1tTb/FJVQQjMANqmhaiU + HKAoGav87S952Q7QiDFzBgpdqezAQfW2TaGYdZWwq1jZWrjpkuUcLqFAmzpp9UfjoAhmh0vFMBAM + 0yaIIBJWUnooSEQHZnRdkyL3tcwXI7YxRlZBZKzyMAkxEfeSA3GGFikGyWUANMXAsJxzE2iZBwAh + hACYIAU2o2IoBU2kQGBAikYO2UQhW9OtWt7nYCXHGlDrTXdRiPUVC0tdqUzf09y6Y62bS1+uwTRY + CVamJd+6Wv3jF38i9vYhGXaQJU4HtUT14NU3X/mZzRTmOicx52xmZlbvA6g/1ifUydHOOeecc845 + 55xzzjnnnHPOOeecc8455zY81vrj7HDE1/hI4Bg3MY3Phyjfw4wQTKdZtwYkAYDCEOKobINKSKcj + 5xccf9X//nr53E97cGd6gTi1aTkghNC1XRn6xDTr2hqRCJiS5GA5KAAYtGZaU41gPNh2kbBcyGTW + ln5/uloeP33+4Z94I970u3ekVC48kbpUAg9sYMo5E3jg2IdYmAubUjEyBQnxjX7KbKJnCWALQSMb + jDAYR9BJwod+9VfjatCskJIOxfpeYnNk1oDYTax4HZurYCUyFEPROro1IuTy4G/+Nh4/m1RAxMYR + dHhrPkVq8vMjUlwIfdRVQglFIQGBlSUPJ2LY3lu++1/88Pbpx+P5C5rVgI6j5IHN2J4UfmeAQRVE + SAlCEBMTpWINmkU3feQlt538e1873LI9TNoGKS6VlAFuhBupYb+0zmh+DqkjqpMiKYIiKoKiZmPn + ALQJajlnadPjWwF/49NPfu0Xf2Q266fT+dADoABiDIbAEVCCshmNLQzYEE37+T6rmNnhhreOM8Ym + vnS9OrAxklnGLFMFtCvaFQ1iRpAQckAJqDHMyqopt1gdvzBfvfltH/ilN53Y68OQN8sHoKwlqDAA + BEUShJrQOgaRMhmP0adiwWiXUvn0V01e+yXLo1uWwjWEMT+XqDLo5LEXvu5rztxxu2xvLQtC12YF + 6uGoTMbCKqyK9YwLQmaUMKYCjzvIJORybK9/4JfftHrL245emE9tFRoxUiES5sKcA5cQlBDEuqKT + omQqrDbOEGC9tvH/AC7XIhFRSqkeV3atI/rrUUkgIsI6uecmlFJi5sMnzub+5g4BZrbpLD0jwjhB + w1arVZ1/oFAlKyQaSBTLgNUdJ/B5n7UMhMOzOdzlBDtoseopkwMKQ3I50k65L70pXnLnrZ/z6jkR + EAWmOJiHxDCoYHUQplsvWnSF2VPPLFsHpZMpQ5UgRPVaEI0YwYAJGA9/5JHffFsndLi7ftAvWs9G + 2qzv4S7T+KEO2pMxE32aQt9bNJInzn/4/3vbKUFgyyTBuBEOylhfFoWh4/QiA2yz5Dq561nYSu55 + oO/7lJKI7O7ufv/3f/8P/uD3mqFtg1ohMmaIZrWCMajyquOQtV7PzUIIwzAAmM/nRFRnfDGziJhZ + Smm1Wj2zTfpNiNZzO4OiFbSCYMpmZKpkmdFH9AEIz3yutnPOOYd1gmzf9yEEZu77vubaAlitVnVe + d72Tc04pfTzX1Tn3sdmc3W3b1u69mS0Wi/pgTbUPoVb8QN/3V1zQFdSAaiKqqdVYty31D2W1GZlM + Jlh/1/DvF84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc865GwVhXT6l/swAM5gNDDCByRjK + EA7gWjvLgNIXCGNVCNREYLGL/eHbX/RJd2gzk5gNK1Uwstn1L1eogDKUNsHPhlpirv5LYAYH5Ua4 + Fd1SnWlJVgAYGMapcFc4LHULBCAkGGNhGjsLLPPVsJ+VKFrqdhV7vRiYY1RQMZyXMjddApKSAE3i + rkEXwCJUikqZNcywrZZNDKKRKHEkY0aIxF1I2htnJGEFiGjShECYL/YYJZhG1aiIOgZU15IxtQBa + IWTGwBgYZaxwOAqmwTSsN8v1Q8ZNppNI/+CVr8b5PWifyZYJQwAMpGMkeoBGgHS9S24mKaVa3mS1 + WtUfa4kqVU0p9X1PRE3TqOowDLUoinPOOeecc84555xzzjnnnHPOOeecc865Dc/ke85h5udt2X1S + UB3JNwaZbkZhMkUOLZruTC57x47ME730O7/1tr/9xadPnHwUQUJTRIZ+1QZuA+d1zKGRGm+WuWbg + dTTsZgSvGVKE9D0DU5LbmG5//Pwf/9hP4d3vuTMQ5aGXIcTIiYdSBGYIsCAMYwWJUTEwEG78UZpK + 61A6MiYbxzkbMZY9zl14/5vedEvXAjBDjAEXpzBu9tfhUMZL46hJjcgIgICkDjguhp0YJx95XH7n + bUdB0g8NRbaraH9qVNsNTQkaubCqFjNJKfXzxaTPk8Vq7z/+Uv97f3CiX7UibeDI1GsJdSOPA4TH + 1OdKFESIkZhZAQECSCgO050PEH/2P/qO1e1Hz8awHJQKopERFAiGWPPfCWRMz71Uu3pIKAFkdfw9 + SIVV21CC6ZCjBeV0PvJjXT71tV++89mf8XhMfeAUoON5CwAGM5J6qNcM4xodmufLzWY0s/UGuGL6 + 5+bIN6BmUUdBIwjECGxMykGIC6jAyKyx/paywtvf/c5/+9N3Ddha9VupLev3Eb7oTCGr5yCvT7H1 + XlZuI89z2U3d/NRt937d1yyOzBZdWMlgdAOPhFZCr8MZK7j3pS/9ii9/ouk0dWUwXh+GhEOHpLGB + 6zSJ+q8QhMcnZcF2m7aGcteAd/7oT+OP3n1LyUl7gimswISgTCAi5kjcCJKMizJA68SAazr6+QoN + EQUOTbMJojbg2kKpzYyJa6w1rnUhN7oaEF4TrIlwyTag9UFS5wxs9uOhvsW1YTOq80mG1YJBNTm7 + JtYLmRjmRHf85U/DkTSkpHqzzdq4OvVMCfWKs36wtqgkmihGhIXqkoYX/83P32UqIQiN0e4E1D1J + APrlZRvoZyGzWQhKYChBDVxTrpVQijYxUsk7UuS3fuf4mXNTsUtW53DKdf3U9RE99KDBQAeHkdV5 + WjAOgYBEfAThobf8Ni4seVgUK2RgZTZm48JciIUAUjIlUwBGCigZ06b1dO6jIaJSytGjR5umIaKv + /MqvfMMb/l8RiSGaiZmJZGaeTjszuba3iDHW9vzo0aMAZrNZKaVe3WrWXb3fdV3Nq3Mfu2BIiqQI + WvvShrHzw8Lwv/8455y7Tuqfstu2VVUzq3dq1nW90ItI27YAUko554/z6jrnPgalFGauNR1KKbUn + n3Oup3wlIqrKzNfwpy1VbZqmRlYDSCnVx0MIk8mEiOojqlprSfj3C+ecc84555xzzjnnnHPOOeec + c84555xzzjnnnHPOOefcDcNAdmjaPwEMIdQJUWMusV1U002A2LQYBE2EFvRLiH3b3S++vS/HhHTZ + T5tOjLKCrnM5ATYEG2vw1TpOtq5EpwRhCLEACjAQgQgE6LhSpADIEDAmdhusGHoBJ7RTLFfoC7p2 + wrGTrttl5GYyb9pzIZ6lsDudnpttnd3ZOnPLiYe3Zx86svW+EB6czf4ihgdbfuzY5LEj7Zmt5uFI + jwAfFt1NvBf4vFkfeKmqMWbTIsbgE9PjZrwVmmUpy0FAaNNY96p+Oh7rMjDAbMzKZLwu/8aGaFSf + wvUldYfSx1L36Gluf4BUZgMmT5z/lle+Esu9WBYMbGbtGgBohIZLawLeLESkaRoAXdfVfOtSyjAM + tfJJnek8n8+ZuWkaZq+/4ZxzzjnnnHPOOeecc84555xzzjnnnHMXeZ7GJ99QzExBvB6mSUTP21hr + gEyFkQOUjA1xnbMrgZdlaHky2Tl+frE3nezk2N/xzd/YTnYe+Mmfe8n+asvioAu0nBclAgQYaR3S + GhU17Q8ArwOAAWLjTcZliigFQ0YKMMsx6y3WLN7/gT/9dz/28u95fQRJM+FAzMkiFxBLojGDsBgL + FECA8aUR2jeawzkSQaOSAgVAojAdsv3GW285f04v7DUxGaQUWYcEX0TX0bC8/hHr8bVjQG8dmDuO + JGaG5oxp5NsXq4ff/Na7v/SLJludCQkAMNZBj2PY8JPWk+2iFO0bGgXOkiMRmQHakt2quvqtt73v + P/zsS4cVFn2bACMzUggS0yCHtv54PI95mQxVK8VAiJHJwpLjX5B90td/LT753vnRreUKKXVlIe2k + XZkAfDgHNCiT1bjWZ38zXNEYZBo2w7QVYCNVtmHZxxib2K2W8zDZOqPLaYwv/rrX/f573n8rCPu7 + K9WGkZo0DJnXA8OxCcg2ZsNqfx9mIDMiMyUmXJwcfHigcT3ON4zUCFFhYOWat8xWZwQQUeAkeWd/ + Nzx29oEf/Yk7HzvfLi50KWjpE9WWfYxSDutcZCOQUT2VxsRTINQw3WI6m71X9NWv/Wq86lM+jL5r + wjDkiObGHQptBEl8QTSE5thrvnjv995x9r++ffvc7lEEQwFgAJvFcUw86TrkOiqMxlhxVpAhMSzn + aeB+f/cuCw/86E+85MW3bd9+TCY7i5gMBGMYERGgRMQCho7B2OudrHTVybh0heH6YwOVktHHGkRt + ZlSPyXWsteqNfdH5qDaXEgAKGIFjPBxrrVbDrS86IWkda/3MrgwTASbLPlB9QwWAwFmkTXEV44v+ + 6l/aTdY30cCAJyQ9lToHSYHaP6t7jw1NTIu9/dS1OemFpJOX3h1vO7V634cSgc1MZWwyjRIg/XDJ + YtmgY9t5HSmhXnPZdP22rCRsLFAuNhPQ42c+8tbf+ASVsioW1xnYdb2usHbjw6S0boJqknpt7moP + tl8Ns66T1RAXi50Pnsbv/VH31z8rTDuIssWg0AAhCGsSsCFAgc0Vs67rjXuhcM+2Gip5/vzZruv6 + fogxfsEXfMEb3/jGb/qm//HRxx6rOXCqZbEoADYt81WpIdZmtlwuiUhEatM9DEOdCdb3PTOnlGpk + nbtmNc6+tkLrNtIANYLBQCC76p6Pc845d1VKKSEEZl5/mzv4+/bmQl+/4W5Cap1zN6J6ascY619m + aph9fdDMiKht277va8J9Tb++Ksw8DAMzi0hdFNath4iklOof32pTU8tG+PcL55xzzjnnnHPOOeec + c84555xzzjnnnHPOOeecc84559wNYD3lnww1SNkAAWQsTwUyQAE9qL+WUVpEHZRDBCkkY3/4By+6 + 5xMpTsqCZGAgD7lJSW243mWKyMaClYUg66pxZCDjWvFgYAYQTKOigQJYrIshsIGslqDRAhDImI1M + xHSARQa07abnVittJ0tIH1hSEE69Kk26FYd//Y4/RNvAFE2DIggNpCAFQLEaEAJMIfrNr3pVqzIR + 6XJp2pyhZtpZHxJQrLP23GIBhCIyTe0895HQZyQ+KMigVAuL1dRqjYCB1caae7QuZUabxG4AQC3j + dl2LOpBhRt3+sHpBl2I/YLWgFFtdxmZSxlJdHKEQwBTMIOBjKoV146lTC3POKaWmaTZzDwH0fV/n + J06nUwCr1cqnIjrnnHPOOeecc84555xzzjnnnHPOOefcJZ638ck3nBp0e7gc//OS1aG0BGE0Uh9Q + A2eVEGPORfphEjtNfKFJCMOJr/gyHuj0z70RT5w+PumGxQpA6JoyDGN2F8ZQQAAAkSHYpYM72aAZ + pogNVAACq4Rh+YJ2+92/+1/P/uzPn/q612Ea9sqwzITAsJiUAAibMEyVjMkYYDLYjZ1sPQY0whgE + NlbiYOi0TPr+vW9+0+1SkggxmSlbjQ+//ILqRq5jiy95zuG4a1YomKGhlG3RJ973AP78gZOvvu+x + IWPaPc3E1udHBhsbyMhWeTqbFsk6LE8E4H0f/tOf+dnb9udx0XcNUNCrJPAkkgxyuCEgwybZGgAR + pMCAFNnA+2V4rAvh01+5/dVfcdqwsDDpZvv7q+3to3vLhTQBQFDFGB6MoKDNsp5LauNARvUD11i+ + okIhBGIUDRYlK09np5f72y9/+Su+8qs+9G/+TcMMVSNAxmaljt1nqzHRqIsaaqy1yjoil4kCVC/O + swYuHiDO63BW4RrUqgo2A6kyTJmIKKpt53xkvnrox3+a3vMXtyyHRJxzT0AIgI4RuGQIdaMTlBCM + ARiJ0MEJVUNbzyqaT7uv+5LXPDaUyW2n9uYX2qaxch23/PWmAKeYrdm35siMXvi61/3+ex64J8tq + /3wDBinMQBp0TPiuGCCF8DhEXoEAgKAC0/4It7NF/9Cfvfehn/zJu7/1m3PKAyerO9fElMEm0Ago + QRhC60vGM83MEOOY3QUy2DVkfxLVg5HMDDfTkHcFmHCQU/ykaHAz20ySoHXGtcBCigIoPWNNWc2Z + L4sFERkDZgSYlFxAXeRbb8U9n7A6srUqrCAiXmceu0sRalunwjCwAEocVGvisjGtJNOsOY/Fydnk + 9v/mvgsPPbIjeWpak90BY2gykuWKx+lWqA31ZkaTPandfmaNx1ydOFWPPiMlo7al5XBr0f7+P+nf + /8B0tdgHEkfRgwa6rmS4XBtQG/nNAU3GB71K2nStjEBNyXdkfehXfu3u13z2hdKTTdafnQE1sJIq + IRjItG6M2rjRev2d+6hKKU3ThBBCCClBRIZhuOdFn/AzP/NT3/Yd337/O99d2+OU4jCUpmlqntxV + 6bpuk2PHzCGEGnjZNI2IhBDatgXQ933TNPSk9t89TUYATInGTs6hDVmvkvU7MitDyRsI55xz14OI + 1FDbeokXESIiIlWtXY76uJnV3/o0b+duXLWOQw20rn14IhKRGm5tZqradR0RXUOm9UZdcv2mUBcO + QFVzzjXrmojMrO/7tm39+4VzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7gZjAEHXmdYH + D15cECAhFitd00IUJaMfvuuel72EQty70BHMKKYm57zMw6RhketeCccO/VuLBdUKWmpQhrACgIJY + 67oIj6XA2GAEAQAowRiDqnC0tuHUnV4ut07sPHjuXDx6bNfkR/70T8BAjEAAM4wQGF0DVUxbARt4 + uVxNZ7PeNBKnCUgKpAD0//zJnyIE9D0IKAV5+M5PuQ99P2naCQLvllu2juyeP92FRkiZmclSMCgU + MF5/PNL6A417CQwWgK3OWyOG0VjeTGvctazTu6+r3voGjCHv2PD6V37KP73/fkwocGuR83hMcTCt + +2BTMuemUqdA1imHNdNaVVW1Tj80MyLKOXdd9/FeU+ecc84555xzzjnnnHPOOeecc84555x7znne + xiffiMzMzBSXyXR8fjBAiJVqtisBUEJhCGsI3ITYKm230wbtapk5dHuh2T22c+zrX3vr3/zCR49s + Pd4PAjQNL1ZDHzAEYJM4SxCGkm2yrtfvyACRsRRMujgM4AhKWBqaLtF878UZD/z0L5Tf/YNTi1VD + trKs4ABuC3eZk2hUjcqXzSa88ZAamW3yDwEFDDEKduar1bveJQ+9v9nb326TajHDJmOGDm3SOkRY + aUxtPMxokzdpZCbjWFtio4YQikYr7XL+4Jvf3O4vIlCYnyIz29bvHmfS+QAAIABJREFUSxevwI2L + gDRgqqHJaI2iDProo+d+8Vf4XX+2o6Xbjv0ABDCoQDEGaq5fa+BDW94UASBCICRuSrE583DHyZd9 + w2t3dzo+cnKYy7C/2uq29pYLjWxkxloYQlpjfa9TuO8zQgiF1/HbhmCASWqCwkSsRUxLa/YxTUf2 + QFtf8prJfa+4MJsiEQODaIhcNxcZyJAEwQwgBsr+HCUzDj68mcHM1oF/h5O+2ZiM62av+e6FrTCG + AGVSsyASFUzGps2Qj16Y4y2/dfbXfvPoatEFKTakLvaA0ThSPSmSHsruBQBV0vFMoXqGijAQJ3tN + d983/ve721NtZqEPDSKUbvQLtog17WQ1lHNMuO+Tb/mS15zvmoKQmYSgrDXVlbQe7VZ3ItZB7LUd + riP6MxDbpNo3XI70izO/9ht4y28dvTBvhsymTNYIooqZGVMOKIzCtpnkcF3aE+Ya5/OxpOYcHB1E + 1xCMfUMzGk8W0BjzW7dk3Qzj/fUWMkJNS3oGJ9MoiA0QKYulmBXADBFgAQLOJz7+insxmfScNLX5 + Jts7HwslFGYDoiIpikroJj3RSmyxKjm125963/muybzZ//Xqp0GkLFa1tWaA7aCBvlLn4ZlECkBB + SqB1eLoBOVKE8unzD7/5rVNbZe0nICpP65K6uf4eugTTOKVp/YlSiHnIRJgG3un7c3/8Ljzw4Gwx + gDQHYOwP8KFLiRpbXdNxMcbraVLOXexJxwaRDcMKwHw+j5FjZJHctu0dd9z+4294wxe95guZQEAe + yqSLQ99f3CHnQ7crqjl2dTaXqtb0u5xznfS1iaars7/ctVIAwhCCMAlDMX5lEDYhAxAMjaAVjLM8 + nXPOuWdaCCHGSESz2YyIJpNJSomIQgg7Ozv1jqqGEPq+90xr525otY4DAGYehoGZa32H2tWvj+/t + 7V3zya6qs9lMRACklIZhEJH6DaIusO/7zZpsbW359wvnnHPOOeecc84555xzzjnnnHPOOeecc845 + 55xzzjnn3I1DDypcXVyr5KB2AwG1gAA4AA2xsUAHXFh+14tefmreT+bzCQQmjFLyKiNPQtSs8TrX + YxFGH7AKEAIbGkWriIZgBytvpEZaGAOjEJIiKtggjCGgj+gj+oA9MewcexzhkTR5bwyP3H7Hd7zz + 7T945vT3PfSBH3n4IRw7imNHsb2F7S1MZ9jZwtYMYExn2eJiJQC2Jh0DE+KoUDFwROqgjK0jQMTO + MUy2sXUct9zxQ+/78A89tvsDHzr9+vc+8L88+oHflnNnTx2fHzt6JkNoKtYUGSueDXW1uVYDK4xi + UAUEKlRANfnaAoyBAPC6CocQlKF8maJ8z+j2V2EqQUzLkV5Ond77p694NYaCfkUFATCggEH1pnrp + Ifb8VzOtNz/WyYnMfFBKkajv+5TSZk6ic84555xzzjnnnHPOOeecc84555xzzrkNjz17bnneD3NT + goGjchKwEltNslQtQzDTIVuRopLayd7unNrpfGtr0aWj3/BVJ7/kC89Mp6WbLIqm5qLk6oOtRgrU + vDYFTNcDKw3UNs1iUSYRAViukBJEclRs9/3t+4s//tEfxyOPb8kwm6RABCUyEDSss1RpnaD4bCQp + PiuMeB2CSK1guhwe/PW37gy5VeQ+M1EgMrli7lnN+sU6l5EuHSGtIK2jbJXqMGkiQ2JMS/7Qb/82 + Hj89Iy6lXNU63+jbng0oMgudDLkreruo/Nl7HvjlX76HQ8hl2C8to2SEEAgEtpqNu8kfrB/fACMQ + ACYimAHZFoZy6sTOq1/Vfcordrdmc6MmTUJqh2Fo2sQ1ktPUSI1ApgQIaWa9rsOgr0ENsWbjzYjt + YCCzGLnPKyUNKZasO9OdZkX/P3v3HmxZdteH/fv7/dbae59z7r19+zGj0WhGjxkhCST0QCKUUQTi + YSSDwDEVXE5hJzEhrjh/xAkmkMJJCA4kjssJVTHG2FSFKlxUIRXiZd5oDBgJkAGNEEhIGo16NCON + 5qHpx32cc/Zea/1++WPtc+7p7pnRdE/3THfP71NHV7fPPXefffZj7bXvrPX76kDLdmqzycv/znc+ + urt9YCSAMpJeMHCfoGN6qDEWS+QCLTU2lUFsvDm8+JIjeTzUyRQwIyRGIagQmIiIYULa5eHYwYLP + zP/sp9/zkoPFDpWcDxum5TLPJiErlMamg9bny3gG2Sq0d/U8YWA+2zSn3vLm5rWvOdjZttCWPkcI + lxv9+sA5F80qIksJ56bd3d/2rjPb0+XWJAmDxu6IEQw8plvjqHmn1dZTIBV0rQx9Csy5HO7Abj9Y + fPin381n58cOFl0ehJRhDCIiY6pBjzbGvSrbugW7al0gM8M6zXoMYL5s6wWsj8lnk5B9AzOzVZY1 + VifJpZvC6IInn/3Vecw714K+NyMAZAhAMMRJczbSide8ChIPDvvQdN5//mK0nrG1j6aA1YlGBcw8 + T303neasx2a7gwnueOnBtEugcReMp7qFbFgMbGOTXi9YNu7ra3tqsK0vBwwwQ8m0pk8PwzA1xece + fvyDH9wOlgFpu0HzZlrwuo9Etr6IjD+i1QsuVbtMWTXGmK1QSWFYbi/6B+/53ZNDESuZtR7nYhBl + rv3ddf/UjFbz1m6azqq71kopTdOUUiaTyTAMAERENRvKzs7Oj/3YP//u7/67IhDBcplDuOzl11a6 + bdvlcllT7oZhEJGmadq2jTGur3c55xfoJe/qqS2tAop1u3DUBRUFGVmdF2l+CXPOOXf1lTL+paUG + 2eacVTXGiI0A2hpS27atrv9u45y7AZlZLeWAVZ+/5tab2bqTX4OlU0pXUNaBiA4PDzdvEGrBiJqf + Pf5Rl5mIUko5Z7+/cM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc87dGGj1WM274tUTNSZ5 + fA0DjLJ6FcNoOccwfP9rvvzOvuyasQ4AmOqSdBZjKlkJ+dqXpzJckNy8/kBkYFMxFRs/gdFYZoUN + SiiEXvgwhLNt+9h09oXjxz4J/OMHPvN/fPKT/+8n7/uxP/sQbjmFaYNZh9k0iaCdoJ1YE9G1CLEQ + oWvVwIRpF2stl/pggjDV6WUaI4SxNVUJOuls1loX7djMptGmESdOYBJ++vOf+ZGP/On3/cWHHz9x + 7NGt6WPtdL/ZPgyTpcRMoRBjs9QVofDqQVo4F1KD1rjrmlBeN8hGXPk1NGgBW0eYAicNx87u/aO7 + XoX5Erk/ytRmBsOgwOXV97sJNE1T5zjXaYkhhOl0SkR1TmI1nU5DCCLi8xCdc84555xzzjnnnHPO + Oeecc84555xz7iJXkmlUC8Qzj7/rY7OejQtCGflmy06+iBIMIKDNmGQ0BWSkZAoEMeQ+RFIqKVhv + ZTqZaMrG8VGh+d233/pff2f3lW9+TGJqmpQhBa2CQSQxGwKxqoYApVWmF8EIhTUxF4YOZQJGQUlo + BabICgga0O5hf+K+B5c/+4snlothf0+MAGTRLMUoE8BFRLku7TkZO3oNFRSOoSgRWIRUM4MmywEP + PHD+T/409ksCoqCYoWlqqDUTQ8EGMyhAIWQDbURK1ihi1LHFyjWW0giFUIiNDDA1q+N1Q0q3Hh4e + /vZvb+XcAEIsIFJDUTOrARh1SCgujCy/OYjEpIWY22XPn3/iT37iJ1/UH8Z0wARiqLJAUFTILAGr + dDqji9sEAobeQtdlwCjmbvrZrfbO7/rbhyH2FJJxFhmYNDBSCapN0aDKCoKJGaApaB+ur81LgCiC + IhYErTmgjDpIvRQRUcIAtSYs+9RwFOOB5UzX4PWvPvGOr0uz7b4AASYAQMbjdiOAtI4XX5zdAzFB + a5ZSKYWZmcJqBRSkIF1v7XHw+noCgMEIylgOfWib5bAIkSNpu1jszNPHf+Knth47szMkLr0AUGsI + uswMFEJiVrDVSQPrJZMalEJUBRvEkAvKJH56Ki/+zu+YT7YSQjJlIYagGN3IMYRsiEYNC7HlyGfJ + yotv/ZJ3vePM9uQwpdiGrFADhzZD7cJwVjJmZRgbuBCUkXJhhpoKEHTY7dPW57/w8X/5UzuDNfM5 + ch8bSWWIbTPkNIbB29EOJbs4wvzZGK/jqiRcTFWVr6hfpAomqBZmhhkz55zXfa0XECYjMLNqMUMQ + YNXzJBCAomMvtJ7I6xN28/J8BTuAgGCG5QJWGgkAMwEGAg6G4XyM8trXLhNm7TQth/V1yj0pG/tg + VmdK1X3ECgYUpkwppS52ZV5MBbe9SG85YbEZ0+2ZAQhIStH5oi6wzuQx4ucskFU1d7EpmSxbwxzZ + iEhVt0S2++HML//KHaXQ0AuAUgAoFAYDOISsAMDdbAmQcW261xHXmzOj6vFbP08hFIKIDDnVC0/C + sBvw0Pt+D0+cjyVxK4MmIes4UCpsIKLa3QIpr9Kyb9abCHct1MhJZpSSmGFWamOrKQuZ5uH7vvd7 + /s9/8sPjXLp6YG+cfyEEPO2fAurSapJlKSXnjFWepaoyc31BSimsQrPXIZellLGRv/wYvBeaeucL + EIzrHRcANlgBM0KgXADwoKZdixCu6C9AN4Dx6FXFRn6qHz/OOfecqf2K2jEopayv8tjoLaxDZ1+I + 97nO3USISETqiVzT67HKmcaFNwj1+3WXLMZ40e1DXchmK7F+/WYzsr6JwEavb7OnZ2Z1rer36/uL + +osAhmG46BnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn3KY6f0dViahO2MFq7s96PlH9 + Zy0d4K7MOC1qXd5qHWhdS16VsTxVIQzIBgUM/RJ9/oevfcMt5/ePLRaEPDD1gkwQoAM0JSEYGFSL + u1wrbIgFTWEyLsQDo2fUUlEE7YK0ii1mzggZEwCKgVCiGMJQwiDTM83s/nbyg6dP//ADD/zo5x7E + 7g62Z5hNMZ2gEbQNREAcY1djogkEKEhFCFBGFstimU1hCsAImVALUhUCyZizzQLGRr0pU1IFMrqI + rsXxbWw1/+yBT/4vD/zl933izx88vnV2Z/fxgQvaadhKBQ1JE3gBJMYg0AaZsTRYQ0vSJJZJMzTX + kjgGbFThu6Zig5yRADDM8rbm1w7y41/1NugCSLAiwDAoiHWsF3hj10u8AiGEzZmM69mFa6q6rm3i + nHPOOeecc84555xzzjnnnHPOOeecc26Tx0g8n/jJhiGu4+jqNzfZ8DejMdiVjMVYFGzEqMl+qpQL + r5JlASXs9Qs5sXu6pPMnj939D/7b/bvu2Ju0dUCtKHIxVRMwIAJAN6IsSVeDeHU11pZqNiopr5MF + U0o7XXvrfPHJX/4l/egnbs86E+p1GKQkybmuGRhgJTVSvcHDAomo5Jr6wDln1jItugU6uOee4+fO + T2wMi1QAwxBIFDBV4Tq+nCUGyznQBbmM6+8BJtBRWKzxan8oC9WRnDPm6dlzD37g92Xv3KxkWval + FAKYWURijMx86UjQm4MSekuhEZovj5mc/tf/34u/cGYnLRsFG2CsEFu1yTUTtB5vSjVYfP09pAEB + w6Lvuu1zpTxO/Prv+HYc21qGCLCBlbgQjBSkYvUBMRMFA0aWGZmvv+OZlAxiEGUyqqneOg4QV0CV + VBmZqRAVosI4jPLEzuylf+0dBydO9O00A0TYDD1dh9wTgOUSKUnNxyUiElUzs/V2ILugyeXV/qjH + eY1DhnHbtjlnaZtU+pj7k2b59/7w8D98aGdIjaro0dLWS6pPrM4IXu9fZiCVhkQUQpi04QtpuP0b + 3oY7b1/EthhlskIla6Fww8foNiH2iyWIVOwg58O2Of4t73x0axp2ZjrPgRAChtQHCUpQQmFonTIB + EGiMxq1zLzaunqJoix7r0/yP78W//6NTQKtpyEsEWQx9lGbMscbR0P+r1fXZPEmvJEjZPQP1PHrS + /lI9tbGxI8ZfuaJ3YYOpIs1Ns5aCYnVZLKAQ6dRxnDgV4iSiYaudFPd0ysZVrPYWjKCkEDCzFbVU + xEg5YGs2u/OOZDBVw9jxFeJWCX3C6qnxivDcnGikErgfFszSSGsplX6pqhPI9mKgRx8/8+cfbvfP + 06AksFIaDiEEApgopRwZTJQWh5PYXrDUozTrTVqfMcBoTNgigRIIaHLaefxs+f0/2lXL88MQUUrK + i34qMYLNrNSNfGHUtxL8Fs89C7q1Nc15EJG2bb/lW77l3e/5N6dOHVOFCKliHRdXSqnzUS/3DZhZ + VbuuCyEMw9C2LVb5l/UUyDmLiIisJ7u6L2rdU12H3McITVguLTZQFrTt51OPQKCbs32oh2I9hNq2 + XS6XeNrYdeecc84595ypKdQpJTNj5pqBHUJQ1RBC7cg1TVNDrC+XiNR7k67r6t1KSqm+o4j0fd/3 + /Xrh9V7GOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOfekmNnMzKzO0Kn5r/P5vJSSc65l + AUIIz/dq3qgMWBfFW6tBzA0TDGBKaShQgzIsQEULCmGRbjm/f8KS2j7BCqwwCo2VsmrdIwOXa1xM + gAwMrmUN1uVTakEYYewPRRWUrQUUEBJuwkFsHmsmDzGfO37i/Klbf/iT9//kpz+DyRSTGSYdJi26 + iEYQpRCXunGMYeuv43Y72mh1I2KsUoh1Pa+LKq1YrUCoQA3Arq9HNiRiiw1mU8xatAEnd/+fj330 + f/3wvflld57pJp9PWeNkv+jZrMe2J8IIhMMlmBAYVkwExaww1rvACGwQheiTl0i6WsaqiatqVwYA + Rfoz9Mjnsb9Pw7LJBYC0nEwZCEfFLJ1zzjnnnHPOOeecc84555xzzjnnnHPOuS/u5sw0urFc05GI + 1xsFCmEZ0AcoIIY2IxQyskEssxkpmZJpYU0MCOdiDXWLZmavfMkb/oe/9/h0mpupmhDFukQGWSkE + lHL0RmwQUzFjgKE6BtRSzUYVZVEm4yCUFgdsy93l/N5//VM7T5xv+kPqkEIexAaxLMiMQqw1JPhG + pgBLzFqCiDAMJZoeU8Wjj3zmnvedWMyjmRogICCZQcBgAxFHNQxFUyo9wNLAmOqmBdPR9yMjkCEo + gmIc/ExUgFSs47BrZfnp++cf/vDxxXIKDiKRhYCckqnWWIvN1bZLhmLfoAqhb2wo/R2xsQ/e+/j7 + fv/4409sM0CQGj9NYT00vJ4p2Ii6rOpxbAUxUDE7KHkxmeLld06+4euXO9uZgiiPw6k3HlQzrQ3j + z1bjoa+rcccGZEbmVU4tuBDVBGsAYuPobQWyWC8YBMs85Mj70ynuuuvl73zHw4ELSbMxvFtXoapi + GgpsucBiEYizZYKsshgv3goKrEeq62r7k0EUUREUwWg+n3fHt9SGcLCPM3uf+Nn3nnziXFty4c2t + yjBmZVESJTaro8ENKMSJYTXWumg0gkILgLiMkzvf8fX59lsyE6uBLbEuSS3GG/1EKKUwsxLANGn4 + IPd42ctf9le/cc+KYjzVFTDSIiiCTNiYLGGAiVIdwU/GrDxmwRIKoy1597EnPvoz78HZvXh4YEjd + 8a3FYhGIgyKOv4W6D27spvxmpKvE4mcSWnyNso2FSGBISzDEWFAvbTBFD8jucewcywhszGqk5QXV + ebsy60zroCCMQfUAIiEUCwUESSSI8eQrXpGZiYgBmBEoUAgmaZGexx5An1IgZmYyCyxC3GU7tchP + vP8P5g+d3hIEBgklKwBQigFmJoCYaEEGoOvG5uLZTxfb+JhkVqdsEaHJeXfv4IF7fi8sFt2wbBkS + CCU3YMvGViccHU33sucs+dvdWIwvyj5/agpgb2/PUJixXM7bEN/8xje9+93vfsUr7ijFYqRV+LQC + yowYLzsWjpkBLBaLtm1rpnXNsQYQY6wTWesrryw2+4XH2EwMsRx1eMgwDGgiNREgWZR8jvC3338P + pg1u0iA/Zu77vh5CALquG4YBgD2F53t9nXPOOedecGpXf13RJudMROt7gRo7HWO8gsXWG4faDxSR + pmmYuS4whFBvOuptSNn8D0jOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOuZU6AQcAEelK + nbwTY+z7XkTqNO2Uks/XfjYuKHxkIEAAKHQoYIS2MQKgE1DoF5gvsUj/+yu/dPfgcNC5AUQWwbCj + kGwG2KCEQnRN64kZIRHKWM4LjSIqCDBCBk2Ep113WMwgs3b7TC5nS/x8t/3AseP/26MP/88f/8g/ + vveD2JkiCBBhAmWAjTgTMoB1ZZZ1HDUAQgEncAIXMBCAAAqgYBQKmKCCLMi0eoy/S+Ovg2pZFgax + EUNa4zYh9sbLwtZtqxK2t3Bi54f/5IM/+OmP0+u/9LFjs72tWem6x/YXmiADXhQ5DNgJ0ihKGoOl + jaCEwgBAxmIsxnQtzwwCQkasRbRsTESfo+T+/I+87k04PxDZQocCMDFyvknK9jnnnHPOOeecc845 + 55xzzjnnnHPOOeece654rPV1TVfjF28ORjDWwpoFmVgZYygyoKAasmtUg9fGEZHSSM5ZKMwLHhSm + N7/xdf/Z33y46w4ogEJEYBhgCmUCDDXfj1YPhpIZGUCqgIGxGmtKq0BxZsSAWe7DR+/rf/We2flz + My5AKayFkRmFx6G0zzgQ7vpVoyNEmFQZMjGeLJfn/uD99Njnj5NxHd5rIRARYKokYjCUQiyZkBlM + VPRJ4h9W4dNHX8QgZmwwhmaNwgYgpy2mW4jv/633tfNFVzKpEZGAUDTnrKrrMe43HYWYDAucOf+x + 9/zCS3LezpkG0DM/wUkJIEMuABERz4nObE9e9c3vxPHds203MAEqBjYVU5Aq6diArE8wIgMB4zly + /VBCIWSuA8O5EFv9Wgey12RiU4YqUNgym5Fm2ILoMUP7rd+8eNmdKU5LJmwmhBoAkJkgcz9guWAa + o+wIAqUao26ES0NqLwoHZSNWDsppkXZm0/N75yaE48Uefu8vyEMPvpis0VJ/ywi1gVnF9Brbaj9g + bFJsjHyHAGwgILOcKXbrV3wFXv3KM03oiYiIGRwow9TsRg8rNTMO4wQVhuXIjx/uvfRbv3WxvW1d + k4AhIwpSVj5KN0dZXQ9WT2ziOr5fgUbLi6zwA6cf/vlfPAnuYOf3zuxu7+RlFh3DxY/W5Kpmut80 + 1+jr3+bu19U/r1ZIec65aML8kGtPBEGBojAgEYUTJ9B1S1VVkFq4Sm/6AlGnPxVCYpSSGOB6DeMw + GA2EnTtvLyKFGCHWfhwRiSEtlniynOZrffFSwMxCCBBKKZlSG1op2vULnNu779d/8yTRJHLDbNkA + mFkyY6IMcNdmKwDarl1oqmtqlzReq6Dro+OXDDAWIpAZUEODpZRds/l9n9KP/OWLjblfiiAEzjlr + LiIRgK26ETf6NcJdP7a2pzVMLoTAzGZ21113/czP/Mxb3/pVKVnXNQBEhJlLKTUr7rLknAHUmavM + TEQhBDNbZ9rVd8851zmuV/vz3Zxq/36ze9+00GSkKLmEbrKnCW1Ax5CbdpO2bbueCL1+kp7C87ea + zjnnnHMvLDVJunb+AcznczMTkXXnrTKzpmmu4P4CgIgQUUqJmVUVABHNZrOUkogsl8v6jmZ28/53 + B+ecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeeugjobiFdERFWHYWjbFoCZ5ZxjjB5r/Wxc + MMfpqCKVcSNGmA/zpH2AUTEoYyjf8+rXHC95Cp0KCmAoBBVjAIXHQGgal3xt94sSlKG8quwHACiE + wshmuWi/TAFxCNNHDWen2w/vbv+T+z714x+/D7MtnDiB3W2NjEmLGMY1JlXoqgYXE1jBBq4p1GMW + 9apmi60/5woDMIUVmK0egG3WImLbeCi4gBelZFMiYY5KRLNpNkACjs3QyPf/zm/90Ec+/P0f/9gj + 08ni2E5u2gJeJouQeV9moekAWRV22Kw6RRev3TVBNh4/RaEGBFiDhvXYucP/6e5X4+BgCxZr/TOu + /3/t18k555xzzjnnnHPOOeecc84555xzzjnn3M3Cy7jfMJ6bYYvXWg3ZVaAwEnNmZIYBYmClGsSl + IIBEOeg4glNTjt1Euu0vgJtvf1fzdf/x2dgN2ZiFhAFlgAIIIL0g7LCOf2UUIzNWJb1gmCVpLiZR + UkFM+rLFcPq9v9w+cW567nxbFGAYKWCkIK3x25cG395QOKsCzNDcL0V5ywRnzpz+d/fsSBGoFERj + JBiEAyc1AAoUKxwkt5I6waTpV7HWm0N+C4/ps0bQMT68JitDgQwgTgK4qJVk0yHNP3QvPvPQZMia + S0mZiJqmqckTqlrDgFeRwBe8142LYbGkF6vqr/w23fuRrTQ0k3ZpoBqEzEqWxXJNpGOD6DggGqsI + z/o8A4GQiyooz6Z7Lz7V/rWvPyfNPkIfuDDEtCkqpmSW2QZBYRixESuxggEOykH5ejueS429r80C + QYmNeDWUeszqZlNBBtRIm4mU1FOv1G3jtlvv+OZ3nGu7AVRYlRUbJyxDxUqjivm8jr9fz44gWrcZ + etEGuTD8eBy9T8aT2FguIQ/bfY9PPnD/r/3mbllwfxBMyVAIZTWiu6w+C0jrOtfPaKQArMAyBICZ + IKRm+rnZ7NS7vjlvzfZIi4gRYCbKRJYtX4tt/lziJqaUxMDFlv2i2ZouJi1uOXHnX3nr47FL7YSY + hUGAZkBr2rcqjVvMSJV1lc8O1JaHUAgACNpYfxLDZ37jfbj/oZ1hkJQwDA2LKLPVo2jc2Ztty1Wk + dNUill+Y6hXksvo6VzFTvM6nwmLesAgFIGpoiwQT1iCT225F2yQJhcCAkPnefnr1gmUb+8hIjYxM + ydTICkFZMvOcsf3iFy/MlloG2MJKQslZSynL5RIXhtCzgVZfryWGUdM0qjnpoBxyMu7zdlbc+2f2 + qU/vMFtS7VUVQYIxCQHCGYBpAUi4h1kTC5OBkiDJ5gfheqAbozZObGATMRIQG0hBBCKY2lbg6Xxx + /6//djPPMiyKJhaYGbOQkRLDmJTIYISyWppzl4H0osfh/oGZNFnmAAAgAElEQVQQD30fRA4O9gC1 + ku64/bZ/9a9+4m/8jXct+wEE1VJKEaG2jZf7hpPJRERqXl2NtSYiEQGwDhvu+z6EUJ90T2+zS2Ng + o7GFGXowgwu6pstJJ8eOIzKEbta/ANUp0PVYqsnoTdP4dGjnnHPOueddzZxeh1h3XVdKWSwWfd/X + F5RSmLlpmmEYruAWIISA1V9666/Xr6WUpmmIqOu69YvXdxzOOeecc84555xzzjnnnHPOOeecc845 + 55xzzjnnnHPOuU21AoCI1GTrvu/XNQFqjnV9PoTQ973P07ky9KSZ1hUbgL70bdN0HDAMmA+Ylx98 + 3ZuOn9/P6bCEMi84Pm21GDOCalBWIDEyYKjPXNvqRwYUUqVV7axaRVCQCUwylWmDCfPWE4if3dn5 + gYfv/78+ex92ttG1hgCOxi1JLFYgBaGYlAIFIEAAQwGDAQOQaZWWDehquwnW1e4UqqRKqrBavYVW + BRoZCGM9FzuK+VbUxUOBTqIYRyAaymEiRZCIEMGC6QRdi91t7Ex/5PR9H9L8xNbOEwiHMslN16LZ + 74cIREVclUY0qiHSz0l9PAMABoGQCYNhUKiCFTPVWw3ImYae5gesCjAQvcSoc84555xzzjnnnHPO + Oeecc84555xzzrlnzsecXV/qCEWlq5nUeP0gQywIWrNp6yhVLlTDt5gBMgLIiBVMxmSsfWqFyQCS + /SHp7onD6eRV3/m36BUvf5x4gVKQMqHImOhV407HiGUa3xSo2cplFY5sNV9WCQ3TclkKsDOLYXFA + Dz20/77fPb7QWVJRwniGKJDFIHrDny9MQUTIMjQHjl0CPv6Xy9OfmmqxBAaYRMHFyAAwSkkMEg7z + YTjUMkc5TH2I4aJEWKUxXLZmCcMEJmzAUXYsWSoGIyAQwmJ5/PzB+d/4rSiha1oy5CExcwgBZjfr + sPWgupsLHn7k07/267ctltYfoqQAjNsFBZyUi5GuT/9VmvXFB57WQ13CY8vF3d/0NTi1u+imGtpC + bKRkSoCS1bPACAZWcBkjopmMxSDXX8rbhWvFbCzKZAywrYKiGfUDKiGXkpmpDbE33gtyy9e//WBn + ZzHpsqxG7RuzRlYhg1gOBOwdmBkzmxlARHUw+moL0wXj8hVQQg0aN0IhrrtGQGW+PNVNJ71++uf+ + 7alzB+3yMJDWJHLgwlB2qsHMY9ten2Qbd64ZSFCsGOiAQ/6Su/GVbzwXQhJWJmjhQsilAbNefzvs + MqkqQdjAarHrDlPSLvZs2+985+eadkHCLH1CjCBFMDo6Ho5icaFUpwkAY6vP9X9iCFTC4f6JM3sP + vfdXumW5ZTKzPkVwfWVhxkYaruFqJltvXrKvRWD2C83lbsNnv82NlJpABD08lGKp6BJlKLrUPM+6 + BNoTJ4shi2Sqx6VnWj8dMvCq5dyItQYAZjYrtZ+mqsaUmkAvugXTLodQYrQgJA0FEQ5mVjtwRuMy + sdF+XjtsUAWAbD03wtKWTFNmqN3/q796OzMth1LAhAAQUS4FxlkLMRY5BeJkOs/DoiTduCKsD1Qb + 3+TCjaZMWq9NqJ0lIpiBctku+cyf/AU+87kdZtVUYAgSQkgpYaOHUCdQrbaPH6Luyk2n04ODg+l0 + ulwuT506FUIYhmG5XE4mkx/90R/9gR/4PmbU3nrXdX2fLnf5i8WilNK2rYjknM2MiGr3DEDOGUDb + tvUbVT+Yn55hdR2sfRvFeCPcNEgZHON8sYzSHC56UICEm/VPQERUEw0BHB4e1pTEdW76pZ7XlXXO + Oeece2FJKZVSiCjnXLOrayGbegsMQFVrjZsr6P/nnGvvTkRSSk3TlFJCCOsuX+3+1aI563d0zjnn + nHPOOeecc84555xzzjnnnHPOOeecc84555xzzm2KMaaUVFVEVLVt2xhjzrnO3zEzEWHmWivA52tf + MVoXUrooCJkZpEFEUQgKNSj/ndtfyo8+ertwyzC2KbA/7wXQvCpdhWAIhVgBgQbTi6uZXIOVv+gt + yKCEBdEjZXi0ax7o4vc/cN8//ehHMNvKTYtOTIBWiiFpyQZwzKACUlCtOig4yp9eb591tTFZPcbt + xgoGWFebjwEB8aqG2FOWU2CAgAgI0DDyYERoJnF8VxiYEAQc0EzAEd3sZz//6A989M8PXnLbw408 + LrIvAgSmaBgrkm14LkpjGPEAThAiaghMIIMZCGiI5XD+va/8UpzfR2CQWgbYz1PnnHPOOeecc845 + 55xzzjnnnHPOOeecc5fh5sw0ctcnMUyyzpJOMoKCAAUbcSGGMYyN2DAm79ZQWypoYgTb3nJuTXdu + yMtuG3fd/bJv+6aDO285jCiGxMiMUsxACkkkuT7DMAYItAo95THqS42xilQ0AiYTPthPk4lsU/nE + e34JH//MTg9RFmMyBCvRLJR1wu4NjEgYBNMmiKjY4fL07/zuscVhKCgKrgGKCARRVQgyYEQIIQEn + X3Lrba98RV/AQbAOTlsN/y2MwooxzDKwhXV2rBGY26Fkq+mLIbbgFw359Ps/oF94vCaQlVJUtQZL + iMjmOl+aB3mD6jKOn18+9Bv/rnz2wa286IB+0Ni1GSADEUo9bgkKho0HG9VTwsax/PVrMpgwcWhP + Hn/RN33tIxgGjoJWlEVhhMzoA5JAQTWivJ5ohZiNY2FRZru+xh2Loc3aZgSFGIIiKGJBUAAojEGQ + xJSMATEVs5z6GDgYDcNgcYZTt73sa996brsZZBxHTiBCIAQQQEXMcLCvqiRco1MI8hRxJqygMcRa + Ico1I7AQlND3/fHJlM4dLj92/9kP3HvL3mK3CWbj7hED16Zn/F0AKDRGDNaPFhVREcDMVH9UwAcc + b//Gt+vx7f1GSAKssJpQQMbUYpuvxyTyy6JJYwisMLPQTfaH5WB9Pwn40tfwG778EERmCVAGg4JJ + vR5EhdQs6lWuLa3mM9hqg4uOGbrbgpPnDp/4wIeWH7ufz89PbG0Nw1AIyihUk3GZbWyX3PPraiUT + X5SafMWWOSUtuug7Im5aSIs2Umxk2oat2eTUyUNoFiqmSlrgOUhfBOvYZBUaW04yUA2BJhtEBxkb + 4YEZO1u01SXBEmUJy8yDlqHk+Xx+0WLp2mdaV/UakSlTQ4UkcDM1KZ/4xCMf/fNjqqIqBGKIIOei + MK3ZW0IGkLARTv5Hb7Em1GDvxEirKVS26jsp0diPIsCYwQw2U2GQghUAmJE1Twhb5w7O/84fTMwg + KGyZTI3Gbi5qh4GUx54Sr+diOfdUSI8eT4aZU0ohhIODA1VtmqbGzh0eHv6X//l/8RM//i+IAcLh + 4SKEK7k5mkwmfd+XUkREZOyMmdnu7i6AUkrOud4g1Kxr90woITOSIDMSo1dQAFRnsbM+dxQAyeWm + jQmvOYgASimz2axt2zpl+vldK+ecc845p6oxxtrtDyGEEADknGvOdM653g7UQjZXUM4mhJBSWgdX + D8Mwm81yzjUhu+s6IooxNk1T862v7qdzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7uZQ + 5wEx8zAMzFwn/tQJQcvlsgZa13BrAE9Rr8ldHgV0I9m673sFGGxDggnm6Y7p1osmnQyLkLQstWtj + ACLQMYygYFIhjWrRiMVWNZquGTY0q2pgSiAgKCYZorzYah863vyDz33kB7/wl7gl4tQOShvQWloS + J7IknIWMiQu4aAACI4gx6aogCytIxXJjOSALskDXj1o2sJBmIBOMapkWBrMxG60fWD/G6mMYyw8S + IEAw9PtLMsRIWXOyPFDKrAOXTJQhFtqigskOpEPosN380Cc+9CMPfuLBiZzfnsy79pwlIq5boxa8 + qoX4jKDXuKRVIfQclgyoNYYJ0BCEIMxDSRPCS4byvV/+JuhgyBQ5Zy9A45xzzjnnnHPOOeecc845 + 55xzzjnnnHPuMnhM1PVindB5E2MbQ15ZQVAAIK3JWkaosXxkTAas0qMnk8nBwQEkdF1HXEz4kOXA + LPz1b12++u7l1qwISECEAgiH8Z0uCZ+uOYgXRSGygQitYDnXNvJ8UY7HePLMmSd+8Zdin7pSmgJZ + rwzpc5OkeFnG0bPPOGxbVUsppWgn0g2H/MQjp//g/ceTtnVEMiMjEYuQqAKGEHhpmrQsJ03z9rfj + Xd+i29uLVApDeQzDU0JhAEcZaTSOAx7XEAZoYXAIjQE5pRZohtSdP3/23nvj449OiCSGuufLGG69 + Grm7elyflBirz7vaEawEkCppjU5HHdNsupUSHnvi0d9634nUa1l2bSOMNGRVMFaf8SkbgZqZzAYo + EAKWwJkoL3/bW3HixDCbKQekUje7EgrBQApiYN3O1xbmOjyM12iMRdf1CVtHbwNQsNUM9dpYGMgo + xgiltJgf29o+1NITjn/7X/9c2/SyTmm0zYWLKuZLyRqJuCYdX3Jsrc6lozH/NRFcGbYKgOxm07J/ + eHw5fOK9v3DycLGrpMtc37CuMxnYjK3Gbx8ljNZUZtF1c2Sowe0BQwjzremL3v41j7btQAFgaAaU + RQCOxHIdnwjPkIiUUkSiKg4W88lsGqIcMvrZ5CVv+5pl0xpRFJQMIipa6m9d1HSvjgrd/Of4GoYl + HFOcOJj/5Xt/fnfRp/P7YdKCFFAjLXVOwlHP56olO26u4dXaTesuwXot1+9im0f2DW5zc9EXa6Ce + tIG8Wm0asWnXzHdPLO56xd6Xfcm5N7xm7/WvO//6137hNa/av/tuvuPOPkaKoqQGXMWD52ZmNY/+ + grMVRsUUTIHHfZcI2sT2K9+yeMubDt/4+v03fPnBV3z5wRtff/i6L0t3v2IZGoBXG/y52+xExMwM + MtW+7yfgZm//oX//+ydLsb2DKFIIuUAVCm0kCokImRoi7zH2jx3Df/Vd+ZVfMg9BcUGrBax7v7bZ + w6H1Ec4MQylQBTMXYGL6otLf9zvvw97hLJMYch6KpraLDCMD6OiadT1f5d2NopRSp5syMxHVNDgz + A+lkMokxvPWtX/2bv/5rp04dI0Dtsk9MZl4sFjVPrpSymV19cHDQdR0zhxDM7KbItB6br3qCG8ab + hY1TdbUBrd5BXfZHri3J2G1YRZUbIIKcoaVAlU0DEcBB4rP7ONevGON6IrSZqeo62tA555xzzj2P + iKgWqSmlqGqtaxNCqN222uc3s5yziKhe9v1F/UUzizHWtzs8PBSRekezXC7rwmv/8Ka4xXDOOeec + c84555xzzjnnnHPOOeecc84555xzzjnnnHPuWlHVpmlyziGE9WSfruuwKhwEIOdcywW4y1ZrBukF + /xo3pVnbTgwWamD0fP49b3zdTj/H/IANEWiJFn1SgICstVyh4ipUkGRgVT3tguI/ly6Ysa53BwAo + wEA8l/ZsO/ls0/7fn/4UZo1N2kXTLTggMhQUQs49CKYqxCUVAQKPgdCwozpKq3o+pT5o9YDp+MD4 + CgUXcF0PW6WDbz7Wm3f9HK1/qJjNuvozEmZhJkkoAKdSiqkCHBmEYkATMNvGZIrt7X9++lMf1fzZ + Jvbd1hCaWsiANgqLAWp0QZ1DBeuqMp1unDGXFkK8jL3VijIZoAZWcAEVwFShXeTpYn7scIFFopSR + i8Rx8xpQLilXZZs1rOzmKmjlnHPOOeecc84555xzzjnnnHPOOeecc+6KhC/+kidDhDq01MzMB6Nd + PXbh6ENefYPVkL8bejyvEpKMw1gN4KMUtPEbtnH8JQNGUGAoOUhTjE0zI4GwCO2Z2Q615Q1/77/5 + 47//94/FRpYDGZrYDmkIIDYoK1ZZp0+KFLIaIauGSIwkE4gdDreKfuoDv3Pys9/eyh2ye3xJ3KvG + GMt82TTNdTX0kgBRBmBgBYxUCZuhj+s84PovIw1iwzJPJ8dp/9yxtHf/+37h9py3FirGDDVFIKjO + AY6IWnSw0rRxbnh0Z+u27/hP0cwW737fZPmwYD70uhWRMwZTGFrhvFQeD9AEADXcd3ymALCsBAhg + RVvCzqJ/4D3vfvNf+aqDZd8HikJqmQIRkRkTQMiidc3XGZDXUfKEEhtYSaMlAqATgyQyIyWoQnPs + rGBSSgQENFnO9ed+/s5HHuH5QduEnBMA1TIRtqIAokGpJlxqHTNdD2CCYpV8XYiAohllu31w1tzx + zm9aytR4ltIQQli1FcyGUIPjxwO2bkdgdWatE++uHwbkVbKI4WgcOUitNg5gQNmO2hAzYVAbkPvD + AXQwje2tu7d+w9sP3/tvb8VSzRTKSAQzAhTBFHsHkZiXfcNibMMwxLa1MsaTGwBjBpSUTUvKHJoc + YrKSyQI0ADBd9sMdCvyHD4UP/OHu0BckEaiCbZXMTUcjyckgCtn45xqz9YoYaE9xGMPtb3mT7u7O + QwtiSZnZlPPCCguT9TyOor+OToHLpkSQogBzlGJpSUULxTMxvvhr3/7wv/zJtGxpyFFkbnnWBEt5 + 3Fz1RFi1J/VJJl0/v35NIJhpt38u/NEH8bGP77z5K8/lPNUEMSLOwBCEwWzZcrrqSTbrFPYrs3mt + r6e9EkDMMNzYO/7JrTs89TIGPGWTVC/X6x/yalNf1CN6lmm+bHqeZeftX/dVb30b5brVx3DQOyWc + mcS5xGIaqK7pzbdDriYDZ1aA2bReetgUYJAqMYODKlSF2KAAPz6Z3PU//sOQ89FuNgajj+FM264u + XgrACIVw9eLjn1ITeFguprFNfd5qum5/H2e+8Nnf/I27F4dTQS4GBjHMICqqGohITQx9J09sbd36 + 9d+Al71i92/+rc/8sx9t+3OzSXtw2DNAQkRmGUQJ46W40tp3Iphl43EzAkUboKR+mvrts/bE+//4 + xDvfcSYUBCLCkJdETKiZ1soq5Eeme4bs6Q4VA8wAmJayuuUvAErKHMHM0655+Uvv+NVf+eXv/rvf + 9Rcfu18YYzedGCyXBAnXLunGv9c52Rc+g9VEVmauscQiUn+6ziqu61MnwT7bjfDcKQSDCeq1j3i9 + /cnMVnM7C6EQAwRj3rihe4YUDFDQsmpZiIsFgRllzRJFdYABFm7sPyg8rXrAACAinwjtnHPOOXed + WHfM1n34pmkA1O59vS9QVVVdl7NZP19/y8xKKeubhZqNXSOx691H/ZpSwupG45K7klF90xBCSsnM + 6kLqAusL6vc1KpuINn/knHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn3E2szqOpX+tUoPX0 + 7bX6/A012f86QwpVGGAMWpUTqmVVzAAiCJY9FsN//9ovu+1wfyctGxqnWimMAKNa2a1W6lOmBGMm + BbTQemHPHJOxEZSVrJazgwFqDJLedBKkz0UAIsBYWUmQE7YjD5mydGfA+7s7P/QXH8asQycIUcEN + eEzAFoA4hCkAYgDcxI06irT+DiCMJVPokvlcR5UDmC8pwURPVpRpo9bAJT/koxfJqnyNgAFEWRWC + qD+th7mFVCy2E8T8bx59BPP++17+ahZtUz7Zxb3lom63IFgoJgwY1ypJajDmQqjlI2hVOIxsLERW + jO3CaoFfFEF5WDBQeCwsIQAM2QCxXBYBOJnzP7rry37kvk/iGAEdLABIhMHShITNQOM2qxHiDISj + fHUdj0znnHPOOeecc84555xzzjnnnHPOOeeccy9IXpP9+adPNh6UVmmdtEqiXb3yBt5lNuZ1XfRh + LxhYybaK9YWuIwwrsQzSQlgEeSIE3HHHl3zzOx8RppYEGHJijgAIKgrRL5JwSbaZJkgAMziYTnM5 + 1vef/KVfPhEbWxykkohEFRwDPcvMzGvBmC7MpbsgKLR+s1prMpSUp9Pp+YP9KQznvvDw7/7e7HA5 + Q2ATAxvVX7d1CnIrYaHlbBde8rVvw20vxrHdu9/xzi8Q90w1wY4IHJiItOjGeFRd79Z6DBOULtzR + ZJjmvPXw5/Gnfxr7hTQxkw1ZxZiKrg97o6OFXLeHvpJufmQApRSGjRF9JDTkST/g3N4jH/jD7uzB + VqACK8VCCC1By9G2qsftkx1o9TU1Bo+ZMIQ4/bJX4+V3LptJMpJAVvrNdahnweqEAqBsyjaeWXad + ZVpXtnoAWDcCR1m2Bl4d7VaTrQtQkE01cpjEw0B7J4699O1fuxcnSw4mCASgGBQGJuFiuR9QiAxk + OkYGGq+3Wh0mPr47aRuEQUU1wRSGolYyq7alNKBzv/qbt+4dzKBCZBeOD79oD45nwZPtWQHIoNKc + a+XUW95Ypls9qI7kB6mRGkHJlFAYeoOP+a6ns9UPZ0pQMlLioW2xNbv99a/bZ1Do2CjW8PZLbG7D + SzdpzlCCxHCM6cTZ8+d+4ZfagtaU66wFK2aWiuZSSE2u40ya9Y7ebPY2P6nSDX8wXGS9Ky83rPjK + fuup9CKPd9MHtndOH986fWLr9Imd08d3Tx/ffWBnay+2ma95lPLNpF586jWI102krebzKESxvmbN + Q3xoa+v07m7d4KeP754+sXN6d+fh2XR5ySS35+b6VczMUIYSWSzPOyrLe+45NV9Oc4n1SmG8Wg9j + Q9LMIkI4tPK5EHb+k2/DyVu6r/7q/uSJvovDMGw3wkypWM6Q8KRXBMUlPaX6GjF0wGSx/+A9vx8P + UpMKM/f9IrDUzlW9WDAgBoAV/PShxc5dma7rAO37RSmJyE7uHvu5n3vPN33j21TRNCFIUNN1etwV + ZL/VmDoi6rqOiEQkpVRj5NY/GobBzGoK3dX+fM8FXV3Zj64oBKxueY5u5C7HqjGpfylQUWNlNtDY + 8arzERVU4NMHnXPOOefcdWMdd137/LWWjZmllGKMRFSfzDmXUkII9es6BrtmXXdddwVvraoiUjOt + +76vy1zffQzDEEIgor7vPdPaOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOXc11UxhqkHO + F0Q298tlY4ARluX43uF26ttibEdVVowuKM81lumjXMuVXEE9FiXNrJl1/E0b040FIOg0NimX2WzC + UXoDSAWUE5qWzhf7nJVHZu3njm/90J9/GDszTDrEiVFgsKzLIIz1EteP8bmjT00X/Zuf9nH08s3S + CXTJ44ts/40XXfq7F/+6IYZ4kHXggLbFZPJPP33f/aYHk9lnlwsCpg1NJ5ILtppaIUcNqFXfyMY6 + MNiopFdrTdT9rONHfqZqGZ+6hoV4szIVBwwZ222cFtvdX/53d78KedD5HgxmMFikyGN6OtRUN4+W + +rGvz4J0zjnnnHPOOeecc84555xzzrn/n717jbHsuu7E/l9r7X3Ovbde3U022RIpvkRRFCnJkmxL + tmQ9RtZjbMcOLMwgo2RmEA8QBGMgBjLIJLGQTDxADAMzmbETf3PGyLcMDASxEcD2SBY1evolyw9Z + omST4lMi2SLZz6p77zl7r7XyYd+63aREi9Viy1XN9UOhuu6p+zivu+/Z1XuvfwghhBBCCN9DUZY9 + HG4tlm81djaJcWfWmZKZzSbHPvQT5151wzyl6kCS0ctBkz7JAYcSlM2oOhzgWcFTn/x9PPqNSZKB + nRlUrSNxvRpb+F3xlhe9yr4F1hmfqzREJmcCxFvCMRvImbhD72qf/PzGY2emiwpA2ZTtuXtPAWfY + gvDsse3rf/TdltNCCH/nHedOHRtg0wQoBNSBBQQFH3Dn92qzs/OnPvGZjiqoULUOKZtkk+wGWGWM + Aj2srRT7KqtbKVVKTgZSBsgZRp10E3MuiiSJeafqk//hY+effIqBLuVatWX2EhHTd9hxbVCyw1Zp + tk4EOV/Kne/4IRzbXLK36NDDHNN7NbBDHEQ+ZsypVLYRfiH5xr2vm91xx3mRwuIEhzO1sd1koPly + AUYFmzNciJKC9gd52/PGVhORQ+GWQRkslIhzj7RVK772tYf+5E9nIDOrrnB0xAcNvlcDAV7dhMp1 + O3jzGwq70Co7d//9ayBTRuUryzo8LIxaO4O2k8Uhxi1+tZJax6fe/tazOVWGmebE+m1Srb+DROSO + arW6zUAPfv5P9GsPbpeanA1CJIlZCAQHTOQo780QwtVkBANJ7r19fFjB/OJffOKTW6MlAxziJr5/ + cQUjuIDQTZaORT87/gNvwq03lzJiY/POd7376dTtVod7dQchCQ56PelABSYki7+4H3/51SnBhCap + w1i9ta4kTkzuhLq6ZogWLlwF4ziqat/3RLSxsbFcLlNKv/qrv/pzP/dPx3F1bUuwad8BRuTPCWt/ + ERPYWnB1S6cDICJd1zFzS7BueXJd15VShmHIOV/NbX3Jrd6T7TpofcnuBDK0q3y0qyO/LPE6hBBC + CCGEa5q7tyTp1gUYx7HWmlLa3d1tIdPtZgu3bt/dves6ESEiEVkul1fwui0Vuz1z3/ciUmttWdoA + uq4zMzPr+/4l3uAQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQwsuYg5VYiZ3NCQwIVoUZ + HJhMEuqA+eJ/fs1rrx+9A6eOD1pP72DrQxiyjQIAZKxISi092tyVVYnlG3uLKpKSqFvvvIk0DLK3 + tXP21HUfeegvfvnxL+FEwow9sa9joS/VTLAXeOUjwgEzlDpT7xyqFR1jW/73Jx+w177ajl1fuN8b + /dmFFmAoOpopYWQrYsqW3XpDslWRQwBiq/zo1WlAB95BrdbNPjZwK+2xLDBgMRbTsp37LQfmRaRD + HUnQgRLgZnAnZ6FVWYvOkRwVqN85DzyEEEIIIYQQQgghhBBCCCGEEEIIIYQQwrXv5RWDGo4sQ0un + NUmGZMaMi+y47eab3v+jp61SIspJ/bsJATOQggygScUNFxZP/3+/vUlIBCEnrcyp5SscHg4YWcu0 + vhyvw639si8AgIhoGWYwzHf/6nfuu1XTJme1YuTrEcztX2NTNlUfUpfvvhuvvWvPMXaCm64/9sNv + 2WMQwIAbuboYyCFysPVPhu3i3/jCn9PTpzeXCy46SVM3BpjcADNyJThaOiOvMs4PE3Zjh0OU2Kjl + Tho7RBIpRKkDAKRacfrpv/rt391JqROoKhk6AtTMHPn2G2gAACAASURBVC8cR+3g1cElgBRQdji4 + 5K5ubm3+0Ft3SUcmZq51fLnF9BLQgROIEi2t1lo5pblyyenV73332el0CVIDgcFsBFVl+Hx3D8RG + TJTdhZDh7CAnaznubTR8OyQt44SIEnMHJicDJbXtWh742Mf6xXzCbFadIIDpgYfRt0BzdyyZdl5/ + F27Y2a1jlwTk5GBndpb9iPfKZEf8I1tp1WQxTIzFGJ6cyNznULzhnsXx7Tk5YEyQ/XbsQIgwaK21 + bKY8W4wPfPz3jpVB1NRA1cUpsTAIgNkRn/YQQria3EhS50ykeszqub/8y/HRJzaKJQP250+xMXlr + qczh42I+CJ9L3Rt+4ieVpfYZ7rMPfuDM8R2b9K6mDhZGSvWAjZsRjJEGPbUYnrnvvonDlkPH0tox + IyixgRgGuFG0buFqaTFvwzCY2ZkzZ3Z2dlrs9M/+7M/+4i/+y65L7cJmHMd1Lt1BtXS6UgoztzOc + iFqCdSlFVVuI3VGLl6PVpeW6t7XfCNh+CH1Lo+fnTCAMIYQQQgjhWlZKadHUAESklNJ1XUoJQM5Z + RNxdVVu49bqLISLjOK66w2Zy0P+QANpLuDsAVSWivu9TSu2PwO1pmXl9nxBCCCGEEEIIIYQQQggh + hBBCCCGEEEIIIYQQQgghhBBCeEkYUMEVrIDBCKu6emh1qEyxWPzTu193Q7Fel1R8OVz1AgRiEEcy + Fs/wbJ4VbAADkshMT3YTLcagqdDCdZdnZ/LWI0n+1f1fxtYMk27e5wWorWiLb3YCyPaXHXEECHMi + uLKICw1eMe3/+e999J99+UtPdLlsneg2ZkggwiRTK+JnwDqyWhzivE62BmBgW4VbH3hd2C/FTzvg + 4PYqucPmZh4dAvblfLIc/ts3vQXDCCZX86oCY2YWQdVLueO+WlsDrpHjFUIIIYQQQgghhBBCCCGE + EEIIIYQQQgjhu3C0MzLDNa8FffEqBUzYOZmJV3cfJ/2TwCt/8ifohhtLzuM49jnzQbMGHADEXaBA + exmeVrxqqY9+7L7y2Ddm5KJGRO5Okl7KbXsptAg0IxjZOr6aHACzr9IWybm909vPWX222Ktf+Wp5 + 6JH+4l4nrDBuadKrdEYYeWVUQSEa+o3bPvB+u+66QaTkbr4zu+X97x02ZvMKMAFO7gLi1WsdYOXF + fFI9P3v2m5/89KmxzoqZoRAVcidjKK9WBi3c+hBqY3wdySFOZqwEI0fmXAfDUCecUHU2Fv3s7584 + c75fDmSwosLIKbXBvPYCsX8GNrqUZGwEYwNciS+mdOrNb8YrbziXuIJa5Ib6y2tkMDs6BcaR2HMn + qLVLiaW7SIl/+G0XTh4fUucACxTFASKaAMPueTA5iYPhwixmvsoUJFuN9CdDGwsOEDmRw5SKc9Gi + LrXiiSdPf+5zW1pRqwjnrjNCPfgmEBFJcuI5cPM73mY9lW6V6Q4ATmTEboApQYn9KAeXrxsrJwOs + NUcOMTAnWiTHK2/sXnvnsm2leTr4xtaWQd6lLjFK2arlmT/4Azx5und3Ylbn4nB1Mrd6ZXGbIYSX + Bwa4ViuOCWxzd++hj37sZNHpUrPCCMpQNqNLUbUiMriXjY100810993LE8fnfbc3E9z2yo0ffMvQ + ZeKUAFdoVdu/vn3xUkq21FPj+OznP48nn9qoPi6WadI5mRP2LwBXc4RaYxvCS24cx3XI3PHjx8+f + Pz8MQ855Op1+6EM//e/+3a8dP74tAnMzs77P3+YpLpto960uj5dbh9sBICJV7bpORNrH9zAMV2MD + rw4GGM4AfHWFt6KX+nGw1YzEw9njCSGEEEII4aWXcx7HEUCtFUBKabFYuPs6cNrMVLV1AdbLm5ZC + 3e520NdVVWZuf04H0Pf9OI4tYLuUAqBlZq+DtEMIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE + EEII4aWiaHXusKpBgFUdBoKhDNi9eDtxN148NdmGe05dK1ZwlSTDRsFmQXYWMIOBVElGxki4OFYH + MI4bxlprl/o9zg/M8v/4+EP/x0MPYWMT3VTRT9FPXMSZAAXG/a9rgsENywXEll4LkYL6tAHqsb2F + Yxu/8PhDX0n+zOA5zaphUZy95VgbYEUwEgCkVXA4F+JKzGBxbhXPDlp/Zp1s3WKzFQDYgVpxca8k + IBG2c3dDSttnd7EoGBbExuJeKtBOOYJDWum8/eqO3H6zX+cxhBBCCCGEEEIIIYQQQgghhBBCCCGE + EMLLU8Rah6OBneAMcItPVq/LxBemU9x46tXv/8ATsHnVTNTy/F68/dhsYwdWcWJIjsmwNzt3/vQf + /cn1g3dqJDyaOh0otfl7wciM7PKItLaKLRDav3XoqtpU66b7V3/7d3dKncBsGBIx7T9wNfAUAFAY + u12nr7hx+20/+EzikXlR9fx02t/7usldd55hFBHA14NR/eBBD8nLDUke+Z2P4enzx7kbx0qSjBnk + LR963UIZsdEhbK/anmcjNmo3DcBYTXInkr3USa0Ttwc/9ns3Lse0LElYmGDwUmH2NyelK8GJVvt3 + /ziOwt9kPvHOty/HYtMNhUM19alYPej5f9S1fBFX67oE8zoqW0Ke4ZWnZve8Vicdg0BWFSB0oElV + O38BXp3MmdWJIM/7HHTC/mh+BjkRGVxVWT1T7iVtmO1++lM7zz47q0pa4WpazNCJHGjlnWAGJyrE + ZWOW7737XE7U98vlct0UAUxwhu+fYNcAAxnI2QkQa6PuyUYGppMb3vLGMSUDXC+PqnmxEpGqk6kw + uNS0t9h59tn5739uQy2xZEowVzMwiQjRuskPIYTnYEeWXMfqrtsseODhC3/0hZPEna9aYiXoqk3e + /4yGytbGk457P/gBbB27KOmClotJzs+6Oz74/rPE81oTJ4ZV9SQHa9DZYdU3CLNh4U898cxnfv9k + 6uFqTEZ4zrXp1ZyNFkLOeRiG6XRaSlkulzs7OyJS6zhf7DLzW9/6g7/xG79xyy2vavFww1AO+vy1 + 1pYt1/Lkuq5T1XWQdrsyaHfo+75F3x0N+29MA5QAXvXO7LILkUvvY/KX2/V8CCGEEEJ42Vpf/+/u + 7hLRdDolInevtYoIEbWOgLsPw8DMAFS167pSSku2XqdTv3jubmYi4u4ppWEYWqY1gL7vWx9EVdtv + I9k6hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhPAS4lV2MBNWgdaruiXDEqX+/Ju/P589 + M4NfWJ6rsKs9v4kdAggAmMMAczJlODEn5IxJ5gITEeHu67V+Y9b/q0cexPYUOauTIRU18kQuq21Z + MQB+DVR2IoCBPqlbEqleAYATnJB75IxZ/ysPP/DM1vS0W9rYkJZg7RAHACWrvNoLsqrvx0q8WuIQ + P3D9K7oseNpXt5ic3ZESpOPqdVEuYrF7nflH7nkDKlAUw0CZUdVHBfGlI0X76wbY5QcwhBBCCCGE + EEIIIYQQQgghhBBCCCGEEMLLUoSfhUOt5QeS82VBfe7kSrw0yGTzWaXufe+5cPOp2iUUPVDutBOU + WOm5ozVhQCXoMcbTn/7DyTfP5VKVoIx66FKtAcBXsdYGMoKxMzsb4dLXftiyE4QxWY7464d3/+gL + My0pJ0cREDsYl5IR2UkclXF6Y3Lze9+NY8f2SEBSjZaex43tW973gWeObQxdGyvr5g4QHbQ9ISP4 + MWDywCP4zB9OzIwMzEZQMicQQM586Acok7cccfY2WpzMnUgyS+Zqx8bi998/PPDgbHd3g5iJBUSA + AuZOzPw3xW+sRiG3hO+WIL5MtHfjCbz+7nMslSVRclVO4jj0e+olZYSRnHKn6tzyRYv2A8Fz7fPt + 737HmDKB3MFAAnLVzaHwuQswJZjBnAxM7s6rEd62fteQMzvc3cmNzKDCmHLqFLQ7f+yTn7phuZy1 + 5PXqXJ0ASD7YBjg7MJovsuzcfguuv26eUyEHGTl41ehxCz1kP/JhpeQgNwbIfdXUOO+PrjdNdD7x + dW96k85mFQDjSiZVcGK0I2IMbAA3LpYP3fcJXNzL1TsSZjiZs5PQFcTehBBeJsiRDT2oB9Le7tMf + ve/U2b3JONB+y9Ra59YsO8EJc8VFYHjFDfyud479ZLeqT6bzPp/d6DffeM/mnXftQoqAqU3oogNd + UZKDq06lg9cNGx/7+H/EU09vdv2eFQC8+uCCrSYKgWDsL6srgvA9YmZd1y2Xy8lkslwuh2FoCXM5 + 59nGJHdy002v/Pf//v9+29u+nwlX8CmbUiqlEFGbWjmO48bGRq217/sWKVdKaeFz7eZLvoFX02p/ + VBjYjAxo3QcCyNe7KwKtQwghhBDCy0atNefcUqU3NzcBtEBrZl53DdoSADnnWut8Pp9Op+M4fjd/ + 1uu6TkRacnattes6d2851l3XpZRaonZ7ifj7YQghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgjhpdKqYKWWJG28ShJmwAu0/hevuu34YrlZq7NbIgFE5KqujxJGwkCosEJllFK5OgBnqlgUILMK + zqOcZnn8+LFfeuxRdBl9h+lU+lld2oR7VIWtSiUkWAfrgLza3KPNwZVpKQ7icbnozNIqCFoAFBPM + Zsj8vz3yV9+88djpZem574Buv8CEMZStiFUyBwQQBzkqW2VLZr2aHLDIhH9L+HSrgZOYJl2ejwbC + dp7OQJPFcmu++NnXvQGLER3vjQsIUco+luc9BXmLNo9k6xBCCCGEEEIIIYQQQgghhBBCCCGEEEJ4 + uTvyI//CtW0Vy7eKd4WRKUOJkIWIanFsbOOmmzbe8qYynZnbwU5pZwMZMfaTiRkAHDAW5t298sX7 + 8cTpvBwcyol8P2b7MLGWjHh5KLXRavipElogd/siWMeYLRa7H/vEqflyZlXLInNyX21Wi2YEQIA4 + BpFndra2fvyDZ7UiJem61PWj+i7l9CPvPH/y5KIjBQB3OMAk/YH2PzlAivnuzYqnPvEpzOcTdzZ1 + rWgJzf6tuY+Hq8lqp8wqdRgwsJM5mWReDuNgOktpurf71f/w0WN13BJKcC21qklKSQSAm6l/h9G8 + LZKcfHWIB0npzttx48n+uuvHoSYWq6XWQnK4ds7V5uClmUynqjqOlYRzPxHm0fBsJxtve8v5zHti + SmAGEcjQqcpigark1ahWMiJnN94PWnaCX8oQZzg50+pkBKCVz13Ak6fLo49OLu5OyA0gIHVJwGVc + Hmj9CRCS0TFMpyfuugv9dGQZzSaTCTvIYfvvRwBibVT60T7E4mDDOqjeiZ0YYJhL6uZdj9vv8M2N + moS67gra2kFL4pQIYiDGLGFy4fzywQdx+ul8cY/dwKRwd3V3M/ND156HEA6LMgxT5i11PHPm4U98 + +o6u9zL3/YsS3v9EbpcBSuim+azrre95F3a2z3t1EUgawYsky9n0pne9fX7y2ILMDASoHji5NnGq + dTTHThZ78Gv4iy9NdTSr7ROKVznW7GD2yLQOVwszL5fLnLOq5pxzzm25u+/u7ro7C06dOvVrv/Zr + P/3TP3UFz9+C5VpONgAi2tvbE5FhGNrNyWSyjpfz73T9fIj4pamBCoBXE/lolWzdLolWnZyjs1Uh + hBBCCCF8V1JKLUm6Xdu3HOuUUq21dQpUtS1pd3D36XR6/vx5d1/HXV9B7PQ4ju2Z1zf7vm9P1Z7T + zFrXQ1WZj/YfY0MIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIhwftx1o/t7JAhQ3YW9xK + sjmWaaKlYVCfzPqxjqCrW0JkVaeOATLxKtDkzg5zbHWyO6+a6dk+PXVs9m/++gHkKbY2BqbRAKDr + eqghCYT3k5xB7ctB+1VZjjSDEE0MNJ1MkyRoBQCCO7hPSySfbWA6+bd/+qfnNreeYRmQFICDADEw + YNSKFrb6MACsMpwswdJBq+k5nlsC0XlVKpBZaW+v7GxNBsdQFoQ6he4Yrl+MGCuGxaRLqBWl0DS3 + GmaM55yHR/5QhRBCCCGEEEIIIYQQQgghhBBCCCGEEEL4rl3hWDJ3iAgAZjazKygfH8KLQQDBnFiJ + QeZkSqTMDrZqE+eiuDCb3f2TP3XOYJQMvoo6aw9nJnrBkblGLUQMRkCLDTYABqiq7ki+eb6c/85H + N7T0fddSDQ6n/UxrZueWaa3wSs45VTdnr17BnghdWdJi/vinP3d8by7jKEJmCjgJBsNIMCYSIZbR + UNL05r/zHhzbpmlv41hrVdVpng7U2faJO3/8J85Y5Qw1MIiR/OAxjczEalump+//kj/y8E4peRim + Le/5skxftKjGw5fzZsQAi5u4sdN+i+pKGL1K39FigTNnz33+T2Q5h7u7MyCA1+qqbfteqBVmRzJn + c2ZUB2UQY4BpN33V29+6y7Q0ImezmjthZtjh20FXkxE0866OnCcOtiSjaYWXzBcmWXemJ3/gjRc2 + uj3AgFKRUsfA3sULYLeylEwktijzJO0IAu3NT5ede8KlFGZmgQmx2fWcH/7YfZvL+QYDBiYwwUo1 + AhEfNCbZ3EbhZzLN3vx9ZbScpkRU69h+2xokJ7BbMiQ7jG+BF48dyTgbyOFkRmxYBVyLw8yqJOT+ + xtfdM0z6eSkHvaxwghBXN8P+0H/D1HGsjo/83n3HOYn7YDVnMbNxHNs1TAghfBtk0rGP8xOjPvmp + zx4fhnr+TAYoE4hg6IEJc0V14eKwhD333cnk1AffN9+clGlyNx1qcpFqC6HuA+9+YCMPvTBDCFfQ + a3KzRMQJaSwndne/8bGP9sNyg4jcAe9FyOEmpfik703LS79PQgDMrOs6MzMzZlZVtL8GlHFj0quW + lHix3Nva3viFX/hfPvKRf57T6mxvSXDtk/d5fzdYx1Q37TlLKdgPrm5L1ivAzJc/RFXNDEDrp+Bw + xl2rr9/2BAcZMdSV22JnAshBvp6OGEIIIRxJ7m5m/i3awnaf+B+EEMLlaB+AnHNbmFJqS9Y9iHaz + JUznnC9vVdoPRNR+S0Rd17U7t4enlNrN57U/7r7uawzD8LwlrUuyXhM8t6NxeVel9UfaKl3eeQkh + hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgjh6GrTAy9f0mbcABjHEcBhLsV2qDlg1iouqbXi + egYfMM5//rX3nNobumJaPTHAGIYhX+XySOSAIyPB0DGmhh2HWN0Q6nLaHXWb8+jdw7PuVx64H90m + uikcCehoXTeKlVDJtMVZO2CAMYzh10JQsgACGETBgIMJqACM4UACvAqQMdn6pa986fETW0/2orNN + A0ExI5YCIYBXdRT399oq4vqgh9cJrbrgqrgi0MqkESCOnrE3X4pAqd3B+jLsXFz8D/e+ETDyESJg + V4IybP/ow+EEhRFYnI/6NOA244+I1k1Wa8raBEYiahMP3T2lFFMCQwghhBBCCCGEEEIIIYQQQggh + hBBCCOF5roWRf+FaRQC7AVAiJwDWAoO9RX+pdswgOc8dbrlt69Wvnne5ED0/zIzobzjLyQHACUrP + Ga0JoHff2l2c/sKfUa2+t0tamfkQxsruZ1o/J/KWk4ymCncmVU2ZGSS1HF+Wpz7z2XTmzHQcBIA5 + CzORGTKDCQqvWgarud88nya3vPtde8e2R2Cae2JWg6uOwJk0veld792dbcwTuCMiqnAixgGzGot6 + 1wGlbg7D1z5+345ZVwfVAoCc6VBGWa8ZsYONIGZiRs4tDNkItdau68R9Qyv+8I9nzzyzIXzg0G+A + QQJSBydYRVWAuotEx15z17izVZ3IGW00rTv/jaf6NckAB7c3waX3MmMk7BJOff+bznbJJwJCzhOt + tgTNS0Ep09ypFaXadcl8ZIAdDnZigA0MwMBq6HLPQK3VmFIdcebc2T/74qwWsdX77jkOOJheWFT4 + 4sYUt9wiG1teTVUvj1te52SLQ67gBDpMCLh8p/n+1pEjg1W9MCC0c8ed5zkVJntusP2LfpHLbjiS + YaOUM1/4czxz1svShcswJOacO8OBj1cI4WXCgcGWxyYJzzz70O/ed8x0JnBAtYJMAKuwYkJJ4e4Y + nM8w3/qOt+Pk9XuzbvAqyRNxJk7gURgnT9z6d9/7rEPp8nzbAzF1V0XHdFxt96tfwcOPbs8XE4Kr + lWFpql3XM8kwDF0SwhH/zAhHSs5ZVWutLTpuGIbrrj/+4Q9/+Jd/+d8SAQRmXsdgP6+ntk6h+44h + l+0aqd1tMpkQkbuLCDObWUppPXnsam3nFUsEAxReLQGoBaaJGevumzM77V+LRrJ1CCGEEEII314r + dmNmqur7cs6tF8DM7j6OY4u+VtXWWWgPafnW7fuBtD5Ie60WgN2ISK11Hbnd6lksl8uXaFtDCCGE + EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC+NsxDEOrANDm5rRCAS0U1sy6rlsul1cwTycAABnM + x/muE5BQ3QBFGX7mnntODMNmKdkMADta4bXvQfm1qfTqlYBSMQJdSglY1DJoxaR/IvHTOzv/5wMP + YnMHXQJDn1cPgQwww37WMl1W/+noV05ocdGynz+9v4EOsqq1mgnALECGMY7t/Ov7//L09vShcb4L + 35lsiNKUUQrS/sMNcLLVU+NKSsM41iUpbB2PvVop53YHpdVhSu4ngNmFXQzK6qDqWQY3XRcedYCg + MMf+ITx8FTsOhJnbNMB1Dbe+7wGUUpi5RVm3Ja1iyd/iqoYQQgghhBBCCCGEEEIIIYQQQgghhBDC + IRSZjuFQayNrfX8IJgFObMTkmpgcWmCUe2yfuPlHfvj0JI9J1rloDrgZ3F8oJo0d2SAOJdRVJDGc + 4AQhYvUJyvnHHsYjD8+GYWqUD19q8P4KMTuv82KNACJ3d1dmwDURkdbJcuDzF7/+Hz+Vl7uZkQjq + AJG5myElZoM4HO6cnxXZft3r872vvyhpNCfyWs2ZiFQJ42SKW26//vvedL6XhXtxlW4y93KgUalO + UADCADbNn/rkZ/D1r0+4KCs5i0k2pjZ+ltrA2Zdy172ECC4tPMPZiB0A0yQJL/ekjo995tMnFsvO + 3A8S00veBmm7M0bABNVQAJ9MsbPV3X5bzf1QCws8oULJIEYvt5hecYiDndk4GYujDd6eIov3/H1v + HrePXyxclWDTEZOxn+1xwt5AROO4VBtSJrPahmUbsUMAXu/E6kZEqJbAJJRN8dAj/rWHerXnHMor + HkNvXlOyUzfiFacqiStg3mZ0OMHIbRVtyOSQQ/wWeNGYfBUc3lKrGUYwBqmqE5YMvOEN57q+inyn + dMtvY/UWo+cs6dX0ga/hsceyVsnCBjYQkUdoZAjhBRiZTZzqHH/85/2Dj3XLwQxdgjvMnJgN7QIG + ZjURV+memc1O/uh7sH1s5FS0CsFhribVCvjc1uzV73/fYroxkjjxlU0rAlAdrphAy5NfP/3pzx4f + yqxUYSQWAARJqbMy0tH/tAhHyziOzDzJHdQyE5nu7V2czvq/+2Mf+K3f+n+uP7GjdXVFk1L61n7Z + Otn6hZ6fmdsdVLVNeR2GoUVct+lkbV5Z+xXz4bsYJoABRgJmMDgxDPL867q2j54/hzOEEEIIIYSw + bx1N3apFtOzq1ikws1ZUYv1zq5tjZq3vUEqZTCYtGPtARISZ2yuuy1UAqLW2Ds44ji1mW1Unk8lL + usUhhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghfI+sQ6z7vieiNqeGiHLObR4NEbUlMYnm + u0Lebc60xQ9zhY2YD8efOb9RxuyVAQEn585WlbWuKifs6TAAedJVYDrtnhnHi0CdYEh4Qvy/e+LB + X3zoy5huw7InLAUVULQSTwYYDAJkgNpyZhdGgstRz0feZyBFMiSwgsZVBRjrhSfMBEAdVdFPzRzb + m//mr7985objdbp9Zjl3QzJsAFRB+4HTTkgGNihQL2VUv+jVodWOJYBXydYGGLd8awdaWT9ur2Vs + y51a/9md92K3wG0kT8SpHT1vj7H2bNdApjX2WzBVZeZaq7tfuHChTQAspSwWC3ff29tz91prq1IS + QgghhBBCCCGEEEIIIYQQQgghhBBCCGHt8MU+hfAcDKzGS5KDneDsYLfaZxl1HGzsZhuLseQfeccz + W7MhrU7pNlzTAXdvSQPfigCCkUMJSs8b4snVyoTTMZGvffozJxRTh9UjMwxxHdgghARINR7KcSN8 + 8Sv+1w9vuRKDEhRwU2u7y4gM7MhEttE9In7rT/yY5rTnjpSLWtGaErfDUJIMqrf92I+f7iZD6gwA + uwN2kCRFI3CH+WCSMFXfeurp8VOf2kRNpACxJzaW9tSXAqGvIAvyqnOCEdgNZOwEEHMitX7vIk4/ + tfvAAydZMDodOBbdVmc+wR3MMMZul46/5jWYTReq7p6ZnBQMOLvJ1di6Q4vdkllWS8bsLAZyU3Zl + c/dBBCdP8htf/9j2xjeOnfjarPv69tbjG7Nzx3bO7C3cpM+diJiNtRYAcIYL/FJCvJMxp1oNVScp + sdZJKfXzf3zDWHp17I/Evizf+sA5hAbTnE7cfRf6breoSO6kc8V+u2eAeQtCvLTkaHOCg9pOIwe7 + MdydhJO5LwHcdls5frzQwU9mZ/JLY+vXA+U79Rtq8S98YaJV4H1O4tDqhzH2MoRwODA81aHf233s + 45+4gzpeDu5gEBkcABkJSGBe3D2lyZwT3fUa3HPvQlJVEBEDZtVdAajkC8Ry6+07r7vnTE4+7a/g + atIBERBQiyW365J8/ZOfwrPnpotFNkupI+6GMrZpgW2uYAjfMy0xjpmXy6WqTqdTAKrFzL7vTW/4 + zd/8f1/zmtvNwIw26QtAm7O6fgZ3/xvOWzPrus7dW5JczrktJ6KWKtfmlYlImzl2VTf2CphfdpE4 + VIzOtdZiuDRbEOsfItU6hBBCCCGEb2t9qb/+of1xr5TS/gOo1lprZeZWS6J1PbquayUnACyXy9an + OJD1w1vHZ7lcttdt31W167r1kihjEUIIIYQQtIaGyAAAIABJREFUQgghhBBCCCGEEEIIIYQQQggh + hBBCCCGEEI6oNkemTdtv3wEQkburakoJQEqp3e0Qzus/IhjCYy0CsI89K8bhv3nVq+/Z2OlMsSqI + BwIDq0zrq1qCwAgumM7yheWYJZ1bjBAc25nsFZzP3b+8/0vYmGG2WZWReSCAQIC0KGW6VBNrvXWK + VVqzHs46cQfl+99XlawYIF0VuLK6XGipSISUkIk2ZubAxuav3P/lJxLPu81dWIvBbqWw2g4hZwJ4 + v+bhgYKk1wUS2zO0ZQrzy/Y27RfdMgJgiTzp3s0qWBqWQ6v+pYPBVmvW3snXTB2uVnWn1TNprdZ6 + AiARXd6+1VqvYL5hCCGEEEIIIYQQQgghhBBCCCGEEEIIIVzbrpnhZOHa1AKDCVVQxZksAQwYkStq + 8erC7r7nwG238J2vXjCbu7uvo9L2h1l+Wwa402UDR/cf4O4GgGiT+ck//Dx2F7nUF4rH/ltkYPj6 + XcxY3eQ2FpzNqWhPksc6daDak7/ze9ef35tUrXW1wdWdWMBSqzITOUB0VovefQfe/pYLAEv21BUC + kpCglAWxVRuGLuMtbx1ve/UidymlveXF2STzAfeQEgYDU5dLvanWxz5xHy6cm1gVY7hcHjBsZH5Y + M32VyBggJ3c4kyc30LC8Dnbujz4nF85NHAnw6gdJ/W4Mpp0TRiSiIulJshvedK+p16oiwuRuI7ET + kdPzB3pf28TRq/WKpBBjcgZQpA7J5vBzKV04PjvxD35q62f+3uS/+vv4xz/V/5cfuu4//9Dt/+lP + 2rHr1UScxWBmk9nUwU7UAqTZ2pj+amQi4k4AJxAvCpbDw3/w2eM2dmrr0dsGGMAO9gNPAyDQCLzi + DW+4KDwXIAkzC8jABmY3hgFkoMuS3Y8qByuxEhvxfnCjibu4qXrqJw4d2LG9Mb3l1gHO+xnVLxLt + H4V2RFbJ2YZsdsLKQ3/0WRlGWpYEIiNXJM5XZ0NDCEdeVju2t8Qjj+7ef//04oUJRJhLdSKQYDAo + gYRhyEQOOm906/vfhxtuWJCQ0kQ6dzOqnuCSIF21jDS940ff9/RsdkHc5UqadHfKJAlwxRZRevRx + /MEfbJinsdTixHmopXpNKV2ahxTC90TOeblcunsLmW5JctPp1F2Xy+UrXvGK3/qt33znO3/YDMJI + QgBa7NyLfH5mHsexRcT1fV9KAdBisHPO4ziKyDiObWGbV3Z4OGDUrhEd44jdEYt6fDptq0ne5gpS + 69CJQQwH7U+FEEIIIYTwcpBSar2Ads2/t7enqszcbs7n83WhHOz3F7quaz2Fvu9zzgCuIHaaiNpj + m62trVaLp1WyaGqt7XWjjEUIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEI4oty9lNIm5rRp + OOtw683NzZZjXWs1s8Vicdjm9R8VDjhYUlZd0uIilgvs7t3mWZ99lhyF13ez51TDu5qMMV+UnZQy + sRARZPf8krzbeO092D6BkoAJT3LxVhahdl7FjQAFRmAAj2AFA0xXOYT7b0dLpRYogcCCJGACQy31 + mdidbJH9fBnIwTIF9ZDuXz/y4Oljs71uKtNNEFWgEpQhDnHA2Q4YaH3Z+jA5t8JcRqts7ErWCvSR + 8/7X6lWUximws7f87299DYgzNIO6zKvag4ACsl8L7Bo4fqUUZmZmd1fV9YzCWi9Vj2y519GIhRBC + CCGEEEIIIYQQQgghhBBCCCGEEMK3ehlloIYjxwEldrC4JlOxltpLAFi81AUn5iSLYSlbG9blW37o + baOwr9KqV8nW32m0pJGjjcUELqUMEnkiqFvZ25s8fQaPPS6lSj6MIxFfKLtQyF0NVXvzpLrJgse/ + 8cSf/vlJeO9wwA3McAcJg7kCRAlANTuPeuePvQ/bG7XLRLRQVc6p70BmOgori48Twdb2He/74Fm3 + 2vZcrThg8rQrJglmLubHahkeeah+5StbQxFjpaQEYwAHS7f9nmFvocZQYiUCjNvRcHGnCQjwr33u + M1M3X44dCePAsdNOUIAAc8Bk5HSml+6euwbiRKnnRG6uBaZ60F1/jTDAlElpP/iZDLBp7hT5nPTH + fuCtr/7wP3jlh//eHT/zD2/5Rx9+xT/6z+7++x/Kp242z6iEqu3UNoK1wfHO2SBuIAUpAAGJCFWb + KOHMuYtPPDapS3Fgddyh3M5+OviobCPQvOqx22/f7bLO8tKq1krOTuQE2j/zHaxkesWD0Q8NpdVO + gzM7i0G8ksPgLqywIgDzibteW4mv4F3fDkE7E4zgYADiyOP84uOP4uz5vrgXdSbxFjMfl0AhhG9j + qnbC80O//fHN3V3Ybs6pmjFABGZyR61gQByecM6rnrrx5DvfeXY6XRAb3FmKKcTAppTUE6mM1ONt + by233nx2LCR80OBpZhRzIsqSBdCLi1cSPfTRj2IcppRqBeUJ51RtPFBacAgvlTaVq+s6Iqq1llJ2 + d3dns5m75k4A/Pqv//o/+Sf/2Ayqzzk/iVqP7TtrdxuGoes6EWFmEam19n3f933XdaoqIi30+pAh + hYEcJPD8f73n/Yuz5wH46hoS7KsOHXtkWocQQgghhPCCWu2bcRzNbGNjo3V+mXkYhtlshv3SOSLS + ug/jOLac6WEYSild113Biz6vl93q8jBz6wQx8ziOOeeWbB398RBCCCGEEEIIIYQQQgghhBBCCCGE + EEIIIYQQQgghhHBEEVGbyA+gfW+TZYhod3e33afN1plOp22mTzgoAtzB4CREWXBx93967b0njbYg + AIy4pVlXQmEYAL9UEO8qUUUSaK21jsJAygNPT7z+jT/3Ox9Dt4l+u5VyIkYHzaYwwADAn1sggQG5 + 7IuumdKWZCBToMUjM0BgOIMSABYadCBw3/UAQEDqMdvCtPul+7/47Eb/6GLXuqytSKCzo4VSM7dq + hwdcl/XuXhfmcqAylKG0Wj1xMMDODLDDCQyv5cIrpltYGhdAzcsq7Pn5Bev4yCdb55xb1ZFhGERE + RJbLJYCUUpuKSETtB+zPWAwhhBBCCCGEEEIIIYQQQgghhBBCCCGEsHaNjP0L1yQjVmIjJK/JKzmz + CQAnMxQnIyJzH0mHns8leeWb38STyeXn9IuJSWNYUkkqoNXoz5Z1yiKVnIpeP6p/4Ys9WOnAscRX + HwMMZwNfPgRZmL2oOLJRMnSKrviff+azMt+dmBKQCORghwBWtYAgWd3AGAlbN9948m0/8M2OleFq + o3kVcXdX7RIzKovuWjmdu1PvfE8+eXJw3ZzIWMuBkmjZ0RmmnKBIYK7LzXH56H2f7c8uk/HIvExU + GOxtsKwdyuBm89WJClAl1JbXy5DOCI8/Pn/s0R5uAMDE2fczd7/t1/M4oZIbw40yyM2LkJ7cwatu + NBYhJpiXIo7ErHBLctCYzCNN2Ybk82zLhEG4MgDL6rPisyXNNGOQ3THtbR375ub2mZ0TT29vfX1z + 8tR1O+e5k24jS9dRdqf5YukgJziovSnYDDAngxqMWMTNtsHPfPn+CRUmJWeDKFFlNnrBaPkXI/cd + nbhumfIyyxwjnOlS/IkxnJyNoAxlO9LH1whGVJl8vxVlmLiRm0sa1cBuGXvE1935akvpu0mBWeVn + MzmEjdmtw/D0V746UwfgAEOgh7A9CSEcChuj4Wunn/n4729YzSROPgLSZXLAPAsSQNUYGNmenfHt + P/ou3HDjWclLJheuIDUXNvOq5m6SVZQEN5y4+b3vrH2yagdNrnUHtX/cGdw5tsbhwoN/Vb9y/9Qp + ISsSMjmZu5NfO9O7wpFAJJPJTFXn87l57fq0OZv0Wc6fPz+bzebz+XTWE+EjH/n5f/EvPpKzpJTW + fTR3/47J1i2yroXG5ZzHcVTVFia3XtKmyNZac85Xe3sPyqEKAwG14NkLt0yOzShBnnOf9SzBo3yt + F0IIIYQQwlWkqi2Xuus6VS2lpJRqrWbW932rNNG+L5dLM8s5twITrbvR8qev4HXbq/R93262nggR + 5Zxns9lyuey67nnR1yGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCEcXURkZus6AO7e5vUQ + 0XoSTcu3DleitkziinH+ax/4T27Z03E4z9yRMzkXwsA2ilVG5Vbv7urKYDGSVsZOfdfqk8c3/uF9 + H8VsG7lHggHzYSGohEItB5kYYAIESEAGkoMMULQSceTrggpHvL4TGUTB6jCstg9YbSkvd3cBz5Jg + I6yqAgQ3uCd0PTa6//Wrf7a4fvtMGfvctRxrJVKiBErOAF9BMTG+7MtpVaqi8qp4HTkYLM7iTM7k + q9jyY9Pt8eL5//o1b8RcoU6d7Ad0g/bLXDgdOGb7ECql5JxVdTKZAFj/AGAcx77vzUxV24TBlNLf + 5rqGEEIIIYQQQgghhBBCCCGEEEIIIYQQwuETmWfhaGjjMo1A7gRUg3TZHVprN8kXh0WZTnDTTcNs + c5xMdX+IZMsTWA+XfKEIYXZcNgSWAFJ3U3W3rSyz+eKpL/6lmOmwPFQjZS8NSyVjmLWVI2M3Ia7V + SJi6pPC+VDl39uv3feIEpzoMXkEMc8BJOJm7W5XMg+kipwsb3da99+KGk2VjNlClZF3XZU51qFCf + 9LnWOnoZM5XpFCdvuP5N339hOi2g9SDNF9rPz1vexh/rqA4wyB0bpk9+7g/xzLlZHRkV5Ebc7nlY + tSbUAFeCg7mN7iWXYY777z++GKWaMwYvV/Ds7iCCw5IkB9XU4eQN2Nke2a2qV3fnxJkpVa+4FgYG + H4wyjGHkIGvx0gwkw7A3n+aun8yM867LLuWzwDnmi9N+MZ0srFa3cazu1HUTZjZyo+cP8yYHkZtV + gJPh/2fv/mIky+77sH9/v3POvbequ2dm/w+XqxVJiSIl6q8lSqIkU5RIiTJky0+2HywgiB/ihwCB + H5wgQZBYQiALAeLARuxAiJ5iJFJkPQRIBNOiRFkry7YigZJASvwvrkgud5e7szuz091Vde855/fL + w6mqrpndHW73zszOznw/aPRU36m+devWveeeO3PO79ut8lMf//ieiDiqWjuM1SEOOEzcT7n/q+iU + QrrvAvbmVaSaCUI39Aa0j3K98sYV/ua/Xsu6PTZBm6XQdrqq1lzEFRoXSYeLD+eQqujLs95vqGXM + b14HsPajGBxz4Ok//uODVR5cRQTqEgC5g9pzIrqlxFUAgW2uF+spUjtLAEBgwW3IGU/8+4cuX+1K + cfEx5xgDihdHqQhdCAAcDhyLvnDffQcf+rHnS51gFjwkdUCCxtB5NZh0oTOVRdLL6m/5wPvrAw8v + NeWTFv3rt+0ONUeKnXktVgCbzYaynC64/+Xv/f5w5aWZ+zSOtVZXQVDRN//1gt5UpmlSVQAhhBjj + OI6r1UpE9vb2VqtVm8dV6gTg7/29//SXful/7VKI8eQo/boJcCJyfHy8G33d5ri2WLucc/urlmx9 + a97i6yIICjGr0IDZMF65vNcPedx0VNZuVZ/kDPMYiYiIiIjuQCGEUgqAUkpKKaU0jmOMUVXbEndv + UdYxRnefpmkcx+39As5aY6KUEkIYxxE71XbaOpfL5fnz51uBnpQSAIZbExERERERERERERERERER + ERERERERERER0ZtXqxuwna1Ta21zZ6ZpAuDuZtYm7+xO/7/X+TVFvxyobYGffFWgtiUGDYADuSD7 + i3/+uXNme+iyja0Cnuk6qNhbcbbXO11JxdchyOLX13BrtQhi1JV5BuLQH4d4Zb73C5/7DPZnmPXF + 1snH874HCqYM6DpRGVAgAGG3NJzsVvpbV5e6O8jLq8O4D3vnHAJIEu01akStEEAUHjr0PWazv4Ad + 9cPCYW0N130GZ6ondqpafJ2iVFxdHp7ru4fGFVYZZm7WEq0DkIBtWre9+QvYpZSmaWqzEd19Oy3R + zLquMzMA2wmDtdY3eHOJiIiIiIiIiIiIiIiIiIiIiIjuMGePPQshtCrtqspy7XQrqJvA1K0iFQlF + zTW3ENsovecgrinEmi2mfnRHP7zl+9/3jIY6BCjMXUQcaIOgXVBFs2oVmMDXI2TVoKbVtYqvzweH + CqIiBcPg5WAqz33q08jjQZDgNxos22IRd79u9R5qI1MVFbIeIqluAVUdDl1pyH1cCjpxfPQ33/bl + p/YXY5CkCjeIQCBuEhHFDDVblMv98Pn5hQt/62eX/ew4jyXWIkVq0al2kiLiNBaP6iksajb4JOGB + n/mbT++du1xNBbhmP6u1BOvNchNU0fbVUoFNUOFBosGCIq7q49N09Tf+3/3xcGar5B4gIsFcileJ + eofF0O7uf6vosvTiiJ5RVrOhe+bf/+FDy2lwGNDHrtooLSz5Vb6uI45giIAEFM8aw6LYI+/5zklD + CSIBLklkMOvMJAnUxzsqdv2Wc1UXNe2qRavq5lCDFJUwT8e2HJFLMEEICAGu4skDpqlL1WSFJC5q + uSqCwhRVUBVW1EoAEACtNsVepmLzInjpyvEnPzmrpQJFUbVGq8ksVg2mLmZqfprjs6hcjmn2jW+D + 1yFGHW2QNNXJI1pbYqIOAaz9Ia76Zk62Vjd1i26QNoi9QqqJmmowdNDBklsck+Dxi1PXTx7sNF0U + F5hWiIWqqWoyC6iu1QRRMIxl8YlPYrXcy2bFa6xTKOy4EN0jxDVYiFUDsiAX1SIBUHVEQNxFYy4W + FFrq4AXT8ed+4/9+1HLMVl1DRICbuUKTwFc1BBkNQWTs9vZ+4Ifwjd/oQxetRrVqGZgUyEVU+pmI + 2zT2eLGzlwbgrRfPf/d7L/X7CwABEhIgBRCFKBzwkw4qXOEKFwCi6K1UEYTWp1qu5oL5cnzud5/A + peeHwyv3zZJPpqHPqCX4qa5HRK9TCFLKpKoiYhUxdCrRTbzUKBrgXnIXVLzWPL7/R3/413/9/3r0 + LY+0WwQBgq4fXJdLLbKeytr+qaF9zzljMzesTX8VEXdX1RZot/3169Ky36jpZLKeihlEI8QgYxdr + zjlqO9/R5qGGzYTSM3dO2r3VLoNDxMxE1cz0ngy891f3Rm8aEREREZ1ay6XeplP3fb+7fLcUTnvc + oq/bjYaZ7XYCd7Ouv24Nne3dxPbBdm3bMhZtJSJiZm3harV6+Uq2JTDYIyUiIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIqI70zYIFpv52tsJOCJSSmGm9QnHOg54Uy6gAqWFWFt7ZIAVWFk/zUwrfMSi/INv + +e5ZCMe2qjI5TKS0HGiHqms07R0d7LTJ1q2+mQkMKq4KFVdxDVAFzGGCoHCHARYxSbGALPG5mp45 + 99B//8nPYH8/p1AjQg84xEuACQK6GTRA1kVRtiUjTh618GfZbAdUXkd9yzvDOrxboS0Bev3OAxAF + ogJVaJC4rp4RALE6TeKoNmD//v/zya++sLe/nM+reHQbUNTzhLpEre7hLKeSuVir3gWHOKIhVqhD + HS5mWH+5mAuqA0BUjfnogaMrP/9d345pKQHuBWJi8OKAV9w9Ac9d12Fn5mCbftgKbqhqe9AmJ+5W + OCEiIiIiIiIiIiIiIiIiIiIiIiK8nlhrottAfT3Wtor6OgzV1FvWX2iDKdUBoApKCOe/5Vuuzvql + uyu0xaNBZBPiZQLffAewHRm7Df8TxyZNTOEeBWroaplV96e+EqbFy7OHX43f+tHXutmYtl9amJnA + xVFyns1mUyl5qskLpumpj/ybb5zKrFwfc9iyvIcgNZubHmm8730/gMceXYnOYgdA3Nq7FhdAq6gB + pZR56t39qhe87Rv2/8r35NncN9nV1+V5b5OtN05+cIcDjgoYAgaFXrry3Mf/BMvj2XLZmeepTsU0 + dbOuL+N0s3fhTSCAooibIzhUHMFtJo7Ll69+8cnZqiaHO2rJQU7d3kr7lDfjgz2Eg0ceWcWuKCDu + ELTka1eBC1zvqVhrtGRrBLdt3nxrEqpY+9o9X9URDMEAFKB4O/hddTM9QN3auG1fr1kkSvGqqloK + nnl67/AwVXffnmsIhuBosdOnVVSXKc0eeQQCWAkQhRjcUGW9MWsCU78bogiDnbRaCgPMWtvuLuuG + Q2sUROnOnbMY/YyTWEQg4uv+TWuKUy7phRfx5a+EWkOKxWv1aox9JbpnrNttt02nCQDEofCaR4Nr + 10+57sV4YSov/X9/2B1ejuMieFWHmJsbAIFAgzlq9X7ojl2Oh/k3/+gHlvNZFWyuRK5usu5fqVfz + mqv6FGBDl6M+9uM//uJ8jvksV5RaBJJUIai27URd29n0NqFLAW1X+qqoCgBD8QdeOsITvzfXWpaH + XUy1Wp6KnuWiRHQbrLtb73r3O//Vv/q17/7ub2+dGzMHkGJy91qriPR938Kq3XGDvsA2B05VzazN + jG3zyhaLhYhsI+XM7A2cTnZyJyQGqZCyWby++YSYntyEEhERERHRTTBNk5l1XXd8fJxzBlBrnc/n + uvlH1lacopTi7tuE7NOqtW4zrYdhEJG2/lrrMAzL5bI9bk8zs23xi3b/sq3vQ0RERERERERERERE + RERERERERERERERERERvPrt11XxTBW73CQ44ZFvqRExhyCus7NzhhNU4H/qVwwMAqEMcwVoQNfT6 + Km6vSStWhlZoBTCIQgJQYAf7BwZUgzo6RRe1TsgZ3qfDfnZ5/9z/8OlP474HkPoaugoYDFLgDrSV + Bkd81ZKVcu3X3UPRChm+Yoz3ddHdYpAaOi0TRGSSiGH+zz732S/bhG6ogBtCgAWEFIZhmOyMYdKt + ig6wrr4Y/KSol0sLtF4fcn03A5Ct7nXhQpTywnMwQ6kiyLmium6KehweHZ5xDxERERERERERERER + EREREREREdHd4i4IyiRaKwr5tm9ZzdLKzK0NiBWHY5t55uuc4M3AV79BfpjDJcAMDu9gX/3sZ/ts + wW+UN6Y74ztfewD262AtGraoFBUTExg8wEOIsVpOonPDhangU3/+3Bee7EpV95MN8zby2EysjX3u + 0XvoH/+xHyr3z6pqmtAXDa5VYbIezFrVXNAXzBGCYUwBD5176wd+8DDEKqGKAuuYYYEBqLoebC2+ + zRX2sAmTjaIBAGqBuaELYTAsvvrV4z/4owcM0WrXdSLiJcPg1W7LXj0FXQ8HV/X14GMXBLfZZP7M + 1w6ffzHCBQgCh+PMwcS+PpiDpkcfexwqvvk47rD9cbeJEs0M6sENX/xLXaziK42al825f6rj04Ci + +sA3PAZR95a9KLAKc712PS7AXRFY3kbDbyJaT5ZsYykdcFGEeP6hBy2GU73n1sJcFzHexteLoNMQ + lxOe/HKAmAqAGAI7QET3CBdU9SowieoxmkWvwSCugIoEEZeIoqrFuqPVl377CV9O1RDhEQZ3r3AY + BLVWBSBY5Gm5v9e/4+3De95VulBf3qCItaZNIcklFQd0AcF3vHv+rnesNDqgEIO1i4cDIqiiLUn7 + ZDXrr/V8IQOKoihM0FVcOLIvPPEfsVzYtNAAhfSIwUScLRzduUIIDz50/6/+6q/89E//1GaJ5JJb + kpy7T9Pk7qradd0N773QQuO2qdVd18UYReSxxx4bxxGAqm6D5e5WLl9nNupdNeWTiIiIiOi1aanV + APq+FxF3d/fFYtEWquo0TSGEdivRbh9Opd1liEhLrW4r2UZchxByzrPZDIC7hxDaPY6q1lrdPaW0 + Wq1ijDfp7RIRERERERERERERERERERERERERERERERHRG8CxmdLvaDWVdJ13fPJDgkYoRCGAZZj/ + l9/+nQ9pdyH0q9UIRQ2tahmitfp1ZooK1LPWnHLABUVRxRUeYAY8f3RYgIN5qobJYJMFYAjxaMKl + 87Of+8zHsR+RHKsyODpA2zavy6qt053pxhwo1aJCgVgAB+bxnzz5uSvZeh2WgEXNZmblaLWchXBL + N0Zcj8blhb1zBhwvVstSLuwf/Ozb3oHjJUw1JUSB6mqVFeH8/oGyPAURERERERERERERERERERER + EdG97W5OeKJ7TVHgsUfqfedrUJF1mjJ2MlOBk9jpbWRgC6MV3z0ZTOC2GdMrgJbyzKc/c2Ae/c4K + lxUUdVSJReMmwlVdVNRrzQk6THUY86d+4988oJ3kGtwUBt/ky4q5movX4iHoMujB29927tu/9YWg + HvuSc6raomW3ydbtewf1VTVz7M+/Gsr5934nLl5cpKGKAOv46rarDaib35JN9rNsx0u7KhSdGzBW + iMm5lM7l+rmP/CbG0ZcjVFIQN6tT7kK6A5ss2STptkhjF4hjthpf/PyTM0enoWZERZTgtZ525Spi + tl5thXsK6eJF09Ayw22zY3FtpDrdLGYm5hDrVF78zBfOFY12/fh6xxnDxV10KY7H39o+zRZ5AkB3 + ZiuYqJ+83J3V+JyZ4Jpj1QBXcRWDowVdK86/5eJKxc80zn27bsM6/b0YEvS86ZUv/GVQ5DKqI3AU + PdE9w8RMrCoMAR4UriitK1ILUuxUNeccuqTLBT73xdUnP9tXiECDqZiaBgjgEDNAgqpipfJsn97+ + oR9DH3MMJmqirT+5bsABF5MgQTQaoqFmm7qIzr/1pz74oiNDdegNlqs7EAAXadcUk+sm+rRr/jr9 + usq6oYuG/WzjXz41/vmf3w/TaQyQlHor7BDQHW21Wrn7MAy/+Iu/+A//4T9QRa0eo+4GUQMws5zz + DdaTUnL3FiBXa00pTdNUSgFw+fLlYRi2zzxDRh0REREREb2plVJUdbVatcftxiHn3G4ZmlqrmW3v + QU7FzLqua5HVAFJKbXkIYTabiUhbYmYxRjNrL9GytNvjYRjq6f+/gIiIiIiIiIiIiIiIiIiIiIiI + iIiIiIiIiIjuEI5NjTsH1nXVELYl0nT9SBwBqO0ZtWDMs6NVt1ilWhNQBcu8XkMAQivaJlbldVWb + MrGq5mKAGawbOgnoA44WuQDzYeihszRbeFzu7f/jP/sEDgYMESmhjyjr7WnR2tt3wmomX48CIcSI + BFSoABpRDcNw5eDgStfN9w6ORhuGlA21e2NmAAAgAElEQVR7KZZbP78sQl86PryvC31El6Ksxoer + oxrGbMA0jgCGfi5QwKa8utXbQ0RERERERERERERERERERERERHeyOy4jlujMpqDYH4bHH9V+HkKs + IiYIGgHsxqPKJsr6FWNipYUJihrcHaoAoKUcfvFLKBZfw0DQFjB8G2KGBaZwiFUJhtBe2gUGTNPU + pRjc5lPGF5968Q8+vl9qhCsMLQ55s08Edb0f+uEram/98ffjgfuXGnPQKgKouLZfcXETAyAOr1Dz + 4lb77lKS+tZHHv/g+7/WhUl1vW/lJJDRBUVRZbs/Tna7mVW4qUsPA0pxLXVvuZLPfgF/9unB6mq1 + gnmvUURaRMQdRV72ERsQ3PuCZ//0kwfQYJC2VKSeYaC4SAVg0IilAPtz7O8VXYf1+jUHNjN6bz6v + pqpqVaxefvIr5121rE+BbYp5VakqeKWD4caqYBUEDz9YQ3CBiJgVtDTH9cufXKBbhPnd4aQh2Lwp + kZblCgDu7pD9t75lAa9yui7KyTqunQRhDsnloOKFv3hSzFuQjBXGxhDdUwwwR2eI4hB3F3MBoGYA + UKYxuZ83eek3P3b/pcv7FhBiC5kWiEBFUOExplLNKnBwcOmB8/jAj6yG7rjmKgpXQHXbDwQAuLt5 + 1WKdJpEwxXhp1sUfeS8uPnqkwWDtUl4MLhBEF7isewviaN0uAOvpaQbxk5s3dSS3+Th+4aO/NYzT + kKdasmDd9SC6Y+3tzdzrcnW8tz/7+3//P/un//R/jhGlWN9FaWfNJvLN3WOMr7aenHPXdQDac0op + LT2u7/v2BBFx97bkro+Ls2vvbK/rN7b7X04SJSIiIqJ7R7tNiDG224p2d7B9UGttNw7u3tKpT7t+ + VZ2mSVXbqnLOaP/ZUes0TSklERERVW1PRvsvm64DMI5je/4d+P8dRERERERERERERERERERERERE + RERERERERPTaOdRaIRAHHAEIgGBTH0wUojCFqwNwx2r6z9/17odjmKMCowJR0XXAJhV7q0qr+XaG + TVqXJmu1mFotgsPVlFLoQwhAN+tfWq1egi0Lrg57/+jTn8bQIYQSdOkGASJcUNclChSu8J0Mb3p1 + AqzGVQUWnqGt1M0cafiFv/jM5zu8uBj3oeOYReBeyy3eGBd0cei0y7lOBQkeV6tH3LFYIQYHur63 + cQKQc62l9Cm+YklGIiIiIiIiIiIiIiIiIiIiIiIiukcw1pruHkXVUe975zeXEN3E3asbZB0PaLIO + o1XfHcBrwEn64IYD5kBtkWACrdmffxFXDju7I4dd+jpM0QRV4AKN0acyCOZuR7/52w+9dNiPUxur + DPh1oWYCiOBQ5Moj9+OHf2AMvYRuZUDXVWl/D3XfxqWJw8zi0JvLccnS9y+4zz/4Y5fPnxvDOkS8 + jYfWTRPj0LqOsL1mB6oEh0/FKyCqbqKOeZnuv3L18kd/63yX+gA1B1REitudOay55ca1sN6qiGao + duXTn0+5iHmHNtbbFXK2YbsigOgqyOzhBxFCFa2i1+Ucr4OWnU36zeMK1SCaHDg+Wj7z7Kw6qrVd + vQ0WtbOOxa6KOh9w7lyWFlQKuEfV1ka1w8k3L7F5fEe2P6d3XW63C6DS8mMMWlTx6MVFkHqTgllV + oOb9Ki+feQ6rRR+0i8nMTptETkRvUupQGACDVNEWHW1iLhZjtOICzET2VyOuvPSV3/t3D5vF4lbd + DOZwmIiIwB21VhP1EJ5drd7+4Z/AffvT/lBlp7VyaX0fcReYq5uZmEdogB4Vqw+ex9780R/8/sP9 + /avjKEDoURXmAldxafPMtvPK/KT9tzbXSw3qUFMBInDe8eIf/iG++MX7BWp1qgUxsT9Ad7JxHN19 + GIYW+fbBD37w13/91y9efHCaCoDWH3D3lBKAUm40Ea89uda6v7/v7svl0t3HcQQQY1TVbXrcvRMX + 9/VnpbL/Q0RERET3gGmasIm1bktEpNbabjHcfbFYpJS6rlutVmd+FREBMI5j13UhhO09SM65xWZv + nwCg67paK4C+71NK7c7odb5NIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInoDvSzp2XYLhdTt3zoC + gGI4XnyDhnp0xbHqNQlQMmxsxafWZfC2FUNOm2m9LabUSpMpIDCDVUFSlFwXU1VgzMVCONg7d7WP + T3cBKaEb0A2GJCEUQRXkTaGr7cYw0/q1cKim6EDXJXO4CkIHBMyHf/KFz63297KGEDUlTMXPD92t + rn+1KvnIxuqYCUKuD3b9Qc7/1Xd8B2otywyHxgQgpRAjK9UQERERERERERERERERERERERHd6ziS + jO4eRXFV5cF3fnM2sVJdNLdcNFwTALwmQBu5Ky0mcHfYrJnbNvpMBL35cHSMrzwdXxZrfV26cFvx + 9uuWcoGJAQiOYAqoC1qydUqpjqu9mvHMM8/83n94vEqsGQBQIa+QjCsIVyEXP/yjeOyhJUL0lB1Z + taiYIBjCZudEg7pKClndU6i1phpWBXj8sfve932rmAwhK7LCBeIIhmAQv35/rGOYFSrqBchQiEJU + dSbhvuXya3/0R/7lvzyvFl1qrZPXm5VxeytsjzEHghueez48/0LIBdVUWygmVE8fp+frXMoCWUa5 + 8NhbEDR7O1rFxU2svbJy0PctYtZ7zc89j8OjNJUAwBH85Iw4+4pF44VzGLoJYvCWcSICd2/TDK57 + +ut9vTtAO1w3P51kWle4e21/JSKmAY88vOqjnbKHsp2JITAAugkgD4IkSJbl+ChfutSZBV+HyhDR + vUBw0pNxQVGpolXdxNw9xmhm+xIfXOQXf/cJefG5Wc1ay04L1PqIAiC7SYolzFZ757/xb3z4qeRH + ApUAqAIObZ0BcUj7rg51EzMzqzDXhYZLQe77wPvzWy8uo5jAQ+tCRJi2Vqt151zWD0zc130MgYfg + SAZp/dYgXZkefOHK8Ud+q5tyH9TMNMTTzkwjup285nP787xaWp5SF1IX3v3ud/3Lf/m/v/Odbw8B + MUiKCqDlvd1AjDHn3HWdux8dHQEQkRjj9glmBmA+n3ddZy+7ibtrtOaCiIiIiIh2dV3XHqjqNE2q + WmsNIbT7iLb88PBwHMcQTv+P9oCZ7e3ttduWlNI0TbVWMzOztsIWZd22ZH9/P+fc/rZFWZtZy70m + IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIioje99ZR/234JDLCWbN3KLIkBufzX3/Neee75gxiCyLHl + FXCuj4MCQN3EYMumttUZqiS0Km+tMpa6qa/LESSgE0kKCXEsNfbzLx5f/dp+98+f/CzO7flyQpEO + CMCi1LwJsd6tGae3vp7em50DFe4mAlstDgGIoghKP6DrEeNTwZ8LvsjWh5iAw9V0S7fHAIPMZ3sQ + BEECMC2GUoYyIU/zLmA1QcQN7ihWK75OlQ8iIiIiIiIiIiIiIiIiIiIiIiK6uzHWmu4eVXSaDfuP + vnV0AJpCUGAbXvoKcV8CACbr9MFdhipRIWgJaJ3Y/mqFp5+NdmelB7etaWGN6gpXwCBlzGUeu73j + o6M//RN9/vlZnrIXnSVfpy2quO6uZAzp6tB981//qUvRpy5VQ4zRXaqoiQqgvh7kHBwCaExHq1Gi + dNqFUbruYJnCN/3kj19Nfda4m/MtjmAqrrK75zZPKDVHRRfQKaKKo1arqGUfoi9ceuo//v786mFS + qyFUAXQd433HMMBczKEmipZ7KRbN8OSXDsZV72bubqhAQS1up82cc/cABKACY9DZww9DtQK7kcA7 + mNN7kxnUSh1KLS9ekmkFlABVOQkRN4FuP4xT7v0qkIMDdKkC26RFVYXZbqwpNuu/az5c8etT2N3d + BC3TRSRYDDh/MHWhylm6KNsG3wTqqo5qgCCgxmlaPfd8WK5qnlTV2QUiumdsWh53AVx90zdcjqvU + d3mcZjnjePHZ3/jII12HkoObQkJAa4cctbo4JKpmkcshXvwr34uHH8rnz60qgJNOjkMNAkAdCq9e + PMIUVRzQYZhfXaz0/AW8513TY2+RgwNz5AwFQkgVNTiCXxNS28KtIXBFFXVRtZOXMy+h5m8I8fMf + +xiuXpl7Fa/F76jOEtH1RGSxWHRdJyKllBBCSukd73jHr/3ar73//X+1Vi/FsAmlVn3Vi3UpRURy + zu1uL8bo7qWUlmxda22/u1wuReQG6yEiIiIioruPu7ecaWz+gyiE0FKlU0rt3yFbsHTOuf14KiJy + fHwsO/8e39KsW352W66q7Z6llNJ1Xd/325fG5o7mJrxVIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + InrDiQEGxybZel3YyNpfuaEUjHXvaHHBEdUmNwOGKMuxBAnt6Q5ATGByk6rcyfbLUIoXoIgGxKu5 + 1Lc89D9+5hMYosUg++fhEQURSDFUQIAAC+tkZIO0JXdPCaxbxCEppgDsz2eqmHyTVq4RXf/PPv+p + 4/sPMO+OFiUAw63fnRplNY7FAcMcEEC9zNz/k/d8Ow6P0HUQuEMEUYOyGBcRERERERERERERERER + EREREdG97YzDyHbLrZ+h5jvRrVBFVhA88pZh76BCUKXTWEveOVxFXyVYeJ0R6CcjZ90EgAjcgSk/ + pGn1xSejAe4pRhVp+QQtnMA2AbQnK7xNp4UCCKjBDS6AKqqgVkEvoovxU//6I7M6ZRsPhm61HE0g + AnVUN/g6KG4Fudr1F7/ne3Hx4cXe7DDKqAgSpLqJVtEWDduIqzqK1dClWqtUm5l6latdCt/5nu6b + 3n7Yd0DogZVBEgxQ1WQIptjGMPs6pjFAvZpWaIXUEsQUIoCInw/62f/nN2Z58nFVg7jcKNbuDaNi + ZiYIMU4lr7MrzKbPfeqcWahVBRVQIKZoOGPM5FRhonXWdw894Kom2g42F2ySzenmMwFUEnQ2Ti99 + 6Su9tjHYcEMb918FVVtwO84wE6CKDA/eDxj6XlTNTFXzOIWwbkpsHYp65x32Z3cy4wIuLtLenYaw + DaEEUEVx34VV15XTvHUXGNSgLuaCqnCBOMQ1ADBTWPBy9UtPnXNNosZzh+jeogAgJm7i2jozEEvz + 7mh13Pf9vBqe+Hfnnn5myKMCAS7mxdq1AACqiEuMGo5rfXZIj/6Nn16kblk9pARA/eRC4AJAwmZJ + gdeok7iqWvG5zhbFxz6++6c/fEUVEVIRDaWWhNTWc7K2nUuACaqiXtvhNEcfJY6rcOXFF/7wD/aW + i94tcBIY3dlah9nMRCQKvGRHddQLF87/i3/xz//u3/07AIJCgC4F30mhwyYWDpu4a98AUEppz2kP + 3L0l2DXtrq2U0p7cvtdab8P7bVuxfgs5q6q7Z7tp/ZDd9uea5THW4i26L8S4uzeItnYPjO2588Zt + DtE9bTfZNMb4ek7GdoFrJ3U7zW/bVaDW2l59d/unabruadurNlHTDph2oI7juLvwjSIiZpZS2m5J + e/yKtv9kve1q3v6s4t19SI2IhBDap7P9+LY3FLufUXu8PeRSStd9gm0l24W7B0b7nnPGpvltn8L2 + E9k9ktux0W6I3P3k30I3NybbBvP23KoQERERERERERERERERERERERERERERERERbW0nJIqIu7c5 + NaraJshjM/nxusk4tJ5dJi8r5Vh9XfUIVmDwglx/9vG37Zc6CKwUV5hiNFdBsdqqsUEMgPrZi9c5 + AEdwhFbhDevHQTECoR8m0Qko/eznPvnH2O/QJdO4zr7W9TsKQAeLm2ppFVaxCe3mJ39D60lovi5t + 1WYfCuAF6Ofo9Rc+/YmFhAQRTbdhXxY3EwRBBUYgAAD6ao+MI6YMt6LQiFJKqUXuitRyM9udZL19 + UGvdnRXIRoyIiIiIiIiIiIiIiIiIiIiIiOjl7qa8TCKYRIQY98+5RABiJvCWOCAvG627Tbh++She + gbj7NoY4Av00Tc+/gJ08mN00tVv2hm7I1SBwDW7BDVBg/bgDutWIP/p4/NJX5gAg42qKnQCoBhXp + JUAwVTODz+Zf7cLFv/YTq34oqa8pVkEZpwiBt33TdsR6d4grXNv+VLfgcOgYYun6d374g8/1qcTB + C+YJZYIJzHw77toErtcE0LYViqtsItkcOrnHabzv+Rfx+3+wBwvBg0jJ+U5rsNzdVcy9jbl3dwGS + YLr0fD8dBzdshhq3Ab5nICIKVPhSA87tFRXs5Gpcl9LOobI3lyCoechluvRcsm3I8vpPE3iLEjzT + lbQqZG+OGF3U1x+kifpuMKrvtF1nnmxwZxEDTPyaWNZ2+rRUGauoECTVc/tVzn7Gm7QGS3R9BYBC + Qi31hcuzyaSav46VE9GbzuZyaQILrsG0NbbVS4ii09jl8el/+8TDq1U5HkMUgQPeJmi1X3NREy1m + Zej7b3s3vus7r5aSNFnFbnCYSeveAJtWxsVMWh9Ag2mq6ghXhjR83/fkhx5ezvZUoxtS7Com2U2o + dZjeIJTMICaCaVU74IEQPvuvPzpbTgci02q57bMRvYmM0zLG+HM/949+/uf/OzN0Xcy5Aui6bjvN + tcXCqeoZEvvc/eDgQERqre17COE2xGq2eYbHx8cwQ0qlFFXtZsMterltT7LkEgJUNYRQS0kpoYXk + 3Q0TCemmUdWWwrgNiReRbcIiEd1O7t5yUluJh1bW4WzXKVUtpcQYp2napu3eBiGEGON8PheR9hba + 9/l8HmNsEa211pwz2xm6TuvstYOk7/vVaoVrU4dvs3bqTdOUc25Hctd17Yr5iloA9jaHWESOj49v + z6YeHR1tH9dat1d2Opt2u5FzbjcdrRFrtyGt5g6AGx8MNxBCaHciwzC0cOucc3vFEMI4juM4blfe + oq+JiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIbps2IXE7kb/N8DKz7ZI2v6ZN9j/DfP+7VcuD9t0f + BOtsaIfAx3EpcHjBmB8fZp2VVlekzf+ssv5qO1Qc4iZnzrTerEcd0bC7nhBCr+FwufLYj8P+lXkP + N/RdQdh85OtaBALEVrqpRWRvKq/sfKfXwAGYAAEIDgmAKg72MfRXu/SSyGheb0e5OFeHuDqQgQwA + NhQ799IhqgG1Ag7EqDF0pdhdUJK01hpjXK1WqjqOIwBVnaapzdorpWwbtzd4Q4mIiIiIiIiIiIiI + iIiIiIiIiO48b/oxZERbDjUEaJo9eN8U1TaDYtso3mtCYX0TcCgtlVZkN+BLoKLm1p4pggTpp3z4 + 7DNtmKaX2rINbpBpfbYM49Nbp0VDantFNXTVBrP9KT/7O09cuHQl1eLiFdDNSGGIQLUKPMCBIw3T + u96B933vMnaleHUXgZslDTvvZTO+HOIQAcImarcKqsIQViHh/e+7+paHj6EVAsUk8KQlrMdRb2N6 + AVRFFbH1ks2IZmkvJ6bQKT969fiZj35sbzxWWyaX6Aq/s5qs9WEgqG6qCvdggPnVp59KeYwAIAIR + aK1nGcYqIhCJEgpkVODB+2sIrkFdrht9bqJsz2+FaIaCo6eeVhSoySskAV5/bL9GDnTnziMEc4H5 + duF6RL+s09/b8nZmvI73cQc5OXS3p7OYiAOmDnGvLggp3neunvKIfvmnoA4BRGAGCRZQlk8/h8m0 + 3h0h4UT0mjhQRasAMIXFilQ1mKhrMZulNKyW+NKXnv/En8wOr+4pDOKy7gRet5LJ9bLoN/zkB3D/ + uZBmIdcQQr225WmzvMQ3bRtQFSYGWHCLBkAXGvHQA2//az/5VIrHLglxKsdB1eHAun9lAt+5tKsj + uAW31qFtzZ2KBEE17JvZpz6LP/2zfnncpzcu/43otXhZl17d1K3rYkwaovztv/23fumX/peUQghQ + xTRNALqu20Z79n1/tlderVYt0BqboLjbEKvZwrnn8zlUkXPf99M05eXqZq1f2k3ZtXcC6ohdArDK + U60VIotxhb5bLm7a69LdocVwjuMoIiklM5um6TbEvRPRy7Uw2r7v25nYzsozXKfabOoWwtp1nZnl + nG9DuHWttTUpbePbwhCCqtZaW5IrNund2/RfoqaVBtgeG8MwtB6gv0FijKWUYRiwqbQyTVPXda+2 + /THGnHMIoVUxKKXs7e2VUm71dpZS9vf324uaWQhhsViklG7Pp3YXq7W2Pdwyp1u7WkppdxAtdvoM + +7m1hO6+vR/pum6bRB5jbLc5rcVmO0lERERERERERERERERERERERERERERERES3WZvSsp3YWGtV + 1TaP5uVzXtpcGxKczPTfqVCiECBnmCtkv+/FRljBOHZHR+JeFcERDa3IyBQwBbhAHAH2OvdsFXXR + ZOgModU8EVTBS7kGhIO4fzTlZ/fSP/6zP8GFC44YoGn7TgQGW29DK91nApcAKOCwbYE4ejWyLkam + 2BSNiRXBUAWHtQIdqv/iZ/786MK53A1AuLUl48QUJjCFOrAMWEUAOhR7FOG/efe7UcsyL63m9nHH + 8KrzKN8sSimt1WpTRPu+X61WANoU0TYFe7lcbicMEhERERERERERERERERERERER0S7GoNLdRQIk + zB58YBmkyssPbwU2OWo3TDUVEQUCJADugHuseXHpEooHUZirQ++I0MA2sNkAc7EWe9tXnF+O+PJX + X/z4Jx80SJ5M0AdYWWeewX2qOQPdbCiIV6Dv/OmfLH2YhlktrjkHt5RihUNMYICZAGIuMIGJwlUc + wR3iJaAFOo4h4qEHH/6hH7wao4V0PCJ265jetmEmdpL27XKDGGBNSTIeMrz0yU+WJ79wsFzGqXah + uxP2+HW2wTxBVKtHA6bp8GvPdlZVzN2lDcc2xNMfMO4tgF3NZQrA/fdXFzcB2+7bQQGNEFg9fvbZ + 4A6YbxoOXSeMApu25LSh0yY6v/++qtG2w9G9pZusp3DYZhR/yze9Aw/+UzGx7SkvDkC3rYG7i4iY + AxCoqSJIOn/u7NHTsmnrNj9WwBXqdfm1r6Ei+Do+nIjuEeseiLg4pGXeu8JFVf346GGrf/HR39of + j2eOlFItDgBigO42FVVx1Ec89ujB+77/Ul4gxFjV3SWGqgZYC5cF4KIuivULrX9dHNsnWIzPLhb7 + H/7Q5QfvX8SE1LVO1/aZaMHVgk1MNgAEQ3BIWyQwgbuHoALocnpsrF/9yEe7nGfu6qe9KBG98Wqt + OY+llJj0Qx/60K/+6q9cvPiwGVTRMjK3QdTL5VLOdCPWb7QfW1zirZZSOD7ebLBqi0hMs+FWv26e + MkQCJMTYJtcBmM1v+evSm0uL6mwnxWKxaPGKb/RGEd2j2pViHEcA8/m8xVG3k/RUWrzuOI4tT7rV + jGiZqbdUCGEYhu0LqWrf96WUFri7jW5t1yPO9KaX6/u+HfC7h6u8QQCY2bbGSvtnqxt0Hdu5BiCE + 0FKxzSzGeKu3M8bYXrplWgOYz+e39GO6u7VPvLWfABaLhbuHELYHZ+PuXdedrV0NIYhIzrl9agBE + ZG9vr8Wir1ar9oru3raBiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6LZpc5TMbDubpk11bHNhZDPB + v81pKqW8YRt6h3lZ0HMrK6KICSrw6nmlDiyW/+13fcd5d8G6RtxOGTa0okwKe52x0S3B2AAFAtal + sYqiCPZSt7JsLmU+/58+9QmcmyGmXERc13VRBA7Tdbw11mX6XGEKVwEMqDAWbLoB2e49Wf8o279w + zFJwBAz72Js9lWQRQ0W4QQm+m7NJ3urTANAiKALAEmqYjh4Uwer4QopBxcbp7ihoF2Pczm4ex1FE + Lly4cN3czIODg9lsJiJsx4iIiIiIiIiIiIiIiIiIiIiIiK5zN4wkI9pQg7p7//ADRwE1iIgK4L6O + EpTNWM/rIgbb7zr0ZJSnu0JFojsMMCDC62KBMkUN2OQ/tSHX21HX64jBrxOZfTPfr3obCYyqVrWa + miP0BXuL8dmPfixcenGwFuINVVGHQhQwNwAGLOFHKvrII/f/yF/9Wgw5pRTjYN7XEpKubHfY5Tof + 0a+JizXAqlhVU0dRPerjN33wA3b//WOIrhCHVfO6/gXdDLtuP4lvIsZb+DUAh0PgauZdEMlZr7z4 + 1SeeuH/Kw+SoYfP8O4XA1omVBnWoeVeBlw7HoyspAG3U+PbJeurjwgXWBvqLetfhYL8liK+P553Q + ytt41N0r1OHVkgTkMh0eibjDHb4eOu4Ivo4XPduV1AXzcwdZBFDZSa02WUeYbqcctM9a3W7xKPTb + wXaa393FCvdq6/2gAYLu3EE9ZWLltj1ffzoGgQIKRVk3MTZdeQnmAX6GeDAielNzMXibAWSAmaqJ + DpK6oyW+9uJXfudj52BhCOOYA3SnpdLW9Ylei+LZLr3jwz+Bh+4bh37MJYU4luzqVW3bYreekkFb + 8x4cwU1hEHMxEwMQ0NU44IELD//IDy66Pucy62el5nWytQPbvqsDQF1HW7frg8C1CoqiGmq1GKWv + dv6lw6t//Ke49EK/WkZOBKM7mu58AQDEICZehy7WmlUh6t/2nnf/yq/+H+9973ebIec8DOs85lJK + Suls1/EW8zkMg4jUWm9bfG/XdesNVk0pjeOYl6ub+xI7d7VrQYCUHJ5zWeU6zGc4PHxdc1jpbhRC + GMexTS9vQZir1U0+OInoNaq19n2vqiKyWCwAtAvWGVaVUmpx9SGEltKaUrq5W/ty7t4akPl87u5m + No5jCKHrur7vWx5wSqnWuo0KJtpy91KKiIQQ2uF60n164zapHcAA2obd+Mnbs7UFYJ/t5D2DVjdh + 9+VYRuHMWub0NsR6GIZa63K5HMexPaEV3Om6bpqmGx8SryjGiM1/KrVfb9/bjYmIbG95ANy2Q4iI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhoV5vn6DtKKe6ec3b3WmubQtgmy9CWYLcIlQJAwNHRcalV + Q8C4gpXZ4miYVsG3ZdxalQANpsFUTsq7vS6taBWg20zlKqiKqZgj5KFf7A+YB+8jkLow2/1VuSaJ + WaGtWN062fr1b9s9RuEGmAdzRW5rg+EAACAASURBVDTEEeKKMCCG/+3zn7mqoUqwW1kCVB2y+b5J + OW9bVjpIKiOWK6xGrCbtOjhqsVdf2ZtGmyoIoO/7EMJ2Tl/f9215rbU9YDtGRERERERERERERERE + RERERER0nTsrI5bodRLRLNI9/OAqoiqwCQDcobhhnFdLJXR3gcDgLh5QHQFipeJ4oYBCxNfjNcMb + Gw7mIt7itN2lVLWimqrihZe+/Du/e1+XrE4palSU7ApBG3kMpC4qcDiOR/uzt/7g92F/T/YuHE81 + aEoONauohgqYehtZvB4GbaItdneTuWvrCEZgUrkSk3zTO/a/9Z0vBA/zVCaIS4DYJhZ7u7PUob7+ + LHwT4uiyyfTNNuv6XGxf8Pzv/wc8d2lPtN5hqb5tzC686iaWOBiiYXzhMqYpyU56uogCOOWo3bY3 + KtzhrpJmc3S9QdRFXTcZ2XfDUOA7lrhHCBZLm5Zi1WSdqNMO/pMQZQCnv5o60O/vVzMRURe47qbg + vHx9r5QG/Sb2CiHv7jAJHgBUkdn586c648Whvs6O3/10HGgfWwUUVo4WGKfoAma+Et1bTBzr67aU + EqyKOiKm8sBwMP32v73w/AuxZptqhEhrgdctibS5VQKbgh0+cGH44R86gkvfI6YxTyGE4uaAienm + orzpKam4BoPapkUSmKIKPNcw23uhS+/8yZ847vslzOoYW8Pv6lATqLfU303QNQCx1qRtm0dVFWgu + niTMYHvHR8/+9u+ec03sHdCbUJsP1lIwAZjZxYsXf/mXf/lnfuavD8OwWq1qrS3p7cxzw0RERFar + VUopxth13W1I1hzHnFKotcIdIjlnAKm75fGiqmp5EtVu1scoh4eHODgwNg50rZxzi9Hd/jgMwxli + Gono9Ysxtpj59mNKqV37TrueWqttYJOZehuISNd1IYSWyd1ytd19mqaWuduugKq6Ddsm2hKRbQfv + +Pi49QZb9ZM3yoULF0SkJbKbWSnlxl3QtrXbKPdW3eA26Ps+pdTOPmEQ8uuWc24FKUopLbs6pdSK + 77QnmFlrzez0HettAHkIIefcbkZijLKTiS4irYV8Y2PdiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI + 6J5VSgGwneSScxYRd2/TFUXEzFS1zbKhE779UwVwoBj2zu3FqKgVy/G/+NbvGKZxXzUa1FGBCnVR + caSKVKEO25SG26xrHSZ96m0ROFChtlOfxP9/9u4sSLLsvA/7//vOOfdmZlX3bK1ZsAyJhQCIhQQF + ScRCgtgBUQovCpPBCEtPDppvDkpvftCDg5Rlmy8ORygoy7KpCJvBB8jhUJgiDYAxBMQgwZ0SNgIi + QAwxwGAw09Pd011Vmfeec77PDyczK3uZnqma2rr7/4uK6uysrHtv3nvuyZtZ53x/d+/6Z2z4hd// + XUz6jJTLxsJX9d9WX+pAbQVXliXiNEADlNPYbk/W/ywTwg2ogrLcsUAR9W4LKe32cbcP5fgrgMry + uwZLYsv2uQtDGf7h238Ye0MLLzfzkO74eqTjOLYuq/231tp6s1bJJKVloQ/OxyQiIiIiIiIiIiIi + IiIiIiIiIrqlO34YGdGNRPDQfWOSoqhwE7guw6o3G/w69LRpGYFtGG57sCDApcA1RhdUmHnZu3at + ZRssf8t9//tqpGJbjm8OET42spEMXbVWtaIxmeJ3/2jyned6EY/Ba2mxG+ZuDkCqQNSDwxTjax86 + 9+H3jAUJXa1eLEsUSClWNcXlrnAEd90YPF1Ui6KqmVg0C24mKKrzoON08poPvvvy/dOsKkBnostQ + SFtmgTsABFdZZzPLagS1axWYIELExIEpMPn20/jc5ycmJupytroshWhd7hcxj5BQ/erF54ObOMwg + 0tK6JQBiB04mFgSHt5H9aTaBLEfEttYrd13U8VkjLsGAF66pVUcVgaz7io1T/rA09JPRDNAWfrMe + D91is01gsj9S/S7wYnus5XmLSIDAHa6mYXb+/EGX3xZ//dFRAAWQ0O4xGwfszcU9cHA50b1DLLgr + 4IhVdIw+hjqqGIJm4Mruk5/6re83pIo9Q0hTh+x3u66GAFd15IBH3/c38eoLFtO4GGSSFgIJCpiJ + uZg4tGVaQ6soAAWCty9XNxPLalUhxWqV+dYsvPF1F97yA7nvdoshtpd1qSr1+gue4NBlMLaZAJDg + iIYgGjW0F4+JovPylSc+h4tXu8roWjq7xNtbmBu11MDptN/ens3nu7Xm6bTfPjf7pV/6pZ/92Z8F + EGNcLBZd183n88OFdNZa3T2l1GbJ5pyn0+krezYvre9TKRZjRM5wTymFEHACcZ6qZqhmpRRV7foe + OGvvpej0rRM6W3JnSsnMGDdLdCrWp567d12Xc24J0AddTghBVzZzWI9yW28l5zyOo5mllERkGIYY + o5mFENqLb4yxRbq2pNjj3h66s6wrmNRat7a2+r5vjecUN2mxWLR09slkAiCl1Kqx3FK7vGxtvt04 + sZospZS2be3sG8fx9vHbdBttH7ZeK8bY9mQppfXPpZTWqS4/nD/454qtYayDq8dx3NraascOwGQy + EZEWUt4+Jj3aZ0dERERERERERERERERERERERERERERERER0e2bWZtYACCGYWZvwglWaNVZl1gCs + 02HJb3ELADygAgaHAYhbY94GvA69IThy0CFoFQ2uU8fUERwuyIqsr6iqlQLiWoECjAoHoqGrKqJ7 + imdmggem8NAVxKjz3Opc2f62G2DanksFBmAQ1FbirkLqidTUu3M54AY3h1ZgBCpcYLCK+R4irhaU + Zc3A9D9++d8/i3wCsdaNAMm6riYAY4Bsh8HyhfmIecGiAJAoLzqL8s7RdV0rXdI6q8lkUmuNMbYK + fm2Oc3sMJ/ERERERERERERERERERERERERHdjLlGdFdRh6vg3NYYQ3VxdxeIrIfDGmD7Y2OvGyO7 + EfHicDhghiJA1NDymGGWd3e1FIVhFfKsIm1cbnuMnvC4WzEXrwKHBkdXfVpGHed/+alPvRpedq51 + XZoXoCJFbUnbGpOJz3M1h5w7Nzz+Wrzt7fXcfXtjnky6almCVlErOcoyNVn9hkDaG+Nw2rM2gYd4 + NSp+7H07jz3ygtUUIICgmlwXoSMOwHTzWAAONRGIQ2oMmodFDIijPZbD1z/zWVy7NrOx5WebGGS9 + PDXR9Z2CtsYTIr6fyGsCgU3z6C9cVoELCtByLiCiOMyY7P0A9agymUIUoiotyHz9KLv5iNCREAG8 + YncnmsNcZDPpdNNh9r8JEGOBLMPexbA84ioOcQvm6o6Wcv2KnscZobLMZG2h3QYAYgITV21dqZhZ + cVTTkGZbB49evHk/tSkxUIU4tArGEfO5mnFkOdG9QxzqIg6BQcwEVcQFXa0XShn/9I/sW9+e7Q2S + MZuEIS/ahaA4FAYxF2QN86hXuv4NH/noYmvbJpNa6944TLe3FvMxSmy9lQsA07ai/ZdnVYe4mCw7 + QABdl6rZ3HWR+td86McvP7CtW7GMqy12hYuteqnlq8R1U9lcHcGAaqXmLnZWazakYW/7me/hz/7D + rBS54bVJzKRdRCkQAYUYhMGldFpuce3U9/04jjnnvb292WzW4qvdXWD/6B/9/D/9739RFQKUMqq+ + onjOdSShiLRguWOlIikFkfDX7n/wfY8//h+feWbh5ipwEZeNNzWC697jvEyCZS/X3urBV1c4NZeY + QhBUjc8LvvD0s2+9cEGP+9nSnUZ1v1HMZrMYI7NmiU7LupSDiLT50u324c7r9dl9AoHWTatA4SsA + SimqCsDM2uzuVsyi67qT2SS6g6SUaq2tKIC7t3In66z3k9ea7jAMqrpYLGKMt9+YdexxexktpZxY + O2+J2gBCCC1R+2TWe1cSkdZ91VrNTFVbTHhrlq1VuHs70IfoXdsvuvu6lM/u7m4IQVVbS2sLb+2/ + rY6IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoxKjqeqojVmWvWtY1VrNv2lyYU9vEs8evK2ancJXV + nQLkllls9l8+9qr7K3pcV+3Il7UFDMBmhZB1VbFD7Gh1qEF8v7wJgGCoontdujxJv/wXX4ckWAdJ + NaPrbqpcdlO5JwdW8+t46A/A198dcMV0UkvpU9vbAZow6Z/vu0UMtqrKZYJVqZnrdnW7z6B2qEPg + gMMcpht1+eZD7YM+UMv/+vGPIQFqYzU5TIW8syXn3HVdm2edc25TtluNkfX8wXEc+75fT4gmIiIi + IiIiIiIiIiIiIiIiIiKitcOPFGxjTDkwi84OgYlls4IHHx5CMiCFWOvmeN4KZBfbjwRetl8XuLYk + QoM4BO4wARJg86EHBJYE45VLfc2Q6lGKFxFxE0VACx2EtSHCLjBRO3Am60EZFGMdPSVA0gIPZX9s + 2PEv/MHim1/dGna3xW0xbiG5BzMTgSLW4h6AHjniOdN3/Gc/vUjnLseUu+Bl6EXnBTlMQghex1Ve + dYuOVgfUTWDqpm7iUFfH8kcAxGpO3V43fdNP/ufzbuqCCmuRbS4KS2La9rkgQwZTW45mbbsbHtyC + W0UOneeKc2E6ver5L57GX375vvnzqRZIqF4rSlBtSdrmYmqmLeXRYtVYtcU3HvP+hwRApbqZqKkE + zz1Gv/hMgo6GEFAcAjGrOHjYtjjEPEkw1EUeJw8/CnNXcbeqqKLAusk5gONvb/cac7Eght2dOOZO + UilwuKosA+y9tWUD6vWBoy+LQ6WbWowVFTK6GFS8iloAoLDoFq3Fr2pVVL2zh32rQ1yB5XOpghb5 + qo6JBMvmGkyBUKBWNIT+nL+SyQze1mlADQI1IKODThS4+jzEhbHWRPeM5YWKe6jzXq06YpzIkB+y + mhYv/MWv/z8PaLXinaMOtYuxoBggQatD1EIXdsWfi/30bT8sP/hDl/rtHathEiX4OC76NEFRtSiu + bYKQukUzgblYCSgKW75uKLxNJbISStYaPS2kw4d/4lsP339VowCAChAcweGCKoDsv77IKmxbYe1O + DQKgllFVIzCd13fk8a/+73+d5jsRCAoRURGIZRsRzKHuyTwBKsiCUWDivH6gE+ViLobN6Y2ucLWK + oClKTJq8VFSLouqWgo7D3s/81H/xr37lf3/kwgNuEADuAggQNQjQxSTAy4zxW3+CcQIfZQgQVu8C + 5sBF4Cu7e4sUClxWofXAcg8AB76eXK1EBAax2vqN1l0oYDWK7EGeu/+h3wWGjY0hulmt9RQDRIlo + bf3ydLhE6vbr6yIRIYT19+PWNqBN7V4/hXXHcgIbQMdqnZXevJJGfkutlbam0tZ15Kt4+dZnX7vR + koZfzuPXDX4dTn/c1mdc2wZWhHkl1m07xtj2ZIsnd9//FLF1a+vdvtlQWwA2gPa9LaE15nYPVi2k + JZFvRmjf3MDaSlsAdst6x/WvC+vG2ZZzuJcMIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiok2bE5Ta + 3Jn1bK9jmll25zNHrihtmj8ccKuwCqsV0xhRC8rw2q6bzveCwoACGFaVSdxcrMDyqviCXlfDyhQH + njfUh5i9xNCpqhsS4ILdgKdn3S987WvAFN39qKlmjwmCqoBCBYr2JYACglZ5b/0FtJ9zCtttCdpu + kmVytQYESPtXQx8j0LdHxoTZuX/+5LcWaVodSYM7KoBOFw5BEFfBMolaHbKsARgOlGxtAgeqoIgV + qRWDS1ZHMPQVWy5xXDz9ja9Arw31hRjuhoO7npTXbpvZ5vTA9cPaJFD2aURERERERERERERERERE + RERERDe4G0aSEa2Jm4ggpuIBANwj4Ms4VQDLQGtbDQBd/Z4BdlMw7TJrbX2/wmIpweo6g01ExJfp + qe27nmzwrHvt+37Ym/dpEl26xRheuPrNJ357uwzRazILBlk/U4FIVFUrGA3zaT95w+vDD77jStfN + Y3BA4eKoog7V9qTEsEyd3o/UVYe6bT7Z9Y9S1GvDojz40CN/60f3trbnKbmquovbat9uDOW8cVSn + LBcOmGCsmCrqYjFD2hrGP/83/6aXkmrBKunE3aNELEdDA6vDqqt0Rhc/7mTrVVBQAOACgWFc1Gsv + BNtsSqtmdohRrI7liP6g6BJCy+ozh9pqaS0Er40hpqNm8IqcQ3UVWQYBel0f3fVROMTBNYGHaBpc + HHD3imVbWoYaBm+Z5agKF/jdMAp62RctAxyXg+YNJi0Otkg1MRNzRA/xQMPo99fhANZ9vkOshYJF + IDjUDTWL8XQhurdUUdWoblIsICzm4/lJH69ewZe+1D31bd/bCYKUUnAtJQugKrlYmsWheq3VJpPL + W9tv/PCHc+qHEIsGByAGMUDUQ7soahdLywhrX15B1etfoNtPzYt7tepDin7/9vd/9ANXPYTQtUxb + A1w25pZd3/9fd71qFoAgAncDItBfuuxP/iW+8/RsPkitQ8k55yDa96nWuvnW7255ZaG7n4iLV4i/ + 990/+n/96v/5xte/tk0WaxMe3T1oyCUDKKW8zGTrE6YAoBWYA3PFGNTFVjn3wOYl5eGv6B1wa9NG + N4h7Fb2WumtAZaY1EREREdEBbRatUNX2jsPdc84ppRbEHmMspdRaY4zt+zpt2t1rrZPJ5BCrNrMQ + grur6jAMbZk557Yl4zjGGEVkGAYmmhMRERERERERERERERERERERERERERERERGdCoEB7lhV8GjF + lGBdAMwwXyCXx7a2zonuDYOLtlof6giOllpdBXWjAMgrrF83lnEqoZYx19KJVEPsJmNMlyYJkw7T + bR8cPUInwzCHV9msdiAbX4AAAQjrMgUbP6IXtbH3ZJ0XLtr+3/YnBAiKlND1e1uzF2DF0QEQLBa2 + 3U/MzQWtUZlAsE62PsQG7ZdYNC0mBigcQaQM9b4Y7k8ROfciZXdPeXiJiIiIiIiIiIiIiIiIiIiI + iIjubSx3TncXFaggJYOLiJmpwI4o2lgcdcxiLg53b5EGBhjcBOuv/W05/uBUE1guM+1tMcY+hlrw + 7ee+9/k/SxV1Nbx1tY2Aw9UHG2YJ2fG9FN74kQ/j3KxGaBvb7AEQgUEKAHGBH6CLUIdWiaILVLzq + 4e97z3svpclCzGHBEdwU5vB1jKLYMuRWbthRDnfUCola4FCZuX7783+Ep5+beHFkEYEHZFeIwIO4 + GsQBl/UhMJxEWGN1cxWs8q0BwGzn2jW5+UkdmrsCIYSQEsIJx6bf66Qd2ZzXIe5ypEOvY4wtdMR9 + eV74KivlHowabW1bRAxuQAjhyJbskNWRc3fkAvMjO0OJ6MwzQXHzoMFTMFWEIC5eOvVrn/28fudi + MBQFVARo1xXiUADVk6CUbDGOr3lY3vs3qlq0oq5AaJG0en0C9cshgFaJmhBkCHq5w+s/8BM23Soe + HWriQ7QxuDpCu4J78f6qtstREXdXIAEAygvX/uozTzy4GJNLP52ow3IRE1lf1EkxMUM0RAB6uIlL + RCcl59x1Xc6DiL/5zW/+1V/91R/90XfJ6i2euTlq0ABAREopp7y5L0UBEbnNeX202opaR8JrHyIi + IiKiQ3D3liRdawUwjmMpJca4s7PTQqbbf1u4dfvu7l3XhRBEJISwWCwOsd6Wit2W3Pd9CKGU0rK0 + AXRdZ2Zm1vf9ET9hIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInoZBAjQANms2hGABBQ3iEHCf/Xm + t+crO7WUByYTPf5J/y4KlVaBZOEufbc7jHPDP//SF9EF2CBTqRW5eN/3Kqw/eaqC/uKf/GF99OFd + rxWYRZ1FLIZFQa2CstFcBAiGcMA6iuoQR1h9AXDAgKqwIKqYl7pV5J+8+6N4fuzDpO5WlqUgIiIi + IiIiIiIiIiIiIiIiIiK6l3FYId1VWk1/dC3XDw5HUPcjGy1ZxqwGBWCOZSaZ46YMWvGTyLQGEEVz + zlv9BONoi72p+PA7v3/+8m40+DLg2YDadoAJso1BRBwl6PCqx+R979mxwYMDFtzgCo8AxE0ch+gf + aikxxnnNC5QHPv6Ji7OZTToB1F0dkAqxljy9TLZ2iMMFcFWH+jJzURUhoJgFUbdhYvXhed359Oe2 + 86J3s2JARAuUtiIwBcTFRauoAy44mdDalm6+zLQ2DxCYL67tqi/juo+wGaSUWm7lurHdsGxmUh4H + MccwLm/LfoR5a1+v8PhqCGiteOPgrc+O/W24i0Z737DH9p+4irvb6oYLQkpHttI2yH69rpyxGUVP + RHc/FQlmcFUTsZq3U4hXr+CFa0/9/h9P58NW3xuQFxmtxwDMPUQZhxpiUk1X4K/68ffhwfuLWjQz + qCGKS7uMOUxWrHkKQWMY1K8CeM2rH33nX7+kMga4AFJFXAXiELl++totrXo0CUFDOCfy5G9/Fhcv + 9YshhJBSsFLLWJNGcQhMUQFr047a1hx4+4lOUNd1OefJZDKO43w+f+yxx/7lv/zf/t7f+09FoAoA + ZlA98x9riGCZaQ09nsv2dpV13XVjm1goAKTyVCciIiIiOricc4umBhBCyDl3XRdjBJBSCiG4e621 + hVuvo69DCOM4mhkAM2u/fiBtFe3tfq1VRPq+jzG2v0e0xbb3QfyQk4iIiIiIiIiIiIiIiIiIiIiI + iIiIiIiIiOgUtfIBtr7lELijomRku6DdVHUqenWxsGOeCmQCgw+1qCBqmPZpZ8xlOltszSBAipik + 6ggJKYmZ+bLICp2SoNjqv1ULts4rdBwMhi6g7+KyeKC3EooAILDgftCjFZaFcfbLfFWBA7VaUPSA + 5JIvXoYJzOIkMNaaiIiIiIiIiIiIiIiIiIiIiIjoXsYxhXT38HUibFBNcTkc86XDAA+glqKAQqTl + NAPu7iqrKOUjXNXL4u5dTLnYJKRuMeDi81//9BOPZE9mdR1+LAZZppgVWLc9GTN2EB7/8Efw8IPz + aT96FRSBqSugCoP4IToHAQLEzNCnxdYEP/CG+JYfXMSoipZkDcAEVVA3dlQbQduGva7vDkAIqICL + ZYxhnH9fDd/81BO4fGXmFWaQIKJiDsuKGgzBFR4dwQUutkr1Pl6bOccAFALRshjUEexoMq3d3QEz + izHixZ/UycSo36NKacGlRx8vHcM6Fl1EDKjwF+uy7qZw681n6ICtnnXbFQa4IB1FrPV6ReudKiIo + 5ZUvmYjuIOroNJZsJaQSQq11msuFsVz53L/z557bMu8AcThcNrpgKx4Fi1LGWT9/8L7Xfvyj17o0 + BiiqizqCuoZlp2UHjYt10WJW1apA0hSaHvjbH794//Y8iMA6Q1dcHSK4/RSwsNF5OmC1Wq2TUh54 + 9hJ+7w/O5Tru7JkgdilpJxYBQCqkKMyh8HioPUp0osyKWem6qIoQpJRxa3v6i7/4C//4H/+3ZgDQ + dZpLBjCbTU55W2/FAdu4kFtd85zIirH//tRXX0RERERE9PKllMZxBFBKARBjnM/n7r4OnDazWmtL + s17f37QU6vawg6631qqq608p+r4fx7EFbOecAbTM7HWQNhERERERERERERERERERERERERERERER + ERGdAhe4LuuCCCCKClQkAcQw1OnVvTSaAD2Q0vHWe1SHwPsuZtiu1XHIjnCxS//kK1/CZAuiCxRf + lXSqjiMqkEaHFQTTyT/72lefLTYXRYA73JDHIt6KAS6r8zkQYAEmfoD6NuLLijVZUXRZfcIUAHrD + 1KQCBb6QjA5A8WHAiVdQJCIiIiIiIiIiIiIiIiIiIiIiorODsdZ0tzEBRFJK6wiBowq2FoeXqhBx + wFxERGQzKgyAy4mO1fVqqrpAhtVH+n7nd/9Anv7u1jBEWw4/dUHLQhZXNe27eO3avKS4Ozv3yAc/ + cHk6XXTJAMB1Y8Tq8im4HnTPiSN0/aKMuyH5ffe/6Sc/8UyuObQhrYbVwFZ70XhmBQSuVuAFIaAa + kmj0Mtnbk+8+M3z5K+cXQzCIBtFY4RrErAgcvvrd1cKP+0B420Mq5i4i6oA7HGXMukpBPqooYnMP + KQIwuKucQGI3oY3Ud9RSbsgvP7oVKNox9f3Tz65fi98bx9pFTOACFzE4gNgdMta6nR3rnbg+B93h + 7iICsxfLDieiu5UCtVqBmIYYtR8WWJRv/MZnHlCPXspiiI5OI0RabywBDrhLTenJPH/03e/C46/Z + 6UJp1zCAQ8VVXSEGOWCmNQDVYrW6uSCG/mp2vOsd9U3fv9PFKgiO4HB/WYnZ7bVJVVWkPXhi/upq + X/vN34xDnuUq5qbBJdRaIeZibRPEVVwBPbKLFaLj0V619/b2AKiqmZnZZNr99E//9L/4F//svvu2 + xtEAiGB3dx7j2fp8Y/PsUkAAFQkQ8RN5z7h6N1xXl5ouTLYmIiIiIjqY9pYkhLCzsyMi0+lURNy9 + lBJCkNWfotx9GAZVBVBr7bou59ySrQ/xUaS7m1kIwd1jjMMwtExrAH3f11pjjLXW9lMmWxMRERER + ERERERERERERERERERERERERERGdAleYwpdVDjbm8pvYiGH8h29/x/kqYlkcQZHzweqTHEKC5LGM + jsk0TsJkDPHy9gR9ggs0QWMB3AtKjSEe98bQSxCFBsRud2u6mM4shuoA0EcEhxrEFa51VU9PXqIC + zY1WhcvUAW9luFrNHNHWWAWobhgzzBCqTMNBS+gQERERERERERERERERERERERHR3eRsxT4RvUK+ + DIX11HcABFLNjrCZe7UoGkTWAbfufmNu9jJV0A46DPSgBBCvhpqDWV7g0u5fffq3LwQJGIN78NWD + Vg8XSDDbAxbnzz/yN/8GXvvaa9uznZI1BohB3GSZcL38tYOPMTV4CCGPdW5+aTqZ/q13d9/3+E6M + WQHZiE2UtpbVgGy5LrtX2hBYQ6xQQJKImNriAfFv/tYT6eLlzpEDils1C0krcvt1cV0trWVbn8QY + 2RZZoaoiIuZweDmyGAkHWsSviGiK0I2Gt7nHGE93PFp0PcyDKnAMKYCrtGxf5aOsD6vdjaGDLxbf + WN2x6gdcYHADQopHFendEX2FFgAAIABJREFUDp4D7h5FYR5Vjz6knIjOsFxrjNEd1S100ucBn//j + 7utPzryKQgri6gUdqws4TVLhdTp9/sJ9j3zsQ3uiI7SoVoFpm/ATVlcyB77e8KjZTdyCAVmGNMH9 + 24985Cd2ZtMaoylcUNtrwW37Kt/sy0SiSACSY3sxn3/ta/jCFy+4KWQOL1YBuOzHZAff33p2iHSW + mdn58+eHYQghqCIEGYZ5zsN01n/kox/6lV/5P17zmkd09XavlLM+Ry5AVGT99vSornZudsM7VDtE + V0VEREREdM8rpaSUWqr09vY2gBZoraoxxpzzOuIaQEqplLK3tzedTsdxPESa9VrXdSGElpxdSum6 + zt1bjnXXdTHGlqjdVvFKVkREREREREREREREREREREREREREREREREREr4DihvJfy1oejms7j6Y+ + 5mEWOoOPxz/hXxzBkYA40Z2h7NRF6af/85/+MbZn6KZeW80BE13WgarGciOnyhXaQ8P/9Odffhr5 + ylhnW6E4pCI5Ood4ANREq8APVRymClwgq8Jfsio1UwB03Qj0QR8a8d/84NthY5HKBkFERERERERE + RERERERERERERHQvY6w13VWW6X7uMcb1PUde1V8Q3P32p8/JJAmISEy6yIvzSfGFryy+9NU4LlRM + HeJo3wEo2rBUzdm2tydPiTz6iU/sTbo9IKYe5nA1AGIuZqKG1d47yMY4gKDzxWI22epmWy84bGv2 + 2h97/+XpJIdbbDtwi+ReBxwSNUZBKUgBuZbilhT9fP7c5/8Iz12a5Cxmo9cirknREhkFcJVVZpv4 + /iDaY9WaXFDVFnZubrUeSc60r6O5BSKiuv9sNhfPTOtj1YJJ1tEgDj/amJDrA0mXS7a7OojkluHW + LnB324j33mzwR0hEjuVVgYjONnefzKYwxzjGMqZx8b3f+NSri+vublT0MYgjewUQBG6ojmoI0KtW + t9/5Q3jrW8qkz9UM0VRNzMWqqEHVDXDIwWaP1VodtQ8aIGOusn3+osrDH3z/lWk372JdpVmbw/0l + XugFcKCamRlaL1dLV8pDbk8+8US6crUT96DVJYTQrpgMAtdgCAYA7BLpjBORnZ2dvu/NbD6fA5hM + JqpqZrXWt73tbZ/85Cff9KY3Aui6eNobewvr3kEABRSifl1ivckxhlu7wwEXfuxDRERERHQYMcaW + JN0+xW051jHGUoq7p5Rqre2e9gB3n06nL7zwgruv464P8XnyOI5tyev/9n3fFtWWaWaTyUREaq3H + 9DkqEREREREREREREREREREREREREREREREREb0EWX7X9X9bObRS/sE7//ri2e+dCwFmGd4HhFsU + YTtiBk8hDguzIGM33dueYmvqMUFVVCO02AJwBOBQ857oKAkARZoghd2tWZj1e7t1EmCOAARAHY4A + V19XJDvoEXPFqt7XquqXAQhRdxaDK3SsD8ztwuDIJa7K3REREREREREREREREREREREREdG96ZDl + zt0RQgDg7iGEzWBOotOlqhCZz+ct2boaQjiysv6pn4iImbUoNTeJMdZaj2r5B+IAAoZhcb4L2+P4 + 3f/3Nx4ba2cFii5oWOWlicMdFdLyeHc0lje+AT/8Q3sxuHsf+zoUQFzgYiYG1zYaFQcfY1rNNHUw + WSyydv1Vle2/+3e+u7U1j+oOCdENoaIDzKuEZfKcrCIbHWYty9lMHAHLpxACHD618pp5ufLrn96a + L1CG7fOzIrVYNXhVmEA3EnODI5xAt6SSaw0hlFLMLIgixmEYjmrx60DloeSu6+DeQivpZLSXNo1B + VGutAqjoUb7e5aKq7t6l1KJQWsdyZMs/w3QjkX2dHd72BoAQgsQjS6Z0h692qqsgqB9nfiQRnTUm + gMo4Dp1jGzrbnePZ55754r+fLfam7tHgpbpARSvcABEoECQ6ZI741p/82/NpP88lQR2hIriYiZto + lQBAYbdPnr6lLiYfsjpqF1+wOu96nDv/lg998EoXr2WEqMWhKuovfTnWJrUJ0EKzBJCIPi+e/fzv + 4dIl391R1VLHEFsENgAVV/WiXgCsrvqIzqj2gUO73fXRvJiZu6uiXTs9+OCDn/zkJz/0oZ8Yx3K6 + m3obDgcgQBdjKSXedNodx5WJO1xURESkvT8xfmxDREREZ1KtdR0J3C72TnFj1gnB7UaM8faZweuf + 7l+1dt1xbuC+uPr0rG3DPfKh4smTFQAppXZnjLHd0477+gHtWKSUNltyu9E+/Gw3WiNR1fbr7VCq + 6g2FYNx9/ben9keHzXtyzptbsl7R5u324NY22iad1h+ziIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI + 6I5ww6SYm3/EeUz7BLD9pOE8FgAVDhiG+kCpD/WTXPckSh91t+IEZvYEUQE6wCQ+P03/3Rf/FP1k + RGgb2Tm2tXOgAgYo6y+dtpoBibB6tUsufQJyRbzxuIiLusAEB5p72Sr4BV/WpTFBW4gBtVqnkgwd + fNvHx2uHvYJFxR0++azNuWsT7nLO62pm2JgKitUkUNZNJSIiIiIiIiIiIiIiIiIiIiIiugFjzOhu + s67X7+4Ol6MbCe0CA4qjKiD7585m2MAhcg1foZmG7spVfO/ZZ/70z+43i7ChII9FDG0zRaECFbUQ + iuhFt7f+J3/Xzm8vNHi1cXe+1c1aV2DiLuZy+OdhsoxUDKIAxhjx0AMPv+e9e6mrAWMpMQUVWEUX + ulIzsApYFMONg2a1/XQZdC2IZg/l+o0nPjfJ+bzbzpXnJSBXl5AcbaP3cyXVoX4KhwOrIOqj1XJ/ + cQxLptswgcFV1W4a0d2iB+2VHRDL2euy0d4jL8YvdkreYicczwwWd0cMx7FkIjqz1C0oahk1IOX8 + kOk3/u2ntsexsxxto18Sg9jqpgzVy/b5/PBDsx9515WYCrxLyUVNFDDAqsBfwQvB8kIFcKAoxhAX + qXvg/e97bnvm037MNu37Wh2A3px/+1Lc0Rku7Ozu/eanLkBCHmZdGsdRYAAcCkBhCoO3Z0R05yml + ACYiMca+73/5l3/5537uZ097o26nxc/LxjuU9bzBV3hJeXsm6mgzX4mIiIjOopxzCEFEaq0t99fd + 1xHRJ6/9KaHvezObTCallNtvzDqWuKUFxxjHcTyZTc05t5TlWmtKqZRyMuul22sHwsyWf6Jyd/eU + Uvu7VWvh4zi26Otaq6quY6dbvvU6sPzla3+SaOtqAdhNCKGUso7cFpEQwmKxOKLnSkRERERERERE + RERERERERERERERERERERHeJNj3KzJZ1rlb3ANjZ2dl8mKq27FgCUMUhqAYBuhTNSoXBHYvxweJl + 7+p90+1redgpdn6aTqB42Oi2W8skTLKES1sTeEaIgHirb2LLMlqt5oHuR3LT6VAFFLjv/L/64hcW + xRwhJaneCkQYVlW5xGGrimcHWrxgWdlmXW7CVz9wcwUmCFvo5rvP/9KHP4ahwO7spOeU0jiOZtbm + 9LX46jZfr02yCyF0XTcMg4gcR51AIiIiIiIiIiIiIiIiIiIiIiKiOxpjzOju4i4AzGsuABweFHZ0 + gQ6hT1m8CixIFbjA3dvYTTmNTGsz23J7TZVv/9tP9deuhjJ68S6gjVYVERiswgzVLZsvJlM88qrp + +95zcZpq0GlIoTrM1dUgfots6YMRCQAMVQSx5BpkvP++xz/64cspDaoDUGrVNspVA1ZZbhvcxf36 + /agOAFUAoBvHeOnyc5/93EPz+SxAAgAFVjEP+4GUCqj48fdv5mE1OlVEDA531aNcb1t8lGW4BcfC + niQHKhxdylZd2jjtI6OOmrOai0PMRSSIvNjA7lMIaD9Oev3TWZ8wbU6LOGDuRxhrrXCBACaobkip + wp2nEtE9Q4BkSIKsZSIVTz333f/vs9uKqracdgWIL7smgxqCStgBngz2pr/zCWzfV+JEUldqbddJ + 4lCYiVVtv3uI131br1SWEde6FwPe8ebJO98+dH0FyphT1BBDLQfrD11QDDPV+xeLb372ifD85fty + mTjUTVebevCpSkSnSG/61MJaoPVkMmkzysysVr96decMXiq3SOm2WQooRCHt3D9y6jdcZe0n27XN + 4KRSIiIiOoNaMDOAEMLu7u4wDKq6rnhyKiaTSQsGbum/OefbxAy3bOlSiqq2G+tndNxijG3bWiGY + ruuYbH0WrKOpW+R5y65uLcrMVLU9oN0WkfaOpoW755xbmPpBVxpCUNW2xr7vsfqgtZQSYxSRcRxb + zHatdTKZHOkzJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiojteK5nVvq9mqANAKWV7e7tVvmqzYPb2 + 9k5sCtXZp0EccNS2i1RVoRjHn3v9D9yX7f7Z9Pn5tUlM25P+hXk+7lofLogpdl1fKmx67n/54hdw + 3zlIWFZla4fUl7XyWHryTIgYi7lVxGRhojKZZ8/AKMir0nzirdDNYQgAaFWYAgJZVbpQk1Zxpwa/ + iLmmdO1738PuHvzoSn6dhnEcW5R1qz2yWCxCCKUUEam1dl1Xa22PWXdxRERERERERERERERERERE + REREtMaxhXRXEZEAQa0t+sIBVX2RoNgDc0E3mVSBqbhIG/RpZroKRMRGXOsJDFoUmMLTfIFL177x + m595dNKrFQWiQgUi4u7V4YAIRH0IuNil133wA7Y1u5ZSgQRI3ycrIwBA4eqyXGwbkHrYLTPx7DZa + kEsx9W97R3rdG69NutCnwSCCACBnhWAjCVJgELs5vrfd02LYkturZ/1Xf/030uUr5wRBBNXFWqKk + ARXwVbL1KUQ2ujsEIcYjWbU4Qgu9c7RxsViNl2Ug3ckQEXPHpDczE7iKHelw5JpLO8qw/TB3EdEX + WcldfNx1NYB+eb67W6nHEea9PKBwXw3cJ6J7gY3jNATUcctG/M7nzz97aQteHCbXXS2oo4VNF0iZ + TZ974Hz38Y9eWQym0RTF6vrySNwU1tKpD/2i334xuLdufxHizqR7/cc+ciWkmLbnbu1F/xBhbu6I + LtMh67PPXvuTP3k4V+wtutiLi7hADLCq3rrBF3vRITqLNt47qOqVK1e2trZEJOf68z//87/2a792 + BmOt19qWRdEgcvwT3LStsO2Q/Vhr3NUXlERERHRncvd1iO/W1lZL5DUzPz1Xrlxx91a8oIUQ3z5m + uG1tK2cwDMMwDCezncMw5JzXccUncLDoJa2byvpGK+WTc25HrZTSQtCXf8ByB9DKYbTbrVLGQde7 + /vUWlb1YLDYLCbWKG+t7Tjc2noiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiM6gVqwMQM65TVGJMQKI + MdZaVbVlWgOYzWanvK1nzFgtqKgCgOeiXgC9ENJkzIu9nUkQMxuGoVfoMZd7NMFo9eo4XAGed0cK + 6CcO1TadSNbfl0UHWHvg1NWK1Kt0HapfE110XQEm01iXpbEcGyWyDlGMy1ZVa8ShDgWCITiChgGQ + pLvVFBr6UPMc3YHntZ01La96XXgkhNB6LVXt+z7n3O7nfEwiIiIiIiIiIiIiIiIiIiIiIqJbYqw1 + 3T0ECBBxYMzqEEAAOdJws25rWhVV3DZOHRFZjtr0NvazpbOar/KVj4k6pqKzvQGf/b0Lz72Anasa + vFfUDHG4e1t9EFFNUTWn8OwD52cf/eALUYqquczHAeqaFIC6uggARRGMcN3MjXuZW6SuIiLiphUw + D7oD1HPn3/Dxjz3dddbHCSAGAQpa4vZ1YYriUJjATNve07Zjgy3HxYYAubqz9a2n8YWvdDu7CV7G + GtCpQ2EQg7S4CDWInUiyta6HZ4tUOAShS0e+FhGxvEzCaINijyPxl25gbXj3ZGJwrDqSI2xUXmoU + VRFxb4nOm6Oi7z43N9rWUd94J0TcjzBeRQRtJPly/szWDCInH3tPRKdFHeIeq21ZxfOXvvPZ33m8 + StibB4FDbTnvZr87MtHBfXfaP/KB9+Lh+7F9zirGUsOkW+ZAtyk6XoNXh7aLlJe/PSZwMRMzUXFE + s+TVxOZRLnbp/I+8U1/7+NUYU9+VUmupncYDPV9xKMSKJ8d2GZ964glcfmFSirgG0+AKWNVaFEWX + jye6E6zOMldAARWR7e3t3d3dZ5555qd+6qc+/enPqKqfwRf4jS3SVc70zeedHX7D9xOr1yu57scC + E63XP4iIiIjo7Gj1TVosdCsKMI7j6X4+JiItoxqAv9SHVOtyLe3BrerBSWwl4O5t163vaZVi6BTF + GM0Mq2Oxu7vbqva0/+7t7S0/2xcB0B7ZdV1rbH3fp5QAHOJzURFpv9ucO3eutUzdKHVTSmnrPURs + NhEREREREREREREREREREREREREREREREd312syXlJKZlVKwmuciIuuZKU37KTVR1ACHeRlFo5pi + MfbDMBOfpGDubtUc7idRDK1Wj7Pp/PzWP/3zL6OfVqRhyDGtyhIEuKhDFboMTaZT5gDggsnkf/jy + f3iu07i1dWleVhnkReAuy3JztyzVdRtVMK5qywRHMERDbwiOXSvT87PL2SRCYZaHhWb4gGMumXgC + aq2tKxuGodbaOq5a6zAM7f4QQrvBfoyIiIiIiIiIiIiIiIiIiIiIiOgGjLWmu9FiUFV3F4g4RA42 + HPPFmKDfmlV4hRtgcARVSBBVh8BaMO2JEUcqjtG+9Vufe7SUiVVxwJZP1lpuYhDTUN1KKXtSH3zX + O/H6x202yzlrilDJllf51wqPgAiqomq756BdhItYG8lZLJoFqSI7opP3/fjehQtXx3nskYEqGjVY + i29bhWevR123oGuTNhZaxVUdwQGgAiGPf21n/vSnn5ipaPXkXfTYRs0C+1HiLnCRgydzH4C0pMzV + gPv1jdR3R7ui1qZKKXAoRK9vYy4nMWD9HmQCg7sAs5mIiIi516MbjC+OmguAAIG5rsaO64vH9tzd + EwHU0fYDXkZi0CGJuApms1eQHElEdx4TaBcsLx4yu/aFP7/6jW9Oa/FiXVRA4BthTgK4OjRH2en0 + 9R/94JU+LhQiAgnVxcUgpq7BNHoJKCaocsjYMF3OLSom5mImWuMU5x96/Qd/7Jtlz7rkDjnMmzUN + ksQRA/oh73zxy/jqX9wXOy8uHtUhqC5WVIoqbo7AJTq7rjsdzMxdnnrqqb//9//BV7/6H90B6Ikl + CB7MZrK1iF5/zrV3PUfk+l20Wo+f0f1CREREtDQMQ/tkdTOX108JAFVd5/62bOCue9HPe0MILZy4 + FTgopahqKeW4t7OU0latqu1jtL29vWM9TPQytWIW4zia2dbW1rpRDcMwm80AuHvOOYTQmv04jq29 + DcOQc75NY7uNdettWptctw1VHccxpdTqBznfHxAREREREREREREREREREREREREREREREdGtjOMI + IIQwm81UNcYoIn3fp5S6rlvPiKE1AVSR61AsS4wYB+QKDw92Uy+LXCoEfew6hOI4oRTdyeTbdcCk + g3SA9n0qY4UYtAAwIAOGVdA1ZxqdqhhcYBCBKM5Nn0m+Y35fisGWQdQKc8DFDpppveZYFkWU9ZdD + g87HISW0qWZSy32xg4Y7vT2UUmKMqgqg73t3v3Llyg1zM69duzafz909xkPWCyIiIiIiIiIiIiIi + IiIiIiIiIrpbMdaa7irigDmGIYiamUDc7KjGQjsQt7eQQm15t+1Od3dfJhxvPNja4N3jFByhlN2v + fn3+5LcmO/NZFHdUR1AV1bbBpmFUzL1CHLPpWz7x4XlUDxrcskMnkypWLIubOOABroqi3kZAH3jH + qUitVVAQrCiy2yT02RUPXXj9B9+/YzBDEcmiJgg3//4qgNyBKqi6jHlrcb/iqI7tPp67tnfxT76Q + v/O05DyJnVdEg8BdvK42uYrWExkD34bau7urOICgsUsmy7TpVxg4rRC4O9CiSsDAiZPlAFQwnXiL + Qwb8SEdej+MId1ktsmWKLJNObgovvwu82LOQ65+sAjC3cmSx1iKogAEtnhyzKVSOu38morOjCoZO + IBYvzp964vdiHQYMrasR0+W7IQHa9QYUkJrSo2/9gfi61+1tz3ZrEZEQUimlanWpgAbXVD2aA+pQ + kwO/pdJl72c5eA61LairYciYvP+99fsfvVqGEKAhFDvwvDRxBCgCYsX913ae/dy/w6KISzARV8BM + y6haJAIQZ49IZ98tTrFS7A//8A9/5md+5sknvx2jAjCzzRzEM2R1CRRWVyPri/rjv7gXt83UOn7+ + Q0RERGeOmfV931J+ASwWixbrK6eklS1YLBZYffDbdV2rxnJLpZSUUq21VTqIMe7u7rZyLccqxriz + s9NW2tKLZ7NZzvlkjhq9mFpra8Bd19Vac84xxlLKup0DaN8Xi0V7CyMiqtoaW8ufPsR621r6vm// + bbHWIpJSms1m7bS6IfqaiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIaG0cR1Xtuq7NiMk5u3ubv9+m + ULU5MimlcRwZB7tmhnEYUkhRY14sEBOK/9fv+BEdxw4SAsyQy6gIAdDjn+6viu9dvXx5EtBHZAsG + VMQUoAZxhzlQoAUKN7DeyCkzmGGxgDlSwHa4dK4fgg65REfniABgVa3ul8Y5AAWCIRpcYKsQ83bI + k2hdVMmYplCBWv5/9u42RrLsvA/7/3nOOfdWVb/MzuzL8C1aLSmJFmWJEiIoL7IEKLYgGw4MBAkM + B4EDBXGsGJK/JFE+OR8MKJKTAEEQIMi3IAFiK4iRxIoUy5RIkUuLJmNJpkJREsV37mq5b5zdmenu + qrr3nPM8+XCqampedjnd0z092/P/obZQU11961bde889t/ec51+fPCz/2Qe+Hw8nef3MtLYLQJsi + OgzDZDJBq/O2LuI3nU5jjLWeWnEzIiIiIiIiIiIiIiIiIiIiIiKiC4OxRnShGFzcMSx6r2bVBBUe + 2k82rxEAcFkFT7u0CGT1tzgcNuHEJoqdmcckEsRXidZm1kICTDZBtCdPMzZZJyOuQ1dNYKKACiDt + XzAAVTQ49pf5q88/P1sOU/jR4KGDQKs5AAcEEBTAa4jl0hP+nvfiB3/oVa9ZZNpPhvnCzDR1EqKL + or3eFa4uCt/+NvQ+70XEShYTccmORa0Sw6j6htb3/uW/POztHBRI6kV8rEUD7pH9fe9gBW3J1iFg + XJYnguzMj77+/D99Yj50peithdgdv28PJQw4GMRVHS6AKGIy8bap1mt1UrLZlK7FUMUFJlBfxahv + 9rmW//1wPu/jQwC4op9mDa0xWX/fLcO8ff8VMD9+8rTCkJdaijvgQSEKwO8c7ryJfL4AydYCay2b + CQy66YHYKnUa6iquggCv4q7HPna2vqNNCw81wB0mqKIlBnQTF32wEBdtCzcAvmqdANgqF9dcTpJS + 6XefOrbSt7d/pH5n8Pk70/b2lXW0sAOmm0kvb/sxHbr5WtRbOrGriz+MlFA6Z+vz3a2WBGKbm206 + TOsT8bLkSRW89K3rn/mdXS8CDx2G4ndfCglsCPra7uzqT/wbpe9L9d3dWS6DV1NVdcj6pvdon++r + v6S+6m4BcFGHGEQAdVVPNw34zvc+9aM/cjOEalLdgh77BFAwungp6ARPu7z06U/jtVd2S2lrq2i9 + CLPWXr3zzy/0GLCte7Rj/6O/+Vv//l//6TffPIwRpZioYp0P96gRF4gHIAF9rbq6CDWTVX9+q1d/ + ggNSALjARO553SEPcjFCREREdPZamm/LtN7UCDjH8N1WUaXrupRS++P/OI6t/Mo9qeomkxuAu+/s + 7DycVd3d3d08DiG0ojAP563prYQQSilYF+tJKQ3DEGNU1fZMq+bTgsndfRzHYRhyzu1AwHoPPK5S + SghhGAasjyasD67FYnHp0qWWht72EIZbExERERERERERERERERERERERERERERER0R26rqu1tjlK + pRQRaTOnAKSU2oMQQgu9Pu+VfYQo0Pf9WMpQS5p0GJfIZW8coxngpSBNwghAJQQtxw/StVbVzW9V + IpBWtAS6qawSHKHVPhIdNfnu/t//ypfgGX2PVT2CVotHsC5JsP4XPQK6DhLMHSn9/c9+tsQUJbX8 + aQWCQxy3FwM8hlXRwtXusYq1doE4IjCNMiwrBLOg/XJ8AgKrgOG24k3W0tBvPfFol3ZqU/wmk4mZ + 9X0PwMxa+wagTesDoA8hZJ6IiIiIiIiIiIiIiIiIiIiIiOid5iQjq1oxdFU1MxFhDXR6RDhQIKqC + V1/tl0cqjhRUdWsPDfBw2xhNgQlykKzBWqSgwLWNnJQ2BDMDFlCBrp/h0hNzQAzRNIiKVY0C9TbS + sqpWEbjq+nas9TdpWaVtJLFDzMRa0LVqFPPkCLWgFInB+5nnij996canPhXnhxUeE+YZOtvJgMNj + j+pIih41i/yxy3P/9l917XTniWWVWn0n9aFILVqRqqgLxF1cK/qCvipc3KAmep/3AMxyn0KwILWD + dNr1QxmGToan9vDkE1d/6MfG/slhqJPgXUCtgEBgq5Dm9XBVcQRHMgQ3XcV4W3teq8xiqHWc5KOX + fv0js8PFZFy4WlFUAYDgdnvi3RlyoLYR2kWjBzVvgbyYTV1FBHA1tAyJE4bJubsEEYE68vVDVKiq + A8ERTYOpuGI9VpixlKdLHQoxBOw9ZbuXlqia4C1hHgFty8oqxb7tpsfaBOo2XHt9BhekKkldfSxd + l8yKoR1WABBMg6lB6zt8+woQzIJZSwE3iSYRiAAsWNXqZkGiICliMrPlQo7XuVBABWET+r7+T0qB + BkAxiu28+z2IfakeVsfmsbQDWX09TN8RgKDmQRTV2oqLSIXbMQ9JX08U2f7Q4ps3hZ24HXl0rT+T + R0f0VVh4gVQNZlYAiMAMEFSvUDeYAApxg5uISHVvX53gVtjwbVHHdBGZrONgvZ0HFYBJhWRB7rpQ + rC5qrSFAQlCoeS9puiwHv/brzy3z7nAYHdlgEQ5XQEXcIYrsEPUbKbzy/vfjR39M4mTXpM6PpimM + ed7HFE2iSetsVBGdtQLRAAAgAElEQVSHqJu4AThOfykGi+Kxiho0mHa1PRNGeL20dy3Kd/7FvzTv + Li09VbXifsxTvAWBaa0x1oL96v1rr73525/YWx4EKTUlZO8rYi2dtlZGeS1HD5fedQOAWmvLeAMQ + QmhTXgHE4IKSy6L9VCS4yf/yP/+vf/tv/yc5w4Fc4ICZAQZ5y7Nl13XbD1qo22qF1vPN2oPN86fD + 4e7uHoB94AkrPgxQaSd99TZHtPUlT9ifdxGTVb+p9UldDAG1Oqz2MVQv3npdbQLhRbQdh4mTZgE+ + 5kIImy+QiM7R3aeni6q1OWx53kE2ecmtN3WK227zP5sAtBoBOPUu2TG5+6bkSluT9vieWq9188pz + +f9l298hnbvWF930SDd7dXtme99uj1v0tYi0bPLt/Wc76/rbHhStrMb2g83S2l4aQtjspWbWnlwu + l3cvpCVz37EyREREREREREREREREREREREREREREREREdLGF0Gq1eZsktZk51SabYD1LhfOYthUr + DsBjClMvBQFYHlwe58FGBzRgzB40Lj27WXfMaXMG9VaZBJvgaihUoXCthiyAIgEBENcS+qPp7msm + CAl9P3quCtR2i7C24Sy0R6JQZbj1+TKvUC3LrJrgPeJO0TiHzYMOukq2jobguqpJcdzFi7ms6vut + Sma1cHMrSVCrt1lrZhbFpQ7ACCuwVXZ1rRWoBbntRA6skrFXNcQexWpYqtqm8t1RSGR7eh3OexIr + ERERERERERERERERERERERHRo4kjROlCcfXghjfemNaaoGZWqwFYD5+9d9RlCxqEq2MVBSaAiirg + ChMUoIimvT0EraJwbSM173x3wB/0mNpKNdjKDGijvQGIehBozrZYPOl6+BsfffrN6zsooiaCYsjj + GLWfm9cCBeoAMRlS5x/8HvyrP3KQUhXFKkHNgtv6UxhgCluPZdVbzzju8x4wSAFMXIPFYNrCZU3s + zeVR3d155i/85Ddj6Pb2x9EqEGabT4o78ms36aR3Po/gpQqwa/XJ167bxz+5o7XIYGKABIe4Psxs + RoMDqhrUxcwMjhDS3q7BxQBIy1AXyMkGcDsc7qoQWF0McDGrLhA3udceSKdLzF0UfYfZnqu4t0zr + ZutIb08eM8ZeHfXoMJTsLo4oEkTh7i6OrVDD7YXaBRgLLd7Cm1sSu7Rx7mIm1po4c7TcR4zj2yRT + vgVFaz3ueE8BAAFcguztIQbT8ODfpcnqHdUh7pCwDop80CPznicXbO0VggsxJURa+Gjbx/XWk95m + NrR28y0/qIi0E67LegrB1gl4s3XoMdGaRxMTr8NyqSFMd2YBoZRSSgnV9oea3rjxyj//3e7GG33G + JCFGuIuKVozVV9NlNGIMcr3T9/3kTwyXLh0KxL26mYp2aShDS8F2gQuqahWtitZYHau/tJrkszoK + ILBgAFDhc6lvdho+8Ozeh77Hnrw0uKfu2F+I++oWoLYc991eeP6TOLpZ5wcphajJK/qY6jC0KYU8 + XuhRkFIqpbh7rbWU0vf9ZDKB2HK5ALzv+1IKoIeH87/7d3/hF3/x761/b+v67m3PjuM4tijrcRz7 + vt9cXgEwszbDdhiGNr12HEc/PWbuXl8/Onj+K1/50Hc+G9o83nap46u+BOBwPW5/cvUNuLYJhLL1 + NeTs3SQCvlgsJpPJx178xss3b5qd4sd6tLSdJ+dca3X3+Xzu7m8Tt3nOq/vIsK19Yj6fl1I2IYhE + 9JBtzkru3jKDcaEbq9ZRd/fFYuHuy+XS37bdpvPVNs0m43kcx8lkwlMG0akYx9HMuq47OjpqR1mt + dTabbUpmtJNCazM3CdnHVWvdFN2YTCYi0pZfa51MJovFoj1uLzOz9lNVbSWHNoWHiIiIiIiIiIiI + iIiIiIiIiIiIiIiIiIiIiGhbjPHwcD5JUQwSFTX/pz/w4d2SgxdfV1F74CJh2krwrDOJFZCKur+/ + Xx21woEEdF23rPX1sfwPX/wKlgNi8BgrAAdKq+WjBgAeAF0X96HzJSHlnGOfWu1CSDhUHCYpqi6r + PUeBYIC3ml3HfYfb6ne97W+bwlALrADwEZ4RgpRaAtw2C/IHr61FRERERERERERERERERERERERE + jyhmmNGFIuYCP3zl1YlJB9Xq3zZoWh3REG31siotbrX9TEQAQQ0Ygu48/dSZHjItG3Ud5CwKBIc6 + tGUtQnOQElKMMZlfHkv61hsv/eYnnjmczzyLIwoS4GUMon0/tRhChAOwyZs17f5rP2xX94fOVcqZ + 3QwKV1FIMpmYT6v3ht58XzqNET/yofn7r15zsxCWhqPlsUeoVisVUMWkypU3lt/4xKdQlxYqgGBQ + C8FaENBx03BPztfhQxVeRRHC7pUr94gfPvFgXHcRuPvy8BACMxORtotuv8vdEeD04Ny9CtCldGlX + JGhFCPfakif65gW2vHkDVsUh4mhJ8hd91LZDtrOZsRXh3I4jdwcQRfOwOP4ubcBWA755U4EI1AHR + 6ZNXELXqA33R956REQK2osge3GPdP/P72j4i4pwe81hSh6zzobGeduUCuAZNJZuIoAqGmkQRw0zx + 9MHR0ad/Z/HiCzui0eEFYlBHEHXARRBRBTmFawHl6cvf82N/bj6Ni6TzqPOEZQImaRDkGEoIOYQc + Qg3SbqYSpN5/f0m0WqgWqoiJFg/FgonWICUFuNdu0vksPPvn/5Wv2FGJMg7HPsWLQIHOZKLRzHqE + G3/8RXzuD68ELYtDD+raoQLG44jOhd11A4AWaRlCmE6nqjqO43w+z2Od7uy4iJkBODg4+Pmf//lf + /uVfNsNtgdb3QVVVNcYIIOecUmrPt5S4FmUdYzQzM9v89MHlXFe9g1LQdQcHB6oKPfPzfIxYLEuY + TUMItVaYIcazftNz1JL23L1FbKaUWsT1ea/Xo26TUOjuXdflnFWVsbJE52Jz6LXM4JSSmV3gdmzz + eVtyat/3tdac83nFbNPbyzm3SF13NzN3Xy6X57oHEV0c7XoEQN/3ItIOtPl83p5sV0YhhNZsDsNw + 3OW3ZlZEWmp1W8gm4jqEkHOeTqcA3D2E4O7t0qnW6u4ppeVyGS/0pQQRERERERERERERERERERER + ERERERERERHRiTmwuzuzAhWgFsCxXHZm7UeyqSB3ooUrVvVVHDCsSipVsRZNfXDzphh2duICOACG + cYArdneQAvZ2qzkgQ65IQGrLWpVpECAw0vrRUFrtC1tXMIv63/zRZ5c7vTi6CgeKrEpyBCCccWWy + aPj3vvfPojhylQQJgHkUVchtO7Bw7yEiIiIiIiIiIiIiIiIiIiIiIrqYHuvYRLp43ApEDl+7NisS + q69G9OpmFOS9046DIax/YgITuMPdzdwAEZjqPIaddz/zEI6YNvBXHWKiDnWDWIDk6iapANlrZ+WZ + ko/+2Wfw0iuXRdQAgTi6iAittQ61zIcKqIV4JClcfeaDf/EvvDGNS8DOUnGrbtVL8eLVzCyjZJSo + ej0vsBue+6kff7XkOL00mU719mDm+2FAUEAQsu2XsvjqN2586Ys7tXTVAYUroOq3gnLPmotUuAlc + xQFTySrTJ6/YVlJvc3fU7v0QSHV3B8yHo0O4q9XVLioGmAtsPchX8PA++GNCRKoAUftnrhhUHEFg + p5SZro7FjZswg5gJDBBRAK4XNmF087lcIG3WhDsAdW2JKYCauHuN8OXh0Wm9b8uSdEOB7L7rGUSv + 8FPMn14Jwd03e8epL/4x8u0yrWXr2z397UjvCGJt5hVakj1Wt5Zx28dOJIyLwc36EBXejRk3Dr/6 + q//4KcWki6qoFciIrm4WEFRVglbBYalHXfyXfvD78fSTB7nmkMagxXXIlquIBKvw9c0qzOAVqMft + LlUzq24wW/9u8Vq8Ws5ZRMz8Ri7xX//ho3c/bftX5Pgz1ETgBnWP8CCacnmvxK/8+kd6gd88EIOk + fjnmlJK7K+SteshED5OItKi2nLOZtQjq3d3dcSh5rEHTyy+/+jf+xt/82Ec/YYYUjx07bWYtL7Pv + e1Vt79KiQ1uadYuIa/ltp/i5Ugq1upkhJZjNZrNaa87lFN/inlQ1Csb5IqUUQkA583c8Xy2SWURS + SqUUM2tN3Fs57/V9VKSUhmGw9RVOiy28wDG6RI+yUko7Q7V/5pwnk8kFjpkvpQAws81ndD+D63Q6 + PSGEttXaeRYAY26JTkUpRVVbVHwppfXEWpb85jW11naJdIJ20sy6rmuR1QBSWl1JhRCm02nrP7eX + tcui9hYtS7s9nkwm7B8SERERERERERERERERERERERERERERERER3c2BcSwAbByRM0Rg/kSY+DAC + MIE6giP4qkbK8VmrJ+ZA1VWJFQMM2EmzBMwCDg9LBvppitql6WwRIkqGQDVmq10Kq5ost6KIpRW4 + 29RqoXPUpncVc29TuLwixIVoK8+1IgaxCtQznoYbzS6bYjCUCsBLBUIZxk2d0tVuLMB6V+QeRERE + REREREREREREREREREREdJEwfoAuDoEpHO71+sFOgZhry/ldJ406ABjktug+cSis/bqLtReJoKVd + uUMURcMNkavvebfrmeZaW1u6OsTXY3/V1IGQrCBILF7Nx0s149riK7/+G88IoF4rNMAKVCBBc81e + NKRkQReG18rwzA9+L565fJQH9HuCMwzFiWIQuMAEVVAFRRFcgxn6eDPau37yJ17/3z5y7cVXn9BO + bNTV8NT7Xj4gKlZd3HrUeP3Nr3/0tz78Z77njRSPYsghAhbcgsMcDj3TmEYTQNoeYwJxFXNZqE2e + vGya4IMCLcnXdRUUpnK8IeaicIM7xGudz5GLSgdYe0vffqEfOyOcvr2gRRXBp1evmquuWpBVi9G4 + nHB4tTiW12/AoW7u1aS6oMLlVnapAbCtUd3v6NjyttMCMFkNSte74rtdYO4AxO3oxo1w7M9rm3dp + x9rmnwAMKJDZe6+OQbLqCQ6X7W19x7ao7prirdxuZ6z1A7hX2CS/T7rD5mRqWPU6ICqwPNYuTQtU + xPoYUWqty90y4o++UD7/B3viZVjGgKSoBhUx1KAh19HgLjqYT5955uk//5PwCHQhTsxsEjoHvCCG + JG6b2WKOYICvOo53di/fhgsUUWABJjAXF5eECCCL9/1kPMze7+Nq/M6f+qlX/qf/O2KygxyO06Ux + a5N/ipsofGLybpHf/xe/94EvffHqBz/4RnZ0qUKSBhQXdwhnCtFDtH2w+K1rq1rrdDotpQzDkFKK + MY7juFgsVHVnZ+9zn/vcz/zM3/rTb76uCjhyybg77v1t9+MQQgtja/HVAHZ3dw8PD1vE9XK5nM1m + y+UyxthykU8xqXEYhtlsAgCl5Jy7rkuqJS9Pa/n3VKuFAEXIOY/jiK5DzkPQSdef6fueoxijiOSc + V1M3T3UjXlS11r5f7RLz+Xw2m00mk/NdJaLHVghhc4aazWbz+Xy5XF7gpOd2ou+6brlctpZnO06V + HjUicnh4uLu7i3Wa9XQ6XSwW571eRBdBO6ZijO7eWsL2AEA7C/R9PwyDu/d939Kvj0VVx3FU1dbx + a+eaFk5fa00ptYa3/S1OVQG09hnAMAyqmlJqkdhEREREREREREREREREREREREREREREREREdIeu + iwKkSQcrWCxRrMvlUpyWuvB1abtWsuhkGcABMIfJKs0aAhHAMc9HvYgEZPcwlRuLDMMyT/77z/0+ + 9meIcXRPGgVwgURAzFc1WmRV144eAcWqCUKXaisGGgLmOYZplWV7RhxwVLVWmlDPsgRZNOwsC44G + XNmBQGIAEO+uPyCA3KqKRkRERERERERERERERERERERERBcGY37oQoliGJfl5o3OXMxa1qAZRAAB + 3E1ke1xmSyG9O2lYJZob4C2AtXq4mZK+9935bGOtscpKdoirwqpAHFVWuQIwiRIlxckw4Itfyl/4 + wrSWmqsEqKAYAIQAgURBDGkxLmoX956+8uSP/yhuHD0bLvniwM9yPGgbQr3OdtUqqGri1lcTcfiA + vvv+D3/49Rd/I2oQ6wTj8ZYv8OIGxKDBMBvmr3zm9/BvvTp937OHCVUAaDQDTF2rnHkMsIiYuQHa + RmsHWVZ56sqVqsFVxQQwbwnp6xHhJ1BbcubRAgc305VpS7Be39sm0u8dnXn8aFJHUcwDLr3vfddF + xcXtrhjr9eZAi6K/b8F9vH4DVoNXl2ACdyggco9w0YsRW95ahvbhxCGrtldFzE0AbWEtCof54s03 + 904US+9YtUS3NpUDAoHU1M3e++6bKdwVqH1yq4x5AWLcRI6pw4+ZYY/1Vn7nb+cHts60lhM3mvTY + 2D6W1SGucAO05hpjBMSW454GLf7Gr/3qu60my96aBAUMgiBwqLq5VcSkfQqXnr6Kp57GjZvfMZth + uSi1hhQhWnKOGqTNEls1ZFg1ZNI6P/ffZGkVCQ61ClhL9ZUSAfFO7eAwmKEs4Mvv+PCHv5z+nz71 + yPlY34wAUaXATVwcvej8xpuX96Zf/Scfef/3fd+N0YZqsZ+UWqIHtzPtGxLdL1ubTCYislgsQggp + 9bXWT33q0z/zN//jw8MFADNM+sly2I5zu6+jr9a6SbYWEXc/PDwEUEpx9xaoOZlMWsDbKcYhl2Kr + TGsAXWdmpZRhsQxn/JcYEQwF005zzn3foxSI9Bc30xrrKL6UUktFbdGAb/VixqY27XsYhqHv+9ls + VmttxwgRPXytyWqBo/P5XFVDCBe4sXL3FpI6mUxqrTnndhY+xVMwnSJ3393dbX/0aH2qxWIRYyyl + nPeqEb3jtQzpFmjdmv12oLVwa3ffXCKdINN6Y9Pr67quLRyAmbWLhWEY2iVS6xa214QQ+r7f/NYF + PiURERERERERERERERERERERERERERERERERnZg7IPBxqVEA/PXv+uCPTXYW85eTAICua5PcZ1WE + O7TiRQrUrRIrLnCx5KjuyxEhYiiOiP3u8rXq6BJCgAa4C7ApTubrNbhVX8TX1eI4c+j8RA0OXWSP + UVxMYNjbf33Ml1Vd4K6AKeAt2vyM6+kFtyc8QiJKRt/D4FZEzQW22W+4wxARERERERERERERERER + EREREV1czIqgi0PhSYAbby6v3wi5tGxY24ScShtZ68Ct0FMDIFCHoAUVIjgAtKAOcYhDTBw6TKd4 + 9tmiZzum0mS1Alvxgg7YaDV0yWpVhXYelodHH/vYew6HLpsBCep1PfKzeoB0Gq2WYm5j9uUBfv9z + 9Xc/W3f3HOVkQ5zvjxqCQ1uea3QLhgC4oLq5lBzqLGdce2UmI3x0jPfI731b7qhAUKnwEH3P7ekX + XvWPf2b67763qlVZfW8PceCrAiYiELNqNWhW0SeftJhMg7qrw+HucG3BusfkLoAoVFwWC7zxZnzf + 0wJzEQNczNC+cZjAz3DLPqbMzASLpHvve9e1kODBa9Hbk3Y3adNyzO8/OOqb1zFmsSoiUBETN4jc + Fi7qspocoP7ODx11tXXsq+JWInvLTWmHx2p4fS3jzRvhRHt02yJh/ZaAwCEuhuCxC1evLlOoIjB/ + wGH6t3YEkQpH0PrW0Yn09mQdEiyOe24ak802Xf+KiGvbXVYpwyZM5n0MWWs61KEuwSEaAdRaNYSl + jTsBV6rgT778p7/7u98boGYhogBmULTpOwqrUSCOBExccbjER37Dy1C6ONYiIhARCV6tmkdRWTVX + cLFbwfbHaaJNYBIBqBeItQk7wdShQxeXR/NnJjs+zGVqGIZn7Gaq89bMHIe6aNECIJrASjC/Avva + P/vM+//K1ybf8f5DUYe2g0ZVK7sQdF5ax8AVQNd1ZrbM4063U6ojxJ39/Rs3bvyj/+tX/87f+S/M + 4EAMEcByGFPsx9Li3o+x97ZsNgAhhHEc3b3WKiKlFBFpP2oBb5tXPrgYFcDNmzf3UwCgqqraz6Zl + XJzK8t+KGaaTOCzzzv4ld0eMUB3z2KfuTN/3vJiZqrYswFprSqnFM5/WdryoVFfB5+5eSokxhhAY + U0p0LswspTQMg6rGGHPOOed2YJ73qp2JduY1sxZl3ZrrFuB63qtG9yAiLXl3E7sLgCcLolPRdav+ + uaq2A21zMZJzTimp6sHBQd/3McbWxT0WM9vZ2Tk6OgKQUhrHcfOjEEKtdRiGzZq4+3w+b+2zqoqI + mbW+4il8VCIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqILx8xDEO0D8gDzp1M3vHH4BFKWDCCuSpTY + rdo4DzxTp1XpEVG49YALDgZMd2ffPLw5v3QZZUTadagCuq6GkmvRcHthNk4YejTYmDX1fRAI4I6k + GMb/8Wt/8l+97zkgj6IAkltYxZLrWRYPRDTg5k3kgoAKC1HLYCltlVxsJXLEuPsQERERERERERER + ERERERERERFdSBczG4MeT+Loa8XNm+XwCCgqwb26COTOuvvi9x5YK95iipG9qmgbUClAlTDMZrj6 + dNazDs00F7iorfIRV6NIDXXSTReHixDKZMjhtWtffP7jH6o5ujoSgFLHqCIiXl3gtQ6ovhuASXzz + aP7KP/o/i85yNdECObPQEY/wWEVcIPCuIpoBcEGBF6k1em+2Px93xM0sBuCYQQyrca0p5iErygR4 + 5ki++tGPf+Df+SupVgvmUKzHcOOURnK/3fq4wzWIw1Hc3WGxw/6lMYYSQjJzf6Bv291FAEGEdOOA + mzdCrQJ3uG/Cj9e7inC4+GlzM4jmFNOVK0VCFTVHUlhdJdA7Th6irm5yeIgxq+d2pLus5iCcIAD9 + HaGFv7qIOMTvPDZtnU0cvKAWWSyPGXu/lf8thq12Xh0OLSGNfY8nLuXUwVzNISf8ntUhftumdwGC + usBlNfcj4HhHpDj8rvZK7/qWHgfuvh2W4297kMlJNyK90/kqWXr1TwGCq7qV6iGFqKZBhpL7KPHa + wYsf/8SlWmU5CGCKqoBAtYOJocCqKuBALZLt+gsvvPmVL0e1mMJYS9d1wzBE0ajBcgmq4pt1MG/B + 6qLix9gVHWothF1M3VpfRVyrYozJS12M6Du9lq/vJX3GtFhNx9vT1YFaq1nLsdVlrtMJDo+WO698 + C7/z2d33PXsdthiWs8m0DjmFAGesNZ2/FuEWQmhp05PJ5I033viH//v/8Qu/8Eu1QiApxlxy0BBD + zKtM62Nomcfubma11k2Ib621hWg2LdrtFLOQ3SGC/f19LI5g5u6qOh4c6hn/JUYVVkoSmNlyuWxP + pZTO9l3Pj6q6e+sYpJTaVt5kBNJbaTG6AGStHRrnvV5EjyMRyTkDaEnP7ZroomZaN63dbufiFqHa + TsTnvV50D+7ezqqqCiDn3E4Z571eRBdBu0IRkRYjDaA1iSKSUmpNZd/3WB96x/1TmIgcHR1tH7Pt + kkdVa63t+daXbqehrutijDnnTYx9u2465Y9NRERERERERERERERERERERERERERERERE9M4nQAjr + EnUCjGWyHNVqvb3okJ9GFvGm2FEr3FRhBQiACibAMIzdlSd/6ff/P+ztVWgZS9dFGETh7jFoWwFt + y3C9VRiJlXvOkaumBPdW6NDdRRV7e7h5VFQN6hDIWQZZ307dn+wn//mf/b7/+pWvQzDU0vVdyfOY + 0l0FoEyh4O5DRERERERERERERERERERERER0sZy8HPm6zLqv0oMYZEDnSkSi+7SUV7/4xWnSGMKy + jiqqeivU2sWA1YDaliGKVQSyq68GcLZka4lxLGWaYBkGLxL3n30W02mRs461bisBU4StYyqEcDg/ + nM1mYb584vDo6x//5BNepS4FnSBkKxa0uIl7G+6pLW/XYctyCbDl0twBgdTTGOT8VjKgVeBiEIsV + m2juEgBAMoJ7BwsA5CQrIgAEOeegcEcCdlFffvGF65/9F/s//ucOPQ0iqmpwgzv8TMNw1GFQWUUR + I2kArDoAfeq55w5e/b199wk0o6QoVl2DHvczS5BSPSmQ6ywJXn5lopBaoNHR9lh1UXOom8kq1pdO + j0HD4BquXg17+/M3rk8E7rgzrtiPvWUBJLN9UXz1y5P3XA2KsYx931txVGvjtdtRvIlmv0ix5atm + 1gExFxNRN8QuLsbBvHSqMMxf+1Y48QfeSrpVh4rMax36+N7v/RBEl1Yn2nmpfqKey90508WtJW+1 + DEV3hKC12nGPxhZ7qRrcHaIiUmsJIbx9rvNF0vLCvdo6pMeLe4xSiztg7iIwN9VgZgC6rpujZaXf + eXg8hnHgj5vNdCm0CVdAMAggIRh8krqbRzd3r8z82jXcPPrGx377u8uo2uZltZ0lVEfbfQBvR587 + eoXNh6sCQZUxA8CyAFCvwNiOxLZ3mbQO5KozGUzE7/egd2knDkBs6/ShVVClAugqJNtMktdavIQE + qzhmkxgCrJcKg1udAD5gN+CZ5fjl3/yt7/o3/1LKS4NJLWUV6HushRM9kFJK3/e11uVyube3NwyD + m6eUQtcvFou+n+acg6b50fIX/8u/9w/+wT9sv+WQXCqg1dzxbTJ3U0otkq3v+2EYtp8B0PLbVotd + x2e2wLZNjNwpft7bUudUW3h2Uqln3LNrx3VQ3eQWw10udJ9iO+FPVZlpfT9aOmnT0gqPG5RIRKdl + OyG4lPIOzQxu6ywiZtZCUkVkk4e6+j8IQPvppsFpZ97tFokeNW2H3GyyFrV7vqtEdGGIyOYCZNVv + 32oSt/tm7fHmYEwplVK2D0ZVbVnU7cl2EdQet/t2TdSuhtrf1trz7fHG5i3axcsm07rW2lZ1HMfW + 2d48Q0RERERERERERERERERERERERERERERERPQ4W9TlFBVjuWQu4hbEXaVVJQPqukTJcfl6Ea1Y + Uyt40qYeFaAAISKPmIWUEf90ucSsQwgA+hRX5UwMIuK4vSQKJ5Q/IlY7xiqyPKgCFQjQrkDhQdTN + zASacDSiT6rVzq6qkgDIy64PQHVYCLECUPW32GMezf2oTX8rpYQQNtOc29TmNpNOVYdhaGVPOD+O + iIiIiIiIiMDKaSkAACAASURBVIiIiIiIiIiIiIho28ljrYkeKe4ezSa5vvzyN7WMblUgLuIqlhG+ + XTaKyTpmdbU0COAGAQJSUb30nc8hxHqmGStiLR23KuCAQ10BU6CaTSfd0fz6e9z6m4sXPvrJ714M + SVC9uKCqbT6CqamjDVQVR3AEwGGGEYC6Q6xFep/+PVRQHTA1rANKAbigGgCE9TMPOCK1DdFuS+u8 + 7o7jCx97/gf+5R8+kNw/ecWKLUuJs4lVwOsDvdN9WA3zFWuDwB0BQffe8+5xf8cPFz7WJILqAUA1 + Oe6Y4FWwBLoQZrWWl1+J7sFu5Wje4SIlHz8KRMQEJgqN/dNP2euv1WVp0T26GfHvihPt0WrYqRXf + uqZ5ACYt9kkMkADclpNtctw000fZrY+22V1bVkqLXRGHumG5DItlOHZWOLBKy976JxxQC3IYwzPv + e0/pesQEg6qeOE6yzfSAw7A6Eh1A0Ap/kCDA1a+6mxlqFV/F1ZwkNf2drIXrSItDAwCIQLZzONd5 + PCJi99qI7axEF9j2EaGOKlBftZOiMpShkzRL0eaHl83GT/zTywdHfbXN68W1HcQG3DG5RGDTOho0 + eIXcNpPn7jO4C0y2373eZ39p67D29sAFJtXXzwdrk9O8qpUHmP8SfNWfbKJhfyjXvvGNxef/4NIP + /9BiNjksY99Pl+MQI0ME6eFJKbVg6f39/aOjo5RS6vrFYpGXQ9/3LdN6GIaf/dmfff75T51s+Tnn + GGMpZRiGyWSyXC5zziGENqlsPp+3yWabeDYiIiJ6QLVWd28TvAGoas655bPGGGutLce6zf1mgjUR + 0YNY/RF13d4CaC1wa2NLKQC6rhvH8QQLb9dNItL3fUvIbhdTLX57GAYA7cItpcSaHURERERERERE + RERERERERERERERERERERPSYM4MqJiFiWP789//Au+ajqo8lJ1Ws66SdLNN68w4AWtxx0wofeQri + dSiIAjEZNZRLu+g6tCmcm2Ij0u62yxe1skl4gCJJdMpsnVYOCKBQWabuKJWYF5f6iflyMWI6ibW0 + gPKzqkQlbkF9GhRWvZYSxMxm4Z51OfTR3H1yzl3X1VpbOZHNA1VtcddmVmvt+x4A58cRERERERER + ERERERERERERERHdgTESdHGk4hjy9S99VWs2r6FlWbu0zEBrSc/tpbciaSGAi7ncPljTXIFaIQKI + jJD3fej7FhrKGSevtNDEKijBqsAE6hpMg5t4nnSymwd88nf2vvxyPwwWa455jNm1QiysMx1NYLIO + MXR4W6B6Ua9qLRH5TO7VHAKImm4yrQGIa7AQLIgnIADa1upUgnpdsJPr8tOfxZdeuBR99GULHJZi + 8KpnHAZ85/JdTbRI3H/u2cMo2VFQEaQ6VFT8+DuPQ4Dq6DRMrV772tdgiHAF1hvZ4HKiVGX69lYR + xa5Qvfxd719O+iItbPcUBLdZtvrCS8GqYpXr7O66tTXfKr/8HevWISBugLXWo5iJBDNEUXHEWnH9 + IMyXwY87ht4EUIeartsfA2BuReObSfoPfmDUAGit1Y+feW/3miKyaQOxfXbwEyWdA+IwN3dHrS2f + xk9rh3vk6foMOI7jbZ966/EmNbxN19EY2ivbWY8eW9tnQQkKQLxOgeliGQ4Ovv5bH798NKRqq56H + azCJddUKbXdGWnsbvCar65Zkc7vXG7tiFUG97nrd372LARWogK3WvrX2YoIavApqi7oOjlSR6l39 + jbflgImZePtEvj6VKNDD8a3XX37++b3FYlqKrB3/Wyc6OVU9ODhw98Vi0aWJSjyYLyY7u12apNiL + xhdfeumv/rW/9snf/pQBGsQBh25S2tvlztbtTi1frSW6ASiltJ287e0t2q1lv+WcH+YHJyIiusBC + CDHGds5tiartbGtmAFpCKoAYo7u3FxAR0YOotbq7u7c/KbcLn1JKK6XRLnZSSidYbPuDZK0VQAih + 6zpVbQuMMbaCHa2Rb68hIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiepw5XFDhNsvlUtC+T63MmLYa + a9tVcU5Qf+wubRFDrrlidy9lR3bJGv67z30OXVjN/NnYrsniCmgrmWanVPyNHohDTIOjTQMr0AoF + FBp/6U/+4CXNYTIdhuV8xFSgxbzUsy4YWutQyxLjmDQkhKChANld2o633pcEOLUCgqcqpZRzDiEs + l0sAmwcAuq4bhkFVQwjDMGA9IZqIiIiIiIiIiIiIiIiIiIiIiIg2GGtNF0c0w8358hsvdVYMaPX3 + 9fbI3624ZXUoXFuytWBrDK6rOmKLT4sYRJYx7Hzg/csu1TNN/lsPO3ZZhU9jnacYQrDlYtdzWB59 + 7dc+8qzHCSSvk7rFEXx1MG8O6RZ6fFsmrtjqA57ZfVU3cYiJ34pR3ITzbkaiOnQTAHkCbXy0rT/d + tJanrt0Yf+v53T7l5aGIdF1XS1F7CONeFQDEsB5B7tAcJLz/O77ldWwplA+QSusOFbhDrE6K33jp + FdQaIeLeduO2udv3Cfid6ez0YFwACTAxhMvf+z3fQqlRWvIobvuqT7IfB0c35hsvfRPuIg64uyvC + Jtz31moAaG3XyT/Ko0L8Hp/ORVXVzBSi8GjAt95IQw4nOXRW2+X2tkWWiqO9KZ77jlGCIJr76ca4 + GhwpGhxtez1YFrWIoFasz2KnsoaPuO3I3nEcFasPLnd9l7L+kbtLStjKGr9YGfD0dnRrmpb4av8x + sSrIllMKgIVx+a7q+fc/P3zt6/slx1XboLLq/5nCFHW1fzlcbqXjthlhcnv/8Y5VaM+oqfqq39h+ + 877uV+HWcL1zv111HMTaCb118ILd2XLev83yHRCDWn1SceMzv4MXX0zLRRIZhqHrOl4S0sNUSplO + py38soVM7+/vHx0dpZTm8/kf/uEf/fRP//TnP/+FWpBiqPXuvf/bd3db4lqbQlZKafMuSylmZmYh + hBbVFmNsWZtERET0gFquaimllNLOsCGEcRxVdRzHruuwPkG3U/B5ry8R0TtVS5Ju5TMAzOdzdw8h + 9H2//VdEd++6rjW8xxVCEJGcc/uDLQAR2dnZ2ZTzaO/o7neUuCEiIiIiIiIiIiIiIiIiIiIiIiIi + IiIiIiJ6rDgAhbrBDEfzHRHJw43Dg9lkKqtaKKv6COqt2snJ2Ka6jrgKVIAURBXXD3I/SSXGeQhQ + QYzYroSl65UE4Lq63U/JBnpoZHW33motEV0Rou9dsqQC7CcdHZM06eSM53OJTfrOxiVEUE28KLTW + GiTcquL4aNd3yjmnlAD0fV9rrbVOJhOs6420WXjtAQDOdyYiIiIiIiIiIiIiIiIiIiIiIroDy47T + xZHM8dKr/bXr3SpctKpbMIT1C7YyrbGp8d8CETc/2uT/tRhmi3I9Vn/mCTx1eRmjnfXIzlurtB79 + 6wGu7t6JzQ4O8IUvL778lcnRUQpqjmjoC6IhbD6ar+9cDavBxME0ukVDMKhDzuYeLVRSDQJfJ0au + c8XNpeaQc6guBjE/aaY1sMp3dEEVAOhrvZrL1z/+Cbz6yo7Dq8XQqSOcfcip3eOhZIl47tnDaShd + 1JjMXAA3cxw7lNIBVRhgtXal+s2buHmQfJXnuQ6CZTN+Vqq7iLhLVsV3PXst2hhDS2TfEIgAJ9if + g3s35Plr34K3SHQoJIiswkJ81Q604wWuF2BDa5uKAWAV3W22ySTWdYyxI5rhlVenLnqipMnVdtg6 + 3lTDGBDe9wyuXi5AQFCNCHpqedEtITtFB1wftNURiIggFxE53eztd4o8jtufve0F7hCRzRlcBCKC + Lj0Wod90L61NXB8h5kBRVEVrSaqNfS5Y2lf/ycf2F4u+5uCOdRi2wAGHVGx1SGzTkt922G3/Wzc3 + bynRbU+EqEvrTN5vf2k996wK6vr0Ye0mMEHWW88fv+8AWc9ns+2OigdHMPd9wc6LL/gnPjkRkVoc + 1R7HlobOmapahUpUjSLh9deu7e1eGsf86U9/5j/46f/w61/70xg0xphLDalNA7Ot27dfeDuPtDA2 + Va21uru711rbT9uP2k/P+sMSERE9DtrpdTqdzmYzEUkpicje3t7mHkBKqZ2O7UQX+0REhHXm9CbE + ejKZ1FoXi8UwDO0F7aqn67pxHEMIb7uwe2iVONrC26+3+1pr13Ui0qp4NI/nny6JiIiIiIiIiIiI + iIiIiIiIiIiIiIiIiIiINgyAOcaKkKIXuD05mRzNF62QQatk0gqkiB+7uIE4FKatDp6sngEAqFTf + nXQAbizzso83o2DSG2y7SooLqtiqzIkD7dGqPF1L3OZ8z/Pkt8rOWHBEIKxKZgnQpziZz+ceMHcb + gYPF/CFsrTEPe5OE6jicB9cA9CGVPEqrGrYuB4W2pz1608tSSmZmZm3i82aGXYxxMxtOVd29lHJ+ + q0lERERERERERERERERERERERPSIYowTXRxdBf7wC7uL0rspoC3911zv2s9biqytBxqKr0ZItoGe + VQGoiChQxI8Snv7Qd2E6GWOqZzySskUYBvfYgjxlldtYvfSiO65f/scf2VkOPbyOWRXBVjex9ejh + 1SdqH1naZxRYWEdft6HJZ3G/Coldf0V1HTt9Dw/wNW5iTTeDpKNhx2p95eU3/9/ffU/skXMppQU8 + nPxt7osCsBaPKW2MtsLVRLE36991ZVD3INmhusq/PMmbiIjACoKbljJ+85tq1hLLBXbr26DTZgIX + VLgYIAlPXwnPXC66zh/dyrE+2UYIhmh1ePM6FnN1BIhIACCmeuaB7Odl3RRv7bi+aTlE3F1E4LZ8 + 8aWJeXiA3btFw7qsZmWMIlc++BxmkyLi1dzdTrjd2nwP3HHoVXfE2BJfALifZBO25GYAIlJzblGz + 28t5TI73cRw3rbdsHV+bj+/w1Qu6jvE5jy1xiOsmqRpiVVDUNYrXDKu7Kvjqi9f++ecuoSYUXc2q + wiY8erMoExgUrlj3kSBwMV/1M/z2PF1zMUj79dVOKetVOtY9Ngm9DvgqMfu2tZLV/LTjNyitbwJg + k42thmiIAGLOV61+5eOfwNFRyGU6neZiTLamh0lVF4uFuw/DMJvNhmG4fPnycrn8lV/5lZ/7uZ+7 + ceMGgFKstfAniJ02szajrNYaQmhTztqMMlVtD9r9OI6n/NmIiIgeV7VWAKWUUkqMsZ2Ox3FU1XEc + 2yTwWqu7hxBOcH4nIqKNnHOtVURKKS27OqXk7pu/TJpZzrk9OO7CSyntWiyEkHPuuq7Wul2zQ0Ta + 1RbW6ddEREREREREREREREREREREREREREREREREj7XqKPIfffefsXEYUKXaboiyrnPiAnHorYJF + x5ti2Wb1rEtd6ea5ChwejdNOuml6bXH0337hjxGihe5WJRSxDCtAbc/4rXJta5tSKnRufLUBDLC2 + gVZFaaq9fjTvJhMziGAykRRiPOP5uepIAXkx/1vf/2GECYpbzgLtUxc2L3q0C9TUWltqNbbqirR5 + du7e5uW1+xhjmyVHREREREREREREREREREREREREG4yRoHekeyZZitkLn/38pWKhImgLHxV/i+xS + F7kjJrBlXRdFFVR4ixMeHMMk/f/s3VuQLdlZJ/b///tWZu5dVeecvqqFbgh0QTdCSAgJEGhgsMxY + YIYJ4wjwRHjG2A6H4cnhwddnhx3zwts8DA845tVhz5gZc9OFBjWBRlwkIUBqSailbt36em5VtXdm + ru/7/LD23qdOS0Jd1X36XPr7xdHuXbuqcufOXLnWytJa6/+KH/yBschMOm/sJeMQAMVRHIzNmN8g + XCjjhC999ev//s8XbmXoGCihCAEU0IA6WtixiIvsomIRLXwxZBeLKDfoH0M6k85AhwOVqNzmNUIY + 2pl2ptwmR545opWABNRFXTcB3rQDiUc+8hCevNrVmAMuNLwouQohTm/50gEQcAJir3rTG1dR53YO + CaF+h+18KwTcog0nFjjCH3vkS7SQ64/eLlo7vcCE7q4UCLG/ePn3vX4k/frx+S2K1Hhd0PVzQWCA + rK5eOb540XwmSQ94fHPldsfEg7QpFrxWO107Yh5ssdZFAMdTX/taF5RTfvKT4a8nn1v4XPjKt74J + hS4aBkOcIdblmz/OdV6gcf+BcPdxHNvA9115kOt+5s4pFd+s5e6cDOMBsLssdsnfJNF1GWv90kRA + QnZVBAEHqqAqSEZ46USqXfq9Pzx/+bjz6gwXdwL0aHHxBAG0qhsiQQlV11ZHtQ6hiwdbiDVAtBDt + kF2KdZuatZu2ddouE7CtqZxgQL31WSUg6qItgpswOXX7cuIonaypFFACGjgXfvzVRw//7BN71UWk + yp1cpaRbkNVYLvZVdRiG9XrdktJ+/dd//df+x//leDW7tyhrmWdDyDxWbC/b5z69rTUlLTJzmqZN + qwGQbN8qpbT3bV+mlFJK6XlS1dbgRkSb5t0a33brXWuVrd0PpJRSOgN377pOVSOilFJKAVBrbX9I + rLW2v6ft7oBOu/12r7QLrp6maX9/v9ba6vPFYkGy67q+73f3WSmllFJKKaWUUkoppZRSSimllFJK + KaWUUkoppZRSSim9ZAUAVaznpbHTcm7ofJ5oVQAnnAC2mdbYJVufQltoIQjbraoEALir3+uAsHBR + XNhHJwBsm4INurXFWHbJ1XHtl9uKJnf6Cka3Aba1YLBZt26zJI4BAewP04W942ndC8YZBTpaDbvh + GeQe0IiFEVPFZF3pbJzCHQFuSsxmH27N0qOq4ziqqruXUkieP3++TYLuum65XJLc399vsdaqZ1kS + MKWUUkoppZRSSimllFJKKaWUUkoppZTuYBlrnW51Tjjd2YYzyi7RjCRDCIBOWOeGWi9+8W/3zGmb + CEwhWnDqZmjviYGQ21hlArILQA0AkCAdFsIgjgNH+/t485tnUX8xBlKKhGi4wAAPwigIWUIPVqsr + H3nw/iuHS9g0Hi663s1OxLsFANDB3XhmIsrmB16sfAGeOMgnorU3IZDX0uhiG7wdsquF2lkIbjId + TyY4ttdNrn3ZAinbZwugoi6iHn3iU/jCI/s2i3q4ixRsh3c7nxWHdy2K+8wf1rkJvNQQdW0fJOij + MhaLe978Ni+dBIoCjgBsE3t5OhYQKSIIcfVx9ZWvH4xWwgFKQNqBCXeKo8Wcv4ScPKfb7E9pr2tc + l364qSvQgkhPbCGE3+b6kIAGYU7qqIquv/D6N6yllcxrhRZotdMZjryrIFbHfvFwGL13IszCoWES + JptdZWymFvgtOZL7VHYXNYPbWtdBhxMeUDgsqIgYn356MY8SZxlJH6CTCPFNqfAqqP2wfO33Hg0D + uwI6yThT7IrAAfimjhLQBb7Jp9UySXkhzlGEz5hmqS7hLZJzc2lvqtcbPsHgRbKJCHYArYkPClx0 + mgerDMc2fSeEJE1aK+CBABCiKF3VAlwLSndsW/32VbrTBREtXpqbPGqz6AwXgrh05TMf+uAru67M + tkuxN4oRdn1KNAObLgHAkOvmzXxTC7GZrMVnvXDGwsYTsdMM2aZQ+64HtZsU5tCAPqut2bZH178I + CYiRxm1zte0XAnBQFDHhbo9HPvTg+dVkV6+Kfou84F3fadueOk+8ntLzQXKyGhGraRaRvYPzv/qr + v/ov/sVvCDdB1C2GDQDlLD3bNn/M3d19vV63vDdVnaYJwHK5HMcRQNd18zx3XffCfbJvI05MyOPk + sgnSZpBBtJoHAGDi9tLqy6eUUrqjtBa8TeEm2VJR2ysREREt9BpAC2FNKaV0Bru61MzcXUSmaWor + aETE7paq1tqW3jjt9tsvRkS7VyJ5dHSkqiIiIuv1um3c3UnKmW7ZUkoppZRSSimllFJKKaWUUkop + pZRSSimllFJKKaWUUrqjrEZQ9og6j3OtbcpNm9hTHOLANg/Y4cGzLFHCgAS4WYspABxOxwNIw3qe + rpQOfYdyLaP3xLop20W35NoiKgTYvo5cQOSWcSJ3HARgv/npT9rQm+NC4bSu57rhRVgv1A196Qsr + YCgFAS2FLOC1QhWbGO4XbVHDU3D3YRgAmFl7ZbfAiLu32XPtFZK7n0kppZRSSimllFJKKaWUUkop + pZRSSiml1Jx9mBpJnikVMqXnzilBuIRLtBBidZGAR40IOqNGP7BwWhwf1ocfLlcuLurYAWGIaJEq + DjjjujRbBiRCAkQJaMs4bEnJ4kov0ck6ZhROZaGveCPu/+5JhIwbHJMpCiXgMRkn7bT6HF0H84PD + uVvVb3zo9+49utJ77Uq/mqdeARglghZ0oW+uZ7Ys0EHRawxCkJAW/CncxE3fwH+AQIEO6ABFC7j2 + E/+CEiQIDRRrMY2CGXCFixrgpBOxHQztgllQuQkabpGKbYh2QJysgr5Orxvnp//1vzmIlWJc6FDX + LSfVq7iLS3gxqIOx2Q+GSGySrU9Epz93bjqH1GKlr51ECSI4rzt8ZTh//gd/1HTQ2d0Q0CmMoqeN + vHWgK2oW5gjBgsfP/PlfyIi9Fu7qTprgsJRanZVDC3V+iSCg7sVdAk5UkSpSKU5Rl2JSrMWCbuoN + dQHdGdsTvSkA7d82rdNPBnkOTg1UxGXhU8vlfT/07qnvqwGh4opNanIEwYBs042fO/e6X3Dl4Ude + tiqL2egRYrXDpF6lBl3Di0NdHFLl9k4Sdba6GBoiscmqJ1wdXeiidKOtqloVYvbx8W8czHPnpzug + ra5D6eaQ8F6jHx1z4VR48KpX4NVvvITFxEqpkIjTT6vYXV1BzKIzFQBhGgY6zp+bh95kk7B8pg6S + eAQEKj5durgHFI+oRhcJ2VRPNGxK6W1/sbfB/SJChkUNkrHArP700wc2iQdL2SSbkkZUyoRwBInR + vT+3j65focVae0sc3zZCZ48ZTreFABxiFBM3cdA0qjqKy4KLxYTzFw+v/NGDF44v90fPdK034QA9 + ZJi1XwW8h8WmT2Thor0DQWOvwIDoNVR3U2gISOtbQYHN69wmSm/+nbqzpEAByqanBEiQUIYQrZuk + rdcGkCVkf+bSEBSwYAa4kHUgwGj7144MYcJZtLI3bsICnQhxkzlo2vWrGbrQ5TiPf/pn+OIjy+lI + 6RpOD0SADnqNOjOooo7iKO4aUdwJd+J2b4/SjUXf/dNCSgTMo5rPWlht0sIQBnG4Wt91990XL1/9 + pX/8j//tv/udANzhDkB2bXT4tQma10XOb4nILhdz9+RkhJuq7lrkvu/bkzYJDcCLkWkNeAUCvnaE + AVdDVmUo1aNVBm3nWh/SBFU8r68za6F9u1j0Fm1+U/foNjDPM4A2A3P3SsYTvuBEpB1qAC0GEtuD + f1uLiBZpCcDMSim7NM10NiLSjie3bvYenUWbwt1q4/bYyvzu49ymnyullG4RuwailNKa3XanExG7 + CtbdzWzXwTtZ8bYAbADtsW2hNUDtFWxr8tZXORmh/c296/am7caqdQywrfZ3ewKg1nqyRUgppZRS + SimllFJKKaWUUkoppZRSSimllFJKKaWUUkq3MpLuHifsvmxPbvYO3nqGDuPxopO+EBEAAzRCQgaX + pQsgBswCO/00XIcEoIHSVl+gO2qgdqVfI/aJXsszOqDfA7BZcwFCiEA6yACUtigJ0ZZ5a4nW0h4o + L0JScvp2AjC4wYGCkM2iaNwuRlNk1fUjWRzSJnnJjZ6iKwhZDAdH82WUNegwgOLhBjhAOIC2dh/g + 33IZkJurzZiLiN1yIm163W66XJs9R7ItS3Lz9jSllFJKKaWUUkoppZRSSimllFJKKaWUbkXlO/9I + SjePugR9Kpv17iVajLEDKKW4haoeHh/dI3Ff9b/944f256m4PZctE74dU7sdWRsQIAJGkIRinKLu + 773qR34EiwH9QDfBDR7Z6WFufadgzPMo6APoiAuzHT/4R/1TTx9MUHEjegAgEbaJh4G0vOcOT1cc + LvdHHcQLWCFwGqKTuBZ7HbfAI4BlWfDq1ZeZ6Dx2Pec5uqIIelSEgL473sH2PyBkk1dKoA1zD5mq + DYV65fiJT//VvV/7+t5imLXXvgvM7Xc94ATpJwZSt01HEJucY8bph8k6QyRaBLcBAH0iarfAuQt3 + vfKVfvlIbPaAhJwhuYdEdROqCkCU2S5cOcZXHy8Hrw3tRNzrqELC3KXrOlR7qYW5Blq4pgRb2QBa + NCB9m4DuoEgABCMEDkjLCxSIEbssaqdvAqqjxZ8j6ALWgmC3Lkvce//wwAN2ZeUTS3i0zHW4hEiQ + CEBOdfxJdOZP/83nXjFaN6h0nAC3KUSJTWks3sKzESd29XZ1IjneiUA7KYB5iCgJuFTzK1dxfNx5 + 5ekvSHO418JOqKAVxZpxsfBV73wHun6S4mYhrS4IkPLc32G382xFqKWYtwkfBhIqWC68XeiBM03C + aRG6IbBYrYrZpM+a+HGtBrvdywIAQEgFADolHCEQsMThcTeHAgjD7qBEmCLqJkw3BGVvAYoBGiLY + NBabSzsA+q5CSHe6CDoDGmBgnsaXqWI1Pvy7H3yFzX2E1egEEQiRyc3pXQcPOFCFh0X1rru/dLT2 + spC+X68mlsVyuW9+3ArUrdBfCpTJioZfKEWuXrpXMBRMa98bujoCaEHC2FVoAQEYz5455gCm2XsQ + kw3Ey47WF/+/37737f/dpfWqcOkUF+cmSJsSu7gpB4KB4K77ltJzslqtlsulmS0Wi2ma3H0YhnGe + Adk72O/K8KlPffqf/bNf++xnP1triMgZAs5aspqqtsjYg4ODw8NDAAcHBxcvXmxzYkspZnYTp5OJ + AgHpBWtH2F3CK09fvntYxrzGrkHfXlt5jZ2Zu4vILi1PRDJb97nouq5NIC+lTNPU972IZNzgC66V + SQDtINdadwGQt7UWq1lrLaUAqLUOwzCO483er9tV3/fTNJEspbT4T1XdFZ6UUkrp73Yy03rXGY6I + Wuv+/v48zyRFpGVdt7am3Sthmzbd7t3W6/Vp37rdlM3zLCLjOLaOwTzP7U6t9X8AjOM4DMML94lT + SimlsAqrKQAAIABJREFUlFJKKaWUUkoppZRSSimllFJKKaWUUkoppZRSuvncgACkDPOss4mjIghp + K54JBGgrnCDEgW1O9XMThGGz5BSxWVmlrW81W+1F3H0y+1d/8xmUAoFPa+0X7XdbjPU1/OanOYP1 + pvNnLzHB7SJXQwerq9EiRBR7bhN5bPOSN3LicYigHB+vFxcIVtSKRZkm6xdqwHZdNQ8Ubr70LEUp + pZRSSimllFJKKaWUUkoppZRSSimldCfJWOt06yJQHEHUgBMaDmIUdZY+POaJpQ/SJl04cPXK0x// + i1c/t0zrE7wN2EULNd6E4DrdUVGgs5aX/egPXh58Di9O6A0NE3WS7u4QoWh1VbGopc5YH332wQfv + Xc9FgXA377vicxUqI4Qa4ZOZl8Uzpufe+0MP/MLPXt4b1AVwE2u5v+pUl93Q5Jv+qGF2+KT99Wcv + /l+/9/IK2KwRrGbhggJYy0+MlugYQGwiHq8/fQSxLLJe+wCtT138yoMPveo13/2NLmZa79JbSz72 + KptNYTMYNnD9wN5TBNxis5HiIkFjgA444bZ53VGGl7/trY9/4QsXTPppFpDup8o8DgIqXl3VOwKG + MmK4fAUPf8a+79UjfY+l+lhKF0G6FYTR74y02+cigHpilHUxtPMp4S5wuAmMMG6uawl04XRYi6Mm + qmxOh/qzR96rC4EaYQp3NwUtcO7CPW/4vstf+kqpc++2y/d04mxJiSS64JMPfx7rVTnfOYSqcC8t + SoRCooSIYxa/3U/s9vpywE1aSioYIhAII2wBjdkWPl9+7Csxjvzmy/07aaeTqILiPjmsKCbgqcXw + 2h/54ZmuDDrMw4XGU1/yJ33LiPFhsXf2LbbNgh5BYHX16vm4wwMFW05bhANCBgIRBsb6+BAVBBAB + bOJ5YeD2oJNw4fL8+ZZ3DWYG6EsUY9NzaxHm6gRce/LqMf76s6svPFrmaoFBCIQHlFCfgRhEq1ko + riwWjx0cvOu//Kf7d+/XC3vDchgncwzhFI9bp78E+GIocfUip+lT//I3+kef1OMpgHmaFeqQWQGg + cwig3vok8qwuhwYQcEC7zuo0FNkb7fMPfezdTz5z/r576uCzaBWQ0hv6oEUEwiRs20+uQgeK45s3 + ntI1ca1v1vd9y5MWkcPDw/Pnz7fXSR4drh5++PO/8iu/8vWvPx6xeRFo93FtC8+pjLUEUDMzs2EY + WqY1yUuXLrVWBkBLa7uJsaDTHH1PB6TO//dP/OzbL4737w12vA7d3InIdhJp8WtfptMysxZrLSIk + W9m7uYnmt4V5nruua9dIu2YjoqWDpxdWrdXM+r5vIeLYHvybvV/Pi7tHRCmlfZaIYPbMn4dpmrDN + H10ul7XWFkF6s/crpZTSbSMiWsJ06wZP0yQipZTDw8P2ZNcPaXdJLeK6dQLbHdMZMq0BuDvJUsou + NrvW2voGbfstNjszrVNKKaWUUkoppZRSSimllFJKKaWUUkoppZRSSimllNKdpyhwdf3fv+ltb5yk + ryhA0bKyGkQQkwFAFTHCBNKWyTnlZG4TAJBAt10IxYE53AIGyHIJBSRg6LRHXLeOVrqVXYse54lH + AEAANDvPrsTh5FgBZvNd++fG46MbuUPOCHE70A7zjI4g+kEBKBBAZJZ1SimllFJKKaWUUkoppZRS + SimllFJKKd3RMtY63bokoOGGFn3qgDvEBE6Kl2l9tH9uWFcbyt5wdIzPfH7vG08u6xli9k78SgBi + BMSxBsreufLy78KrHzjsxT1E1W9wjJ+IUMQ8AlIoSj2epr0wfOZv1p/73MJZhBZhDkQYwDYWNQiI + QFbd8lHID//8f4of/v5pv9cAgi36lwEP8bgWA3nTH4XzwXLC6179ud968PzonMYl6eG6GT5NbMdg + S4Ahmy/pEpsQuCAYIQERneBF9C6Wz3/oo6/6uX/EUupibwhlbDLsWsKxBtQdm1RaCTrgEgI641sm + 1X57IRouARNHC0oOBwXwLgiye+c7v/Hbv92tpoEgAnHazHUgJOASQYEbFsTe8dFTf/mpC//w/ZPV + QYrqgFCAhYI6v6TG+/om8lzaeGwNtGuZ8PYtY5ggQKdDhO50MCCgbcdwB8HwIIj2LTAgIVUE9DYN + wOF0VDP0w/3veMcnHvzohbnSEIBsElUdZwu2DqjZcPkSHn9C7z+YHKpaKAwDYG0mQgtiD/fbf8KA + Rjtr4Ww1qQBwSCkQDxqXpudt/MJff7qLoEj46epbd6iAoYE6w4BQkVnFXv0avO57j2FCF9EIBBHu + p5uEEQJ+i/2RgFOCAnDY37PnlT8eAZBQ8PjSpfsdx4iREAKt7ALbfZbbvzigBU+6B0mSCAcrbJpW + a24m4eyy40EAHiQIVsRMLs5dQATbwcnsxZcYXstV9s1XrSMU3tP68fALv/37r2FfpitFQBGv5oDA + VUCHz+6A9our0sX3vRk/+ZP9Awdriau1ig7BUse61y1vnf4SWE3Wsrq61G75qb+89NUPn4drHzab + ooVYb0PfA0HXoLd5QNuagrHNzdUy2yxATw5zHa4cPfNHf3L/z//s4+5VxAISHqGMKGBl1Da3zQHA + CEDoIq0JfrFPe7r9dF139erVYRjGcbxw4cI2/bR3x59+/E9/+Zd/OSLMoMK+71qW52m132p5bOM4 + kmx5aWbW0trGcWzZaTcr0xpA3zPYJubxvlkuHNo0zXvLxTSvg0TAuKnW1DcXfjqDrutaOF876S3N + WlW/XUJzJsU2u9hvEdmlgGc48QuuZT8DOBm1fgdkrreqNSLaBZiZ6C8gM8MdUUhSSim9aOZ57rpu + 1w2e57nv+/atruuwDb3uuq4lWO/ammmaWt9vF4l9qvdtt2OtA2lmJPu+H8dx16Vsb9fuB7OTmVJK + KaWUUkoppZRSSimllFJKKaWUUkoppZRSSimllO5Avd5TBrl8SQAFqllQAHdit8iVALFd+e1U2opY + RjiBEMADCKIoh2E4PlqvHWjLKZwhMTvddNsFpbarUrSlYmSOqS/al6GDWljf43jCpaOrS97YpTPI + KKSOExzYvtf6eFwsB26WmnlJLXGXUkoppZRSSimllFJKKaWUUkoppZRSSi8tGWudbnUCMAiIMwLO + 6DRAOlW8mlSXUvoahx958N5Llxa1nnLzHsAsANHZ5u02j51+Q+S17/1RLLo6qM1QPXXM6ml51FJK + ZZh5z14tll73bf7K7/3uXVeuLIy1RrCFwVLAigrCwgpL6YYj1fK2t+D733rY769FCQMkYgGIwkAH + 3bdhkDf90QRPxPTqV73ynve+5xsf/uP7awcEwigMDwDRfnYzGpsBOAPcRDO2BGOBA1iPvt8PNs3d + anXw6JP42F8sfvpH9WCPs0sUdbjCWvqpg4SGyyagcXNC1dtBPcXQbALSwra5SVPeDLkNKcpj+N7b + 3nLl5fetvnj4gLRx4acsDSHuQYCyScReSHdhPT3xmb++z6YSCkPRodZKoivqOG3hv+0FBAQCGiBc + AoD7Js0asSkqQbTkaRhFCCNkG/DZCoATGl4c6giiCo47OHEAYTVRBYmq1aO8/e3H9949jsfYnlD1 + bcj66UKSsdmz1fjA0vCXn9K3fk9QGEKrCjgBEiGbnWR7k9t4VDcBhgt8FndKO3oB3U62cHEeQPpp + fvKv/vJ1Ijh9IlrLhAYY7soQAegr7e5/z3tw4fzYMeiqPWaLCD316XoWBx0hwC7rxYeDPXdHIAAh + TxvqFggKIlBED595urNK9AC+ZZz2HcBgCkZQ2M4dFY6jK6hzB1DoFg4IQXdtDQepwQlxDFy4cBfC + BZTYtBCZA/qSwoBwe/mFIsSBErYYD/HEN5780z//vivHe65FpNYpgBDMhhIoKtVAFFRZm37/z/zH + uPvep8LX3bAmun5B6rAvh6sVboGe0ra/JCyCvjswvPEf/sJfffCT4xqcLw8FmCERnbUe0TXftrXw + iPAAfLZl4D7Hlz70kXt+4n3dA51rJwH1NpVNyCBhjJAoTgBGATAEGPDMWEzPwXo17S0PSK7X62EY + VLrj4+Nhr/zr/+ff/A//0/86DGW9rgiYhdnJTOvTtXotd41kRJhZCzbeNcHD0LrKvLnJoBFwVngs + juv9/XKeah3XIrBNPxXtzkeB7aWfTq3F+EXEMAzzPIuIqpL8dsl5foPv628XLZa4ZQ2qah6WG2SX + 99zqonbA28G/rZ2sYHd1b6uHb/au3ZbcvUWQtqNaa8X1UegppZTS36Hrumma+r5vbXEpZbVaLRYL + ALvAaTPb3T2d/LtlKWWe5/YDp31fMxORk7dg4zi2fvg4jrsUbRHJTkJKKaWUUkoppZRSSimllFJK + KaWUUkoppZRSSimllFK681Sfik1+fHRBhvCVE8cRQ9dVnyQQhMZm6aC22pWcZjkiBgoQsYm1bouL + mSCIWqOTejAsvjYZIqpb0fJ8l1NKt4IQ0JUF03EVVGgpMc3elbIs/Tyub+ibW5jNNswFHgj3QJ3m + xXK4Fr99bT/PtOBaSimllFJKKaWUUkoppZRSSimllFJKKaVbWC4jnm5dLWO4DcoFPBiAF3cANepi + sZhX01AGGWdcuvz4J/7iu6bxDENrndHixBwicAZAuMP3l1/bG177U39vTamOUJqbUCRuYNRTrbV0 + nWiZ5tkAnes9MHzlscc//u+/22Nw8QAJCiJCqBZVFRGYo9osT/bd637uP5rv3j/q1KRlpcFCECXo + gDg3Gcy3AhOduDxc8Lv/k5//6J98/PzUn5tGINwrdwOwQ9oZjc2ZcgIIEXiL+QVBh2ATcdzN06tm + f+R3fv97PvDei/MaWDohAYYAHpCgxyaQeJNnLPG8B8fS0ZJsQQlhwMOPBXsvu+/C294+f+kxmBHA + KZOtCTCiQNoAX0KEuhfj+vGvTY9++a63vGX2cClWjYVFX4rZbAwBQDi2mdZgBDeZ1gwysM2F3vwG + AoAAsv2WAN4isaXlqAccMAEAqzXcowtAoHJccf6Bl19405unx78ehAsidgnZcd37PMf9V1H3e60+ + /slPPvALHxjOL9XFnFAHwCjYhKY7I7gJcb+9w894LU1cAXGKhNeYu3CyLAK4eLF++dEBUcNOG96l + hDsE4fAiCMXhhNW55Zt/7O9d7oZp8EpzN0dISNBPnTT/rQkCDoIczh0EX4ATpIHx6Ysw1/Drs7EF + 2FWAt3dJaAwBD1A9ak8q5tXFJ9Wh7aomGAQiAiLQCIVEeCVG6Rb33BNUbM5jOzIQiBMZCPpSwOuu + 3wLAxIvV8x5f/uCHzl89HKZxUUqtKwIqcEWtmAMDKaBLd5Whr3hg8a4fvDgMK1jpzwE+O2xcT0VU + b6FkWWfrDpTR7K5Xfe/yDW985umP3zMM9An0TXP27M6M7/Y/sJkFRMDDepVwnx19V/rVkX/+EfzV + Xy/ufW9ZQKAMBCIgoBk9WgvXYne5aXZbw2c5syh9J33fj+O4WCyGYWjh1svl/v/xz//5v/yN34zA + elUXi369ngCUUlp456mUUloqW/uytZgtV7V9y927rmvBaRHx7eKNbzS3CLqqANgXtcNjAShwgBGg + 72bqGYW3e1fv5um6ruXk1VpVtQUGi8hL8BbpVNy91tquVhFp0eA362K5g7XwSJLzPLv7MAzTNJVS + bvdk61bBrtfrXWTmLmD+Zu/abUlEWtno+/5m70tKKaXbUuvFqerh4eHBwcFyuQTg7mbWbpRaMx0R + 8zwvFov2rb7vW8+k1to6Lad607bB9uullJZp3frhwzDM89zuzkSkPVE97V98U0oppZRSSimllFJK + KaWUUkoppZRSSimllFJKKaWUUrpFET4IIbFQWfmqw2aFserWVk9jgIE2o0YcbcG0M0zEJYDNQh8C + OAMLwXqqoXzVW98GatES7tXnrhteqE+XbjzBdjFAAtdmXoUIgX7o77kQ4+r4+HIHCOVwfTzc4CWn + iuhdy+W4Ovyf3/Hu//1vH6Oi187GSUsP4Pp1CG6hlXlSSimllFJKKaWUUkoppZRSSimllFJKKb0g + bu8MlXRnC6Cqz9ryrQWAOnq33kI8AAlioXJhNV760z/zZ57sGXK6kY4OBOjBlthHhgg2OcdXlfs/ + /A68/tWTFJkNZOUNz+ZR5WQ1hC6cKeq+PD56/MO/v3fxmQtmHVyVm2ReABECqEAKJuJS1PLaV557 + 79sfv1Bmid5nwUxM5AgZTUfTMaQSfov8K45zcVBtwBu+N97y+qtkgBRAEPQ24pYt3Bk0CbtWXREh + 7b9NL8XmCYil8MI0Xf70p/H5Ly1XI+hVWjYqJE5Wd+4M0E8MjZXT1ocB+CZCFYS3TPRWeCar07K/ + rPK9P/6+GPZ9hm9TIU+FEIG0yGxXzm6U6KfVlx966GXTXCrNCVWSU8wmL61hvhLQgMYuAt3BMMKJ + IAGqS+fSVRmq9Ca9iboARb0wRELQ/kFa4nsVTIIqANAbhgrxiAj3aqguXGuZi77+fe+btThQiXY5 + MUCcKRw9uAT2V8dX//ZzuHp5IKW6UlppkRCGVEGV9uULePBuFg8J2WaBM4AQp0QYGC6MecIXv7h/ + +UpX7QxbF9EIQDYR56PjULD/utfp6193qah1xYjJjWQJFpPncUh9NyVg+94K7fr980GSim245lk2 + HWD1+eJFzJWxG87v21zZXTV121/vPNGkhrnCO5sPn35SPBDwYBAQiUBgM0WHHnAYOS4GuefeUN4R + 10U6Mw8iIIzWVUDvhkcf+/KH/+DeEgsYEAaoCokwdCoBOALgqHzyXHnt+38MBzoWCFTWcz/PS/d7 + F+W8hsYt1F/qHGXE0oeDxT2zLl73Mx+4fO+5KjJNmwugTWO7dlwIZ+vnPPuQEQCFhACglTree3T0 + 1Q89uDg+6uo8VHRBCZpgYrgAcIYzgiEt0zq2u5XS30kAqdVL6Y/W4+wxmXeL5X/93/y3/+dv/isE + Eei6rmVak6y1dl132veotbYEUAAtujgi2pN5nlV1F817c2N6pVCV8Bnh69WRqqCwOhjQaDcpKA4B + jGh3Luls2tlvUcGtMGSm9XdEsu/7WuswDF3XmRlJd4/0gnJ3kmbWdd0wDC1K/A6ID2+XWAvFbK+0 + ypzpTAC0bFEza/GiEZHZnymllJ6jdlfVUqUPDg4ARES7aSqlzPNMsr0CoOu6Wuvx8fFyuZymic+j + W9L3varWWttj3/cRYWYR0fd9KaXdmrW3eD5vlFJKKaWUUkoppZRSSimllFJKKaWUUkoppZRSSiml + lNItJ5zThGp1Wg1UKgJQbubREBC4YLMylQB6ynVygqiAAeqbRQkEUIcGwsG+PCH+X/32b4GEO0XO + sG5DuokCMMAgbSU7BBDXUq5B+bWH/uAp1qEve4TN01LLDV4w1EVx+ejwgrCbDevjtjfaF8S3ymO/ + wRnbKaWUUkoppZRSSimllFJKKaWUUkoppZReZDksLN3SjDCBU5zSQjCJStROyzRVlK6rdXF0+OU/ + +EhZr+X6VL/nov34iThAAnDCil5kee1/8JPrTuZeGaIsL0KcTNd18zy7u4iEcEni0uUvfejD9wEY + I7xCxYEIkOKAAm6oM6zDfNfdr3jXu6a7LlwtMusmc5UBDdOYAHM6EMEAcCs8AuKjS38wDcOb3/+T + 83LPROfALO2kb45JAM4AIOG7gMY2ULsFmgbh7ipdhdNrmcdz6+mRjzz4ssk0rIq3NFgNFLsWyti2 + LQjCAfh2ZO9piFECwhP5tRIiIYaQveXF4N3veGc9f24lDIWcvrolCCACQbiwugHYD3zjTz5WDo+6 + ahZUVVWd5zEiXmoxeAxnOOGgOzeDsgNs6ZuMQi8ShV7UpZ0va0O2g04aOQtnCk6UpSoIenEv4UW0 + U205ZBJAPzwZWLzn3ZeH/rhjfd7tZ63WKzkdz888jWee7o9XNq53Wb8MADTC7ojk3thed8Qmj3w3 + 0UJEALh4HUc89ujd46R10jP0T9wNgHYKgJgU6/su3PfOd8bewUp1hgLiMFUKwDhD0Pw3f6YWogmH + QJV7y9DCsya0tOvdAakeV69invRZUYyxqfme747fGtp5D6LF6mj4ME9+5ZKGR8AQvknEQ2CTGx8R + DjhlWixx4VyoRFxLQCdwxxyc9Bxt0t4BAIq6P0/jx/7s/BNPHXid4AgbtJvNq8Ec0nUAPCIQh2JP + 3Htu+dPvO1pw7lERHlSlzatAneoKvIX6S06UUtxw5Xg80g7v/oGr9911eZ73hv5Z/d4gENurgCdq + kF19C3g1dxQBat1T3D1PX/nYx+Xylb2jdWdzRACwaNnVwU1d3Q60SIhf60Sl9J212GlCD68e/5P/ + /L/4yEceXK/NLFR1nuc2JTIiWsra2bY/jmPLi21hbCJCst21lVLMrMX0RsR33NoNEoCZqQgCe0MP + xGRO3dzLtB5Ru401gclp70fSRovNaye6FQk5w93XS0+7dkopAMZxbNdmu47SC0hE5nlW1XEcAZRS + nmd+5C1i9xF2l5uZffsfT99ZO6Sq2vf9nVFIUkopvWhKKSe7xC3HupRSa42IruvanVH7MQARsVwu + L1++HBG7uOszND3TNLUt774chqFtqm3T3ReLBUkzyy56SimllFJKKaWUUkoppZRSSimllFJKKaWU + UkoppZRSuqO0NcumOnRlDKuG2SEBr9bWA2mrhjh8k1mMUy9wZkRwu95QCLarrnWCKWzc20M/QBUi + USsicsGC24udLBEn178LQQRKuSqxnqoHlOreCtwNNM/T3Z1ojW6uGBYebmZZqFJKKaWUUkoppZRS + SimllFJKKaWUUkrpJeLUy4iTjAjyWmxJxmykG4gOeBtcq14IuJjrbHPttMjQyXiEz35m+uQnzqmO + cbqkvSBmuKiqoQdK6QweDifq4iDuv//CD73r0qK/NI3DcmHj1AVvdLzsPNvesKjjuuu1FFlMI/7w + jy48/kQ/zSoIwsxIQODuAiG76uiLoNv/Wunufv/PQPdYZRJZF3F0EqU3dB7FRV0AOhiQW+ExIGC3 + tpj3Fve/+z21X1i3WHcyCSaFCUAPhDOCIFwD6pDAJuQ0ALTYRYioe1WCgjnG8yUe/eCDfOoyV8dl + 2Tld4F0QUy2xCY41wTYve1MYThvT6EQQ1pJUw09GSpMc15Pun8OweMUPveupodPF3lzPUCI84AiQ + sPBWYS8n0y98CV94ZOEuRc2q+bxcLuFxR8QfP1fPCvhtZ9C3mdYlujrHsNhfzXN0xShVZCVeO3HC + 3U10LDhSn3tBiLgUBwNOb2UDgLsDKGAXUVym6n5wgGX/6p/40aeH4ihqUNABqp5hUD8BBRXYgz3y + 0Mfunb1nQAiAQWwy3Tdl7A5gAm8Jji7FXTclGkq2WRpdh8c/8cm7qxdznL40W0tlqU4IBXOPL5Xu + 3M9+4DKB0oULXYtoeIWH4Hke1mt1hzsoZYxY3HVXBZyoAE8f0xJ09yhEAetTz2Cq4gYtu+kE19dR + N3aOwYugRU6qdlO1xWKBOu/bvH7icQWw7Vu6B0OEiIAHhKLELHKsige+a4aH12cdConr03zTnUoC + wiJaayVZSB4ddrU+/Fu/81ovshp7IMzCTAEFCmDT1O/1RxYEx73l/vt+yF5z/5XBx5inRXfYxSRm + C16R9ThgFtwKPaX2aJRj8aMSsujHnjHgLR94vy0PpnGGwAADqKQwHKREhES0lNyT2lcEIXAgAp1j + Wed71utH/9/fuc+iwNc+ad+1CzAidl0vhJxocPMSS9+CiEzT1PcLs9j8xUC76pjG+sQTT/7SL/1n + Dz30sd2fDcw2QWvty5Z29ndvvBXLFhALQFVJzvPcMtgAtFze3c+3J6raIq5v1p8sAnBAVFtXx90t + XASxnYMq20zrIAIvcK8vAjcxz/tFtishOFEA0nPR93170gIIW958esG1A9sOMk4c9tvaN1etrcpN + Z3ay+rpNC0lEPKtFVtWTjVH+nwgppXTjcAsnOnWllN09FE7cUrVGp+s6d99V1O0JyfZdkq09avU5 + trdduxu0nYgws/Z8HMdnvdJu/XZ7snujk8/bD7e/xrdd2v16SimllFJKKaWUUkoppZRSSimllFJK + KaWUUkoppZRSSrcoD6zif3vfT/XADEgHBQQou3WNCAcmwagYBbOceomzk6voOOGQtmLT5AiVulwi + sFmuqhTkLM7bz8m1Ea5fzsUdIhMx7C1HAPAXYZZuCMy8D3QOeJDyrPnjWcJSSimllFJKKaWUUkop + pZRSSimllFJK6Q6WeT/pFkZngAEBEOKbkNdq4kH0patXLu9N01O/++9evjqUaU0VP+Wwx6K0agpE + xfG8LsNChCvKF8Pf9o9+DsvFIVn291fzpBTMdqOTRM1sEzkwTbJea7UvfuTD963HYbeGP3EiPNdR + lECd/YrjFT/243j5/VNwkGKCSTlJV9k5GICRxlsvh7BTUx4FcOHCm3/qJx+rayvds6qllkPd8t54 + LaNx81GMMMLcAmCLuAYW83zhqUvjQx97oOtWVy4xZsJZfSlFPEi6IACGCK6F5zpxtioxCKdsngAO + KNiXbhynY+KuD/yDx/eWT62n7tT5ah64lvDAFsIdMhjuX63Xf/zH5wU+HnWdurtPc/cSS5CKTSHw + XTy5byLGoa4SRUp3ZVpxGEafReHTqtOo0zE4d4tydHxVVTst0zQFERSHbMf9t9LlATrIcPVNrueo + ejR09/7UTzxxbrlCKSgUBTDZLOUsCXDVnISO41Of+DSO1nvEWNcakEAQJhEEwNPWbLcmB4JkSDue + hDNcwmutDLCucXR59ejXhtUU1U+bwhhEBYa9veozRVYVR2V44Md/DPffvyoEICEasju2p57j8eyP + sn1GEDpHeF+6c3soXZBKhJ+uug0ihAYgpDiW84xnnqE9ayNy53XbIjbpp2JGq+Pj3+hOHLqT4eYC + QHUOSNdfpeDuu4xQPXFAQk6E+L5UUjxfmgKIIrNbGBZdP9m8LPEy8Ojjf7Z48uJw9bA4KICEb0sW + ohtXAAAgAElEQVSCdjpaMGQo/ajlssqb/sFPPz50sxYARjGoUYysIvUWy0MNwjoZMY91VTuM9xzc + /Z53THedP9beqKWHFEwWk0Vs06cIMDbXAWM3KcjjWusJAAR68/Pj9OQffxyXrrCuq9b1tO5E3azV + 1RoAJCgtzjrofut1J9PNRbKUMs/zwcHB8fExgGEYWgiZqn7uc5//xV/8xYcf/tsI1ArVUzfAJ9PX + Woj1MAxmFhHr9RrbvLRbNsm4Zc83J3t0DgRP3t0A1zd8KaWU0m2kRZaeTFQtpbR0UhHp+z4iaq0A + pmm6ubuaUkqpadWyu7fbq6bruvZXWRGJiGmaWvR1u+faxU63fOv2eCqtjWjv1QKwG1Wtte4it0mq + arvjSymllFJKKaWUUkoppZRSSimllFJKKaWUUkoppZRSSukW5cA44crVuY4zYIEKEEREW/dDA9KW + DSFM4MRpV5FSBwMO2HZ9syCc2B9kNhtDsdgDpVqd5zWQscO3GT15xuS6FHN0A8BxnI/n8fxymCNO + ux7X2RDQ69bs2e2i4M5b+iqllFJKKaWUUkoppZRSSimllFJKKaWU0gk5SCzduhibOL02uDYoJm14 + rpsybH4AEp97+Ot/8tG71qsuIKUgTlGkGYBF2QRFsxQ9HlfmmJbnL3/PK/j+nzgShUVomSP6IuLP + Lwj1OejKsF6vF11ZRpxbHeJzf3P0uYf3jsfiQEv4DmATeBaBsJiisAJHXf+an/77fu/BpAivgDtl + rd1x6dZFxsJ1KS27URCE3wqPgM9R58KjcCwGfuDvP3XXwaSlM/aGTRw3/ZtHY8cm6xq+fe4AEK5w + AERf64XLVx/74B9ytd6fxo4hArp1opgDzl2AcYuRC5x6wDcACSe87aERAXWICwAo6OtpkHJM4m1v + tDe/7rh04TxVlRuEi7t4EBIojhIuwc5w72SPfPSjOLrcT8cdHXB16fz0OYG3ORO3zWduJ5ISwhAN + dYcRE7wOkKUcX3nmZecWw/rw7p51ukqpd59bcrVaTHb/4gDApFh3mFQAaKCVKRMYIYBGexFBHHYF + P/DW+oY3rrTUCFAd6ItaPXUyUxvDTUVfbfrs5/HVrw8+F4E6xcW35bx9NAdPVb/danyTHX7tUzE2 + lw5DeuBgnucvf+n4a19fBjppP3+67VfCw0GsvVrh5cXea//Dnz48tzcWaLi6qJXeRDZTNU6fexyC + kBO1xaZJEJE5UEvZv/9eE7EIEbG/YzvfBhkkAqFmi9Hw+ONSdzMN/ETtcRsXg5OUlIAFIGoOdUe1 + q1/+SnEAkGif89qHbaE3AELVD87hrrtdThSR2/nqSGcwR6UIqnUUxizj8d56/cUP/YFeuSzVlYDC + Nq0zSMCsA2w9G3hVy4U3vGn/9W/z7rx46a2od4yePtD7UodSu02M883uKbVHhiuiKHt1x3w5Jrzm + VQ+8590X95bHHu6YBLOChFLNzbfZ8EEgIAEJEAGEScy66Tjt6thlnfceeQwPfnQpc7/fu7tP8750 + Q1C3FaXv6j769dHYKaHW6u4RsVqtlsuliFy+elT6BcAHH/zDf/JPf/nRx74eAAUBBGRbt8tzbNHM + rG1fRFR1GIZxHCP+f/buNUaS7LoT+/+ce29EZFZVv6ZnOE9yyCFnSEnclyRQD/M51PvJlayVDe9i + ZUCGBKwN6Ith7wfZwMKQvTCEBVb2wsBiV5JhA2sLNrXmihIlcoaiSJEipSFFiiKH4nBmNM9+T3dV + ZkTce87xhxuZVd2cGbGK3TPV3eeHRE52VlZmZMSNGzdq4p6/qWrbtgBCCDX6GsArMw3vG7feYQwM + YmDaJVHHmby7J1Id7avPEnXOOXddSik1TcPMOWcza9u2lMLMIkJEOedSSozRzJqmETnAGbNzzrmr + bB1NXc+nanZ1jZpWVWauL6iPiUhVVTWEUDv2rutqMPa+hBDqmR2AekJXT+LqYYKIxnGsMdsi0nXd + Vf3GzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzl1VBoiNix2BWkJhCEAcCAG1YlWNKl6V + jKN9lkMgQwQiIIzCUCIDFYYQFoOS4ejxW4EGzIgxNs263oi7LtTiY6E+YoBWAdK19lk/oODksZOL + omeXQwaYr3l5Jb68ia7KX/C0eLa7pAC8+IxzzjnnnHPOOeecc84555xzzjnnnHPO3WA8BdAdZgwg + KNgQTIVUCDBmY2ILy8VmHr/6wQ8eWWynjJaCycEucyQltsBNm3rA2s3ToNf98A/ixNEhxEA8DGOt + 4E90zVPGKHApJYXQ5vHYmL/8wd85YZJqWrIx70m2BilIR1VJ2OlmG296I976wOkIaVjHISnYUDNj + hVj35KGSERsOwz0A0UyBcuDzUNz/uqPf9fcuGpkx1Yuwa2Ss7b3alQEGXb6hjZkJMAEEUCCInFQs + /+qr9qefv5NT0gKqeehGNqWfak2WO1Cg9e7SmJJNEX0KBqYo4khRS2malBseU7jnPe+50DQ5NrLP + z7Ia12w8RbwrEzgoNrIMTzyR//yR10Bt7AGOMd5ssUA19rhuPt7zJMAwg5SQmCOkLFJZ3sGmX/py + 9/kv33724p0k5YVTrMsICXlcnr+w2ogwgI2nsHPavW6aTLGKzh4i73Sze9/zngshIc1zzgDQpIz9 + xTCjXknOMEWrdGIx4E8+0/Q7s0BkANhIAa37ghEb8TfTVg+N+kUIZCBlFIKGEJLYsVKe/fRnUt83 + KcUQDjBLghiLvmcmoXChm21+69/q3vzAuchCYNPa85AxGxupsB5sfa66o93UWCMGh54wP3kyQ8Ug + +24LAGAGIhCIxWYieO75htnMdmek3EjJzaREqDGlRGRmyQiLPj93Kr7EtjdAS0kBg8nW7Xeg7TRx + 0ay7EyDczUIJWUpoAinZWGaR4/Y2Hnvy0me/sKVIABFMITAzIwI4qCJFMpMS4vNduveHflA0EnfQ + REqsYCMjBgJbDBrJDtF4iQEu6AwzgmnezuMIO/EjP/j85jy3bREUgzFSikRBoZEYq0OS1u7WUHut + ur+s+i8AMEajcruUr/zeh9rlEMdhlqKJBiPW+uK9w0gABtKD9HHuxpVS6vt+Pp93XZdzFpGmacZx + /K3f+q2f//n/4vz5F1KqGWmYz+cHGK/WKOuaakZENdN6/dOao1bfNuf8Cpyv7ct6Pp7uPjE9c0U+ + PBuCIRyuVG7nnHPuG2VmNYh0Pp8DqKmoRNQ0TU2zTilhlZla00ydc869itaJ1OsHtaZJztnMzKyU + Ukph5nq2Vc/CmqapmdMA+r4/QH++/vUald33ff3cel9PJ9fP3Gz/v8M555xzzjnnnHPOOeecc845 + 55xzzjnnnHPOOeecc85dfyIVEQTmBCEYw8BY1UyjVax1MLBysCtjg/9GvCqKpVjXD4ERUkBo2//+ + oYcwDmAMKACufeqxu9p0Vf4FkPqQFLVoTDcH84ULF47ecqwAXQrgV7CexhV1rqbI7d2KGc4555xz + zjnnnHPOOeecc84555xzzjnnbjx+HaK7DgRTghoXI9S4wVjsZIj41KfP/+HHjzMFIBis6H4v2w2B + BjUJLIR+2beJF12zOHny7u//vudSKjG1HFktgrKK8MFis/dhGJZd1yFLtxjw9NPnPvnJuFimCCUo + 0ZRsvU5HIwTCku25eXvPD7wXW7PtBpoQSGdZNkedFYtq0TSpJtGoGpRZG9LmMNwHjYkMUEvpUtuM + G/HeH/q+7W5j5GbajIYpznl1RfX01Wk38Zo0kFEAASAFcw2ztI1As53FVz74obgocexFsrGpKnMI + YCMGGEZkMILw9G4HwFCyukA1enKS2nYoi9LyxW5293f/R8tbTmzPUtnnlcFaY5v3xHgbwEA03RzH + xz/0e824bMfRzAA+QAzw9c7ARrUZ7K5YI0AlBgoRAWNblke2L20uh0f/t9/4i//2f3z+f/pX81Pn + Xxtp2D4zP5JAcmSzC4aoiMpRERVBa0L5qp0AwsgMYRCKEC618zu/5+244/Z+PhtggdAv+oOkMhGK + AqBGcCLnxz/+RzSOKQsbG5gAggbTYIx6u97ZtGKVWAhGFkyDqSk12XBxcfqTn9niiCIQrVMy9oU5 + ABAtsjl7oune8KM/eWljY4wJQDBVUmWD1QWA0VXYYepbmJmFOJjh+HHloGaidoBpHqogAhGzWmdS + Tj0fOKrqZamXN1CWLBkMUntOJbREOHMuXNqJOv306xuAAkoYiTbvuAMxZrNVfGnt0hkgugH2FPc3 + U8BUNYRAonPVLbNzH35o6+yFmYGJYDADA1MDUeWAUiwgLVO7eMM9+N63jZxoYEMQZpASxAAlhhHA + rPEwjJTqfSxNM2A2Uhj6aKWbb1yKDb71LfbAm/p2TsRtQBCUscAsgCnw5Wm5KzSNk4MyK5NN89aC + abtzCU89Vf7yrzYuLhu1pkllHEkNgFHteIiNPXDXvZSUkogsFotSStu2IYRf/dVf/af/9JfrkD1n + YY4AL/sRtB4w6Ys31AmvbyJSozE3NzdLKTViDUA9BMQYh2EIIahqSkkP34A4ALwbbw2A7CX+CBMU + tP9pqM4559xhQERd1wFYLBZExMxt24rIOI7DMDRNAyDnHELwTGvnnDsMYqx/dUSMEcDOzo6IMHP9 + 52Kx2HvaVV/ZNM04jgDatk0pAThA7DQR1d+ttra2iMjMeM/fUksp9XP9kOGcc84555xzzjnnnHPO + Oeecc84555xzzjnnnHPOOecONQIielIApMiKbMhQgZHtFhqrta2S7a2i9g0xQgEKwQjBEA2NgRVk + GAQLzSDD0c1CUFWy3Yxkd33aLZehCoCh1h05sr2zYEKfpZT8yiyHrGpcMQADCEKrqlcvVS/DOeec + c84555xzzjnnnHPOOeecc84559z1z68Qc4eXYYocNgBQMqtBqFHCXIDFzuPv/+3jZ8/RjqQAKpqw + z9BgAGq8un4XCkvhsWH7237sh3HLbcNsYyAUtSYmLaIQ0H5TVveNYjAzBm0QDx/58C3nLvBoipq/ + PAV28p60sxBwUbF91114+zvOESM2OecUmaeXlWjKNRzaJNh03Wq9QvRVv1fSECiXAWBt2jPEs7d+ + W/e6e3Y4Fg6wKUmRADJepSrudln1fYJyUK7JCgREAhHMwKJHVC488pd47MlNGAUj5gIDk5nVhOCa + aV0vxubpmu/9JeHR6vVkDAsAMQBoNmiMA2VpwoIC7rz7gfe88ykZxn1G3fJlffQ6D08D6Djx+U/9 + CR772hGKhJANlJqb7aJfJcCYlQkgIyMoQQFmJsI47EQZT5Bt5nLh//p/5KOfetOp89sf+vDHf+m/ + wZ9+/g0aZmfPzTVvb18y0tqcyHZXORvTKoZZCEPUwspQMhTucMtt97/3XV9bXrImAThYxAYFFIA4 + RYqpH87/1V/h9Omm7wEYEZsG07q/rxbsOt6+bCAAxkYsxIVhpARhUyNulPBXT+Dxp+dGWVXVwn6/ + qzEZMRACzpc+vvkBfPt3DG0nsGBgmLAKQ6a+VMlsv/v7S32ugsA0QtF1s61NY1plvuzznQxmICIy + dILzzzwHaI2VBX3d0l7zw9G1RQYyI1vHDiPC8Pjjs5zroYqNpjaz/hUgMOUChHjsNbcjkKh6vM1N + K6U05gEcGwrNOGJ7+2sPf+xklpSLmpmBDQwEhhkVs0FAgQrsnJa7f+BBnDw2prTqWhU0gAaQkilI + GAWkOAQjJaxSpdWYmc0EMOI4trM+NW/5sR87azaoRkYARgBMTATJ9YAI7I4Yp8McNCjYqAbr6vQk + GpNm5+JXP/TQLdzJcikMMCNML1BwfatgANTo0MUGu1eXiBDRMAxt287n852dnV/6pV/6t//21wGI + TEFoNREN+z5AMoAYmxp7tr29vX4fVVXVGpbZtu1V/DpXFwEBiNMYjmHTbgXQOt3a9j9mcM455w4b + Een7fp1UqqrDMMQYa0zpOI6qmlIqpeBAMajOOeeuuton1y56Y2Ojnm0x8zAM8/kcgJnlnEMI9Txu + HMf6h7hhGHLOTdMc4EPNbH1ah1VgNjPXQwMzj+NYjxd7TySdc84555xzzjnnnHPOOeecc84555xz + zjnnnHPOOeecO4xYwSgkrXKTwQJmGGgqQgYIsGdG5UGKddTfoVUetq5KUEWAUkTXgZBhLTcA4PM3 + rzurknK1NsW6+ERkII9owwu5L6XEgDZyk+IrsEQGGCCX18GYWvJVqtflnHPOOeecc84555xzzjnn + nHPOOeecc+5weiUuU3PuwIRYCcpKZgElKEPboNjqx7Mf/sNLj3z2jSJUgAgCGNhvnXs1MLFQgJWU + cIEyP/Cm7gcfvJSRqLtUdpjREhORwYyN9NrGjsXIY9/P4xxnz3/toYfvypkC5d085wgoma7Dz6gg + t91r3vlevOauMRBLiFlijH0gmeIMVcFMAGWQGiMfmmRcIzUyI200sArCDBvlgXe9/UuPfW1jp29J + 9iYyTpGK4ClJmuoFsMxgAIYcGKJTLBwzRMsc6djFxYWHP3HsW3+a2DRADKxkq9hiADBVnq6VZUwR + 1/tCBqYabVsvKhcCRqiqWodswiMBzfH3vuvxj3woP3saeR/vHBSgdXxtbeNQAlHoRG85d2Hng7+3 + 8foHwnwrm4XAKsI3UdYDszEZgikMhaeQciIFs0o2GTaCHF0s5VN/+tj/+f4Htsem7BDz/NFnPvNf + /bOT73nHvf/oZ7fuvy+HsmAtzEFBu5nWABCUhSGshSGEYNqJBGOTtMjj/MF3DB/87Xz6AvKYmjj0 + 5QD5ugFAQWC0JnFYPvmxT9x99+sutpwZacr9JSUwoEaHZdc9KDYoIEQAgxCgUZUMRJSK7fzhJ24b + ShhrhMm+c0vYYEIEYjLpmm/50R8pmxslNkFKI1CCJi1QWKp9CEEZ31QispGuo6XFYCGAcetrbqO/ + fgpkUmS/24sZZjBY4BBVnn766VvHzJsbMCEDbtDMyxCCmJIZiW5/9bFODYAS9mZaTx07Qc3qtIfb + X39vNoAJpDAoLtuWdbvcRH3hzYnUAIUxc+zHMx//Y5w+szUKi672Fqs9uRCpmQIW4mAIt99yx7u+ + 95kGMKLpkGnGSyOOZUYGpgGkQkkPz3gJXELUaCmwadGxMLeng93zXW87/dq7ll881xakCB2hDBMx + wBhKzFrXhV6Wcm3TGEqYlDiYMsAJcbk4+8lH8OTp9o5bF5a7FIwEBiUYAONGAIKEaZKbc2siMp/P + RcyMzpw584u/+Iuf/JPPAWjaNAzTwNfMiNn2Nz9tamillDqzzcxERESaplnHY4cQSik1NXNnZ2dj + Y+OqfbGrYjUrjwLDYAQDKymh5sSv9k0AQB066J5Aeuecc+56EUJg5ppyOo5jfVJEzKxmoNZTfCLK + Oa/Tr51zzr1a6okVgKZpcs4iUsOkmblt29pX55xjjH3fxxibpqk/VVUzq/nTB/jcGGMppW3bYRiw + irUmopRS13Vnz56dzWZXRF8755xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845d3gxEodNkQ0B + AEtRhQkESC2SI1RL47AQaJ/FBMim2mpkLMSZWYEACcC8Rb89gjgrGpABueSUvqliSu4Vtg6JDpe1 + CgYAAZoG1uP4Zhp7XgypCdtjbl+RClSyKve0LuK0N8/6Bi2C5ZxzzjnnnHPOOeecc84555xzzjnn + nHPOU8kOgXql6RXX6tWr+owuu7yPDXuu7rs+keIloqHXT9YfE0CAktboUAKCggwE3cilOb/9xf/7 + /71l0TejdglZAEAvXzl17a3X4YviJg2miUBE2wU7Gxv3v+8ncGIrb2xkYyJKgUxKE6IYHSxVtoaW + EaCrTEHseUyrW31yGPKc08bOzrk//5w+80w3jMGMQwBAUEBrfCdNSY3YpjAcO3nv97/raYy2uTEW + SXGmSjUOHFCQrlcpjJXMSAE9DPd1m3WxSYH6caT5/BIFftc7zh2ZDyFOGxFQBK2JpdNvXRZYul57 + IDKDFZAichCgg96Sh8cefhgX+7YXyhIZxMY1zxo1sXi1HFgt0gE28RSUrbTeVVMEkxJKKV3XXSwZ + 99x94ru/c6dhYQixgQ287oFfqq2SAYbVr8AAJQVMNIeid3L7l7/7YZw5u5UzyTCWJZvSi32Lvbuc + Un2Tw67uI3v3mit+CiAqkgJgI2YDGRuxEBcy1bLV8LHc0zPPPfKvf/OOS4uj/bItwzz3t+8M927n + 7U9+7v3/7J8vT50qICWeVj7VxgkAWOWfX3bVtzGMQKFvIu5+zT3f/65zZojNYlmauLugRqita4WB + 9UZfIeSC1IQBo2qZpXgc9OiHPspLbaQYGUHI1KaLu+kGOF7XmRIGGKHuLDWVvFOhRf/YH//JLcqa + e+ZAzHl3vfOe2xUuX6tm3M6eV4T77t165/eejrYUiRSBKfLcSOsexNPb7nP5AQKEuDCMdHeTMIkp + M8N449bX5NRYCmW1X9eWME0SefnjEUMNhpJIY7Gd0+ew6Fso2eq3SJVMcah34emgZlxvL7GeGWBS + YgMHAwlDkMvOU6fmg0VdJQ0DUjOJ67+B0cANj0B63T2XAI2zsUx7bu3A2QxQAxu99Iq+vumqCdm6 + j6r/OvAbYtXf1rdbv6m+bHO9+uiyEYsS1xvA63HU7qIa26iz1BQrUUq3vXz0d3//rphiGSKIiJnY + DKpQBREFjrO2uzjmSxuzW//u38Ftty3btnSxt7GOlKYeCcpQUFHSQzVeMlIKNpqMYEEAB8QkG5ul + ae747rctTxy/lEGGGEikCBAD8NIz2V5kqxpKxhaHzedO9R95+EQTUgpDGUQExmy7Y1gySN0016YV + uOtUSu32sm+a7smnnnnf+37qM3/2uTrYrpnWKSUziymZakxhP+Pt6ZXT0N0MgKo2TVOTzwCIyPpH + ZnboMq33MtQuds9YnS7fT+mbOR9xzjnnXnUiUkpZLBZmVkNP6/1isSil1HDrEEKNTX21F9Y55252 + IYTaG5dSUkoppWEYYozMXJ8xs5QSEcUYzWwcx2EYcs60+ptbjPEAn1uPCDXTuh4aANT3XC6XR48e + JaKaco3VuZ5zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzrzDbLeWisCue2fMPU+Q8L5IM + ACLAINNiEAAKyOVVxQwvXkrrJRdjVZ6AAYYqibEAqsDZAc2J49ASm/pTiyll8XoF1xl7kUcAYap0 + 2Kb/5dOfHkQbQh5zx9e8EpASlEgJZIAKVGsq+x6MVe0MgD3j2jnnnHPOOeecc84555xzzjnnnHPO + OeduJPuOdVylRiGEoKpE5LXFryLasy5tFelqe/KPr2+kZEZmbLqKJ6yxhSCgJvIqaf3iQTkoGFZy + 35rSWMCNEbMsN4ft0//mf7/7yVPNzpITBoOmeu3jbns2hjByzS2e1iFfES5rhKJZgYDBUHaaBq9/ + 8+y9P/D8kWPnmzhCO6JYSoAUyUyNadzvLkMAG6Ii6LRBQUpQq3HdUDYNqmzTF0+pnfeysVw+9jsf + mA1LJqQUxzEHiJggZkQTsCEyowfOzI4c/3vfibtPDBty0Xa04WwEbtYLoIBxMcAQgMAayJiND8N9 + VO4ktYjLcYc3wtlFv9w6hpPH73zwHdvBsiG2jSkJtQUBpIFAyDXRdbpBgQxkBkwsAAEggxVJAPIw + W5zbOH/6/B995taejxJR7ptgphmAEgqjrONtSZV0v32ZTc0JgK5anLKplRw5NCUljRllsdU+P+te + 91M/famNmTDCBgSjhjgJgABh5IDMEIJd3saUkJlzqJekC0hACkVH1I18rMdX3//bJxbnN20IVJqg + ZGoQM4sx5iwxNgIyTHtZjW02Ml0FiB4qqxzcus/q+qaX3aAEA7Nhpow+KwdLiYyjEVtQIBt1XReX + l5rz55//V//65Jce3RoXzDlGCGxAz6EM4/b9D7xxdvKWHiCLQZmgjELIjKKY0oiVlICo6Iq2hWFJ + qMnJLiScPzK7/Sff1x+9TZsjGSAYDEREdYMSCsMItkpwFgrCNG1fAoAA6CgtJwZYbGMhd5+6hIc+ + vpGXqouua7KOGaOwAhwQrutkawIiK5PAFCqhl62woRaC4Ujfn/vTz9ipC7EfOwJIclGAVpG6BKx2 + boIxKKIHqGlAnEEjlAIrFNBlTE8dO/a6f/ifYc6LkDOJMo0chQIAMmVkUAHA9b32s/xBAWAMGAPq + xfe1uRKzmAQEWOjufeOFpn0hj2kGAArOzJlZadoNp+PR6rY36FqNiAECLLeFt0rsH3+iWy4DmUAY + RmQl6MBFYcyHrjHUMQsb2DgoB516+73B5NNBH2yIZDFyWvYvMI1sPUyf/rMv3qKpUa19srANkTNH + ADAocwEyeOPWW3HrsUXbjmiJNwwACkgJhVBAqsSCgyTrHD5XbOWp0748bfqAY0OG0jTUXEdlK68G + okqQ1e2VQFrnbK0GgWzgQqzEZByFk3CUqf0YGOAZ2rLdd5Fm4xKfeWT+lSc285IAZRUSMyOCMYzr + gMFQxjHwE0134sffpzwLGi8O27zVSBA2TqWNZWaIeTV0BHAYRkr1nk2jDFGLIQnPDZw1F5VF22z+ + yI8+PpuXWSgZwYzVIsiEgyGY0ioil1bjFTLUsSHBglpSrU8GcJdx99g/9qEP0OKiDcuua4koGIIi + mgYTMjWCUBAKRoeuC3JX0Xo8Bqwi50lBOuY+JhYIJxZY6trlmDk1Q9am2fzCF7/8M//gP33qmedz + gejujLmcM4CSB0Dr/UuFN6/T0UIIRFZfRjQlYpZSVLWGqzFz/RNETUGrgWfrXz9caHUMJAaBSQLQ + KIVVZ8tmbFY7LFqdPF61D6fDulqugXXYOQBPS3XOuVdLCKEenfcegJqmueJlB0tCde4VIyJfP4h6 + mWHV+k806wHJzTMGc9e12huv++S2bfc+v7cZ18c1+pqI6v8a3vv/hfdmXf+N7V9ErniwfrOtqZ4A + ACAASURBVLe6E4UQ6psQkarWJ/u+//o3qSP/KxbGOeecc84555xzzjnnnHPOOeecc84555xzzjnn + nHPOHUydsrF3okd9XErZO5tj/fyNqZYCAGotI9hUIUEBqT+06WZQqPzX9z9wW9/nMoz1dZIDGUOM + 1Ggq9MFWa+sdpJ6A8FT7hUwDSkAJQCHenh152hp0EVDoyDBVptDcuBvmxrRbUIymqhRTJaFacItb + xLk27WhgIuy7PN7+1KpuBhRD0Iy8wMXzIMhqcaYCGgaqO8GhnElZStnbQX39NGdmPoSVu5xzzjnn + nHPOOeecc84555xzzjnnnHPuMPCLqw6LVdTcDa5GeOp0pSwHRVAEA5vWDEWj6YLFehORzdk8haZr + 2pxzknJnKfa5z5752B9unTl9sm2JMRSIAky0ClAz+rq0NGMDDGQ1VpqnEEcRzCKoIKf2+SNb9/2j + f3ghpcV8NgSgZk6vrjNeZz3u7/uuHlD97BdLLq/3jLoqtFnu4E8f4ceePBICgDyUFIMq2pbA6Isy + mBGLAPP27Gx214PvHiOoY2ElIjEVtd241vXnWYRFrK5sPgz3AExUi6gJMzVduyRsHz1y7zvevuza + 0MV+ORJC/Rr0kjvIiwfjAQiK1mze73ztw38YdkozjCFSnxccKZgFnVrawbbsSy/JKr9EjYXY2Ehz + 4v7YMb37rlu/57subHUDpbaZ1YuEY4pFVmuDoC+XE2nrIOrYYCla8nB0tOcf+hi+8tgtKAF5HHsj + CiFwADObyTAMMUaafn8X4+p852tqb+Lvbi7u3j3ILKRowbKVotlU2SghMqMr463L8fy//+CFj33i + HqMOWrSYoBi61IyJ+q32W37m75+6eHE+2wwGVpCpkhipMIx473XTZEiKqGBjA6mZdumFpsVtt77l + x374y4tLG1tbYwYAhWVFUQAgIiICzGA1z1jBSrT7pQKICTBBLpo3DMfObT/94Ye6MsypvHD+wsZ8 + izgqEJqUpyTI65eO4xgCmQkzz+abFy5dMqOZYr5cPPHhDx+RbGUJwABmJmJgdUQA6vO6Ony0DBRd + WgFz4FCzUxTptFL69r+N7/6O01zaI5uJQFkAFppSakECKBn2lWm9lxDXVPUpIxZQW8UdBcbr7j6f + gkaM495fIqwu3Jd1svXqEDD90xg1iBcgAkPTqIsnnzoqCKag9U6sZGpmkEO6C9ccXWEI07q1K03d + I9tuG1bYWHLXtI2WI2rLL35pSygMQ1QQdsOa63pTAkiVkbtu4447EFNJsSAYpfqyVc+gWM3A0EM5 + 82E/Lmui9qIPvwmreOPLpoiQvWQncxVjVl98eWos+ssdkdVIVwNIQNCFhHFoNT/1Bw/f3me5uGzT + 1Gl83ZtDBWPXHnvbt+O1d+8YsVDXdX3uhVQBssgWhEiJYbs7OF7tkdJ0DzCEYIYIiwQEU8D6SDh5 + 7Pbv/a5tjrUTZBjHpLCvHzVd/oxOHer0JAPUUNgYBj713MVHPnek73kcRaS2D0PNNq6/z7hBYuPd + vs1ms3EcY4zL5TKEcO7cuc3NzX45zuabH/3ox/7+T/3Hzz9/Ohet07cOMInLzGp8mojUX4+Rx3Gs + E8ZijMx8PSYFGl3RNSkBrLvrZ71vsoFv4Om71xgz1wz1mnu3bjav9nI555xz7vpjZiIyjiMRxRjN + LKX0MmVWVLWOOpi5lmUZhuGVW1znXhHjOKpq0zQ7Ozt14C0i8/l8fepXq3vUkh/rhOz9EpF1pnXX + dURU319Euq5bLpf1cX2Z6u7pZ9311nWRnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn3AEw + s5nVikl1lgczE9F8Po8xppRSSkRUJ3S/2gt7ba3qHdWvqfUZ3fvj6YFuZdnIQmSFUHOs+fJ6aOsa + JutKJt84pd17XqViB4UhbIf2X/7Fl6BZbCQmmDLjuqnC4ADgxbfXutYWCGAGByGWV2rT1rldG13D + OUMymlCWC6zjt1fl72BTKz9sBTJqURRmFhEApZTFYmFmtQZFtVgsSiki8jLzRp1zzjnnnHPOOeec + c84555xzzjnnnHPu5uSx1u6Vo2AhKhQKsxAzEBWtaCMAIGwlWAkmrEI6Bs2MpmnHXs+d3+bQENF8 + saRT5z/za/8qnn6mtQEmZYQCFEBsBgFghMwQQjAkRVCw1ew+UqpZm5BVLCIbYogm6fkBt//YD+Nt + f0ePHcl5CMiMzAYDF4pChP1nOipBiTNzYRghqTai9U2CgQxCXJgzs4GjcFJtNG9IPv3wJ2fPvjBD + VAMbkmpDkGKDAREUzUgzkEM7v/8+vPUtC5gpYtZkRAbAjLQGEO6NSz9sGZ8G5AAJFEAYSzIqKktW + /pb7j7/5/gscCyFwICstjIH9Xs1uhAJsUFg88gX8xZeiiEbWJmWVtqAVkDGMWRHMANi16Q9VdYpV + 2Ny47aff9/ztryntTMfB0IOySYmMmu9ew9eVdmPdgakBJFWGYtUIe8AiRuislJOPnznz7/5DXCzD + OFDqLDVi1Pe9Wmm7ZMhkOakGgxKEmIyDEU1N5XAxUqPdzTyFwdsUPU7GZDxdXm9Q8DZpn2jkUWi0 + SCCKyrFYS5IunMYn//yx3/h3R7OMWcRIEUdD26U+6wWhN/30T+C1t8+OnbBliarJCsOMMESMDDIK + ymS03utrAH3drVQkEJvZ2KXwo+9avvmecwCBKAA0dS9BOUoggwHKCpKAHEyMoHv6ElXLUKJgQMN2 + VIbTn/9cefRLG4vl8W5r6DVQK2K5LEKL6zrW2ghIYZACNg10rpTSdrPZrNvewRcezY98dkuHAFCA + GkiNzWqPrSxKYuvr2A2lgDkUMwLawKyiRYSgs82LG/O/9Y9/tt/gcuRo349HrJlnYqsZ9mwgna7n + 54N1h4apNdZGOG0RtUBQQh8M992zs9EQpyi16WoSJLGaUlkzrUvNpyXUZ6bjEZQUDIjVnxqV5em/ + /FIoGouZkRjIqFXqjCPosF0WTwAbA5wZfUIfMQTLwYRUuKYRK0gJGkwDCqCZLLQdis0LnVjK2c99 + IfY7oa5SAymCoi3aaKlrSRXKuMThtjd/C8iITCST5b2b0ogBRj0C3rgOYe/9TSKrfSYHRZj2L41W + c9BVWXMoJaiRsmkwZWhGabomjEt75plnP/v5druf0RXvyavseTHYSHypae5+8Htw69YyMtQ2EFMx + I5SgNWO+7qHBOCqTHa4xkxJbXVGGtqAREHQIGI60b3r3O8Y0o5gEACPruP/FV4GoaaDAl/q//vBD + J5ZjGjLHZAQhrjcjJZRGUPs3dwO7Yjy2NgxD0zSLRd80HVHY2NiSYl03+83f/M2f+7mfq/NO6xzU + vfnT37iUUillHVoGIOccYyyljOOIVdz1OrHYub1UNaU0DAMzN02jquM4eqydc8455w6gZlQ3TVMH + qESUcw4hvMyvqGqNsg4hiEjbtjX317kbRk2tBtC2LRGt63fUJ5l5HMcQQt1TDpDsXs8EiaimVtc3 + WUdchxByzrPZDICZhRDMjJlreZGaPd/3fd15nXPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn + 3AGoag20BlDTrJm5zhbJOTdNUyd91J++/HyrG40xDATwuvbTFRVOXvEKJUrIUkCM2NYaRrnvRUy9 + EoP7JrDBRFWs78cU4v/wAz8Esdh2tTDfqplrrQHG2PvkYdE0Ta1PUoUQapWSdfdlZnUGaO3lXqXF + dM4555xzzjnnnHPOOeecc84555xzzrlDymOtD5caQrw3iviGwwDDePqmqFGCKjylk9XEPgZqvu84 + aGq6bnNr2FkelXLU8MS/+LWjjz95d0OzoGUsqpg3HIxFFAQD9PJWTQbY3mcMUFvlW6eE5VjONzO7 + 7013/OSPb2/MdsgCI1hh5BqGbQhGDAAk+/22VsObGcLKhrjKdyMDGxsgtJum3IhtLBc49dyZP/vs + rUK6HM3QRhI1pNALADRNyJIpMSOcyfqWH3wQLXLbSkYUjorABCajKUqOoABoN9P6EO3ySpBAwkgh + 2iiWxxhoBwUp3Pne9z7HFDePkRmjEAkF7HvtA8ZIudyx7C889HA0yWMfQjAjgkZFMGbjZBYVML66 + sd81b69evSoipZQXiPG333rs3e86n5JQYADQUUFMZMwaatq00ZR0qwRjEPZGs09vPgo4IcUQx/H1 + oX3uoY+Pn/qzO5m5qCjYmAw5Z04hBNZSyBAUQM20BhmvLgw+XOp31FXq/N5Ma95zo1Wqq3EojGyD + UUGAmXHRdhhPLnbmTz376X/+P78x0ywb1FQRY2wYAj5NITzwptt+/EdOQZcGUgumBAHUSIVYaorG + KkR8+izCuo+qkY1kfEEE95y8/2d/4inmcT5XBjOYiSlES6ykNWaXAAIDIAWspo0aoAoBVDWkkAIj + DzMqR8viSx/4wPHlsBnj0AuVJloyGUK8vpN6FYwYhEwDKWwsuW0ajGNT5Ox/+MA9i2U7LuqMFQIM + BqAGzRoBpMqiXAOPmcBQVkMKEbACECGn+HSg1//Q9+FbHjiV4gtSYEEGaTnWLTjF5QL1GHT5ceEb + so6JZSDsOUzHQAQYsCTD7cfozltHCjEQpiOaBpv2XaMpm1YB1KRumr5m/eIB0Jptz9qoXPzyV/DC + djAVIjZmCVGRjKIFxiGc3sMwFmYhCKuxkilBgdrsTclWh2AF1JhCCKWUsBixXS5+7os8bFOk6cA5 + rRCpicNGIANCOMOMt77VmHmKId4dLa33rBtyBHVNp0H8jSvs2k/CqDnMRMZY9f/BNKkytI4SCxuZ + MSSYkikS6bC4Q/XRP/jIfLGcqzUhmO5u/fWXMkYOumgS333X1re/9dkk0jYMtmVpjesrFTBAGEYI + iqDMh7EVTWHVNfabTUuwi02gt7xpdt8bLzZtJlDAUkFx31uMgCI5hmaj2MVP/RmeeGqzaAApsRIL + 1e6zJtMjao2xdzedruuGPs/nc+aYcyYEIvqVX/mVX/7l/65G/QGoMWOqus48+8bVd1hPc63B2DXZ + ummadZRgDTbzmEB3hXry1bYtgMViEUI4QCN0zjnnnAMwjmOtUDCOY629EmNc1yx4UapaxyEiUgN3 + U0qv0OI694oopTBz3/f1cd0jcs6llPVrRERVD1bOo55F1shqAOs9KIQwm82IqD6jqjHGWiMJQM3S + ro+7rnv5/dQ555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc869DDOrc/xVVVXrP0WEiGpSbJ3Q + 3TRNnWNyw9udIWN4kTpdhFpp55om47508RPmpkUeAQQOAFLXhUBMhy5m2F1fAtEsxs22Lf34wtlz + AKFoqHWf7LISRYe2pe2tT1Ijruss0WEYahdXZ4D2fe/z0ZxzzjnnnHPOOeecc84555xzzjnnnHPu + Cp5Jdt2wbyB38JBjAxmzclQOBgCFMQT0EYVhhKhoC5IgKkCqBI7tos9N180gt5y/uPzA7178o0/c + PY682K5B2CmhoYBRxYAwJRMH5aBsYCE2cI2nZbMaKhoMwSCEwiiCZdN+dWP25p//z3Hv659XLjEB + RsiEYoAS1zBgJVWq8Zz7s05mZQPBQFYfA1BiIyZjMjbiRsvWsHjuox/Fc89tikaxVH8DyFkioWHW + UQYzi5ybDTp5O3/vd56dt2NsiELDiYuamUFAyjA2rTHAh5MRNNBSMnOIHIJICCQpXQiG7/oOe8N9 + F0XUNAJAsboh9ylxpMXy9nE48+lP4/lTbdGys+xSUzdKUGoKJ0HQmqZ81ZKta+xxzVcIIYQQmHlI + 6Uw7v/cn32d33Tm0EQ3BkAAtBhCBgzIb15YpvCeSs6awE2S1eG1AGRBIAkbpXzgh5dHfen987nxX + iogkoyPd3MzGnJVARDUiNClSjaI0FmI5ZJcGK8FI663uaDU8uKZ60+6N2biGchMZsRWYMERhqk3C + Cc3t089//l/82l3bCzv3wmZoRK2hwGoEvgA8cWLzTf/4P8GJWxbtxo4YxaCkRqqkOiWG8m6C9WoP + UkC4/ldDYDOKFHrmc7Nw5AfeeeQ7/u4zsAVBFREULQCsIDGq+ztPXxAEJYMiwFgNTQwESC4gG81U + y1GUFz7+CXz1yeHihbQxh6aGmhSpjNvX+0FARDjGAhOzE+1m2B7SzgKnTz3zx5840V9KxcyQBcaB + iYkNmFLMUbtrBozZKCBCAwMmuiijRRDjUogvvOGOoz/z4+e00OZRoUgcrU0LKwBCbf/CVNs/1625 + DwbUFlJD4sOe9Fw21MiWPjLmceMt922rgpIRjNfX4CtIa3Mie/HEXALMpmxmIm0s87PP4sm/joCF + GBGCEAuRgUHXdE7LQRiT8hQZDgRDVG20NCpRjaBY7d1GJmTCmgj9Ytm0bRLFY3+98xdf2jCFjDXq + ezVAVdB01A5AadqdI8fwxvtyiEQWWUE1O4cVvL4HlEwP7bHvUFkf9ewlGlRttK/Aypw+h+q9gjSo + skntR4XVqGYqK5sRhCO4X+C5c8/9/sNHrLRtXBaZdrEav0y0Tq/vI8508Q3vffd4x8nzXehriLZo + PerXo4+SrXp+Dvse611jxkGJjJUgq0NVgChhkSKOHr/rwXc/GUNuAwzpQH1DIIpgKG0qHX3+VP/7 + H+rMbMgGVoR6yAWUzepudkOGx7tdpLu3yhjGCi6GYciBEyEsFst/8k/+y1//9V8HsM4eWywWAOqs + 1P1+bEqJmWsQYCnFpnO9ZGbL5TLGCKBGpo3j6DGB3yAzM7sp9tgQQp1GaGbz+RzATTId2jnnnHNX + XdM06wFtSklE9gb3vqiu63LOANaj1ptkDOZuHrVtxxjNLMZIRHsfiEhNdjezmk693/dn5nEc6ylh + 27Z1h6qVj+oJIBHVmPn6YgDjODZNA2AYhvr6elrqnHPOOeecc84555xzzjnnnHPOOeecc84555xz + zjnnDiCEYGallDp3o2maOqm/PklEdYLJOI5d173Ky3pNEezFInvXlYqEpiIcr1htEt5T46g+tloI + KzWQQkAZBgBmEPV5be6b0sQ0lnJpGLqmGfuhVjsLX9+sDLUu4mFTk6pr31UnoI3jOI5jnbbGzERU + 66J0Xefz0ZxzzjnnnHPOOeecc84555xzzjnnnHPuCh5rfajVq0hvpOi4KY/WQFZDBCGsQlAQQGzE + RjUxlJWDsqpupqZcOHObFPzFo5//N//HycWiXS6pgAMAaAHGHIDEQY1sSqXdvRhXVxcKE5ShbLtx + pIWxHenZNt7yw+/BO77nAkXlNoSkMgWelgAhhgU2tin4dl9fFrTafArUxESdlmqK/wzKSacLN5MK + zp1/6uGHj5qQ5RYtIYxiIcIMTSTLyoqOcL5fnE7xvgffjc253HJ8RyxYjGBSMzMjqh9aV8Y3u82u + KWYRMXDTNAySMRtT381w8sRrH3zn2awjDA0JLAtC2PeFrFqkI5rlXp598tk/+sQdoUlqSsgMYaUa + lTpt1KuWaQ2AmesF+iJSNwgRjRwuhJnd/boHfvJHv4LcRy4KZlBAjSuurWVailUY5zrTuoYiK4GB + oAiAZMREBcstK+WRL7zw/t+5zeg4sQ1LZkYMRTWAA+K0X6jWnGZMGb1X8ytfLetoVcZupPS6D1zl + 3VYKUzaNFhIizFrCLSjtuVPP/fpvxM9/cXNnsQWgCAFho93RYan0HHD8+96Ot7/tjJqE1GxuZhNl + q6sXxmREVj9SsUolZkAYwlYDsMXUzMg4NPOLTRq2tt74Uz9x7tjRJbMZRKEwQA1yxbejVe/E67jj + EEKg0aYYbyU0Y771/MVn3v//HcnSKcigMqUmX9tVf42xIVC0YjWimGCzko+rPfb+f390ecmWY1vj + KQ0wAqmq7Y0Vr5u+ph0LDNDIZFA1WExnjU7PZvf/g5/CPXfI5rHlojShA4cxYGQzmvLRoyAoAzBw + TbLf11cwAqBslx2UDRARUjMOJcazwW77tjePqRsLhKfdFqsDU7DpvqZr1+NRWLdzIjNEgikI2mnZ + 2tkpn/9cVA1kATEa2YRMD1uudUW1X42qjWhSi4rLUlHBWE/dIVIrDbAx6y79wcPHLi07iNRA4mmY + AOMpd5kMAvTMG29+M44dXzJMxVCgst4cCth6m5Ltfu517rJmeg1mdHx9oPV6BFV/8gqMQg0QYiHO + POXHr4Zv6xcwGQfloMwGBpD7k127/IOHjzx7aiYljzsRwKrTWHUXBGMhLBKfPXGkffBdZ0Q0tb1K + IYttJzqFzdf4XoKRAaD9dg7XGtXxszHqqGCa26aAZvAFpvjOd156zW19pFIwa6mMVx59/kZmxoGy + jkn0tUyPfeQjOHd+s2hQXrXBqe+zumfdKPuX25fFop/NZl07Xy6Xly5t/8Iv/MLv/M6HxlGZAwBV + rTFmNY3sAO+fc65vMgwDrY5ydYLrbDYjou3t7TorjA7nMfAQuxlSFUspdRph/WfO2acROuecc+5g + 6tipaZo6sl2n+b7Mr/R9n1IyM1Wtj33I6m4w9SyvxlrXZ4hoHfpuZovFIqXUNE3f9wf+lLrjDMPQ + NE0IYf0/WXLO9Xxz/QIATdPUIiNt26aUhmG4GU58nHPOOeecc84555xzzjnnnHPOOeecc84555xz + zjnnrpFxHEMIMcaccwhhHMecc4wxhKCqRFQnkqSURET1Zio6QVO9F5rCfKfby7jW01yMWENEv0Bs + AMSmgxkREvu8NvdN2c5DS3w0hBQCqUEUxLrvMjavmtp3Aej7vmkaADHGpmn2Tkzb2NhQ1XEcb65+ + zDnnnHPOOeecc84555xzzjnnnHPOOee+AYcrtu3mdAiTZa+dGlOqpDJ9aw2GqGAAxgquec+s3Ag3 + ihZMy8WdJHj8sUf/5f9697OnNrUgIST8/+zdebAtWV0v+O/v91srM/cZ7q17b90abhXFKDMigqIU + PFBRGnuwwwAlnvqIlqfim+xnR3S8Hhx68j19r7UNegg7+vXrbsU2nq3BcwARBAUREChmASmGqlsD + NdzxnL13Zq61fr/+Y+Xe99yqoqhzqeHU5feJHSf2yXNO7hxWrlyZZ+X6gqGAGdRABEQZVQFlKEEJ + WuOrjVRZawjfOtO3Botm5vNXHBmf+fSn/OSP3ht1WfjK2aHx3KLlQAYDZwqZpigsIzVax1I/VDWy + lAAjZEYWNYKu+iXXda8vpdyWEe95X3fLrRuUjYyYVU2BAkQBiqkiMEXBkrB74nh41SvvYV5wUGNV + qMKYwAZSul+w996o74NDTEMICZqJhMxyVkUv8WzbHH7Jjc2J6xKCIlsN8tznoVLjF0MTmHVWhtve + 9Re47Z6jsR1ymkogFUAL8SpY/OFUo6zNrHbEV9VSLMjs7lTw/S+n73jeGQkKFAMFGNUIZGVoLIiF + pwUyKJAZmS9E8JLCMmZSA0c1RCn9+et2hzt+/2344EevWvRRx0UeMrNJI+ioCMA2RcOqkRaeAncP + sr2Z1jZF3te82+kFoGYMd0m63ASSTcvxSzef/eM/vPOtf3zNYt6WIiLIutnMbPccEZZdV574pOe8 + /nV3Rh0kdtINJY+ihVAIsMAmooENgBqXvYuhhMxTsngyNYIVCAKsPaXAt33riVe/Mm0cNpYeNnAx + LgITMtJpsesxWB9RIIDADEbOzFyjnDmABLHghoI7/uw95TOf63Z3GJrYtCBwxMMfaPuoCiY82maW + Jtk872y3hI99+q63vuOKml7LYEYExKYAoWmLrSptMiioEBTJkEElMjqRwfjU5vax737F9ve9/Cuz + AJ41I5dF4RDOpV5nQUnJVAxiKqYMFELhr/F8yP3VUldPH8BUGFDjYJktF5OwKzj+jGe2x69aEtVy + passdjKIIhaIEhsRiIxr3vYUG0ykBiaup6qo6Yo83nrTR2m5i1LEAFBhTWz3zyE+COpSMRAKaqa1 + KACFTWHuZBQKhUKxEBmPQGxD7Be4/fZb/+oDVxNzUcgUSKyEwkiEsmqoJsJ5CTe85EYLMoDNDFZW + 246nr0YKZhjb5fzYAE05x4/iJz7Cn6WEJBhCPdlBWctF5ZxYSYxEiYwKEYBmLLjnzMm3vvOJQ25o + 3FWNXaPrQO6p6mAlKoTdRq582Ytx3bVjYUkkIhmWBCrExustKQq2mrF94JKt15dsSqpTq0AZypA5 + Ap5w3TWveNlOiACgxvvfZbVWUyCSbljC3XfuvPe9R4rFAoBl1U6qB2ahfdef7nHMGMZKrMSzblML + dnfnd975lR/8wdd84AN/XROE65NaRFSf4KqBf5cWJ0xEy+Wy5pYxcyklhJBzrg2Dra2t+ikxxvrI + q3sQ32iBbusiR0Q1flJVa8qdc84559y+rMN66zgFZvbg7c8QQm0D11vBXdd5Y9VdfuoQHgBq3Hu9 + XlsPVFSn7+zsDMNwaReDqrq5uVkb8DHGcRzX/1WpM6zXm3VJtra2Ukr1p/VqUVVr7rVzzjnnnHPO + Oeecc84555xzzjnnnHPOOeecc84555y7NPX5EVWtwdUiUqOsSyld19XpAOrDU3VMgMvSxY/p8+p1 + 4Qd1ODitUx9oeJuH90n/9eBL2DN6XiGc6ec4vIWcS84o5cKQds59HQ7HDsCiFDKbxnY08PqJsfXQ + aQdVSqlWZV3X1W9rPcbMKaW2bc2sPh/XNM1lXI8555xzzjnnnHPOOeecc84555xzzjnn3KXxblWP + pQeMld3bixSX4R5ap+qqkrLV4GcmYzJmC6yBjGsKL5nS4vwJoea2O9/33/3z9gtfOkHWmI6KlJAT + GAgtWDAYcimrVM0pFJOmiOtpqvHFfSKNl9J+ITQv+Omf2j201R/epDYuz+8e3thCUragEAXrFFyq + ZPvOtCZAlGXqDWyFkRiY9ikDvOoorIzclhLG9Jm3vf1E1jxfxEijpgLrOioKVZBRI1wM84x49Ag/ + 62m44XpccfT8YujaDTPKpsqqYsUyw6Ys3vVGOXhFiQyW8kZsk2GZsog0TSMkI2h3hISiHAAAIABJ + REFUYws3XHfkOc+az9plNmZEkaz7jimNzGUYARwSks9/ETd9fFaKaSaApqKomTnX8LyHLwY1pVST + bisREZFAPPQ9Zhv9oc0X/PiP3bW9vdPFHDAMUAJIgVIDcGtv8nVRXYfpkjHraj8aBBiSqZZDTdwe + h8N3n/nsb/0O7rjjWGRG1lxM2RAVYmAjGKlKKaxGuoo5P4ilggyMvZnWQI3SJBhQM7lrLHcQEpCZ + SLFDYzpy5px+5KZP/eZvPUF1O2sogIJAy3FpAamTk218zg/9x8N1V883Z9o0i2FJAjUrVDenwAIb + k2GKzyZdLZLVekDJAGUBMxORFWgi2jg83+qe8NrXnDl2/PzmoRwiw6hGQBrMAJv6/a+q92mXssSh + qJEGgqqljKIQoB3y8X74zP/3+4cXizYvpJHQdYvx8R3LR8apT5vNhmSKpWywtePubb/7e9ftLvXM + smuwHAADg9hQAAr1r4CL861BGoUNWooZINyc07Bz/fUn/t6PnN6cLdrZ7pACt1sbG7vnz29ubuQ8 + GqmRKul0WrFpz05fHzIGagIuGQpxmWLO1dhqlIsZMoV4zdVy7bXz2SyTAKhnjvrL9Xyy50REZLxa + L4BUAaFQiw0rNk3P3vz5ZnfRLXtYKYLMUCYjIhI7SMevTQHeRlZPmwagsNYNVYjIhI1FQ9DAGtiQ + kKPp0cXi7Hvf35461Q49K2x1WqwzMpqOnUJITZw3zbEXfsspCSUGEWFGI7X2ns77lzG2h/OZjnXR + 2fvw0v0/EY/WScLWYcmkStOTUoWgRAqCMVsgDUAwcGYmw/Gsw4duspO3zua7nHO3gaEfabW8q6Rq + LsSD4HwTvunVr9ohbMyusHnZmm0Z02IcTJgwBTYDWhtshfmgZVobWMEAs6lYPdZgBAWLxMTtWeCp + r/yeedNZF8YRzf7LChNS0UaCBIx9ORbkc29/O3Z3Z1nJam2pBqox4YX1AS9h3GVPVYdh+OIXv/gj + P/Ijt9xykohUQSAzu0+iMIBLixMupdTswBqVLSKqGkIgopqUZmZN05hZDQ50bq2WHKwKyTqE8qt5 + TBfWOeeccwdazllEmDnnbGYppcVi8SDtipTSMAx1NJbaCAkheLK1u8yYWc2Zxqqc1+u1mvteG9g1 + WLr+Z2S/8yei+Xy+btXX+QOoN13r9HpDPqWUc26apm3b9UcDWEfRO+ecc84555xzzjnnnHPOOeec + c84555xzzjnnnHPOuUtTSqnPVYUQ6vs6ve97ACklZq7DC1zaeAKPS3seWGFM4dE105oMuN+wLY/o + g+zrAeUKcdzchCmIJDQQgcjX+mvnvrZ56otpAwzD0MUGzCj6AInpBzXcOsZY35iZqsYYiYiI6nsA + 9Vusnph7LJfVOeecc84555xzzjnnnHPOOeecc8455w6eS49tm0I9zczMhwu/ZKpa+++qaiOhfssH + s8vew6EmX2ZWZS0EJZAxG2Y80z63KtGYMijK0FFvy6Mh4eSXvvhL/9M1f3PLVcBi6IuBCIGYDWDk + BFW0BJhJLc6EKVSTQKswVGP0CmpIDSTMTbdQPdfMXvTGn0zPeCpfcSSPaaTRZhjGZcMRFmENIAAb + mZISNJju94AhTMtgq6WaphOVUshMIo9NyZaOjHnxoY/pLbfNlsNWQC4DEYgoj8Y111M4GRKIA985 + Ds957Q/uzmJfbMZNSSoiiZGFMoyIyCAGGK9jPumrR1c+VtjQAHkYiYNFSVpQFGoEWUL6tjv6yld8 + peXSBSZoKZdwXBRViciKrYITO4svvOUPJA8BKmNqgdHGXkpu4mAgQED8MG2iGrqgqxxuVVVVMnRm + WdOZKPT85z31dT90y6Hts4qGQQZmgFCgBcptW0wDQt1lYgiFg5LoVKACYBkENITGoMtlQziUR/7w + Tad+87fD2Xujpg1IJ7N5sRQbYzazxJqC5UhgC4pY8HCt78NiCvO2Va/9KdOaAU6mCIIoveaCYmyp + jICWYYwh5MjQcmxI+MhNH/9ffuMp5+YbyzGwAMhWRi7SYAROCW9+z0vku16Wm82GYkJGJENhMoAA + Jo1koiAAjMJWjFBWhU502ly1YjEramTgxmLJfFpCvvrYc/+z//RzscnSNkpjVgQQQQGYwKbKw6i+ + VUCt5AguxSBQAzMboRhKweFS9AMfxp+/d2vYERrnKSG2RrI+82J1Fn4cdY/umjaP2Ygbpq35zvkP + f+CuD7zvqly2GJYQmLMKwGaqjLQunAZSiCIWjmpixaAkKAA3vJt1OHLsOT/++uHE1fPQpmJomsxI + KW01DfdDYwbSJJbEshjICCqWyfQSqsR1Am6N4K1HOBFl06bpxjFvdltZ+YaXfse9G93SqCEaC7il + TMgEErYL/fQvOp8YIRuYawQLAAhDct8t57e9491HF6PakDpboiiBQ6zpngeJGk1h8EZaCEPQQbgP + yBJSQaBWcpDCLbc2Khlz5CYNfNfpk3/w1ityKqXvgpBCCASYqRXtuEMBEUbGGeYjz35G2d7quxZN + 048DAKL71NvMl1e69d4GtpnVfM51u5FAAAy4hGa4qiKE2oYvpYhI0gK+MKNHPbdYQUqmYsaroywx + QHEYSuCGKBTiwYjallLiXj/1+3+4jUIwYVgB1UdHYigGFhGRHmWQsJh1R5/9bDz1KbtdW5JtczMs + lvU3FRBlWTXuyEDGhbjQAzxQ8xhSQmYUAhvElG2akhljKhbDboy44YlXPve595hyF/L+l74YAlNB + yjm1HbBIcsst+NBNh2HjYt7NZJHmFJtCPObkccKXvRobNmWDCUOCKRGkFH3/+z/w2tf+8B133G2A + gQ0MFgDreLP7pJfRCoB1yVnXbLUlU6fsvatAROsHXNdv9j4h5jcfHopv2I20t4A9tkvinHPOucep + 2nBdtyWI6GteBDHzff7Kr5vcZYaIatw79gztsfeabu9vYs+1YR34Y++s6kzWE+vc6u/Xr/W2Z73p + vfdKs6Zor+dTLyHrP2LMbH3Qre+Wj+N4nynOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPu + QdQnNdap1euHMtZPgqwf7pBviBDli4e0KSCDAXWjEABVpMzT+EMToun1MDK6+DPAhXhhGUJQBaAp + QZUIpRyo4VLc4w8TG1EGarb9RaWZYIDVN+v3B9XecUvWT8ZV69FLvjHqMeecc84555xzzjnnnHPO + Oeecc84555zbB0/6eYzVBK/7fJ9zfuyW6JGlBCNlTHmiRigMBY/juNHOVFW1UFCynOfnr4m0cfdd + f/OmN9lNnzyxGGW5DIwgaIXZalKsYBVAyKa8KtBGU4y0EahOJHQReTCJYSh0z5DH41df9V1/Z/sV + N56/8vi5xWAlU8claDJNhgIxCBuvOherGMgu4Xi5kJyqNS0XRMZpyF3TAhjyMNd+s6Pu7Pkvvf3P + Do2pLQgKBtbdidkgQkMuYBmIz7bxyPOfjyffcK6NhhALiREAZVWqwaIXtoOCFHXDKPbM8yAgQHTK + iDWwEpSIjck4FQztDN/8DHvaE+ckquDYKmy/VRYDKUEEudcjqZRbT5756w9fabrFDMsWtLCNMIRI + ZFYe4ZhYUlCWNqTYnaFw5Pv/vfScZy0OHxnDhQRKIYS2mQ/LCEaMNZ5VlMWmfPQ6I8OU3EoGUYiC + DK2mq5eLU+/68/mfvffEYjyUsqYebAk6alGmwjpaynmEajQKerDKQ8W4kEZfKUGa2I/DMo2hbaSJ + IhJjFNBG2y3OndskO9wv8LFPvO9X33T16fPXqHWFUJCB0M3AmBvOd2F+4uqn/fAP5muPnx0zspkZ + CRhGBoBJA1v9CgBkCtQDCoUBkFzY/hBTshpszAArhUHkdNfiuc988mt+4F7mFGMIWIwAcwgNLMAE + 63h7wGCA2io4lqfqhWARJiFQGNJ1i+FTb35zu9yV0/cc2tjsVXOxGhtZTxw11ePxEpNjpPOx50by + uDxiOHJ65/3/8/9+Q5A49KIME1g0BACgPZU5uEa3wpiAmutuhr6gabCrevtGe/z7vqv7vu87vbld + EEVZwUYg06AaVNm0kCmhsBbSQgCMDLz/wr/nGGSbTme1rEyBK13sNFEPab/9hbvHDoXNQyVZFzgn + I4YxsinIDFb75T9413w2BMV2Sne+6y9ny6HlMi/LpglD3x/YbvFak61hhbVMQbykio12s4yqCoS4 + yKMGjmLN+Z3jRed//Cf0tzd3adygaAVMsILAiEEAWNIIFg6Z+FzTPukl32lHD8+5JEMTWyIZxxF0 + 8a6cguovE3ubiFSf9FC7T2osgPtN+NoYhKK0p7LlPbXuo/zcSF0MURWDGMRUFAYy4gxqupkplWyF + iGIYhuV2NvzVR2Z33N2NIxPEgNpwNiqpROFSUs65azYTyz0Unvy9r0pN7EMwcJ1/rU9wn3YdKUgN + tW4/oNbtZxijVnchWmxGohPf9V13t+1OKWH/Sa4EAMZkBowZHWP79Lnb3/522t29son97vmNrY0+ + lwKatd3YL/lRLiLu0ZVSattWREypFMs5l1JE5C1vecsb3vBTZhbChRCy2hp5QCEEM4sx1lor51wT + Ac2snsVqk4aILqRoO+ecc84555y7LNQrvpSSmTFzzcAOIahqvTAE0DRNDbHerzo6EhF1XVfvlKaU + 6ieKyDAMwzCsZ34w76M655xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc865A6iOWcP3n0TTFwEA + BRRjAi4M2/JI09VCGdiIlqYQRtuNfeLYgnkcR5HLZ8gd95hQrCKr9xYlqpnWqlBdJbsfuHHrnHPO + Oeecc84555xzzjnnnHPOOeecc8593Q5ubNs3jnWIV31DZuUyjbWumdZkaDMaNZBlxiBIgpwzMy91 + LK1xy2U4/wSz7ZN3fO5Xfz29571PiBIsw6wJIhlpUIISwBYMbSYZhUGQi6NwLyRbG4QwJASRPhWN + mztbh+5+2g1Hf+LHltdeuxNbJe6CFM5LpFE0iRQKQGBFUBVTMYheFLX7ENWAbexJ6q1vZqHRlCnQ + gJFm2tiAT3x2/v6PbiYlAwNiYDM2YwNpjWGF5azd7MuNXPs9L8fRo4mEDaFA1OoqF54+i5RISUF2 + gKMZAdQNW7eq0hSSSEBnPCpw7ZXXvuLGOTfZqE8DS9hvL24KU09ZBgIUp++9/R3vPrzTt5r6MhCZ + iOSkNZYPsPvGoz6sjBQtzcdlpKDN9u7x4y/+x2+8pWvPdjO0GAuoQTH0w9gwc9suhmViLlSj0Int + QlKrEinY9lTgRiBoZ+Ph0/fc+uv/F97/mdnZ0zHkVhSWwEAUCUFAbBADa2G1gxpLyXtemFKnecrx + HftFv5xTyVqSkramh3fOdydPfvCf/8unnd/dXC6RC0FgAkDzQIJFpDsObT/lta/BNz/v7q4zaTqK + 0AwtDGNMkdJkzFMnagVghLJ6AQiKpjAbkSEoxFAIoyAJFwJZ6CWeOXbkmte9Jj7v2We7bqHY6Phc + UZMAMIPJ+EJULKnBCqMwqO4RAymzBbZgis1IzfxcvO1L9/7Wm08o2c5ubBswEREziwgRrZOtHxcK + gw83O+n8scNdPHP23jf9P0/9wj1x51yeAnSDghVcK7t1WHthDMKDcOELXd2JMOuQR5zvNne+/VlH + /v4PnbaSuBXloGykSpgyraGgaTsbWGkKyWZYUOyr/BMu+v16flktD02TjClTpoinP+3Yi15wdiyC + lgtrBgNEUAVkqqsL1aVazcTABrbas5+nKcBmyvI3n8dHPxUW59rGLKXDG1uLxW5o4qXvjEfM+ixZ + z31GEOOYmQcLLBblPI39jNM2D8POUyjgLz54+5+846o0xtRnS8aclUigGSglgghiECTk0PVXHg/f + /m2LiFFLYUDCekPR6kkHgq5PKI/ZVniYKC6UsaqWwFJKLSoPw4NEOVOtaqeTIMgufqrk0VJD3BtF + U2plC6krS2wG5lBKKQwNBC6d6WbW2//0XRt33tPkHAgEWEGYDiCAqBASlBWjhXTttXjxdyylU0gW + FJo+LirEapVz4ex6MM+K6wYkoOuzEquEUmPOi5ktQ8B3ftviSdfvcgDtO66JmFRhWZlhQEd85VhO + feQm/O3nDue+5dKnXmJDHFE0XEbJ8e4BFTMOYTmkAmPmGNq27X75l3/l537uFwCkrClrCE3OCiCE + 8NXmk3OOMY7jSERbW1sAzCznHEIopTAzABFZLpdEtGqNO+ecc84555y7fJRSzKzex66XhESUc663 + +mvsdIz7vs9ZM63NrJQCQESapmHmOsMQQtu2AOqFZ/0d55xzzjnnnHPOOeecc84555xzzjnnnHPO + Oeecc8455x6KdbK14sLQQPVdNkVNtu57mGEY5FEZqeQ+o8EUwm9/9jMIATk3basplZKbprGDOWyK + e5yo40OWC4VNAd07Ip8BBq0/eNwP7eScc84555xzzjnnnHPOOeecc84555xz7n68b9hjrI69Xt+b + Wc30uuyHWWcDryJFazjobDYb07LZjIax7J46kfPWya984l+9Kf3VX98wZDt/VpGb2CKVYmimtD4j + MBkBU9bs3kxrXNzFtiTMBH0pvHXkTpadG65/zn/+T3Hi+O3D2BflJlrgcewVhZtQyArBSBlKMDFl + 2Dpkd1+MYKQAqC4hADAZBw6lFNXcNRxTv3F+5953/cU1u/0sAZjCCwl6IV5XtZOosNw056+9Kn7n + t51VBQcADCOATIH6QesNy0YMYzZeb5kD1fF4nRHLNUoTKMx1ekuSmU/reO3Lb+wPHdJuawmQ8H5j + p1XRNMgZTddAyxXAcNMn8NkvbIwDNAVIQ4FKJjXVKUrhkaPAoH1swzjkvtBON8NTn/bcH/6hLzfN + PHbMWA4IHWp67zgs10tDZmxGtVvvnhhcAADbKs4cQCAcLuWJO/0nf/GXui/ffv2i7/rdhlJAoZJR + IBQCR7IL1c5Btk4O7ochxsjMDI0kM4kzjp0RFrvH8rhxx13v/a//2yvvumt2+kxbu0eDQcJABg0U + zjSzzRtv3PyB/+ik2elcuG0BWClCgNZM60oJWczYYMSFeB3Na2BaVTK8Shg1QmYUgoLZUCici2F+ + xdbT/uFPnDx6aNzcWg66OesWwwJT5m4NP159GGuhaTfU451X0bymZMm2GSdgt/7RW/G+91+vOhvG + aFZ3HDPXTI7HUbI1mTLlLeTte+7G+z9859ve8aRkcUAIwDohdXWA763P1z+oacX1t3d63NXEO48f + +7af/YeLE1feY6oxGk3p72wqpgzoVJlDATICUBhGdf77PgTqUilWmbKrhaT6wEkTh5wVYQwxaX7G + q155po1lc3tpGkAMmE1LUtX6XfekeF60yjaVlI1Unmh86+/+3uFizbmdLTCrhRCGPAAHcdfzenca + s5IoWmWMWUQK6yi58Kjj4gQxvnjbF/7f35Pbbz8eQwMtmLaPFhCBmAAzM+ImgXdNrn7RC3Ht1bt5 + kGDEYZmyGUJoAJsyoE3ZbDqOjGCXQxPXVlYTyHJRnQqOfR1ndQbKmMhQZ05fZU6PWiETgyjXo6y+ + qSc4EJVSimkIXDDa2B8vhi98+dwnP73VLzsDEdggADMbjCmkrNbAGIvcD23zhFe8HNtXJBFgipMH + jM3IQMZGvIoPV6OpFjqA4bpi4KlVaYWhYDEW40iwMlrO2Jjh8NbVL72xb7tUiu2zyUpEBhQgMDGz + Jd1mOjqOt77jT9p+3oxJU67nHR1TK3IAN5F7GG1ubi4WC2aezTZN6dy5cz/7sz/7G7/xf4xjZoaZ + tW2bcwawfvPV1LBqEdnd3SWi2WxWA8zWPx2GoW1bVa3ZY84555xzzjnnLgP1X5zDMNSbCYvFwsxE + pG3bvf+YMLOmaS7telBEiCilxMz1DjkRbW5uppREpO/7+olmVpfBOeecc84555xzzjnnnHPOOeec + c84555xzzjnnnHPOua/NALvfwCM0jTzXEGdNpgkxQvU/+eZvqeMOPRrLRdOoekpUiAEDM2KTxpFj + lBBUVfVxMKSYO8jWQxne30Ec6Mo555xzzjnnnHPOOeecc84555xzzjnn3MPKh/N+jNWsrxrrCzUG + MfNlH2sNACAxBEUsaIqq5mzjkOcNpeNlkNtuv/kX/mXzp3/15KSbaoOWJkTLuRiai8psZpRYEMuF + olzTT2twKe/JRjWKTXf49pTvuv748/+Lf4pnPPXLbSNtF4l7sbllzdZSDNKkUkAZyKDCyGRKBgPp + lGn7UFkNUKSpWzJhFbRpPPb9rO1SGTvC0Z3ePnvz6b/+8NW5xDLFVBoBBGMouBArCEEEfCala1/+ + Ulx/7dwgIABKapRBylAxlWmVa2441+0AwEgLH6yuoboKLWaF1ABLQAkENS0ssts2uP66rad/007b + NU2T07jvj1AQUAAoFeCQ8aGTd+2+/Z0hjZsSZIQUikAgMzN95EMazUiamKGFmMPszn688kd/KLzs + xbcsNVxxfASGgiYyVAkUJbLVvYga9gpojfflKeia1mUMAAMpo4uM/uyJZf+ZX/wV+cRnjw/nG513 + ZQh9kr5IZjLJoEKwSI/CKu8T70mi5fqtEhORiJQ06Jg3JDbFuB/bYXlCsHHHnX/zz37x6V+686r5 + vCUUIDEbWbYxtt08l2W3TU962je9/vU724dSbJlDTzg3LiOHliMKCMLGDDNOoCSWxZBIMgv2RMID + qAfxKtxajeruUJCuJspO2+TnPeW5P/OTX1TKzdZQVAIXSUrZADJhZTZgCsxW25vUTkUpKykRK2CG + uOxPnDn35d/8HfrybVfv9m3OZlZKKaWYGRGt860PPjGj+c6xxS5uPvmhX/v160PQfj4TWALBgLyq + dS9sECOIaVsQ1UBWE6kB1hKWG9s3X3PVs//JT+cnP/kUcdjeAFtiKwxRBAUDCiShkQlGoiTKogQj + /foiawtDeTq/MEwUrCYgIxSWRJSb5u484DlPv/KlLzoZkdAGargQZSCgzwAprdOXa22/R60YtZ5r + DMF0a5jvfurT+NDHnpik3e0tlRBCLuWgHb91ecggClGIoSloMzfZtpquH5cJqWmJ8+KK5bw7e37+ + m78jH//UESuLfkmMhmnMmURGgEIAcQYG9Balp9BvbD3xla/Sts1isyjFtCgZxdXjNNOBStCvFs/8 + uCagab3MSimw9fp+1TjqB1errDKmmmbMoBopdFGwEF0IzbZHuLARwMZkFz6Ia2S3cY0mYgaoUBm2 + 0nLj9Pk7/vhP2zOntwgBpgoziABEBoNZgSnTKBgIeuyKq777e+ZtO3JgQ2EtrEo1Vr4mqbNd1IRU + gvKj9czYQ7Q+rHBhXzBrkBIaJlgC5bGRe9r2CS/9O+PGRmLeb/1gZkHAQCkGkzFD1TaR73jvu3Hr + LVvz/tjs0NgPmksT4t5y4i5Ly+UAcAjNOI7nzp17wxv+/lve8kdmkBCKAuBhSLNZK0LDsHyQR89i + jOM4Aqg51iGE5XJpZjFGAGaWcxaRUgoz14nOOeecc8455y4DNXN6HWLddV0pZblcDsNQf6FeCTZN + M46jiOx3/iEErG5k1T+vX0spTdMQUdd161+u/4R1zjnnnHPOOeecc84555xzzjnnnHPOOeecc845 + 55xz7qFaJVsrcGGkomwEtCyBGYsF1Jqi8giPwLEaiaiOk7JaOgKigAyE2DYgmFkxFfHnaNzXRfcM + N0Q2pbzjfoW8jqbopc0555xzzjnnnHPOOeecc84555xzzjnnLjOPjzjMy9jeEdVrTKmZpZQew0V6 + FFwIvzQTUwD9sLvVNVeTHLn7TPO5Wz70878UP/HJp6piZxDFoTaSYLAiMWRgKKtEWBQgMzKbkV7o + ATkFOa9iQeu7BXCL9ncenX3nP/sneNaTT4JysxlYpJRsZrFtpOEESiXCxIwwRcwCgFEhLhQubU3Z + ILoKqwYAEo6qKsJhORzT5uY/fHs8dSrmUcDANIi/EZRQGApRoX7oheNC9bnf+733iFhskxZlVZ4C + j8nAClGQsRHbFIzKALh2GF3FSB8cdanEIEpYLa0BxDzPA7qNIuFJL73x9pLQNHtDyh8iBpDRkeRx + DOAwpmN5uPUv/xK33XHUJGZCssAUWBlAsT2Zyg8/BqI08/kytE3s2t35Ug4dnc/a5//Y3+1e+C2f + OX+eZjFn9KPGhpmllEI10JouzgskvXhPMjD1Pm9bnFvqRhM3+91jt97+yV/875tbT56Yzw/BWuHI + UTRwEVIahUe5f4fhx17t2VwLxhozs0FzYTWMCUPaAB1D4M9+8T3/zf9w5Jbbrtw5uw1ru2iMrFYs + hSbOh36YdXdsbT7njW/MNzz5LorEsxaiBA0sXZOzlqSNNKIgZFACJbZCpkpcEAioOfFKULqQEloP + pRpsLAa2WiPBzEaWezY2Nl720ue9/kdvFt6xmkarxkUJpXbJXhWzizpn128oATpaCTGooTUcWo64 + +Yu3/d+/vTEftpVCCCKiqqWUumUeL7EcbdETY+7OLT7/P/76NWfO8+45JmKLq3jeAkqgUhiFa509 + baWgGrWmzCqAkeUU+NTho8/6ez86e/lLT7XdmTG1rQz9jrIWUjEVgwKFUQhGDDBbwBReW2d7KR3j + abXrFRCbygZDuZgwxlSkawbTMUQcOpTEbvgPv/dkZ6nrzLimL4c4fSzXGhsA7L6lfcrTJZjULNuQ + x+MoH/vXb8bZ/pBSFBnyEJqDGP+pxKvnDhirrayqgA6aRIzT8jrQVcty7t/+u7ve+edXLhZRCwtS + MTOLwgzMOJZUSioNI4ZwbpyfbuXYC78Fz3nmKQYHQRlTShIaCU3JFwKeMaU1K4yN9h3re9DUYB4i + Wh/jZlZjrR+WqpuI8pimVhMR9iRk3yfE+pHOtN7zQVyIM9PeI9RUBcZC0LFlPc7Apz9/15//1VZO + oT5yZbACISiMwBkWQ9RiCRg348ZTb8D1T9jpNhPLah0VpLXSrhWFEsOYV2m1tsqfAAAgAElEQVTf + fABPjSur846KQiFGZFYYBugij3n7cPPkJ8vVx/s2lH02Z7IaOARCUTCHyAGALJdHTt+78wd/sBlm + 0hfOGpiITPVgxX67h10NmTazL33xlte85rUf//gnRChGyTk3TcPMAJbLZSnlwbOoU0pEtA4YSyk1 + TRNCqJe6qlpnVaugy/761znnnHPOOee+oaSUSilElHOu2dX1SrPe8gKgquvLw/3OPOdcryXXF5ul + lBDC+jZavaVWb6GvP9E555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc865B/NVQnyrZT+vo3yl + foG2gdpW0+13PLRLXK49Y78YuBCQC1Yh1lpKKSVI8NFA3MPlQsG26es6ypo90No555xzzjnnnHPO + Oeecc84555xzzjnnLlMea/3Yq2nWF2ILiw7DUN/T/nN8DzI2kDGAwsiMGsNshMI6O7yF8+cP37vo + 3v3xD/6Dn3vi524/tNhlGpoujITlkIYhdQy1vFBIF2qubxFNoRQpympTIDSzciwsygpKzLVLLkk8 + H/CV64+85L/6x+UFT7vn0OZQmg3dwHKkMhIRkzC1lCmMZcMoWCZko5LZsiAzF2IDK+3jkFkH9LKC + DEZUaAqcliYOaZQYmn7Axz5/6i8+vKmJUOp2mnZ6DcqtIcZmBTDC9U95Ml93w25hizHDRtFRtDAY + JmY1abUuYiE2Iq3dQKfY3YPV8dimOEmwTR1V63sAY9ABqqkkRLzg+fnqo7s5y/7je1mQFSQCGEGg + 1lJJ9975pXe+uz09bzWYsnE25GAkyo9sf1ljyibgDOvL0LXtUPS0RH7Os571xtd/5borzyk22ya0 + 6LMuNUdp2DCFea9SPmnP3jQYAFFIzXEnjIqNllJKlvsjttg+efKTP/8v+KbP4NyZzEptSxxj4mAh + B5pT2W/s5SNKCbYOHr44X1xLKTk3LLPQRKPt0LZK42e+cNPP/6un/O3ts/NnJFrOmM+TKHUhhGCL + sd/c3joV4zf9+I/g219wptki3pC+hLEISGIYmeelCDfIxqZsChqNEiMDKCSFRJTFIMoARsEoNbKX + 66FN0KAaiwbVzDqK5jISCWjzLHezH/+73atfNh6emZoABGSuNUnQGrlhCIqgUyr2hKBcCiEBMpNS + EAhXpP7Un7wLb3+P7OyaWQiBmW3l8RIvupm0vfP8l3/tN/LHP3WV5o3NZmm6W1JsNwEFQQAQRkbi + KbZ97xlQbCr8y8j3XHlk+7tfetWrX30PtSlsdu1mSX3XkJLWIq1AEiQBwKIQZTIuxIUCG7PxqqRd + isIwAhlCmWpXAkgtm2ZmldAD2rWn2PAtTz964wsXjRQYgYigCpFp1dgge3fdnnM9G2BTYQMgAV2/ + CJ/4/PDmP4yjDcteYtADVp9Ph60xACMUQqmnaYIJzVPPMw5s24t+47Z78Md/ecdv/+H2+TOiIxGa + jUYNxRCErWRwa6AMUCQRzLns3HDsqh94Zd5sdoMoFDmZmcS2ZEACSEGKaXsdtM3y9Vq3D80MalCt + sdbTxK/2INRDwIYa7fNQUpwf6YxwAwohMUZB3lMJALCiwgRLVIYNKnL27M7b33X4zrs3rGRTgHm1 + CoPmLGQgIQ4JkXF+Rtfc+KJewiLExAxATMUU0EKaGJkvqgpqO+oAMkIWKGlUNAVthhgK8ShImoFs + oqOVQg3ixhNf/K3nGkr7bNEwUFKGBABmJiJBYpPxBCu3/Nm78aU7sDNuUBDiVDIe4eaSe8yZEcA3 + feRjr3vd606evE0VpVhKJcZ2HLOqhhCwJzzsQWdlNb66VjjjOOac18nWUy3EnHN+8IRs55xzzjnn + nHOPI6oaYxSReje7XkXmnOtlYM65Rk3XG1y0///71OvKdXD1OI6bm5s553qrvOs6IooxNk2z93+v + zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzu1HHaQIAGCYbW4BKJZjFJhiseCcH8qwLQ+L + i5KtCWhi0ZJyAsAi0/M75XIbeMc9yupIgHUsHsaeEbEMewdIW6W/e3lzzjnnnHPOOeecc84555xz + zjnnnHPOucvKQQo1vYzU0GWlC7GUdQoAWsVzVobMUGVSjkAQ5ZAS972YAjXVeGUV3HgJCIWhDGWA + ayIuwQhiGlV5WhJe/fJ91uKi15551nVRMSUoSJVQGIV4veJkTBcCeRUAjGFBEYxqT1kNljbzcPjU + 6WsW48nf+Dcf/OVff+5AV+7Oj0TkjHHMIjEwCFBGn7Exa8chP/BaggFaLxioAFoIfZC7onzlisPf + +Y/eiG9/4d3bh3a7mbSznFKIHEKwgr4fVRFCE0JggE15te11CnS8OGf3os2i6xf2vqZul3WT1z+v + v1P6Yd4GiuNwJOHLv/fWq871XR6IE1BXTUgBq4GphVBg1mx2pxq58kUvBIDYmlETQg1nLYRCpKB1 + mGghAEpmssqFpVWm+CNqvbvvv5XuTwmZuFCNBzYysE2ZwWMZN7Y3ckIOLa48dui5zx6F1Pbfidtq + RnEJCAWJxYKVY0Rfftuf4eQ9h7JFIjNLWogoBLbVvqM9h+q07KuJe1dw34tj1DazbDqWUVWbWbck + vkMVz3vWd7zxDac3t08lFG6KYquNufTAKtZ3tepGexKfSVHLvQG1oCryaKFBZKAfrmfqPvP5D//K + r80+/4Xte+7e6ucxDbAsIiSx7NmcU0TuxV9p/X79gZgOdqx2buELr707ep1Tfp+JX6V4qNYSi1wD + R0GqgJIaKZsGFi4mxK2h64ftxUJv+tj7fvVNV3z+5DU7wxUSS0JgbDYQM0NJhLHjz6Xxhu9/9dar + X3X3rNkFSKkJgUEompOmlDhItzEbhsFqYDK4rnYNLebVgQNgHfx68d5YVTqr70NgZk4Z83Z2to3P + +kf/YP6kp5ze2FgEFAKogHKtFmrtIHpxsDGB6yYiIvC4LIERGbM0Xr2Yf+Rf/58bX7rl8L33dkMf + SJWgLEqcbVUYqIAKQcUgxmT8gIf8ukLe+6rF/qsdqnjwY3n626kCrAtAmCpGQmk1He3ToZ3hy//r + v5m/5/3XWJFhN/ULjpg17TDM61MTF2VNkwK6Ok1MW7gQdmK8azajF7/g+p/+yX7r0KLdXCRjDikl + QwGUp9D3ejJa7aM98djAVBNeQkyvrf+KpvMmjIyYmVU1Mo39MkQupsthKJub/RWHnvkD/8HZWddL + Y9IQYRymNFhjEAqo3P+0Xgvj6ryMwlhmxFKe3m598nd/P3/ooydy3hh7yv36mKNppViJV8fjRfti + FcfOD14n38cDNwDogYtN4dWK7K2hiI1ATTDWbZGNnZ2rBsMHP/bxN/1vV509ewgmhDbycj4yIxCg + uQBjXoa42XJIo/WplMPb+VlPw4u/9V4Yzbp6fAQWhvbDQkRqnLatDqvpIZxH/ny3X9NGu2jKA9SH + AFZNR4JNm9WYQMpaoAW2rod0VRvRftvzDGVkKhmmZDzFvZMaKWGs7ToygNQISrXw8/0LzwM2Eel+ + r/v/MnBR1bFuNhtdqAmCIqhyYBJYTk0uW4set9x28zvfeQ20s1r5CUusNbYZiEjZUkliCN3sdNPi + JS/pY5NqdUt1TbFncymgFw5t0H32y0FDxmQEwAjKWms5ZiZmaZvdxaIPPHvJjffGdpT9FQkJYQAA + E+I0jimNZtYwmjm2dndvfuvbjgOdjVYGIlFIIVUu63pm3V56SLv+QafXawf32GGAheMf/Ls/+qmf + euOpU+fNYIYYIoCaRU1EOV+4FhvH8avNa50cVpOt62OQZjaOY32/jrsOIdgltPMdgL1nAkMhGGCk + gE1NAoISKyIQQVCob2jnnHPOOefcI42I6lVeKaVeEtYrwRp0zcwAzCznLCI1i3pf6h+aWYyxftx8 + PhcRZmbmvu/rzFWViOrHOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOfc17B0s475P5heQ + asmRAqTFOKJroOMlDwm4v+VajZ5k07ByAIE5xBhTKgCKKYBmn4ONOHcfZBcnqF/4AQDIfUY4Onjj + OznnnHPOOeecc84555xzzjnnnHPOOeec+3rsu1tYjaciwnoo8PUA5Q4Xwlw5MxtYp2zCdZa0impQ + iNb0PiUbJGgi6gsxdw0EaSx33RFUV3HFq25+l5SzZ0zQIhgjRs4jFQsWzWpCb44lt0VFwcaFuBDD + mKdEUtgqai4zMl+YglVuVlANVoIVRlGyzMjMmTkTZyUyiQiNBclGOTNMmAGBxYZbUxnYqLFunF+7 + 2Dn00U/e/PO/dO7f/v4zh2VcnskYk4ICGoBSKcQaMAAcYMPQ0BSAKoaoEAVrzcBTghARSIuhDTAF + BTnTxNuuu+b5P/Mz+Dvffc/G0QU2tISEVIIObAlg0EwikSXkEZrIlBggMiIjnraJErSmISqxYZXv + yKps9WVclAoo1RfDtJSu3RhD7AEy5lIYiXgkHqOOh+bj8tNfuPeDHz+6zJtsBhgXowQw0JJGVkRD + gDbAuTLceWQbr3jZspslLS0HDDkUIQuGkCkk4UGwiOijFs6EHCwHm2LnRIMo8yPZE5QANq6fQsa1 + wNSYTNuT/L03wzIxK0EsNzqGQlKETAxsLZ3td9rZ1gLdot0+8ZKX9YEvoQe3KVrArBgyMxRZgEP9 + +MQ7z9/95t8TM8kjZRbuhpyUTKkoFTJj01AQFKGArYbsGpuKaigQnUJk972JOAy5QJhjAJeUBmHk + EE4d2p59zyuvedW/f9f2sWUJsyi7Q7IGEFYwIVAIBmRDMRgBNPU1J6zT08GGaIiEnGEEYWC5OGHl + 6tvv+OB/+Qvytrdvn717M59BWCypDxlb6BgE0oJCQhxZydQy1/hVMzYls72hpQxjGJnVwOnMWiuH + 9av+9hQkDBip8rT3CcpWaxvUQNz608yaxZQVZB1rsMQ5A1pYR02GLAGtMEFLMRr77TLife/5m1/8 + uad++pPXW842gIICCIAiMnLSQcLpzcP5ZTdu/8Qb+qNHzhnUUoxlpFSCAYgsQREIi2FOHRdWBcEi + rMkUlThYCZaMtPAUrb2ORv7/2bv3cMmus77zv/d919q7qs45fVV3Sy1Zsu4WsrGxMQRiT8gM5HkY + hoSHJzxkGAgBZkiYZDA2BgcMMYEkQCAOmckEZoaEScATLgbfMDaJjQ0OCMlYxheii2VJti5utbr7 + 3Ktq77XW+84fa1ed05Js1EZCLfR+nvO0j0/Xqdq1L2vv3aq9v8u9HRsMyIwsYEOjitwLlw4pj0bn + 4qQ7evKm137/mZteeLY90BkFQUAhSyFS4ZAQrCYGjYcWr0EUQRENnEoEmzIKpNghm122ceqPfugf + Hb7/05fMd6zb5SAaokprYGOb9TvEPVOiklqSkAL1dXtfftWNVIfVWC2oiZnYcpmaDQnbZdx92S1W + 2/e13IqzZSUNzIAVJIgKEaU8YqacM4qJwmbHSQ+eevQzP/fv5u//wBXT3VUtDERDMPTWaUAhVmOo + SOGYOSoYMIYKdgw0aQGoYU7h0YMH+y984U2v+96d1fHZ2GZumALUECWDxMCmtbaOIexdC+W6KEDX + WrZiGSp/8oMJUJgNCKpBVUmz1E4k5TqOkU7YKPUtkZj0Fk/zJHzBF171Fa/cObCyXYx5xMSqw2Zi + MrSIeXHRyP68d53UZZx71DZ5++zlaX7bG98Y7rv/6HRjovMWJQDW95TRSCMUiqKI9KJZTMnELChC + YTJRiNWd1zAyP3GQeP9P6uMz83AMwKp1JFx8kRlgdSgoBDOLQkKmucAsgEQBtVnfjUVWdueXTvPs + ze/843/9c8d2zq6WXUMxAnodAQIYIZvVq2NSSsVCZ828Obi1eslLvu3bN0fj3MSSVK0xNEymedY2 + gpKNyKiGfjULCi9X9QsdoZ9GBK2b275+/BCTHjLc++Y5GZMJrCkqRJJVaw49WMHGOhUEYiOAwYDB + gMYQL2iXRGZRU7d1BqQM0sQlg9uQMWPqgqWoGhWAKakSF+JMtYy+7/jnvJGhvgWukWxRHvLUtjwk + WK57y2GkDjumXJSLAWQQZdYAgA2jUtqSi/Wd9mw4bHGyOf+Tt7zjoM44b0vOLUGLagGBrWBEgKYS + tBcloe1Mz/vyr8D4QCYOILLA2ioirGFjMQz17ro/AheqOW1ebIMXF6pjPbES98K9aJFkXDgE5mae + skKbMeZBcdV14eobpyRGICKtKXiR8rjnXI4GRrCcR4SkpTAaopaoIBeCRDSz/jPv+S3c9ccrZTsG + syxMrXLJ0oOSmAXVOtTUI0A2lnpwvlz0WKw8izVHH7tnGTaKZWT9Ypv/z3bnjfaLvTxIiU0CdV3X + NI0ZpVRCaIjk53/+337f9//A+saOAUURm6bPyYChBG+lHnzWIjX2/n2AANSimIgAMLNlZkxVc85m + ZmbL3DUR1Ucuf/0vPDOD2VP7jyd1yda9Xj1zVzIlWMRUwaNmriqyBhsj5bLv3ME555x7atVuKD1O + /WF9jP8XBOece46ouwAAIYT6n5KbpgGw/5RQVUspOeflryx/vQawsTi7rM9QdyjLs8h6TppSwmL/ + UhPaj49k1xetp6tmVh+w/2H1+3rS+pi/cs4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeece+6o + V2fU2wnWywPrz0MI9Zv6k7/YF1/UO7pUvLzhHylYQYjcwAIQ0U4AZXrar99f3GdPGbq4tVchFBgT + GIYYxcBMjM/n9oTO7WEDGROgQGEosHcjucW6Ndwf62Jd1ZZXqy31fb/8fnkZ2vJ+Kc4555xzzjnn + nHPOOeecc84555xzzjnn9nsaG7fPQXufwatJPANjr4RqiyB0DW3WnzCBTMmIOWRjFEVJ/ea6WFFC + jcDh804RG5nufSTQmAxcjNVEiUudFBgApT/lJR6Th1wWXhVciIdS7ZDxBkD1JvV936eUOEhoohF1 + pQeboexOt1rWwyWf3J0fO725/qvv+KMf+0n9g1uvmM4OzHepzBtBbNCl+oHG2iIFwDAm1KgwyBZR + tn31u4Qegs4QG8w79IKH2+Yzlx1/2Wtfw6/4S9trh3baFWrHAGAFyPX91w/v1sU0pMQBLNJ6i7es + bLqIpGIIww1Lc/H6NSK7jH4CETzd2elzkhgiOEKEWAmhpabvDvb28V9687F5PympZBQevgwMiCEM + 79dAhHkM/fMvx8kTfdswh1z6IY1qi7lUlxENb4JhYoWtZlyHN3HBq9AFIsNnWV2HNebxLejCKFyy + FOMCUtH6KeosASmlDjxdXRtfc3UZjXWZeL8Ae115XSRjx1lPgs7c+kF8+MPH+u5A0+5Op81kZa55 + qHASAWw15MmsdcVbvPai31kLrxemFnZlX/IZQGbZIJmvTE5813euvfIvbRw4sEnCLczQl2ywjNzn + rIYYSASpDB/8fczcoMdtp2KYZL1k1l27sfuRf/3/3POv/s3q+tblXX8k5zifUd9ZyqbUhMCKbjZD + sRBCMTOCEely5IAtt5H6UgyQsRiL1gIr12ounfewxXZqEFsmlhfLZVEd5n2fU55O523bWqB5TrEN + sW1mKeVcttY3VpSuBI6sb2y98x2/9y9+6upzZy/f3bH5xihMSk4Aco8+QwviantmNH70xPGbf/Af + zo8cOFVUY5ysNJrnSlqnbtFbXWTXARu2XKnvgE2lbjvLKbbHzt6qDhfDkEha6m+JWDNeb1vc/IIX + v+p/27jyivW1tc0OgRECuj7nnAmynCH7n5kBhoKWH31mUTQFB/vZFadP3/5D/wiffvhKCk2fYby7 + MwtxxBzWVlbapsklpZJ7LYXQtGPdW0Mev64SQLBhHC1EdQxfDmK0+EbBRgyct77VZbk6GjMw6+a9 + FmI2wMxC2+7MOxk1zGg1He9TePiR7V9/60Nvffvx6Wytz0GVDGKoG8IwmIKAQBBZzOdCSAUHRk03 + 7TLzTJozk8nW9Ve96Ie+f7o2PjdqZ0GMht82MBZ7Xlukx5dLuc6BOoY/wSJ80uoz1VxrfZW65iz2 + IyqLLzYoJI9WHwUf/OZvfOjwZL662hVl0EjC/qejxaLfC44+bghtx7Sz2zfIx0hPnDv3wTf8SDy3 + dem0w3SmxSajlTaGkvtimRpWUrZhImGkiykclrrtfe2fRctH7FsV6yyteVoWW07qcD3NYlYSgBoJ + DozcpyzC7USLWGYRYeRDjR3YXj/8yKMP/sKbPvbv/sPxc+uHS25R6hMOk4oadQYITRsNCUAK7QNt + e+Pf/Hpc+fx1CZmJDQaBSV2awwK1vcOk/Uv/YkPnTRIvj2yWf1sPpETBBlZmZhGpV3yZWUM0IZ6f + Obt8a0YwrlnrC58YaCh5trEOVQURN4qgamBarDjLIzoFlAExrbswgjJ0uPZksWLQ/vHflvViLNeU + /avWIn1NbETD5Vw0zAQFL4LudYQQ5pLygckk7u7i45/8zHs+cHLcyuLQtlDNUS/mMA3DNnHYJpm8 + 6GZdHacmWFGyYVLqVCnV91WG1ZmULr51ZqnO87rxKWkhBZRMRdUKTDlyCArRVEgxmbTXXVdiCwC1 + +G4GMwKIP9dx4KKGC8B4seBKwcEQLt3cefitb213d9rcNzHMu6522WFsw7V3Q7ZcUXvnKDx8A3vs + od9nmwgl2PJ85PM+93EXwsy6rjt48GDf577Pk8mqqv7EP/+pn/7pN/Z9Ho/H9WH1Gq1lOewJn2dl + ZWV/pXJ5BVcppWmaelVqfcBzJF/952Y42KN6PaAttzAjpAQRdF1HTXuuGNQwalPunrmJdc4555xz + zj3X7W9aM3O9pZGZpZRijPVuRyGEnHMpJYRQ/1zWps2slDIajT6Pl1ZVETEzZu66rj5nSqlOSd/3 + IQQi6rqOP+e/nzjnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzv2F1Pe9iDBzSqle0KGqMUYA + 9dIPAKWUGOPjw7F/Ydi+P5fq9TBWb6KyuHMgiAF7upvWAOp9nnj/jWvqTc+Ge7kNFEz7bwjk3OeF + cd79u4DFXcqAuv7v3eroorx3SAghpVTveVKvTZtMJvWyteWfk8kkhPA5bqLinHPOOeecc84555xz + zjnnnHPOOeecc89Z4U9/iLsQtdYJAi8//0lIQyWO9wUXmYxhUEMwapISc+JUBAg03d5Uqr8/VKIB + pgvvcRoxaASMCo9yaBURFABWkJICIXEmQyEGIPtK1bXSqouPDvLjUrUKMKGACw1F2mUcmgxiVlIX + GDwKStqrFkCFKATTREFXxmG0tXV0u8MHP/rAv//ldOc9V3SzkeaJoORigBVQktEi7CqL+nZt49kw + YYtasw3zyGASMMtlvDKa7s5bXj07Hn/q6mOv/IHvxfXXbU8mG5YTGGYoOjJtJc6KPvmOIQFBFYAy + CMuPXjIAAysta98MoBBAutI28/l0FCMBfdcDbMIQ20m7l7ct3vqe8AcfPFwSoeOAvkADALRFGSik + IK0fflRgzuPRjTfi2OEECUY9EqIKMikIamT1FWt4sk5dYSgYpAZkrotSn9bYpxFAqsuI+2NDyMMc + 42G+aVQtUnbbDCBkCapthpRSUj9mofrx0Mhy8rJ4+Gha3+CkciEfnq6rRk002r4Ma+53aSs//I53 + nLz+GgnWrE2ScJ+iiJGBjJXYSArDAII2yjUQXlgzo5DWNwDlJz8/CWAoKWKBkfay6AQbc2ge7WeX + rsnzXvM/f+RHHt392H+9cj6XbsqEEAWmlpQYUFMFL5ZmKMvAgrJBCeX82W4EMkTV0db0Cw4efeRd + t3z0ow984T/4zqMv+YLRatwetyrj+bxHtpZDUIKIGc+LcuQ646hGuBUEqxv3sPgMYnzeIl623Wkv + YsrGtG+jUB6K67zI2XL9OWkhANxOJmd3ZmFFmkm7vb0TZLRy4ES/Ozt2dG3l3OnmwYc2fuPNn3rr + 22+Y9ZJKAlqMwCUjtw1A6DsIyak5nb3h8i/94df3K+3G6qgQldRnIdVMHJ/0unPBjMDNuADoivZ9 + 4DBN3WlOx1987Re97rtv+cmfvrZQ2t5koGGIIrSwWR7mXP3ENg9LEAQyMJbbMisoqE12d66ZTu56 + /T+98Yd/6LIX3nTPfLY6WmVIms0UplwkNHRwNCs0352vCJnlx+046vjJPFyFwIVghEJggJXYQKij + Tt16hssY6roEgEzrtiyKstMJU25GFIlBpcu7SUMI1oza0di2p6s708lWd/r//Len3/3eG4yklEIs + ZmRaS7ZBh8+sG+19mL2uyUYYt1LmfQPZRtg8cHDnusu/6A2v2zm+Mo0NAEYxmFgB1AxGfLF91r10 + vR08AKabvvNv3/VP/8Vay0H73EMWG75hMYfr4wlGEICM2BhQUUCQs40CgmFzZ/1QXOHTGx981etf + /oYfPvrCF55uR2k6hyVrOUHnWcdtG5KFQmRsxElQSGvznoZM8WO7LMspqCNSHUy4dsf31p8hVGzA + siIMoMbpZYgxaxLehhnCweYQ536apxOdHdqd8cMP3fsz//fObbdfFxqazSMxIKJ1F69GKGAYCMqG + 3M3r1Mwma7OX3NB+w1/fDMxNo8/uC2d4OIaxZbiXC6stDmDiIvcOYyVVghCUrJTcMKNYyDpRbD+6 + HhVcg+XDQAHggi/3EuXY2+6jW9DSMwNiBVqYpclkBpBJfXKuR42mYrleY1LrxQCWF2eogU3r6lHH + Ex0eo7LIXStAxqironFYvAtl9ICREZSRxYY9QgoMMBlC5nFsZrvrhzXd+6Zfv27XyLbDYuDqCQDa + AlkMU6IAIzN2WrnmZTc+vMaZBQZQBpUCBbTQ/gvDWAyALrPcZHXiL6qCkRIKoPWwhAEYiykZm5ma + tRwp5agUCulYTrzkBevv/k010N4BwRMcrCze6eIhhMKAIRBBGaYgQBHm+UQO97z/1pNf89XNzZGO + rU0hUpgsGnEislCfzUBqQ/i+Lg4mQ70kb3lCAYDAAtQC+eM2ay3Mj1gfCHQAACAASURBVJkw92d3 + 3sHqvnlbsgVp5vPezCaTyXQ6ffX3vOa9739fStq27Xw+BxBjTClhEaV+4udn3t3dFZFSSkqpaZpS + Sn28mdUq9jIYRkS1W+aecmyIZfiHCAVWG5nOSsOMGL/h/e/CwRaqk6b1zcs555xzzjn3DKq3NKqn + kCLS931NWe/s7NRvVLWeNuaca+IaQD3TrAnqerp6oVS1npAyc33OnHOMsZ6uNk2jqgDatn0q361z + zjnnnHPOOeecc84555xzzjnnnHPOOeecc84559yzRL28IufcNE297CLnXO82UEux9bqPekuBet3H + Mz3Jzjl3nlJKHbsAEFHtWzPz8poyIur7vt5KpV7g9gxPsXPOOeecc84555xzzjnnnHPOOeecc85d + TC6qZtuzngFWi26kgBJy/apB3NrELayFUFhBaoRCXIjNjEUzFVDGzm7Z2hZTDF1YUrCh1gIvbHqG + oKzS0CFjqk9WW7hGWhiFrbAaKZmy1aadKqmSsqns+yIMX7VaV0gLQ2uJEsRKQRELGtWgKlTMSl/6 + lHNBbXeSdPkSTYfPnX7euXNHP/3QvT/zsx/6xz9x8I77rpn2lxIdtIyUOFDTRgPnXMS41hMZEKsR + XGWoDjEuhrGCC6OwGhWQdhmxxebuvJ8c/vSBwzs3v+iVP/nT3fU3PNI0GyFg1Ehk1cykwlT6xBcY + C6/LV2uK0SCKUDgUFq1fwiaiwipsApPdbqqkAit9RyShGTHHxsIRCB588N5f/42rS2r6bWGDon7E + ka2GTutaVOc4oDGhOXr9jbOm6Qy9FQ2wyIWLcWEzUXDNpWtgDbBgkALJTGXfMr2wFegC1Tzhsmm9 + VLuYNRi5KBrW9iTHwjELLCg4c626KkiRUxAhMgR0JaMNB553xTzGfCEjlhGUqIY2AcCGXyZDyd2l + La3fcgtuueVwnrWacleMAmkQZRgbUeGhVo7FwtBhi1w8+ec5ftatqc6x4QlTRmnb0+OgJ468+LWv + mt90w+l2pW9XM5BzLnlYcEVhQGzC8I6WC3Tx1pRYaeiGLmcCGw7EcbO5dcVWOnbfZ257w49v/OKv + rDxy+tLt7fHuzoSpYTIUCINJQRIaIAABxkAA2IiVyIjreKVgLHrVYiQ2bJ5U2/NAXdmUlJaR9UUG + tf5ZiJWGtmutkDaFY+FsIYzXMmQ+71uJK8Sj3fnxPh16+NTkE/fd/mP/5KE3v+X6rhzvygQUQ+gx + R+nbgL4HEizSI83o0ROXvvy7/ldcd/X2oQMbORfTI0cO7Ux346h9OpPugHGfS1aiJpiZMMVJs004 + Q4QXvuDLXvuaB45dcm7t6JwigQOjn3VGxajsZT1r4XlRtq5fRgAIFthowsDp0wc+9fCdP/7G+Il7 + r+7zSurS1uZanIxozDYijFJBMqWGMuflOmA0rBtadzqMRGzEhYaXYSPaa9Hv39kst5q60JkXfwKs + qhwiJOQMdNxitNKsjdtxoVx2Nq/M5fCZjfv+2U+d/e33Xp76sLMZrAfpUNRcxG5lMSaAClCWPVQA + 83kpRPN2sr524Ow1V774H79hevzY+srqPITCMC7GCZRrOpft4jqgYYNQ2En5kTZM/uorjv13f/n0 + StjICA0AkAkggAwbrz3BtA8rgkEVHLFVcHAyWtF+9ezG0QdO3/XGn23vuvfY+tahkgSFG+FxI0RI + KipiXEPUdVBd5IPrJqm0OCYZvkj3xWiHHdz5X8N7gvHen8MXGBAFSFPJoW1GTctadD5t57NL593J + LvW/+/u/97rXxw9/5Po+8bmNw1G0ZFNjqysew2TxxUoQRg9MD4xPHZx8yT/4e+tsaWW1lM/eU32W + qKP94ogFgLINx1SALo/YlLNyLpwNPaGD6qhpuJjNUyzWrW/wcHg4UFYdNtsL2MUztFH021vouwYJ + pGAC2IxhQiZktTtfF3fdifPeqLQc0wEAolQ35/qjOv6X2rcG6oSxQUzrnoJr/rqOJxpCCaFIPfgp + XAqbcj34YUDICs9mJwkPv+e9Ox+748rYlj7Vt7o43lgeUaMQmEFEvdrk8KF4/JKZUCYyUuNklIyK + kdli/wuTOvqRcQ26K1kWK2z2NB8yXZDFLOflTMbeYRWbEVjMCODMvNng6Auu6QUFMDPm/eXqx25G + e9lrXux9ACz2RGQIEV3RAyZHd9JH3vTra5n6s4+MWhNl1kgqdacwTCQAqJEZGR6zL1msIbT4ng3L + dPr+191brO7p17ZtvRzLjDY2Nr79277jt//Te/teibnrOjMLIdSzSGaufa/PoT6ybdu+72tsbPkr + Zra7uwsgxujXpj619jfLyVCPiBQwoJ+VBshZeyhaxYQQY+qS/xOQc84555xz7pmSUiKierMPEam3 + MarniTFGETGzekaZc2bmet+QWr+u55g1iX2hr1tfop63llKIqG3bes5LRPVpmXn5GOecc84555xz + zjnnnHPOOeecc84555xzzjnnnHPOueempmkAlFKm02m9xMPMuq5LKdU7CfjFF3vMfG44d1Gpl56F + EFJKzFwHtDqUtW1bryADkFIajUbetHbOOeecc84555xzzjnnnHPOOeecc865x/Cs1FNJSZWLkRqY + gKAQZTIEgxqUYIQkqKk8I1Uyi1GVUDRKSaXj0uH+T002p23JbABUIUZcwGIYWrpPGps2pNDUZISM + hKLGQsFYBQoyHWKWTGZiCvCitrgI3S07xAZeVO5sX3OOwASOqqyLjBap1WxdFAKXUqwMycO1rhza + OoszZ6dv/e173/t7qxtbV/Wd5dlUlXqMGVGQUiEuHAJnUkImBpQMgkXnFQAUJgbWIc5d6qQSMI6Y + qmyznJ6Mx1/zlTd927furK3ujkc5coaaqUEFRVgMNM+9SPPk56cBWWoXFGRoioohKAyceEhSMkBD + KptVrAvKQjn1bNJOVs0oz6YHUn/Y8OCvvS0/cO8470KNCEnRBuJsMLAZoYjpXpIZDWF02ZXXnino + G+7JYiRoApVQADBMemYDBxMsCuS9gGBRM0FBhQ3A0/tJyrLIK2OvsjYEFZfRNV7mpZVFIxkscR90 + LiExRDLDCEHNssAA6hOKHrv+6vtuvaVlasvnN2lUXxFQBkYB3c7mMZo98Mv/8Xkvv2kClAMnAGlL + EkMvCrCYkinD6iqdWWtCMuZQm9aL7OKT3SQNUFIe5pKJEYAkgHEULqpxsvrg+rnnXX35y374dX/8 + I2+cffRjV08amfe1Zk0WmUzJklpYrmykMBi4kCgAq7F3JigMxlAAhJRmI2n6snsoK+2mT7/pVx/5 + 0G3Xft3XHP6KV24fObijuWOydtz1fUl6cOVASYUNSmJAIc4MYDkyKGjIUpIByou6tg5jGtWxro5y + PISuz6/uAcisRhDVoIiqbYYSZlY6tkg85lG0bjzdOjyf827fveO3/vg33nb07JkD09yQkSHASs4N + oyspMpoV2d0tafXwPUcPvfx7vht/9ZX3566VZiRFmTa3t0aTSZ9KbVQ8jRJpYJnErutKmq3GOIqj + pHqKaeXmG172Uz/ynh/80Zsf1JXdXaNeeNheREEKAyuI1IS0biFkeyM+G4rxVEsBVuY75f77PvSD + P/yy13//oeuuHB0+2m9NObTUjjvLaTptGm5bms9mxI0RG1Br1suxnQFRNYMYUx1JASUtrIXqes7L + uHbdYPdF3BkGGBUyGnMHK5lCCaMcRVFQrJsdWbGDmxujux+451/9G/rjj1+eesu9CYCyeHMMwDDk + LoeVub6egRfjKgek8eQB5fkLrnnJj/7A5rEjOHDUcu4FmUFcAAVBFEEZRoX5orrUI+d85MjR7UdO + p/HKFX/373zggbsvz9PDnYIgZjTMUjXjwlCCLJLiy3Jt3dBUYYaRoJvNI9NB2GQ6e+RDt9/1+jfc + +G3fgq/6sp7p1HxKKwfH1Oi8J5ZCmlmVlACyoaYM032R2c+ajV2056FPMDeZDQwdNvrFtq8MI6TI + 893dUZCJamvpGBM+dse5t73zkd/9vavXzx7WMmINhNQlAcxq4LweWbAYKzQHBSCGcMnKbVa+7O99 + C659/nwyyVnxNG+7fy5UCZlRGKFoMEQ1AFm0MDIpFlt9YcA4ZosSCpEprOhIBClvnTo1HLUYsBhX + C6suIsFPUh1F2zTF2dOHjl2yTsGaaGBVaguJMhlnpi5wZmVlEJKIYRjwqWZuofXAkPcK0MOSomH8 + Z2WFgk1p0bCv+wgVZlMyJtM2sxGKoBekoEoQZVGEQmxQtlUo7n3ovje/7Xk239zZXJNh7RQDitbV + UmsyfDjgQCp08uQV0DDOMmdSBlMB5UWavXa7BcbLlbmQKWXlUlgBxCwXT/dVERTMRm0xJU2ihUEA + jJmg4ESchDIHqCnp4ePHw2RC2FRC3e8xfdbVY1jrCABiGYK4vNjRQ7EGWOrGPbZuvxO/+Z5L/+Zf + e2C6DllTakOpu4R6BqSFtR6UEOoqyjA2CEhhTKYMxr7jZAZgqsvRsC4MeoJjBvdntP/8cZi1xgCm + Xc/Mo8nqJz/5yW//9u+4//4HmyZ0fTZVAERERCkl/GlZa1VtmqbvexHpui7GWK/vUlUzq02ylZUV + AF3XhRD8yq6n1vJsvar7GgACBEKQmIQxCXPrR9w2zRiGvxB7Veecc84559yzT4yx7/umaXLOIYQQ + wmw2G41GAIioBqdLKfUbO//OPvVmIvUBF/q6pRRmXj5b27Zd19XT3noaW7PWzFwn7Kl6v84555xz + zjnnnHPOOeecc84555xzzjnnnHPOOeecc88i9dZMKaUYY+2/1psDmFkIQVWX927y6y+ccxenevVZ + jBFA3/dt29bbnnRdVx8wmUym0+l8Pjezp/1+dM4555xzzjnnnHPOOeecc84555xzzjn3rOIfD32K + GbgQjEAGIoBVlAGwwUDLWmRNIIuSZlIQMRFpYJtYxl33XlKoKVYTZAbAak+aCcrGT75srQQQgYnJ + IpENwdksBiOD7RV5F5+tWwRon+SbpZqmG2qFYgyAgUTIli0nMV1RHDRpk2J7hrNnzr777Z9857uP + PTq/KoPTzphDEeYIZEUE5WEKiApTTd8RIMBwn/ra3mNg+MmywE0gIBM2MtabmK5+/ou+4RvoK//K + o8cO2eTAduqJ0aWONcfArDAtPTO1Y3uibuef8q6BwiBDMbChEIy0ppjJEHQRjiYD5VFgZu76QhIt + Uz+fjbkcpoL/cutD7/rPV81mwQoIxIgFVsOviwWxDxMIHOiSI4mMQwwlhUxdyRwoC0QZVIvGAjDV + DG1NC5uSsUAXT/nkF+8FW0a4939Uk/b97WDZtyYqxAIEFUqciQrBEBTKoS1F+5IpCEcgGC6/dCqk + F/wpUAOG3yIDQDAGqRhGhgn6e/7rx8+99e2XfPP/1ORyBhoWodBCWoOrBBXTwmbDmyE2VuPa9rzQ + WTkMDgWgYeUZ5pJBSM5t7qytrj00n11x+WUved33fvyNb3z4o7cdY6xwYGUrRizM2uXUMEBDUraW + j2t1mKFkBFiNxS6rdW3keepHoUlpesRams7LXeWP/uX/Hm679ca/8dWXvegLksimljSe9NLmnMkE + VnvGClaF2flzvpaSGaj5TwwR62Ep22Ih16geGQDVRSCZDYWVbbFSkhqgrIXQNMw5rymN57ODaY7c + 4/bb7/61Xy8fvevomfUrJitQJFhgaAETSNAy+gwkm68cvFPkL3//9+HLXv6gqR06tNNNyZRjEKNu + mkajkdnnGUV/cnjctPOcU8lGmnPfqUaSuVlpJnx8NbSjr/zRH/zQG36CTz+q585dtkK5MwyDNGAE + q41PgBSEffPc6jAbm9CQccrp3KPHyuzWf/RDX/oPXz266WasHl8vadoZjZtxGGnpKOcAC6pKbENJ + XQsNGUtgEVEeFkENS2up+5zaO7ZlgV4VLHtrGphQyAAURko5Sts2IyuFtD/ItqKJHjo9/fCHPvyz + /+/xhx5Z3dltYO04FMuWwLV6awwQhgHPeJHCXa5CrNwLbcRwOtDk5V98w9//u1uXHJ4fPba71SMG + EBH1gPKi+k1Gy7d28QiBt7a22snadpofOXnpl3/Xd932oz/Op86eYBYFkIdoLA3B+5olfvw+KRDI + kBXjFrO5TULhNL2iGZ39xJ23/9RPXPbg1132jV/fHDz06Mb2eHSghEZVCw1zo6YuFQyonle1NRjZ + 3tg4YABWBw5TUhsWVi0ZD3sWXvwE9blh9UlW29BkNOc2DpChm51+57s+/Ru/efTU+qUbW4dFoDkb + YiMASl9AWlcAQ+2mq3EBUAjnDA92+dpv+Vvxq/7bM23sQhBjKgXyBDPnWWVY3HXuySLznI2VtdSr + ueqoXheAGTMLuOs6AyajFlsbZ+6950otGBaHwlhZn3zN+rypEQRL/f33rl17zbZwJsmkVivVABvR + opPNUAUrsYIZi/Vh73BClfavEmCYGskwujCghSFaV7VSiErdfxqLmSjEVGBQCKMDK0GMyAAYm65Y + Xu37+37hF48+fLqd7kSAZdhSFuXj4aVtMWwa2GI8eOw4cmlYejVVo4DhEMgIxmTCFsh42O4oK5e6 + l1/M3ouJsVI0sCLXRSOqYiBTIlGyHpqZWdhAWRPa0craWoyna4dYATJjIhDBnnh1qWNvHI7CBQAr + EzQXhFGT5v0Y8aqM23/pl1/6kmuveNGNn7HUMRtDF0cLSkP0mKCizMOunwvtHWrXlWY58gxH9TYc + QA7f0OJk5Fm+wT8rjMfjbp5uueWW7/7uV50+fY6Fuj4DGI1Gfd+ram1a10x1LYo94fMwc9/3y7RY + /a3KzGruuibE2rb9c3lnz0VWh+x9iygIw7jkspsSYNROgLrR+mV1zjnnnHPOuWdMvdOHiOzs7Kyu + ro7HYwCqWkoJIZhZjLEGrVNKo9Go/lXTNH3fhxByzp/j/PSzqU9Yfz2EUJvWzKyqbdumlEII9by1 + flPvuOScc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOffcsSy8xhhrwbpe7lEvx1BVZq6XXUyn + 08lk8kxPr3POPVYdo+rVZ/VOKTnneuEYgLZtu66bTqciIiLetHbOOeecc84555xzzjnnnHPOOeec + c865x/Cs9VOJjWEApMZrM8EW8TYFw7jWpmRoWgOkClPAiMSULEnqz/zJHYeLBVMlJAbMQlE2zgwQ + Yhk6iE9GId4BQCjKBBYKMCOIokYQa3NufzwVZCzDN0MWa4iMPiZLTENTsHBhQzESYzNWcGbNrBZi + 1PkkzY7M5nT6LD5+98Pv+y+nPvih8ebmzasHuvmWgCdNzP08InRJWdDPYUAbISwopVgxIKgMqVcU + 7A9wEqimWm1vhnQxnlk7uHvdVS/7nu/C9dfPVg6bxI3dbYtCgWPgkcYGKEpdzp2wxMiW2Z5sJpwA + KRAiIxRC5looVyN0QQ0IiqjWFABIAjaVnT7GNucQY7RZP9ZyaKT6wH2f/JVfPXrq9KjrZcQ6Vxi4 + Qd8j0jDb60soQxRsajAjhRQLiEWlBEopSjtjM7baWg4KwNqiZCgEhqIAsEZBBsjQIX560aLJuZhj + A+O91Wz4hhMhhyyKSTYxbbMUYpAaBTYqWpg5MbSx2QjjGIqIgZeN8z99Wqyut7YIKBIbCARjLdqM + Kc/sKOn9b3vXkedff+DLXzFfO5BFMjOZClQJyoVNQTlaLQCTISQGEFiFDbiQD6YqQYkNKgQyKoK6 + NZKBimrGiSPHprPtLM2jgpXnH3/hD776rn/+4+sfvzOdmR2CAIm1FwoNL8qdBACJAEBUsWhA7s1p + G5Z4n3QUOOdeCLOcT0xWZrOdtsPZd//nT9962yVf9OITf+2rLvnil9oBPExhKzTcTNg4FhbTtkBJ + lXNh7C8HK7HS3tJcLOg6AUomdR024mAgq9PGOkwtyCA2xLCzYB6hUNbtwyKHtnfDxiY+8Yn133rX + pz/wuytbu8ckjCN3053RZM2m26rEZBD0CQ2jie3DSncfPvBXXvtavPgl54wQWtLCjZScxgg528ED + R3fnM5A9rhn/VKo1jTLvmyY04xEXI4qkwbJtdL1NVumma1/2M2/4wD/7yUvvKGfPbK4GiMEIhSGl + ZtRhkLLoHC+WoNbBQLr6OvnoqAmzLXt4949e/yNf8E3fOPnarxmfODk1STnHGLpUkpVx2/IsEUoh + LorMZLooqRsThqVphDIss7pGKQxkXJdRbdUSqdVeKWHIY9elTi1grJpt1kl/tKXV3Snu/9TsLW// + xFvefrLkZns2IcS2nc06AQIPK4xZjd3WPWZNJmudhkwAEIymoXlgbRy/9KVXf+/ft8tOltzONjpZ + WaEYUj9rFvVUAGS81+C+qBQtue9JQwznbHLki77kpm/+O3f/4v83OXOuLR0ZjEqhGvEFL/ZuizT8 + sKKSoYnoOoxaTOcYjdD3loEG3Srjqjw99aZfmX7sjmu/+X9c/cIXnUv9zmgMHgMkKgJRMkDFAIIN + A/TScEzCNmzHPGSMlYyNi5GCFFaXlNTurIILkZEAIDBBxZQNUUvY3DjSz3l7jlv+8BNvfnP3yXuu + ZIm780OjUZ73DGFQn0oxFaBhsmIGBVhJsxQQRNEJb60dnrz0pZf/rW/aOnRgBimlsEGIy9O58T7t + jGEsCikwQlAVBUzrOFkoFoAMQSEGrlsblZIBBCLKkrPNm9On5g8/GCwTlBdHaAaWYSO6kMkhZEYu + 3cN33fn8/+YVkcLcuiKswaamQTkWI0M0hSmoEEipqWuI1Pa1GRmMh4NDO29vSGKIyrpo1RfSIgZS + A5Rrdr3UoztRKoKYuSmMHDJrXga/pW81Hdze3vhPv7Pxvg9cu9u1qsJgI1UjWkTRhxI1yCAAg7pS + yphSQBQYaVs0EFTJKABCxjBmZQBkdfKVTFkhBlMo7QXXLx4KBnESFlMGWLUpBiCzcgwFuTDBhIyi + EnIcxRGIlhcNDj5780k+y+bFQD/vRWitiZubp4/xkTt+7hdu+oHvmZw8sdugGMMYUKNh+xSDGAfV + Reu6Z3DBsLsBoItjp2HZGRuBTRf7oOXLuqfNsL9kAH2X3/e+973q1a+ez7IIlWJN0/Q5zefz+th6 + cWnNVItIzvkJn7IG1M2sdr9KKW3b1ifpuq6mrG0fz4M9tQhqGA7k6p4+KAD0RQPAsQ2xBUeFJUNg + v67OOeecc84594zJOccY66ni6uoqADOrdzhi5pRSbVrXh8UYc87z+fzo0aOz2ezPcpeQpmlKKTnn + em5bI9mlFABt2y5fvb6E347EOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPPQaoqIjVfTUTL + KyxCCDs7O/VKkFq2nkwm9TKQZ3R6/7zRBd1ozDn3TBCRvu+bpsk5hxDqFWoiUi9h67qOiEIItXX9 + HBzHnHPOOeecc84555xzzjnnnHPOOeecc+5z86z1U0w0kAGstQ9aE1NszFZTtAxg0SO0mvQj1mIa + U2m7OTZ2zt19z4ndqeyvx4JgNRh8IdFCoNHSbpzD2XOTHCdpBdwouEjomZOgEAPIjF5qpZIVzDUy + aaykwPD/gNogVIDJlMBiCjA4kxLBglrU0uYSVQlZrETK2F7f/uTdd9/6wa0Pfpjvf+iS3f56xQQy + P7u5FpkIXT+PBFNtSNQQRZWgapoKAxIgDOtr9hKFWEyXH+5dhIphQCKZh7Ab5ZHJ+Mqv/R9e8Le/ + yS5ZPQWYlVIkNIEiz9MsCjTlWS4Nj5pmkg05l+YCPy1MAAxk533K2KgWvo0AMS0MNjVihcYYhCMR + opAiH6EyfuT0vW95+/T226+HtW3I86yAKEqPEGClhm1ZgcIKDK1TQwHmmG2NyuFskXncJYujMdDD + hp4lkXKNTdb1BlgWyslYVHF+lvhpxftmkWERzV38pJYNlZClGGuxQoVqxrIQyBipRBEOsaBkzUEV + O7tjyAVlO+sLca3wGtiY6g+gEShzE0FbcHxz+0P/1y+87NrrjzfN2bbN3IgNk6qEwiAMiU2GKRTE + GFbFz29mshIYqsOMUjZEjqWx9a1NEY5xNEOeoj1x8tiNr/3eh37+3z/8O3+IvhzoicsMmg1Q3puZ + RkMSmHWIRu+f+XWONUKWNTBMsRKom+4KcJBxVMLuI+ub77/lYx/6iN14/Q1f+99f/oovPzmabJW+ + 48aIdN97JMOyKW5EDIXxonbP+/5ULEYSgcK01pEJbIsUKxkzVIaGLoIiEhpNh7tZnM7xJ3ff+67f + 3vjDWw+tb1wDHRkoZTIqQD/daUfj2XwWCXUQmo/CucxnT558xWtfRV/68p2V1Y6EyCz1hXMIoaQS + pd3a2GzacUGxp/MCgZT6dtQiFctFhfqSBGAKjTQ9sG25rE4OXHX5l3/fqz/5f/zCuds/Kjvr44ya + +BQsq6Coa65CmaAGHoa7EhGJpajO5v3BFrEra7Z99394U7r3ri/+1m+9/Pk37BTLqysUaa6Yz/sR + iSgAYkAURky0fxDQITJat03SYdEsGuR1WRrVMK3aeeVaA0hzCaqrZDF1Qaeru/3s1tvu+MVfW/nI + ndfM0kg1xohSdN61YBYyLVi8lpks15j9z2qETqRrmlOT8Ym//tWX/y/ftjmJW6BR0zDMhHem2+PA + okMDHGAYFcaiwX0RUcvjlYkV3Z13kRsWHPq6rz966szpt72j0bySiyyq3vW9GGPofA/f1QUPTWgD + ckZk5AwFmhZ9b6OIfHZ61SSe+9BHbr37E8//mq8+8fVfd+TY0R3Js9BkktrMNnpM8HhYAYyGwLnS + Mmhdp4frXxcOAMSIDGSBCJm55pBrrbjRPEk2yjpJGnOH2fbuLb9/7zve3f3JHVd06cqSNfcNoU+z + lqMpKLYpTQGM11bS9m6A1WHByOqKkQmbzWR6wwtufv0PrE/idmxK0QDSbDGEohfX8r1wpASGwTBk + 4w0FDGNSCJEogg6bamE1lllKrYV21Fgp+ew5PPjgwfWttiSDKnSzGQAAIABJREFU1YgpzquhX+DU + ENBhev9DmKVR0KkZl2LMBihpLxCrx6sKZCMm9EZMYNSR/LyX1P3/w3UYUTA4s4JQCMag4VAWYnUl + Hx6uBGU1ZTImZQHIVCwD3YE0C/fee+d//NVr5v2om4rAAM26t49bbPg8HO0gMs9LgdpsdxpVqfRK + BI7DEfhwQA6CAgoyIx12o8P85KAwQuELPOZ+OhmpUVZCpqKmYpnN6lFiAREZlxJZasM+KiGZlpJz + NkUIxDBmtqJlcWT4GLTY0Sz+b12rhnUsBqRi3Wx6QEZpc2P7o3es/8Y7Dn/7t3QSeuaeJXMoJHUY + IQMNx8BYHAAWMS3ESgwsmtY2jDx1gBrGAizXK/18D7HcBeFf+qVf/LEf+3E1xCgplRhjn3oQiUgN + epVSzCyEAOCzNa2X6nVczAxgPp+bmaq2bWtmWFTBvGn99Cl1j89gQz3GFmZwmOekBCgJmAmqEN+8 + nHPOOeecc8+QEIKZNU1jZkRUb/xR7wMiIjHGUoqI1IcRkZmNx+PNzc0YIxHVM9P68wt63b7vsa9X + 3fd927b1LiT1OVV1NBqllOqUPNXv2znnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7mJXL6lg + 5npZx/InAGrTevkwrfcUcs65i0/TNADqnVLqZWX1Jir1OjJbAODjmHPOOeecc84555xzzjnnnHPO + Oeecc849xgVnrRdhqr07gLulWrJmoCVSqJHVz+mmro/WhBCIqJRSrBQ2ElMzSMiaRWyc86H13fw7 + v8/nNttFXC4WAGAUwKIO3b4n70DfXXPqwXu+41v6I4dXrzh59OrrVq6+lq+5Lp68DOMRQgPiFMJ2 + lHkIfWAlppKDmTExWEFGNUcrpoARGRgUjMgYIDHWXCYtt6WP090xFFnx6U/hzjsfvO2Pdu//1Pyh + z6wmu5IkzkqT0QZJ6DgiQ0kRGFAGaBGKJqZF4JOQrcb61AgZAHGwYJqH6B2jFJBBRu2cRvcxwpe8 + 9OZv+BvNl7x0q20ySaCQmIyLMaykFSNKaiTWSFbSUgKEidnKk5+fBvRQEWGw5RKKBXBgTmow9FwE + JBLZLGtvBQhxFmgn5xBC0TloZzyd4v1/uPWr73xeypJTBiC15McEFF1mTKkGR7GYIcLG041Tf/CB + S688cSaG2TjusBhpsCilsCnDQAVWlBIJg4yFADKjoojcAgFlyNX+uTIGIcPULI7a6XTaSBARKwpL + VGYioMAqUtSKFdbAIAGlrjQB3Xx+CVucpf6u+1d3S7zgqunQ/AYA0kXQNxBxthQirMPhWZ9PPXrH + G//lTd/3mskVz+skMBEQMqzLUOEQm4xkqV9pR/P5PApny0LBaMjXP0lsYGMABpRFl10MMCRKhRiN + FFLSwgYSWW/btSuuuPw136OH3/SJt7zjZtKDs9BZ4v+fvTsPliU96zv/e573fTOzqs529633VrdQ + y5KQREtCQkjCMGyCAUZ4AjPEOOyQmRnZYPBCBGMDw4A9DhjGxBDAGJhgglUIGIQQQhhkSSC0ttQt + 1N1S78u9t/su596zVVVmvu/zPPNH1jl9taLTbrVaw/OJeyPOWktWZla+pyrfL6EIYhN7geQy5kBQ + UeOoMtxTuzJSCwAmBgwBdKgYBwhBAcuoUB/obEm7jfd/+N7bPtJcf2rtBbcc+bbX4tCaHjy4PR5v + UdVbItSBibhX61UV4ECRiKKZipkpERkTERkCgAINqpRzCMQxgamome6lTw0BBRaLjYUmwmmesblR + /vPbHnvfe9Y/es9S298YmHPhohyZCgAQszJtl1YCQkTXwZpwLlSXr7v6Jd/3/fiqlz0WuBNJkcly + DQ0GKRAKMrzb2OTJpF/3QUNkLX0iKKiHUgosYNXct82onua+52rDuub6G2/+oX/58K/82vm3/emJ + 6dxyv1zXInMAkZnMCOjFiEBpWG6oCQyYiaoqGxNKRgWErlyjs/PveM9f33X/c77pG5a++RuRDnWR + 69FS1jAtWlU1ABEBEEOMaiVn5t2rISswhRjBTCsEFgMYRGAqZqqaFbFK4GhmAgkINHTTRWNM6Oer + 1lelw20fPv+WP3z8wx9Z29o5VLgBAqJlGAhgAkwkA1UAKYohRAJMSgYsMmAoBqJQhdFj2j92YuX5 + //B7lr/xGzfGk44TIcxNNcFKN4oMqBKiMhsLkTAXYgzPDM+YDq0SLKa25KiWQgTCvB5pTDe+/vX3 + Xbh4/v3vP3ppfc3MDDFi1mOUYIZcEAgUrIilGENIs65tCFaw6AkrmCAFgWE91hLleT7U61LG+V97 + 09ZfffCqv/vVS9/6DZOlZnMy7qoRULUKIw4hkvZkqjAiAgcAajYURsvwbns1Ig0gZioUW2aiNCJO + xVjNzCiaRZGoJc+WmJYkH561VALuO7Pz7nd94s/+ZGm6vTybH+7zyNTMIkFkOG7JxFzKjBID3O/M + h31xhlYVS9aomAtw8FB38tRz/5cf3lipdiaTolQpmVkfQzEhegY9vvtlhJ6EQGQ2HtXdxiYRhVj1 + XR8sxFSxkfYaQSmFwlbM1ChVjfRSSgl9u1JV63/w9uu2+7FkZR0WBT/pprUxej0wnjz4sYdx/+PL + X35wTpQFbJzMlJAZhYZjWmUM25mKCMVEzPN5m1KtWQMHGoYFBoAZUIISkyEaA2CggI2gUEaIwjUF + bVs2izEakxqEYcw9sxmaNJp2bbEyqTnOdiabmx/59z9z/dkLo3bGjMIQQQCqRQP+icULIOwuk2Fs + 8+Add7xgczo5sLKVui5ZKCFZZHDRAmiIBIhY0WBZ+qYeiVhCLL1W3KgqzBbHYM8EpBrmRUtTVya5 + 7zLqqgOLSAAHkUYpMgMaVKuux6WLF86cWQOYoapMUFX6LE3rxTUMsfShOT3cb4IShABDABqCaD/h + cGx7/uCb3lqduu7Y1766HdePWx/XDs6Koce4akyKmbacLRoRAiyJQIlCKpKrqlLVPpfxaDTbmY7r + hmTIirMRhlGBGvZzbOU+yXAalZnVdd33fVVVXdcBiIFijNPpfDKZdH1JKfVFf+zHfvQ3f/NNwy/m + LCDkkmEMg0CGI4dh4L8XtB7KYSGEIVk9XKPuHt0NWevh9K2hTDacpLr3RwMi+tv8B4QnVm0i+qTj + 9KeAEmz4BxghDANcM1HlGMwMRsloGET/rfI5Vrn9ZvCcc84555xzT4krj9L3Jv4YZgnB7mxHez/D + zMOPqerejEi7L1ITEakqEaWU+r5n5uEV2KGTPbw4e+WRv5kNo10Aw3j5yq/knPduyfBbe9e49/FQ + 3VbVYTg83ADPYDvnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7v9Prpwi4DN+azjj42+vK5bK + 3+YpFJx7xrryvLDhpLArv1tKGaZP+WLcNPcU2DvBcDjR78qH2znnnHPOuafHpxyLDhMz+tQTzrnP + bRjPDhPjACilDDO47s260/d9SskHuc4555xzzjnnnHPOuS+6fWet3efAxk1MqtpLLugVakyJ46hK + wWLOkrW3yFQxsZEWNuu1G6XA8/lal/HoxTt/+w/WpjuRCQLey/EaAA37j+vVosdJu508n83y+YuX + br/roRB3Uj1rmtGpk9Xho4evunrtmutWT504ePgQVpZQVTADM5hBBlM1ld2EOZkNWetFG7goJIPF + zj2+cfbM5tkzl++/d/3jd4fz64dyOSJYmXZNXypjkJmpgVFUAz9RGl40hg2LN+sqdqN6RlCAgZDQ + 96CEENC1hYCqYg2mZm1AS/EiUTl17Lnf/m3pW77BDq89Gq3nMM5gQAjCAgLbkOhjIxhIGDAdisK2 + z2YhBVaYmSXiwIgWyMBmkaDFFFKGIGyozKwYetMmxaWujLa2V0f1/C/f89f/+88+a9pPsiRDXnQZ + mYZFCwwNRcOwlGiveJalW458+m3/6fjLXz45crSPPFo9MO01KkUjUysqBiEyBFJoKSWEOhCrIVWV + GWlRfIFflmCD7n4w9GUXDyUBRGqWpdSjRouU0tcxFbFRrFT6XpTNCgdmZjAZRKlu0my+cyDy5PIm + Hnzk4fd+4Fgu0fa9GZCBF7FwADqEL4kSTNQoQlKfV3Vn+47b7/zZ/+O5P/TDYXVFRqNttk6VxpMm + pfl8XnElilZIKaVURzOozWaz2Iw//3enEsDKSjBSJQYWXVKQDl8cbqLRotbZhSQrzU4uV//D71k7 + cujjv/Sr15Gt9BzJmLTtSlGkwFALRCGiqH163dTAQ6VyL895ZRc2VZX1SlJGJiuMNufZxx+cPXb2 + 3W/74/o5Nx/9ypcef+GLrr76RiytwUohmlGrkcGkTEpFIEIMYjANyWhVHW58AAJRXdWmRXM2sxoU + YDU4wCqT1BWIYN7j4ubOx++78/23Xbr9wycuP35oOr2hR6UUGWZUQCrKADNl1bqqeykhQgm6Vp3j + 0YXDh1/xEz9ejh85a6WvJ4mpbecjApmysnIQikZAENr/9r5fQkpA0CFNDxuCoKwpRilZRYgri+ML + bXviuuuu/d7X48jxu974e5PtaT+fHqjqkDtAh4cxAGrI2cBIFZNYzhahew+xEciQFCu9UCspXrrv + 139j/s533fT3/ptjr3xlGelmamx5ZbPLRWUIhMy7NnIYLY2lz2rFRFXFAscQODIRWVd4qB2DlaBM + lioG2r4HkAgJFhWslszG4Hp7uyLggYfOv/UPLvz5n40vXL7W0CggArCCGaxQRgEEQFWzdAogUMil + B5CqSIzSlmGZzUJ1KcTt60+94vv/EW594YXxyk4aJYEBmdUIwcremg2QEIRZ6Bl6rgsZg2CkwoBB + AnehipPRs97wP9zZzdc/cjttbU5EpEcTYQRmBAMzzEyBrpSKuAoRsvukubtfZQKggUBgNolFGm2j + YfNjn3j0wvnH/+xt133dq6959Vfj2InCda4mfaxm2nMd1VgAgakqiEKIxJylGBMbUSCCDk/Yi6MP + ExUJQrVoVKNSQDkmQzcdA7h48dK733v2fR8qD56tLq5fX7q6a0mMDMzEFBgCWAhDO10FgCpzCCEE + DWCJqtNemwZz4Z3lpctHjt7yYz8yO7x2cWVMIZJh0Ra2vRLqMyYzvH91qsRKln467zmqcbRg4zTq + spmRiYUQyJBNi4hZCRbb6XRpZXmp9Gvb8523/dn0jo+d7Pvd5jAADMdKw/ED7XPhJK7HEg9stRfe + /LYjN1wfcx6vrU6lGPHwCCoFcAAKADJFUTYqkkOKsW6II6xwSJYLG8gYgBAPR19Donj4b8MpaYao + iArtpYljZs5Sci9gMmUxreu0M92xnf7Y2oFuY3Y4z+Plrdt+6mcOPXR6eX2LDWAIkbJFBXZT1mSw + 3Z7r4jhZtOG4NZutrayc//23HP3vv+voNQdOy7xOy7nVoqUKMXIQKwYYk5FQDAopKoETcUQIxKyW + n4JH/SlCphFKEO07KAGxF0iMFkKZ9weXVzfXL64sL3XTrXHWAxid/+O3jXY7xJ8n++QVSAmgxUP5 + RAaXNBpWej0xDbf9/K+83Lh51cuuWxlfyr0gKFiLBQRwjClmliJZVYIFJiKiyNT3PYeQmrpXocDG + ZLpbZ7fFofviYz/350np+35lZWU2m7VtO7zfMYSQUuraWdd1y8ur87aNsZpO5//sB3/wXe96934v + fy/iBWCIhA2J6+F8rb7vh3N+uq7be2uUezrp7taqhHDFRsQG2BUlbX+jmnPOOeecc+5LRyklxjhk + rffmm0gp5ZyJaMhLD6flDF3q4SsAVHX44jCA3deVDuPc4er6vq/revh6CGEYC2N3AqYQQtu2TdM8 + pXfaOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc08QETMLIQxzkTFzznmYW88555xz + zrmnzTCjxV7Tej6fj0ajYQIK55z7jPq+r6pKVYkoxjibzeq6HqZsjTEOE9eISFVVAHyo65xzzjnn + nHPOOeec+6Lz4tRTLM86igGJKCWuWCRLrwKWkgtZP2ZUsVC2rh+VXBM1hDSbHZq1eOTCXT/x0wdP + XzxSj7rpZn3lC1JXpqf2mXkTARlqwsj6JZZlm/c811mUy+sIUSldBB4z7SNLE62qjp08lUbNaDKu + xqMwqkNTcVOjSpi32ufSdrntZNq201m7M5X5fPOxM+i6ZDSu0lrW1XlXqa1Wo/lsK6EKVCnErDDA + IABJgiIByovW4W5EjxZ3TgkGFsCIFKZZ65pyti5rVUEJW1mJwrSTsnL4/MG15Ve+9Jbvel1/9YmH + uxxT7AkACsNI+2AAooKNMjMZB0UgFYKSMsniim0fL/4N09mTmhFJCIJF+q+iUIUkMIURmTKZqWqO + gdN8try5PVHG7/3Rw7/+xhsQQ7fFBNgiwcimsAAwwLCipDZErRelaxghBRxi7u559P6f/A83/tsf + jaP5o7mv6zErMbEFUmbjwMxZVUQ4MXOULJpLjkRERhRjLPp0ZBrpk9rJagRjIkAkx1ibClQiYsmq + iEBkgEMMnACSYqKZKp6V2STZUj+r2nz/r/56Wr8wQg66/84hXVFxG9YxoKgIEDTViakvK7Br2vbc + Bz502w/+8xf/k9fj1ufvsIwOH21hOxe31iZrOecQxiXEEqXteuq6A3WzMlpptch+ynDDY5oZwKI2 + Z6TDlsCGaAAgBCVUwgC6Xurjxy5uXj78Ha+99bprP/Szv3j8wcdXuo0aNE6BarZSREwMpExAYMOQ + FV9cOGT4xwA4KAjKykGVCAZMyywwsVpRRKAOwYrQevfClcnOHae3PvLovdVbqsNHD994/eGbbo5X + X7XyvOdhPEJTIxLYtllmkSWGEiFDdFYtAGwIRjC0piaoDRPwxCxKQdeineP8RTzycPvROy/cfff8 + scfCfLqS88GuHxcsMzjEorkoJUqJSbSEmuedjiepnXYNw7iaqz3YWviqF7/iX/7A9rjqDq029bjP + 7VykakLJhZWVEiwCMNYuFIJFId7P9r4vekXJlQ114cLogwLgftZU9QgVFySue6IHe1k7euTA33/d + Lbc8+4P/4RfXTp+tpttLQ9IY4IgIGIjEeoEAETEiGwFDp3AIZhOCgQwHGt64tHOkov6BM6f//S8u + veXdV7/26w+99MWdKY2bEqhHjxirUdOXvD7dqKqKiTiESAlqUnLuehFJsR7uRYGpDfuxzMzNJEXV + 2JfU5YliQoy+YHMbH/345Xf+xfn3/VW9dfE456CIGTEiEwRExALwbmSRDG2nDSWEYKUPgAZMrUiP + pYCqnmzP+gvNeP7S573g9f8At9y0HqqOJ6TJUITESIXNTNkQNLChUBRGDiBDVARdZG6fIdiGXToL + qbGCChmixGlKuO6a5/7Iv77zJ/+3B//iL2/kfjmWtkWIyAZTJEMkMKEYiuTE4cqDANqNUwIsUFYh + DqqQWFUcVkquz2+ONzenD/3Wx974R8s33Hjq1peMXvQVo2uuXZ1Mpm1fqoQQNXA2K1AVWCBRU4IM + VRg2IiKyAFpiRclRtBIdi46yYN5j3uH++7vbP/rwR++Ynn0UG5frnA8wRmZNL1FBBkIgCTy81QYG + UzEwECM6wFRIxQyiMGAyCZemsrk0Kc/+O7f82L+Wa45fTkyJ2IqB2mCmHIwi2L6Um9ZkoCxsCClk + LnFczXLZmW411JAGUNIYLKKIiJbItBSrqsjqqJrm6dLWFO//+IVf/p2wfl4jWBcb1MBIhQKAoPz5 + l62NVEjQz45J/fCfvuPwc7/s4Gv/q43St3WiQKpEmaknIyYOxpGhjQRmnmvOGZTCvM2BkhXUqNh0 + 8aTPWmj3qYcBoAQo8bDy14KgiKkpTFuWW0IaNTXHqlhEaXU2XquaNN45++i1FvHI6dM//wuH77hr + eWNr90ABbAxTMltEvGkRes9hsZCDQmBkuhar7vz61h+96+jhkyvf9JK1A6NSwWKduCKkkq2UABCH + VMGMjRXQHDiIac7ZTDk+g3YowbguzEgiRkhG1Cux1mZSj5ZyLmWUdnR7tSkHtODtf3n2N954TZc/ + /ztgtHtkootFKrtPlcEQdLEPYgOgMF7ruuduzO74dz9z432vXXvdt44Or5UDB8LywYsb06iROaoS + cQzERCRsIEhuYyIREdVELFIQF7ttpb1VmsnApF/Cm/oXWwih67qu60aj0dCfVtXpdDqZTGpgOmvr + uj537sI/+b7v+/CHbxf55GHl4pPPtfiHcdDexyGEoRm298XhfZZ1XZvZEB57yu+j+xyGTenKgRib + kYANIICA4RCCvWztnHPOOeec+5KxdxLO8Kmqishe0DrGyMylFFVlZjMbRqYhBFXNOTdN07btfq80 + hLB3aUPTejilcG+o2/f98DOq6k1r55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7gsq + hLD38XCun7cDnXPOOefc02/vKLSUAmA0Gg1l6y/qjXLOPaNVVdV13TCDTc55PB4PXx9mthkmrmHm + nHOM0ZvWzjnnnHPOOeecc865LzpvTT3FqlFDRL21fcnGwaDBJKvFWBmQybJ00NxoWSq6olZLDrM5 + HjjzgZ/+uZNnHjum1k03a2ZAFRAGYxEuHYKdtM+qb2Am1WigbECpAVBPIpoFV8StFJAaltLOI49Q + iG0KM6YMzWRqZkwBNCSyklECR7WlIpTzSaZkBoEYEiJiBUWe7YypAREgxQpgYAJMFYQ6YO99YDJU + Wj/9fpERKACIidquSwGjClOFTUK7VJ3Z6fjoqRMvefmL/uvX4nlf9viEZiujkEaXtrZGsQmmwk8k + 2YxIwELxisSXggwoAGD7+0u9EcEWt9pMBKSwQLH08zrEAIMImSSmxjhKHs0sbW3h9Okzb3zT5T/9 + iyPzFpqXqpHlDgBfecG7H7FBSRlQsuFuEKAFVsq1o9HDd973vh/+0Zf9wPfdcMMN0K4rReqqZd4p + pSBYXSElpZiLaSEWHtXjosKBtJRcOvrCvwmPP9Mqqqocg6qWvmPVJgQukoxgSYiVtZih9CxWKY1h + aMsS03hzGxfX7/7Zn5u+94O3pFCms6dihzVUDaJJsCIxUIZpKauGRLb+8MN/8W9+5MQ3fc2zvvM7 + ILTJVZ3W8nyeU7UtZdb2cdzEUW1kSsGk7KsJZ8CwZl65iHYfYuPd0PWwSSy29xAubG/VqWoOT5Zu + /Yqv+Df/84M/98uX7r5ntduRdhtZqkXRgbWYwsiGYPzi13Xv5i3WLxuizmQcTI3AhJSIFSVbVrBK + DR6FIFuzCcJRsMy0236ke+SRM+95z1ZTby4fsIOHV48dXT55fHz88OjYkYOHD4TlMa+tIgSEAGZY + gBmKQhWi6Ob9xma3fmn93LnNs6e3z5y29YvTBx8+onpQdLmdHWpLAtJu2F0LBCUShxDNTEQUkE5H + ETrNKWCqaEN9sRnd9C3fPPmu17VHD+5M6k0Rnu9MJuOdbqpqQqwcYFGIlKAEJWOoUcA+d6H7IqQA + AgEGsr1yORADBWbVftpRauJ4Mpdy3kpZWz34oi+/9ad+8r5f+MW73/XOayk27XSlQjcHgBgspURF + RTRDGEOLHDRky4eK9nB3ik0IKJRaPSLl/Ps+fNvdd14+dvDoa151/ctfeuiW54Aw7eZlVGM8WY7c + l045qEHNyDgQ1akOTWj7TERgTkQgVS1clKSk3C+BJrmgy8iCC+vnP3TbQ+95H33i/hNtPjWbpdIq + aaoQKkgB2XBDh30s9lKZTRp1eS4l1xyMqAzrZcImhfPzqR0/ef23fHPz979Njx4+zzRFlZCCMogB + MbKhAT+sykpciGX3OYxNQc+4EOruEzcrKcgYCkIb45TjbGnpuf/s+x85sHL/2//40OVy9fKo3Z6D + EQmkUICZE1mvVrSkRX+SsViUiy07AiIwshA452zWjwKvxgihfjbvty93m3c98LF7L/z6G+nksea6 + 60487/nVgYMHjx6jw4ewPAEHmEANdQUGKIAUZjCBKrSABPMWO9vzSxvTs4+duf+h7XsfkDPnq/OX + D4mtlv5I1ye2ECn3OWekobO+uPdmMIAMKgoicAQIXGA2PKQoANdpk/nsSlp66ctu+lf/6vLB5cuj + RiGkQhAoAZE5sjGD5Au58T4diEULGVuxksRYY1OlWJd5MdNCZEwUqRKu+pKm3bjk1HUHic6//R0b + v/Tba6fPHBg31s7ZrjhkGnb1AIDwWa72sylWImNidLLo+37hP95w8cKx7/z28dp4g1XqUYhLEjhT + KjCFQDl3OXEiYjYUCEB11eS+VyMyVlIsStNgWzwNKcHARhqUGQiKYLQzm2kdchNiSqTI8526s9XI + Radxq0s7pUbVv/Odd/zGbx595Oyh+VbDiAFGyLYo5hIYMPssB8QhxraUhrEqFC5cvvP//rVr88bJ + 7/hGxG00Otduu1dKo8lkWWOc5bkJmZaiZXF0FwCzGKJIfjKP8hfMfNqO6jGMiYgoRCNTSJdZSrTu + qobidD5BKf/pnXf/9C/dqCWV8vlfuNInPTcOhwxGi1L43pKm4VukSbEyvXxzM3r0j956/50fvel1 + 33H0q14hm+3q0mpJ3KnNRbpiEkk4FEJRqUQiBU5plrvS92AOIYgo0xXPle6/WIyxbduqqmKM8/mc + mZumGYpfbduPx+MHHnjoH73+9Q888CgPRxX73K+aWQhh6HgNQesY43Cez5DQVlUiUtUQgjetn057 + Tw17A15jkC5i1ouveMzaOeecc84596VmLyO998FQks45mxkAIhq+KCJDiBpAVVV93w+X0LZtCEFE + 9nW9ez8/nE84n8/3TgEavltV1XBdw1VfOR2Gc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec + c845555aZjYUvwDEGIf5bfq+9+KXc84555x7OhHRfD4fqrQhhGF6xmFiRuec+2yGKVtTSn3fDxPj + lFJCCMNUNmZGRD68dc4555xzzjnnnHPOPUN4buqppMAFLvVxAAAgAElEQVQ0ZyIipjokNQGBAgPU + dW2oqyrGoFKprQkf7gqmHR4/N3vr2+/6g7cd2pquQiPMgFTF3PeF0QcGdFwQACEACLSvsjVnASEF + gIZKLlQhViTQbtaKDIyiKAWa82ogiJkWJQhByGTxRi4EQiCKRkNmm4kYgczMQLAICIqWwqBE0ayH + mUIDgxhKZjJEWAsQCQqokSpBGGwIu63WodtJBCiUuO/BNCrSI7AGfXRb5Oq1w1/70qtf+624+vq8 + dmha1Uis03lrl5fHYys6BF/ZUAuE0AUIoypgIyEQmKBBYfvvOytBDQyuFQwIwbhIJKEMKxpKBBqW + US/jrmArY2MHf/Wh8+/7wLnbbjsk/Q1kga2uRpqLffLFgpR1uHgAYDMhVYYSgoKAuibpTNt+2Xr+ + +KPv+95/cf2Lbz3yglvqV9yKI6vj1claDC1sprSjVMy4qmAUlKoQd9p5qmOMlDhmfZrCoEqfFG8O + ZKZSMVkpDTgWScWWRuOdXgvnWRRim4hOCCOVpU6IIj5+D2776F2/9/8e2Lx0osuWZxXDbN9ldwBX + 1hoJwiRDPrYvmQsHDqpSBEH1kM1WLF7+3bfd+fa/OvqSlx75hq/Hc57T1KltaoxrIelLUSaLttPn + YGDex+s9SjBWMiQFAKEhTssM3ctGkiEahLgLLKwMGaVqhGpjc4rRytJznnP9j//Q+lvf9vBv/+7V + kZfbacjZiio0UwwhQjrsNm+HOz1cYNxdBPxEdJbJtCZwgaqFIclgJCYqxiCDGikRKiAJRt18Kc/W + trb03Gm7J4JpE3SJSIiFoDBwCClSDEQkqkPdEEUIFhTBNBkl08MqQUpDlLRAhNWYwARToEAsGIyh + IRrQZ4EBMUaSgkClWGbMV9ceqCcv/J/e0Hzd1/YHJ2e6aT0aUTevq3pzZ3spJVIqYKE4LIo+GqCV + go0/Y3P9C4EMRkqGWrgwrAqbbbeMWKdKYMVKJIyacbs121xe1ijP+vEfXPvzF7zv53/5+nWReX+g + Sdz1ZtA+w8AgCywqEYt2MwNRFw8ogJ1iS+NVmc2n/WxSrRyPXG1dvi7I1pvedPrNb06HDx1/zrOX + bn0Rnn0zDqwiRKvrvq5zrHuiTiSbFTULWoegpUjXBtWGaAxrtCD3aFtsb+GBh3Y+cNu5Oz7anX0s + 9fl4LkuqqS/RKAUmCiXL3BRAIhCETa5cIAAs9wZqRo0GbO7MA2Elji+bXVhbPnfi4Evf8I+bF79w + FqppSC1iSg31CKYKZeiwVrOBjAEW4j6AjWoxMhXWocD6tD3E+xIMZAqoMBsUgn60tH5tuOZfvGG+ + HE7/0Z+Fx3dOplXVGQe20hc1U2UGB8CGWLAZFLtb97AhU6o1dzCtiAJLESNBFgREBtcIYdoH7tbY + dLaJRx/desc7ioXHQwx1apaWmpWVZmmZmwoxUAwhVhwgIn0777qOunZ6/ozNZ928tyIRlMwOFYul + rMaGi1rfBYARtVMCTaogWYmIhvd/Yy+pS2AzomJmBWRIESCYoabx42qfSPxl3/2dx7/ru89Xo/7I + ke12OmZjK7zob5IaDFqIvqRjnEbcIShTBYuMrmuFUUi2yqxONQEwyf2cVZfAB3oZd3Muc5w599D/ + 8/vrf/mBY9PtpVRCyaJD1BmALprWtFdPl7Cf9b+KmPeoUz8u/bNbPvdrvzN/70ev+2+//ehXvwhl + Z5tmW7Gx0RKniiRL7lI97qUnjikFiMYYmaAqJYTCSrurJZvy7tPPkEAmYzIAKgwzTA4uzWSuNue+ + NMBYZa1ImhWUDnffi3fd8fA7/2p+7vEbqziWEmCkKEAOyADBKgrMZFpAi4w2AUmAxf6QpZQmkPQ9 + gLFVx7dm67/0xgd+7fee83WvSV/+5aPnv2B04CBKmU23tysCI4xSMUPRECDWFUABRQDRM2d/YkC9 + PDGiUlRyS2IpxIqYtV1Tq/sel6e4/cOn3/726R1/fXx7s+ol7D0Q+BsOnD69J01QtsW449MJMaAx + IuX5VTv5wu13P3zv/zm+8U+OvezWpa99TVxbacbNeNR0VZrHOCeZE7PKctPIfE6cjHkuEmNUWezP + rjhIUwMU+z9Ad7vMjJlTSvP5fPh4NpsBoJia8dJ73//+N7zhDRsbW8xQfZJXMZS96rruum64RgCl + lEV1nkhEPGj9tGNAh0E0DLR3tDD83cAUpCA1UjIPrTnnnHPOOee+lAxTSzDzMNKcTqdN04QQhk9n + s9lwWs7wh8NhZLrXtK7reghg77dpDYCIYox75w0uLy8PI9/hXKBBKSWlNJxh+FTcV+ecc84555xz + zjnnnHPOOeecc84555xzzjnnnHPOOeecc859ZkQ0nGxIRDlnZlbVqqq8IOicc845555OIYQhRisi + IsLMe0enX+yb5px75iqlEFHf98M+ZJg2VlWHmXOwO22Oz2PjnHPOOeecc84555x7JvDo1FOJoUtV + sJ2dcS6jxNAM6REIYogjzHoxCTCo4OL61oduP//hj158/20nsjxrOltLobTTAlRN2mn7mpmMYAwi + mMFUGQD2+3fliAoAEQEFBFUzwABmUlUBzMAKMCoCCEFMVQwwQsVkTENLkwwECkYwg5gBDDBRb8YA + M1EIQRWqgIHEbFFoJoYqiiEAIUGzLAJotPt623D5T/S4EAwRMBMlWGp2YmzjaINED67e8KpXTL7m + NbjmmvnSUrt2YFtp1s8bSqOqrgIRDRcNWWSVCYDRkFrc/cIinkewqLTvdN4QaVzUvNlCYEauunIM + MWxPsbOFyxuzx88+8on7L9x+V3vvQwcubZ2i+KzZvDIJiaXIvJQAMPGwDIa6thGUM4wBYjOQEnYj + 3wAM885GqbZc1jgcAqfNS+F9H3jo9o+c/e038rXHV266bu26qw+fPHX4xKnDR45gPNZixBUJz8OU + JmmqWWCwJ5OE3i+lK9vJwNBTZDLVwByJapC1XU3Rzp0/mcaAolaQYjrD4+cuPvjg5plzpz/00XL/ + I1dN+yObWweDWp8LEBvSbv/3wIaeOg8BUBBA6HNu6lAXFmgKdQjBkMmMOzS5jBR5ujl7x3s//O73 + zk4ePnrrCw/efOOhm5918Ohx1Al1hRS3u5xWDlwUybyPjdL2Vvvdx3bIcCp0yH6SDZsCG8GI2SiF + kKe5WV5+fD5dWq1HS0cPffd3HHr2Tff88q8+fvfdV48ntrkZYOMm7MznS+ArFz3hUx8LDGX2Yc0j + iEFFATABHKEKI+ZgOgTwQEAwmIEFEbLCgnJFfh2L/UkAG4yIjMkIAlMzM0s0/LoNy5+IwAwiK1lh + Qsa8KJRCrRhiHAEwdH3JCjAQAimBOOz00k2q81Xim2/6yn/6T/Gc555LYbtkHY3mXT9uRls725PR + JGTVAgrBGMZDC1kJSEJBIV/gsHUwBpQMQ2J52PkERTYSMYohVlFLzqU3k1nuxvVoilIOrc276cHX + vOq1Nz77zp//lQt33Tu9eOkAp7EprCjAbByRxVQxZGtJwbtFWxgvpdTNthPCJIxyvwXgMMPWtw4C + HTC7sL714MMP/emf74xH9dWnJtdeMz51qjpwcHzs2IGDh2gyQd0gVYgR0ylEUARtmzcvbz527tFH + H+4uXNx85CFev5w2t1ZzXunz4V7GQKrYeqUEVeuLElBVNRH3fUtGwZ54R4URhAFAVZqmmbbz1jBa + HrVGD89leujQ5NVf+arv/x/nq+MHmcgqCrWAcskNsZAZqxDYAGNWCsZsbOCgAGzYTwqGq3sGxVAJ + yoAaiIanncVtI0OAIcZpvbQ123r2P/7e6fFr7/6/frNc2pqILWuuKEQWUSsGGjboxVOF7QaMhycy + tZx5uFyVAITIAKyoQQMRoMG0MSOF7pS80x+PDEMxFWJdXxfiXq0z5aomNqYIUlJTLUGEi57i3Xo6 + g3YD2yoo/ZyBAFBIALKqAiYASBb3VdlANmzyKEoUQimFDHUKUGkLZsB0Uj22MnnZ935P8w1/98La + 6uVm1HazJlUhd5HC7mIEQ4fjhoBnTGT4yVC1bjXEen1jhQHrUUFZs5TKOhJVAQdGnTDbwj0PXLrv + 3ns+/N71D91+S9vcNOtqdKylE4wYpsGGbZ+UARCM7Eksm3mPyZjyzOqAUd/G3KaHT3/sJ39q9jtH + jrzk+de/7OXLN9yIroCACKSA+QbaHkZIjZYiKc5UDqwsb5euiyhMBcMKM2ybKKxKRoZoQ34ayoBp + 3rk8Kv1RxlgEW5t25uyle+67fM8Dj3zgAwc2Z1dfKidziYEwnRW0KSSVrAYzECERkSmEPuUdW3t7 + 9uH4togZMKpj7jPm/XIXD87ozJvevPPWP8mHDsWrrmqedcPKTTeuXnvVsSMH0NSIDGZU1aZKHo3a + kGYlJ47PnF1KUlntWmzvLNcjhICcIRmbm3r50qX7H3z04/c9/sHbljY2j7bt4c3NFRCrfp4d+Cub + 1mS48jky6Kf+GBmGgwcAw9kcK1omFNvN2fzue84+8vD5t7z58POfM7n+mhNfdvPStdcvraygriyE + EtI0l9g0/bwfjSplNbMimlIyge0dVtAT16XAMycr/iVkeI/jkNpaXl6ez+ellAMHDmzttG//87f/ + wA/8wHxe9n44xdSX/Z2WM4y1YoxD0zrGaGZ7Qeuu6+q6HtJifd9XVfWU3jn3N6BhoGvD88LQszej + J8a7tPjvnHPOOeecc19KSilDqTrGOJlMSikAmLnruvF4DMCGVyJ2z9UZTuARkWHoule53pfhMvc+ + HUa+zDyMu5l5GPaWUoahMX2ef4hxzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn3P6J + CHZLYDHG4fy+J3H+oHPOOeecc/8lhlkvVHVIWQ9zU6iqzzvhnPtszIyZhzHsMLYFQETD/K7DPK57 + +xCfx8Y555xzzjnnnHPOOfdF51nrp1LSHGfnHv7Pf0G333t0uxvPWkhGkySQFERO2vZb6+en6+fR + zivLE9GjEtrNy1WgbJYSSoa0eSmxZiJwUAYQTAGG6d94Az4doRhMzRbF6YBECCAtSkCkQERgM1Ut + pgAF5qEObYAAi1AlGEPidkhlES0+pSowANXcl8JAigSgFI2BoGYGK8OvgMEwVipgBQADD/+UlcgI + mRe5azYlgAgWaAP50qjOz7rm2KtffvJVr7KTp7Zi1NGkLTlLT4FHI6h2PXorKKU0YQRAiZWgpAwk + QTBlA6CkQ9mahVgBg+p+ooVsDEXkUCCFNSerqIx22oMXNh/6nbc2D54pDz7crZ+TPAuaD4vUuVuO + FHsLHLII5RBSJaXjCsi6qDIaQFBAA8h0aIgO6eU4FIMNbCCKkguDVfN06+Jaw9ZtpEwH+tX5XY/s + 3PPAhuZNM2bmutG6WT56glYPpsPH5qdOXPs9r1tfSpeJu4Agn9QpfPqoBSMqyobK1ETrgA+9+S0n + Pv7A+ML5za31dmcrdG3Swqpc9JTQJKbu8kZFw0qIKmLeWr3/V1WMIBQADqbDb9OQh1fhyFrQ5Y7B + wkOyHCk1VVGTsjSb3lSHrUdO58fPrpeyUTfGTU5pfPx4ddXxrdXJia959fILX3yp+Xyz1gwdmu59 + IDINxkkBQAg5ACBWBGZehOQ5CiXwbHtn7cDKNM9zJRuhm43ieQ0nv/rLb77lx9d//4/vffNbD0s+ + oqXv5isNrFXYbrqZhpLoJ9WFASzWPFIAkUDMQwm316w6ZNZhMDYaengALMAYRCh5yCovFiQRE8yY + TBSkaosHKwDD618BQ9oWIMDIzETK7tLghABddLUJHMF92eHdHQ9HhMAo2ucSqjRdXv74qH7233vd + yX/w321AZiMuVYrFUgyz2c6s7+qUVDmkcd/PIgUj6UJRskoRlapSATDST8uhPmWCgRVkbKRDQnCx + woFT4TotFZGSeyYZ1YyYupLbPGdj6iA5tWvHU7P63P/1R8//3h8+9ta369lztjVdCSmQiGkuRRTx + iU4hk0GICgEEzV1FgaBF5gZUFZtZr1YBFSEhjjuZ9NrNNW/cK3c90INaDpuBC5moFjIKTGQVk5Ys + WRkIxIk4KdcqRyjIfB7VlqpqFKJwq1JKpwWoenCKdbJerc1dAFcgtr3bOaxyyAFKQEEV+jqAFFro + Qgj2lc//std9W/zqV15Qa3UsHJfWVja3t1IKIZZSspIWhhGiUlQOi/47ExAUSiihABp295bPKENZ + VgADhBIbkmoUEBXLuZ2XA6snNre2Vr/pW19w/NQH/+MvX/voY9XFy2ZSp0RcimowmCIAi7VpNxBO + i6q8hgAQSTEBSDUMe7xhqydwgAlMEUF1TKX0AIzAUOIYmJi5QjA1ElERmERYIA4hcsVaipIN9VmS + xXZNjCoEiIhCJQcghRBNeykJrKyZAUKS4fjSzKBmkSiAiQJxNc9thuTjR89cdfRl//wHcdW1O+OV + PFrOfdso1ZKDBdKgDFlsSiUMzVv6fHe2z0CEXId+aWf22O++def+0+Od6SjhEveWAhdb4qYqMr28 + cfHCY/Odzdh3yeSk6TVtvyYd9zlGmGI0Zp0pEBWUg4KQ9vZn+9yzkWFSjWQ2z0BKKG1piKYbp69Z + Wrn0wP3dIw/e/cY/tGrMq4fGRw42y5VFUBVgZD2qepwpzJpmvYrP++avH9107fqo2q5CCVE4JGEY + AyhUlLSWIU6sSiasUcpRs/Pvv+3c++/Qe+6b3nsfti5PIifojSUvE1WlpwiKNBdLAAxMiWBkQmRk + NjzLEMAKot2DqCGHDAYN78BACpCuJIZYqcapm08PpzSet/PHdnT98XLnRy6qXTCjgKqq0vJqffBw + Pnpk4+TxE6/8qpW/cws3TX9lvOiLba3r6w9+6LF3vWv94oZsbLbr55OUdmMzllIBLHZDTKHL9ayf + MCcwiHQ4gv+c9+HKpvXu3nWxIg3fGfr0RhAaxiMAKCiUAjOqEKwIqdXQ0M8C5tVmL+uPd+8JD1EU + RKnqtLY2OXGiPXV8/Vk3P//bv0WkQFIiympkwzHRFTfCWBm7hesvytHqlzwRGapaKaWcc855dXV1 + Z2fnt37rTT/+E/9WFamiks0MMca+5N3g8acu7c+24pjZkM0eql0ANjY2hvc8bW9vLy8v55yZOYTg + TeunGdkTR562u+0rwRZDIYUpmYHUiP1Nas4555xzzrkvFcM4F0BVVTlnEUkplVKYua7rnPMw/o0x + tm0bYxwGxXvn/g396SdxvcOUFnVdD23sIWtNRCmlpmnW19dHo9GnpK+dc84555xzzjnnnHPOOeec + c84555xzzjnnnHPOOeecc8459wUSQhhmuTGzISXooS/nnHPOOff0CyGICBENM1EMU1vs9a2dc+4z + UtW+74kohDDsLkRkqFnvfTqMdpum+WLfWOecc84555xzzjnn3N92nrV+KgXTZVj7iU/M//RdK9t9 + U3KQkituVZiiGSfRI6rHSGFZTciQwEtgYzVDV1ANMaqshBDwRFXMAH5Sr08ZFAAP78RiGCACNQsA + UTBAdYg7g4FAJGK09/NmQ5w0Ee99yiAAw5+81VRFhgR1xSCCqZmBgEXTeghrUghEptbnzHsRaQJ2 + O4xsyMSZ0QUuzJlJAuWAaapvfuWrTr7kVrz0xTi4epaxU48Qm5xzzbGO0NKZSkhRFGI2aiaWdSiz + KcGI1TT9f+zda6xk2XUf9v9aa+9z6nHv7b79mBc5GvE15JDDEUlJFE1RFh+SKFsWFNkSYtlwHMCO + giRQPgQBokBGAAeIETjfAwTIB8UfggixFVu2gEiRREqQRdKUwockUyKlkJohOTOcmX7ee6vO2Xut + lQ/7VN3bPT3D6dH0dPfM+mFQU7dudd1T5+yzz6lTe6+/QwxOLcDaMKVqA37jILcXxyDAnKp6dScG + bBz44qVv/Jtfe/MzB+fXR3NXIzMfmFwYUK8Kg/YpW9WxjF0vVfU4nNMZZHxiOVqCYIsSbJsQYOLk + PhKLwHtUVJsxanEuh0vIaTYmzVByuB1WQX3ymUNJB/3yG/ff89Df/JFxvuvSudOrmftKbuJQZnZo + 9dynMgxQLULLLndD/eIv/6u9Zy6dX61Ok7Ep1RHWEtBBSdb1cK8jVWfACWaYZfGqf4klYsDbms4Z + Y0FHljixgYWdVM1EeD2sBdxLgtV6NPQAgJRQL68ZMHTDty4//cdffvZM/8a3viV913tubgkctgng + xCYtEputT8TuU3hk2/pqunvq1JX1oSdL835EvVzGvLvz9epL9Tf+/b9z9nu/5xv/7H//8u9/Zj/T + Yr3eTejUjFrzZkyB9M9LK6Tt6yO5qaMCJN512cxUNYGYCDCADA6DAUTITGzcFtrg5katD+GpD6FN + HOW0oxsMcN+mjrIQb2IsGe4AM8TBgDhpR3CCOztJdV8bW/Zx0T0Lmb370Y/87D/AY+/8GlT3T2sp + 0KHnPK7Wy9m8uqnBqh7aatbPTQs5CM4wdk7aluWWj0AlZ3JrHYMRtt12Wa2Xy50CY5EErIe1q5Fk + FzajnjrK/cWyssVuR3TPf/i37nn/9138F//XX/zWJ3avHpwS5vUgwLxjL21T8tSBOpPDybrc1TI6 + QRLMMBRLjJnAW6Jy1R7UEwPVnRyFWjcOc4AIRjCob8KSp/fSNqDDAQYJJTDpalVhAk7McE8ipnUs + lZjBRERiECKDwze9Gh2HlqaMCyujWX+RZHX61Dt/4ifop34cZ049ndLYL5j6bLhy5aCb9evhMGch + VgeUwU7kvOkVp2Vknw4hrWMjv9NSrScMM0xBvy3fF1Ylpw65OJf5soDO/cAHPvjAPV/9n/+Xp7/4 + JXru4hlOs+JsRnIcD+7XZtC2vcwUBheGsLipGoRBDAPMYA4mZGYoe60CUOsRiFVNVZlYiNryCYRZ + yAzmbsUAhxALiwNipuoGBwFUlAAhiLBXU1UGeiJ3bLc7EZwAg5kzkEgKuIAPpXu2Izp3avf97/nA + f/mzenrvqD99hdKqWJa0yLChkGcHazubIHWGgNmngPC7mc1LPfqDL9TP/mG/XlniUQ/SYgalg6Hm + UmdCDwqRK1ShJoxEUAUAIRgwHllHZA60XYymAyK7OeQmzxLZx+rAvIMpHOjYO+mPDi7fI5CEVERt + HC8e2pPfrFRWNhT3xWI+Hg3K/WB8qe+f29/rfugjMGU3QLZRyNO7bZ2+Hx8XlEHqfHD16//20+Vf + f+ItB+sHteRaiL14lSSlahKoYlV9PgM0jaVkZINCnQzkMIBBLMm1iKOdwrZja0OE3KVhXft2Xms4 + PDic9VRXZU+wY25DIYcQsoMUBli6cERfv9jlZ+6/7/T5++dveRvNF7iThoXNVK984fMXfuM3l1dX + pxX7w3qvy2U1zAUwKGOtKxHuRFyro+2BfFNh57LpsLf9zPG2OzYlibOjOohcXTN37Fqr7oF3yGmo + tK5kA4AKHp+5MH71iW/t7X7pS1/53h//GyXhyIqCQZJSqqpCCbDWaJSwbT/h5ck5E1GtNaWuVlss + dq5cOfgf/6d/+r/9s19CO5st7o7FYnZ0tH55f8LM2p8golKKyPSZZnd3t8VpA2gRYsyxNV8IOxyt + OyfAce1HtJdxvmqb1yUju/7fH+/J1D4I8cv6GyGEEEIIIYTw6hORWmsLmW4fOYdh6PseQHvE3dvj + KSV3H8exlNJ1HXP7GmIKqL7Zv1trFZGWad2mFGLzrehqtTp16lQpZfun3T2KX4QQQgghhBBCCCGE + EEIIIYQQQniVOT1/wP8NbKcuhhBCCCGEEEIIIYQQXiI7UfmCju9f838DBHby99vrcNdM77+2WBA5 + +HnV7m54BY8cIPhUvMVaSRkGXqjy2uY1udX7C3eL1loMYDrebs/fgAZykPJxLZzWJGTzYysz0RqM + A0rsEICNjKeCe8ftjpydGJgaH8F5qg5n7CC3VkZEnNiPy4a0MkLb15l2gSgUE151bTbfNkewlLIN + AAshhBBCCOHV0QpQtExrImJmVd0WpgghhOdj5tZpMHOraaOqzDwMQ9d1ANy9VXZNKcJiQgghhBBC + CCGEEEIIt9/LuVJJRGYeVzmfj5ypzhbrvLvmM6syo8FRaW29CFwJEDdyTHHPlEEgM4KjgoATOdbb + /N02jM8JEGO83FGj7k5gsWnI4BQ16L65T9Pi+zRIcDtIqw139CmiGj4Fb1Eb2kXkZiCZ4mxVpwBT + ZoY7EeDkcPNKTgAlCMxbBiFhuyhmhGI6dPPL/eyZ3B2e3d9/9yMP/+CHlu95D7q+5rROdJRSFRGQ + 19ITBF7ViBjEagCQSGqtRFPeXrK2oNxSUXHiz/lmFdtmzO5LRLAkqZQVUulzKsVYEhbLVR2X6ytn + x4OMEXDyLEigYg5qAzwJXpUBAtnoHW2zY5kANgZZG4jMbSvb83KIrQjIrRC8AxvMDWAUUgfEWZSJ + 1Qia4IDUcZ/RHV5e+SnMfcjAqDN0t3r0nRg7wcgYJt7Sj82JyVgsFVLenV1ZX56hYsCDo56qY1/W + 5ACcnbcBzF69A6MYAy1IlZ3dnG5+GCs5xDeDtLFpxIYMuANuBHKtCWB2mDLDYcVHwbTtjFA2Kb0M + nzv6YdjRrhvL87fUi2kR5lPyK062zGQn39cUEW0EFRz4ETIBQhU9cgeYmic56OffyPWB973rDQ/+ + N+d//wt/8v/8zhOf/dTDhxf2vSKRmo/VE3MHhhqAxCCGKmAghgBmkLarwhPABuLK8OoAu20Gx7f8 + 2hZkTe7u3vqpbV/EDmvbxVt+/LTaAVBOZGZm1tJwYeRgIoDMq0OYGIA7dJOxx4zs5E5Es6skl3d2 + jh645z0/81Pd+98zvvG+J111Nq/VaKyL3Fd3SjLqZqdmgFF8DYaTJSUnYYcye9tUN5PxebMcUN40 + 4GsaquU+jXUNQFsCa8pOMGOjREyjmbh30q2rDbkfd9Kpd7xp/7/6z/d+6EN/+iu/+tRn/uA80gNK + PqwMSCQAGYzBicFmClMHhIxhLe2WQMedLG9afjU93C0AACAASURBVFtJbS6DG6ENHHc3chAJtYTF + zXY9eQxgwLxAQdNJg7kBgNXqICMCEYGYhEDm6nAhBrGbOYEZ2TAovNu9yvTsqVPnP/yhd/0HP4a3 + v+m5JOuuMxCZsh1lYibUYlmSO8iFYJ1i2jsJJnZNsjEZOcgZd16mtQO1HXG9NUwDYGQmYJfiDsHa + Rmari/y06d6bHnrTf//ffvNXfvXxf/PJo8efesjrUo9ch5QZatWhBGt9F0NAap6IWs9ETlBte5lT + 2+M3h2PA9XhqgW/2AAFkOvC4gABn15ObXQCHwWvr5IxOnC443KAOUSdmavu3k7f2qVA3JVQHA5kh + BB3GzMtD7v4/InnvI2/7yb+2+MiHVvP+Su4Lk5ETLJlVBbFU2HSWBCdnmJmT3+WZ1kpSMXfHsspi + 1B02W105zeRX1xmJYcQVMFKwgSwzBKa+ifv1AkI7bBFhZCAb4NO5BDsb+Wb6x0tnAvZiABLgCtcy + A5sBxcg8AWSjrcaOMCcycxtXy67Tse55ZtiloaDWyrJOWSz3lsiZYcnMCZ3CqM1vaYhM2I059Wo7 + ZdwdjoTVYUPrgqpnAIoEJIINILcMBoxbc3bbvkPXdtDYzo1hxrZzYBtq11q7aQfOZDZS751VA5dp + cRxk7bzM2WlJdg/TweF63yXl5dVKWeh5c8FuI+sTpI5LtUVBR3lcDX1K1SoDZJgTzDZdO6YOn/zb + tIdtESv27TGdadOu6MTTZHrk+OifQFBNYLcKoAd7hdDJV+YEsHku45nV+o3rEcPAydeEbr535XDN + WZJ06hWwXh2ACbVT91dijb3Gicg4jiklMwMZM5dR+74ftVot0vXjqIvF4qmnnvqFX/hHv/Ebn2z/ + qm6a/zbT2l+4keecSykA+r5vOV7tEXdnZiJqQyfboKgW37W9OBBXCU7yTVcicLg5sQJOSjR9OCEi + 9uNzVDv+nPiS/0Tb9ZwBMBTTxx6a9mVtu3BycDtZjlmoIYQQbhEiamcFm4vGZGbb4dQASikt/rPN + xLidyxpCCOHu0T5jbj9ptkzr7SMn86Tb/ZzzMAyLxWJbmeLkE7ZZ16r64rUqtjMGt3e2R7R2R0TM + rB31tnOH1uv1bDY7+SLbZG4z2x4rQwghhBBCCCGEEEIIIYQQQgjh9SbnvFqtmHk2m43roeUNjOMo + 6fhb1BNf4jJdM3HtpONnbSZAGV7C4PlbxN23w6WwmZDLzG16Q/sVTnxh/fx/Pn2P7IrNEKznP9M3 + 34lvfsVObXbgsZOzwU7GXbPDXiAX57pnXvMX48vtEEIIIYQQQgghhNerNiuw3d9Omjh55er1MDOi + lQiQVgHAuQX/tvsAlFqhBm2169jFwEbIBtrUE2vB2O36mzlEkrqTe09iXgl+MntaN5W83CAMMxCB + AHPQccUiJAdtIrevKaLVYowBtJBjnx4MdwtFa0/GQNrUXALg7SowGVyr5YKuYuw6tmKtJYhxAgjm + gDkq4ARjWJcOKxd0SHOwux+CyslKMmxiSLVgd2/v8PCQzfoEsVHMMtBv8telkkBgDtap6Rm3Anog + A9Rhm2KAd1ahmO1l9u1F+zbLrPVpLSbKbqpsYLjztEl/bRO/yDzB6AtDCCGEcKe5q+uphhty93Z2 + +hrItH69nT/H/hheTbappqzq2PQY7erEycI14zi2lGtsSta0om3H4wxDCCGEEEIIIYQQQgjhVRGh + U6+87DR37LiZjw7MGIC6A2QMb7nLNgXFMpERnE6mSwObbzeu+Y7jL3/xmLavQde/+Lf/tzRlWQJw + N3eYbwa/bkxZg4CbtbxBIZAIiOBm1QssS65OhVwzaycl0QA+MrfZcn7fG84/+tibv/u9eOc7ce+5 + ldATVn3e64m/wY6TKYO+CdM98ciJZ/r1D17r5jKt2+tUOISZmdylMoGVBJxm6jMrIAWROwHCKCDQ + tnLApqACYzO5f7MV2g/TwtANhwYfv5lpbKgTCEQgcsCSCVOLEYczjJAdNI6dYVYN1YxTR4kKTNhv + ZbLvFIBLU7xkG2ntQJd6raYgUqWcaD3i8tXFUGam2Y8HSZ9448fraHPz8r/yayN1r/np2HFIMzs5 + uwHGYAfZlAvrbRdlgjnD2HTOkGGN9dFN75VT4Nzx325u1BRbMm0LvGNyiE2j/pX4qI79Yn4E+7PD + 1dlzp8589Acfe+u78JEP/9kv/eLVJ76qVw93u263YyrVa2FMY9bNIAximEEdAAazBAgzk8Fg1d2P + u4eTTVJsk4d8w/bzAitiXSoBmZCSwA2bYaDVNecMp6GuDJhzTySDlj7J5aIVWpaLZymN9933po9/ + fP/HP76apysPnL8odLnUHpQABjNom9V8cr1ta2ew8/aN6K1t9pMXbqN27XP4eAQ5eZsnQA4nVEqD + 8NVMs+T3fPB73vmuR/Cpz/75r/76n37xj08Ln4JWraLO5mZKBmFhZlOthmpgRhKIwypGR0fX/OnN + Emwb4PGveJrfwC1M0eiaCPBp5O5mR9oWQ5E0M1NyMzcCMdwELbRcXdnhktawlZl12ZZ73xjx8I/8 + yEMf/ygefbjec+absKuq8/mS10Nyc3JQcWrRVq0GzfFGPF69127Km+3GX03X7uC2fUSP02JNASUu + zKNwJ3jg7/7MA49971f/xa9+7Xc+ffYq76Lzwys7AgApQTry6lrg3sb4uwN04lDi7btqOrEEN1g/ + TJtZKuIMmINBbZ7AdvYKT5mYPt2bWkV7hBlucJj7lJ4LJ2JzqJnDhJES1ACFCy4X0M7yycO6On/2 + 4Z/+yZ0f/nC9/+yzy9mKU93MZeLNgeAGW7nlr/+lNsUdgSHk6MbaW9FyNQN9l9wYRUGqYiCIAQQm + gjOR3ahv9XZ8PD6XwKYC080fkLA9MzxxnJ1emRxwPnE26o4RwDiyUoLv9Ysd6QBShhLDE0HaU33q + 05IcB7WeWF5H574ELWBu1QHmRESiRte9B7ruZPhkyz7xrOmWTlRU2r7S9B7Z2UFCRMd9L4zZwQqt + qlnBftCTdOshEfq+tzrc7Aq9ddjBtdB6nUfPFVk4Szay0TDj6X1zCz3fINxELDe96I8v8Mj1O+U1 + n2QIm4bqyTEzXVTFwRVbzKtkmHbdrBrct0HcIBgg23/NzndSrPgdZxzHvu+Pjo66rjP3ruvg9ejo + qJvPzEDgvk9PPvnUz/3cz33uc18Qoao314m2BOuUUq11GIbZbLZer0spLRJMRI6OjtrIp0iwvhnX + NGmfPmbAAWv9P9ynveBmG//xrFVyHB8Spimy1noJJ47BaCGEEG6dVquijZZuWaFm1nXdOI4A+r6v + tbZzjJzztqpFCCGE8MoaxzGl1HXd4eFh13Xt2LRYLNbrdfsY245NtVYAfd8Pw8u5/tM+Grf77SNz + myCkqrPZbLVazefzdkxsJU7agY+Z23GwpVy/Yu85hBBCCCGEEEIIIYQQQgghhBDuBqvVKqVERMMw + gFC1gomE/ZqY6mk+Czn8pRVh9M00gtsVw5y7zsy2qQluLefaiKhN/XQCzA3eZo1tb8HEIBImh7uD + mImmf9xqWDK3r5u3r7+NDnJ3IMZghRBCCCGEEEIIIYRXXkuw3v7Y4lJOplwz8/b61e1ZxFfLiQIN + dlwqbDOt32CMluzLZOlkpq8RrFUXdBCQQABUvVWQUajBj+uwTOU1YAR3ELUKKUTkMHJ3dZiCWyW0 + EwuEqSjHtKDXXE+l118O1V2OtlHl1xaaIIbWShiZaTFb9qviVMe1zgVwKJExmUFA7Ur7LONKgTCu + qj8xjs9gHMfhCCVvXtA3VV0Y5igMObr49BzcwVLFQ8z3534cBgJ6hhu5pGIKqyCrVhL306tMF/Pp + TkuzbmqtIrINsSaibf5xm+YMoKVcRxxUCCGEEEIIIYQQbjsz6/u+XXftuq6ND2TmVtlmCrUgOjw8 + XC6Xt3thQwghhBBCCCGEEEIIrxdRO/uV5GRIdWUHyVaAchvH5xgrmHUTCg0ABJ0m3rvdFWGN1acJ + /kwgAjOMwGBSbu+AQG2cIblby6zVYuZaq2+GIxJjVYsvl4fz7imvTwvTA/fe9+7Hzr397fc/+hiW + O9jZxaw7FDpiHZOodE53UJqlEauLyDy7sLkbsyWHs4t6rsiAW8sMc8/GRObbTX4LkCEBBid3gNxb + fC/c2cFMuaOUZAnt3JLwDMSGVyOmsb3hKjCgtNux9DnPuR/WBzn5XHp0dTaf++Xn/PnBxLcLAQYi + kF2TBttC3FNyEbhqqYcpdz1bt7s0v4WZi+LgbeS3g3waYp4AztnUC0wZV8i4z7tvvUfuP/3W7//u + C5/47cd//TeP/vQrfuHifq3ExoRpbDtLZWJ1uApAGcngDJgVm3L1hEWITduf5VbnwlppEFfn4Tiz + 8vnbjU48ToBjlgTOsGpVbTM4nwEmlFKY0PcEZyuDGzrJ6yp06vQz7If3n33oR3/o3Ec+hPvvPVgs + xn42kPhYdqjLxu5eWYY7p+W8TEYYp9opBDiRg4gBKFHd3Xtmvc6Leu6jH3zLB9574fOff+KTv/P0 + 731m/9KwdN8V6Qt6YkDX1TpCL+iY3J2UACShJChqJ5Nep4RaspZVvI3/PrFI1NoYO+DyvN9OdWHc + pyzGWgqTd8nN3NUL4AksKAN2F1KPbK3sp+55Wserp5b7H3jv+/72j+M7H9DlzrPqq3HQ3HXe+VFh + ghGKTBMzvDX4Ozuy+hVXSdAtnxjGM9/1yJve8ebVR9//pX/+y09+7o8fyvvj1YMe1YsPxRXIBKZU + vTrDGWIwAzmYAGIld3ellmlJQNvWbT5A27Ht+lsyHCfWH9+SS6sH1GK5BZhCgp1oml9k7enuMFeh + jNyRj0MtXiCAOa5WPjy9/Pq8P/+TH3/Hj/31o4fe+MypfSxPXVyv8uspXlPcqBZQ6aTOZ0wKdxQv + w4iehaGbWUMAoKggYugNutnbh7KgaJeYZvN6OI7jerVibJqHkRmxgRiszNLaJKZUckxx3NYaltax + 6khThwc2L15xK0/3nGo7sPMm8FqBytXBlIgLuoxl1+8l7nXEeEBrp5TunNVPsFmSReZFD9YyahXA + HZ3gxJHQ4XpcjevOWXpAyawTLJeLvV3Xcnh4tFycSkB1B7GTGYE3pcReb53/y8PMq9Vqd3e3lMLo + jg7XCt/bP314uDIzTumJJ574e3/vP3r88W++vNdvOVvbZOta68kpWy0ArI18as98Zd/da8zxVDwH + NufzlZhgcqOPEXwcUR1CCCHcTdq87pxzSmm1WrUHW6Z1y/vcVlaN84cQQgi3Ttd1rTpS3/cAtkef + bbD0OI5t3o6qvoxM6/ZZeFvNBMAwDC27umVdl1Lm83n70ymlNlOo/Tlmzjmv1+vZbPaKveEQQggh + hBBCCCGEEEIIIYQQQrhLdF0nIutxUNX5fC6eANRaSOT4SW1+6jSe3E9MaHreoNvNXAtzMOgWTu37 + dsZh2Eb7EBHaLZGaEhEzmTsxHGAmEAmRupO7ugNu5i2nWoirOREBxCIA3L3qVKGSAVNrr5lSkiRl + 87X1CScDwo8ftRfN/PaTMwFDCCGEEEIIIYQQwutemwRRa00ptYkS7l5rzTlvp0i0ygPYFCW4zUt8 + axxXZNpcOvNrHt9UbTou6JTg2eCAKVmry0TTP8lCUK+tltIABbGxGYEd5JwMDLCzAUqojlYZypmY + wIrknpQY4FbXrr24A04n6jQhM/RE1Y5wtyBAWhHKqUaUgab6UDCVzFCH6frCRbZhDndAFCMwJFdW + A5OTkxOgigwIzwYtTwBfAi6gAFDAgRFQQAACOgBAgfacj6zsAvsAufQD7u93Kg4qcDQ4ZrQSxYzB + AIsCQlNNuU0BuTtRSgmAmbWr9ymlUkqbFNb6rta/tfuto7vNSxxupZv9AuTObNUhhBBCCCGEEO5+ + Nx7k2C5TtAI4zLyt+NquY6hq13UAzGy5XJoZM9/wdUIIIYQQQgghhBBCCOGVFbHWrySCgbwTleRg + HxUO9IJuLrUoNlGd0x1yOG+ioKcBo7f99oW0hmIEc7jDDU5wtymci+BMDpiQsShh0OJ9jyzGVBmV + 3AGVtDx7b3fu/P6bv/PeR9+JdzyMe+5BPzuCX81dSVmFKryaFlRicnayO2ugl4FBUHc4rC1hUVcF + k7XKAwDciEBuLa3Wb9n2bY1J0H42AhG5QODiTtW8wlerAUzJ3ExrHTnf6vU5fU3iREpuhMJw8GLe + DQdrJsxz13XdcHBpMZRLw9qEwHdEy9+uTxBoU5SBNj8mgSqg6IiE8jwnHwouXbnVa7Mtw8nBkS3r + kY2OjkZP3s0XpvVb69VFYOfUvKzkgb/5t8587Ifx737/yv/9a0/+4efs6nOdjktDZwQ1LtqxpNSZ + WSmVACgEIEZiNjAZitWEZC3Q+rrt+gKujT7ejj9GqUpQAiDE5JsdZHojRbEeXFmtRxU5IrrIvbzh + gbd+7AdmH/sBfMc9hx1fymnN5A4vQ0aaUXL1ajptpLsZAwZl2LS6iFt6OMBushrqSNLt7DwN6xfz + 9Fc/+F3vfTf++o8++au/9vj/+/nF0bA4XPdHRztMO52wqilMHa1DIDHzqkZy46G1J6dGAHYiBpVp + +4Tp/wbA4AQhIgfc3alF1RoT3K0UJIbMkRij4UCBOZ4mjHs7z5mUM3tv/fBH3vnxj+JN9z3bHQ2n + lsp9cYFnr5xAfUpVR2VTgrYUXDcxkPOLHJJee4wwQjCbP6nrZeb7P/xX3vfoI/Y7n/nGb//bJz// + h8vDg2XVHeFUi6vCwATlaT8wB9osBbc28v+6NecwwK979IXqzmyPuuzefto8MP2D6soKIrgDBG6L + YVhbobFUJsvdmNIRcCj5cDm/9/u/7/t+9KN49zsuLfqj3VMryUerVWIRAvttLB/0KnP2ETQc6SGX + wwXQCdTRZREjQIiUACKww9jR+kvy235k3B4fvWoijKOV4ZCB3eWZedehFnFLNk13AtCSrY3AYGvJ + 1i0lHSCHuIFImEQE7NVgQCfapc7LiFt4vjQdftrUL3IQgUFGpKOawRwrHcqSgTVSzVKLc4uHvxOQ + Yzg40tUA71JKXkYSrBUiYALRid25nbhs9vfb3nLarTEdMjCOz16+Qqf2dnZmcKnjgDR9DnKCObcF + 3mRav346h5dpb2/v4OCg1rqzs1NK6fv+4OBAJPd9/6nf+8w//If/yWo1pXPllMdabvb1SykAaq3t + VkRUtdbq7mbWfmTmlFIMb/q2Tn7KYN+sKyd2x+awq9wav8FjZYYQQrgrtVIULePzuincwzC0bFFs + ZoOP49hGS4cQQgivrFY4qUVH11qJqEVNi8j2o6tqKx3O7t7qj7x0ZtZ1XcvGVtWcc/v4LCLz+Xwc + x/aCZnby8/LJA99sNotyJyGEEEIIIYQQQgghhBBCCCGE16FxHFsFxuXu7sHBAZhyzpaF6frvT9n5 + +q9ybzy3aArB9mnu0+2ZgCQ5mRkAEFn7HtqNQHAnuBM73NzcXYmYuai176xBpO4ASERAVqvD0UKs + iZzg1doX30TEInmTdd0GdYtcMyX8RaZfva5mZoUQQgghhBBCCCGEV0SbBtjmPhBRy7Qmom3QdQuI + fa1mWr8YAqaCUce1tvxEUZ4pZpraj1xgxg7iwYiYIVzUU5dVW/0HJocSyVR6imtmNevMXK0IJWKB + d62e0CacWKkVEcK0CO13MALkeMGiZMHdwwEDCK0KTtt27SK5iJgOzAzT2WK2WCetFxgQQKb2xkrM + gBHE4WYOHK5H2dm9Wi5fBC4Ca0AwVwhYwRVwmIkBgOXObUTC1QoHLsH73f311UvKkIy9nZ1vjYq+ + RykADJq3pUpbxcltUbw77Cp0i31i5jaxq5TSpoO1W2autbZurZVSud3LG0IIIYQQQgghhNevVu5V + RNooxBZorarbK7Qnq7eFEEIIIYQQQgghhBDCqyZirV9JWYGhzNZjKWUkz5lgXhW6UhcGwGZtNJ5T + ixJ1OINsO1z1tt+edLIKQEs7dXdQC/olYnKWETQyK/PovgYPksZOxq5b54TlTjqzPzt3Zvfe+86+ + 8Q3n3/AAzp3FmTPoMlgqy5p5zaSSLCUiGmutRYmcM3eSFKrquGMyBRtBJVBBqeKWMkDdOCQqlYZK + Q+cqDoMCcIJjShO9pdvL2zBmQNzJkMwBhYPTnFJGNtCY6hXLs5SzGr0KYWknQ3PbeliP4858TqVa + HQ+G1Tx1OLtfdxblykW93W3+eLC4A4ABCrDDrT1mDqjDCSkxlIqVYWXL/QVmixfKhX2ltLWnBCOw + QxxQiAPF5ylnwjioMnixcMdlVSJ+XNezvX7vIx/c+yvfu/fUN5/5zKf+4nd/u3z5y2ePVvul7Egi + S6qsEGZ0orCKlixrDjeHC5xQmKYBxO22Re61LcvXdg24fnTxJu0WnonMvcDUHAwGRMEO4VSsMkne + WVxAfcpX3f37i0ceefjHfnz+znfj1O7atMy6A6K1Wu6WWuHszLkCdRydKGVuEcu3dgPcYuJgh9EU + HdzeDDsTqJOZu2sZBjPkGRazNXU737V3/2Pvuf+5557+3d/72m99In39SRysy8WLO445gQVwMnNz + BSQht5hoAJtY2U2fse3sCU6byFlgavTtN368FxNAMAK1ZaRN7RdJBJATqvm4auPdBbP+0qx7sk+L + 9z72lh/56N6jj+Lc+TH3F+uYT99rta4HJ0lJOgCmurJRkhngBPYWfIusAFDlhqncr03sANUuZaGZ + FTyltnPm3v4n/saDP/yRc3/y5T//zU8+8bufOvXshTc471ghG4WgBUQwgAlCaKnoYvAWHrxFLT59 + Mz3GAWymB5yw/QfbCTPG1p5P184iyDxV/akOd6iihcJ0iRXikg5S93TfH91//t4Pf+jdP/wR+o4H + Lqbk8+XIPBwNzrrTz0hYS70Va/IORSYzoGJYsCdbgkS9jsgk5AQYXLGpqeROABQE8tt+ZNweH82R + MojQk6Dm5w4PKi/AidU7bZHE0ClKmQHoFMzN4hC35JbMZ6qoI2oZ61DJUwcYxgrTMW2mRt2Sd+Gk + AIjY3VurNiRnA3fCLpXZFSgzWXXa8XqQ7J7voA7IuV/sLbvFeOkogzIEnFQHBxhgQHzKkDa0fbht + iTvl80UBHwpj/3TakaJmY2GgT9kTDV6c0P5raPNBKbyInPPVq1eJaLlcHh0d5ZzNkFLnRv/qX/7r + X/iFf3R4OGAKtNax6s2+fgv3anfMrE0xbY9sw7e2s7OIYmu9BI72qdYAgI2MCOLTb9ppthPEQLA7 + p+8JIYQQXrptQYo2Htrdx3HMObeJ3+1cov0KwOuxXEUIIYRXRTvWpJTacadVIdkem4io7/thGNy9 + 7/v1en2zr8/MrXyJqraXAtCmBrWU6/YZefuZGicyrYdhYOacc5Q7CSGEEEIIIYQQQgghhBBCCCG8 + DnVdLyIOGobxf/3FX/zKn/+ZmYGp6PHI2c1slxbdcezk2FoCnjf/tT3nds4/TSl1XZdSSimJiCTa + P3V61vXL5XJ3d3dnZ2dnZ2e5XPZ9f99994lwm9mgZmYmgpQSJWFHe1C1gLnv8mwxN7NSylBGmKeU + cs5M8OLYrC4jAL6d3uh0fHtyRdGLTiaN0fshhBBCCCGEEEII4aRWYaBNi2i2ZQe2hZ5aIux2zuBr + CeHE1cZWBWZTsEk2Ob68ra5GMDKj0bnoiX8EQNm8z4dVkagYg5mE18o5M7GQO0DsIGfyqTrHyJrI + lpxkrOYmrOwgqtWT0vQHleEAEZQN7GCbrgQ6iLAp3BLuKq3Gl2zuH18HVyGCO8gPXS/qGsDpJKWa + gYmYQQJmBwPkSHAj5jy/WClTZi8MZCQGEVCnqpfO5jMAwLqsVQDCYhf1KjxZtSKUO4IXPxrGfr7X + ewLPsC7dopuW04E7+5Lytu8qpbT46m2mddd1ZtaSrbGprBIlU17rbq5LjHovIYQQQgh3mxsMIgov + W5wPh3C7tBzrkxHX7ZJFrbXv+1bbrV3ouN1LGkIIIYQQQgghhBBCeL14DY4NvY2UGc4H++efve+e + i5evCCuTs5KDlQSAuIl7S55TQhujR3A4TXl0t/XWyY+H08KNju/P5guQE1gSC6eURVK2LLK7w7PZ + bGf39N7u7NQ+9vexfwa7u9jfx3KJxQJdAmgNOxSpKQ19NzK5u3qbN7+p8A5wnzJlg5pZVSViolcj + g/ml29RHMHV3ciIyJycuu3tfOzVX1uSjOOAdYKACAN4DfOu2l3F1oO3I4pUc7EzOThjgo/Ph/uJh + q9J3LrJal8zdrV5LBGuJquIAaDt4moBaCjPl+ZwIfvniN+b9Yn/v4qy77S1/e9syhtu+mTejxZW9 + MkC24B7FxnVZpeT37Iv5/q0cXt9S7pxQN6nSYjAgGRJYiM2MtCABSSr5oLq3WA5jOVC64JjNuzP7 + bzv/0BvP/9DH8MTjw6c//finP/XkN57Ma03FOqQd5u7ooHdjEMhapnUCcxKvtfVNUy+1icjcZlpv + Cl4chyBv7pzcYQ1ERMZgFzJmJalChejIecxypHaY+713vuu7/+oH+X3vxgP3Hi2XX+86zp3C11Up + dWmWh6JMwoC1pHhhETH2Wmu6w2Lvbwo5xKRlHJ44IjABQlxKZUfmXkkPywhBt7N3WeTZq1d27rv/ + 3p/+qXs/9sP4k68cfOrTT3/+80/9xRNLLdk8m2X37JRdshOXbWkRPjHkYhs2OgVctycZgQFtgceA + 0/FGpc0S0yZ/sT3pcnVmsnm/Ij40ql0ve2d8f/+h7/++N//gB/HgPVd7unz27BW3K0erU/unDg/X + IrnvenXUasyc+47Jiq4ZPs3ccIiBnVuUu76eBn53JOVoXRU5dzWlZ7xWsX6xv3z/ex79rkfxd/82 + fv9zT/7mJ7/yR39ERwc7TLsOKQNr2+LEVeFGm0PVdvtNjWCzCx+7dtgIb2I1W3d4g1fYhJ1XBQNE + Ip0MhIGsMEqXDo2OmG2+PP/IO9/z0R/CU7S4agAAIABJREFU974P95x+MvPhfDZy6pygJuAOgJYy + KsstPx7dORw8arp4VJ86e2Z99sy3hqEvqpUX/U4tbuTgAajsANggTkxut/2YeOJWGdXI1rWI9Mm6 + i05X7j9vs1xSVkrkLEag1krMCABPFZEAeJsXZZUElA7Onr1637krl6+yaCJUhxsJZDovvCXnSzAw + yECF3cSYPMEzwIZirGTlotT1Pef7+XIfifOOjrezwVxnndOTat+6/w1Il+bVGMSJjqzkWVdrJVgy + bicw7c2ibYc7ouU4nL616C8ulqvV0bi3x8iJOlYiovUwoGM4GwxwAwADgZ0tRra9qNVqlXPu+/7g + 4GC5XK5Wq8VieeXKlV/6P/7Pf/yP/wkRREjVW7AWEflNrk0zyzmr6naEk5m1aaVtglZ7MKW0TecK + 3wa12aE3PHFlJwNgjm3WdQghhHC3K6X0fd/uM3PL72yTveP8IYQQwq3TjjIt0LqVFCEiVW3h1u5u + ZrPZjIheRqb1VnvlYRi6rmsvDqBVEm9Z161O0zAMfd+354hIOzK2fxXlTkIIIYQQQgghhBBCCCGE + EEIIrzdtYDMzF9gnfvuTn/jtT44GBYg2ERhOfH0Whl/zPwAvGpZxuwbhPv/v0guEJLRpuotZd/bs + 2XMn7C533v62h+9/4L43P/Sd586dE5FhGA7WKzNjUNd13Wymqm5WtDJz6rLVNmtjswyb8fshhBBC + CCGEEEIIIfxltBkQ1wVat9CUlmzdHmzZKq/JTOvmuittfvIy4IlyTFNMMIFhtMn6FYMTFCiSLrp9 + vZiVcQQMIOGVmow12eYSok+v1upAVeAcsCtpDqmoafvXqRoDgIEZgDPIAAfZdAdTbSCKTOu70bZJ + +VQQjKbodKqmORG6/Czr3u6irMshbJYTnNfCRjyAWYBNNbHRfSW40MmlAWtAAWWYFQPgDjO4bZtI + ZlY3AIdHSMBzsG+i6G5fq+ztnr504cqB4aCbwRic4L75I8f7w1Tf5tVaTy9RrVVEiKhFPW3TrLeT + vFqxlNu9mCGEEEIIIYQQQggA0HXdOI4t03pbtaZdiW1XMFr1tpxzy7q+vUsbQgghhBBCCCGEEEJ4 + nXgZ1yKZyOH+pS99MWUGjFtY5hQ/3G4NgJEB4DsplvhWE8dcxC5cOmckAvgAdyiQZ1CbhoQ6YAYY + BABPo/SmX90xt9NgVwYMdOK+03SfgRZBSASCu7tTNTPAicDkxMbkTApSdxMiTkjpYKzGIiAmJ3KQ + AnAyI6ihuINEJDMnN7gZQdntRdf6q4yJXOuQORF6N2Y3PrpyPw2kQ3sCvIcDVOGb/eIWbSk2iIIM + JnCAFN6SAQEoZjMo1jqu7r/v8cPDJH0niTexxLfINFTa274PI4zCDu6QMKi49zuzy+NVXR0+tNjF + xcszY/jtbu3b25abPEU483EKMBsSMIxQgDNmS1RdUal7i8vMhW9lF0emhMqsBCcmRzIkQ2fitbCD + M4OpoBrciaxWAKnrsrAOI5WycJlBcLRaAigDnn7q0h994euf/f1LX/ly/61nH1xjuS4EJOJETKak + 1WEMmlKQaZN5DGA7mNjBvk22ntbRtU2g8QpjgJlG8EhyJOlq31+edYdnzpx59JHv/MAHdh55O86c + qzmN0pWODxnWyZGWyuiW81FrGeqi631dxMEgZvbE5l5gqtoT3dL2fEsRIJoAKFsV02lVMzkEgmps + nlkSi5HWOqoW5iTEvZHU2lXbcSc1jGv8xVcv/Ps/fuKzn73yZ3+2vHp4qtY8jt3Reh/S2Wbeww0q + pZgTlNho+zvBlFhtYgCcNrtBy2H0KXsanKCCMZ16zuS5zOP5M7N3vO3c+95z/rF3pwcfLF3SfjYm + ObQyeEEWY4cio4cnJaqgSs7M4lbHsU9gKHlbJIYTkAwA2V27eW8aAVRrzplIBqtKoCRqZVyvZ8Jz + 16XqYhzzesTB4cWv/Pm3Pv/Fy7/32cWFy+lwXBTfHa2rtYcnSa4rTEcC256CWat/47zdZZ5//nfN + 2ibDJu98WwpIjAlE1FU3hQwpXe7oSsIwz1dPL09/3/c8+P73nnnHu7C7g25e5ovLRJdrLZLTvPeq + XnWWJLt7KSCqLK+f2HJDAnX7nPMz31zqmhcJbhgJBeAe5OAKVEzZswJiGO6II+P2tg5Y9GDHeoT0 + yN3lcVjvLA+6zij1ldjg5CpVyZxcnAAoMTly6+uICLqTSC88e746M4EUBCggPaoCt+x8CYADbCCF + A0awBCSAwAUZSI46auW6PH1pcerCOHbZGPW2tZhr9aqnHXLloFeCCNThFV6RBUSAwTbv0Xk6jfE7 + qf0wlNxP731VV9r1O7IYDwdy6pazlQ5OltywOdPIxuRQvm1lxe4KzKyqECai1WoAsFzs/vzP//wv + /dIvAwCBWVQV4KbWl5PT3qabMvPR0VFLuW5Dmlar1Xw+B1BKyTm321fw3b0GOUCoqOnSxS+89d2P + XbhYSYlM3AEUlhZrTe7iL+/M1gFqfR1BndEO4WxMAMi/uL//2Jf/1M6caZNkXzfHXmCTNndDfrN5 + 7yGEEL6dNuh5HEcREZF28tBOFVarVc55O827xXze3qUNN+u6Qe3fdoB7i3Td3okjbwjh1ddqjmw/ + zG4/vbbDUEpJVV/Gyy6Xy8PDQwDtE/H28Xbs2/7YpgMdHR21x1NKbdYQM8c0oRBCCCGEEEIIIYQQ + QgghhBDCK+vG4zpOTCTa3GUAaLN9id2MAQH+6T/5H/7B3/+PxZ1u5fgOq2ZwSYm69NM/83d+/bd+ + s+DaGOZpKOx2ZvL1k0lfKNya8byn3g5tmG6bdEUE8msW8jiUpL1HIvfj6bJMU3pNTjyfz+85d/5t + b3vbu971ru/4ju/47ve+7+zZs+fOnEkplVJUlZkZ1CYSbF/1uljrzaY8uWL42+Zen/ztLW0MIYQQ + QgghhBBCuCu0awVs1/yoBCX6T/+L/+yf/8tfmWox0Lbi3AtepImJRXedlvlKRNfNlcBm9oSIjOPo + 7m3KxmuYb6p3KGBAPr7WV+GKseLA/uuH3/6mqxdOlVZ3DgJUpBXL5b77k7L6XK1XgQK09Thsi7Nt + /sR2zxFgDjwMfJx2z3kZUHMHHWsnGFrVIKBVHmsL9vju/L978pu+WBoMYJkKDrTrwLd8zYRXkl97 + n4BNrzvaqBgWTnju8Gff8cjuXjceHMxJxBiAgQFuV6OVYYkv1vEXv/Tv0c/O7O0fAUNKcIPZcSEa + hzgS4EABqBdThWPOmBVcuPIc1JEFh2v0OyBCYmRGZgeRA8bTEgoqpqD7DL4D89RbP+buZrbtqU5W + R3F3VW0d3e1bzPByENF2CvNLcMc1zhBCCCGE8Iq6EwYNhRDCK0BEmLmUsrOzc3BwAGC9Xvd93y7P + xuWLEEIIIYQQQgghhBDCqyzd7gV4TSnMgxHvny+J1mVdZJmzUHEUZU4GbrPEOzPAqpgB4gznE8HR + t/n2+V5oynob7aptvr2DiJiohd26u9A15QxafIXVQjkzgczdFG7s7uwgODGEhEidiilXMIRZ2F9O + ZflbigB2AphcTAm5q6f4azgy7h0MT44MF5BuhwLfou2lZKOYE5KBHMbOjk4NZEVIvVLR2eL05WHo + Z8ssnY4F27jiW2ybn03OBJhqlxJqPTw8pIX0s9PfvHpldnpXTZTulPYPgNwAKDEAdiaHsymZwoV8 + Bqmr0nWzI9WyOxtqmZklu7Xrk7ztX3DACUoAgwBOidzMYFbhTgQSEklmSqXUAlVlkQPproK162Yp + SxnSrDvz4D2P/ujHcOE5fPNp/MEfD1974omv/sXV556lUjpDZyZaspNAkxm70TSgGEBLrZ+WCgCc + ve28gFKLv2WnFqBLyrCcR0DVLeX+7Lmzb3nTvY++C2/6TrzjYSy61Wz+XNcPua+U1djMmAsTp5zc + bRzMCYnFaulSYlNTtLHCxbSS5y57rXdx7qWzE2HqAbmVhkGLktYqwsyiplUrsbs4SdL/n733j9Uk + zer7vt/zPFX13tu3Z2d22BlmZjfY/NgF43hRFC/IiX8kimPJZllQMIY1gRgbhT8iIDFBShAhTmSR + RIQESwlLtBgiMMo/JBARKSERkRVZDlixjRJg+eH9MTDM/pqZnu7bfd+36nnOyR/nqXrfvn3v7eme + vn1vd5+PZqrfW2+9VU89dZ4fVXXO+VZJeZiq3VJywJsiYpprt/pjX/7OL//Sd/7Fv4jXXsPH/9nR + xz72ym//zrU/fOXzn3tt0EJDUhBIZkmRDKJIpml7VZci3faeUmluckaUZCooqBWGLExp6vff9eVf + 9cKXvPfdf+Kfxx/9Ijzz1NR3r636zaqfkKZSWXVInaROdTJTpqSWxqIUSTnVWkoZJadh1aFs3M6N + QmUVMcjcKp8gTxHJabSqhCUWmJZNl9LBwYGZXT+6eSOn/f2n+6r21Du657/wfX/yA/ir346Pv4zf + /cSNT7x87ZMvX//9P6w3rmerPbpsNVlJpskqocmU5n2apCZYfypuF0pV0SqmoFIqcyUNuTLXlG8p + ZNjbf+G5q3/kPS9+6R9J7/syfNkfxVOrm6vudWFhqsybWsG8Wh1k2LiZKJKHrLVsSu3JJ027RYyq + /eePpoNnn7shm+vTTSOuPnMwbZTIVaAyEdpXpaGKzJF7Fz8yLi0xZ7mxvmmrLr8z500tpdrTBxM5 + QbKiI4QGKqBGMyoMSjFuB1hAiuB1VXn62THJpmymhDz0slFMNTGf3/kCEIVRS1IASb0tpEqpWUfb + CEuyvc4GrV3Rbuh7sxsXYSkncyt314D+hefzunCaMmhJaraJLcZCDMkg5nFHWy7ccnyZtexVPTq6 + uffs04ebza1bt64M+1BsNhu/E9X5LkQiAdZbw9qdlZrZarUqpXzrt37rP/yHvwag7/NmLLXWlDqd + udf9e+RVKQXAOI4ez+PBpX3f7+3tuQZYaFq/dTxUNbeuHWzBhJzDR0VMIzo0CIIgeNRxTeu+75c/ + AfhUYRgGEVFVkqWU0LQOgiAIzgl/fUBSRHwkSin5GteZJunD0DRNvPdHlCRv3ry5m/bC8zGJiOcx + MTMXrnbF677vPbG4lwFzSpQHfNpBEARBEARBEARBEARBEARBEARBEASXnr7vq6kB0zS5Y61h9jh3 + 6SPOotAQV2GxXdf429/u2s4/Fxt3un2D7EIj1pZCqO1EgOL2z2b+2QONqzU9kVL06MbNN27c/O1P + fPKXfvl/ByDA3tC99MKLX/zFX/z+97//Ax/4wFd+5Vc+/67nqupxx/vTZUNccDsIgiAIgiAIgiAI + giAI7oqqiohIe9Tkz778T89a4MERvtnjLFjuD/p2HkvqvEzYedrm4r4CpcwrXN83Vfab1JUhvXnt + 2jVg0x6KcgRBwirmZ4aG9nQxG/aB5wHNPScCqmZ1PrQ/5UsGzxZVCKDlA5uLIzj1GWFwqVksrT31 + VUA8DRdEOoMABXur/+6TvwebIIoqcIVpALPtteufiZxBHgETCAX6DrPmOtieRU9+XMBGQ+6gZT2Z + JCB12M9G4ZUDHI3oehiQWWBqtUdq6dG2D531Elqdd1Cuab3kTvE/u67b7b4iyCsIgiAIgiAIHnGe + oEzFQRA8xvjz2FJKrTXn7JrWJFerlT/c8NRtyzPbIAiCIAiCIAiCIAiCIAiCh8D9eFZ5xPWTJkn4 + VuhUuwqxcSpIySpYJ82qIkKoizcbUQ1weVqPV6e6j+mFL0/EL/OdfsQuWpxc8tbo6QS2IfEmTffW + kwv4jqjKEVAQFKGBEHNlXIgpjBBoIhIrm2T25Qqcp8Fg6FI1TKzSZakFVmsGIMlAUzOtTYRY3W30 + nK6XUsQEBhrEYCpiSlVQVUwlSY/1ZPvMLBVlnSB2ztVpvC0tgnkaCYKkak2kpDRVnayk1d6RNbHM + C7f8xf6TNU9fIxQA0USaSZiVWlMn1UrKMo5Tx/MVXySQaitVVShRpZVtkppaJgvQkrRmooaSCJrA + yNRXogBVDLAjPRpQuTdcr12nmr7wID33Hn7VvzCYfWmtuHFz/ZnPXHv5969/6uXN5z5/69XP6I3r + +sabtr411NoRGcaCgR3UzExhAFSSCmuSQpsEk9harSR0V/YOnn4mPfX001/yFfvPPf8F73l3/8Lz + ePopDFk73krc5G5MLMyVAIqYJkgSVIGqEtrD+wkFIKZAATRRKk0BESRJVu/IwfFIYcREMTRB66RY + lK1BGGqBWZMgboqUQhm1JkCygKooZlBhzXmjMnR52H+pf/GlvT/1L38pFHXCyx/fvPbZz/7BK6+/ + 8ukbn/ns5rU3yhtv4vDoKjBUHaqlWrNqBmkqgJFGKGQEJkPJMnX9pus2Kadnntp/7rmrLzz/jhe/ + 8NmXXlq9+BKeexZ7/VGXFVJJZR4lVRFUJFiiIAkArQom0VQFa1EbhGapTgJNAupkTQZ9aXRSKVvX + 9Uf5Et8TlShkJY0tqY4kUtWKCnCFKwAYZYQwrUrCZ7PurUr3jqf4J75iH3aVhltHR5/+9OGrr974 + /Vem116//upnbn76s+XNa7y1YS1DLVdFUhmtVDe77O+ktVVxa9R+LYQ1pXXqNkzVdCKxtz889+zV + l17Mz79rePcL73zxhXf+c1+EZ56BJFQ1iHb9m1mmRJjAIIY9CgAdayK6hEItqiDYCdTmdD1PCoT1 + ukFGLZPJOKRMA9ZTh1wIo1aKq48nQ6qqgAoqL35kXMbHsU59nyuM05RVsqSpFBUxMUIqAVHM0x5A + qqgBlS0mKqsJazY1qhKlFghJ6GbKikQo9fzKLy0hlFZaJSFIikQQamaJIiAJRUEisVbdndFePIR2 + FIwjAMnJDEZVA3yK4om8DEoV8xGkceGW48siWIOa947GkUxdJ7VWACKecewYbcR7OHV7+fH7blVN + KbmYtYiMtXRdN011f//KJz7+qe/6ru/63d/9PVUYsBmL/9Ar+a4sbkwAcs7+wcxKKSklV/laAkoX + lcpFijI0rd8SRGsQdZIEglnSpFVl7jEN3okqoXyQkx8zW7oEPu662S6y7lLuHkA4TZP74V100YIg + CJ4gltkC5lwVu599GfOHIAiC4PzwVCP+eRlxliFp96W2f17edHddV0rZTajkdxPLTXHXddM0+Wdf + unC13337fYevP3YPshzCb+qXdCe1Vi/qOI4+gC5rgiAIgiAIgiAIgiAIgiAIgiAIgiAIHj9KKUZQ + BADJOVAPIFtIHCn+itZAsOu6zbRuCRlzUneNFsHuO9nlJfDF+f4vL5qPSfh4pM7d/e1nQes7WU7u + cDP99ic/9Xsvv/y//sr/CWB/tXruuef+lT/7p7/6T37gq7/6q1988cVVP6gqVM2jdWt153NBrrWS + JAmiaM1p62G75LsEbpPnCYIgCIIgCIIgCIIgCJ5klkhAj4bwx0eLoLVnIVhCLZ7A3IPmT/bGUWDs + BKWCsskyiRQKWmI6VogSlTKZ+gNAtt9aTt1UC09KqGFABSqArttMm5rzxI0QKpCWQ0JoAtAgFWpI + 0EwjKV6w5aniE3dhHmX8uis0AWnJ06hwAXSCijxC+v0ELeDgRtZ+Bv/NnKbFDSuJP/PtYKPC1hOI + 5Um0bR8IC0wA4WSCLMCmGlZX28YA+gwDsicEU1DaYeZsPBkwiD/lv1TcGUrm8Vz+p8zvKS6odMHD + RwEMwzBNk2uALTl27onFZo69DwpbcuzJyiT34FlCUDHPuC66RI8Mx6ruootzqQm7elDsWl1wGn5H + uds2o8aCt0/zfpmTNtz+3c6Ndyzf2pJRb2cuTUGZH+ZoW0/Zfo5lLO+6JJotNfshzNozFDMMQzeO + k38G4MkzvUtb7liXWwMRWXJsLg89fM3SH8ZkOAiCIAiCIAiCIAiCIAiCB879yFoHZyAoIAAhkNXX + AFRY0/fddSs1mlIB8a8ufOnsPopeHomfDFX8hbE/HjeXM/QTVCVgYgSo6iupoAJKCABBUooiGaQC + 1vQ0NZkmmKgCUoSXS9mO/nzflKqsZkgGUAnAJFcAUv39gQEQpevyntP10qwCNKdPGmkJy/UywCSp + dqpiUIgKlKdfzQdE06YFDKgiSjWIa9a6NyzNxSLV0OQYL9zyF/ufNa1VKeI23FC3zgr4+SXz1nHu + MmkEckUi6lxUL1WVVmaZ3Yy9bufTEJgoXVtdaWq0SoxJJkkwUZFJMEpV6qAYnnlq78V3PfXHv/L5 + aeJUYAlHR7h5E4c3ceM6Dm/gxiHWG4wVVa3WWdaayJ0l4TDkq/t8+ik88xSuXsFqhb5DN9SNbYbV + 2Hc3E49ER7GSaHOjFqU7ywPeM8jOWasYaLr8aYBRCSQVJVz595HWPFZ32gYIS6aEwtQvsaFdu7bl + XDU0l7+FWZO79g0mJCDd9BeOBt+8q+Xq+98v09Fztb5bjYUYC9Yj1mt89vM4WuP6DRwe4miNcYQa + xHTaSBb0Pfb2sHeAq1dx9Sns7eP55/2CIsuaHIVHktZDerO3MSErOkVWpLm8aR4L5q5Aln8UpVOI + uYJj+37pHIDz76EuMZXeBYmrjNPoFQWIy40DMCQfpDcpXe8zZCBUtXRk/8yV7rmn0/u+5KWKoRSM + iqngaMTNWzi8iVuHeO2z2BxhU2xzVMaq01jGanVSBWlMXepEuj73KXWD5izPvgv7V/GOp/DUVezv + YTVgEHT5TdF13/1BnyvFlFTQhGSluAXS5TnbpVQjfKWvM2p1R/8n61orUUBTKUpbmrVRq8eDUBcZ + ZSWUqpTLMDIu4yNBWkoKGjwMhtYmuoC2uS58y3n6iDkahTqPR/M5IsMw7019cnKeZ6G78x8jqle/ + ebGXoVyBQogQOP/x/a3jUz4ARjEczxtldE1rpDaCXAqb2V1Wtw8CJnDBe0KsDevHiJRYu5hZSmm9 + Xq9WKwDTNK1Wq6Ojo9XeahwLyY997GPf/m1/7fOff60UiKDeu9m6G1NKyT2WDg4ODg8PARwcHLzx + xhuq6ppboa31dlAtEBMUn9JWGxNyl1K1aqAPkT7T825q98Y8eOu4d51rWrssXGhaB0EQBEEQBEFw + V1y7ejcvid+Ml1Jyzh7w0/f9OI73sfOUkqcIH4bBQ4amaUopufz2ZrPBnBSj67q47w6CIAiCIAiC + IAiCIAiCIAiCIAiC4DFGRHLfHa3XSsspJbKapSy1euyBQkWXqD5gnNaJ4q90BVSAIuZ+oXdGup4V + +3qR2Ftcugv97WdhAAyWWKsRUG3f3Vivxz985e/+zN/7uz/z97qEd7/73X/hX/vzH/xLX/vH/9hX + PnVwMI61zzn3nVUdy0SDv6SWnKZ1KaX0fd/3fa211urSROdcB0EQBEEQBEEQBEEQBEHwqGHblCkK + eLCHJ3dToGymVd/DtGxu5n6Ajje69Op+f72jJw1Iysp8lNKbQ37lxuEhMAKFrGYG0ZZKa8nItKCV + 2BgOMz+O+uKVg3GoN46mL3jm6q03rg/7KzFREibJBECV8lq/QurADJTdx3xLmYNHBfW8and+YQAh + nrJLBExuOM08PW8oYbenaakgydqSP837mfP/HHsiTUMCBCBYYCYt1U7yY8w7mLNhCKk7R5Pbvw+C + y4hnHfE4Psw5dujyYvciSnaaGGeIdJ6By7YFZyAigJrdpmMdRnVXUkpmFRAzjaq7b7wnjEq7D6LS + 3gpLyi8yauweIC9agvVyL+9UsyYpgqotTWosT1xKghnAtgQ8DyhsuQuN5YlLwmy+T5/r06zV3mW4 + spdzudQYeVvtPWn1RtneCnkb9AaXs5SiLku92Uy+wRn3TT6eeqYaEfHUmgBKKSmlruv8281mMwyD + Z8g5eUdBEARBEARBEARBEARBEAT3RTxzfJBU0VtSAdAgJrkKoCVZc8Zr4sdNmrL9TyyKuRe+BNyR + cYsumsmn4C5aQugszu0alvOp1SZY1/SujQAtVxDMhQkmldmaUm9JpgmgmVjpTBWi1lU+wEv09qBW + VoEmBSlKGLSKJNOkFEiuNEqVLOZh/VZFjed1fQUYKpRlSlbJpBCTJtZokkyGkpIpUQ3+ZgOzfvB5 + 0ayIqIRCjKhuEgYlOgWArEjW3FIrcX71cx/27y+BdtfvXH2r4h66vjh3jTQDqojr2iZDMq2GSqnS + lK1psFka3CteLKtBqPCUHlCDJmuFNqIQMAFcTt4SK2DV7EitQNbZ+ryXV+RUu/399AXvSqAYzCoA + gSYatJgqzWCS/WV+ElWMUIUVWCWMVLMK7r3joNA2ahN0BE1EmDIFUxWDmABNcL3SNfyafdZW+a3k + 0jpVyvL+G+qm/ij7qigIYEqKZJq1NWsDq5+aiV/crEKDQIGitCIYPYDAmKxpmbfaoNCQVJIBKb+u + xnxFsmZjAnM1XFHWMrz0HqkGc5FZJSkiJKSroKqqVlSDQpg7S2lUq5SaUk2ikqpgMrgdJEVS9aui + oq6x3sYCE4Awn2ZIMuRSkmoycB4CS0JtvYSrOIu7qrsr/JPmtJ5cGhgQk0XhUgFv8koYQatJ2ztr + hSWmzrJVtTIppUi2rnuzA6ymPWTVPZOsKkXNrCYoKpSJlpEoGJSAQqGoMDEqmYxamEiWWqtZFdQk + mnJJKJRCS90wahmnalSISNcZUOGCnU1+GxCYuH0K0ATXTZV4pBvt/UPVBECrqM4+B5VqRBEASKrJ + lIDSNhlK0LwnvyTjoyQdYIKmmqyAT2itr6BpsjbKmPuR0EcoVSQ/i5owJhiRFdQMSzQhBEARBQo5 + nd98GGgy4smQDGjyz2psyspcNLZhYNHLZ6eLOPdWAX2ebCtBwtsWrfUVF24zd8yvfERrNwuCrdLs + LOguHt+ku25JTzwuf5VSyjlfv36973t3rJymSqRf/dX/+3u/93sPD29Ok/sHLjdt9zB+ujSXJyYb + hsE1rUleu3bNdbwAuKOSqrq+V3CPNAcyMWCqvVBAiJRp0tzWi4HzfYdL1Af3gevAua16ur07HZGD + IAiCIAiCIAhOpNYqIiLiNxGlFJLj/e7uAAAgAElEQVQezFNrnaYJQNd1/uGedusxQrVWACklVTUz + jxfKOft9t99u+xOAB39uQRAEQRAEQRAEQRAEQRAEQRAEQRAEl4Cidbw15a5LXSplFFgC6tRCTJJ7 + 2wKJYmbVagbdNVrNSikgTJWd2KSPhq/5AwmGJaoahKaLz72o6rrUvs/jWLTiU6/84Ud+8qd+4id/ + 6otefOmrP/Av/oU//69//dd9XSkVVff39zfrdeq6w8NDEXZDn1KaajGznHPqsnvbYuvSHwRBEARB + EARBEARBEATBCcxp3iBAN3Q6TgLLqz1sRqTuZz/2m4A12Wv/FwIKEp+7evVNYIRAcsuxQSIlq7bd + NzxBk0KsdPitsfzG66+gjEgTOqAqzFx4EBSYQAWmwITUYW/YAAk5QT39FIB0eTL1BW8NAdQTseD4 + s+U0p39sG6qA8Mw/vH1LN715aVOS9pcBuk1h4ZmMWuo8U08OVNGeyBPqWUsqt4ZEIC+lsLw93kKY + XHCJUdXVXt6sC4ic0lQqDBRo9Twvx5e0k6XtWrYw3tH04g0LgNPqwaJ7uAvW8m/ZYmAiFME0hWGd + hdbq/wLg3DBJtNXBmZDImTn3KVEkkzbnmY7lyUsyicBrLKWONDK5IP2Fl+0yL1er/ZzFa8yMZpVM + /vnCy3aZl1evvuPCy3CZlyl1ZnVZ461SBMMwRNLKM1hkcUl6Nsucs4iQMVM7C088QjLn7IkNvcZq + TDjOxHO2uKWllBZL023e2ScCkp5YxjO9e8KZUsp/8+MfAeFugCmRZCln1Ywb3jRNnrUmpeR5NRf5 + 6sPDwytXrgzD4Bk4H8KpBUEQBEEQBEEQBEEQBEHwRBGy1g+SSpQEALlKX6VTUUJRKxQEXcrXhAoT + Fyz0F2BykYU+xrFXck0lr8mU3rn0wvuD8FnTWtCUrX1fCghY286bC6w0jy22X8tyXMuu1Fupeqlq + BlDX5zPJBvETpypnn7OmVKlGVaKrAqoZKs/rLGigKWgllUqhCV0letaITSrJpIopVUlXOnwIeEXd + bkrqEtdNsVVBEyNM9Pzq597ZvtGhAZDZMU6BrX7ksh3dKfz8SjMrO5KAgYbkFWvz+p2Gs6sfXylK + BRSubrl1LKbNrsmA0RSlZiIxSyeJVOWRmaqyHwAxs6KqasYkIiJUW5MZgJBi7ioCM+u6QVVV1fwV + rJBMYnq4PgJgWVQokgmYsk7aue4ymgZqbcKuKnC1zln23KvZX7wR4teFj0++DKIQlgAxJVRmHWsj + DKJoqt2uae1NppmctfZvwDG7FRNAFQKK5ZXC/L2lACmL7AnJ16fJX3CKiMJUS621WDEmEuIa1wCM + /hqYTKpa1a+X75KmdajiyrtoBWsl8aFhVmdUb0oCdT1mv/TK1n8qfUsm9SESAijVAIXK43Kt74pL + ejdtXUBMAVSBUBfnBRrE24K6cLiamhqFzF1HsqpuDOxyRfLkMsmQjclQzbRPhabaNHGp/lba+r4H + YGYVTf1RYUmxlzpVHa0WWE3ujkJRw1SSYMgdySqYrBaYuss2ME8AAGp1g3TBzqZPL7NttInDw6zk + C8SAOo/OMApEW++nPjLmOROQD9aVSK26LgtGI+pONMmSvUhBNcC8sQMAk4IQo88fBUAVLaman6xB + lDRJqkaMUqrU1Dqqc0IrISDnAdSAOh8tK0STd6hGVFFtTfISwdmTTgGBuBQ32wAxb7PTYT6c+d5b + Rylog7gCLaBq954CuG2iFS6CC5vNZrVabTabg4OD9Xqtql03FMUv//L/9v3f//1HR5MZUiKYSin3 + sf9xHAHknEspm83GHaEA1FrdaWmz2QzDACA0rd8GhqObYIejIqUCatMmr/JYitFo2u64l/l8cF8s + 8m8isqjBuVffhZYrCIIgCIIgCILLi6tZL3e+t27dWq1WHoy32WyWzfwJqt9B3yuLMLbnFgfg0Wu3 + bt3qum69Xg/D4OFDcd8dBEEQBEEQBEEQBEEQBEEQBEEQBMFjjOes7Pr+9TevQU0NCZA5cWpV9ShV + NSWQAIN5TF8nnNRyTmZWpiclAuUYkpPnZ1eYJ7scp9INmYZxLF5Ln/rDV37/F175+V/4xf/gB/7D + b/rGv/zhb/7m933Ze4fVMNa6d/VAy2Skv7wmudls/Ipc9JkFQRAEQRAEQRAEQRAEwSWnPZP0jB8E + VJFyB8DKyKFHMVRDn1VkJ82Xp1ri55psKmZNa18KWNBSrMgsKNxyilnfa0fZv1KTAjWpYdqgH4yE + iRGiAhRUgeSRLf/YnA4tIlMeSTibwvG1AA3dbrKEOSuFLTbpyfGOJ1TgksLCrWsxDpn3bUv6OYPn + o4PBPA/kqULVgiUhTMhaB48I/+a3fcuf+TN/ehiGcRxdEkxVz5DxOy2x4d7env8qEpiciKus7f7p + XL169QJLdflZKq1l9hNxmclQpHsreI2lmWibd8XfMgOY04oSgKegvOCSXW5UdWmhmGss8lndFc/9 + tVtpmK0uOINoj3fFq2ixKDezaZrQ8vvH8oSlMBuqKX2NJAgzxcqkF162S75UK6akmNeYKdVKku4y + lO3SLsukS40RyW3PUJ+0evMWt9gPqFqhqvsHBz/yIz9CcpqsVgOs69I0naqVvqSWPTg4WO65fFK3 + Xq9Xq9XBwUEpJeccI0gQBEEQBEEQBEEQBEEQBOdByFo/YCoBSDahJqqIQEGldKoAzIRGQKhMswRg + E3XDxS+dXc8qwSJFecIy2W1eiEZD02Gd5SqpSoFxVrt0qU4BKqBwPdT2E9G2q1SRKjAKQE1WL5Ps + pUAzTKDNsxOicM1YooqZQKljqsCi35locl7Xy1AEJdUxoVKprhvujsySq1sjqmgVrV7eh1JNxq0W + bdqak1aZZVnhdSK0tuZy2L87iDfNcr+6AgBCa7KdmCV7qUt9npd9GpsmOqGsmJWedVGzTtZaq3Gr + Me8S0VUAIqlk1WRwveRmDwRQkhmJFXsxmJpVU2sit0qImEFIdolIbIr17kbj3pVNzNTThlgpxd1u + MgiDFVUtCgzDXoWpy1/7T2iVYFKvzSqo1CKoYjRIYWcuvr1UgrgvtTbpa2Duox51wWOBUk2gonDr + mhaRb0rdij3DaMkAiqIDkKomVkBVqrGACiAZ+io0gSWjVEIhyZgpkNkRqtRixeBCldWq1aokhZYS + B6ZaaCTBZDQzVbjOccoioIu+0kBSUs4pYbN2sViVZoHzRREFlFzUi5MpgDEBbsEtHkIKXcGUopKs + ScUXuug18uXp+x8GQu2SIpkmVABggZlCe4VxVji2BKAyC1SymRUzKzSVLEJAzDCVkSQgJFXSxn3g + FDatBZj1qcnkV4JlWi9F8PadAElydPOWSJYu9TmZUFGtKmsZUs4t2MZQC2giauzUBBAxTaaCCqhS + PXSBkKSJlsVoFENWFpNLNb6fL0oUEQBJJRmyNtlyCEqL1xCDC9srDalJ1F/8yNiWVJWbAqWJmLd0 + IVCJKq3BmqtZW24td57jcZZjNhndgjtLRE3G7LmmME4AbADyuZVfACgUFAMqxYtNaF9FTEQhhio6 + CjYZAPqKdGnMs437JipiBFWkSUTD4P0DlrmB7bSri7ec7WAtcyidh+K1yaoXso36JoY5Ei8AAIzj + ePXqVTN78803PcRlmiaR/DM/87N/+2//Z2YQYa1Wq+WOd0zzFcA2Kux0XGHL3ZVqrcf8k4Zh8Gle + pC27b8wKc4dr43//wX/jz1WlCJKs10Vyuw9a7r4f9cntxeKO7B5CllJyubgzCE/3IAiCIAiCIAj8 + VncYBn/3sVqtaq1HR0d93/sGrnudcx7H0W+f72n/OWfMsZF+n+I7qbX6IXZDvuMmJQiCIAiCIAiC + IAiCIAiCIAiCIAiCxxojeePw+lNPXf3pj350PW4AIMlmPXZdJyKllM1mc3h4+Mbn33j9jc//P//k + n/zWb/3W//Erv1LVOqBOdesYurxcvcyet/dUtru5fG9TT5opjCJinDYtc2WSpKo2Z2//3LU3/9uP + fvQnPvqTX/X+93/4wx/+0Ic+9I6rB0nYdd36VvEX1lkki9Rad4OIdz9bvMEOgiAIgiAIgiAIgiAI + nmSOPx9TARQtmD2JAGDuax0TDUMHZgJAAtRYgQSARALEpYJNK2CeTkNaqjpAaZrmPBHVUAvQZ65y + BY6sdkxC43DF8ziB8JR2gi4LALoWcsvt1lI8hbL1I8acKETYcjDumN+sbL3FmhkYkP2r+dE5iUSg + yaODFTAIFIB6RiMQQAKkPQDWCvWUNUshJkhqWcKWRDB+EFkOJX4sm8WtOS+D4FLy4hd+4b/0p77m + 4OBgs9ksatYkF3mwY5yW9cVlTT2riRMKnbucJmt9Wj0Hx1jMyQlRurPZjfOd9Ya3Os3B6ZjBzAzt + nxbg7HHQwWnkvPT8amYErE2Top2ehSSaVZtb65xg8OIK9IgQvdjZiIjX0TxOGrSYsTVTxvKUJRQw + I8wUgJVaUQEVyS1VbCxPWqaUgGRGs2qmVishYkqr8FvmWJ60HLrsDw+s1WSFkVBomZ9ZPRFLUwBK + JlRVqH+m4Du/829cu3btIx/5KAkQZpimmhJrvXOMFAApMefsHpU55+VOgeTe3t40TV3X+Vyu73v/ + 8479BEEQBEEQBEEQBEEQBEEQ3D/xLvkBM4v4isF1SbWIy1c3zbnZH0/ETAHjovKrF770h+BGpbXl + 7sNx2vElAH9F7FvPTpDa6oKASfItm7ohjDuKaPTo9+ryrQmuKohKcVlWNrXoc79qbxn35uX8h6GJ + NEMhoBpM2zu8pX7O8foatUK0SWCKS2DOB1WjVIGZqmiVagBMFPpw6rNVg7UWYdRKmKv2GhK00juf + S2H5i/0vxQbE2C6h67jDUDlv8bCcuI1b4WqYv49qGs+ugbf9FjAqKAKIS61vhSQBuJs7FEjz9mLQ + UmwxG5GUgCQiMk4TTF3EmKS435tZEjHAlNr6BEIIcHnJX81c/rtLmUmmaaowgxjVZHaaE5paFcXc + Wbid+Lkom1ond+xZBdYEuVUMRric6uXRHL1f6A0ZkEoYRUHvAP1bVwOn6VxVyX+DpnerimxUmtIg + Kq5f3uIYiDpVQEiaUIRMHaCg+PtIU1M1M+8TFJCcOjPC4Nd+ETF3t9rkR9YmeF1MsxFIIKgQbx3W + 2ojN7d31z304cOnrShAKoIoZWQmazPrxAJBMazPcJypYQo3FKAoFQKjL1VsTOAfgiu9qkGRaqShK + AUWKYdKqZfJOqxt6M1rVakojyZSzkKxN4lwBcy17MzPr+97fTy9LZ+/KAUwqylhrKUoyEyn3dZqK + K1YTSJIkCVSNxV9+21akVlxI2K8jzbYzCrfnR74B3xM+GQCwzKnEkEx7BUxk2wce/8llGB/FVFvX + owBUlvlhG1yaVr1Hnvj8iFDAKD7zo0EUAs0KgkZUIhFKRVMzbjPKcxrfDUv9y1y3zVzTPPrMo/xl + tEwajG1g9ykBDQmoJnC5cSh3IpQWMekLt5ylJ98pnrazgMLkmCel1/4T1fufQd/3m82m7/vVatV1 + 3a1bt1ar1Q/+4A/97M/9PAAStZpQ+r4/2oz3EZaQc3YxLf/Tf+6a1v6Vqi4eSy7x9aBP8UlACcN6 + hPLg1iS1AFonXfUy2va+zHhb/x/cB6paSvFWIyJd14XRBkEQBEEQBEFwV6ZpEpGUUilFVfu+Tynt + JpVQ1Wma/MO97ryU4pE/KaVpmvq+H8cx56yqyyHMzMWz4xYmCIIgCIIgCIIgCIIgCIIgCIIgCILH + GJI55976zdHR3jBkka7rSOJqU4IxM5GUktQv1WmaPviXvnY9bsZx/J9/6Zd+8qd++jd++2O3Npt+ + 1a/X42OYyH3xtLfjS6Goqal5fJepArCqFFm8x1XV4DHALLUCGPpuM07/6Nf/6T/9//7fH/07P/Zv + /43v/PBf+aZn3vGUEcMwJMrh4WEWyTnHW+ogCIIgCIIgCIIgCIIgOBnOYSSmADiLRucsmAwkMiT3 + QClFmSHbfGWqMNechitdA+p7YBMHrrNc8ZJYw5WFu5ymsfhxMxMBMI3j2PW9pz4zorom1fwTsTnN + FGA4nr4jeESQU7QRFdjJdHibMhmS/2TJY2TtTwUIZGvZvXTescFmjWrKSQeDQbYmqbNYtaDlipKl + TILZ/i5jep4guI3cyTB0tU5ur9NUzCyldFoc32m9aEqeORHAokDsn6MZAIDqNnkdSbMWmykxLt0N + ryhXG97mJw7p1zMZhm43UyIAb+C1Rns8iyUvGUkRoc9NAdV65u+edKap+IdZQ73l0r2PkPMnChEx + a4H5s73tttngZEQi8+JZlDLtTuGWice8ssbyxGWtI+aWSM/6DwBpnsfG8uTlZnO09PmAke6o1c0Z + Oy++hJdzWesEwG2PtLkOUynlwsv2MJfSHqV4Fn+vDc3M41S++7u/+9VXX/3FX/xf+l6mSc8eGD1J + rM9AzGwYBncXXHLj1FpTSp6vJjStgyAIgiAIgiAIgiAIgiB44ISs9YMkGVIBoAqtQgA12ZhMWKCg + iTFVihJiSqi7hM5c/LNvXypVTlqe+iuq2nGt5F1ZRpj4c/XlbGfVQIWYGJQ1KYCaIMaJYL2kenbt + klURAZK5OqMqXcJcDEpjrhCkpAKIooman8/1ApDEZCgGIFURgxqMplBjmRIJS5gEpTIDAuND0Aps + 2rQqyZBVARQBko0CAzVBDBT3rtbLYPPL0lgB98qV5kPrrtuLNC+gAro6q2HnQjx4aMgVBJK2I6qg + Ul3jVtHUnV3l2giDACWZpKqoi7qwurYovIMyUcIgSlEgJ8q2Cmo1K1Mxq8MwwN1BTM0goJAgWamE + S+UCVLYaGksRkZwoKRmgqrVWmwpJCgRMSBUGg6lCjSKuZJkA0a049yQolOR+87uGagCR1E9ZZ8vB + Iy18qWhK9DR/u68CV7cVeJ27VqypoBAGVFgxQilNH9Z6GFPrfK0QaOahSgV0tdepotaiZpMaSSNg + WkoRJyd3A3XPjGI0sMJAArrjqAEASk0uT+2+QZJuMRuZFE1r1bQSOpsosFVed7lrlypf1O4BVREQ + VQCIGEh1KedsarbVzX0SUBaTqTbxcIoJkOdBZNZ/RRNCTlaTYV1UUsop9cwi3vPDiM1mEhFKypIJ + RVUbSzETkQqQ4p0GSRBCrktB83CdJeoJArfKSFIJEGQmabRKSje4LLpbiDd5Ve1EAVWiChRpdluB + 23bxfXMEAGyAps7+hJAMnaoCRVAESoghoWZFVtBqUjW6vD0qFVtZYlz4yNhOQQcAalIFPoIk02RI + qtl0K8jaJKLVqEoYEoFcpYNYFQJJxZA3XGnKhQBK5QQoIXKeZ2FN7FnENCvQgnFmNXGRSlWChqEA + ULmM9qngMqsE5ig1NxicGudwKezH+/9kMKASRh/giB3Fd/VIuXmSc/zW4onExa7W63VKSVVJfsd3 + fMff//u/Ngxps6ld7sdpVPBoM4rIcV/ntxDZUUpJKeWcN5uN/9x9f0lO0+SqwL5laGu9LWgQwcZW + h1NvgiQGHYuKbOfAPpmPuJK3A8m+70spwzAAcGc7bzinbf9wCxgEQRAEQRAEwaVDVT04x8xcbRpA + KYWkC12nOeGE3xffa7hsznmaJpIenzaO45UrV27evOnfrlarzWbTdZ2/T3nQJxcEQRAEQRAEQRAE + QRAEQRAEQRAEQXCJqLWO49j3/d6wmqZp6PrVanV0dEQr2AafmNWJxj6nOk4d5Ol3fsE3feM3fuhD + H/qffvEX/qP/5D/9/Btv4CQv6TkD98M6mbtiwL0Xx0wImOH2pcDmOCOKsTmNm4IQP5JIMjOzaqQa + DFiXypTU7KjWT7zyyg/8rf/4x/7rH/2b/96/+w1f96F3vetdo1nXdVf29o6Ojog5MnBGbI4NCYIg + CIIgCIIgCIIgCIInF73jAwSY1ERcnhoGTFAAkvs5KQMAkCLQ6vmCwA08cReQCL1NbtHmtEqLUjWm + 2ve9VKVIqoDIzZuHV67ut2KYZEPy5BwogCTLQBMY9riUJnUcj/geUXavHZekfObGZpA0K8RmAB4G + RSjQJ1lMtQJkS9dVmpbUnOXSUD3315JKqD1/dkVVZDdlyiK5znmDRKSW/gyAS7MrEsTbQxBcVsyM + VqfNRkSyiGRxSbBTo/lO6T9VFeASXLgk4bFIEwMAkOT9wG71GYBay4WU5xHipNQ3jHH8bKayOXH9 + bIfByczvlF1YuKq2jzlHKvKz2NsfzEyX+rLaNOiDM1FTABSYmZo2twTSTk2T+GTBU0xII9vAmSRp + 6sLzivZBNdrkWQx97x+WQcD9jk7Lv/ek1eZp067VMGyHTcDMaikxbt4VSWk7bqqWuVtLKZ39w8eM + drfot41cOisdhgHT+MM//MOf+9znfvVXf80MXZem6dTOP6XkFuhVWmtdlK2XDVTV5cPP/7SCIAiC + IAiCIAiCIAiCIHjiiGfiDxI2jzx3/zSIzlJzogAhLjXqKrOpCfq6G8Rl0JxTzJJypy3F7vgVFTC7 + TZ8bfsrb5Xbr+U9rirwwBQwmRuVWJFgEFfC4+0vkJiIGRZPc021t7OAC3qY0aed+jprWOusuMynF + 2qHExD0/K2A0gypUAJraQ6xM905d3pqzrWR1tUI2OUmF7ohDX/TyuBkrIMsVXJIyzCel522cnglC + Zrk74ITEEDZ/ha0at7qWMNkM1TVxl2shBkXTri1aaYBwFq9lAo3ZlRtIJklmBjUzowsdmghpzEbQ + rJoZ0Pe9qlarpVRB+yUBmjdk93Am/FBJzAs9GwltTnsh7TQFslwMIwTQnaQeYgDBx8Cp08R2XCPU + mph3MrTcIlhczDFf/0WIXAAXPwZNjAqocrFYCGwzjfTOVphFjC4/bN3Q+9HVbDYMd5N1nWNxo1CY + +aUX0lBN1SAGF7tWiJJKgWhyMViI0jdR36Nf2V3mi9wkq9s2bdBEFaTWjzXTfbKgGtVMQNlxXKNB + XA2ezUxgAA17e3ulWi0GFiJTQKOidpKUgJmqUquQmSJJqnkqG29HNu+IRMLizUoSMDNlm6a4yGYi + 1FQrFUWQSFLEvRMBiEjXJZQNABMoxAiDtOAEb85Uo7oCutjcu15G5eDzggah7sj3Kg0JSNraRYUa + RY+Ha1z0yAgAShPvbdSFzH1kgaghN/V6TXOHptAdiVZz8WIakmZCYaJMSqlEMSGyNU328z0LHyJ9 + huaTIlqbpBlc09rHFk3zkHSphhiXCd/Ovb0lEW5It8/M/ReX6/5iOQv/QIMtTjach43tV6fWwyPD + MqV8e71czplMXZfHWt783Gv/1l//jt/8zX+WMzab2nVpnMac8lQ1pVRrzTmXcs/hHCLimtYkPVWc + eya5B1jO2UNx3LEpnJbulwwr0HqQKVq1KIiUAN1Ok5agU4am+/2y2DCAzWYzDIOrs190uYIgCIIg + CIIguLwsetW1VhERkeW2wsxEBHOEXtd196E87cLYtdau61zf+ubNm0vs0Hq99m28JCQ9CDAIgiAI + giAIgiAIgiAIgiAIgiAIguDxI+ecc56mSVUTRVVvHd4UEc6BLCSrVn97m1KXO5mm6dbh4f4w0PBX + v+XDX/M1X/Mdf/07f/03f8M9bbdBZw+wlKfv6169qM9wIj/txTBvP5CXpZoumS6X19a+TQIrYLCq + vt6qVv+V54YG5oBB1c+9ef0Hfuhv/fhHfuLf/5vf9y3f8i0muHZ4uOp7062H/22FeTy8+oMgCIIg + CIIgCIIgCILgAbA87ZNEJTxJD8axpD4ffxZogAlbBh8xwkwAgQhU4QmdsMjPCKDqDwM9sx9lGseW + 1cGAqVw5ONA6iesJt3Rbnlpq57h35v0LHlHu9lTWL3yCNj0oqqesMMwySdxu6ekHIfPj5qaZ7Wl2 + 2kpPGEZAjAb6NraT2qz90P8TkEhYwp90J0vGE5Q/KnjEqOrKc4u4GoDNZnOvsmrH8u34n/5668GV + 9RHG0xYtf+6qrF1QiR4NlpeAi2KiG9VSgcGJLA1wd+VSh8Fp1FqXKiIpnkByp8EGJzKO4/KZM4h6 + uxsepO91VWtdhokYN52ohbfJbgOM+dhdmaYJOz0YyZRS5LVYOM16vO/yVCSeh2T3hiI4jSUP6q7J + AXgC7W3XfrzFqRYtUK2rfvjIR378Gz709Z98+fenclYSG3ek9Imu16TfzPotQyllMU4sKtpBEARB + EARBEARBEARBEAQPjvuRtVZVAiLi7/HjzdiCbaVYVeAqkdpXAp0BlRCTpg/dXPsunUPePcto2cnK + lLPnwskvD2SrZyygGqGWFkOy5pkLhVw2WS+xrb+lUpYys8kKLiLE7bXJCYLfDxKdcwSIsimHgnD1 + zFkuWgXdfJ225T8ndvevVE1NjVgpgIoKuSsLfclk21olYUf/W1u2C4rNXzS/262K5Dni0ul1KwcP + MVkKoGwvQm0nf8Sycv6JeGu0HVVsa63vNvfh+VpIc103wJqe/dZz2RVvYYt3cgKUMC30Do9szs52 + 2wG2+2iuAIZZHh5Le+cJSt7bqmg/3upEXirbuQ/EoNRt4g/bGtVy7rp181YgzZdb0BSvAVYAxmaY + gqWKBFRCmlixAdX1jCVR0N5dHs8x4vYGTz6iQLsWTdyVSKBr2fo6FUCs+nfLHvR2DfVj53un3WaD + UV0A24Aq7WSNb1cN9NFCTFTn4JXGnB0GVQxmrssLmCgUhLnkSRKDFavu6u+DzrbmRXxHaq03WyYf + rUMwCHe+MHBnWre0SipICIVE09z2zdol0qJKkbbDZj7HO3mFNLv103ySrq/NPfnObEGMWrfV0MTd + xdoU8lL1cTuzGhDIzSAhQJWlm3Kapc1CxSrbgYmGVAkDsk3Jmum5pvW5nq9PKW87l9u0n30b9fq3 + ZdC/NCxFoouIG5RLmNHWVOpOG7xseNc0T2BEobxtRq3bTstEL5n93zPUbUqz4/rWu3Lj2w26lI+O + jnLfjeN4cOWpcRxNms/QZnZThc4AACAASURBVFP6vv/EJ1/+tm/9ts987nUCUwGAcaoAploA1KoA + Stn6QAPHs6odc0sys5SSqk7T5K5yJD0UZ9nePywhIuGrdL94oOmEdFhwpKgeQgJt0vSYR2Qx0kTs + QQaOkj49f1KunYvPARiGAUBoWgdBEDxkfKaxLFXV5xs+r/APiz/0RRc2CIIgCICdW93ljnjRtF6C + /9UjhEpZImaXYLOcs+cNd+1qEfHxzqNtPZ+4Lz3ez394mjy2H9TTuPst/LJD32AZTJdYwRhSgyAI + giAIgiAIgiAIgiAIgiAIgiB4VPD0lO6ZrDAIRRLQAlPmODNJafY1AhJFtY6bdU5itb7nhRd+8X/8 + +a/9ug/+9u/+7mQemUsmaaLOdi8C1wQkQ5U5W62wtockXlQALs7RoiPk9jSmXeLBwcHTTz99cHCw + v7+/Wq329va6rpum6fDw8Pr160dHR+tbm/V6fePGjU2ZDMiUCqtmi7AIiWLtQGZIOS+ZPbdbmAGQ + nOv8lYgsQtTlpKhGtpC+uS7MjAZDBQz4g89+9t/5vu/76Z/7uR/6wR/4c3/2X71188bQ9XUzJjCJ + dCkBGI/WeejVdgrjwWqn+CPzkfb8D4IgCIIgCIIgCIIgCO5giZJIKXkIg8dB7MrgLfERj6dc5Zzv + aI7ZkGV1YkscA2Dos93+I2xTfrV4jyXFFqo/dtym3XBs+cvzs3neJ/G0LAIIzCR1gILzXluun21J + gKW0uyuDRwbe9s+C7G7QtaxNO18RIDqILuYHAOgAg1XspJlaZK1x/EH68iXdGlv+uHbs7W53ykYc + D2e6hJrW0zR52NeJwVn+eRzHJT1F8BhDskxKJjOFiWfMTdLdw0ul0wlJuwUi7Vbp0mfYA0uf83iy + ZKfapudsyT1jLL87dzTAqLS7syM+H5b2VrldPh3Y2l7U3lkQMF3mmYlzvtPAiZp4OxzrvmI+dlc4 + 3wEd8ya6sAJdMs6oCIosvZdXHS+dPMLlYzurBbzenkhjMzMskt5zJYgIYUiEjl3G//BzP/vBr//Q + Zz59DQCk3Tp1XTdNVVLSav4EcVEEX9rv8pzWn3ssDzpC1joIgiAIgiAIgiAIgiAIggfO/chaB2ew + K4gLV2XbkW3Dw1LDfajcgzLl9sS3L2SsSeju7HDe5u2V67zZLfOd75fOWdB6YX7HgF0JtFkkcxG4 + 3f3nYeFVUrcuZnLHlb58nGLMZ1/r8+bYAZcCnFiqM0r3Fgt+2wnuVMhpP387FbL8dLGTeW+PVyd5 + CqdX3U67nrc9bZs7Ps/cYcxv/UqJnbr97VZ3wnHPOEoTLL9z451Vc8qXS99XnANyQv9zckO4XbVW + t/3/KePOiXX5Vuxh1xJ89nLGHOau/aQYLv3Afo7sTAKXVWK7o+TM5fRYWWY1x4p3RmEXa2kJjHbm + e2KK28Orzhs53s+ctc0lvALH7OfEEl7CYh9jV7v6xPXztw+nOOeNwrOlvQUX5HHc7O/vTbUeHBwc + 3ToiSUnjOCbphmH4v/7BP/ie7/meN68dsk347/lip5R2Ba0BDMOw2WwArNdrX/nYRo1ePNKMgGZS + jn9pgttk3e/j8gZBEATBZcF1rEl6roqU0hLUvdlshmFYr9er1WqaptDgDIIgCC45S7iOx/AsYTyl + lCtXrkzTRNIVpgHknEspOWeP//G4oFrrarXym+57wsdTHy43m43rbU/TlFISkWNj64M74yAIgiAI + giAIgiAIgiAIgiAIgiAIgsuFzfkczQyGRCJlDvgv//P/4hu/+a/UzcgkRVHVMznW+zgAIFYKDKv9 + vfWtI2ELcUju+msgcNAP73vf+778K977Fe9977PPPvtF737PC+9+6V3vfHbY3+skKYGqJkxghVGt + whKoRGaqxmm9ee3aG6+++urHP/mJ3/md3/mDV1759V//9U+8/KnNNJmhE1Y1DxCqpaz6YRxHhfV9 + P5YJZszZSqmlUCTnXKeiqgRSYq2nehyf4I1MkFJVrZoB/+gf/+Nv+Mvf9HVf+8Ef+9H/SqQMe6u6 + GasqYGWchmFQ1cjCHQRBEARBEARBEARB8MSSUlJVj5Xwz77eoyS6rvOoisc8OwGXhZzwrIzH/j2+ + /o6vTkuucnpyrfn3thTjtp3eGaEp8UjvceQ2Zevj7Y3w657uXG0wztJRp2ta++bma337E237Dtta + ssS9hVO4AJaoK493nqZpb29vmqau6/zVQ6211tr3fWg+BUEQBEEQBEEQBEHwcFArMINIl/jcc1/w + 0Z/4yLf/te98/fUbZsgdyoRpmobV/jRNAECekZA0peT5bTwZzmP+nDYIgiAIgiAIgiAIgiAIggsi + ZK2DIAiCIAiCIAiC4EEhLRrLTonF4jbI0Mj1OKaU1us1+f+zd+dRsqRnfeef510iMqvq0lc0Y3RG + AiwzmGHHNmAL+QyDx2YGL+AxGCEsZAmJwQhbSEhCFotYzCZZMgjLjYRsgzeMBPIyIxiD0AHGtuxj + M4d1vDIssoaxB1C3uqsqM+JdnvnjqYquvlt3VVfful33+zl56mRFRkZGVt+OzIj3eZ9fiDE2s3Ec + y9ze+c53ftUrXlGriImqnK3tmhceeeaWiKSUpmkys2WS1clSJGZeAQCAs4kxLhO8fYnnbnqatYj4 + z5yzZ39e4K4CAPCozMwTpn0CzzzPIYSU0v7+vt/xJk0i4p9rHnE9DENrzcOwz5BpLSK9d1VNKS2x + 2bXWpW3KMAzeEIpMawAAAAAAAAAAAACXm5l55bOIdDMfhx3H8TM/8zOf+cxn/sRP/XRtXUMUk96a + hCDt5gEwN9i6iFnKySy0WqfDTRRRExX5Hfc+5RM/8RM/7VM+9WM/9mN/9+/+3R/xER+xWq02B4cp + qKqqiYSjn1GDBDXpXUy6BREVjTGmEDWGMpUcddxdr3fGp//XT/39n/r7zGyuNYTwwIMf+NVf/dX3 + /Mt/8e53v/sXfuEXHnrowHeqzJOKqEiZ56PdbG0Yx3marPXSZhEJISyjyad4syLduqr60HPKQynz + P/hH/+gXfvbnvvuN3/l7P/n37K7XUcPh/kOrcexiZqYSVMROxvCcaJR5crnf15u30QQAAAAAAMCT + yDzPwzCEEEopSz5KSsnMttutL/clIuJX7S54jwHgkcZx9EOZT/5KKbXWcs4i4nPEhmHwCdH+EMlP + AAAAAADgiRZC8CY2OefDg+1HfdRH3XfffV/0RV8co5Ziq9WqlDJttyEEkR7CrVrOmtl6vT44OIgx + +jbp5wYAAAAAAADg3HHNEQAAAACAx82CaD9qAPbYePxVjLGUMg6jiJTaROQHfuAHvumbvs1UQpCg + odZurYmq2On6fsUYPcq6tbZkWi+PnpxtVUrx6VgAAACn4omb3p+iPbIkehzHaZq22+04jr33JQQU + AIA7lp8de1MSP1kehsEf8rNmD73OOXsPJv/s884mqioiSyT2qV7Xrw+Ymaq21lR1GAY/i18261OV + liUAAAAAAAAAAAAAcCmdHBI1MzNTDSbywAMPfOEXfuFP/NRPi0jrTfRojTNsv3oAT9Ag8dl/+vP/ + wKd+2qc/6w985Ec8Q1WDSO/dV2vTHFWjhiAiepwSXVu16kPDqhpCSBrMrLdea+u9i6laDBpETLv1 + YGamrY/jeO89V3c/9uM+4eM+/mV/4SsPDg7e8573/PhPvOtd73r3e//Tfypiq5jnVrpIiqm0WucS + NGhQH6d2Z/h7rlar7XYbREMIc5lFJIj88q//2p/6/M970Ze88Ju/8ZsOtgerYehBWylRAwPSAAAA + AAAAd6dhGFprZpZzbq0Nw2BmHmUdQjAzn/jgodcXvbMAcANm5hPBQgi1VlX1i/m995NzxAAAAAAA + AG6/zWYTYxrH8eM/4WNf//rXvvKVrxKRWufWukjovceUWq03e7pftp2maRxHv2wrIvRzAwAAAAAA + AHDuwkXvAAAAAAAAl4aKBbFrzrW7yA36iOU0TtsiFnIaD6e5iarEr/+6b/iWb/k2EUkxWJdau4io + qPRTt13z2iMR2dvb83wsj7X2dm8edO2zsDyR6wzvFgAA3OW8stkzOP3OOI7emcLMYozjOPpy2lUA + AO58Oed5nkWk1ioiKaXNZrOcTbfWeu+tNf/UW5a7lNKy2mlft7UWQlias4/j6DnZHq0tx23TlyBt + AAAAAAAAAAAAALisTKWLdTFT8YFUT3QehuFZz/z0pGHIR60YNYQzxFqbtSFFlW69jXn4lm/65hc8 + //nP+LCPkNqsFKk1moXerZTYZSePQ4hB1Fq31qVbjmk1jDurdQoxapBu1rqaRA0pxDEPq3EYUhTr + 0puKRZUhxfU4TAcH2truOK5Sqtvt7jh+1h/6Q9/yjd/4M//yX/zI//qPn/9Fz7lndyeKDKraahTR + 3q333pr1vqRNey2WiIhed7uJabsNoiml1puIpJhSDCqyrf17vvetn/GZ//1/+c3flJRMNaQcUzYV + EVF7+HbS9UsAAAAAAABwObTWVDWl5PMX5nn26Qy+0Mx8nsUwDNvt9oL3FQBuZIl0EpGUkrdSEZEQ + wjiOPm/Lj3UiwpRnAAAAAABwe8QYN5vNer0WkXme9/b2PudzPufLvux/ifGo02xKQaTnFG7YsdaV + UnrvMcbWWkrJr2+cvBgCAAAAAAAAAOeCWGsAAAAAAC7Adrvd29ub51kk7O3tPfDAA1/6pV/69re/ + ozUZx1hrN5NhSCGIHGdRn0pKKecsIvv7+0vUlnd2a63N8/xwazMAAIDHYfmiknOepslnei+pnyJi + ZqrqQaEAANzJltYk+/v7qrper1XVGzDFGFU15+yB1tM0hRBEpLU2DEMpxVs1neH83cx8+pCZpZSm + afJMaxEZx9HnFLXW/FGSrQEAAAAAAAAAAABcYj5E62OvYSGSY7r33nuf8YxnlFJ9Tes37eF4CynE + UksQGVOaps1qzGVzGM2GFFcpRw29tmi6s1rnEKfDTStVuqUQc0wpROlWpnnabNXEs657bb026aYm + 0m2apjLNZpZCTCkF0V5bmeYck3TT1lMIoVud5z6XIcQxDx/3MR/z+u947S/+/M+9+U1/7eP/248R + Ee86uR7G9TAGDaoaQ1SRaZpO+36DBhEptQQNMcTaam1dg5pIE/l3/+E//I9/7LP/1c/864c2hw9t + DreNNpcAAAAAAAB3Kb8cJyJ+Qc5/9WkO8zwPw+BzJeZ5Xq1WF72zAHADPr2r1ioipRRVvXr1qj7S + lStXlsliF72/AAAAAADg8vMrFVeuXHnwwQc9jnq73ZY6vfKVr3j2s7/A+9P4Otvt9hbb8aayfkGj + 9+7Xcn0hAAAAAAAAAJwjYq0BAAAAADgPFsROcZZtQS1EC7GZ/tqvvvdLXvCin/7p9/QueQzbualK + SmGeq7dcO8O0qFprKcWf6znWS3+3GOMwDF7DFEI4ODjw4iQAAIDT8rzqYRhijPM8L5GcrTVvUeFf + Y3ydi95ZAABupdaac/ZU6b29PRHxQOsQQkrJG5r4EhHJOddaDw8P1+u1n3Gf+XX9Y9STs2utwzD4 + ibyZDcOQUvJEbX+Jx/NCAAAAAAAAAAAAAPDkYmZqR9XOKaVP/qRP8uUxJRGRGE+7wdabiKhIqVVE + VDWlJCK99+32sLeyu7MaYtp/8KHp8HC9XnmJde+9tVZr9Y6QOecleDvG6EO6vs44jjnno19L9cTr + FGIKMYha661UNfGQ7FZq2Wyu7u3ds7u7Sulz/9gf/amfeNc/+MEf/IxnffqgYZ6neZ7EuvXWektx + OJoPfsNBY712uS/o1k1MRLr1Zj2mFHMs3TSqiRST//xb7/+f/vif+LF3/fhT7v1gCcFETY9uvg01 + WW5HWz5xHwAAAAAAAJdDCMHMllgUM/PrXSKSc/Zpg77mshwA7ihm5lfvRURVx3H0OCif2uzDAZvN + ppTi86AveHcBAAAAAMBdIIRQStnf37/nnnv8GmzSsDOu5nl+zWu+/o/84c/U40q/FG/VTaaUklLq + vYuIX6r1pje3630AAAAAAAAAuFsQWwUAAAAAwG1nQTVuN3MM+Zd/+Zc///M//5d+6d/4I/PcRWQc + h1p7SskrkM4QW+Vt1Jbpo8MweCmSiPhkUX/IzHZ3d8/pXQEAgLuL96oYx3Ge55NfMLzieZ5nTwAN + IQzDUEq54N0FAOCWUkqeJO0fZ/4pllKqtXpnk9aaL1lOqNfr9Qc+8AH/QKzHTc9P+7r+Mbo8cZ7n + cRx9U77N3vtqtVLV1pqf7AMAAAAAAAAAAADAZbUMnvbePUFHRNbrtZk9/elPT14g3bqGIKePz/Gc + CgvSRVZD2mw2Zj2EINLHcYwxbg8Op2naGVer1cpzrEUkHJPjiim/47vnv4YQNIYl+jrGqDHoMTNr + rZlZjinGaK2b2ZByCrHOZXNwmEJYxRxEP/MzPuOH3vb2d/zwD/8P/91nRNEcUhANItrt1KPRIiIy + 5GH5w9ZaS2mq0pqFFLqKiYSkL/yyL//KV3yVxqMlR3+rs70eAAAAAAAAnpx6734ha7mW5Ze/Dg8P + a62llFKKmS0XygDgjuLX83POnvM0TZOIhBDmefajVq3V5zv7ZfwL3l0AAAAAAHAXUNVhGPxKRWut + 1joMw3a7jTHGGF//+td/8id/fEoiIq3ZLS5XLP1nYox+DcQ3dZveBgAAAAAAAIC7xtnLqs7QkhsA + AAAAgMsrnLhdy2dp9iYx5DK3EIJYSCm/5z3/4k/+yf/5/e+/vzUx75VmIibb7SwiSzc073d2MzHG + 5SQ9xigiquozRX0LOWffAd+Or5NzFs7ub69b/mfEWSxh7bf+fwQA8ATxLxLzPC9LliOzfxvx7xt+ + lPb7AADcyZaW4nLikyul5EuWM27/1TuY5JyXRupy/KnnXU7keIqRr+xPTyn5r9ecj3vjJ7/vnVNO + LimlnNwTeeRJkN/3lf2z2Hepnb6HOwAAAAAAAAAAAABcIB989fgcNYkalkzoVsozPuJ39t6Dr3Bc + p3RaJuJP3c51GAbfvhwPs2qKmrVpq9bFX0ili3UxU5GgEtRU/L7G4L/6CiKiaqa9WW1WuzTTbtq7 + tC4t5qBRai9dmgTr0kyaSYtBgqh0CypqXWuPJn/w9z/zh9/29r/9fd/3tKd+aBBRkW5liEFEggav + NtcQRFVEdOlnqSLXFYbP5ai466goXaSbhKil9hBDFyndTORv/52/99KXvVyClt66Wcq5lDKsxmZ2 + xj80AAAAAAAAnlSWGRNyPF3C76eUTs6GELoTALgj+ZHKzJYZYT7NWY6v//uj7gL3EwAAAAAA3FVa + aypRJfpVi1JKSslayVF3d3fe8pY3P/3pT/M1zURV/RKH/1w61SxXM1prJ/vbpJT8Vw+9lhP93wAA + AAAAAADgDM4eaw0AAAAAAB6Vd1KLMXpm1TzPV65cmedaa/vRH/3RL/7iF5TSRMT7icV46mmc4zie + LDDqvS+Z1iKSUgohnAyYPK/3BdwJQgie06aq+/v7/k+d5DYAAAAAt4dP7Om9+4m5yzn7KXkIwczm + efbo69bacobee/eOTktfp8fOT+39tTwA28UYa61LDymfrbTdbs/pvQIAAAAAAAAAAADAxVCTYBJC + uPfee70S+qg0+gx10Sqi4lXXXl3db76No/jq07hm/Vs/3XcjmIiIHv9UkWBivfdSP+sP/5H/82d+ + 5pUve8XeuBNEWqs5piFl36q1LmY7u7tnSPhu7ajO3EO+Neo0l7//9re95KVfFVIMY97MUx6GWmvv + PQTmoQMAAAAAAAAAAAAAAAAAcA5CCAcHByGEpzzlKW9+85vvvfeDVMVMRKy1pqqttWEYYozexvZm + 21lW9t413oe2lHL73gkAAAAAAACAy4XpxAAAAAAAnIt+4vYIq9Vqu52329lMY8y9S0rD93//97/k + K1++Wo+tS6nSuqxWK+8RdioeYaWqwzCIiJl5p7Za6zzPIrLkZi3R17gopIqfOzPzzLbW2t7env9T + v0X5HQAAAACcoyWa2k9DPLvaz9O9tfcy88dzpnvvfs7iE4FWq5UHY59KjDGE4K84jqOI+Pl+rTWl + pKrzPHvMdmtttVqd6zsGAAAAAAAAAAAAgNtKj8ufo4anP/3pIqKij78o+vogaFMx8VswCZ5pfdqb + SLjmZnrTm0gQC/14lvfxOzVRWw85qEXr2urLXvqSf/K//8gzP/XTgoi1WuZpTHlIWURSTIcHB+HR + 6mbtOEJb5CjYO6QoKtNU/FENSTTMrf39t//gn3vxnz/czqX20lup9bgoV0VU7eH/HCfvAwAAAAAA + AAAAAAAAAACAR9NF+jiOOede5yGF3/U7P/xN3/1dY354DW8qO8+zd6Rprd1ic6oaY/QOM96WM+d8 + i/UBAAAAAAAA4BaItQYAAAAA4AmUcz48PLxy5UpKKaXUu2y386te/TXf8R2vTyl48nQIQUT8/mmF + EEIIZjbPc865lCIipZSU0jAMpRTvJraEZp3rm8OjU7Ksn0iq6v/+RaSUMk2TL9GbuOj9BQAAAHB5 + LInUyx0/uy+lmJmZ1VprrSEEnyPkZy7DMPhcIBHZbrfx0dqLX295up/7bLdbf13/2VobhmFZcuvp + SQAAAAAAAAAAAADwpOAFoE996lNNxOtBVVQed7i1iISbbMOe+JrT4yTsh3lQtJr01qT1MeUru3u9 + zp/wcR//w2//oVe+7KuShL31bq2l1aI+TOwrn/alzfznOI5LhXnQUER+6B//w7/4Na+OQ05DtqAa + gqrehr8GAAAAAAAAAAAAAAAAAACX3na7TSl5QxhV/ZRP+ZS/8lfeEKOIiYhM0zSOo4h4TPUttuMB + 2KpqZt5s1nvd3I73AAAAAAAAAOAyItYaAAAAAIDzo/2aW+89hPDggw/u7u7Oc52285/7si//4R/+ + RyJSa2/NRCSldOYX7L333j0z+wMf+IBvKudsZpvNxn/16iLPvT6ft4nTIFD5CVVrVdUYY845peQV + dRe9UwAAAAAuv5RS712OT+oPDg5aayEE//Xw8NDPTfx80NcchmGeZxEZx9HP0M8QO62qJ8/ur1y5 + 4udBHmXt/ERJRM4Qmw0AAAAAAAAAAAAAdxpV7b3fs3dFl+znx1eaG66dXB3UwvWL1R7v7VFdn2yt + JmWagkpQ+a3/779c3duzMmeVV7/iq//BD71jiCmIDDEPMZV5DiGoPLY/hcqyovUeUxKRaZp8Sbde + ravKuF5939/7u697w+slpNZlbrW2dv1+inSR/pheFwAAAAAAAAAAAAAAAACAu40FsRumwHSzFoLU + OqcUYtTP+qw//Mqvfpm3jUkpeWsaETnZSeZ6vloIYb1eT9MUQlDVx9PYFgAAAAAAAMBdjlhrAAAA + AACeQPM87+7u7uzsvP/9D/z2b73/ec973k/+1D8dh1E0igRVHcfRS4I8APu02/fioVprKWW9XotI + KcXMVHW9Xqvq/v6+p1iRrIzLxzPdl556SyCc3sTF7SkAAACAS6jWKiLzPPfed3d3Pcc6hDBN087O + joiYWSklxujnI/M8+xn6NE2llGEYzvCiZuYv5DwwO4TgJ0QhhHmec86ebH1yTQAAAAAAAAAAAAC4 + k3lwctcbJD2LiJmllFTExPzXs77MwynQaqKmQR5T/vTZnG3L6/U6hWitX9nda6UOKa6HMaj9wWc9 + 85//03/2+z7x97ZWWqtJg/UzZkv74LWImFmMMcWUU+4q+5ttzul13/lX3vy9b4lDzuPQhHFnAAAA + AAAAAAAAAAAAAADOQQghpZRSOjw8XK1W2+02hPCiF73o+c9/vojUWpfyyEdtnunda+d59j6013Sk + AQAAAAAAAIBTIdYaAAAAAIDzoF30Bn3BPHN3s9m8973vfe5zn/tzP/9LIjLNRym8KY/TVERCzqOX + GJ32Zc3Ma49676UUEck5t9aWgqS9vT1VnabJQ60e13sE7jAhhN77OI7+73/JhLObuNi9BQAAAHCZ + tNb8HGQYhtZaKSWlVGv1kxQ/Q/ef2+22955zVtUQgs8a8vzpM7yuv8o4jv6rx1qras55Z2dnu90O + w8AZEAAAAAAAAAAAAIDLIdjDd1R1SNl/PeOQ6PGTVB4Ot/YYbbWj28MLH7n8sd30VLfjJ12b5L3Z + P8g5+5iymc3zPJep9z7E9MFPeco73/nOz/3jnysiIYSg4RZ/CpObRlLP0+TNMaOG3lpttdbauphI + E4sxvOYbvuHHfuzH5nnOOZ984iP+SgAAAAAAAAAAAAAAAAAA4DEzs/39/Rjjh3zIh9x///1Xrlzx + IsBXv/rVn/3Zny0iKaUrV66IyK2bx3ofTlX1PjMhBDN71CRsAAAAAAAAALgZYq0BAAAAAHjCWBCR + WvvP/uzPf8EXPufXfv196921Z1+HEESkzHNMSVU96eoMsdNLVFUIwbdZa00ppRObNTMvNkrp1LHZ + wJNCCCHnvN1uRYRyOgAAAAC3QYzRz+JrrTnnnPM0TSmlEIIvMTOPsk4peZ/xaZpKKcs5y9lO0mut + McZpmnwffKFvc7PZ3HPPParqKddy5k7uAAAAAAAAAAAAAHDbeVhyuMkgp4+KDsNwctETuz/ntZ3T + D9uGnOZ5HoZBuoUQUoiqmmOYp2mV4hjD3/jet7z0xV/RWhXrUcNRfvUtUqwfKaYkImZWa+3WY4hB + QxcTlXG9mkubW59b/bIv/3P//j/+3733o23rUQK3aT/1WwIAAAAAAAAAAAAAAAAA4K7Xe7969epm + s3nwwQf39vb29/dFJIr2Xl/3uu941rOeWWt96KGHYowhhFv01ey9xxhba601z7Rer9fefhYAAAAA + AAAAzuCMsdYpBRExM79SSVwQAAAAAOCSCstN7bqbyHKTbr22oxNkC0FT7dI1SBj+tx/5J1/03Bd8 + 4MFDjbp/sPHGXq0VkS7SW53Nmt8X6SKix+RExpXfUVXPrnbXxFN5nNXJWCxPsTq5QVyI4/BxnL+T + /0esVishtg0Abjv/d+xAZwAAIABJREFUBrJ8S/GvHCePzx752Vq7oB0EAOCJ4ifgy2n4OI4nl588 + Dff7Hn2tqjHG3vvJk5eTWdePev6+fKoud5at9d5FJMboG1HV3rsv3G6312/EP6av2RkAAAAAAAAA + AAAAuBBHocknRi/70fCpWZDSy5V79myJbu6nH+U8Hox9RAC0moiYXrPi0SrHQc6P8WY3vInc+OZP + OtqlE9vRGEylWZegPp4bNfTadsdsZdJeorVv+vqve+1f+uYoksSiSIoaNajIkLKKHBfAn3DilVup + YkcFtyZSe2t2lFQ9bbcag6g2kQcOD/7sl7zwcC7FxIKaSLOehlxKMbOTJesAAAAAAAB4UvNJB/7z + ZpMBfbn/BADgTmYnOrwcjYYwdQ4AAAAAcMcIIWy325RSzrmUMgyDiPReYwyrMX33G7/zI3/Xh6tI + by2IiplqFAn+08lxhze/kNta86u78zwPw+ALl7NjM/NHAeDutBwGrx8CWy4bnly4jJqZWSnltu4r + ANwd/PDrx9iTIzgn+yieXOILr6lhaK1d34MRAAAAj98ZY60BAAAAAMBJMcb1eu1hUccBVCGn8bu/ + +6++/OWvGleDqtRmIiIqMd+0jVdKycxyzj4WUmtV1ZSSj3978JWIrNdrKoTwqEwYUQMAPIFqrTHG + WmvOWUR678Mw+HeY1pp/byml5Jy3262nXwMAcNea59k/Kw8ODryYsrW2s7PjM4VExCca1VrNbEnI + Pi3/CPbrEqvVSlWXmUir1Wqz2chxLaZ/Ui+zlegwBQAAAAAAAAAAAODOZCpm5qOfXoOkKvqoT7uZ + Rz7T1J5E1diHBw+NQ0pB1Zr0/mf/zJ95w2u/w8yCiDULIkFDqUcN1MbhTOPOquY9fTSEEH/l13/t + Fa/6iyGnbqopNrP9/f2dvd0QZbvdnud7AwAAAAAAwEULIczz7G0NlpkOfhXI5yDIcSeEi9xLAAAA + AAAAALiM8hBrm/f2dr//+7/vqU/9EBER7dcUSy6JfbfoQxtjnKZJVVV1Cbe+JgUQAO4GS0OtpcVW + 790HvJbxL1/Tu295T7Bpmvwpqppznqbpwt4AAFxS3iPRmxj7fT9i+9fXEIIfn0spvqS1FkJYuinO + 8ywiMUb/rltK8YO2b9wP5gAAADgzYq0BAAAAALihcM1Zs6nfumkXv50wzdUklLnFkENIrdnhwfZl + L3vZm9701+SoAEhSSiISQm7lpmVAHgw5z7Oq7u3tiYiZnYyV8hGUw8PDeZ6XGaHANZgSDAC4DbwJ + RUqplOIBnF7hISLDMLTWeu9eLLJarWhjCgC4y3lqtYiM47jM/Dk8PPSF3v4pxuiF72coZ/dLBKrq + qdW+kSXiOsZYSlmv1yJiZl6O6WWarTUzyzlvt1u/cAEAAAAAAAAAAAAAdyBVjTGqiJ491PrJbRgG + VZ3nOedcStnd3f2iL3zOa7/1W8aYVKRbDyIxRBU1ke18ljZqS+M2ETGzJv3vvf3v/+Db31atb+dp + Z2dnvV4/8P77x2EdqWMHAAAAAAC4LEIIpZTe+zAMvfeUkqrWWmutq9XKW/z7bAU57isNAAAAAAAA + ADhH87zNOWqwpz3taffdd9/u7igiJmbWVC2E4D1hvEXMLa7Tqqr3gvMWcKoaQvBuNgBwV0kpbbfb + nPPJSFTv1TyOo68gImamqimlnHNrbb1ep5R67yIyz7OvCQA4X/5N1Q+2pZSUUmttmiYvS4gxeivj + zWbj04hEpNbq7RO9naN3QvbvxiLi7Y59rs2FvjMAAIAnPaYNAwAAAADw2N00jtrDGmOMKQ3b7fb+ + ++//2q/92n/4D9/Zmuztrea5xqieTt1bu3U3tSVxan9/X1XX67XXBolIrXUZcfG0yHN+fwAAAI9Z + 793Maq1elufZmX7fgzmXsrzW2mq1uuDdBQDgQtVaQwhe+Fhr9TP6UopfK3BeZxlCOEObJ28g5TWX + IrIUVsYY/cKCL/EOUz7vSI4/sv3+arXiOgMAAAAAAAAAAACAO5aqEpnTWlPVOpccYyt1d73+kue/ + 4JWveEUUCaLdeuuti525B6U3axORZr1ajymbyFe/6lW/+uu/trOz88ADHzCzq1evHuzv0+4HAAAA + AADg0iil5JxDCN7Tf5om7xztbaC9c7SHW0/TtFxBAgAAAAAAAACcl3meh2EYhmE7HX7iJ37Cfffd + 15qlFETEL94uDWpKKbfelKp62p+3lJmmiX4yAO5CS/dLj0Sd51mOO3774JeZ+cJF792PtyEEEfF2 + Xhex7wBwmXnj4hCCH2xzzgcHBzHGcRxLKT4XJoRgZuv1ep5n72mcc17mE3lAwzzP/g15nmc/4JPX + AAAA8PgRaw0AAAAAwKPqxzcREdFu19xENptNjHkc15vN9Ju/ff8Lv/TLfvRH3yUiKYX9/a2IhJBE + gp+Jh5u3VMs5+6i2D4qklDabjZlN0+Qr+ORPX3LmjmO4xCh6AADcNmbmzUmXcufe+3K/tdZa8wLo + pZgPAIC7VkrJf5pZSslP7Zc7rbVxHEXEzM5Wzh5CmOfZZyJ5aaYcF8rP8+zlmKrqRZz+02c0iYj3 + nBIRrjMAAAAAAAAAAAAAuDPZQqT3R1//UupmtbVxXIsENRlz7lMJ3b7yxX/+JV/+FSqmIjFEVW2t + 6OmHf1XUWhcT7/tjIrW3LvLgdvPir/iKhw4OrtzzQb33Xi1pkNaVmmUAAAAAAIBLwScJttZ8TsE4 + jr6k915K8V7/IlJrHceRmewAAAAAAAAAcO6GIfVea51Xq1Vr7dM+7VNf+9pvrbWrSAzi7XBTGryr + rdlN+9mKiHeYiTEeHByIyDiO9JMBcBfyQ18pxRt6D8PgzTB9qCvGqKpLp6+lW1eM0RuFOV8OADhH + S4/EWqtPEtrd3RWRUsr1/Y2HYfCIa2+o6KnVIYQY4zAMfmz3Vor0UQQAADgXxFoDAAAAAHAaeuNG + aCkNMeZS2q/8yq88+9nP/oVf+Le+vNa+Xo8iUkrx1KhhGPrNu6mdnNsZYyylDMPgQ9pPecpTttvt + sqbnXQEnHc0EZj4wAOC2WMKqvYzDgzlFJITg5SBesScitVZfBwCAu5Z/aHqstS9R1daaV0+a2eHh + Yc55GIaT5/6n5Z+80zQNwxBjDCH4tYhSil9GWFYQkWEYvEDTe05N00R7KQAAAAAAAAAAAAB3rGsG + NH30866iqjnneZ5VNYRwuH/QWxtTHofh1V/9qi/4U593YlWx06d/m5lvWUQkqMbQrZtIF/mZn/+5 + v/V3/q6ZxRh77+Nq5ePOAAAAAAAAuDS8v0E/1loLIQzD4BeCVDWl5J0QLnpPAQAAAAAAAOCyyTkf + HBy01sxaiCIiz3nOc174wj8rIqU0T6r2Ej4ROSrzu5HlIVVdAgKXaEAAuHuY2TRNOWfvu9Va82aY + qjrPszfJDCHs7Oyo6nq9VtWrV6+q6hK26i3BL/htAMBllHM2M+9gvFQgeBPj1lpKKYQwjqMfq1V1 + tVqJiLdV9AKG1ppHPPiBurXmc20u7C0BAABcFsRaAwAAAABwA2qiJiJd5Lill/YbZlp3ka4iIU2l + /h//7J9/3p/+gt/4jd/00ZBhSKJ6uJlEQkpD7z2EMM/1FmVAImJmvqaHS83zXGs1s/e9730+Ft57 + 93XO+T0DAACchvenMLP9/X0P4/QvLa21D3zgA95G1ms7Ukp8dQEA3OWWCvUQwjzPftYfY/S+Tr78 + oYcemqbJZxCdVu99d3fXryT456/XXPbefYNLS/FhGPb29kopy0e5P92vOQAAAAAAAAAAAADAnelk + w5q701TmmJOIlFLGPOyud6KGVqv2FoN827d866d+8u+x3sxstT7L+K+KBFGvY/eKdolRYxBVVfm2 + b//2X/6VX9lsNq21MtVxWJ/3+wMAAAAAAMDFWKb+mVk4FmM0s1LKOI6tNb80l3O+y6/RAQAAAAAA + AMATYd5ud9frndWg3VJKIcp2u/mar3n153zOH12vs5jFIK2VUiY5cVH3et5JZhgGTwEUkZxzSun2 + vRMAuGOM4+gHTG/i3Xsvpajq3t5e711VY4xLPKqIbLfbcRz94Glmnrp6sW8BAC4fD5/2A68fZv2A + 7DOGUkp++C2leN2Ct20chkFVQwh7e3sedB1C6L37dtwwDCRbAwAAPE7EWgMAAAAAcA567+94xzte + /OIXHx7OOUcfd57namYppRBCrXUZz77FdM3lIe8I5gVAPr6ys7NjZieng54cNQEAALjNQghepZdz + LqWklFTVgznX67WI1FpVdRgGrwi56P0FAOAimZnnTMvxuX+M0ecCLfXrHixdSjlDObuqHhwcnLzg + 4GnWfvXAl4cQ/MO61joMwziOJ0vn/YP7HN4qAAAAAAAAAAAAADwx7vJc63EcDw8Px3FMKW02G2/B + oya9tZTS3t7efffdd+/VqyqyPZzO8IcKGrp1EUlDXhZa7xpDNdnfHH7d171mXO+M69U0TXf3fwoA + AAAAAIBLyNv3eydo/xlC2NnZiTGmlLyLdK31oncTAAAAAAAAAC6hnPM8z733ad60VlJK3nv2DW94 + wyd90ieJiPdwyznLLfvZikiMcZ5nX38JZ70d7wEA7iQnB7a8x9c4jsMwxBhLKd6by1cYhkGOD7PT + NKWUSil+vPV2YQCAc+Th0zs7O16W4Adhz1yQ466JvqZPmem9j+PoC1XV73hnxZ2dHY9v8I6O3lzx + wt4YAADApXA+MRJcjgQAAAAAXGbaRY8Gkj27sYvEnDdTMY05j6W07/zO73rNa75xu62qUkrLKZtI + ylkk1OrPkGmaRESkL4FS/tPHqn1I20dQfMDbx0JOjmH7XNDlV38KsLjmX4jKJW8Y5/93eA6cHFeE + AABuJ29OISI555NfbJxXeFyzEACAu5OqxhhPzhGS45xpeeR8oWtmBC0fsouTE4eWrfn6/rOUIiJe + eennTb58OXtyZuZ75feXD+6loHOe52uWAAAAAAAAAAAAAMCF8PFNOzHkeRfOa+69e6M08YHj1ocY + RSzGaLWNOX74hz3t9a99XQ6qIqqyRBDJ8RDzrXv0eKa1iMxHRe8i/Wjc2USq2T9597ve+aM/0rpp + ipqinRjKvqaGGQAAAAAAAE8iS3vo5SqcHF9/8ybRy6/LvAMAuAMtl6m9LYxd55qpVQAAAAAAAHcO + rw9srY3jqN2k1RDFpMUY/upf/e5P+ISP6b2pSG8lxyDWvD5QTly2XdrReLfbUkoIIaV0srpvadd5 + TZ9bAHhSqLX6lV65LnDaG2SdHN7yhlpeSu0tvPwYuOShLpeLvctW7/1kRy9/lL7fAPCozMwPpMtx + dbvdynVTfvz7px+Wx3H0eTFy3DLx5OH9+uG841gH8SE/OXHA9w3GGGOMJx+S6z4azv+dAwAAXEbn + E2sNAAAAAMBdQlV3dnZqrfNcd3d3S2n7+4ev+fpvfOtb/0YpLecYgsrx8PYyOnI9M9vd3T05RuJj + GzHG5bkpJWZGAbcQQpimyadJz/OcUqq1xhj1Ji56fwEAAADgFPyCQCnFzEIIXjqZUvKZSH6tYBiG + W1x8uIUYo09DWq1WXpFZSvFXjDFO0zRN07JxyusBAAAAAAAAAAAA4I41z7OqphBDty989rOf/ae/ + IIhYlyDqHda81FZuWdz+CPbIO2YishqHIPq1X/8N2zIP6/WD+w894hnH7YEAAAAAAAAAAAAAAAAA + AMC5qLV+8Ad/8Bvf+ManPvVDhyGJSG01aFgK9mqtXiXooa3L8hBC790zXL0P50MPPZRSKqW01jzq + 9YLeEwCcxdJ0K4TgOaZyHJK6rOOHuxijt+py3qNYRFJKF7XzAHCJqeowDD6rxWesrFarUoqqttb8 + KL3dbnd3d8+9maF/1xURM8s5j+M4DMPSgt4bLfbee+/0UQQAAHiMuGIIAAAAAMBNqcg1Qbim+v4H + Hlivd0NI01w32/n5L3jhD73jH5faU85zabWZhmAqx2U6XaRfv+UQwsHBgY9nlFKGYfBh79aaD36k + lB566CERIY4XuIVxHOd5DiEMw+CZ1q01u4mL3lkAAAAAOLXlHMczp302kZ/+yHHP8ZzzGTbrk5Fa + ayISYxyGIYTgG0wpjeMocnRxw9cBAAAAAAAAAAAAAFwgtaD28KzwrtJVRGR3d7eW0kvdWa3v/+3f + et23fevH/De/Kx63ZvPhZhGJMZ6+mDaIhBCjiBxOcxF77//7/3zPW7+3Rx13d32Na2p0qXsHAAAA + AAAAAAAAAAAAAODstIv2YD1Y71ZTDh/+4R/2lre8Oefo4a3djmoCQwjeGab3Pk2T1/J5yvWS3jcM + gwfBXrlyZZqmnLMvn+f5At8iAJyWH+7MbLPZLMexlFIIwcx8yWazEZGcsx8Jvaq5tebts5b0UwDA + OfJj7DAMctwO0b9zTtPk31dVdW9vz798nm9/+GEYfLLM0qTR47T9+O/fh880jwYAAODuRaw1AAAA + AACnYGY7O3u1duv6G7/xn5/73C/+1//q58Qe7sClqh6s23s/Tra+1dbkOJfXnyIitVYz2263q9XK + zB51I8Bdy/+v8WHLWmtKSU4U2F3vovcXAAAAAB4rL9OcpsnPZQ4PD716fhzHk/WRZjYMg2dRn1aM + UVVLKT4ZSURUdXd3t5QSY9xut/6KXJoAAAAAAAAAAAAAgDvZZrNZr9ellNba7nq9Svkvf/trg4j1 + dnK09ywjvyYn6+RNJIV435vf8pu//dtTmU1Fj53XewEAAAAAAAAAAAAAAAAAAG69Hh944IGY9KM/ + +qPf+tbvzVlTEi/ZK6V4u5ilODDnnHM2M+9a01rLOc/zXGv12FfvWuPBrt7DEwCeLMzM22Gt1+ul + WZYvV9Xeeynl6tWrS6C1qi59ujz0WkS8azEA4Bx5FkOtdZomX+LfOcdxlONjdWvtiegPP89zztlf + qLXm32/HcUwp+ceBf+/1b8Ln+LoAAACXGO2nAQAAAAC4Ee2i/frFrZmIdLN/8+/+7fO++AX/1y/9 + +xBCSsnMPAjKE6Gue16/5uZZvL33GOM0TTlnEQkhxBh77z4oLiI+Us6wB3BDXhrixSVeHTJNk5n1 + m7jo/QUAAACAx8ozp5cQ69Vq1VrbbDZL1abXaA7DMM/zUjf/2Pk5lG/cn+4/vS5TVVer1bIyLcgB + AAAAAAAAAAAA4MKpiR4XlZs+fBOxWkseklqPGsY8fMon/54XPe95IuLF7X7HO/Lcgok8XLNuQexo + BnorPedRRFJM295+47d+8w1v/M4w5GXLjCkDAAAAAAAAAAAAAAAAAHB2XrN3onLPO+KWaXPv1Xse + fPCBlMOnP+sP/OW//LpaxUxyPmo14202l+61pRTP8/PGMrXW9XqtqimlpXWnRw9eyLsEgDPzmGo/ + fHl74Zxza807eO/u7nonrvV6Pc+zB13HGHd3d0XEn/VYqqkBAKflx9uU0tIy0b+d5pz9W6iI5JzP + vT+8f7ktpXhjRv8UEJFpmmqt3krRX50JLwAAAI8dsdYAAAAAAJzCer2utb/73T/5/Oe/4H3v+w2R + 0LvU2tfrtYh4nq6I5JxDCLcYJgkh+Di3j20vSdgeymtmywoiwrA3cDNeWaKq/r/JarUivhoAAADA + 5VBKaa35+Y5nV+ec/aKBr+CzieS4zv5Uaq1+zSHG6FOSWmsppaX4UlWXqxbLKwIAAAAAAAAAAAAA + 7jTeg1K8EL220O2Ddna/6qUv/dDf8V/VWn1A2Qtu5awdefxZpTVTMZE3//W3/vb972/HQ8n+KCPL + AAAAAAAAAAAAAAAAAACcr8PN/j333LPdHh4eHv7xP/FHX/nKl4pIKU1EhmEIISzFeye7xLTW9vb2 + zGyz2ZhZrdWbdoYQVDXG6Asv7m0BwOl4p24/fHlMaSllZ2dnHMdhGLbbra+22WxEJISjHK6Dg4MQ + gtda+7MAAOcrhNBaW76I+uF66RXv81lKKTHGZebLuWitrVYrEfEvt55pvcRpL7+S7wAAAHAqxFoD + AAAAAHAKh4eHb3vb217+8pe///0Pel1ODDmGvNlMomIqacghhFJK730Zxr6eD6iYWYwxxigi4zi2 + 1mKMvXcPjlqKhHLOt+8dAk8eHv3u/8ellHyA0G7uovcXAAAAAB6r3nvOeSmj95r4WqsXbvpkITlu + Dn6GtuMppVLKElw9z/Pu7u7S0Hy1Wnlp5jAMS1EmAAAAAAAAAAAAAOAOYfrwba6li1nrezu7rZYc + o5p92NOe/qIveeHS98eHhr090GPZvp64BdEyzzFEETGTLmIhvul77vMh5mtqdKnXBQAAAAAAAAAA + AAAAAADgVNSC2o271w7DYNZyjjlHVXvRl37JC17w3HHMIlJr9Wa2qppSCiF4P5lhGMxsf3//5ENe + Peg9cqdp6r2T8ArgScQPYtvt1uNLVXUcx3mea61Lduk4jn6n1ppSaq15Cy9fYZ5nP2YCAM6XRyq0 + 1vyrpqp6sMJqtfKuhh597Z3kz+tFU0rb7TbnbGb+0h7lUErxR3219XrtDRXP63UBAAAutyc01prM + bAAAAADAk1cX6Ud3LRzf0l9701u++Zu+9eBgDioiklJqvbXeRGRcrUSkluKDJar6qG25YoytNV9t + u936nd77Uh7kEVO+QeAaXbWr2snBOO2m/eiazNEDJ/4lXzrDMHiXvZzzPM8+Xkg7PAAAAACXwHJV + obXWew8h+FmPB1174aaZ+QWEM1w38CeamZdaqurBwUGM0esy/RqFtyBXVX85AAAAAAAAAAAAAMAd + yMvOe++llCHlzWbTe58ONy/983/haR/61DGmICrHw9Bnq0uPIbbeVNVEYgrTXP/O3/2BZtasd6Fw + FwAAAAAAAAAAAAAAAACA8+cNZ0opnkI9TVPO+RWveMWnP/OZHhPouX1Ll5haq6p6G1sRSSktD5mZ + x12HEMZxpMktgCcXP45duXLF23R7jqk/lHP2rOtpmvy4JyK11nEcSymlFG9W7EHXF/keAOAyWprD + xxg90FpE5nnuvW+32+VLqTy2vIbHrtbqP71HYgih9+774F+A/UU3m83Ozs45xmkDAABcbmdoP91V + JQTRYB6MdKPvXuG6OwAAAAAAXKxw3U1EJKXkgxyPSI/WXnuNOZp2DWGeZ9VYmv6Fr/yqN73pLbWK + mlgXESllOo4N7tNmK92WxlxmZmZ+1uy5UEf7cSILahlQ6b37PvhCXy4iHi5FfNSTner5Dl15YLU1 + TU2TiKhJMAkmFqyadbMcRpmLijRpxYpd3mRr78QnIj5mKSIMEwLAbdNa86IQL2t2vfelYs/r/y5m + 5wAAeJLT4zPJlJJfFvCznuVSgxx/7HphpTzybMgDsOX4pGmpufT6e1/HP7KX6UlyHKF9/bwjf1G/ + RrF0OT+5mt+vtfp2mLkEAAAAAAAAAAAAAOfO9KiGWETUHr5Z61HDUbi1WMzJVHJKo8bXvPyV0uoY + g4iY2DCul1p6Pbr55kQeWX5r0pdbl1Z7MZFmPjTcReT99z/wN//236piptqsx6ilTCmqHpfWm3bT + fry3qkZ9LwAAAAAAAAAAAAAAAAAAN3Cy4k4sLDfrGkOOEqPEXuqYstWyGtKb3vhdH/sxH60iMRwH + BGr04kAz9ZuI1FqX8sBhGOZ5jjF6GxmvOZzn2V9zmia/c7KhHADcft66qpSy9LBajloxxlqrqoYQ + /GDlHbxLKd4US1V9BX/icmRbok8v4P0AwCViZsu3RznuOujfKltr3uqwtbYch5fWhf4sz5yW49aI + y2rjOPqdaxIclowGX9835c9anrs0RfSD/NIkWU4c9g8PD30j/tDJn/RLBAAAuMYTF4tF4BYAAAAA + 4Eng8PDwgz7og1JKPvzsWdQe/jTPc621976zs/fgg/vPec5zf/zHf+L4eeHEma/33roxH/z2XCgf + CPHhEx8LmaZpGIZa63a7DSEwjIHHrquIBTv+d6gmIqJB8hi6apcgedxsNioalKs0AIAnxFIU4t+g + RKSUEkLwX/3+Uu1BpTIAAOfiZKZ1CMEvNfgnb87Za+5TSrXW1lpKyX8u1ZNm1lpbrVZneOneuxdl + hhCmaVo+/X1P5nlOKanqNE1LYSgAAAAAAAAAAAAA4IJY3W6+4E993jOe/uGttdUwiuq03aac/eHw + +OaBd5G/8X1/c1uLxlB772K7u7sHBwfLoDYAAAAAAAAAAAAAAAAAAHgi7O7tfO/33PdRH/WRvYuY + jeMoxwF+N+SdYcZxbK3FGMdx9JY1wzCIyGaz8TRBb19DvzgAFyiE0FrLOXsPK+/gnVIahsFbfHuC + 6TAMJ9NPh2Hw5lq+gh/cAADnqJSiqsMwtNZEZLvdetdBEdnf31+tVt7/0LsUikjO2WOwPYhhtVot + Mdiege3MbLvdLg0SfWU/ztdal8zsZTfMbHd312751feGeu+ttVqr73Pv3dsnns9fBwAA4LLg6xEA + AAAA4C7Rr7uJiOSca62Hh4cpJR+0rrVuDqcYk4ju7u7GGP/df/wPf+JzP/cXf/EXa+2nbeHl49nL + /RhjKcXHSLyOZ5qmaZrW67WIEGuN09Fr/8G0KqJhtmYxShf/N6ZCezgAwBOi9z7Psxd8eIZlzrn3 + 7qUkOWcR2W63IjLPcz5uhwoAAB4nL6z3+nsRmee51ppS2t/f95Bp/9XDrf2nl9rHGP3ShH9An5YX + ffqWx3GMMdZaPUtbRLyyv/fu85QAAAAAAAAAAAAAABdrvV6PY37JS14SRad5EjNRPdnQ53H65V97 + 70/+5E+amZlN0+SFZN42SEQed3A2AAAAAAAAAAAAAAAAAAC4ATO7+pR7/vpb3vyhH3qvqkzTFKPe + OpUvxjhNk+cITtO0tMld+sV5Gxn6xQG4WJ6HWmsVkd57jNHMaq3zPHuy9Wq1EpFSiojUWn1N/3W1 + WnmjrXmeL/RNAMAl5DHVpRSPgl6tVq01n05y9epVEfHQ6JRSa01VPQbbexSLyHa7jTEuvRNba6WU + pY+idzIUEc9r2W1sAAAgAElEQVRu8DxsOf5QiDEOw+CH+hjjwcHBGeKofWe8W7Jvxz9BAAAAcBJT + ggEAAAAAd7WU0jzPPqRxeHjo49O7u7utmko8PNj+7M/+/HP/zPPe977/vJTdnIqZxRg9XdhHSo6S + hlU9VsoDn3xQxB8CHotgIiIqvevDqdXWZS6t5vX9pYhozllFg5BrDQB4QoQQhmEIIRwcHIiIZ1h6 + /YfXZ8zz7JV/wzCcYztUAADuZl6m6X3AY4yllGEY/HpCztmr8FtrHm69lG/GGOd59spOL9Y/7ev6 + S/i1ES8YHcfRqzO9GNSTtpd1AAAAAAD/P3v3HyP7ftf3/f35+Z2Z3eN7r6+RATsQhEkjm1aKKpc6 + LaapaiERx/yIzQ+bFMu4Es2PmtbUEU2j1CUI0tjGQACXUBIgImpTJEpSgSmUSqlAohQHRIAWB8dg + MOAa33vPzs58v59f/eN95nPnnnN27905uzv74/nQ0Wp2dvY735kz+53vfD7vz/sFAAAAAACwX7XW + Z5555s1vfvNnfMZnROelibTWp3SrSH207RuRH/jB/0GcHYZhsVhoL8v1ev3oew4AAAAAAAAAAAAA + AAAAAE5QUxqdc5/5ss/4/u/7wHweY3DabebEX6jVWmut1dsYY6y1vWOMdpXRrzHGy3oUAPAQxph+ + XLLWar9u7bilEafr9Vrzrb33MUbtvqVp1lrGrG3G9/soAOBG0mRoY4wuS9HzSe09qC2Ih2HQC3oD + jcHWaGr9ttaquQy6Nb1BjDHnXGuttWrXxJ4T0a+cpql3PN5t53sSdu/HyHkvAADAg4i1BgAAAADc + DqY++2+LTlRosLS11nu/Wq2Wy2WRZkP85z//C1/7H7/1E594ylpTSjObdOAm8sKnL0opOWed9pCt + CKjWmk6liEgIoZSyWq3O58HiNjBi270XcxNpYqXZEGxx7mjunhmsBC9NrLhxPTEEBAC4CKUUrd5b + LBZ6VjOOo3NOK/ymadIqDS0HpLwPAIBzEULQ8notytRxjLbpPK5LjEopOtzRtjqS6437zc56v6WU + vh5JRIZhmKZJy/31vV7HN3qQNgAAAAAAAAAAAABgv0opi8Vi8OHNX/M1pWRvnUiV1qd0HzXYuor8 + 8i9/6CMf+ciUkzGuVqm1hhAefc8BAAAAAAAAAAAAAAAAAMBJQgjGtBj9n/o3Pu87v/M7vbci4px5 + bmWg7f9ijCmlWmuPGNQeMto6Rq+/r0cuAOzFarXSrpXa5VIPVs457WqlhcqagTpt0aNcvwEtsADg + ImiTw36u2Dsc9uaE4zh67/UwrueZ1lpr7XYvxFqr3kBPQUMIrTXvvTY59N7XWheLhYgcHR3JJo46 + hKDtjmutMcYdzlf1rcEYMwyD7g9hEAAAAA8i0wgAAAAAcNtp5JJOV+jswsHBHWPcP/7R//Hr3/aX + l8tpGEIpbTbM2nPCrF/Q1IXOqXjvx3HUCyJSazXGaCmP914jIZ1z8/n8Ah4fbi5Te7L1PbU+Pebl + fPjzP/vTYluaamttNlvsaf8AADecc242m6WU9ITHWjsMQ85ZKzw007qU0tM3AQDAueil9kdHR8aY + +Xyugww5Z+ecMUZrNFtr4zhqOWYpRSvvNdm6F4C+cLroyDmn1Z/jOGrBqIgMw1BK0fEN/Sll/QAA + AAAAAAAAAACwdzHGnPM4jm9729ucca2WIcT7btMe+psv2HI9/ZP/+cestdohSET0KwAAAAAAAAAA + AAAAAAAAuCA552mavPfe2y/6otd+8zd/sw9SyoklgdM09eq+niMoIpogqC15tatMzlmb1QDAXvTW + 3DFGjR0tpeSc9ZqUkh6stLmlHsFEZJqm1ppzThOv97f7AHBj6WFWD7zGGO1wqP0Mtf9hCEEvl1L0 + +h6D3VobhkEvpJT0NrXWftpZa52mSU9Q+1dtpag3SCnpBo0x0zTtdr56cHCgF5xzpRTCIAAAAB7E + sCAAAAAA4PYxVcy9MGCd4TDeGe+Ox2m5Hh9/8iWfeubp93/Hd7/73f+tiMxmcRyTiKzHKYZZu9e9 + 6wVlWstmuiXn7L3X8p2nnnrq3p0ao1PjGgm5Wq3O/5HiRjNNjFSRVo3oSzoVeeIlT3yyFWlFnAvR + SjV5SvveUwDAzaTxliEEDbbUK7XQpNa6nWcZYyTZGgCAc5Fz7m++h4eHItJXBHnvU0o94lpEQgg5 + 5+Pj4/l8Pk3TDmnWXYxRxzf0qxb9a451jFEHPYwxfcTjvB4vAAAAAAAAAAAAAGA3OScxbYj+pZ/2 + ki99/Z83IlOaog8iVaS27UzrtmPAdRP58R//8TFlF0IIoRY5Xq4fcjMjjWlkAAAAAAAAAAAAAAAA + AADOwzAE58xyeTfGWFt+y1ve/Pa3fb01YkT/VSNVLyvvvQZXa4M4EbHWajSsto6RTYKg5hECwB6l + lDTr1BhTazXGeO97N0vtbdUPaHpL7fitP5qmiWRrADh3xpj1eq2J1CIyDIMxRr+GELQhYb+lnmTO + ZrMeyjCOY2tNeyF677VrYm9TbK2NMerpqJ6j6g307oZh0Ov1R7I5cT2T2Wy2XC718jiOulf0SQYA + ALgPsdYAAAAAgNsu51xr1TmJ2Wz2qU996m/8V3/zAx/4/mmqMcT1ehKRGKIRM6Vdphl0ClzvZb1e + a+iUXu+c896LSGttPp/vMB2C26uJbff3kPPWfPLu3TSfycFcmmicqKcyDABwMYwxejKzWCzMhpYA + LhYLPclxztVaNfxy3/sLAMBN4L3X5UBaWKk51lp52VrTyk69Rm+gAw5PP/20lnhq3PUOsdPTNOmW + +7da5akjHiJSa53NZsaYUoq11CEAAAAAAAAAAAAAwP4ZY1JKeZre9MY3BjHR+ZTTuWy5iVQRY+Q3 + fuvDv/7rv75ej7mWZuTg4OBctg8AAAAAAAAAAAAAAAAAAB5qHMdhGGqtrRVrbanpXe/6L//CX/iS + k26fc/bea0CsxgSWUmqtmkqoYYHWWmutdrC5xIcCAPfTyGq9rP0tc87TNGkMas5Zrzk+PtaeWsfH + x/3K+3JSAQDnqMdU6+lijFHDqvWUUg/C+lNtVziOo+ZS61F9mqYYo/d+vV7rBrWborYuLKVo1LR+ + qzfQrent9Y70NjtYr9f9d4dh0LugTzIAAMB9dmwnrUEU1lqN5jrffQIAAAAA4NzpxHMIwTmnk82i + jbpqsyGW0kSss+H/+8Qff/3b/pN/+k9/KpfWRMaUm9gmdky5yvMU14RNeLAGO/VrrLU60aIVPL1G + ZzvkSfeH2Ce8QG0zGGPve1E26+JwLEa8E2+dE3PTX1O9WGQcRxFJ6Xx67QEAXrhaa631viu3i/k0 + WfNydwoAgJvMbMjWWISuHRKRXpS5PdQQQtBBCb2xXjDG6E+NMVpYqfWgujX99r5igF48KptPYdvX + 6Ceyvif9jrYv64315EF3qf/6ldL3tu/e9glP/+ypT8Lzyjnrr7fWtj+3aiJ433j/FgAAAAAAAAAA + AAAenbVWagvOBuf/w//gz73kySdNn8I1m39b351VE8lNqsgH/7efbkZyKjHGMU0itq9eb0bLnpsI + bS4BAAAAAACugQcXEfS1gSEEMgAAXGV9HZOumTIPeHCpFAAAAAAAwDXlg015DCGUUpw0J0Zq/o73 + vucLv/A1z97GWSOtiRhrRSTnfF+fkz4CrN/qyEnvG9MbrfTuK8RdA7gcD/br7scfPSJp90vtlFVr + 1Tbg4zjOZrNaq+aq7mPHAeCGyzn3Bobb4dP9vLHWun3eqKeaxhgNN4wx6unobDbrc3a9d6Jzrh+9 + e5WC3pFuVq/c3vKZWGu3Gx4aY/qbiz4u/ZZOgAAA4Jbj4zQAAAAA4LYIIRwdHYlIa01XHJVShmHw + 3ltrS24f+9jH3vKWt/zCL/yScbtsPKWk8xk6ky2blKNSinNOJ1fIdMR5MNrYzUgVU+9rJVeMydbc + /DhrERFprfX5yGEYSik9qu2h9r2/AAAAALAH+qFJizL756MQgn5Ksta21qZp0s9TpRRrbY+d1nGM + HUYz+nqA1tp29rNzLufcI7eNMc659Xp9To/13Gi9qda56hOoz56I6LMnIuM4DsPQWtN62YfSx7hY + LLz34zgaY3QESWtbvfe11mmadJCKUSMAAAAAAAAAAAAA56iUYowxTaSW6O3rX//6WrPbJcD6ZEZE + 5Gd/9udaa8Nivp5GEkEAAAAAAACuIy1x137QskkLsJukE/1RSinGqP0TAAAAAAAAAAD79dzumhol + WN/3vvf+6T/9imFwop1SpGk/mZM2knPWONhaq/ZI6RvXceOcs3Zf0S6+F/ZoAOB5TNM0jmNKqR+L + vPetNZ3P0pZQ6/V6+1AGADh3WlQQY5RNmcEptLpATyO18MC5swc/iPQsCb3svd8hfHr7DaKf+up5 + cu8ZuFqttBMgdREAAODWuhURRwAAAAAAlFJSSi960YtSSsEPRlwRY0Mc12l1PDoff/lf/Isv/fIv + /52Pfcx6qfcmRO771Fy3/j1LI4VTSiGEnLNOPPSIpu1vx3HUBKPnnXEBTleNqUbaA5US1UgTW8Xe + i7W+6aUUGr2m2WApJS1300nBh9r3/gIAAADAHvRoaq3m1OxqjZrWwkq9wXaRZa3VOadtp2az2Q7l + m845a63eo65f0tVQOnJijJmmSYOiSymz2excH/E52F5MpTupUdYi0lO6jTExRl18dcp2+tfZbGaM + mc1m+n9RSsk5W2tjjLXW564WAwAAAAAAAAAAAIBH5az0unfT5Cu+7EuNiDHPnZp8hOpa46xY20R+ + +Vd/5fc+/nF6VgIAAAAAAFxfWhWvRf666KC1lnPOObfWtKheL/TSegAAAAAAAADAvrRqpD3YL1ee + fOKxH/mhf7hYLKwVMU1ESkn25DgabZNrN7ewWzcNIejIcL8lrVEA7FEIwVrbQ0mttdoGSuOutWuW + NiUWEY0pBQCcI20CLyLWWu0Df3rxgDbc699aa5fL5Q5LTmqt+lvr9VpEtHffDnULWvygp7u9kaC1 + VremrRGffPJJbWJ/BfsiAgAAXA5irQEAAAAAt4JzLsb49NNPG2MODw/HcdSg6xDCfD7/Z//sf33r + W9969+4yTa1k8d4/0KLr+SekU0oiolFPmkukV+qER2ttGAZNcjol7gh4XtVIExExIk1kq7TLVA26 + ts1KNS/kRXvd9fSvYRhCCBoDpl8fat/7CwAAAACXrSdS9wt9vEI/KGmfKWttKUU2Acwxxr6yaL1e + 7zCO0X9dVwKs12u9X/1aSokx9mv0rq8UY4yWropICME5l3PWwtYYo95gGAZ9Gk8vkx2GoRezeu91 + NZcugdAK3Wma9Ec6sgQAAAAAAAAAAAAA58I5J6211pyxprZ/81WvevLxJ5w5cV352foDGWk6xWyl + ivzcz/3c8fFxjJF6XQAAAAAAgGtHU6u1139vCa3jPM45LZvPOW/nlwAAAAAAAAAArp7aWnvRiw5/ + 7J/8T4vFrDXx3tZaT4l37fmvwzDoKLEGXRtjcs49rVCHiHeIIQSAczRNkzbCGscxpTQMgzEmxqht + oKy10zQZY563HxQAYAe6WmS1Wun5oYY7nNKfsLWmuQzah1BEDg4Odr73Wqv3vpTSmyiedQt6oqsn + xvP5XDZB1yEEbYQ4DMNqtYox9psBAADcQsRaAwAAAABuhZxzrXUxP7TG/+EffsL7GPwQw2wcpx/+ + 4R/5pne+a3k01iIhBDGi2cBi6ta/59m+zo4YY3R+QqciNGFX4456fNTTTz99oY8Ut4NtImIe3kDO + Vyt1k3l9wm1uBp3h66Fo1tr+l/hQ+95fAAAAALhs3nv96KQJysvlUj896bfHx8e6vkg/MektY4zT + NInIMAy9ePSs92uM0d9Vd+7c0Yp//QSnelD0DrHZl8A5pynU+m1/irz3Ov6jAz6yWaP1UMMwjOOo + D7DWqmsehmGw1mqw93q91hBxEdl+xgAAAAAAAAAAAADgEWkTSSO1teasvXNw+EVf+Np8ju11apXW + SpXo/U/8xE/o1CfJRgAAAAAAANeOVshrtb9+1XBrY8xyuQwhGGO893qbXmMPAAAAAAAAANiXaqRu + mmuarX/eGWPM537u5/733/e9w+BKqSGc1tRF+8/0/iopJWPM448/LptGKyIyjqMOEV/oIwKA5/XQ + vljTNGkoqYhYa2utzjnqmQHg3GkVwWw2016Fi8VCTu1PqE387ouI3uH4rDUMmi5hrdVA6x1OTe+t + rzGm77Ne09Oy9XxY31b0JBkAAOAWItYaAAAAAHAr9JzpUsqnf/qnp5Raa9M0feADH/hbf+tbpimL + iHMu5xxiLJsI6heuxxqVUpxztdZaa85ZQ3Z1VltnUB577LF6ju3AgHuaSKtGTBNfjBQrUsXc8Fda + nwXUP7FSSg9FAwAAAAConLOITNNUaz04ONCaTi2g1KrQ1lpKyTmnn6emadJ6zXEcU0o9vPlMWmvb + xaM6EqKDM3phmqYQgn6Iu4LLAHSHc87DMMjmObTW9mdJP4GKiFapnrSdcRy3a2pjjK21cRx1y601 + /S/Qj7QX/7AAAAAAAAAAAAAA3CJa1i4iOY3GGFPbF3/xF4vIvQlO0y+J7LbavPeszPlDH/oVY8w0 + JjpXAgAAAAAAXDu9fl6e20W6tdbXIOhtUkpaYw8AAAAAAAAAuIKmaYrBLZd3X/Oa17znPe+xVlIq + 3p9YIagjw3nTgDeEYK1dr9c9PlBEhmHQC/TRBbBHOmMVY9Tu4iKyXq+dczFGY8x6vZZNj+LZbLbf + XQWAG8xaq+eEx8fHp/cn1DZ92mdvmqac82594/UX9Wg/TZOepu7Qss97r5kR2gZQRHSbeqIbQhAR + 55y+idASEAAA3FrEWgMAAAAAbgUjzrtYa7XWPvPMM9banPM73/nO7/3A9zUR772IWBdaM2nMIg9r + p/Xc1l0PSimJiE5sTNOksUY9rmm7Lgd4RNVIPfnV6JqGXItIFalNbmz5Vwihtab58Zq7Zk617/0F + AAAAgMtWStG6T63ITyl573POtdZhGHQoQ7+u1+taawjBGGOt1c9Q+mlrh/vVe+n9qrQI1RgTQlgs + Fuv1WgOer2CgtdIEbn0UOrbz+OOPt9acc/pYhmHoMeGnPArt2N5vsFqtRCTGGEJYr9f6qdYYE2Nk + 7RYAAAAAAAAAAACA82WMMaa5TQV8zvkLvuALwsNip3dcam6sOK8Xl+Pq137t14wx1hJrDQAAAAAA + cM1oGwTvvda3tw3tCm2MqbXqEgNt5QwAAAAAAAAA2Df7QOlfNabpeO9sNjOmve51/9Ff+Sv/qfOn + xVF777VZrl5orZVSZrNZa+3xxx+XTR6h976UohsHgL3QGataq3YeTinNZjPt8q2XSynOOefccrnk + eAUAF0Hb8enBtneDP+X22v9wtVppQz/v/Q4tDfUX1+u1NuvTqOzd4rGtteM4eu+HYegZ2/pwNOi6 + lLJer0WEPvYAAODWuriP0/RcBgAAAABcIa21Zo1zrhprrX3m6PhNb3rTT/7kT09j1dkI2cQ46a3P + un1jjPdeZ7jX67VzTrOO+syKVuHobZjexiOyTWx7zmVz79tqRUxrm5EZu/X1BkopadxazlnnKfn7 + AgAAAIBtzjkd9Mg5hxBCCFpSqZ+kNFZZo6y1BdU0TeM46qct3YL3fof7zTn3Ms0+NqLbXK1Wjz32 + mDFGU65lK/X5StHVVvpczWazo6MjEdHVCyKiD225XHrvTyk/1RrcnhEum6RwHYPSn4pIznk+n5Ns + DQAAAAAAAAAAAOAcGWNKKdVI8IO1RkQ++7M/+7Ne9vJ7P96ap91xqrI2qU1EnHVWzD//P3/eBp9L + ETEiYtq9fwAAAAAAALj6Sina+ll7N2vZfGtNl29bazUeQK5q/T8AAAAAAAAA3HLa28QYo9HUOWcf + 7Dve8Y6vetMb68nDujlnbeDZu9NoaqCIHB0dzWYzbaLbWqPJJ4D90rbe2qCp1qpNsbz3KSXtYaWN + tjT3mj5OAHDutgsJtMBAttroPfT20zTpmWSMsZRSa9UKhDPRQ/psNtMLusFT7vcU0zQNw6C/q80D + 7y262bxraJyERkjssH0AAIAbYIcRQCtiRKwRJ2JP2EJ94AIAAAAAABfG1O1/PlhjW6nJB9ukpDw6 + b8TZXEuqrbb22//6d77yq7/6V371N5pYEZmmfO8T7vYqynbCPxEtrNFbee/v/aQ1rePRNGsRMcZs + Tz/0DCfKcfCINMTayLOvye0fidRqq9gmVppYEXtiuNb1p7Uj23+SIQSm/QAAAABgWy/B12+HYdi+ + fjuSWS9r9LXWj9Zat3tObWddn5LlrHrRZ7/Qt6Yf3JxzfU1UrVWv1KVN921E1z7dtzOXoMdRl1L6 + 83ZfMWvO+fS9aq1tP+rtD639stbIOue2b9m3z+dcAAAAAAAAAAAAADsw1opxTWyupZTig5vWx//+ + v/caJ2KNGGu1DtnH0MSK8Webjm1irEgrIpJqmaT9X7/yoSa2GhER04yr4qoYqabVZmozzHsCAAAA + AABcXb28vy890A7O27fRZd3Pu5QAAAAAAAAAAHDRbKu2bZqWiDQRLdQz1k4pGdNCcC0nK/Vv/o1v + /uLX/Tkj4qwYEWc0XMYOw3wTNGNzriK2tipGcslipIf8aftcvax3pxdSSiJyyX1gANxm2tZbZ7Ks + tX3GSiew9HCkWac0awKAi2CMsdZaa/sZYA9ceCi9Wc7ZGKORDbvlMvTf6hf0TFW3rynUsqlk6MEQ + 27u3/U4hzz2D1cv9mlJKKUV7HgIAANxOF5qkxcd1AAAAAMBlG8fROZdS6oUvBwcHY0paEFNb+8hH + PvoX3/Smf/WvPrqJvz3zR+Naa87ZORdCyDkfHh6KiN5pay2l1AtuSLDGBTMiIs32y/q9SBVTRSxD + MwAAAACAM5mmqdYaY1wul7qCqJSyWCz6EEeMUTZZzj3p+aw0wlnrPmezmdaq6vWz2Wy1WsmmuFOj + tfWn1lqt9bycik9dn9AXMIzjeAnjPHoXOr6k1+xciQsAAAAAAAAAAADglqu11lpba9uN2171qleJ + iDQx7dmbiUiVMzeXbLU670WkiRgjv/ShXy7SLnjdOgAAAAAAAAAAAAAAAAAAeLjWmvfeWltKMcas + 18cvfvHjf+fbvvW1r/2ztYp3vm7CsMdx7LWFD92OxvsNw2CMmaZJw2LluWGBxpjlcnkZDwwAAAB7 + paeXukTFOddaK6VoYvReaCNEjYqIMerZqQZD6CKaUsowDDFGjY3QfAoR0Rhszdve184DAABcWSwP + BgAAAABcf832f4eHh6vVqta6WCxSSimlHnS9mB/+1E/99Bve8IannjryXlJKzjmRKtLDf+vWvxNp + gFMpJaU0DMPR0ZFspjFExHuvgUPa4QsAAAAAAOC60EEP2Swr0lVGx8fHeqW1dpom55wuMRrH8azb + 15pOLffU6k8dt9HiTh3Amc/nItLrVq21ulyqtRZCWK/XWhJ6oXTUaDabiUgIoT8tF817r3cdQtCI + cX2uLufeAQAAAAAAAAAAANwkWtyus646+euM/Xf/nS8QkdYzrI2pm8Y9j3h3H/3d3/3kJz9JZx8A + AAAAAAAAAAAAAAAAAPai1w3WWodhmM/nn/rUp1784hf/7b/9La94xZ/MJYuIkXown8mDdYNNZHOF + lgJaa7W3zMHBgV5Zay2laMq1iNRaDw4OaL0LAABw4znn9Azz4OBAI65FJKW0r/3p6dSttWmatley + aM9APZUdx1Gb+PVWh3oOHELQVooAAADYRqw1AAAAAOBGSSm11haLxXK5HDZSKgcHd97znve94x3f + NE11NvM5yc6xQNM0yWbeYhxHDXkSkeVyKZv6GxHRyKVze2AAAAAAAAAXLOdsrV2v13pZRzZSSjnn + fptSSq3VWrtDO/Jaa4xRI6tFJISg1zvn5vO5MUavqbV67zXUWUQ0S1svz2azSxhvCSG01sZxnM1m + KaVpmkIIl7CMKuesWdoiEmPsKeAXfb8AAAAAAAAAAAAAbh5jTJ9p1W9LKa94xSsO5nN5bj/K3SYl + jbUlZ2OM9z43EZHf/M3ffOi8qnnUyGwAAAAAAAAAAAAAAAAAAPA8Wmva1MVau1wutTnMarV62cte + 9gM/8AOPP34YghOR49WxSD2ldFAbnsQYtQpR8wK124xzLsaocdcAAAC4JfT8cBxHTWForXnv994f + TxewtNZWq1XbGMdxvV6XUnTVTF87o2ew2/tMsjUAAMB9iLUGAAAAANworRojLk2lFvEultzuPrN0 + zv2db/+773vfd3svrcl6lWP02624RKrIGaKJnHOlFJ2BKKVokc3BwYGI5Jw191qYlgAAAAAAANeK + 916/9oLR7QullGEYRKS1punUZ92+tXaaJmutbiqlJCK11lKKRkcbY4wxWr2qX6dpijGKyDiOevvL + GW/RVGlN+Nb27pdwp7KJ9BYRfU5SSvo8AAAAAAAAAAAAAMBZabl7rVXnYVNKi8XiT/6Jz7Ii0poR + I2ef9r1Pk6adgKL3v/H//GbdYSIZAAAAAAAAAAAAAAAAAAA8Mm3Joi1caq0558VicefOnZzzZ37m + Z/z9v//9wxD6LVsrz+3Ea0WsNCvNapeVHgcYQrDWWmu1QjDnPAxDKUXrEumLAgAAcONp40ERCSFo + W8Kc8x73R5exaL8+PV8VkXEcNdlaz4pzzjlnzZIQET2DFRFtdZhzvrTWggAAANcFw3wAAAAAgBtF + pwRms5lzbpqmnPMwzL/pm971vR/4ARHJSbzTSKRsrd0hBkmnTLS2Rhlj9Mpaq5bUxBh1TkLnNgAA + AAAAAK6FaZpkE2ut1+gwiBaPttaOj49DCDFGzXvejXZOH8cxxuic05VLIpJS0tjsfgMRiTH2etAQ + gtaMPuLDfF66NEsHdoZh0PGfy1lGpUNM4zg652KMIYT9Vu4CAAAAAAAAAAAAuKa01l02/Xr6la96 + 1av0sqEgSkwAACAASURBVM7M7r79WuXZ2GyZcv7IRz6i86rtkTYMAAAAAAAAAAAAAAAAAADOLOes + fVpqrQcHB8aY9Xp9dPRMiM4Y8/mf//nf+Z3v916ckxeS4Tefz/WCNtrtNYcaH6jtfEMIl9AHBgAA + AFdESklEWmvanW9fu2GtTSnlnMdxNMa01nLOwzDoKat+9d5772utPdnaObdarfoZrJ7WAgAAoCPW + GgAAAABwY1gRa60vpd09XoXZPNV293j1NW/5Sz/xv3zQGgneiUjOVQtiaq0vpJLmPjlna61mLGmH + r9Zab/UVQtBpFeecxjKd5+MDAAAAAAC4SDFGvWCtnabJWltKcc5573XEw1p79+5dDV3eYfu66kkH + ZEII0zTpUigt+pRNlLXuyeHhYUpJf9qbreuYzEXredsxRt2l7fGfC6XVsQcHBz1KnPElAAAAAAAA + AAAAADtoRkqrImKMMcbo5K/U+qpXvvI5073G7NxLyDmnydbO2SbyW7/1W48YlQ0AAAAAAAAAAAAA + AAAAAHaTc3bOzefznPM0TdrOZRiGlEbrZJiF1/zZL3j3u/+bUsSIBN/7mVSRh/RU0c4nIuKc06Yr + 1lptw1JK6e18qRsEAAC4DaZpms/nIpJzDiGM47jH/nittRCCiAzDkHM2xnjvSymtNV0+IyKae607 + qYHWrbUnnngipaSB1v10FwAAAIr2xwAAAACAG6XWaq2dzWbTmH/vYx9/0xu/6hd/8UMhmFolpbJ9 + GxHRiYez0kqaWqsxZpomY4xuTYtphmHQK0VkmqZze2AAAAAAAAAXrLWmOdOyGejQlUVavqmtzDVY + OqW0Q2dzY8xyudxej6Rp1pqfrddba40xWgwaYxyGod+1iGjx6Dk81FPp6innnI7tOOcuZw2VtXb7 + yRcRDRe/hLsGAAAAAAAAAAAAcMNszz+21krK3lhjzMte9rLtCdBHmZEsOUtrYqSUao389m//du9T + WWlTCQAAAAAAAAAAAAAAAADAJVosFnfv3k0paZXgdl9cY9o4jovF4o1vfOM3fMPXi0jOD4myVtoN + JqUUQtBcQGutNoSZzWa65Z51DQAAgBtPTyxXq5U2IdTzwB36EJ4Xvevt7oWtNWutXq9nsyEEja/W + 5orz+dwYM46jEGgNAABwgl0WG7fW+llXX9UMAAAAAMBlaq3VWodhyDl7H0tpOnmQq4j1Jbd/+S9/ + /cu+7Ms/+tHfd05S0umNZz8Fa8OslNIpd6FFMyJijOlJTnrXSkS8930Gorf3ijHedwEAAOCm0lLj + +67cPgtqrVGxAQDAdaGrhvTNPYSgV26PkGzfUrYqSnUZ0vamdCP9St2a3l6/6rCMDtFs16dqinbf + SM7ZOadjMsYY7/25l7H2+9WTlr7PfeBIU67P905PoY9aH+kwDHss2wUAAAAAAAAAAMCVVUrRWTb9 + qmt+gW26BlxErBipLYTQWpPaPu/zPq+0Zje18bUUv5kdPitjrRhjrG1NapPf+/3fX6epB1qTbA0A + AAAAAHAt9C6C/UKvn9ewk+1raDkI4ArSY5Qxpi8FenaE/Ll9Y+5b/QQAAAAAAHDD5JxDCH1gpKf6 + mdpMbTH61WoZB//Ob/rPv+RLXmetGJEYXP91DSmUrTHhlFLvylJr7QGBeo3eUe+LooMz2w17AeCi + 9X5WzGEBwMUxxvSzO42F1tPFPZ7y9TPe7RaFfTZQc6z1lrVWba44TZMxpvdPZt4QAADgQaxUBwAA + AABcSzpVcPfu3fl8nlLSiY1SyuGdx46Ojj74wZ9+17velXO2VkoR50wuZ5vhcM611rYjmoZhGMdx + mibnXEqpd3/TkCEmIQAAwG2jZ1/W2nEcey2ynhf1NMpSikZjTtO0nXUNAABuDK3X1IGRvtzIOafB + zBoUHWPs3awe8S7O13alrI78aLea/S6OurjHCwAAAAAAAAAAgJvBGOO9Tyk553qnFeChzNbkp85B + ftqnfVpwbtJk9CZidu0lpFOrrbXNlnOrn/rUpw4+/aVNRMyzd92Y/AQAAAAAALjCrLWaR+K912WA + 2k4hhBBj1KUB/RpdUbjvXQaA59Djkh6mcs66RKjWqguadFYl56wrnnreEgAAAAAAwO0RY0wpFWk6 + Diwi3/Vd3/V1X/d1P//zv5hSEZEQQq11HMfTW8RobHZKaT6fL5dL2YRna685jbs2xmhXOh1evqyH + COCWqrVqC3H9OpvN1us1NfYAcGtpC0FNr9DT0VKKMSalpC2R9Y1DJxDX6/W+9xcAAOBqoaYKAAAA + AHBdaS82zbR2zlWxw/zgj//4Uz/6o//4r/1n/8VqnVOW2uTwzp1c2uYjsH2Bn4VLKRrHaK11zumy + pdZaCMFaqxFHIqI5jhf5KAEAAK4oY4y1NqU0DENvbKonUXoG1W9JpjUAADdeKaW11lrT4ZScszEm + 56ynBCklEdHVR2dSa9ULrTU997jvNOMR9U3pmihdZLXHTOuLfrwAAAAAAAAAAAC4GVprWrSj3VVE + JISgF4Dn9ZIXP/mig0MR2S76Mo+S4bHZThX5gz/6w+0Q671NvgIAAAAAAOAF06Rq7732cU4p1Vq1 + /j+lpNfrNRoZu+/9BYD7aSd6nShprY3jOJvNREQTmHSh03w+DyH0iRUAAAAAAIBbRQdPDmbzmvI8 + hmCNse2/+7vf/spX/ikRMSJGai1lCP6UTGvZjMOIyDRNs9lMe9DpCLOOuhwdHbXWhmHQ4eXLeXQA + bjNNsNZDUCnl6aefns1mZFoDwK2l7fv65VKKFjnEGI0xwzCISCkl57xer5k3BAAAuA+x1gAAAACA + a6mU4pw7PDwUEeectTbnfPfu3fe9733vfve3xuhFxFprjL179+4O0wMaZa0TD5ps1Pt2TdOkeULj + OGpLOKYfAADALVRKWa/XIQQ9Taq1juPovbfWymaxt3NOm1nseV8BAMDF0Ar+cRz1BOD4+Li11gdV + +s1aa9rcard70XEYzcyutZ7jsoGc8zAMuvO6dGo2m+09RvriHi8AAAAAAAAAAABuBmttjHEcRxEx + xmiuDPXMOJ3ZTOGGEO7cubP1A6PTk7tsVOeFjREj1oiIfOITn3jE/QQAAAAAAMBeaGS1Mebg4MA5 + pxkkwzDEGGOMzjljjNbeA8BVM01TCEFzknTV81NPPdWe6+7du6vVaruRPQAAAAAAwO2hOdPTNB0e + Hj711FPav/flL3/593zP97z0pS/2XlIqIjKl6fTBkz664pzTxjIhhFJKa03HXg4PD7VNynbbGQC4 + aDqH5b2PMa7X633vDgBgb4wxmlotIiEE51zO2RijBQ/jOOoFa+3emw0CAABcQVSIAgAAAACupRDC + arXKOVtrtX4lpfTOd77zH/yDHxGR1ox+rVVErJg+Q1BFTmm5Zfu/Uor2ejs8PMw56zolvVGMUWMa + h2EIIcgmwwkAAOBWcc7NZjMROT4+1sqMYRhKKdM0TdPUT5k4XwIA4AbT1lQ9xHo2m5VSVquVDqqI + SClFW+pP07RDBWc/kdDf7UMx57j/4zjWWltr8/lcRNbr9R7PWy768QIAAAAAAAAAAOBmqLVqjrXO + K+Wc+5wd8FC2bV0o9SVPPmnE6Gtm9yyi1sQYETHGtCZFxD431rqRDAIAAAAAAHAd9Eb/+m1KSTbr + AY0xOhSpPzLGMA4J4AraXn2Tc9bVTCJSax3HUQ9cPWmJ9c4AAAAAAOAWSinN5/OU0tHR0Yte9CIR + EanL5d3P+uyX//CP/EPvnYg4Z2L00oq3Jxb/9TGWWmutdXv0eD6fa3ygjjbHGHW0GQAuVGtNB4S1 + B6ZeGWPc604BAPbJOee9740Q+ylr/1ZPZUsp1D8AAADch1hrAAAAAMC1NE3TfD5fr9fGmIODg49/ + /ONvf/vbP/jB/917KyIppRjjvUSl+bzVU6KsH6QLL6OuXDo6OuqzC5pyJCIxxj7rsF6vd4hlAgAA + uO5qrdM01VoPDg5ERIs2jDExxl5VvF6vRWS3GEsAAHAtpJRKKcaYnLO+6YcQWmvbwym60KiebXzm + 3sa999ZabSgzjqNec147rzs5DIOIHB8fawL37k3bH9lFP14AAAAAAAAAAADcDN771poxppRSa3XO + 9VQG4BSmiYgYY1760pdaa6U10WlTcw4B1K1JFfmjP/ojEakEWgMAAAAAAFwfOtK4/a0uBnTO1Vp1 + NFKv0Vr3ve0oAJzAGKNpJXrUcs7p4iBr7TAM5CcBAAAAAAA455bL5Xw+H4ahtVZKSSktFovlcvk5 + n/M5P/iDP+i9iLRpysGHUk8cB9YOMzrqopvVIWXtORNC0DFk7darHX0B4EL1sFLnXIxRB4R7vjUA + 4LbRVoc5Zz1fzTmLiLXWWqtvGbVWY0wIwZzHOhoAAIAbhlhrAAAAAMC1ZK313jsXWjMf/vCH3/rW + t/7iL37Ie0m5NhFjTI9KWq/Gs3z+vXfLnLNORWvNzTRNWj2jW9YGcMaYlNJsNtPJCQAAgFul5z5O + 09Ra06Xd1tqcs54michsNhORXuQHAABumFprCME511rz3msAc85ZVxnlnLV1vtZu7lDBqacWtdYY + o3aW0fzs893/cRyNMXoX0zTtEL99Xi768QIAAAAAAAAAAOBm0AYrvZ5Zp+foqIIX7oknnmibidFW + quyWid7u5WRvXSGf/OM/fuS9AwAAAAAAwB70Aca2GSwqpRwcHGhBu45GppR01QAAXCnjOMYYZbMw + Z7Va6SFLREopMUZtGuO9b6055/a9vwAAAAAAAJct53znzp31el1rTXl03rzo4HA8Xj322B3n3Ktf + /epv//Zv00HilFMIp42ftNaMMev12hjTm/R673V8xjlXa+2pgQBw0fSg1ENMQwgiol8BALeQtbaU + 4r3vvRAff/zx1lqttZSiHZKttSml1hrvFwAAAPe50FhrMrMBAAAAABfFWr9OWcT+6q/9+ld8xRv/ + 3w//rjGSs1grIqLTBiFGY61Idf6Ff0Stm+2LbFZd9jAhESmlWGv1ssYL6d2d88PDNWdEpDYZV0+M + Y6gPafRmRESsiBVT+6sOAIDrpZTSgyq1gDiEUGvVb/Vy72FBuQYAADeSMUbf7kspuqxIm7xo0LW1 + VkRaazlnXXe02730zeacdZvnuP/apKa11k9szvcudnBxjxcAAAAAAAAAAAA3xjiOIlJK0fQFTWLY + 907heqi1DsPQpIlI9I9U1tWnWUXEGLFGVquViFhejAAAAAAAANeHhrz2AUZjTClFx3yWy2WPHiml + 6JrBve0oAJxgGAZtPa9NYIZhkM26Zj2IOedijNM0EacEAAAAAABuJ2vtOI4xxtaa9361Wmn46927 + d0VqLtMb3vCGb/zGd4hICCalcsqmnHMaECgiOWcdNNZRF/22d0qhrhXAJdBDTc5ZRLSuvneUAgDc + TtZaPem11s5ms6OjIxExxnjv1+u1iJRSYoy8XwAAADxohw7I1Rgxpm1Sl54dK3zYZumwDAAAAAB4 + QUyzpm0+RZra/9WWQ3RTmWywudVUSzW2WTflZu3sJz/4M1/11W955mjVRGoTMUYXQup8QJrWrWaR + WvLUP8M+yDm3+WBbnTMi1ZjWYxpls1pJi2N66ze9hjVLOFEqP/fa137O3buLaZTanIi5/wXYXzyU + W+1I/0h7/LzWkQAALk0/idqOrLbW6vmScLIEAMAtYIyptWqCtbW21hpj1IhrY0w/E8g57/aRTRcv + 6VfdQl/adC62V0D1fOs9ttm66McLAAAAAAAAAACAm0fnlSihxMPYB1Z5NzHN2DYM9yq+Uk73JnbP + XuhljNvefmtixUzj+OwNmpj24D4AAAAAAADgatEBImNMa02/yqbYvn/b9TwSALhSQgjGGD1GbR+p + ti/HGPewZwAAAAAAAFeAdobRfiatmhhmrbXW2ixEKTU6a1r5q3/5G772zX+xpHavnNCIWCPm2QEW + 7TXXG6Fsjx5ruzltPiObFi4AcAk0u1SHf3XO6765rQuyQ5+ovmN6BL7gHQTObPuVefpLtL/aU0rb + fwWn09OD/rfT24lv391V6LfWe9D1P+3ekk6ved7znN2en6vjvpdBzrnWut1z+IqYpum+C33Pe52D + c04veO9ba9v/EdM0cSjGXozjeN9rb7eXYj9Y9QPpVTiEvnD9WNr/MPtDGDcL0/RHp/cF1V/pTUT7 + AeGUG/fNXtpB4PTzxvveXF5IH1Td8/6oz3+PAdxuF1ceSuEpAAAAAOB8TNN0eHh4fHwcQlgsFtM0 + WeNrM//oH/3oX/1r72jNlHqv0mWH4bNhGLYTrGutxuwzvgg3RBOp9YlxfGxaxdpERDS1vdlqt19d + RqQJcZ+76gFptVZrrebNt5PtdWcBAAAA4AZar9fOud72xRiTc3bOWWuPj4/7za5gQSoAAAAAAAAA + AAAA3E7GmPl8fv+1j1Bnu9236KEdQAw1vAAAAAAAAAAAAAAAAAAAXDG11mEYRMQ5s14ff+u3fstr + X/tqrfgz1hpjjLX9NqeEOGoLXy1NLKXEGGutvVkoANxU1tppmrz3OefeGv2kfsjr9Vp/q6c2Xq/4 + Sdxg/QXZ1wXoy/Wk17NzTm8fQtBzAP0rOH37+lvW2vV6ba3twcP9nKHW2kOI90IfQv9zttbqLum3 + 4zhq5/MQgiY9n9fzc9XoPq/Xa/0/0mfgecO8L1+MUURaazFGDcHtL57VamWtPTw87Ndco+cfN94w + DHrQ0z+rlJL+rZ1Jzlmbf+prW/9g9eBzXeihph9aRUQfwjRNwzDoyZL3XoMnTtmOc24cxxDCNE3G + GD1En3J87hvsz96lHR8ePG/U90fv/TRNvVmrtfak/e97qy8h/RU+dwM4d4RPAwAAAACuhGZqMw8J + kz48PFwul8vl6uDgzvHxOqWymB8eH6++7du+7d3vfndrTYfh9MY6l3AmOuVgjOnzEGRaA9eI/s1a + a3UIfpompgkBAAAA4NLMZjOtRS6laDmXFkuVUhaLhda9lVKstder1g0AAAAAAAAAAAAAbqpa68HB + gV42Ylpr5tQeHyd5sGNU3Wq4BgAAAAAAAAAAAAAAAAAArjJr7XK5nKbJWhtCWK/XH/jAB1796n/L + WGmlSq2t3Asbs9ae3u83xqidZ+gwA+A20JDXWmuMsdbqvTfGaMbkQ4nIfD6vtWoLZe+9duXa9+MA + RES0rbf3XoOca63z+VxETno911pLKc65nLOGceqvn7R955y+4LVb3Ww20zDX1pq1tkfCa97nHuM5 + vffr9TqEoC31dN900cTx8fEwDCJSSkkp6XN1Xs/PVaPncrPZbBzH/oh2yH24aPo8a0f6EMJ28La+ + gJfLpf4PXqMnHzfecrnUCyklzSQOIZRSTjqenERf+XqQsdZqO9B9PrAzqrUOw6BfZZPwXWtdrVYx + Rn1Ccs4ppR4+/VD6I92I0laoJz1v/X1H34/0I/AlHCJOOm/UdwoRiTHevXtX/xNba6f8v3vv+9Ol + h8GL3nkAtxAfUwEAAAAAV9ozzzzz+GMvPjg4WK3G+Xw+rtPR0dE3fuM3/tAP/YgO/+lQYK31zp07 + 0zSddft9fmiapj79sD0JAeDKSimZTeGacy6lFGNkphAAAAAALk0vjtfVR1rbpMM1+pFtPp/fuXNH + h272vbMAAAAAAAAAAAAAAOl9pkREuz7t3PtJf1FbNRkxIrJDPT8AAAAAAAAAAAAAAAAAALh8tdbF + YhFCmKZJQ7acc+9973s/+0+8TERaE2NkGIL3ttZ6en3gNE3DMGjaluZkX9aDAIA90DRKjUW01o7j + qEm37QTa6lwbc4mI9unauYQbOF/3vSz1TTyldNLrWTbJx5rKqecPp5wntNZ683DtRKcpANba5XJZ + a805a5O6GOMp8aUXrZQym81ERLud6yMyxozjuFgsRCSl5JzTJNqTnpwdnp+rRqO7Syk9KfZq7r+1 + 1jmnweG1Vj0m639BrdUY0/+ncs6cmuKKODg4EJHj4+MQgr5WSynOuVMOKQ81DIOeeIiIHkiv10mF + tXa1WvW3G/1r1baltVa9fhgGfZZO+fv13uecV6uViGhitL6JnPS86bM0TZNzTi9fTiL4SeeNfVdF + 5M6dO7pLp7z/OueWy6U+XZqt45wbx/Gi9x/AbcNpEwAAAADgajD12X+qWWm2GVfEHB+vnQ0lt3Gc + vvzLv+Jnfub/0LoWnYjSSY67d+/ucLe6Ee+99/7pp5/WgTwdlQNwxWkBnIjobIH3frVa6Sg8AAAA + AOASbNfO9iu1zmk+n+v1WuyltwQAAAAAAAAAAAAA7Je1tjcY0rLbRy2+1VjrrW5WAAAAAAAAAAAA + AAAAAADgigshaKZaCME5V0oJIbz85S//vu/73pd++pNixFgZx5RzDeH5+8aM46jliDHGi993ANgn + bV2uUZSySV4UEXOCEEIpRfuoi4hzzjm3x/heYFvOWV+T+q3GrIYQTno9a3iwiGiosIi01k5/9y+l + tK1FByKifxQHBwfWWu+9tq1br9e6wb3QZyClpBGhMUZtez4Mg+68pnH3FRPn+PxcKRrdrc9GT0u9 + gi0E+39EjLGH+xpjaq26XialpFm/euU+9xXYqLWO47hYLEQkpaSxxHLy8eQkOecQgh6u9UB6vbLb + Synz+bwfWkUkpSSb58QYU0rRv/Gecv1QGg6tYdgi0g+/Jz1vIjJNkx6QNVtaLuX4dtJ5o/4/ttb0 + 8Vprc84xxlP2/+DgQCOu9TQypdRXCALAeblO7ygAAAAAgFsohMFam1M1xnz0o7/z+te//sMf/tch + uFqltWaM0dG3cRx1zuas2zfGeO9zziml+XwuIiklYnGB60IH051zR0dHxhhNTdv3TgEAAADAbaGD + M1oUNU2TjsyUUrz3pZQYo47baAGxXgYAAAAAAAAAAAAA7NG9rk8ivfT+HIvnr1cnFAAAAAAAAAAA + AAAAAAAAbq0ehSWbfK9xHNN6fOUrX/n+979/GFxrMgwaM1ZOb/OpXWWcc5pDJudamggAV5NmE9aN + nrF60o01klAPj621KxgTi9vJOddflvoqPf3FWUoxxkzTpK95/faUmHbdoP6K3st6vZatvyANvc45 + z2az831oZ9JaG8cxhKARodpDT39Ua9UH2J+cU85zzvr8XDX6YHWHY4yaEn368W0vnHM9rFr/Ow4O + DkRkNptpmrW+6jgjxZVirdUWnbXWGKP+Ze1wfNClW9Za/SvQw9e57+3F0b/ffmjVJqW11hCCXqOn + ST0H+pTtiEjOWZ+QaZq05ekpv9Kfds2WHsfx0o4SD543eu/1R3rIaq157593f/SdWm9Jc1cAF4Hl + wQAAAACAq6dZabYaW40tua2Ox4ODw1/6pf/7jW/8yj/4gz8SkZSKzme01nSITQfd+kTCGe6qtZxz + a63WqsU0zzvsCOCK0OA0TbU/PDyUzV/0vvcLAAAAAG4XHZ+JMa7X68VioZVhWlabUtKCWu+9DrwA + AAAAAAAAAAAAAPar91Rq0qy1slMPjvta/Ojl3lMDAAAAAAAAAAAAAAAAAABcZcaY2WxmrdVALI1y + XCwW4zj+23/mz3zHe98rVaYxOSvWyemFhikla60mtMkm5RoAbqrtLFW70YOrH2StNcaEEPRbjbnd + 70MAtvWXZX+h6ov2oYZhsNZq4LFs/hxOWUegN0gpzefzGGMI4c6dO865fke6A7qF/eYCDMPQM2I1 + dlT75jnnvPfW2hCC975HJp/L83PV6NFMd1j/g65sXK5m+pZS+gIZ733OudaqGe19kQuHXFwRtVbn + nAYYi4gxJqWkL9Ez0SNSP3K21oZh2PeDOzN9Kowxs9msv/vcuXPHbD6lOudOP/7oO4gqpcQY+9Ye + KqWkx3Z9/jXm5sIf58nnjfrOu1gsnHMxxv5/etL+69MlmxV8+o45TdMlPAQAtwqx1gAAAACAK62U + cnh4+GM/9mNvf/vb7969W0ozcm8OQMcTU0rOOWttztk5d9bt92BsHcgTEU1aOtcHAeBCeO81OE3/ + ijUsjb9fAAAAALg0+nGsl8s/9thjq9VKr+9FnFrZWWvdrv0CAAAAAAAAAAAAAOxFKWUcx7qZ8L3n + 7G16nu3ss/W7O9TzAwAAAAAAAAAAAAAAAACAy2eMWa/XIlJK0WCtnPM4roxtIYTXve517373fy0i + tcrz9ozRbGwRmc/nIrJbf2AAuF5qrdp3S2MIT+mvpc2TSynb8Y30T8YVoQma+rLUlM3e6/uhSika + zBlj9N4Pw9Dzgx9K1x3EGHXjGkJca805D8OQUuqhALLX9QjGGN0HEWmthRCGYdCQVP2D1cZ6zxtT + fdbn56rRI5vusAZA9OCGK6XHxPaI9BCCntOKiOZb6+WU0jV6/nGz9Zx4PfCGEBaLhTn7eq7e51OP + MD0f+vz3+GLokb+fQfVk+v75tB+FTo+dbq1poIy1tkd99yP5g2KMwzCEEPoxIed8ac/bg+eNMUbZ + itoJIZy+Bd3tHoC9WCymadKNAMA52vG0T49xct+iZQAAAAAAdmWMKaXcm7uSNpVacrPGD8Psu7/7 + 7/31v/7Nx8ej87GJNGPFPGd6Saej9IJsPrTq59Y+waMX7pvive9Trc5aMaeL60unE67R/MGj2/57 + f95hdwDAuau1ajFHL9RIKfWf9qnc7SsBAMDVpynUsnk31/GWTuu99DRAP47peI5sqjz1rKBXfOqF + ftpwku06+71/sN3O5L7vwoXqw1b6CZfPuQAAAAAAAAAAADhJbzrQu/ZcwTYxuJqstcfHx84YncLd + eZ34fVPATZqjvRoAAAAAAMA1pANEukq9t77tPQbvq6U/fV0AAOxFPzRtt5+W5y5xaq3RN/WWeOh/ + 9N5XqwEAAAAAcDW11jSj0Vpba22taRyaMWY9HsfBf+1fevNb3vKV1kpJ4oxY+2y+9ablb9S8G/11 + EVmtVtsb721n9vIAAeAFstb2KTP5/9l7+1/ZlvS+66mq9dq9z713Jh6wZmxZlsAae1DITST/AAzY + EB1HfwAAIABJREFUQVhBgIOEkJHRaBJkBPOCkh8iwCYvyGCh2NI4CSNbwg42/4CFcYRlgUaKAOEB + wg8TzWQcM9bMSLbH49x7z72nX9ZrFT9893pund7da+9eZ/XLPuf70VGrT+/VVbXq5anqqmc93+eD + Z+I9JHjj69u2hYqkDLGzxnehm6aRIZYXklJ1Qyhex8HV5XnLuRPR6xVE6/ZslaAtrjmOyGReIdqH + IZYpIk3TaGQ5vQBiwHfDzaG7joNGgYSziMQCpUjtbr9F4rFwdV3XKIOI3DuIprE3nl58kLRzO5Bw + 1tG9U3g9b7q3P+ytn0PjPU4wLu1caOHl+TiBe7HWavMhCB4WisdmikecYF1VoXZCEx8a/kgfH6IL + wSzHFfgqm81xtBNew/npUfZ250qoGs9bHu3/8oDxqCN9Z/rW/+581xhTFIUeXO6sLo5Cg4HH/4Wp + KYpCzXhcYzuFnDxA9tpVTVxrL4SgJldrFSrUWZZpJcS1jfexNLXWcJy7fgXS4DqPyD43p5g4burO + lISajCfNkRrQv+qb+K7jouIN2iXP852ZZacJdM15KN+dZWrbttA1h5Xe6Us7s/BVjXpCyJXDx4MJ + IYQQQgghhFwL2NFr6i5Yd3OzrLZN13V//a//jV//9V/vOi8iTdPkeV7XrbX20MZXkiRd12VZhu28 + ruuwRYgNNX1TluVms/HeM4IbIYQQQsg01BOubds0Tdu2TZIEfifb7bYsSzgGtW2bZRnXXYQQQsij + AM5eXdfFvqSx6xVm+b7v4cMkIkVRyPMeWhPI87yua2zaZFkGf6lpnqyzAKc0LGa6rsOdnsENCw96 + JUmi+1qnzpEQQgghhBBCCCGEEEIIIYQ8UpqmwdFe3/d445zzHUOukPvx3rdt2yPYhxgfgsgULQcN + LRRzc3MzQxEJIYQQQgghhBBCyBkxxvR9r7FTIVuCpwOWy+V6vcbnzjk8SHixghJCyAHw4A+eikIQ + 7SRJRESfgJZhT1tD0Fy4xIQQQgghhBBCCCHXDYTB6rp2zv30T//0H/3RH33hC3/fWmk7kSEgzEM0 + HbH5HLsaQi1yRGmMEEIugvce4TRhoLIsE5G2bUMIWZYhKpS1FhK83vvFYoEgXWoGp4WKStO07/u2 + bZfLZdM0CPa12WwWi4W1FoK4SZIYYxD469j0r83eHhvCS8UpjTFN0+iG/0kKF4FTBoRBi2U4T53v + XGh42DRN8zxH59FejS6NOHIyd5w3HNmgjRBWDhU4S5y6YzkUTw8HSXEQXTyVo7LcGHdd13nvVebg + xTk03iF0miQJREmhez1vf1Nt6YcMHwTfg0T0izeWRi2enAK+i/KrNK+1Fp1ZTTT8GWbsXddmP49l + xN5iFMR9e+/DQefhWHuLAYvZGb810BlmLBImeiReVRUMwqGLUXU4fUbJEaLTOYfn/tTanOeQGuZ9 + u90ul0sUb7VaYYys12t8iBJOCxJ+yK7KoA9dVVVRFN57WFpd1ch9gtPjqGK9DBMNkkWCWIPB0Mlo + 54/DihZFsdlsYJkhj933fZ7nSBC25VCBMaWiH9Z1nef5zsV1XWsK+vnDyzkB51yWZe+++y7qH90S + yuLWWh1WL9IKhJBXB2pIEEIIIYQQQgi5CjZVVS6X622dFnmWZc/eW28220996tO/9mv/Y1V1xlox + Jk3zum5FZOSsCxuaTdMYYxAVCxuFeoHuOTZNQ21FQgghhJDJwLsOiy5jzGKxgC+Oc+7111/HOSVc + PfTUlhBCCCFXTpIkVVWlaap+SHB+0meEyrKEs6kMLsJ1XYtI3/fwypJh7+UokIhzDn5417ByCCG0 + bYs9qHGfwnkz9d7D0RORdOTx+7YSQgghhBBCCCGEEEIIIYSQU4AYWGmaIrgA4ulculDkcaCHkjLE + DZnG3aPMEMLrr78+vWSEEEIIIYQQQggh5HJovFTd9nHOrddr+NIjbn4cmp8QQq6Hruv02R9jDALW + 45kgVbyWIQo/Na0JIYQQQgghhBBC7qXerG3wqTV9Uxsb/s5/+7fefPNPdp2kiSTOQAZPRKAgOJIO + NmRiKS/KaBFCrhOIYkKV8NmzZ/gwTVME0kRUKO891Gdvbm6geA3VQBFRw3gs+ggA1H9hMFX9EUq3 + fd9XVTVB0/olQBVqoRyJoKZn2Ofvug4nC2iUqqoekaa1iCA4v57tIqCciIQQ0jRNkgSnJ7EE9VxA + bhbv0b0RVm6WOHXHciienkrUiwhei6LAX6FlK8Pp+Yya1nJ4vEM9Gt07yzI1LHMRC0sjgPD4OELw + vTzPtbGgyDshX00QmU4bvyEE7cB6CGiMybJMZe9honkI+BD6vvfeq4QHPrxg1R1rb3Vy1AiNEKef + qzxQoVYxdRwuj8skx7904FeD/6rwc5Zl+E00oqsyF9ba7XYrQ8W2bavaz8vlEiYaWuCwhMemf8iu + 6q0VRYFiaKPAgODKNE2xyJl2a0hHROq6/q7v+i782MQCDLrXuGY8HbQLCgzlacwLqJm2beu6TpKk + aZqRdsdkAYu080QnvgV5bFQ1euyx5TwKZNo0DeLDI1O0L+S6Y5ewS2nYE0IeEY/plw8hhBBCCCGE + kJeYPM+7rlsul1XVOJu+/fbbn/70Z770pa+IyHJZrtZb3WjL83x8uxOXOedWq5UxpiiKqqqyLKvr + GjvUumPY9z0PGwghhBBCptH3Pfxs4GrTdR2WYXDpkMipCCey05ztCCGEEHJO+r6HQxgkqzGDwxMu + SRI4QsE/LMsy9bSDQxVcY6e54cLndcebVlcX50edX7uu0wXPvB7Gh/LdcWXjIooQQgghhBBCCCGE + EEIIIYTsBZFi9CzpcYVJIhdHjz5vz2SNkePDUiAYEOID3X4i8uTJk9lKSQghhBBCCCGEEELOAmKz + qhO7BoNGCFo49mt4aAZnIIRcIXpK0rYtVEb0OSBE/0+SBNFmELiGykmEEEIIIYQQQggh4yDqr3Ou + KIrVdluW5ec///mf+Imf+PKX/7FIMObWCxGSeKo5epcQQtd10LP03mP3pq5rqHwRQsj1gH3jpmm8 + 90+ePPHeN02T5zm2lFX9FydliIuu0ajyPMf1E/LF9jVipyPAV6w2imM7aGr2fd80zasmbp0kCeSH + VVu3qqqReWdGiqLAtIXg9pBNfUTnC3jSBAcoEEdH74VWsWpw7ohxviCQhYbKe9d1eNwAYetkjjh1 + xzISTy/P881mA7VXFEkiKeu2bVFL8xby0Hj33kNFVYaIc9ZadL9Z8oXirLaODHd6CMTBg6FD/bRt + C83XCbmjelWaehrQ0MWqEk4LqtKNbiYiaER8MjmjVwGs8Kuqwn8RVXKCvPGMHGVv4/laLQkOgmcB + Se0Enxwx/nCngQA2xmzXdRqxE644GAVqak6KDrSyLLfbrUq/y6DAjdUFFjkTfpQdsqtaRXr7MuiC + w7hhNdW2LezJhHFa17U+RIkyyNBeOpft1ZmOgROUDKZpR5Y7hKCi1+PBPzGviUjTNBhEMtSwtbaq + Kl2wqQvWUeU8Fi0PUkYro33RIhpVVVezhBAygr10AQghhBBCCCGEEBGRvg913fZ9SNP0a1/72o/9 + 2L/3la98VUSMtav1VsQa45qmslb6vhU5uOeo5z3YHEySZLvdhhB0Zxxbe/iE22eEEEIIIZMxxuAE + VJ/3hgMK3sONGGer8By6VDkJIYQQ8nCwVdK27Y7rPNyVNHQLtl/6vocbVtu2cFa21sK/6th8QwhZ + lsEZDklhA2feu3s43vuu6+B9pU6rM7pfj+Tb970xpmkabG1xEUUIIYQQQgghhBBCCCGEEEL2Eh+x + yeAgfdkikceCtXaz2eBYF2e+Ew55gTFGho5njRWR1157bZZCEkIIIYQQQgghhJCzgT0ijfOLRwLx + cAFitnIfkhBy5eB5HA0zbYx58uSJviIG+mKxKMtSw9wTQgghhBBCCCGEkBHarn7y2lKMr5vtssht + kA9+8IM/93M/9+EP/1POSQhirWCnpW1beziuL5S0VAdRBTXPdiOEEPJAEC1Tpf4gPatikAgJpdZM + NTURG6qua0SmmhDnHCd0+DreG2Mg3RorakMM+FXTtBaRvu/zPEfcs81mI5GS5ampqkoFSkXkcWla + e++hIozTE5UBTpIkFtS01s6rsaoK1lCcTdMUg2KuOHXHciieHtoUmtYyqMBaa7MswzVo+snj+hCH + xjuyhhJqlmUqdzpXvhDWRUYikmXZeOLe+7ZtY3uY5/kEUWqNRawrwMk31bYtlFlhHpEgwgOKCNRb + odtKTet7CSFA03qxWMA+XFyn4yh7q1LTMkyOGgR7LtCXMFRV3HrkepRBBVBQeO2oItL3fZqmeJ23 + qHfBMM/zfLvdau4IFe6cg6jziwgbH7KrIoJ5B82B28fvPixmcKDvnMuybMI4xXoAyjLaPfBJWZba + c1CkkXihh+ZHFFKnRVTjSDl19syyDPmu12st3pMnT/RK+CocW85j8QPoYxhE6juBa+q6Vn1rQggZ + Z+aZlRBCCCGEEEIImYYxJsuypun+n//7//3sZ/+Tp0+fhSDOGWMdtvZwWZZl2PU+BM48sP2HzV9s + U3Zd94EPfOAP//AP9Ur6shBCCCGEvAhw8HLO4WQ0z3M9R8fRMjw/RMQ5V1XV2ZzACCGEEDKZEELT + NLpnAm97EanrGs5bEk36cLrCegCfvIjjNRxqQwibzQYpw3/0he9pCriRNE0hbi0i8Gc9db7IBS6A + IgI/YH2egRBCCCGEEEIIIYQQQgghhBDQ9z2OlpIkgcu09z5Jkq4/+ZEWeQkwxrzzzjvWWgSuQOCM + 6ckN3zXGSJAPfehD85SSEEIIIYQQQgghhJyXNE3btg0hIPKvhsjH5/gEby5dUkII2UXjSmPTO01T + yCE0TaPnKV3XYTNco+cTQgghhBBCCCGEkBG22y12Xeq6ttZmefHRj37053/+5z/5yU9aJ03TifRJ + kvhg/GFFTEhnQa8LYmaq1Xq+OyGEkAeAjWW877oOWoOQATbGLJdL1U+VwaAhVBc8+UMIRVGMh0zf + C4J2It6U6rM65/C8ANy8EfsLBZtdvPPKwXyBGlgsFn3fzyvDPIK1FvmiORDl/gyKpLOgB7sIFZsk + CTRNEdBMhTzxZt6skTKUU1UWGjKfs8SpO4pD8fQgMiqDtigWJ7gYIXabpsGIjhVbX5xD473rOmS3 + WCxQgfMeZu1oAOOORkLqaVfBcNOGO5a2bZMkgZYtBtR4vodQNWsIbGvxvPcaDlFLiNqbUNpXB+iA + 9H2/2WxkCCl52SCHR9lblX7HgMIUPGO7o6dh/sXAiQWq7+K9h8XTmRryKFqkPM/btoVOyoz25BDI + tK7rQfClkeEYXfXCIT49bVI7ZFfRhaDfjD/B1wjXwOKhs01rKdiuLMvsQNd1GPgQ8L65uVmv11qS + EVCw+KlMlFwFv9GmMiiCHyqPXoY5brlcyj49bKhoTyjnsWBooM5RAJjKtm1xp3me442WnBBCDvFq + /eYkhBBCCCGEEHK1WJv0ffhf/5cvfOYzfxmfOGeCN13fZ1mB3U/VtNYdyb3gSExPPvBdqCJhu1OP + bbh3RgghhBAyGZy5dl0Hxce6rvXQGj52OL9M05Sa1oQQQsgjIs9z7Ktgg8UY0/f9zc2NOorBiyv2 + 4ev7Hns1fd8vl0v4Sx0LXFfxLJMM0a9muqejgdtclmVJkmAHCY8ZnNrzEu62yK7v+6Io2raFo/NJ + 8yWEEEIIIYQQQgghhBBCCCGPiyRJ1uu1hjzQAzvhsRJ5GH/8x3+sYTJCCBImhqPy3qPXIVKbFfnw + hz88VyEJIYQQQgghhBBCyHlAuFXvPeL1a0jrNE3x5CB8+1Xi+rGEayeEvDoglj0ehsKDzzIElsEj + OfgE76lpTQghhBBCCCGEEHIvRZa3bVPV9ZMnT1rThxDatjXifvAHf/AXf/EX/8Jf/A+zLGmaruu8 + iBhrwwFRzDhUC8KnXFYzjxBCDqEyq9B9DCHgRMwYU5YlwqFrdE2IaKrkoYgg3uYEuVZVuPTeI5Kn + inoiKWhAbrfbsixfwTjqqHCERMOppXNuQj1Pyxpx7CExruLij4U0TXEELCJZlkFWM03Tvu+h1Yq+ + l+d50zQzzs5lWW63WwidNk2DpwyQ/ixx6o5lbzw9fRJHqwg9DWKfODSvqgr61jMq0R4a7zAgqBxj + TJqm6/V6xvMsOADg1mBeMKAOXQ+B3rqucaVqvh7bT6A7K4P8MORjJwxe6LAid20yVBTCIaLqVDX8 + 2PRfNdq2xdjUONLnMaojHGVvEQe7aRpVJh4XKzkWtVQQfsY4RSc8VHgUGwUTkTfeeAMGxzkXQlDN + 9bNVMrSZVfU5y7K2bTGCYHMwnHW9cWz6e+1qWZZIDYnf3NysVitcr2YWIUbxlWPtCczmYrGQQUoc + n8OwhBCQnVqbQ7Uda1rj6+pIAJcDmH28H3eOwr2gEtI0RbXj1vR5PdhSdJ6jynksGBQSTW1FUUD3 + /Y033njnnXeQO6qL/hKEkHt5gZ+dwYpYEesl8ZKIWG/w3/df8eHt53zlK1/5yle+8pWvJ3h9Of5d + Q01ed/0LXx/PKyGTscGbv/vLv/LZz/5lEcGmVvDGB2+txTa3DBuvzrmRbWKV+cHeIjbssEe5WCxw + hGYHeMxAzoBukBs6UBFCCHm5wHkkztpFJF624XzaWosj26IouO4ihBBCHgUaukVE4IWc5zn8q+Dw + Ckcu+JDhfeycZIxZr9cTNJjx/JKIwJUQWV/2SSQUoOu6vu/h2n6e8mDzyjlXFAWKwXUUIYQQQggh + hBBCCCGEEEIIuctyudQDLDhXv4JhqsixBCMiEkJ4+vSpFzHm9hMRMcf3n/e7XBBjjJFgRb7jOz64 + c5kJImJNYP8khBBCCCGEEEIIuVI0LAPiwMoQqFdEEEc1/oThTQkhV4gGlkGcaDyYIyLGGDwPJYP5 + omwSIYQQQgghhBBCyEOoqsq5BMqU2HKxVsT4pqk//vF/6b/+r/5G03SJdSLemCDhYFyUWNNLpdT8 + AQ1sQgi5ILp7jHDlqnGYJMl2uxWRPM8RhwrqqrBm8KZW6dZp2oRQy9YyIK4XQk5BcjhJkqIoYhHH + VwdIRYoIIsnHAepPirYpZM5lCIz2WEDkNFQauq6IoAOjIzVNAw3Ouq4nxIsbASsHKIPqqY3MFKfu + WA7F09PDI6ir4hOML+990zTobDh4mkvTGuwd7wi+p4Hmuq4ry3Le9RIygjSvBg8cAWq4qn6qqtIT + QH+Deus0IwaB3r7vdRgi3DECHYsIGhRitGfoV48dGNUwICKTm2YWjrW3sDBZlsHQxcfBs6AJdl0X + QsiyDFrF47cAwWBrbVEU0C1GoE6MIGstlg2HtLFnRNWmNa+maaDJgnGkk+k0eeNDdhWpQTvZWrta + rYwxyAiTEXS+J1s2FBtLMl0mwbCg8uX5tjuUTtu2EHQXkTzPq6oSkTRNUUIRQcxVZDeig6M3AvVr + 6MRDMlw/F5G6rmHBji3nsWDCksFxwhhTVRUKuVqtiqKAVxhaZ65MCSEvMVOmqxCkqtt/4V/8l/M8 + 731rxKVperNcBhEjoq8x8efzvkoIYoyzNknTLE2tc0bEh3C6HDVfY601pvceZcjSFGU4ab6HXq0x + 1rk0SVySJM5Bxu0M9aC5oBVckqRJYp1z1l6kHlADWZoaa4P3PgRrDN4f+JYPRkyQWV6tGONsYp1L + kyxJbeJ818+Y/sNfX3/yWvyJWHOu3IOXYIIkWVpkuUsTKwafnDRfKwb32AeP+01dYhOXp9lF6l98 + sIlLXZJkaWLd2epffIjzsonLktSliTP2IvXgjE2yNE8zmzgfgg9BxBhrfe+DiBe58tc+BDEmGGOs + gd3XuzhPGXwI3odgxFjnEte33UXqoW5bmzhjnbEm+OCDD0GCyKnrQazVOkffQVvs7T9nAL8A9afv + sCIKM+5QjNRD730QQT2crf8jR23rYIwY8SFcpP6NMUEkeCPGWOPEGN+LDwFrHgn2RK/BwwJYH0Lw + IkacdS5JutaLEQn2zK++F2ONEYtSoU6CiDWnzdeaBHOsD16CGGusccZa38v+b50Y33bOOX1Q5GzP + imA7CTli7F92bxo7QWqaUA/z2qW9IAvNRfedJ2xC4SzBOYdjDJj7n/zJn/r1/+nv+SBZljRNZ4zp + g3fO9X0nsIQi2KnDjqEe7WDvsm3beNcSh444Kot3KndqiY9NkpNiEheCGGf7vnPDSLFBTBB7spn0 + fT+DW+MgL/dpmp5/4OxQzmIPCSGExGBtputzvMYLMBxqct1FCCGEXBV3f0zF/qOY3/HfeBLfO+Pj + YrhexX/FzowMezjqsAXgsh9/K/4pdw1bcHDA1UA28LKVwZ9VL9v5716wpQYnv67r4FcX33UIIc/z + uq71w/jeuY4ihBBCCCGEEEIIIYQQQgghd7nr2e69P4NLP3lU4IjWioi/7RvGiwTj/vBb3zbGhuBd + 4rq+s8ZOCA7ipRcTxBhrjPVeRJzId334IyIiJog+3yrD84+EEEIIIYQQQggh5CrReBH6Rp3Y8bhB + /AkjnBJCrhMNv6M6BPL8g05xFGlCCCGEEEIIIYQQMo51adcHEXE29aEzViT0JlhrxRr7iX//x7/x + ja//97/8P1gjIsGI7SWIEWtTY0z/vDoX9mxk2LGBnhl0YWWInsoIooSQayDWX8R7RIvCXzX8FD5B + yClV4nyRfDV6laonaoJIH8G74lDwiBX2EKFrbJ4nSYII7Rr1S4ODIeyVROHCjkUDcKHe7g3GdRQa + nssYo6K8cb4j4b+00nBNlmXQJ8Yt4361ieN08D4WH0XtnUGOdAKq0QCZTAjWoqFx16g3rUmdfyHk + rJ1Ke1184IKzFdS/VkKsiaBvZBBxwKsqp2oKh+LUzYVG0qvrOs9zrYokSVTtGLKjWm9aDHQM3CC6 + B5YrsdJBrHegN3tofImIc06VZfWvWg+yb7zrG1yGMa4NhyLJpLjrqiar2tJooEO2SxtdRNB5pmmv + ar0hBfSHkXwPoZWmdlg/gSStDN1JNch1aGsXnZDvscTGEHmVZakqvNrlUDbtS/hvrPpxbL6xQoeq + sz+ktPFlKnoSzzjy/Bh/OGmaqua0aogcKueOdb3X3jrnMMz19iEbHE/Z+n683TUmZDyP6KDWG9fs + DtkZGQYpig3zi3zRyvG0jkx1StJOIkM/QQpt28IUoDLv2hnUp6ZTFAWGg9bqzo1773Ex7LnO1zrY + cVMP+XWGGRkXxBEytRrjk/r49vWCezuVzt1awrg8O8skidZL48kCNb9qUqBuk2XZdrstigI3KJEG + fNu26j2FPqONpQsG7eQyTKDxyip+H0/cMMh3DUJsuCCYrRPTSKXJYAbjLDBAdDGgNYmJBt2Yv8cJ + ITsc/bMHBquqmrZ9W57XEpu/dEfy8OXRLOiPJTXlsPXnyX2HS9V/vJpULt4fsHzBIm9nbXGHeXpL + CLJzx8jzCobFWbFOdPxZqIIGOdeI3MW5i2Udt/v5ewKqHVgrzpm2vWRHNOa2SCiVtY9mXED8L0kM + 5DZ1B/nU+e7IJ+NnobVWf6WcmSzLtAbwiUovnDRf/UljrUUB8NvskHKDOXG/evLkidyRkZjxx9Wh + yCz6s9M5l6Yp6uFs82x8g3izXC73Xnnq+rfWigRrXZK4NM2SxBkcZfcQ1zanezVGkJcx1hhxLnHO + Jkl66nz3viZJaq0ZBkRirUGpuq4/ab7QVccGUZKkqAHkfuBbIsZLsCd6zVzmnHEutVZErIg3xun7 + 0716L8YEY5xzJkmyJLEoA3bnTp373debm9dw78YE/TyE3hh36tytFedS1ADawpjQ9+HYdLquS5Ks + KLK33nrnQx/6E//kn7z9H3/601/8v/5B2/bW2qbpZNg+G5l0QgjL5XK9XusnKrmkx1TYYNVzrJPa + K0L2gnBs3ggVqE6BnuVgZx8HMxzshBBCCCGEEHIv2G/Hjym4VeV5jpNfuDcZY4qiqOt63HV7BCTe + NA384fCTTUPAwK357lnzlYADGmxM1XW9WCzgzoX6QeVst9sdv8y76I2rZ6E6FWRZFvtYq6Y1IYQQ + QgghhBBCCCGEEEIIIYScAn18abVa4SQ3DB74Uw4rzfDYpA9BgjFignzHn/hgliRmT2rGBAmnfgCJ + EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghJ8L4rvVpakTkv/jJ/+zp22//2q/9hjXSBZ+madu1fohO + k6Zp2/bOut7vV0jN83yz2eR5rlKRjBtMCLksMEcQuE2SBKKGlxKfUhD7C++hWKkqkirwjADsh+wn + gmVBsxDxxGJNa1XB3NG6fjixPq7KuG42mxe46efQ+8JkAbUUFf0VERQbYpyIb4bCxDHTILeBlu26 + rq5r6DhC4lGiaPZwqu+6DoKaqFskkiTJFYo+ohuo5CqUKSGxiVtAu+gNqoYrhMZUKbnvexU4xxyt + uqfIaKf+Vfd0sVigC+HrUFRR+WQ5l3gZtF1RG3meI4aeyvRmWQal3jzPx+WZrbV1XasOLgqvitQi + gntURXl0ibvjq+s6lTfWHCdUBTRWEQoPLRUruz8c1fLDG40BeOh61cfRsHvTGlFlX2OB5PNICqpW + scY/PEO+KkaO0YcQheghZVniGphZxGaEyVI5Xv3wWBFxfPftt98uyxK2DuLEI9fDVMbi0BgjiEgZ + j32NUflwFosFZgG8UQ2RQ+VUbd0H2lvIz8vQykgBur9QdILdiyemveiUBwMI2WA0H0SONVwkyglj + ctfOoLm7rlssFhhi4y2IYkNe2lq73W5VA6uqKtyIDGFC0Y0P2RlNByEx48q/i7UWdY5JMEkS2BbN + uigK1Ma4rHUIoSxLVJ0O6hll41XIWRck5/mRiGoviiIOl2qtRW1nWYYOFlfUoXbfq5ujA18GLXPt + vcvl8q233kKvxp2iU6VpihkWVTFNFBUC8yhhURSbzUYHC/qY6uKt1+tDYmSEkFeQo5ebulgqDSSd + AAAgAElEQVS8+E9oEMsqnznK887SeXw5cmp0OX5mdPUvUf1f8Oc0yhA3xHkioUO4d8jx/ddL7SpA + jnfv5yfOOIpFcHYLsSMg3R/3U2tOVLlZtZzPJm5tzG4r+P5isR5uO+Hz0ubn7xiTMUaMSN+Fvuua + Gj/mRc7SjndLYq3pL9eUd4nV00+Ec7czy05Gh/K9rk3c47mi1h1lEDff5dT1v7PC0h546nlWc9GO + Z4xYK9exCj4fZ5vFjiIuFVZi51+JX/b86NpaZAJPnhR97yGUXlXbvvci0rZeT55waDG+mLfWrtdr + 7KtiSw7nBH3fQxbIOffs2TMR0W1ZQshLBg6GQwg4SsHh08h4p0IYIYQQQgghhAB4FMHNCO5xMvg8 + 6efYaZnmXg+HMDhCwe/KGKPek+f0Lp2MuhV675umgVubep9vt1v4cOM36SF3/K7r9HkAdQjD7aN6 + RQS7Ycc6khJCCCGEEEIIIYQQQgghhBBCyDS+9e0/Wjc1fGpDCGJuY9BMOcO1VvrbmE02hO/5nu9J + kuRleOCBEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghzwMhtCxzbdt+7nOf+4M/+IPf/u1/sFyWq/XW + GBPEJ0kiYu/VRg0hvPbaa6vVSqPcMG4wIeSyqB91lmUa2PyCmlxw7Y5jf0GHUgNhqerkiKa1RGqF + IgJxxKZpoMioAcGgojpB01pEvPeIr6W+6JvNJlbjfnFUfRnJogZU/TQOoQalatys6ltDPNJam6Yp + FD2hI4vo97hr59x6vYaiJKYwzRqpQf81y7K5bmouoEee53kIYb1e39zcoEvIIFIrg77mYrGo61q1 + fmVQS4UEALSxESkO0dK0Hg7VPy545513iqLA19GRUJ/aJzXc3EnrATK00N9FW+vtA7yHKPW4bLAO + BNQM5BKQrAzdwxgDPeBD4yuEgCUT9Hfbtp2mZ4eBCX1TFElr/qh00HY6ajA6ICR86Cs6olUMe4KJ + QAo6yh6S7yzsaNtjvKN7nzRfWGx0MGMMtGOhFa2qtLH8rZbn5uZmvV43TTPZDsezAAyCPaQqNKy6 + 1XSozQwhrFYrmBEINnddd6ymtYhsNhtUPhSX1+s1polD5YxF4uUB9jaO5YgvqjCB975tW7QCLNVI + o2MoQfS3aRoEloSqsWpL6yyMQJF77UzXdVDsRgHwp/F2jIXeZTCz+NNdDftDdkbrASXHtw5pWgNY + M7ROvMLRhQG0umO9872JaAeDndQePgve+zfeeOPp06f6X/ScU88j8apPtauxTkAnQXMURaHK33vb + /ZBuTjwF1HWN20Gy2+02z3Ptw9rWZVniep1Qpi1N0Z9RyCzL0Gp4hZoP7nS5XKrBJ4SQo2WtZVhw + x5PcBTWBdgSMz7bnqLcc/xw6Q76HuLaQ35eqjVjmPF5aHS7PPOWMJRXjDnjBZrlIC9y937PJTN5V + FpfD8qun5u79nq05oGh+JWEfUJJYC/aycu/HEhc+5gzlV61WFdK+oKa1Dq64Qs7Qx65Kxhto059Z + 4vdS/XBvjofs+amLo3VujEgwOn2foRXu5nhBTWsjRkyQsPt66no4LCe/vzynLQ2yfn4YwkCdelzs + zGtyoeXWxYmNoc5T03j2rHLO9H2wtsqypG19CIKtauw/4oBEnt/L2wuGAbbV9EPs5FZVFW9xEkJe + SvR8Gjv7sSsDIYQQQgghhJBD4FwVOyd1XRdF0bbtcrmEBx78itq2neyLCVeqpmn0Ezjadl0Hx2WJ + fAfndUOfBfjvyuCPq25tEjnXwlN23EfWOYebVectOC/CHRA/YNXheLvdqg8ZIYQQQgghhBBCCCGE + EEIIIYS8ODsPeeB/3/zmN/Ff51zvezFm+oO20bMcXuR7v/d7zfU830gIIYQQQgghhBBCCCGEEEII + IYQQQgghhJD5gDpj1/XGmO12+yu/8it//kf/7a/+7u8lzhibQP3OGIcIM+NJNU2TZZlG1oaAHJWt + CSGXAuKXKoqsapGXwnuPWFWIza4xryAO6pyLVcPGUX1KxLmCoiGEsWNp1cmalIivhXpDUjMGE4Ps + KBJEpC/oL6r6o+al4anxieqCQ9Paew89ZkSwR+1B2BW3AO1SCFgiQcxTIgIF0xGNz8uCPtD3/c3N + DQLyQ3QzTVNInq/Xaxm0TuOgcOjh6OoI5o/PEYxOVTD31r/2SQh/ot3RBzCUkHiSJAi8dupKUKlO + 7eoyKMWiEhBwT2/2UDqQx5bnRZ0BPtGeo/1h7/iSoTYgIov/TgjlpyKpsE5oo2M1rWUYkrGocBxS + by+qn4pKm6ZFjfRVJvwh+c6C2k8Z6hCqtMemc2w5tYPFOtYhhKZptMN47yG6jMRh0lerlYphH1tI + gO9qfP7xdKC2C/11FAkS1yICMwIDi0R2Ikk+HGNM13XOuTRNV6sVwl0eKudR9ha/OHCNfjFJEgxG + dN2yLFXpeQQ0E8RN1AaibM65uFQwI3vtjBoZjVeJAXtIH8EYo8MBn8BI4r86uUPtGEEpD83jyBd5 + 3WtpVaFZbRTQG4e1QbDQ8aT07haLxWazwfQ6l2BSmqZPnz41xkCkxlrrnDvPj0RVpk+SRJWt8TkM + L1YaWCseand85a5uDsK6yjA3rdfr5XKJWbIsS+SLLofm1hFqjEEPVJH1o9DFnpr0uLEwSV3tCocQ + ckGOlrWGZrDOK5fVcpZBV1v/e7byxDWg8skXrI27M2gs7XzqfC8rbR5zV+b8nGW7K4J7qd3vy7ZG + PBYOCQPPzt6MLqViFi/C4u53tuOQSG/SyOVk7+9ke6sLex3W4miwTJez1OcBGePLGJS9RvQMhdFc + tebBBeUJ36+HYEQkTI/Xsi/xA58b876gslbFRabdQZZ+f9OfWsh4uF8bghcxJoiIEfEi9uSvIRjj + zPOf+yBnyv351yCI7PP+qxErwZ+vNsSLWCPhUHmG1xNjzPuZGHxwFvt8xfMXbEU0TsMw7c7/6t83 + hi9a7OWyXK+3xogYt61wKOLjkwkVtx7Z7o+PV+u61lMWbK7p9ij2kfW3GyHkZaLve10m4cQrz3Ns + 6F+6aIQQQgghhBBy1cQnekVR6JG3DI5H8JHCNeNbNHtRhzz1MBaRuq6bpun7Hq5a6rt2bZrWMjj2 + yVA23W7a8RaVyPt2bzr4PE1TeLSjTpqm0X0tuIvBX5ma1oQQQgghhBBCCCGEEEIIIYSQGdn7vE8w + 8vWvf11EvIjTh6MnP50dPUdpRL7vn/lnvfexF2+gCz8hhBBCCCGEEEIIIYQQQgghhBBCCCGEEPJ4 + Ce97BXZ9lyQJgtJAYOyXfvm/++Rf/A9+7/e+GfpWhmjDdb1N03xEdksD2iAATiyeRwghFwHxtSD6 + 2LYtDN0Fy2OthU5k3/cqi4giZVkGUVIVt1a13btAThXxxDRkFvQ7Y61fFbmccNcq6wj946qqsiyb + Ky50mqYaxwxKk9vttigKVX9U4UmoXB/KF/eooqF6v1AaVvFsBLGHuiTig2VZpnKPqMZZ7msuVGca + Oqxd1yGImf5XolhzuCPoVe8VnmvbFtMx9DtRIXvrH/WgMp9d10EtNY5rF8t5nho0PVpfmwm6sDpG + HhJGD/KiVVUhQSiCq0ox7ne9XqOSMUj3jq88z9u2XS6XGnFOhUWPAsHuVN4Vdglj7dikJJLLje/3 + 0MVoSl2boQzH3gLSR83saMkdlc6xGGNQ85CuV1t3rH07VkEWpgP9QeXMYcPxoUrFa91ut1tMOqhb + jNBjFcTR1ZE7PokniLtgaIvIZrNZLpeQaYfeLcZyLOcxQdMad4qv932v70fKeZS9he71ZrNZLBZp + miJUpnMO34UNf/fdd5fL5XhNwtb1fV/X9Y6wNMqMgYaM5LCdwVSCFLTPjHRyTLuaIL4CBWt8a7PZ + QOe4LEvV8D40j6OGkSCq91DWOwrlWgyE1pTBpIsI9MgP/TRDO0JXe7PZ4MMZl0yYieJ1DsSz50r/ + EGgIdH7ovmsrax/WngxFjEPtvlc3BzWmWqLL5VJ/AquwNJogz3P8abVaLRYLzNfWWlT7sfe1o56O + wYL1HrTntXfpNEcIITJB1hroJATBMBia+Up1BDqNnVnfUaeKnawvKDsq+2rjDNWyN4vL1kNchvvk + veepH2tVZ3SnPLMk/8i42yXOqYCr2U3b9pqFQ/leQob2kl0w3mXQDx+RnKT+iIqR0/fnOJcrkXO+ + yxm6VjyjXbYn32VeQetxdtYbFx/UlyqAkdttoyBWRAKkjMWe4TWICQGjL349U+47r4lLBmtkggQR + G26Vhk+brzVWxIYQovofz/e09irciWk0yDkfOs6ZpzzYqrv4SNzLvmOAU71iQ03mMErr9VZEjLnd + M8VBoIhYa5GLHnqNJIKTG+ycynDKIiJ1XSMRXKCnaNwRI+TlAzv7OHbC6eaIlhghhBBCCCGEEAV7 + O3Akis904GatHlFwpTrWx1GGc422bfeeXMPLFn5jcLKc4ZbmJnanxu9NEamqCi6qcMBSV9GRdGJP + Pnhp64c7O3t4ZOuU90QIIYQQQgghhBBCCCGEEEIIIfK7v/u7zpg+hNuwFN4b46Y8IGCMIG6LsSF4 + I/LRj37UBLGP4/FBQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEHAE05KxNlsvls2froii++7u/++/8 + rZ//xCf/wjtPnyWJW69vxfnujaiv6jYqbjciR0oIIacGtktNEyJuTZAXnRdEBqvrGlLWKssKwQho + ecYSoXfJskylK0WkaZqyLKGYCKuL4FoaUGtCCVWVs2mapmkgMj0jqATn3Gq1urm5gaIwdBlFBMKT + UKBE/C58jrvz3pdlud1uVQwSGkaIutZ1HaRnYw1XyPfiv6h2iLKpfvZVAX1c3BHUjhHbDcK0CB+n + apr4E+S98ddYcyGEAIFYEcEFqtwp++ofogAQblCZXkSTQ6eq6xpvzqBvoqqu8a1B0zqEAIFtDO0d + YdcdnHPb7RbpqEZMmqbQHEUwuuVyKYM+6KHxhVFZ1zV0r7V1jh1iqiSNu1iv13meT14sofwImhcG + MfJDF8erMhU8PjZHFXKOk50sy/1wvPdQIsfgDcODM8dyrC5VkiTr9RoSyCrPDDFylYzFf1GqNE2h + oIGKwp8m2GFrLRKHwnGSJOM1DKVk7czo3rhZnU1gKJ48eQJx96NAh8FtQnkE9X+onMfa2/V6vVwu + F4sFUlP9bK3q+EbG5dhhDWIDhRlNQ0G2bYsGXa/XWZbttTP4BBnhdXywx1Er8aaqKmhaY1pHjjoA + D9kZxMDEX1Hg8UGqPU2eH5uwkzCS6BIjiwr8FXenrTxt/TDCrRrTID1zBk1rEcF4RCfMskxbGQsk + vSweL3vb/ZBuDqyuTtlhUHn33qu5UK06rENubm4wWFCSJEmmSW+gYFrUOAW0NW5Qp/LJdUgIeZk4 + WtYa07DOqbr+u5RZ2bspeYafJYfSv6zK3TWI5sYCnJdln7zfqej7/elfg7z3pdhRMj5n1rrxcQ31 + r1stcvp6UNO3o758qf6wV6Xg7n+vlp3luDbleeSl46a8LPgZGX9ynlLt5HJxufpLEd9vbE8uUg8X + 7JAqJW7kfcV3Y0zvT6tYac2tvP3dklyErn9/MyiuCh9O2x/i9M1tTzQicrj+T3v8j42zuyu9w110 + nvXAsN4zElXCxQ31zqrjDDlG614jQz+c8PurLMvNZmOMMcbKraZ1Z21iTIiPGaCZNJI+rtQ9077v + 8zyvqkqGYw9sqspw/DPlngkhVw+28nEeBr0xbF5dulyEEEIIIYQQctVgNwlOcsYYbKrAj3C5XMKP + UDWtx93yDqWP0zo4h+HYRZ3w1N8XXuBX+FhR27ZwS8WeEipBov1JOJDBb2zcg1CdudXDO0mSzWbj + nIPrJJzGsiyjpjUhhBBCCCGEEEIIIYQQQggh5EQEI8GIF/EiX/qH/9A//4CMRhObhg/eiWTWff/3 + f78xRiSYyz8VRwghhBBCCCGEEEIIIYQQQgghhBBCCCGEkDlB3Jibm9fW63WWJW1bp2n6Az/wA7/w + C7/w4z/+ia7tnZWubY1I37fW2iEs6J7ooIh1k2UZVLtEBAFFz3o/hBAyoNqxIlIUBSKcX1DTOo4D + Bq1i/dNisdhsNpvNZrFYIJjVeDqxvAU0iaFtCZ3jxWKBmFrTbnZHLxb6mrEA5wuCMF8IPX1zc6M5 + QtwRAdPwXgZ1RjSiSj9ut1so8kJ6E3MNagBX7kQ/w580tDVShmw29CBnua8Z0bBmKKrOpBqxXwVl + VfwVMs/OOSjOyqAIizeQ9kTPOVT/GjUO1+ANPn/69OkHPvABFQ6fpsF5LBDjRMdomgb6uLjfJ0+e + PHv2DIrdfd+PaFpLpHYBkdc8z9GZtYPhZlW+fWR8yRDFDoH4VAj2KOIK7Pu+LEu8P1aNQgWGZdAc + hPb5yFcwKNCmKm1+bFPieg1giNTOEGxQFZpVQFqH/0nzraoKqsAA4hoItyiRupCOVi2P6kBPEz2B + nLyIoHpVT/dQSEOo9opI0zRpmkIgGSlAHwRGoG3b9Xo9QX9EWxyJqOUcKedR9lYrGbEf9VVEQggI + F6lhIUcaPYSQZdmzZ8/wHiL0yHcnWc30kJ3BT6Su63a+vjdfVSkOIWy327Isi6LAqMTEhAu6roPg + 8SE7o42IaJn460h7oYTIQgWt8SdklOe5zgXxve+Ajq15aWpzoYE9tRepevSMudwFmtMaOlXnOOis + J0kCMwJNa0yjI+1+VzcHPUfVsnV46tCDYLkMlh+LNLQyrtf/HkWsaS3PD8+qqtDoMow+aloTQpSj + f/PoMjGe488gIz1OrN56HhsXq8ZeXM3ugiW5m+811EYM5vjzyFnd7XtXWBunzuKQkvHZiPvklZTh + bMW4TvXonQni4vPFZLQpTz2O9so53/38bFxKDlDltO++XgRICO9IGhsxpxY5jofMOe3J1YIKv62H + cNsup2NHLtqI2dsTLsJzVXFi4q4eJIQQHlD5XsSe4jWIhCl2aYbcjXEh9HgfgtX31/MawjlycS4N + oQ/BiPjhdUptbDYb50zfh75vRWzXNUmSdV0jItZanPOpRNC96wfsweH6qqrwFT1TlGED9ArlkQgh + L058uAJN6yRJznBcTQghhBBCCCGPHd2Hx0FAVVXYOTHGqB8hXJGm+WLCcUqdz1TEWgYfON29OdsR + /1HEDoV1XasbnzEmTVPIUWvJx92F4UYmItAIhx+niHjvY1dCiXy7CSGEEEIIIYQQQgghhBBCCCHk + dHzta1/zQUTEOdf1twEppvjfPu/nv1gsvvsjH7HWiqcrLyGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + 8rJR1/VisXjrrbegpTqIfvV/5s/86c9//m9/5jN/yXuxVkKQEBDT5mAcYOhKImYL0qGmNSHkgiAY + F2QUq6pSUd5LlSeOA4ZPVFN2s9k455bLpWqIjMh/IvCXBmyHPCTsLQKCQaxRRBDPeYLqAdJM01Tl + Y2eMJ4YAZaoNjJhgKl8NyVhVWY4jqiFevd474ompHKkWG8HwNXC9TkaqKqqCmjLIZl8VGgMN5URR + 0QSQRJWhV0N+Fa0PTet+QAZZWQSdUy1PGa1/XICmR+IInnZzc1NVFXSmz6YUhoJB1wAtqL392bNn + Kikd67nuJVZBRpg46PLidqBgisBxSAcJ7h1fiLOn8grTlBFUE1A7swoAH4XqlGuyWkV7r9d+pfJM + 8dePzVcLfG++c4H+j7Gg93IGe64RBTEiNNIgGi7Pc4nUgmFvYcRQS7FU8FH54nqEi9RIkiOVDPNo + BkQEAx/DB70aBZsWH1IfTYLJvbecE+xtXddZlmklY4SKiHNOVZk1ruNIOqoTDKFiHeyarESK14fs + DIJtQiG4ruuu60Y0rXeqCMEttZ7rulZtaZhWGZ3HZXgW7CGq87pU0GTRUTVlmHEYtxHZezRr3/dd + 12kL3nvLD0f7iYhkWYYp+wx6E7EWmM5oaJeiKPQaqGDUdY1bPtTud3VzVM1aY43GHzrn0Bl0COD2 + ZViQoPtpmg8nFtuGwLYMCwONpBovhAghBEz/2RMvVS8usngpWeWL37hyqZJcTw0c4oKiwlfI6Uto + 5cQKo/eiy7xXvAzXA7YaDv33MXL6gf5c/cSa4KfO+KqAvoOIPPDGT90sQ/rmzoenljk/eRazcPr5 + b/8O6Znn3Wuf5k/G3ht/QG34k71OGxYvmm+QXsxJ7+txvPY+2hY04UVS6702pReRrm/EiATRYwb9 + yalnOfGpDza5JIqfpW90y1tLqidAQsgrAE6DbvfZb8+iLlykk4KhrQP8Cg/4CSHk5Qb7jThSxSe6 + ToPrDM4vVe5x5GSaEEIIIS8I/IFCCPD3it2D1AsNvxnhS7Q3BX0fO3WpLxf8WWO3NnWHil3w1Sey + 6zq4Car7oPpjiUj8+clq5YXQpQucQWVw8Io/kQf4gKqzvj66gM93ftKKCDWtCSGEEEIIIYQQQggh + hBBCCCEzAqfi22gaImIkTdJvfuPr337nbVzQdR28+qcHEDHGiNgQEpE333wTIVoSa2R44CAYkeEh + lCs9GyaEEEIIIYQQQgghUeRiDWWrIar1Go3he7VPARBCXlk03Hn82I5G4o5j/V9Qg4QQQgghhBBC + CCHkMWGieODh/dAoUAMtyzyE3hgxRkLojbFp6v7sn/3hv/JX/tLnPve3oWx9G7TYPKcS4pxLkqSu + a9VOw+4NNqhVsE0idTd1gySEkJMCK9R1HfQsVREzSRJsLMfh0HdkZWOpQo1GFYelUtlLEYH8oYiM + a0DGEat24oBh0zt+Mx6NWY/24oBXMLk7Adu1wLhr/eJ4fC3Y83j7fURmewLx0WQczjSW4dwrx6jN + BPFmNJB+CKVMoN/VvLT15brD2qscaaygKc+rdGk3wyfxjRdFoZKo+t2d+z1U//HFOlNrv0LTIPG7 + Q2Z24rtAZ4DkLf6qnXNHGFWFYOMSQtwXi5NY6UAFgDVuXix6Ks+Pr7ZtsyxToXERqesamseQxVVl + 8fH70kbU4qlOtvZ51VEeXy/FzRrfyF40WmAsYT5B7EyVJmJt7/MMKFWNxX/PpiW3MyLkzv3qINIS + xsr0D+kVd4Fyh6aMzjAiUqvFi0e0tVZVnGFVYnldSFyjbPH7vcSuJgh6qZrTdzOVSfY2DgIJ7ka9 + xoysf1XTFE/WmPd1vO/1h4HitQyzNiTAUcmwM2pjNS73vc9nxSuEOEdkpLEutaX22hn97s4djeQb + z9QaOVyi5YTcp4kuw5JGl0Yi0jRN3HlQq2VZvrgWtU5Y2oXihZZEnS024/EFeF8UBeSc1bjpLewE + EY3jpiqaS9/3y+US7Xuo3VESnftQKrQgGnSnWXdM993KjwcOxibGoP6Ovtf/YW9RdzoVZa0JITvQ + IhBCCCGEkGvmtHvN5PHBp9teSbDNh2M/bC5DLQmbXPikaZp4H5MQQgghhJwB+NbUdZ1lWexVgxVa + lmU4lffe6zEzIYQQQk4EHFXhBto0DZyZ4EgUQqjrGk5j8DWckH5RFJBnVke0JEkgod33PfzI8YwQ + HO/U333H02u+OyaEEEIIIYQQQgghhBBCCCGEEDKGutwjKEYIYbPZfPnLXxYRP0hNTycIAlJaa40Y + L/Kn/9SbxpjUOnOmmD+EEEIIIYQQQgghZDYQwAFPCmgMYmMMosR67zUOMp8LIIRcIc65qqrwTFMc + 8z2EANkAEUnTtOs6KlsTQgghhBBCCCGEnABf13WauU996lOf+MSP66fG3MYTViXIvu/rus7zPJZ6 + hX6kDEHt9L9CTWtCyBkJISyXy1jaEPvM2FhWBWX8CZqOIYSqqkII2+0WIo4AQbpUdDPPcw0FVhQF + NAWTJInVNx8OAohBHFcGL/EJIcXUMtd1jb106FgnSaIa1arxOaJRrcqsSARFmlHT+hCxHidEKEUE + opX3fhFlvld29FGgesboTnHQ/pGv6LdQYzNOtcgddQtBAfT/udI/hHPOOadH22ma7iiV7hBXUZIk + KGFZlnPFzdMwvIgHKMOCBwO/rmscyqMtJoyXtm21hBitPP96pGCwTI4MCRB/Eu+bptkRXH84+hUI + 3KJnInBl13V938PI4/24ncFogueJup2cmq7rcBysiuxaFZjIUMkqZj9hvGNow+Ago7Mppu8Fo16N + DGS2T51pCOGdd95BBW63W1Q7fsGp8YfW8uyLAa1tdEWV8cYaDH9SSy6D4rsMywOUBz9Fcb0qiI/3 + ByyZuq57/fXXm6YJIZRleaiQ3ns0BPyskMKM9hnDCis0VLuu6I5NR4YbbJoGA+Sy/ZkQclWc/Occ + IeRVgsqjhBBCCDkx3NB4JYk3Z40xOCeTYdtLt7ogpAQPlQuWlhBCCCHklQIOZDjGxhuctqqXEtZy + +JMe2RJCCCHkFKj/LuZcKEzDr8s5px5103yGqqqC4yNc6pumQcrqNwaXqa7r4DuLN1mWwX0ZPogM + X0UIIYQQQgghhBBCCCGEEEIIIWdjiIIhIiLWGOeM9//nF3/7/Ste7AhX/fytteL7j3/846H3YqyI + UNmaEEIIIYQQQggh5BGBJwXwdEAsMYIHAxFQG5H6p4XXJ4SQU1NVVVEUMmhXI646nn1umibP87qu + 27bF01VnkNMghBBCCCGEEEIIeYUwXkSKNK3rOs/Ln/rP/9Pf//3f/8IX/n6aurbtsyQVkaa7lQ7V + jRoZBM80Lk2WZdiIhj6ZMaaqKuxRM14NIeQMWGvX6zUsUtu2CJylcolQxMR/oWgIC4Yo6KruqXrJ + aZq+8847RVEgWDricalcNP6bpukEZeW+74uiqKqqbVtEGINC57HAuqr89mq1gslV5cWbm5v1et00 + zXg5YxONIkFwcUal5L1YazW6KeKq1XX9wQ9+8ND1Gg21aRpt2TOU89TghHe73ZZludlsyrJUbc69 + oNFFpCgKbet56wFzetd1TdMsl8u6rjGs5kp/L1COR2dWgeeRfBEcT7VgRaQoirfeeqslgGcAACAA + SURBVGuuuHkwAjiWUmOCQYd8IU4vImoWjkIP8fE+FiEmj4i+7yGTjD4Wm6mj0gkhFEUBQessy3Bs + Om0JDTl2dH6EmsRkh2krlk9GuOlDbLdbLPs1KuYZXE00JCaMAF4xhcUDLc9znCYfO94x0IwxuBKr + gmlT+SwgdxQe5T+1pQXW2rIskVeapui01tpY6RwrAYiaz6WUjPZFv8JKTAYT6pxDRk+ePFmtVjL8 + 6pTBwqtPlIjgc8x6GDW4l0P9AUsjmPTNZuOcs9Zut9uRonZdp5LbyG5G0RzkrjI9MrTChKTwexyO + YXQGI4TsYO+/hBBCCCGEEEIIuRwhBGgviUjbttjFliEMVrx3JrNuzxFCCCGEkIegh8Rw5cGpLQ62 + 8bkGsLhYEQkhhJBXAw0Xru6q8IbP8xxucHrNBPdTaFqLiPceblgiAqcreGLBcdY5hy2aJEngpYQr + 4fJ1Kd87QgghhBBCCCGEEEIIIYQQQgh5BdHgRyICIaIkSb74xS/OmL4ECb3vfZ+55GMf+xjOqalp + TQghhBBCCCGEEPK4wCOBGsNBPzfGrNdrfIJr0jRF0FVCCLkqiqLAk1NQrUaYdRHBexguhLpGNPYL + F5cQQgghhBBCCCHkpcHc7rSoVFhZlj/7sz/75pt/sut6a6Xt2rZrZYgVjEg13nto+GFLB5J+TdN0 + XQfxMASrQUQ7jZlDCCFnAOG58jyH+DGkZ6EY2g0gylas1KixuaCUCZHLoihkkDaEkqJKKuJ1cjCu + qqrUQmpMsGMTwVdU4zlNU6gbGmPKsjTGrFYrWONxYVQVCi3LMq6QY8tzLF3XQTsZIsohhDzPR2Qm + m6ZBs6rCJYQwT13OU4MT3rIsRWSxWIiI936kyfCnPM+rqkINzCU4CrRWUTAc0EzQ1j0Wa22e51gw + oBtDi/fQ9UmSQLJdhXurqirLcq64edq1UAxoK+CICtlBPFUGYdoJt4xa7fseCU7QxiYXB7rLsMbW + WjVT01LTJfRkTWvIP2vnb9s2yzKos2M0IU3vfVVVI+nHwx+DSJM9NbG6M4wbqiI2dHVd44Jjx7ta + FVyJFC4YV1NjjULMW0Rg086Qddd1UIqRQbNchgqHljnO6Nu2nXGKQXZ1XS8WCx04UKvRLvrtb387 + hLBcLtH9dCGXZRl+gcqg5axf0Ts61B/wIB4kutHBxgeX5oUlVp7nsP9z1QN+cSP8OwK/Q1l8QlKq + 3g3dbh0ahBAilLUmhBBCCCGEEHL99H3fdZ1KVmMPDocl2DSs6xp7efOehBFCCCGEkBGwHoNrHd7o + MST8gHGUq67DhBBCCDkp8A2SwdUMrlHGGLgq4pp73W1HEhcR6GTrh4iBjr/CeUsvCyFst1tcjDUD + vHhf/DYJIYQQQgghhBBCCCGEEEIIIYQ8BJwgaxCWtm3feffdr3z1H83ucG9E/rmPfewDr70eHygT + QgghhBBCCCGEkMcCHgCMo95ba/FcwHK5RKhZBIX33uvjCYQQcj00TYMnnRFBW5+c0pDWMjzfBMGk + S5WTEEIIIYQQQggh5DFjd3VtjKqoht63LjHGBBEp8/zv/tIvffjD/3QIkqYuTZ0MgWu6rvMSjLPQ + yZNB9w7qXKoQBqFWbOyEEOAMSQghJ0VVD51zdV0jUhbsTwjhvffeizWbIU+rjtNVVUGRFDqOIoKv + hxBU6xHncRDcVZnPCfYN6UM20hijctTT0imKQmOUqYb3UXHD9JYhKY3KOc8+PEQokde9lYBmlegw + 9OXwe9eqxpEuusSIzLn33lpb13WWZQgYO6/cbJIkGoEWrZMkyRkUl6uqwuA1xmCBca/WO/RoMRLj + VccscfPiSICQvcfggkgqKhzqxWmaTpDF1d7unNMFFUP+PkaSJIl7S13XE8ZjnucY+zKcjaJjH5sO + hHglEv7Ybrcw6ar9obLxI+lrz5coTOV51vNQIIa6M8xd13Uoqjq6lGUpk+JkauRtHc6qJ30RMOFi + Oluv1zIIk58ha1SyikPj/B22NM9zzEdaXXMBCWcReffdd51z6FEqQY1uVpZl13Wr1QpfybLMWgvh + Z9UsDyFkWYbr8zzv+161zw/1h6ZpiqJA+FYsmUbKib9ut9ssy1Aw1UGfBSjyiMhqtVJHr/Ei7QWj + AwliQoRO9lzlJIQ8drgNRwghhBBCCHl02Ae/kpcB7LjpoRT29XRTGO+xdY7NwcuWlpCrIggfqCOE + EHJC4Cq03W6fPXsWnufp06chBJzc45z7DO5EhBBCyKtM3/eYmvGm73tMxHBmqusaf4Wn3QS3b3hc + wfEoyzI4sMJBGbM/HNydc13XwUMLzwutVit4KXHThhBCCCGEEEIIIYQQQgghhBBCzkwcqCuE8KUv + fWm9rUVm8jBG8A4JIvLDP/zDt9ndOY8ORrwRzxNjQgghhBBCCCGEkGsFzwIgBiv2kWR4BAAhaBH8 + d95Yq4QQMiNZluEpZlVfQChtGcKX4xqJBLAJIYQQQgghhBBCyEwEGRTFmqbZbtc3N4vlcvmrv/qr + H/nId7btrcJi13W3anaDuB1e+76/ublBLLtYJlaF0LgvTQg5D9ClhtCviKjQLCQJY51LGCvoCFZV + 1fd9URTyvKIzVBLxRXwCqVEo70IWUYUJj6Lve+ccclTZ7MlAi1pEEFIMEcayLFPlXZwPjuTSdR1q + DJvw8S2fFKgwYtbQGGgj16OqF4sFAqmpoOmpy3lqdJaEmvW9wsYq9QoJTKh9v2AvioEuJlR10c/P + U8kQHIUksEbYGzkP6rqu6zqEy4OuAWpyrrh53vumaVCktm1VCVgGOW2oh45rkI+AgYbyoymnySGT + y6Ki0YjZuFgs5AGK7Hep69paC2Fj6GRjxplQJHR459xqtdLhAPVieIxgfOkkuBdYAFWAxuyA15Oi + Ia8RihOl1SPjuq5Rhu12C+nlY8c7zJpqe4tImqYXjLMNxW40BJoJos6nzhc9tq5rZApDpCZUBp11 + EYH1mytfRDfFQgWTHVYgTdPo0ksGAXVc2TSNzoxoOCRVVVWWZWmabjYbXaSN9AeoX6Oq7+3JzjlY + eFXRnr1RMAyTJMGSBrPJhERkWP4ZY5DCS7AuIoTMBZXeCCGEEELIlWP5j//0n+G/l/rfISuAfbGu + 67BzLSJPnz5VLaWmaVTo+gx704QQQgghJAbCmTi9xnEs/FqKoqjrWkSqqhKRtm2xZiOEEELIiVCP + LjjzwTscrnUhBARnwQaLc+5FtlCMMU3TlGW52Wy6roMfkkpZY9KHUyPWBjc3N9DVvqz7HSGEEEII + IYQQQgghhBBCCCGEvJogKAz4rd/6rXkTvw35ZNyP/MiPiIhvbw+F7cljkRFCCCGEEEIIIYSQOUEg + VwSmR+RZBNNHIFQ8p4A3M4Y1J4SQuUAs9aZp8KBTCOHdd9/FQ1Vd1yEg9Wq1ujfMOiGEEEIIIYQQ + Qgi5DwSI3sV3fZakaZoul8uqqpxz3/d93/czP/Mzr7/+pG176Mm9LzgaAjQdERtntVqJCDalEbLG + WlsUBcLZQXPujDdICHlFwdYxoqAj/jlUDFU1FrGz6rqGNDVCaxZFgaBe+BD2CrLBqpCt+r6I3CVy + q7k47dANqthIBxllWTbZTmqAUNhevEHifd9DyvQhGtWw6iJircUXp5XnKFQqMs/zrusg9T2CMWaz + 2SAUG5qjLMszlPOkoI+pZqeKfR4CrYn+iZBxeZ7PGCcWxzToBhga1to8z+dKfwTvfVEUcZi7ka6r + Z+IiUlVVmqaImjtX3DxjDHpanudpmsICwMjg9Kqu677v27adNl4gaY/nRCBKep5KJvMCDV1jTNd1 + WZZtNpsXMeZYhKvu74R+hZCSkCu+ubmRwcwiTZ0miqIYNxoYXJhKsizDAe4Z/EwweDH0VEu+bVso + m8gQK9ta+95772FoTxjvMJ7b7Ra6xRf8nYLDcTQKfmqhVKfOF50WNsd7X9d1kiSoN7w2TaNKyTOe + y6v0MuYXEYFgDWwg3iNf7WwYESKiKyUVbq/r+tmzZ7oewy3s7Q/oPwjlKkMvGqnnvu+fPn0qImma + Qktb62cWMHejb2PVitlkQlIaLdZaC3Xwl2BdRAiZi3P8nCOEEEIIIYQQQl4EnJHgjLaqKmxq4ywW + G9N62UWLSQghhBDyyoFTWDguiEjf91mWYVWW5zmceyCfeeGCEkIIIS87cOQ1xhRFgbkYztMikue5 + bp7Ax13/+3CQlPoXvvvuu2VZwgELKwGkDCcq+GCpaxecsXTBQAghhBBCCCGEEEIIIYQQQggh5Awk + ziFmipfQed/5/n/7P/73Aw73XuRoV3wjRkQSYxd59uY//6eyNEVwNBHxRkQkDBFarAQrVLomhBBC + CCGEEEIIuV7wACDClcoQtwGveBAghJCmqUrGEkLIVYHAzQhIjajQiLmMYOKQ00DgbDV0hBBCCCGE + EEIIIWQmjIhxzq3X2yxNN5tNkiTed9v16od+6F/5m3/zvymKrGtbeDOKiHVOhqg4KgUHqTDVEvPe + e9+VZdl1zTQ5SUIImQbUAWGLoIaI4OcqipnnOcR6+75XkVrE2sK3oDUICVvI2ULx2jkH9cGqqrz3 + 3nt869gSIjtkDdnpaVqGED+G1Cgig4UQVMQakqga6X2knLhfGHDUjIhA+vEMaODTLMsgS3wIyEA6 + 51arFZqyruvzFPKkoM61d92rIYr21U6uQepmBCk757z30Gw+Q1XjKQZrbVVV1lqcaI9UBcLoNU2D + U/K6riGLPlfcPOgmaE+D/C10Z1EzyBfqv9MkFXCPGMKTEyEXB6MjSZLVauWGx38mpNM0DeJPqk72 + hERUuxdl0GNWDIosyzA0VCr4EKqBreLW51nMp2mKoYf5CxMTKgRTnnMOf9KhfdR4x8iF2SzLEvZt + WnvNRey9A6uCOz01yAVRT/M8x8oEMUhRvS76xTdXpsaY1WoF6y1Rp4IotWo8q/q19x5B0UMIdV1D + bBv/RaNDmRuuUCP9Abemdw1ng5F6ttZqJFgkOG/8Va3SNE1VyXtCPaP3YjmKVuOPbkJIzMXCRmNd + qz+tYXa50iWEEELmAhtJeKP7uef5JUnI3HCJSN4n2p/zD34ljwb9VYg5C6/4EPtukLUWEfxVhkNK + vPLBIUJeQXA4hFcNhEcIIeSc4PBSX9U/Bn/FGwpYEkIIIdNQlzVsj8CNCQ7E6j+k7/UT9TLXEwF1 + 6r17RgBXJDgVqXcyvIvgCIUCqBcXcoTHvAxzvWaNlQDcEPEJLpvdcZkQQgghhBBCCCGEEEIIIYQQ + Qsg4Jkhb1VmeNW0viWu8//+++Y0v/84/DvGDFvqERnyoGz22cc9ZbwhGxAT/53/0R40PoWstwujc + fm0IZHabIL18CSGEEEIIIYQQQh4BO1F345DHCFV8iUIRQsj9aNju+KFmfbIpfhKKEEIIIYQQQggh + hNxPuLuRcifIc3RNGMLgJ84F3xkjaWq6ZvOv/as/9Nf+2k/91b/6X/pBTK7vAvwJQxAxt2nqRvSg + hWl6H6zxaZYH3ztnVMAVOnnY7dHQxIQQMjsqpIXTsZ1wmvF/NejWTgrYkY7NVNM0qqGIMziE/4q1 + upDyuLKg/hVf0dM91d7WWxgJ/KUF0911FBhpqpQY5B5HyhOHJtP4ZoiBBiu9U6rZ0dxxsyMKo3EN + awi1lwAo0eL2IUP7QJlV6EDflR3VqbZt2wm1pKlZa7XDI6KdSufoBcdK1CH8HXqvFg+inqpxjpuS + SKnn3tLuDJZZ4ubpwToqYSdNVDtC/01LX7VXkQKPwB4pWZY1TZOmqUrMTlC23vkKNIanlefuQJDB + YMK8oN+Ojw4UJh7dsTYlPtGpYZqgQCxGgKR0FEOjV7OLx3gchFMtycPHu4bX1t8gL95eL/JzRutQ + 1bunpSNDMFKd9+9NCmXWkmttqJp1XLAJyt+IiSrPTxPafEh8J9Lp3dkK7aW9Kx4U8Q3GQVw1C/SW + eKkQ96uddtc+htIiEc139sUG+gxmQEwl4zLbI6DMXdcVRaG3zNmEEAIuZgvwQ7QsS4nmJNomQggh + ZBastdhOwhtqWhNCXjqoaf1yovuDeqDinMM2VpZlXdeFEOq65rxGCFGMMU3T6LYSjt8uXShCCCGE + EEIImYGqqpxz8BaSIRRU7HyGTRL11Ts2ffXKUj9yuCXBiappGjgbyeBZruLWuEbu830nhBBCCCGE + EEIIIYQQQgghhBByWdI09W3nnOuDd2ny937zf+5FbGKPDgpyAOdMao2I/Fv/xr+ZOIPIVhqbIxgJ + w1G2ETF8xIMQQgghhBBCCCGEEEIIIYQQQgghhBBCCHkpCdZGKtdGRIwX462VH/t3/53PfOY/Qmic + fhC3Hk+s98E5MVZEpCgzhN9RCVhVqaSmNSFkRmBSYKaapkFwrQlajIcIIeR53rZt3/eIsq5itAjA + DlsHReEJiqTOOecc4oMhUNg0hUv1BscrVE4m6IgZYyC7CLVR1Gdd1xOKdAhUJqK0QWBypL3sAL6I + 969gfHutIqhvol1UEhv9B1MtlK3nyhd1DhlODak3IYQ4+hXCj2vxnHMqfQoVA/SKEU1rVSTtui7W + RJhwa8eiYxNqfdM6IeqwKIpYDpkh2R8dEIqGArr24QnzDsQfMRDQ/7uuu1fT/eFoH8NrURRYkx+b + js6zEN6uqkoDXR4FBpHOTSqPjSJhUGuymBpgN0QE4TTbtp32O6JpGnl+2E4of/wVXXVMKAxuXKUZ + cFMX/H2EuoW4DO4oFqU+Kp2u6xaLhYjoYukMQJYbM0vTNHiPOVH29assy2DG9UOVuz4pzrm6rlFL + qB8t5LH3qxNxVVUyrJRmqk5CyKPnTMb3LljWbLdbXTdMWBsRQgghZC/4GZAkCXbo2rbFDyHuKBFC + CLlmsImMPUcVRsLGVl3XmMVwJoS9s4sWlhByLWCzu+s6bHzDD4PrXkIIIYQQQshjpyiKvu/xA6fv + +yzLkiSBxzk86vI8xw4JHG2PdU7tuq4oiqqq8DMKX4d/Nn5SwZFL3cHhKwYneKRwNk8vQgghhBBC + CCGEEEIIIYQQQgghE/DeeyMucV3VZFn2G7/xG/FfEbXiuee67zzkPfLUtxHp+2BF3njy2sc//nGc + NQ9RlvA9xLOgTy8hhBBCCCGEEEIIIYQQQgghhBBCCCGEEPKSs9dZsGmaxeLms5/97Ne//o3f/M3f + cjat20ZkiCd8x0nRWvFejEh/G5NY6qp3zvX9rUYaPpxXa5MQQkSk7/s0TY0xCMklIhD4mCt9Y4za + LmhqQtBUxQg1CBgUSY9NH7okKLMKY0+4BT+gQokIU3ZseUCapu+9995rr72WJIn3Ps/zaensRYOh + qUSxvrkLgqpBFg11UlVVURQzluexoBHnELYuyzJUTpqm0LJBLTnnmqaB4O6Lo0HzYmnzLMsmiLlC + tRfRyLfbbVmWKmqAMmOsiQhC9h0qD8aIikzPeLMjII4f+u23vvWt7/zO79xutyPlPATGOwZmlmV4 + lINypI8O2CLtruiEO8PkIRhj0JFiDeAZ5y/MKXVd53neti06HmSwjkoHQTW1t0Mee0IoS5h6vcG6 + rp1zSZIkSWKthSAxTBwecVJb1zQN5gjM+MfmCyVjvOITqIAdmw5yxxc1QbVFE4qENHGbF7QDWHJg + us+yDFPJhPvC6miz2cA2dl2XZdlmszlRsRVrbVVVaZo651Cr6J+H+hXmLxQSSy/MrRPa8Sh09YIx + iPqZMJmqiDVU6o0xGOPzl5gQ8jhJLigm3batc07VyBaLxXa7PbV5JYQQQl4FML/j5yjehxCO1bQg + hBBCzo/3HvMXfi2KCHYeRQQyTtgc19MXQsgrDo4rcGbWti12mXC2eumiEUIIIYQQQsgLoc5hcJ6G + J5N+UlVVWZa4ctr+v3MOroH4uvrbwdNdPf+wLdM0Ddyq8F11BJzmEUgIIYQQQgghhBBCCCGEEEII + IeTUBCOd9xrk6Ctf/Udf/Z3f8SK+m01n2op4kX/9z/25siz/f/buPUbSL7/v+vec89yqu2fmt7sW + Yh1EyNW7WnB8YWMHIWEkiFg7YCe2WV/WBl8CSECk2NnY3jXhpgglbNbZjW1ld2Ovwdb6j1jCXARW + wPxjICIxSYhQUIKAkDhGXmF7f7/p7npu5xz++HSd3zM93TVTNdXT0z3vl1qtnpqqp57rearq+db3 + 4yzP8+QuGlrxFTYAAAAAAAAAAAAAAAAAAF53dV0/fvxm3a3+zJ/52JtvvvlLv/SXvbcYJ+dczle0 + DE3JmqYaxzkEF2Ou6zBN0TbxdSVysnTdAYBDWa1W6/XaNjG3b7311oMHDw44/dJWXZ3EloGaykEs + PcRKN7A9nkUtwtSi2Tm3R2ync07150rZ1IypAdoek4oxPnz4UKt0HMfDxkir9XTf9+pKrZb1191Z + ne31W7Hir2emtbJUtTUVrao9TedZrSIFqzvnDhjzrH1AYb3awUom6E7Uh1wb2jmn1wOKEdWXJrSJ + dect+8Ol5ODz8/OXk+XpnFPjdO/9w4cP98u0ts3Ob5ssZC0+zdjvKA1ftgmi3jXT2jYnkbIjqTPk + AZPO1WeyaZqzs7OqqjSM7BHnrAdqrhSgW1WVTjE7TedSUnI5eLUGSmK0Brryz3Ec67pW4839Mr/1 + pMMwdF03TdM4jsfHx3tMR8Zx1ICmCe5x/Orh+lsDi226ht6Ksh21Q+qfe8yP916P0rCmSHUlnB52 + hi/JOZcXBtrH1Pe1HJ6X9isz01HmnNNLCz3qpjdByYPXSTyEsN8rxpRSOUs2TaNDco/jEcB9dWs9 + plNKermv8SjGeH5+Ps8zwxMAAC8u51zesJWPgPf7ZAoAgJdJn4Xp0ql+6+RVrl/qQzojNgmAmZnV + da3RQB9860Z9iH+7MwYAAAAALyiEoPqkZd2Yypu898tPRfTOaNf3QaUuyns/DEP5KKYU7JpZzvn8 + /Pzo6EiZ1jHGvu+Pj4/17CqUP9TyAgAAAAAAAAAAAAAOK/janB/GfvXg+Gd+5meGMZqZ85Z3DbZ+ + ukHK5gJ1HcKHPvRtOU7ZB++9UcELAAAAAAAAAAAAAAAAAMDrKXszM7coUnTpwYMHZ/26bVd/9s/+ + yDd90zf/6q/+6jTFlO3KzC/v/TjO3vsYU4mcTMm89yWLNKWkOFJitwAckDKtbdPj6+HDh7bpiH6Q + 6SsoWtmHJdZXgYglB9E5pxjF/RIKNZH1et227X6ZnbZp6RxCUEamchz3a/Jc17X6mGn07rpOXc72 + m7FLNKmU0rve9S4zU9LZlvksadaKbVYL69fwPDJNkxrNaSec53m1Wika/OTk5PT0dL1er1YrRZIf + cOUoZH0ZwJxzvpQt/TzqulYLvpyzpuO9f/TokY4d20RZ6zXDlljr5fPmnI+OjmwTULrnEj4fxSss + n9o5t8d60EhiZsog1x/LXoW4K8oeq813KbD5OcUYlethmxPBYY/f5elJA4gtUn6f3zKZuIzYexx0 + mp8SRlBGLedc13UKrtYQp7ktHTXL6Wzv9ZNS0nsQnUq0RFrzz68crTHGGGPXdfsNPiUHPaW0Wq12 + ffjBaRG0LCVmew9KMtVa1bpSfvMh5/Uqy1dlWhbtLdftV3qU5lO/m6Z588039ziEd1KiebQfLs96 + O01Hod0ppRhjOQe9bi+KAGxR3VbMj4ZgDablpTNtrwEAOIjlZxAl1e+m38MAAPDiymfBy6sg+phM + Fwv1ga8uat7qnAJ4JWisSCktCwJew7IAAAAAAPePyubmeVYxrj4JUe2gba4ClHvud9F/WRdVatlV + pztNk64pHB0dlY9rcs7Hx8cpJdVU6fcLLygAAAAAAAAAAAAA4GZ4N85TVVXDMPz8z/+8bjvgd8qd + 2e/8bb/9n/yKrwwhzP3Ytm2Kce/mIwAAAAAAAAAAAAAAAAAA4D5RbmvTNH1/fnTc/dzP/cUPfOAD + n//8b5rLZs5dGW29aaQ/DEPTNDFOyhizTffREILucNPZkwBeKwoy1N8KxK3rWmHJB5m+mn3Vda0w + 5pLMtQwx9d7HGNUBbNeS7JLjq2xLzfkeuSQaWmOMZ2dnagVvT7Y7e37KkFZIdkqp9DE7CDVASymd + n58rXVXN2RTxex21sDazvu91QjnU/NwhJdW15FibWdu2Z2dnzrmTk5PHjx8rcbZkZ764aZr6vi9H + mXb1vePb1Xhc89b3/fn5uW3SfKuqCiHoJYT2kCsnUg6xpmmGYaiqSqnAN/3SQrucDsyS8r59p72S + xpB5nodhKPGr5DXcRWWX076xa1B0UfYiTUd9KQ8VTaXRVXvsOI5VVaWU9phVHaTOub7vS1vLPWZS + 2cNt22qWVqvVMAw6EWhAOzk5OTs7s81wt8zPVhK8FmTXFpolM0WT0nl2j/6fOi0uX2CUXPOdppNS + cs6VUIZ5ntUydNf5OZTSnlTj0t4x7bbZ5eYNvYq46fG5TF9nGZ1QtH2v3K/KK5xy9PV9/xL6slZV + pb1Fq1fzuWu2upkpVd3M2rbVblNV1fn5Oa1lAYh3t8Q2ZzgzKyfaPV4uAwCAp5WPpfT+UyffPd5O + AADwMo3j2LZtjFEXbs/Pz3XyWn6YpfePuqx72/ML4PaV170qNdM/X8+yAAAAAAD3kkoDm6bp+/7o + 6Kiu63KpXXdo23bv2t9xHFVE5Zzz3uuaQkqp73vbVDdO09S2rWrFVMkUY9SnNKXoHAAAAAAAAAAA + AADwCooxJjNfVf/Zz//nv/r5/887s2fFWl9uGeKevunt+3mzb/ngN3ddd9H1xsxls3TpCbyZt+wt + 0z4SAAAAAAAAAAAAAAAAAID7yyVzKZuVOsKmaaZpMkt1XbdtfXTcffanfuKNN46dmbPs8kWV4qYm + 0adkx8cPYsxmvq7rcRxjzCldBECenJyYmZLSDpjVBwC2iYnNOSsxVzceMIbZzEo+ogJBSxamc65t + 2xBCjFG92feYuKbmnOv7XhmHL5JtqUBK2zQ626/Pc0kt1QQVM7z3LD0thPDgn80tSwAAIABJREFU + wQOdaDTDW6afcx7HsUSkNU2jFX7A+bkT1ESuaZqc8+npqZk558reslqtTk9P3SZ69lCZ1mZWWucp + QLrsrntMahgGRZya2TiOXdeFEJbxooqpHsdxS9xsSqnrOjN7/Phx13VqxPcSYqG1y2ltq49fXdf7 + 7YcxRi2CQoiUFH7QmcWNm+dZ+fG2b7CxOOdKT/4Y4zRNSp4+1HxqKCiB2fM873fw6lE65Snq3jm3 + x3wq8Ng2B1FK6eHDh1pqPcXp6alad+rGMvN935db9ljV5VQ4jqNGyLOzsz3mP6V0dnamuR3H8dLE + n5/3fp7ntOG9v8VMazNzzulE37atRrb9tq9WxTzPGuJK/MTBZ/hp8zyrBateE2p0vW6/0tfolORd + XlrcWMrrE05OTpxzXdfVda0T3x7rRyu267phGDTZ0mAWAMzs1r4GHGPU69ryNlJvbm9rfgAAuE/0 + NkZ/KxzUiPcDALzy9ImVUpTmeT46OtInYimlo6MjndqmadJnkbx/BGBm3vtSE6BrwLqOctvzBQAA + AAAvSp+EqBjXOffo0aP1em2Lyip95q8S2/3KtcunK865aZqGYVAlriqo6rrOOatW23uvyuO6rkv1 + 9jzPXHcAAAAAAAAAAAAAgFeXd1VVrYfhU5/5tDObs/mtF3l37WNxsjr6jm//UI5x7IfVJtxaBb0A + AAAAAAAAAAAAAAAAAOA1l1Jq23Ycx3kevfd1Hd7znt/95370E9cFbznnzs7OlrlcJUJPEZXOuZKT + uiWuEgB2pR5ctokFVeDlYWNinXMqtA4hqLGYxjEF8SpOexiGpmn26KusHGLFHGqyL5LJrebw6j9m + m2ZouxqGQTOTUlLm4gH7yasZ9TiOOjUoKXx7HXtd14pFs02C7GvYP00bQh3+bRO1roZyzjn1uNPt + e2RkbqGsHDWvU9xA27b79Q9v27bskMoQVStypahqt1fO6Pb9VtmlTdOM46g95yXEQocQcs7LZdcO + vOt0tCf3fV9VVYxRc/4a7s93nQ60MnDVdT1N0x7jbXlVrFTaEvNxqPlU9PIyXXi/g0WHqr5zVNe1 + jtY95lMRzrYZWLz3Oo502tKJpgx0GvTKEFSC5Pc77vQUiuU2s6Zp9ph/77220TRNGtDU53PX6ZSp + ldF1uTu9fDopa/2rOeozz8tX0s7cNI1Gab2KOOwp6Tp6EWVmdV2P46hGrFv2K9sstfbqsvg3Sk9U + cnwU/7rHuKHTkNLlc84hBL00uoFZBnAn3drpRC84Qghl6H855wAAAF4H+uxYf+s9wO3ODwAAz09n + sfLpW1VVOpHp1KZrmZzacFeklLx3+m0588nHTVgOEfonQwQAvDS6Hj8Mg21KBGxzbVLFSaVKSYUI + AABA1VG2OVdeqsxTBZXOqqVcSRfW9ajy8OVjn1net/ykZXlRXlPTJXtFVl+6Q6k81j9LnbpueQm1 + UwAAAAAAAAAAAACAZ1JDCu993/dqc5ZSys6y8+M8/29/63//a3/jby57PrlFgvW11c3u8v9dRGJn + c+Ysm/f2nd/xHQ9PTizltqrneY7TbJbNaBMJAAAAAAAAALgdl757tUxEUBfmnPNLiCXA7VJ8i36r + kfd+7bwBAAAAAMDzy86yu6KCUB/X1CHUIUzTkHNMaf7qr/69P/IjH8vZsl30aK2r2pnVodJbeP3W + 5znLBjvqhOO9V3yX/ln63dlLCaQEcF/pE0X9rehZO3RM7NPJXGVY04eZGsReJFOwTHC5OLtSAzS1 + F9PM7Bc/HEJQcXsZsfegDaEZUNdT3eg3yqfBIQT1bStdqcvmW7ZWWybI7jdLr5rlDhNjLOmb18kb + tjmHltvL31rhJXndFmfYsjMse8/as/rNamNN01RWe9maCogt8/M8u0q5j/rmLV82lMk+s/9t2ROU + L6sQ1mc+9QtSHmrp3Ftusc0OWbr8aa6uo0VWpqn3vmzxsr1Ki2DbN5YeL82ykf5yXHp6KNuijH5l + zy+HSblCWg78/eawDALLGKz9prbcgfebgiZS+nOWccAWbw3K8ur+Zc2EEMpAsSslkdvitKLVUjbc + Mvy7/HHpZFTe1JSJlENYY/hydC259Zr/cuPy6aqqGoahqqoXOfUfRBm4NJTtd57VMpZT2wvubzsp + T5RzbppmGcv99H51aYBddpe9UWX3WD71Hod2eUh5H61D6XBzCuBuI+YHAAAAAAAAAADsTNew27bV + VW1dqtdl16qqdLFcf+iK7C3PLgAAt0qVRqoBNTPvvSKlS8XYer3uuq6cVZ1zXdfZJtl676/NtG2b + c9azl3ov7/3R0VGpYj87O2uaJqX0zEpcAAAAAAAAAAAAAMCrZp5n9aE4OjrKOZ+fn5uZ935O0VfV + Jz75yWRm3sxd3bHR2bPSqJ0zdejQfXJu68aSfesHv+V4dZSm2XKufKiqar+eZQAAAAAAAAAAvIhl + Y2vlIqijtP62TY9p23xR6zbnFQAAAAAAAGZf+7Vf+8f/+PeZWQjeFMVnbo7bYsAUX1dVlfe+67rT + 01MzU4TbPM/qrqMATsKtAdwtaWN7kO3LEWMsCcS2SQNdpq4+J/VMW8ayLqN8n19JWzSztm37vrdN + kqjigZVsqsFfyabK5NZ9lBV6j/uq6VJISYdd/n0QTdOUWNZy5WUcR3XSK/30hmF4nhjmcRxjjCGE + EIJO6Epjreta+4a21/bzuPYBvSpQJPZ+iaclAT3G2Pd9yZO+UctdVLulWhHqiCtpu/rfLctV4kj1 + cG3xEv5aFkdrkljrO2e1WpmZ9pNy45Zx2DmnVpPaAdq21QCoV8glO1k71R7jg15shxCWob/7XW/V + a3UzW6/X9nyJ3VfSsvR9r2Fk+0KVvN5pmvTdq7ZtD/jVp5zzNE1N06g1d1lG/Ze2Rdu2asq95Xjs + +14rWdtaG0sDplKWy1lV602hyxo6Tk5OQgjee94HvYiyVzjnhmHQAHu7swQAt4VYawAAAAAAAAAA + sDNdvB+GQRewl+VEuiJe/pimSdUMAAC8tqqq6vteRaWldLuURJvZarVScZhtOqSowFS51yrm26O8 + WxNRXdo4jmUK5+fnqhJWPaJufBXq2gEAAAAAAAAAAAAAOyn9R6qq0gVoFWvVdf0//9Vf/i9/4b/J + ZsHXl/qf+B2/YR6n2cyC895snsZv/vpv+NIv/dL1eu28heBzTi4lU/q1Wd6nNxQAAAAAAAAAAPuo + 61rd/xVmoC9wVVWlHuXDMDjnmqZJKY3jSPtpAAAAAACAG5DMnvzUJftLP/7tH3M5/uHv+e5/9Tu/ + 1WJyzmKKVeW9M2d2ZflhSSed51lpbW+88YbyLJVpqkw7xXnunZAHALei5FDqg+4SaXkrQgjLT9qn + adovjlpLVLKKNVDvsVzqcVrXtSbYdZ3WknKy27Y9Pj4u/dkUxW1m8zyrnD6lpHvu+rx3SAhhnueq + qhSYqlV9qIkv85JLVmvTNLoEU1WVtos615X1/zTNUl3X6/V6HMdpmh4/fhxj1DxrA+Wc9XRbzuM5 + 567rNGNlrvY+76fN1x80zbOzs/2m8/wUwq21p5BabbKUUnmp07btPM8lUPw6Gii0h9tmo5jZOI5a + HAW9K5n1ppcLh/Xrv/7r2ogleV1XOa+7f85ZTaF1n2EYVquVwuO1F52enpYY+GVU9nPSo5S/Xva0 + PeKTtdNqGHn3u9+9Xq/3i9kuza61lkovzevur/WghO/ylavtx9euYozDMJTDrTTz1B86kQ3DsH2l + dV2nMSHGqNzuktitudVob5sL4k3TeO+Pjo5Ktv39PtndNI3AtlmNjx49UqXBbc8XANwOXj4CAAAA + AAAAAICd6ZprKerVH7qerSviMUbFZNZ1vaXMCACA10GMUQVwqoItFeSqzVUNU13XzjmVA+pRqmdS + 9PX2ssLrlNrTZTXbPM86NccYS42a936P8j4AAAAAAAAAAAAAwO0q7V10IVh9u+Z5Huf05370R7NZ + 3dZTnM3MvDO/e/uVnJ05M3NmlffeXO2r7/ujfzROw3C+rkNlKVtMygiJ+Yrr2j6bmbn89P8AAAAA + AAAAAPCi1M/dFoEW8zyP46i+5Pqm89nZmZqt074fAAAAAADg1s3z2DTVD/zAh/+Zr/mnmyaY2TRP + 6ar6Q9HnPPM866MedchRRJxzruSN6Xb65wC4QzRk5ZwfPXpkZuoVdrvJlF3XDcOg/qL6RH2/z9VD + CBq0S07nfvPTtq3OAst2ppqlYRgURaw6doWAqntb6fCmIOH9nvrV55xbr9eKl1b/Ou1CB3wKXX8J + Iah1nraCGtYtZ2Mcxy3xn9oB1EbPFknS2iu895p+VVWKa90ixliynBVVu8d5X1+4CCHEGNV5L+d8 + fHy863R2VVoLKsxewcM60LTt5nlWMu44jlu2o1Lntfa06cdxXK4HbSa1CL7hZcLhrVYrHWLjOIYQ + tH23x1FrjzIzjYF935+fn+ec1+t1zvnk5ET/q7F0V6UTptpN791ZWjutjoI333xztVrN87xHzLZG + D50rtVx1XW+Jt5/nue97DRe2OX3oHHEomrIWsO/7YRgUtj0MQ9/3Gv3sWetfw5HmULH3tog2133U + sPThw4c6rykXvIQxr1arPdYnRFtHO7kqDWyv+HYAuB8oqwIAAAAAAAAAAPtQCU4pKlIxkP4OIag8 + SNf7t5QZAQDwOlDF2zRNwzCYWdM0qlgqNWTOOX1PZhxHFc7q/m3bqtRsHMc9yrtVyFuasKi4Tafs + 5R86g1OOBgAAAAAAAAAAAAB3jrpmhBB0FdjMYoyhrv+7//4X/+v/9i+1TTsMU87ZvAu+tuTM/G7f + Ls8WQtDDYpyd5T/09d/w3i95T3D+5PhIM6DL2SGEa9pCObN72w4MAAAAAAAAAHC7yve2bPOlraZp + mqYJIZTsjaOjIzNT6/Bbnl0AAAAAAIDXzuWqxaZp1ETnk5/85Pve897aOzOrQul7k8w2UY6b8kNF + P6pvj5mpWvLRo0eKczOzYRjonwPgzikD1+c//3nbRAjfIn2E3rat9z7nPE1TznmPmGTnXIyxNFvT + J/Z7hKEqtFiBympnqnZqJSC5rmsFWutcMM+zFmG1Wmlx1Nht1+e9Q9RWThdKtGYOuLxqfFdis1er + VdM03nvFypqZkqF1XWb79Rd1prVFsOs0TSGEs7MzxYiqaW3XdVtiqrUnqF+fJqUdYNflmqZptVrF + GEvWrxLQd53OrvSdCx1QCgnWNSwdZSml5R229Bucpkn7v5Z9nufVaqXU3nJcaIWrXfBNLxcOTvuD + hq9pmrbv5E3TaOfRFlefyTfeeMM5p+ho2+wqTdPssZ/r8NRRn3PW6LpHP8wyCIgaYJbX9jtRDLz3 + Xrv6NE1bxh/19tQf0zRpHg6Y+K4RWN/qMjN9savQ4Fy+87VlPnXPcjqT5XrW/nB8fFwO6uXZ2Tm3 + Xq+3jJ/YrhxlTdOUHq17vP4BgPuBWGsAAAAAAAAAALCzGKOquFSwpfIFM0spKaezlOl47/maNwDg + NZdzHoahrmuVssUYVf2pirpS5aaCP1XLhRCaplneYT993zdNU9f1+fm5TtaqOyzTLLHWOoMDAAAA + AAAAAAAAAO6QEiltZmppdHR0tF6vP/7xj2ezcZ6zmTnbu6eGcy7OczBXueDNjurVH/m3/u08xzzH + qqpyTLrAPU1TSkmzAQAAAAAAAADAyzSOY13X+i6zvrSlFARlb9imU3nXdXyODQAAAAAAcOuyxdOz + t46OuqOj7lOf/vNf/MX/sHM2x20xljFG5bQpvq5EWSvhMufcti3BYwDuIg1oTdM45/q+N7OSGfzy + KXRTs1GiQPejD+fbth3HUZ/e7zE15Z7q77OzM3VjW8Z8qmObzgKlkZqZrdfr0mDtHvdBHcexBJDb + Jgr3gDHGysqd59l7P03Ter3W0y3Dwkvi+JaYW53HzSzGqLtN01TXdUrp+PjYe6+utiVFe8ssla2p + P3QxaI9F0x6i59KcvMje/pzUp9d7PwzDNE3KIdYqrapKQddlxW6PDdZWjjGenJzknNfrtbr+mtkw + DGdnZ7qbVuwNLxYOT4dY27bKQi6RyVfSRVJ9k8jMFDuthyyvn6pf9B77uXbOvu8VqLxer+3JQOXn + pBlYXsy1vc4LwzBorCiDgMb/6+6vIUunDz1dzvmA/TZ15FZVpfFER1wZJPVb/7v9+1aaz3me67rW + QGFmegfUtq3OyHqdoL2iTGq5b9zi64e7ruzSGqgVbr39fAQA9xix1gAAAAAAAAAAYGchBNWpHB8f + O+dWq5Wuc4cQHj58qD904XwYBr7mDQBA+faLiv9SStM0nZyclOo6Fb3pBJpSijGqItDMYozHx8f7 + fXlG5+LHjx+Xcl7VCKrAt9Su2aLODwAAAAAAAAAAAABwV3jv1cxIrVjUcuVzn/vcL/+Nv15XIaYY + QghVlfdt16hrytku+hx98IMf/PIv+zI9yziOOefaB13OfqLNSnaWnZl+AAAAAAAAAAC4KWotXf4Z + Y1TQQvnIWp9pK5bggHEOAAAAAAAAuOCSuUs1in7xc+kWc9keHJ+cnp7mnL/4i7/405/+9Dvf+Q4z + M0tmV9Q6Kuat/B1jVL+7iydfBEAeMKMOAF6CGKNCE+d5rqqqbVszu8WhLMYYQtBH7s45hTvu8bm6 + YnqrqhqGoes6xffuMR2lNdumA5t6uOnD/3EcS3prXdcKOl0+Rcl5vcd9UJumKQ3lSns67UWHopOs + gmP1h9rM2iIyWat9y/bVfqVtoVhWNdbTPqY5199N02zfT8qSauvv15Tv4vsROSthuszkHpPaiXOu + aRqF1CpsWEutb4LEGLX4WrFbYoyrqpqmSXc+PT21TQC8Vk7btsfHx2VxykGEO0THSN/3ZhZC0BC6 + 5f7aytqxFTutHUmDuXaMZ05ku6qqFJeuHPr9pmCbAUqnOYW77zodTaGkDuect/e71omgbdtpmhSt + nXM+bL9N7/08z9oKOq71LM45HYnTNM3z/DwLW2av7/ujo6O6rnUus0370LLyNWVNswR7lwENu9JL + CH07r2macRy11W57vgDgdhBrDQAAAAAAcMf4bD6bWTYzc8ny25/wRBfeamtrWnM+8H06AMBN0vVy + M9NV/HmeU0qqELpUnVNSPAEAeG0ti0RzznVdt22rAtNpmpxzqgxTCZr+XhbJOefOzs72qAjMOavQ + TXV4euplsXUpGlax3QEWFQAAAAAAAAAAAADwEo3jqEvSybILPjv7+//gV/7DP/knvffDHLNZjDHO + s5mp94ouDF/d+vFp2WKM3nlnFuP0jgcPf/AHPtyfneY0Hx8fO+ecc1OK0zQ1q07dWJ6eRnJmZpl4 + awAAAAAAAADADdBXtMq3paqqOjo60te13MbR0ZEa+r9Ix3YAAAAAAAAcRIxxmqbj4+MYp9PTt373 + l/yuT3/6zx8fXxvDqXA4pfqpTHHZJ0ef/+ifTdO8hFhKADiUEIIyLJVTO46j9/4W+3aGEErOqPId + 1Vx0V865cRyV4tn3fckt3nU6iv7VKUBt09SiTZNar9cla1kzrFOAzguKTb2uvv1+iDFqA2lVqyWs + Qm0PRSvTFg1myyYom7WqqtI370q6fKOtk1Lquk5ZzppyySP33peNex2l85rZNE1Kct1jv9JE1J2v + bVvN/EuIP9duqVRatfDV4VYWSv+rfX7LoTfPs3NOrQvNTMm+8zwvc7J1yJQ9BHdL13U64kII4zjq + NHHdnUvSfGkHrQdq99Al1HJ47tFnMqVUVZX2Ov1zv0FV++cwDGVmnhljv2WWzExHgd4gbHn9r6Ns + mqa6rrVaDnue1SJUVaWVr21RNpn+Wde1Dvwty6v/qutao+6jR480mOug1sMVtFze72gsDSFobylj + KfajtVpeSNiTnWAB4LVCrDUAAAAAAMBd4y6ufCR30ejNzFx2wTdvxvgrx4/s6KFl77LLMdn9vZqg + KyW6DlQub3P5BABeGtUt6WprKfBVcGYp7lFwppltKTMCAOA+KZVtqmle3qLST9tU+y3fvKhg2p58 + m6MH6tyq20vNmR5VSnKXM+CcK3fQ/ZdFt/q7pGiXqammlrYsAAAAAAAAAAAAAPDKyuayuezezod2 + lpylug45x+wsmSXvcwgf/uhHf3O9nlJaXJY2yzb058qzzpbyxW1vFxpnMx8215RDMDNnzsycWVvX + 3syZ/dCH/9gXvfHI57mpQpwn55yytF0V5hRjvuh347I5y27zDNnl7KjvBQAAAAAAeEXpKwzqAl8a + f5cmrRcf+GxaHt/qnALAtaqqWn4xqnyxq0gp3WIQCAAAAAAAwD2XveVLXebS9T/mQ52ym+c5hBCC + q+vwJe/5nZ/85CeqxmVnTVOZmTkzZ36TDGdmMcbyCc8yStPMOxdSspQs54uM2HIfM5vnmc+3Abyy + xnHU59t5Y7/plJ6f+wX9FqV92fIq4XKuSu+yLc9S7r/8ZH45qXK7Gqxtp1BPtU0rkdv6LzWjVq82 + LfhyZU7TVNJA76WSuNk0jf7Q5RLvvdvQ7Xs3g53n+dI+WXrllduVy77sqlfa7pU7l8BdzUmJlV3u + ZvasDNGSztv3fV3X2vr77e0lidYWedt7TGdXZUspkb2sEwVR26avrxKvt0xnOVwsX+SUrW+LtGPc + OeWFbozxmc0hlV++bAdtT7a+1B+X+l5eqTx82RJTfaftqZ7/Ohirqiq72TMz1DVK60DWjGkZLz17 + WZzrlBNBCKHc+crhriTEX5q3A/bHvrR1yhB35aItu4kubykPUfa2LY5rDbDzPC83pf7o+942eeG2 + CSA/1HK9hrT29EqjvP18zsdeeiF356SUMqEeABaIkQAAAAAAALhjXDazXAKt06Y/XZrG5uThv/mX + fsFytGpzscTd2y/X5cXn+23b6lIKMWwAAAAAbpGqwaZpappmGIZhGPRdl5TSNE2qDuy6rhQL7vEU + mrhtSs1URlZKrnPO0zTlTU42AAAAAAAAAAAAAODeSE8VyapvxDhPddtWTf347Oynf/Zzv/CLv3jR + +nFHpZVGitE2qUXB3DgOZvZl73vfhz707d67VduM/fqJ2aB6FwAAAAAA4I7T9xFKu1Llv56fn8cY + 53lWL2B64AIAAAAAAAAAXpxy9ZS2OE3T6elbJycn7/+9X/mRj/xQVdk4zc6Z897M0pydu/aj6Zzz + PM9qudN1XYzRmcWYU0oxRn3urSRUpcG9xEUEgOeldspqraw2Yrc9R1fIm8zsruvU8axpmv0SEBU1 + XTI467p+nthI772G8e2xqVVV6YqnOrzVdf1qrs+bNgxD3oSnhhDatk0plUDlm9M0jbas2u7lnL33 + Cm733ivYVedo2zdWVmf2ruumaSp70a4Tqet62dK8xOvuMT8HodczeZO/q6Tel7C98Moqsc0aIVNK + e/SrVGvKcRyVIq99bMt+rjGzJGqrbeaW6WtSKibRsT9N05YhOi/ymzU/9mT4up5do9b247HvewXD + l6fWmwutt5RS27a68RZbcWrRyqbU3C7HPQ3UeZPJXdanYr91H60Nrat5nuu6rut6GIYSwKw/dDd7 + MuQeAIC9vY7voAAAAAAAAO6H7C660SnZOllOKZkzW3XmzMxc8Mn2ucx/J3jvh2EoJQhd143jaGb5 + Grc9vwAAAADuv2W9bNu2qveapsl73zSNqr7Uzkl1z7tOXxV7KvVTqZlzTsWCqsbLOe8xWQAAAAAA + AAAAAADAq85lb9llc9nMkllSaaxzwcyrhvbv/crf/8gPf7TyYb+q2XlOZua9WTbLpuyibDk4F8x9 + /OMfV2/HcRxpkwQAAAAAAHBvlJa46uorMUbnnFriqu24mU3TxPe1AQAAAAAAAAAvTq1ybBFQ+o53 + vONf+Y7v/J7v+i4zc868OcvmvM9b4+jqujZLKc1m1jRN3uS66aNvpccpHlJdgADglXJ2dmZmXdcp + z/KVvRKnxNCUksJE53lW67M9ptM0jfdeSzqOY4xxS1zrOI4hBNXJK6J1mqYt95+m6eTkxBbxqPYK + r9WbozVc2twNw6BVd9PPW3JqS8qsmel7B+ofXnJnba8Yae99iarVJeyu6/b4XoNWxTRNJRp2e9zv + S7BarZQiXFWVWghqt8draBgGMxvHsYy0bdvu3ViyaZp5nnXslHT5K+kFc+ntr7aZW44LvZIPIaxW + q3EcS0nJlpnRPJSU7qZpqqrSA9frtW1qV56Zzaxg+67rzEyx35rh8uZCidF6uu2TujnlEE4pNU2j + pdZ21CIrmVtvW0IIVVVpNAshKNlaK7+E3DdNE2PUSVDbVIU9y4fsEX8OAMDTiLUGAAAAAAC42xRu + nZxVdTtMo02TpZhjNG/RLNp9vn7etu3TV63cNW5vNgEAAAC8LlSfqoLXvu9DCNM0HR8f6y2Jqr6m + aXLO7Vf7NU1T0zTLOumcc9u2esbz83PNg6oSybcGAAAAAAAAAAAAgHtjE2j9hOwsWW66zgX/5uPT + 7/tj33+6HoYU/e5lsyFcPKZ0b0kpObPgXcz5e7/nu973vvcddat5HIdhuMX2LgAAAAAAALgJ+gKC + 31Df23Ec1Us35zzPs1qN3/acAgAAAAAAAADuNoWYeu/neQ4htG07TdNbb72VUvrBH/zBr//6r0vZ + Yoxd1+WUqk3u9dM0BbX6UYK196GqLgogzaxEWZdUVAB4pRwfH5uZ8ik1NsYY6+vHvduins8hhKZp + 1NxMw+zeU9M1x67rSsznlfR0CgQ1M+99Cf29kvf+9PTUFiHKLzird5T3Xs3ozKyqKrWneznXeUss + q5rsac+Z51nnetvs7fas+NsrlZzyuq51pPR9v8f5XTNZ17WC1ZcTvxXOOUWP22Z3Vfr7bc0Pblfb + tgpCVptKtZTco69+CYfOOT/P+UX3UVZ0zvmZR6gOmRijEqnLsX/d/TUm64jTnCi6W7esVitNM+dc + VdX2Z9fdhmHQGaGqKsVj69nVqNM2idfbl+LmaB4UTF5mu2zTvu/L97Z79KRhAAAgAElEQVS0WuZ5 + HsdRg5tmXmOdbTblOI4pJb1sEI3tXdfpzkbfUQDAgfAyFAAAAAAA4I7JzpJTHzpL7uInm5l+t7XV + Ycopm805uvv7+Y++Aq0L1brKcindDQAAAABesmXlX9d1zrmmaVTmpWIy/dY7l/LVl+enL8mo5LRU + jw3DsF6v9W0c2wRd752cDQAAAAAAAAAAAAB4NblsKhzWP7PzZv687807q6qP/ol/93/6K7+czOq2 + m7PtWlCbLJszM4sxX3T+ctbVVU75H/st/8h/8O/9+95sGoauaZumya9fey8AAAAAAID7qrSh15cU + hmHQLd77ZWfhqqr2a5cMAAAAAAAAAMCS8uoURKdcarPUNFVM0zRNH/vYx37f73u/mfV9v1q18zRc + N52UkmLtYow5x5TmEJw+x3bOlfDLGGPJtwOAV4quypU0yhjjarXaI+73pilocxxHRQi3bas0zV2n + 4713ztV17ZxLKSkhe0uftBhj27Z6oJmllEpQ65VSSmpPreub3vtxHF/DPmwppaOjI50i53kuSck3 + /bxa4Tq/13W9Wq3UK2/ZLk/ps/vFtzvnYozeey3RNE3e+z2WqyRhl+TglNItXgefpimlVFVVefWi + /Nrbmh/cupxzSimlNE2TDpb9xjHnXFVVdV3rqAkhbBlC9RTDMOhw0EC9JV5dh7Ae1bbtMpL5SuXV + uHpvzvO8Wq2cc48ePSq5zmbmvS9xztfRqKIhrq5rPbA8SgORZuYW4+E1V4pO0Pud5R8PHjwo99Sq + ds6tVis9SquoaZppmqqq0migVX12dlaGQe0bfd9rVTBoAAAO5d7GGgEAAAAAALxupnlyVbDTU3NW + t3UyC+4+XzvXtTH9fXZ2pnIE1Shc6VZnFgAAAMBroRSIL9+G6M1LjFElYqoMU3nZrtMv1bSleqzU + 1SnxWhVs+jrNwZYKAAAAAAAAAAAAAHDb3JONv7IzM0tmxw9O5pQ/8xd+8j/92c9VVZ3M1kNf796e + KSdz3tS2xTlXh8plm6a58eFnfvqn0zwfr44qH05PT4+Ojuh4AgAAAAAAcG/Uda0vKYQQUkpt26rz + r76ekHMOIajVeNu2fF8bAAAAAAAAAPCCqqpSyqb68yiGra7rqqp8sJzTj//4j7/3vV9iZuv1evuk + FF+nrqQl9U0hfPpAW59+lwhMAHil1HVdkphDCM65Z457t0WzV3JPt2SXbqGhWOO/975t277vt9xf + iaTn5+fKAdXA3nXdlofEGHPO3nt1YHsJWc6vJm0grbeSlHzTT5pS8t7P86z1v16vFT3btq2ydZ1z + fd+/SNCsdhjth13XpZT2W66u69Svzza75S2q6zrnrJTulJLCtrku/9rSTq7kZu3qCrfeY1IhhBij + WmJqP9dosOWp27bVq+gyXF93Z42xmrFhGMqou0Vp0XlycpJzXq/XOefz8/NhGEIISnbX3G6ZyHq9 + 1rHfNI2abTZNM89zCe3Ww8dx1NS2z9LN0RsTnbCqqtKmVMS4Pbli84ZWyMnJSRnAbROPbZtVbWYa + 1TW6np2dabVrDTBuAAAOglhrAAAAAACAu8qb+XzxIy54e3CS5znGi4sTye5t+VS5WBVjPD4+bttW + RWO3O1cAAAAAXmcqfW6aRhW0qidLKc3zfHx8rPpX1cxN06SvxOw6/fI756xqsxij/qiqqqoqNY1S + wfphlw4AAAAAAAAAAAAAcGtc8m9XBXszn8yys7N1/0v/4//wQz/80aqq40WbGT+O8x7PkLPpOnNd + eXPJzFZt++/88Efe9973VFX1hS98wXvf1o0l369vvK0VAAAAAAAAXg71yvfej+OoPuM5Z309QV3F + 1fxd3+De3uYYAAAAAAAAAIBnUu5djFFxfSEEi2keRlNAdcqrtv0Ln/nU7/jt/6h35q9PZ6vrWg18 + No13fPkQO+es1NUQQt/3NCkF8GoqKZUK9dQg9iKhvzckpaQsao23msM9hlYFtao5m3pKd123PXY0 + 53x0dDSOo1qr2Sbz9UolirUkcNsrEFr88un0qhV7fn7etq3ttb32oOZ4KSU96XK3Kank8zzvHYt+ + fHysmF6d3xUJvys99tGjR+UKeFldt6Ikc8cY27YdxzGlxHX511YZFTXWqa3lHvuDXiErUFl/zPO8 + 5ZCJMapn5jRNzjnVkGw5H+m/NGPl/NU0zZb5UQR1zvn09FSLptlTzLyZKVlgGIYty/Wud71La0Zj + ewhBhS5qxemc04yVNxrb19LNKcf1MAw5Z23Ho6OjMkjqf9u21dZRqreZnZ6e5pybpil9SvX74k2T + Wc45paTl1QPNbJ5nxg0AwKG8cm9HAQAAAAAA8Ew+m9OPXfyWnLPNk6uqUHkzy5bD/f38p67r8kVo + XVBxzt3iZWAAAAAA8N6rslmNnNTCSZVtZ2dnqhir61pvZFRruxOV3GniejpNqqoq1dWp1MzMVMR2 + 8AUEAAAAAAAAAAAAANyW5MzMsll2li5+u7/9f/ydb//Qd8ZswzxNcfZVcHv3MsvmnDmzaYppTl1d + f9X7v/J7v/t7LKXKh4fHJ7okPZyfn5ycOBqeAAAAAAAA3CMppaZp1Pe2tNBV2+LSxVtdgG9zLgEA + AAAAAAAAd1+MUWl5OWcl55Ugt/V6bWYPHz78oi/6oo997GNvvPFgSzrbNE11XauBT9vWfT+aWV0H + M6uqqm1bfb6tqLxXMCYWAEIIahrWtu00TTln/fO25+sydVErDZ/VBm2P/s9pw8zqutbfW2JHFeYa + Yzw5OdmM9u2W6ZfIT63JMvO7zuddpx7datbddd3Z2ZlW40t4akW3mlkJptU/tWl0xvfeN02zx/xo + J/TeV1Wlh+uVwK7T0euQvu/VLVBzeIv7SUkCLoG+XJR/zSn7ues6dbNUbvGuSpS1jjt7VrZ9CEFj + so6p5XB9Jf2XogF0PDrnSpbzlfPjnNMrfzOrqirnrDBm51w5CnLO28d5vVnw3i97eGpmNE09RUna + vi1N03jvx3E8Pj7WKg0hnJ+fl6Nbm2MYBrUVVWdRbamyorSM+q31nBf0BkrrvOs6+o4CAA7ltXv7 + BAAAAAAAcNcp0NovfszMmelqqNW1peTMvFn5fV+FEHS9xDmn9LjbniMAAAAA94oq1VT6Vv65/K+U + kgrX6rpWDfTTU1hGTZvZNE1mVmpq9b5GJa36rVIzhVWX6ZT3O/M8xxjLnJQnVU2eKm5tUd0LAAAA + AAAAAAAAAHdaznnZUkQXQu/x9VC1SSp9kUqJ7EXFrAUzP02T9z47/3/93f/nG77pm/sY55yymZlP + c84p2e7rxztv2bwzM/Nmwewf+qJ3fvYnfrKta5ezy2meRm9O3dNeTlsrAAAAAAAAvATLrzNc185Y + t+/XLhkAAAAAAAAAgEuU36bMuRJK53Nqgnc+n50/9t79nt/zpT/2Yz/q/RMVkaql7LojM2/mp+mi + mnEYJjMzZ7pF/XxUgDqOY4nKU9ufkrW5JXsPAF6OEsBckkT3mEipPG/btgyqh43HLoGayhVWxfse + 0ynxxrZY9i1PqkL6knuqYVynD02kpBork3UcRxXeKy3VNiP/a6V8GWEZKb1MPF1e9i331P+WM2b5 + YydKZl3eUrJvy2zoj7Lpyx5bNtkW2h/0EM3wC27f0sz8Vfh+Sln8243jxSsipeS9996XKGjbHLxu + Y/sU5nlejrHP/yUgjbHLf9qTHTKXY3iMUTncz4xVLnnMtjjwc851XatX53Juc87liChzXo73p/+r + 3Kip6ST1csZ/zaqWa/l7mqa2bct5UytHM1l6h1ZVVYbH8v6orKhlBPg0TXoi3edS99EyJy9hefE0 + rfllH1q93yxtbG9x3gBgD8RaAwAAAAAA3EkKt3bZnF0kWz/tfmdaAwAAAMBN894Pw+Ccq6pqHEfv + fUpJZWo552EYvPcqk92vhrvrOtVql8IjVZjp9zzPVVWpFq2ua5WUmZluXCZbH26JAQAAAAAAAAAA + AOBVpEu0ZqZ+Dve4rYMazaitia4mxxhjjOM0+RDMuznFpuuyc//n//13v+4P/IHHZ+f9OGp1OLVx + cZvVtIuUkq49e7PKuVVb/9RPfvYdj95wOXsz99T0MleqAQAAAAAAAAAAAAAAAADAgSh/LoQQQlC/ + nS//8i//kR/5WDZzzkK4yHUzs77vS47plVJKbduqL5CS9pSWV9d1jLFtWzOb51n/9XKWDgBulJKM + NTxqZNueGL0ThaoqO7O0Pttj/AwhKN2z/FOD83X3L1mqus84jhrJlRKq/yqppTHGkoo6jmNVVSrI + 336+eK1o/SgWuqqq8rUF24Rxtm1bcsHHcTzssyu6Vdu06zr98fjx46qqpmlS270D7rTA3aW+l/p7 + HEelR1dVVTLdNbKpZeWW6ZSQ3fV6bZtE6uuUFG0zU1i1bXLf9UQlol6313WtfOUQgoaLEMJ+r6tL + s82+78vgo5PaPM9q8qkFX0Y4X9I0TUpJ40nJ834J43854WqTOef0jqZpmrZtyxnZNkne2mRlfWq1 + 68yoYbCctvT3OI7DMFyKNuf9yytLm6nve73f1PHC9gJw5/CKHAAAAAAA4M5z2Xx+smFcNndVCzkA + AAAAwE7atlXps6rZlDA9z3MIoW1b1eaWXOpd9X2vQtsQgr7oouq6UvU7z7OCtE9PT/VP1dupBE1l + 0wdeYAAAAAAAAAAAAAB4lZReKn3fqw+Hs3ueqKxrwbqUrP4m3vu6bsc5jvPkQojO/a2/87e/4Q/+ + wc//5m+M05gtm3nnS0ua5LY1nLmaNxd8sGTeLOf84z/6Y//4e94brrokne756gcAAAAAAAAAAAAA + AAAAADfHX07JcclcCpVzPqdpnIfe5TgN61Vbf+ADH/j+7/8j2SzlbM5yjqqrLDmmT8hmm6C4YRhs + k+qqOkylXIcQpmkahqGqKiUF3vzyAsDNKjnTCop2zg3DcMBYYkVszvOsgEZNWZGNO9HDNbfq21ZS + VK+kkVyd30IISnXV+K9Z0qRWq5VtvnSgxOuSb3rt+eK11Pd9jFFJtPM8L8Otzayua60r5cseMGG6 + nItjjNoHhmFQAu6DBw+GYajrWoG7B8/SBu6itm3VZNLMmqYpR65etZ6cnCj7Wc0wr5uIXv3qIe9+ + 97vX63VJxd5CKcspJQ255ZtN5YFt24YQYox6Fb1M7dXfuypDt5l1XWdmIYS+7/W/erlum6TtLePS + OI6asWUI936tQXedf+ecVlRZIU3TTNOk3qRatNLFdJ7nrusUU61Tts7auoPWs/637/uUUl3XGpCV + l+y915kRr6xxHLUnxxjruh6G4YDnUwB4ORi2AAAAAAAA7o9LfeKItQYAAACAF6SCMJXZ2aYArqqq + tm1VJlvuU8pzn59KyswspaSSONtEVivQWhVyIQRVUVdVpWI13VOR2JRNAwAAAAAAAAAAALjH1AbF + e1+6FKkfx321zLReLmmy3B0dJbM5pb/6v/zyB7/l2/7B53+tqup00YbRcs5503XF7578nS27nJ2Z + M/sTH/mh3//P/fPHq1WcJk9BMgAAAAAAAAAAAAAAAAAAuGElEC7nXNd127bDMDif//D3fve3fMs3 + No03s3lO3lvOcUspqWL/VIQpOWd18lG8nCau9DhixgDcAxroFHTqvZ+mqW1bJWgeUFVV6numZmj7 + JWuGEJSHrdZq22NQdU81WEsplVRXNWHTVwzMbL1e2yYnWzO5TD/VPMPMlKVamumFEBRurURV/Vfb + ttM0xRgPGAerE65OzbbZf8xMmbtt2+o0bZsIc+A1p4NFx0WMsW3bo6Mj59xqtXLOnZ6e6lDa/r0q + DbC655tvvrlarZRkf939dQzq1XLXdQrSts35pdxtGIYybnvvSyB9VVUln34npcGmhh2NPBqs9EQ6 + EejdwXJOnp5OeSuhfp4a03adnz30fa8nUnfQ1Wql01bTNGUR6rrWF+JKaLc2kAa9nLO+MbdarfRP + TUqbuLQnHYZB5/f7/ZW6+0H7gM5xtz0vALAzPiYDAAAAAAC4Y7Kz7C7fksqN+oOLCwAAAABwCKVA + WbVupdJrHMdSKqT65u0V0tdN3MxUDF1uVFWc/ldlduVuOef1eq07q+BvHMdSpAsAAAAAAAAAAAAA + 9496bJnZPM+vQ3ms9957r+ZQ5apxshyz/cYXvmBV9Vf+2l//xm/6l//e//ur2WxOyfnKXDAzy9ks + mSXLtkcbqeBDysnMPvSt3/Zv/Gv/+oPjYzMLIbicnF38qIb56UpmAAAAAAAAAAAAAAAAAACAnblk + 7qLiUf122q6umzDPo3NZLX/M0p/6U//RV33VV5mZczbPycwWKXVXB+4oyU/TDCFUVaUGQaVH0LKh + EADcacpATSlpZFP2pxI0D0Vpo865ktS4x0ScczFG5WE3TdM0jZJHtz/EzOq61tKpzF7p3UowVY61 + c+4LX/hCzlnzqQRQjfAvJ9b0Togx1nWttNpxHNXCTnHgJycnZjbPc0krP2AcuOJadf5VbLaZpZRK + ULp24D06+AH3zzRN+jKRmZXx7c0339y1/2QJRRbnXFVV5QB/mialMVa5y7pREyn9Nkvusp5Ch63y + m0sQ9U70QIVbl0FeT63xYb1e67lKc87rpqNwaOecsqJfWnPOqqq01U5OTrTtdN4Zx1FzojhqW6yx + uq61Sst9ysJqGbUStBs0TaMTuuKxbdO8FK+gnHPZ/XQMzvO830smALhFvH0CAAAAAAC4k57uB5ec + 5Sv/iw+uAQAAAGAvMUYV3eoPFcXaplxvGAb9r0q69ygbcs6pMHqaJpXlmZn3fhgG1c+ppC+EoLIk + 59xqtXLOnZ6eqgRtWTUIAAAAAAAAAAAAAPePrqvO81xabyh9+b5S20Rdp9b1aDWj8d4fnZz85E/9 + J3/oG7/xrfV5Njt58HBOMaVkm6vVTsxsx+vXziyn2IT6X/wXPvAf/+k/XXlvKcdpmoaRa9IAAAAA + AAAAAAAAAAAAAOCmlSY/IYRxHIdhUD+fk5OTL7z5G5/4xI98xVf8E6U48sk4t8uZO4oTU+Zf27Zq + 2qNcMU2/pFkTCwfgfui6zjZjWt/3JdfzUJQ2apvoze1Z1NunY2Zt247jWNI9t9x/GeGpyGr1eSvZ + 1RrYu647OjpSoKn3fpqmYRiUplwGfGjlK922ruu+7xWUa2anp6e2aGd3EyHTmvgwDIoz996Xrnra + cIqt1bkbeG3VdT3Pc/liUAl1rqqqaRolQNumDeaWoVhfRyqx02Xi191fU1OLy/KQaZo0bujI1fwo + nF5Hq1602yaoXqnbO8k5t22rgTrGOI6jmnCWoWC1WpVhXMt+nZOTE03BzOZ5LuHcN00jatkcMcaU + klbjOI4lX7zrurI5dJIys7ICNR5qrc7z7L3XnXXKU4dS/d33/fb1gFtUjpezszPdUlUVrWIB3DnE + WgMAAAAAANwxyV38LOOrs374jBoAAAAADkeVQCrvK1V9pXt40zQqqtPvFynjds6N47harc7Pz+d5 + VuVfKbqdpqmqqlKGa2YnJyfK1VYB4qGWFwAAAAAAAAAAAABeNc65lNJ6vZ7nt3tK3eO2DqXZlpl5 + 79Wlse/7YRo//OEP/+BHPzLG2czqqn7r8enb3xN3yVwyM5f3/Op4Uzfvf//7P/vZz9Z1XVXV2dlZ + zvno6Ojpe2ZHxTIAAAAAAAAAAAAAAAAAADgk1U+O4+icq5vQtFXTNFVVTdNQ1/U73/nOT33qU7/1 + t/4W7+2ZmXnKhwsh1HU9DMPJyYktOggpME9tfLbHqQLAneC9Pzs7CyEojbjrur1jp6+kAVONzhQa + vd/gmXNW7ukwDF3XKcy4VM5faZqmpmmUaapsSP1u2zbGWEKy1+t1SRKNMdZ13bbtPM9N09zj7x3s + KqUUY9Q6TylpP6mqqvS1q+u67EWHfd7j4+OSYq5E85SSdgBb5IAqGfeATw3cRToKNO6tViszc87N + 86zXtxr6ll872jKRtm1t88K4ZFRveYjuqeO0aRq9YNak9F/e+7feequu63K0Nk0zDIM6c+7RDzOE + oIfr7KBOnm3bLodu5XyXpOcr1XV9enqqdqCabNd1u87MHlJKWle2Gcp0fsw5e+81z3rH0fd9WZO6 + pxbKOac3LJqavkRW/tb36VJKJci86zr6jr6yQggppbZty1nPzA77kgwAXgJirQEAAAAAAO6J5XXy + fN1/AAAAAACemwrCnHNd16nCT8VtZta2rWrszEylzOWfz0+TUlFvzvnNN99crVaqFFSNXSmSVlW3 + blF9knK1dbcDLjIAAAAAAAAAAAAAHJDLb//ecwrOpZSGYUgX/7QXmNjbSjDz03+84DR3ms7T60fX + phUdnZ2NKT5en3/+N37j677+X/rMT/+08z6aZbNhntRh0cpPtpxztpzNueerHnabH2/2T73//T// + c3/RYpr7tTe3atq2bvq+32FhAAAAAAAAAAAAgFdGcmZmzixkc9mb+eRS9DH5aE7XHktPZm/m3ZMd + ejaX0pK5lFwyq8wq3XI/Gvm47F32ZmYuXfxcvsfFspcfW/7cTe45fi/uffViag8ov9/eIZ65Zpzu + npzd1RV4O3bf99xVP1fe4QX4J39s2ea9bOjFU/jklj9PLZqZyz5kH7KFnJybzCnuwuto3Ryzy2d8 + XmU2Ls/WxWGuGy8me2eGuFsclK4fM+/g8LjYo1wyF81dnCjfHvy3PerKnxeYh0u2rGeXzEwHlGm/ + XezPL3yA36D9xp+njmJvVum1TfIx+otD+JVd6ldHcsufJ17elAHy+tV4xa5eprM5Xp64z5WvGp/z + 3JRcSs6iL4P25pUbcN8pYlPdfpTfFmNM05xSqut6Gvs33nj4E5/5zIOHx/M8h+DM0uLnCQqEizEq + sPP09FQ3qkSzaZp5nhUUR8wYgHtAgZcppZLoWdf1Acc39T0reaia+PZE1Ss558Zx1Ajc973G5C2x + 0wr7HMdR91GMq9q1DcNQIrEViRpCUOS2QlJtE9FKrHURQsg5l5VpZsqmDSForSpwWmt1e/ztTpxz + Z2dnyw2hvVQbVG30vPdt22qugNeZ8qE15JZhvByYVVWVF7S2dfzURIZhKMeyjrUtT13uqezkcRxT + SmqDaWYaY1NKmo7GDfXeVAp1SWveiVK6QwjTNC1HJ01KzTkVGr099l6v+bV+ysj/El7ne+9Xq9U0 + TU3TaM61IDopaymUDm5m+l2WQu9EhmEYx1GNRnVnneM0PpcU8+UaoO/oK0vHnc5uOueqi+xtzxcA + 7IaPoQEAAAAAAO4Yny9+lpy9faPL5szyIfrrAQCwRc5Zl0sv0Y26D4VcAIBXjYrzSoWWbjGzZbHd + 8kSm4q2na/tUuLy8pSgV2HqsSvHMrGkanRn1tZblE2mWVHikOzv1H98U4JY5MTPdzW0cdv0AAAAA + AAAAAAAAwEG4bC6bX/y+7kfyRQHschLJLKnh1K/92q9d3C1bXdf5BbKtLxoZppSzOedtkyFtZmYp + O/2U+z7vT3aWzMrv8pMsL/9ZnqsKLsXJ5Ri8uRydpeC8WjJlZ76qcwjn85yq8HP/1X/x1V/zNX/5 + f/2bs7M+p2imZOucY87R9JO0Qnw2n8wll8275Qz6KugWNYqpgnNmwcybNc79/q/5Z3/uZz8XYqxT + WtW1txy8izGGEPLblct+uWUBAAAAAABw/+jrgctbypcpxnG0TZtdAHillNyLMmRpKCvfwNKXs3LO + ijK6vTnFy7D8vt7y79ubI9yaVK7KpVRF71OdLMwhz9WY3VhVbhrm4KpoOTlLySy5YK72bs6zBWvq + 2qZo41g7l9zkgsvW+Nw0LlQpW5z9Hf9qp8ve5+Czd5aclSuQZuaDq5xZtmiWk8vZ5ehS8jn7mFxM + Lm9+SoLjU0Hfb6eQbs89vZEf58LVt2fvsvfZewteCcHKBc0XXZvKb1fiUS0v81PL8uaLx3n9zuaT + M2daW7lEVj8dYOxy9ha9Td6ie2L9PL2F0m1G9t6IxZI+vXRPLONFDmhyF/fUitVF8s2+d5Hqukli + 9sn57MNFtFVKlfO1mZvnkFKVc7Ds8+ZBzgXvg4VgwWdvyVnOlrO+Gx5CUIlCztmSK2HSVQjBX+xd + 2s7JfHZBP0mR1ZqN7Cxnb9rWel4z8+nian5IFjb7TzLLZtEsjnEys9qqKvo6+tpS5c7NzlOeg6t9 + DnnMIdXBqhSd99Uy61prLT0RQq+j8u09P1iw5JJL5nNyFpNF02ukmFzMLiXns4VkwWXvsz2Zn334 + nycTvt/ejptleSIm9mKvyX5zeCZn6eIIXfwsF3+xy93Ej+U8pzTNOSmIPJqLFrM3jaXO3l6B18dC + 36YSBW1mF/NpPjnLfo6un22d3ZhcjDZlP/la2+LK7bV57JM/O4/b+fL+vBgW8pP7dkouh2BmMaU4 + pRjN5Yv9NmmgyM6yeZ+9z69ixrMz07xdPuOUHf6plGUfLKfZollyrgq+CsMcs/kUg+Xg6zT5PlU+ + +pCjVTm8gkv96tC5Y/Y+OUs+ZaeXN9ksu4uhO/ucNHTrWN700XJm3lu4GFRdlbNzLljwpgf4GKtk + lcsuxFzNycV8MfJn71wVss/mUrZoObqUQ7I6hcpVObvsg5lPc85zDOYq551GdZ9nn2avUd27HHwO + JFvjflkEUW8OQcveu2oc5uDrnJx3VU4XrXXynHNMFlPX1r/rd/y2T37i413rU7wYT6vKm1moq1A1 + Zt75ysyU7apnWH6yrVQ8M1O5Zun2AwC3RfGHtrkq94JZwvo8vESQHmIG37act0uDp9qgPbMZWvmo + dpleXNKpy4f8+kNLob91h6eTXBUaugx/1Yy1bat/lmH/virXcLWKdMrTqist8nSj1p662C3b2S17 + 5S2782mCl7ZpeVRJT7fn2Pqa1HIrl4s1JX99jyxtfZLz/Pcvyi63nOe7dR2h7Nja1UvrwnIgzPO8 + HFhwh5Qrm7YYaZWPa5stq+Tj7UNuVVV585lnmVq5dnapkWbZ/31libkAACAASURBVMsxrv9adrks + Yb2KbVaM9HL6msilmdfibJlVxT9fvOZfHJjK5Nbt8zyrk2eJhb40gmk2zs//f/bePdiy7K7v+/5+ + a+3Huefe7p6Hpmf0GKSREAhJBoQxNnKCY1KUC1KpmFTKOCExYFPlf0glBqmS2PkjZYNBrpDY/Gcc + RMBJTMqFnDIWCQLxkgaBRkgjCUmj0bw0z55HT3ffex57r7V+v/zxO2f16Z7uO33vTHff7v596tap + c8/ZZ5+1916Pffb67d9nXp9vjjKvF3Wd9ceFldxet97YMJkxNrrfzR7GemwrpKqavrqW3J5Y0669 + nG1vTV7qvO5sJp6tjesQe7seo/p4Narigdg8g7IKedFJV+0Tbqxx0HGcq4pfg3Ycx3Ecx3Ecx3Ec + x7lJWGWI88u/juM4juM4juM4l2G5XFp8W43csmC1usAwDDWw5hDhRBb4FWOs2mxmLqXYTSzjOFok + GQCLJNM1tgw8x5PjOI7jOI7jOI7jOI7jOI7jOI7jOM6F5Jybpnnqqadg6WwZOWccLhnHOsjWbq6+ + XNoFUpAeJnMEbzyeX9tlijoMQ9MEIhrHkYjatrUEFgItqos0CtOzL5z6W3/nx/7bD3zwzGzvvPJ7 + ldT2SsoCABxC7DoRgSqHIAICSlllOQ3Af/43fujX/s//oyGKABNIAamz2R6X7DiO4ziO4ziO4ziO + c6tQkwLb3Q2WZtdyDYtI27bL5bJmB3Ycxzk6MLOlVq/3iFmm75QSM5vK2l5R1ddd4+E4ztGH1yZU + AEoigEBtCpKZSxoAiTEScV5mUiYKqeQhLWMbptPpMAyaVRUCGkpOJQsLws0kD1Bd2zQBADzmAuVA + DICVGByooZVGkVcaZyUGePUKs17oZj4vXJRr/yeSVUv9q6+vbuZVVV1PBjMzr5zTm4/myIUyQJbN + iUywt9rSVYqntQZb1rULACmRroy8m5u/ggGASSPUx6NXwZyv2HTcrl4nPm9cBtY651KSaokxdl1n + Cp9UhEIsirKSIkWiAI2SNY1WEZSIOICZFSilDHlIKWXN5gvhSGSNnWkc8phSKSvBWAghMoi1pKFI + Ii0r3SICcySOAhKwaWmtmAwhBauy6jogwRZgIY5No0wiIqKyZmU3URXSdto3XchaQKqqpDhQVnlV + JVaQKumqqKIlZ6vGq2Vsr5JgJY2+in8rCezG32ZjsSJdGIDBSoCyAmrPL2y2cj6K4hr0P2hCF7mN + xCRFVWvJzRWtqw7hovIcJZQBrAzKEFiLUrByAEcKDdpITaMdZZahWNe3Oi5qTzaO1/k/sUcAB9qf + a9bPV+191RmvNafrb1HKWQCOHPo2Nk0TAhMR6ToWaL062uxDbmQIhQgoNGkny/meSJ5OJyJKEgO1 + y3ki4ZRSZNaSmnAzbPJVhVZ1uCZs3DyBoY3WK4Aor3RZ9kPSumVzdzFURCRlLcJCpEwZecwMmJq6 + CUys0JIljXkQiJDyGlVNJY9DDtxS4UCxbdsYY87jWEYKaucVdtpg5yFKKqR605yBOs7BiTF2sWnb + 9vQLL47j8nv/yvd86J/8LAhEIELO0vdtybnk3E+m+8Q9VmMcAGYex/E16mMdx3FeI5Z2zK5yN01j + 83SH6JrsSngN/7Y1XIMuzrrcvu9rUrVDa4bteh2A5XJpa7vInH3R97Ztq6p934tIzvnWnMRMKbVt + W0oxuaw9ARBCGMex6zpLbWcJ8favD9W9ulmRqkm3Htacs62neqkBqOp0ut/4uw/2dSZpNv3tcrnc + Z/nNiexSSinlEPXczgQmk8mmvnRzi444dgeKHethGEIINiNWj7K9W42517u8zuuDHXd7LiLWwPfp + ck0+HULIOVsTsxpSpzb29vZs/jTnXPXVVZtty5jn3pJ2WvOvdc9SaIpIjNEKZpWwNiWrllg388uV + s5QymUzM9GwJQm1EwHrCN+e8vb1tKyGiGGPVQtugacbrq13/6863EWcYBsssetD12LVlk3nbbtnb + 2/OfJNcdOxaLxWLT+36IU5rqaK//Nk1zHccXazW1MVrjtcaytbVVF9gUtDuO4wC4FX9ZOY7jOI7j + OI7jOI7jOI7jOI7jOI7jOLcgfd9bHKqFvq1v7soxRgusqYF6Fo130EignHPf98vl0oLw7OObeZ0s + oMcC5kyhbcE9NXTp1gyPdhzHcRzHcRzHcRzHcRzHcRzHcRzn5mOVRxhQWj2+CpYudDNdiTIIMXIS + ef75521tzFzyYXIl0Hrd9ki0yjcKMo/1+YXofCkOkFyJLrNsTUhxUSqWtuvGcZz0/YTavXMzyqXr + ujEnCqvUx7/yy7/ycx/60PMvn15l6q07cJ0k/SIUuCBXsyI2TU5JShGb+1YwSIG2jZqLihLw9/7r + n/jABz5QUoohrI7AupzutHYcx3Ecx3Ecx3Ecx7kVsJsazPlqtz/YdaHN2yIMv17kOM7RxHqwUopl + ZldVy1dud5DZnWL2ri3sZmvHuZUQgAXMYEAYhRQMJsQxl2kMgQpEgs1mimy1W6oQVY2UJAlKAJiC + omNqc5SCPLAwB4iSZj6astIDsXaaCkHNDqvmeg6BQilFChhRiEUkNrrhH11tuynD13PBm3OYQrg+ + GfB1Pcm7nqhV2Jw1r1SQ9bR2PZF7qeOoHEyfCxHdXELC6tMCgBRKAkAIheJqP1BVugLn55EZGiEM + Kw0B0CqUvTXYd0tfoZ41jbpSxqqCMSlBIysDFAAhKKlQVioE2WrbcRzHNISmYY4aoeASWApUlQqI + iIkIITAURTULic3EMzNFgoLBUgSEggKFma9ZIcRt2xQUVRVkhr2lALo2KCkpRMV01QIWIvD5u8UJ + woqgOSrIKiRIiQtxoVhASghcqEhiZbZPdkQxAKOI8iAsC5lBchNCGyYljYQAXEEYRt3BWhSiWlTA + RCE0UQlaWFRYCFRAIBO6CpEQQJeISngd2fx1eYkvIgIpEVkrI4AETGTP1x8hsVAKO4zrFTMBRPly + IRyvB5yXRBSJCpCJNDCDuaxt9iAwshUmiNXkI9TSrcMHQGT9pQnXAxBRiBGBCA0BDRGppqQjNVQg + RKDzPaeRLly3XvJovhrFPO3WefL5A3fRqggAKwt4LVjJWnKmAqARUdC6dwVIhMBgJQgx6xHa/69E + se4Dz49amxtfxnE57ac5hzLkadfsLc4AxyN3zKELHckdrCVJaSCJZMgLMB9Rn/oRgBWEbMJ0RYSd + /GB19iCr/SYgUSqgLEAWUg7MUlAgiZWY0QQQBVYlMGkgtSA0qIqURBCwshIRFcpkcmoVURVVImZC + 4MAUAiJSoCIimaJyy23XD7JcpkXgniU2EqBs5SmcAJAS3xS+dsc5BKo6DMNkMjl27JhJ9b7/+7// + 2Wef/dl//L8A6Pt2uRyn0+lsNlsuZvusx6yfJvmrts7qf3Ucx7n2mNgSgF3ltt7pEFpBS2iGtVXU + urVrI3tu29Y8xOZXrundDrQS23C7gN/3vb24z9Rk3/cpJQD21XYVqPqJbx2apkkpNU2zXC77vjcn + dN/3i8ViMpnUeRPTau5fH+ytuoAl6GNmE8falHHXdWZytRdNng0ghDCbzQ5x3CeTyWKxwFpWDSCl + VCvAK6k22a7rqrb2EFPY9nWWANCqTTWC3xAQUdM0Zi4vpZiT2PZGztkyK5psGIDVkOtdZOd1oEqU + 27Ydx3EYBmx0/q/Esmta9RjHsRqj7Tkzb29v1yXt0azVdV61epdFpG3blJI9AbBYLGKMTdNYS7T+ + v55Xq6q1bnPlWk91uXKGEKwfAGCS+3Ec27ZdLBbWG5g2e2traz6fm/G6Zge1J7UruKr13zZcVWOM + KaWu6yaTySHG6/oRK3NKaXt7+xYcv44aduJkQVM2nNkAeoj11HHTfmzauHwVinxF2PlVbQXDMIQQ + LOmudQ4AarP1IArHcSo3zGmx4ziO4ziO4ziO4ziO4ziO4ziO4ziO47wWLFIWgJmkLeinvrJcLieT + iS1pUc4HXb/F9daPW3hcjVu1G2OwDpiuGVLsszXq99qEgzuO4ziO4ziO4ziO4ziO4ziO4ziO41wD + LI3ylSRTvqwWOvAwWzz33HORMQhKOXy2WQJ4nVqdsVL0XCIPLlZZxK88B/QrC6+r9MrrfzfyJa0S + jnCgwOdm86Zpjt1xW05pGIZuspWlfOL++//7v/8P/uyhr8QY27ZZjIkYm1l2L1mulY1bzy9Rv7Tt + OlKISE4JQB4zAbcf2/6Zf/TTP/if/PVI3HaNlkyuJnIcx3Ecx3Ecx3Ecx7n1sJsaLKFqzf9bc8db + smNLRgy/38FxnCOJ5VW3a+/WR1licQCWeLo6im4sLYHjOK8R1pVEV8FCABWCBGElDtwKkqpKlq4J + RJpkkFjO4Ix0pXRxluegoee4HHj7+Amdq4gIjRJzDI2KQku4CYSCtgkahKAQgNeTmywiUBAQORAF + QkNBx7LY+LAIwaYodT0dLBfqnBmyVpxeh0ciqwLrKVAFGGDGxmQrqWL19oVHUxlY+artNmNZTcIK + K6rQer0SAMJg1VCIQQJlUF69RWrFEQIrCoPUZq2hdOBbmG8l2KqjmOKWBICCTWVsB4gVwiXAqirn + cQxEse0o8JhlLEkB5thudVmSllxQAlRISLUgNz1SSUmKSGZmCiCiAqVVHIGSqIgQhRhjE9rT82Wk + GIgJIKEgTMoMziVrIVI2DTQxEVmPw2LxCWoWVSG1oosAtFL3MkDQSBCRQkrCiEyZGAUFpKHolpwZ + Xmh3GuGkZWgozBbU0bQpx0I5kJGFLTyiiAg0AgCRBKCokDJ0VecLIIKsq5T1V6WFWtOzBiVkomJg + FWVBq1iLC1rlqm8R8LrzWdtfzj/KqiGvZPNXsf8h4Rh6ZiYaRAUQJS1CWohCUAIoi66iU8w+K+su + 5Whwwb5d9+UMQFUFAWApVFRDUFABaxa9oPwkFg9DGxEmDLGOTghQa8FXuFchdkB1s3AKWIcJrFzX + 6wOvoNCRCqsqSmQhohgCCRVlKOh8UeXi7v0IoLX60urfV4NibJdjHnLpdlj6s3x8tlvOiHYhT2el + 62LbIKY8QEI36cc0HMGtPjoQhHU1iFszJVrVNnPSr8d6AFAhArb7yZiK5BxiDF2jWkpJGUUhIAKJ + iEgBgNhEbkE8Zh2S2byIVZUpMkfmoBIgAZkggQSkTBIbdIEpRBTImEcZi7LG0LENNMIw+TzERkOo + G4acW5fN1D2mb2wnWz/6oz966rkXPvzhf7kcRgCz2UpoTcx6GcNcNZNtb2/biR/WF8kdx3GuC2bc + tEdzglbR74GwOT5dX+swk3G9VH71MF+jSRzNaV171wNh5d9MxWZS0ssZJZfLZQjBrvzb7EBKiYhu + tSmAamw1vTEAc2eabLVKOrGWVl5uPa/MfVcd1XYITIE5DIO9bm+VUkx0fegbEExbOwyDfUvTNG3b + 7rM2qxK2yYewjVZsV9TTANvqG0j/LCLjODKziY1tc6zp2eGzzIq2sGtKbxpMpQyg6uStmV8uVeam + PrkuZpJpbDR2c+5Wp3JVUNvyNjZZlbNmYi1l0+hsK7eaVq3PNpBZ5UwpWTbOfbbOxpGc8ziOk8kk + pVTTgVrZ5vM51rlD66qskFaAa1D/d3d3d3Z2rBeqw99BzdYXDW02Uteezble2HG0ymZO6xoudaD1 + 2DlY0zS1ndZst1ej2FdSnk1juvUhAKxJWtls+LAx0fXqjuMYt9ZvKsdxHMdxHMdxHMdxHMdxHMdx + HMdxHOeWpQYib4aQWnYSZt4MSm6aJud80HjZGodnEZ/jOFrgzma4kqrO5/OtrS1zWpdSlsvldDq1 + b7dw6tdrex3HcRzHcRzHcRzHcRzHcRzHcRzHca4XV+6Exjrr90VyaFtDGnPTdX/6uQezJedUNE2T + cjpoeWjD+LxyVxMxM1RJwTY7vM4+L3SJ8uwPX7iw1Fz5uk6va1mYbeKYMF8uY4zbx6bL5fL0y2e2 + j+0MpTzwwGf+4U//oz/8o/sVpKAhZwGYuIjUleBSCWjlwm2EIsS2pAyAmcflQOsPWpb0++59yz/7 + X//pv/f+96c0RubFbG/S9RdNkdeE3QfYC47jOI7jOI7jOI7jOM6NhqVVDSGYFATrxPEAtre39/b2 + sE4Lvlgsau5gx3Gco0O9TUxV7R4uSwydc2bmmn76onvHHMe5FbA5tcJkTllWCYoC0sIxtKpSJLVN + N2ouXGRn8Ttf+vVPPXT/mbRoJqHr43B6906684f/2t8+2X8zD02SOcdA2lHhOHIT44h8lDSlB4Wh + rQCqDE1AVgLAZBKIcRRNMTJRznlRlAkhxriSPgIA6LyydKVr3TCJbs698losek0f1R6VAAGxail5 + JFKiUPPy02qbaUMrygBIRUkKi64njM9LrNfzwnSBfhUCkMSoVgBZeWwpQ0lIQQqVwjaHzIDSuk6+ + nof0iHNFjeWVM+FMev74KKFQAZSUWBGEgEg2LR+oEHIuJReONJn2hXXU4Ww+V2KiNqWYxrJ3bnHm + 7Oz07vLs6dmLi3G5WM7mi0VKKZcx55wl2flDjDFyaNu277e2tra6pr99+84T09vu3HnD8cltPXa6 + 0ofUR+2CMlFkrE46VHPWZVEI9dBAqkERFCwAWEkyhcKAshADQsotEhSFuBAASqTQVEiKhsLLzzz0 + 23/whY8tu+XAcyl7HZoudX/+vn//r733h1hOVD3uRfuQL57kZzATNyEmQFQihJFbqDKTkiqRMAAG + kWJTm3pVWqhC2FTzCjIN/drvS8orrTVVuzEzQCp8wcbauxdJixkACAJWikJXq4dR5pSFpTAlqJAK + EQUmcCgIgJjUWRSh9h5HyQbCCrHIEVo3zPUh0ajMomCRUiQTZwojqUA7Mhn66igwQRRY9571RQAg + sKCGqFzB/lzvnFVcjT1f9bTrcaTG3SiDUJTIWhYzOIFJM6usNwrmNYcQhFhh9vSrtkMPwbo/3CjU + K1ruBQNTL0Hbu+jxM1/41V//uTw9vYslNQ3n9jjf/a1vfP9ffd/392GLShzAy0Jd5Et1Dg4AKK0q + HymzrnoYJSkMcBYWQAVgIdYQSksKybmJqoyxLJYp5VCoE2k0h5RpGHSxGPbOLl4+u3dmNtsd8t6o + S0Um4iZO+qbv43TSbE/C9htuu7ulrS5sNTyJ0rEELgAKx7IcFgLp2hjRlCVi6fqwNeZRWEpIhQUo + AIIwKalry51bGCKqF7GHYZhOp1mEmT/4wQ8+88wzv/XbHwcgBSFQKcJEl5b7ASGEGOMwDHt7ezFG + j5B0HOe6Yxe37XeRuQ8Xi0W1il45pZS+71NKImLOwoOKNg9NvQgPoGkaEbmcY3UfSin2Wbvgb130 + /m7FOiOgqvbxW7BLt52Gteq1vl7nTerkr0k6L7eeGOPmNMpsNuv7vi5v3lZTxtIaEWmaZhgGm1l+ + VWftJen7frlcYu3XtNJW2+7lilqfVw/uQb93Mx9g1XneQE7ZTbl4tepueknt2Nkx3Udn7txYdF1n + WTFr32i93+WW36wh1XOPDQO6rarv+/p6bZJm863t2v41rOHHGDermXUytp4zZ85sb2/b1VKzTTPz + Pv2DbYIVsuu6YRhqkexSbd0Ke9c6fFuzbYJV+6td/0VkZ2enert3dnZwqKHWgnPMSW/lt1Slr1c5 + ncNhVUVErEbZSHeI8aV+0BqLDcfX0RVt2zIMg50cWtBXLc+m8N4Wvl7ldBznqOGRVY7jOI7jOI7j + OI7jOI7jOI7jOI7jOM4tgYXXWKzPOI419LYqpTeXPFx4zWZ8bQjBnlsy9JSSBe5sbW3VEB9VnU6n + ImIxfPb4mjfUcRzHcRzHcRzHcRzHcRzHcRzHcRznBuOSTmslKFEI/MADDwhAIEDLobJ7b84AKyBQ + Va25a81jzfq6ZbOtK1FVJTDInNYCtbzbbd+nUnYX87ZtJaff+OhHf+mX//ff/t2PM8iKxyFKKQqN + bVOG4QrzWFSzdckZlmQqFwLaEEvJAFriv/hd3/nh/+2X7jl58vSLL544dkxyOb5zzBKjXLCq65c7 + w3Ecx3Ecx3Ecx3Ecx7lmmA6EmS2hsCUlt9fNaY216HoymeyfRtxxHOe6UFNC10Tny+Wy73vrr+we + rpqv2fsxx7mFIAEUJGozgABISSkoJy1N01A2d7FmzZjKGZz6+Jf/3dPpMW3b2d6sy7GLze7eqfu/ + 8vEf/PNvjRJJCAoUQQIXDkzMOLCi58igBOGLZ13rjC3HACrUKDhLKaUwURDNNp26WsMFaxMAIFm/ + KwCz8Npaimv8qKpAqfcIEwkITWO1YOWxsHuIV47qKsfd2Cq61KyxrmeWV35VPb87iPLK5E2y/iwD + EtT02RC1SfC62905ug9SNowjpjpG3fkQBgohKEiFFYVk1KIB0qgglyiLkHbHs2eGM8+89OSZ+YvP + nn7m+TNPn9l7aZlnRXMJqcRUkEUEJGRKahL7TYQCpPNyLCKK0tAYGzQtJl3YOj654423f8O9d731 + rmP3fMPdb4vaNhKDMCmzmPGiDSpQpqrXZZPssgJi5l1lkDBWtSUATCQqJWdlDYGVMbbDV049+Oi5 + L8ptMjZLoiFq4CGc3HtL4YPpzXLOSiVhREMUoFqUSggkJEJSrKDEQGZKgMC001etnQqEVECsFgWy + 1loLWAkCUirrBlUEICqsF+mQKxfJaQjQQpvN7XV+FBD1RYuw5igIAhUQNDKrosDM3AwIdC0wviKt + +7WGVapvW0kEyDIKKXFEE1VVdAQNHJgLsVi/turdBKKAbHRopAwypXdRbDqwX21/rg/WaqeBAWEw + gELWFRNo3XsTK4C2JSk6ZJWcS9KCIEQgAtWuW0lJL9mRHxWusGxKnIqMGBNmv/9n/9/Xx6+WybnU + YZERCaf2nsLT/J3v+4sAEzUplW5rImk8WhrvI4Wy1XaGAKD1OM5qJ3VqNVOJiyqIQGWUvaYBIhLl + Esd5mX39hcefeP6xrz//2On5Sy+ce35vPKeUY8vUKFiKDCIZYJLISqRtlDZqS7nZaY7ftn3nydve + 9MY73nTPXW86efvdO9OdF3fn052tRpvFoCG1fZhQodm5WdObUW81jtC6tHTFlcdxbj5MxllKCSFM + JpPZbNb0E7PK/fzP//zf+tEffeCBzwIoRW2xy62nlFJKsSxAqmpXjVzf5TjOdWQ2m02nU5MK147u + oE5rADHGcRxDCHbB3Kb8roHO1jK52Q9qVbWSV8/ugagTlNZRm770cgube7Vt2+pMrfncbimswmza + oMdxjDE2TWP/2lhpi+2/qqqwjTFOp9Pq8qz7tgo+zVYLwA63Ha9xHA9x3E2gC8DS9JlPdDKZ7N8E + qsq36nUPZx7F2udt++cGqkKbKmvrOuzoWDMkomq4twPqZuubA2vUi8Xi+PHjZ8+etXpbozteiYnq + x3Hsuq6UknO+6Lw3pdS27XK5vP322wGo6mKxALC9vT2bzbDhuK1jk9Uuayk1beYwDMxsYwERbW1t + 9X1vq7JYlH1OzgFYT2Wyauv25/O52XbrlK6I2BdZlk5zYNuadQ3WKUavUv2vsTREZClMsZ6kPuiq + NjvYmnrU56+vOzVFrQnRq0n9QCuxzjmEMJ1O7cQMa2f2dcHOBq05tG07mUyGYajBFfZo46ClybXE + vI7jOD4mOY7jOI7jOI7jOI7jOI7jOI7jOI7jOLcQFoLWtu1isbjjjjuWy6UF29WgWAskOly4ao2v + tZtYRMQC+2xVNY6n6zoLjIsxWlCa2awtVPpVg4Adx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3FuUK4ka+w6 + ayhBwU34/Be/+PLuOQU4BJIi+6Y1uew6AQJknd+zlJIFAaSkvBJmQyyB+6oAB8hUSBsJ3C/aEAXA + ZN+gQBEl1SKacu63pi+9/PxHPvKRX/nVX33oqw8BXNbpSAUggoD6ydZysTDjNlbZ0S+b4HyzxG0b + 85ggqtCGA0QZOLY1/e8++IEf+5EfkVzKMJy84869vb22CbvnzrTd5Mq313Ecx3Ecx3Ecx3Ecx7n5 + sLTXRGRZvO22i3EcN7Mb+80OjuMcQex2rVKKOa3rEwCWq92SjFuOdc8J7ji3FARRBCGwMsAkwsRC + AES1qBZmVmUh5Lj44mOfPpufz2EIURpGppFpTE35ytc/f+Zbnr+T2y40kqiIMHFgiJSLVao3GFlX + nt0MUkJV8CJnjTEUlkWZpbJAx9y3AMdE4fx85NqYSWaoPf98hbKEWOd9ryXVYLEqFBERBaKSRVVJ + Te4SADBFJaiAwSszLq0OKik3uvINr4TK9cnavwsg1+0jIQwEZRMwKQBmBWmsa7BlLzRb77MZN3b1 + OgCX2lIhUcpKYOFV+1UAxFABlHMhAVSQGVIUygWNlKYsZe/UuWcfPfXw15776tNnHj8znJ7LLFMq + ECUBM3XEyspKMRGy/bxRLYoiImauJwIziInDSnEkRRuKqjLHuXPy8rPzJx9aPNg+17bUywL33nnf + u7/hvd949zffvf3GnfbERLeCBowC5EJSWPO6aZAiSAzCvLL4spIUFoaEIkG5aC4qTC04zGg5z2ee + OPWQTBbLZtyTxIppDP1Ou1sWhVErkpAA1tFdvCMBmCT42PZkNp4dsAsmjQwm4QZgQVaWQhCCrvZz + BgBtBVfr1x9DyXzPykpSFa1CYLVHFsIFHQiV88Jj0ydXLt5wXm/81ep/hEuKQymlly5iQjnIUkrO + zKAQCbQuEimpIq9LflQQEgWDsli1sZAZZZC0fRjKcpQhcMuBoVmREVjAEjY7LlkdqY3ebENKDYUc + YP+TKmVQxlqMDRJZH9YNPfPqlUJYlNRyc6ztoxAhQJWaGNDJSCAhtbpDSiCIHj0JsFoFXm3jq9YN + oUDdcTyXHv/ck/fjBHYTEMARqUBocerc048+8/D73vgGTVrKUKRQHdScV7AK7mJVLaSFKQMMjQCC + sPUcSgISZcqExEvZHs7R3gtnT33tiS9/6dEvPv3yE/OyhvzmEwAAIABJREFUW9pBmjJg0K5gGriJ + I5Byzilttx1JAAikoiIyG2UOcKC4Ky88s/zanz1N4enYt5O+7ydh+y+97y+/7c533LvztgYTLgTq + whTaIckAgJRjiYCARElW4u1b5yTBcS5EBH3fLZdnU0rT6bRt28hUiiAgNvwL//Sf/vAP//Cjj35d + FU1kKeVy3X8IwX4s2PWiUoqbrR3Hub5Mp1MAy+XSPIL2O/QQ1DxpqmqrujaTepv65Ne4KjPy2qqY + OYSwjwzVdMjjOKqqFcC8rbfaVGaVMVsiu00duJm/zXorIvs7m0spNf1dSsn8slXtaR+su9fkl33f + D8NQX8Ha5XlQLE1fdWZXWewlMT0nEZmq1gpwiCSBAOyDJvq1mnajOK0B1GJXGbmd4dj+scOHtarc + T3JuGqx7nEwmzz777NbWlkV37HN8VdW8ztYnWD23rJjWbVqrNwW1NXazRO/t7QGonvucs502m8C+ + bdsaVWI+5s0uwoq0WCysL7J1mlL3ckW1JmxKXSueNXNVNfG2ldzquWX4tHVaD2AZPrHuH65e/Tf1 + r63cBiwr80HXY93mfD7f2tqqH/f566OAmdStoZk3/aBOawBWda32Yi20vo7nJ9aEa3sXkWPHjllb + sxLWcpqv/boU0nGcI4gPS47jOI7jOI7jOI7jOI7jOI7jOI7jOM4tgarWuBl7klKy8DgLPrPwtdcS + rhpjtPhaIkopjePYNI0FTAOw5zUa20JjLSbPXrHH13ObHcdxHMdxHMdxHMdxHMdxHMdxHMdxrgeW + KPaixyvBUhNbYlkh5Jx/7w9+3yZzc8kcQpHDaK2BVaZwAaAoIkUFxIFQCKRg3fhqW5r0kltxycfL + bYiYBGj11aqEoppVf/O3Pvbr/8+/+Z3f+tg8jYEoA4AEDiKiIBByziG2y8UCAIcgxQq+2g7deLwk + aRwZCEQKiBQGvvPb3/dz//hn3/VN33ji2PE8Dsv5ggVNiAHoug62LetsaJZK45D72XEcx3Ecx3Ec + x3Ecx7mhsFSqOWe7ycJSEgOw/L92pciStx46mb7jOM7VwyQHljndRBd2f5aIWGp1bKgdbkGthePc + 8igAIQQFwKTMQAxFypAEXeykEDW0oLMPPvTHBQMAHXPft/M0FAFvxaeff/KpFx9pj/W39/egsEI5 + khKXUg7jaTlKCK1voVVi81/a9CAzoszy7lOnv/bS8Ky2UppWUm40XGA1Pa/MlFe8YtB11C7aXKfd + PszMgTgyExEphxBiaJumaZouUJhu77DCCk9WYCVWRGGqztHVkwBlc/ICbOLqja0uWjWx4M3dYhPl + bFPG648o3eg16BqgSiIwde76cJAAUrgoDyUMyqNwKpwe/vpDDz/5la889uWXZs+VbszdMNBsgYF6 + SACtvLYBJZj+WWQkEiKu+mOKROuYhqIA1Kb6VQGMgsAUNBQJIiEL8iCAoGv7RxZ7Tzz41fbTW7fx + XfedfMd73/7n7nvTO49NtoNEBQkiEFkCaTRb81oPf/F0fAARSAVckCTt5pdeTE+enp/SY4OG3Hdg + 4WGRA8t8mB+o/ijn5+dPn9p98sXh6TEsS9Qs4BQaDoQMUjXVqwZWDmqu+kavphZ3pbVeF7A64/mC + vbL6+SmkSnKRD/7CmA2+MCKFWa9i/1O4jLwIHO/o7nnj9M23h9sphlioaWIqAuVCsL0nKGA5apJM + VggJFLzqnYKChSTH4fmzT780PzUbdjUCHFMZCSmEQGLnzxcIooXAulKnr3f+OuDkQJWHBChCcr7f + JGHd7EXXKmKsVNBFcefk5Fv7tx5v7yBuimjJJSAxr1I0kGIlyYaQXlL6fgMhxDIbX/78I3+8Ry+f + S7N2m+eDhIIQ0HQ0H89+7iuffvc9387STtquJPELN/vAECFVoLCQclEwhNbuvaBcSJWkcMo8FC7L + OP/kl373y088+MQzT2QeuS9DtxxpwT0GWdgZgSokAQATxTYuykiiRMQMYVFWQMC0LMqMQKxKJLpQ + pRKa0v76HzzRpel9J97xnd/03e95y7eVMFJumJvAkSQGQa3DYicPN3Z9dpzXRIxxPp/HGCeTyXw+ + DyGYi8vkfCdPnvzFX/zFH/qhv/nSS6eHYT+3nC1vF73tcndVcjqO41wvhmHo+96em0nxECsxv2ld + g/WQ16B/M5tySsn6Vcufdrj5RJOq2nV+W88+C9sFH/OnxhhtcvMW7M9FpAplbcLXHJxEZObvmlhv + f2dznVix+WJbz2ZaPKxVrGZyVdXlcmkrN/fn5QTkr4rVVaxT/+3jtK4L29G3J4drL9iYGa+JBw+3 + nutCndOvQt8qA04pNU1jh8/eNZWpc3NgB30ymWCj278cqmpqagAmgbaa0zSNZcI0db01efsXawmu + 5c8033zV9AKw2diaJLNWMKtytnKsU33WJ/u7gW1J2xarxnW7bG3Vxm0v2vyvncOXNViLsa9e/bec + pTa2Wk9oGu+Drsca79bWlpW5usBvrF7opsTOZ5qmsTp5uHHNWoqdHZkJvq7wumBtCkAdPcdxtN7D + Tp+wMRDXhR3HcTwdtuM4juM4juM4juM4jnPzcMSiuB3HcRzHcRzHuRZYxJsFJVuUzGZ41nK57Pu+ + qqaxDkrGOo5tMxl3jSJ61XAii2O2OLwavIt1YLcVwML46vfWDwKoYXk13tdecae14ziO4ziO4ziO + 4ziO4ziO4ziO4zg3ByLSNE1JmZgihzGnwKv8HaUUm1et+QEBlFL6vp8vFwC6rl8OAzetqArhF//F + L+UiBCKbzCVwCJIPliSCAqsIiAhUVN7+rm9+59vf/p53fct3vO9973nPe9777vfcdtttKGIlJFYt + QqBAVFQCCMwQteeyTkPOQFFlhdD5xBM1s5ISSilKK8ZxfOLJr3/iE5/4zd/8zU9/5oEze/Oqps66 + yvUrUmBpgJUBKuuEXFIKCMQMQEVUAYIqOJ7fD8xQARGYSYo2TCJaSu45MPNP/eRP/vjf/ju3Hd8p + KS9nc4K2sVEUBkTOy7E9ENdxHMdxHMdxHMdxHOeWpd4igQ0LYH1y6Bz0juM41wBLSl5vy7IndvtY + Tb5s93B5TnDHuQVRUlKBMpQJUBJiCSxSuCihbRdIT5957LFTD0krLbdcGp1xH0gIy7FMJvx7n/nY + N/319+YFAvWgVFhGKaFlOqw05SggBEUEmJRYQSgAzLnb9e3Z9AJNh3/1f/3Sbjg1C+cGVgkUNxy/ + UIWubtc12fCm4HmV9B8FR8vcXDbEt1ZUYmFVihzatm/bdtJOJpPJ1ta0j1u39bdNumM7051jWye2 + u+2tdnsSdjqeUIpBGsoxSKvCLGR3LRNzSmBFbJmJcs6iGkIgClJURJQCISgBYPtAzqP5KqqHCRfe + j3yTo+crjM2ziyQzeWhRKDeRlVUoF3N6CTGYbI495NQsz+jzj7/00Ge/9sdfeuILS52VkLQRua2A + slJWRiAogQAIlAAt4FLWFVXBJKxYRSwwEa1uOQeAEEmVREUVFIgoC7KSCERFlEAMMEZd5jgGGoZ2 + Pi+7z51+/I9f+v2mNN9637vfde+3vPPe9x6Lb6B5R7LVIAZqcykZylRMoR25UeWUkqBpJ12ez2PT + gUOmGU3Spx/8JE2yciZFzNAkrbYNYb7YZUbBSlhlomGLwdic8beapCRjHJbTc//uk//6y89/dhH2 + pEchYW21CPLAAQBUiKhp0QaFiBSWq6jG3XSykqy11qIELcLM9lOUdPWbtGjmSBeVx+I0NBcEDiBT + Yq83n0kj6VVsSqJEqTmOk//Z9/4X773n23a2jqfdgpIZRKSlZDAVEY6IbTtfzFo+WqegQQBY7WFB + KKw5Dotu/uTpr/7ax355HnYHTtQEIQGLFgmKQAywSBaoHSOB1h51tbcvPrJXih1oO6ZERAQFKWQd + UCTrgylEgYXb3J3kez/4N/6ncSiKqEJoIpSQAazWY7JtprIqzFEyAa/t3QAuULmrUt/3u7tnu67j + ABFJKTVtkJKbIA9+6dMLmfF0Mh/mHQXkQgItCG356uMPnlucaWirLV2LTlAu0sA7m7AkJQhaAWsI + gGZJfQykrEoli060TNKTLz/8x1/++Bee/NxL6fkcUtmBkgiJkgpBVUARChaw2dMBEi6kOYhEAbAK + 5yIAIFVmG4+EFAQQgVVUckqzrudH51/6yh9+/rbJ3e9751/4C+/47m+4/b4yDyRxazLNQ2Ewl9Bo + J0U0qpLknC1QzULv4BFfzq3B2vQm1UJt50IN0ziOcRLf9OZ7fuEX/tmP//iPnzu3IAIRV8umaVZT + SvZvlc9t5hoys1eV9tWsRK71chznamNaYnM50+a1l8tjF8BtUs8uKdgv6+pirKHs1TB69bjoasah + L2vYXCTW1/BfmdXtld9r11Jq122d/K02BWDjlKrWA113iLlp97eDb3JR+ruL0uJVaga/Uko9+vW4 + mzQXa4fu5iuX43IZ/+ooXP24hh3ieqxrHbjCzazUEgJo23a5XF53raztSdsWO3W53JK2rza3OqW0 + OjVqGmwcPnda32RstoUrMcpXT7zVdqtUtWpZ667JOTc12OaNrur3ekpcZepWtWoFsypXO6JaIW2E + wqv155v/1nP11fWijSvndtKuqhc1gVq8q1r/7attsLZvNPP3Pv1PPUB1x1ozr13N5u8a57pTSmma + ZlPcvulTv3JsfLHxdzMJ7aZhGnbL4YUD3NXAcvPWWrd5Wlh96rUb8aroOE7FM2I7juM4juM4juM4 + juM4juM4juM4juM4NyQW/ZNztkAZCwCqITIhhMViMZlMLBbNwmX6vsdaSv2q7urLsRkz1LatRekx + c9/38/kcQErJAu9EJOfswZ2O4ziO4ziO4ziO4ziO4ziO4ziO49xqBGIzLkcOKSVeZxWxHCVt21r+ + KZt4jTGGEFLJqrpz/NhivkRgEMW2+R//wd9/6tlnFChQYiaFEuSgs720kWA9MAEi+Oojj3zt0Uc+ + 8hv/FkDH4eTJk29761vf+c53vutd77r3zW/5tvf+uclWN5lMiGksRVMOIXBgJYJqFlHVYtlJAgdm + 28AiokzMnFVn8/lsNnvwC59/6KGHPvWpT33uc5975vkXa4l0/Sgb/+63P2OslmuOQUQAlVIooGma + PCYREEEVUjQE0qIMMPBXvud7PvSzP/eWt7ypCWFYLNsQCat07Rekx71lsqM7juM4juM4juM4juM4 + juM4juM4twLrqTABgkkFzf0KyUlSG3cKsBhnfDv9yR/+4RhnOSYhYTSkICWQpqDcDE/NHnv8pYfv + 609s8Q7KmHWM/WSYL9oQ+YYWCFIGGNoAYGWQkAKE3dle6UXalNv5Hl4ct5fzUIqJWoHARKREAYAW + UdVIEYDJrc0bygCRFhQ9QlprkQsT8rPA5NZEgUEAqyrmwBz0EgeKUXotjKLI3FI3bXaOTU5sN8fu + 2Dl5+/SOu29/41233XN8eqLjPiiTMgHdtIHQbLmno3ZNR7EZk5BICCE0rU0oq4jNKptaz2yUt6LT + eo1pFUy21DSN7Q1mbuPk7NmXm55jF+bDbmjj1vHJfJiPstReX9x99jNf/NRnH/2T52ZPpnYufRp5 + WNW3V+xCAkCbU+QigIBAgZiYGpgDCaJETFRUIJqLkqoApCASpUyK1ecAAGrqXIIEyWHJuiSdQZmU + Ww2ffPTZBx7+xBumb373W9/3Hd/43W+965skhfm5WddMG25KUhVtmHIZUaTrJsLx3HLJQISAaXc8 + N2ztPvzMg0NYlpUSFYwoGrLKIGPWVfBA9absU3mUivZl3uwt2r1FuzuPw1DQtGhCDFrIdkoGlbCU + yAIRoUYLX9X2WxukCAkIirXl114uABAIRJBqh33lpq3DQJTAAAU7XoSsG19xFdDYyNaZGf7NH/za + XT/4hlnae/Oxt+hS85iZYwyBAhXwmIfFQG3XS9ajNV6QsIKUFQxEIBeC8Djw7jy8nKdzTMqoWOQl + sYYQKGcmEFExey4DQBHQunICzHUUIDmoUzlQq6oqJJpNZE1EyhSCfYFprcVE2rFwGZpZ6iVm5Bji + RAqSjGPKU+5IBSS8LhXokKkkrguqmvPYNA0zxnGMDceGlaVp0oOP/enL4wtjGEcQtW3OY4ycknRN + mJU9NO0f/dnHf/C7f7i8lKI2yh4CtB9MrapyaMCUsox53Dk2nc32msi7y7OTE91Lw7O/9bu/8dnH + 7190L89xNne5sAjZXhUlQFkIrOsBR9mekyIAReWi/W/nV6SrMC17k1djSu52JruLM0rS3jZ5KT/z + 8S9/9IuPPnjv8bd931/6/nvvvO+l08/1cactfQhhvrvs+z7nQVBCCJbJxPKc2AB6Dfei4xwtSimT + yWQsRUTe//73f+hDH/q7f/cnmJGLVF2lqe+Yef8sQzUNUZWN3WpuVMdxrgv2a84EitX9icvLrS3Z + WgihXlKoll/rvizpmSkMr7bTGus+08pvdkYr4UHXY3cZVLd30zSllH1ckvYtqmoJ6GKMlmjuNWzK + zYbVAVXt+56IhmF4HZPg2SipquM42nG3WmcnqH3fL5dLrN3Mr2q2fiVVm51SqsW2L7IavjlMv+oQ + /0qYeRgGZq5u2uvu8qxebWvU+5/hmyq467rlcll7AD91uemxGjIMQ9d1tes7RBZN6xnsGmxty1ap + xnG03nUYhhCCNQ3LojkMg93ztVwu+76vgt5LYiuxnJzL5bJKnQ9R1LrtdbX13Zpu1PqZQ6z/QNi+ + Wi6XRFQH3336n9r1NU1Td6z/eD+y2DG1lpVSshObQ5zP2IDbNI21qdqs6m/Sqs2+Bk5rrFX09bl9 + u01IWeu2DL2TyWQ+n28qvR3HucXxvsBxHMdxHMdxHMdxHMdxHMdxHMdxHMe5IYkxWojbZoy1xd5Z + MNBkMrGAM4vvsRgaABYHRuu06ftEMF8Su3HFvm4cx3prynw+r0FjXdfZE3daO47jOI7jOI7jOI7j + OI7jOI7jOI5zC2J5TGwKVVUtF4mIdJO+lGIGawAcg2WhSimVUraP7Zw5d45C2NrePru795F//X// + iw//clZlYqja3C4xv5Z0HrKeLy6qomhDzCUPUp5+7tQzp57//T+6H0AEFNjpt+65556TJ0/edddd + 99xzz913333ixIm2bSeTyc7OznQ6tYwVi8ViOQ7ndnf39vZeeOGF55577tSpU88888zjT379xdOn + A5Go2px04FWiMdHzSXwv2JLzWSk2ZrGVAZScQQRmlCKlgCg2oZSignFIWGc+DQGsKEUZeNc3vuMD + P/lTP/ADP9A1zbgc0HFkAulGCv91Khm9RHZvx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx7mRYTGJIBQgXYut + VZUpckCSJP34wuLZzz/22WGyu2xLYTR5xsKFUQiFBTS+uFz86SOfuPfbv1WGHEAq3BAP13vbXitU + QCMAkAAMmNOaAe66jidlHlgaLPI40HLOEMK0iyoZRRVQLQAoAArB+cz+psEt4FdqpK87F00wy3p6 + tOQcmVf/kaoqAYK0wJIih4YDQpLdmbz04jLQgru9XrJoUghNmv6247e/4Q1vuGPrjvtuf8c9J958 + 4tgbsNWRTMBbUdsEjRpBrNCSRmCMLMQKVZWmiOSyUobEGAlBVW9ys4VeXC1ExDwKpZQiyUICYmiX + w97tJ06kNKTFcHxyfOTF6fmzw3T5hac++8DDf/LFxz83hvlku5NjY0kJRYO2hQUQYQFV/yhgntH1 + HymCoBAyUyFZuZSVCYBAVGMMImBAIAwQIRAxA7nYTDsJCq9n2PX8twiZKlgAZEG/04mkF+Sp33vk + 2T96+PfefNvbv+vd/8F3vPP9eTfzstvm7UixLIem1dDR2dmLGrcm21NkkZBneY9PlI/9yb99bvF0 + 3imJAQUTlNpCoaDM85ilUCBVUSjTeWXRZab9GSMjBdbQNE3fCSFBmyElFDQMYhBAJCGAOTDzqIur + 24RVgNXeUzpfblXIWgG72iKFKhh8SU31yrujUrSwQhQQLaQccFCz8gHKTig0CuVxXCLnf/nRf/7j + /+lPvDi8uB1ONG0LZZVUUuGuiZGHUZq2AQ5j7rlakCggAINJiDUSIUgg5cgBmkseylgUFEQDmpwT + BwhWXT8R7ONKMHuRKoBVXAwpm/39QJS0ZA4hNIEYsHEGIKSSicgKDFpp5gVlMmVZjKGPZSZjSmCi + yF3X4QJLmlUYekX3f/1ZVeVXlItIx3EMbSOkWXPgVhUZe9Kf+fTDv3OmnErTtAyIbRhF2gglFgb6 + UeOZ+x/5ne/57u85Ed7caX/1Kv/NgEaVRkRIx1ISE7b7fjlfLJFSl9P27Pf+7CO/+5mPnpWXZCvN + yxIxECIUrCKcARs0hO0JAIWoAEzKIAYQhFlXJ1e2fB0yVkUAUM9DSJY0yxEBUCoahkXYm6Vzp04/ + 9eWPfv7b3/6d//Ff/sE0AwoiNcdO7Mxms3bSjnmw5CpmETPh3zUwITnOkcH60fN9nZm3NKc2cBoW + 3/cf/tX/+Z/8zE9+4H+YTLrFYuj7PqVkTeZVW4qqTiaT2WxWjZKu9XIc5xpgvVMVKE4mE7PbXm55 + c1pbrjN7Ym5CEzyXUtq2td/attqrbfrclDJasXPOh9MYb6aAs+2y7HCXXD7nbPcm1I56Mpm41rdi + qfasniyXS7vr4XVcv/0eJ6JNq3r1slvqP3O4HqIy2PqZ2ZzWtY7Z8bVXak6/w63f6lUtqr1ipT3o + ql4XSim333676U7rFu1viDe9LtbbciWnOs6Njulpu64zuTsAs7MfNH+mZd20EaTv+5qNk4hMwNx1 + nelva89sGnUrg31kn/q2OS5YLbVP2fMrx7zd9ilrDtYirPO3x1KKNYFr0P+HEBaLxYkTJ+xngmU6 + 3Ucrbg3ZurLanG/yi/83MnakmqaxftjM7vuch1wOVbXbDAFYm7JhS1Wbpqk1FusUuFe76672ejs5 + nEwmi8XC3tocrDfT5zqO48C11o7jOI7jOI7jOI7jOI7jOI7jOI7jOM4NigWrAbAIVws1s0g4u42Z + mZumIaK2bS0WFutQVAsIs9C0g36vReBZSHd90QK7rVQWV2TKbb9NxXEcx3Ecx3Ecx3Ecx3Ecx3Ec + x3GcW5CUks3kppSYGUwAKPA4jkUF63xDAEQkjUPTNE3Tnzl3LjRRiefLxS99+MP/8Gd+epnGEJvN + pDwqEpsmjwfJQGSZRNdaaFiCXWIAY8lMrMBoaY4BBjKIoOeW83OPPfLQY4/U1dA60ehmwgYGCJTW + r22+JSaxrv9KzUCxWu4yTuvLbYUCQAi2CTkVW8XWVp/GMWeZtHEYsgD33nPyp/6bv/cj/9V/GUIs + KS/ni2k/yTkxiC6Va0I9i5HjOI7jOI7jOI7jOI7jOI7jOI5zM6EsJKYhJIXJz4QEJKTcxjjmnGPh + nfTpB/5wjnM5FFkLVoXPeyCEhSbj5x/99Pe+94WOp1vY0qwypC42JDd4kvf1xKFNFSoriYCQJS0W + iyUtC6SbtCnMmyYU6DjkIFBAbN41gAnM2LRmlJU54igKNS+YJ109F4ADKZHS+b1BUBCLilAQIVJN + MNuHgoiW6WzTBN4Gg84JzqVnnngGrbT3L3damU4nt7/57vve+qZ3vvGut92+dXKytdPqJJRIqYBL + A+YYWUou0ratKsyWZ3JKFQVgc+i3DimlyWRCREUSE5sfBYBk3p2f5Qialr1w9kx+8cEn//STX/79 + J+dPLMLesL1ESIVmxOjaGEIYcuGVeJcBsFZzubDZ23X1t2rpQWAGZQFRYWUQIqGUZJP5DLI+QwAR + EIPWEmsWCIGU1/P+cn7CnaBAYSTkoQyi4BjnsnfuzLmn7n/yd/7k//2+7/qPvvHud8fmTsxpst0p + cSpleuz4kBBCOH1utzsWx25xau/rn/rCJ3lKhTYDEZS4FCpZ85AGYvPLnxdgmJT9lZBS5KYJLYOG + xXKRM3WIIaSctvpAKKpQBZGKScJLkXgtogjOS8FXBQUHBGutAlWQAAIVhBAuqbVOQwYRsRITE4EA + RoAI9KBm5QMVuzBUpd9JaXnuyd2vfuR3/9Xf/L4fm812JzSNElsORYokik0rTchZuApujwq1Q7T2 + YopeABJjLA1njKJomrZpGgz/P3vvGmzJVd15/tfaOzPPOfdRVVJJQgiBBcjGSIAFMmCD6MYGu4du + jy3jtj3u9kz02N2ejvCH6fnW8206OvjWEzE9E3iiu6PD9oxpO9yN7bGHh8EtAw0D4iUkkARIgNBb + KtXr3nvOycy915oPKzNv3ltVV7pXVcUta/1CunHqPPKxcz8yc69cP5GclAAzoPf9f9a+/6d+QcD2 + bu6n/KkklZyQobBarKpK4NA55JkIQIBYH71o20m7ICFSjty1b+vSGRBVHcT2yqAMvTL61RCCSFLN + qhKLANacUhOax84+9NDJB5vYaBQwKbICklDEWNdNMUFL9Yn5Y/d874vvvP7adplIPAZoD7ioJlsb + mxMuVldnG5unW1kuMeej+YGn7vvo5z/8ree+FtfTXDYSUK3NctacM0PE+qPzFa2SnWECCgZIQeNh + yMLDrI6Of97rrkVRzoBE83pBROXaRDlvLk9sNKc2Hzp1/0P3/t233/nWV9+xWGizbKpq2ubGUp2k + lESkKArLsuIGO+elzMrKyubm5srKStM0Jjd9//vf//B3v/fBD/4b9CI9Zh7Oly60HHOM1XVdVdVg + x/RkQY7jXB7MdAiAmc01uIcpc5wtzb5jwkJLd4adas/L4Hi2tduZyXB9uoeL90KYZtX+Dv/cw9Vq + ZWVfTikNTmXHUFVTTZspeWtri5ltmLtYq7DkfkOWP/QuW1sjel/mAZzTAHLOlrtvWFfbtsPG13U9 + mUzatm2aZmVl5QDLL8uyruvB16uqk8mkrusDLOqiYPtrr4fUiHu0o6GQq6qyzSaiy6Cxd36wxBhV + ddC94/nc53ssZxg+BpO6aXebprG+Av1taiKyTt7LW5x/AAAgAElEQVQuRcd9/oWWb0OSXa4O1t79 + Oq0BmMd6EFrb8BdjNPH2oPQ2Ls95+3Q6HYZgu7G/9/eHyxA7TJPJZOgwnUOI1ephXDuA0xqAHW57 + lDLnPAyC9n6M0arN8J2Luwvn3R5bi+3RcO5kH9mWDJtxGfTwjuNcKfjtMMdxHMdxHMdxHMdxHMdx + HMdxHMdxHOeKxMJf2rYVkaqqLOysLEsLmhmijYuiGLurLUTVgtIsim6PCObzoqpVVVmcnC1qCPKz + GDILp7NAN39MxXEcx3Ecx3Ecx3Ecx3Ecx3Ecx3GclyBZZVJNACzqZVVVddsoNDARKHIkIhGpc7K0 + U1zEeVOjqVfX15uUvv3wd/6Xf/Ev/uLjHyNiELc5KRTAkNFb0vlzde0FEVRNCE3Mqiq9b1pgKQsh + QFGWEG1TG4lNv83UTT1n2V4pgSxLhUI7uTQslS6pSLe1HGLg1LbEbGlcLEUU+nSlo207Z2t1/KEo + QBRVBDlzCEIEUSJMJlVq23q+JCAAbZ1uvP66f/Kb//if/OY/nlblxtnTqyvrgWg2qVQyKcpeEL4z + AzXtXqXjOI7jOI7jOI7jOI7jOI7jOI7jXPFEAARhCAhCoiQASMuckLXVMp1ovv+Vh/6LTHISlAkA + SMEKQRlIsqagCCU99+wz93/3S3fcfC3qiSZSaWMZ9j9heYhgJZEKSiyVggUtkJigJEQxxlgVZRCU + YCxRMOU6l4iBOQRSgkjSnDOZBbqbfNwxBXnI5h7tsJ4HFQ4EqGqrCptAVoUSYmARZMlkel1TuhIo + IonADj+BAlTRULsxEcKi4FPff+aRzzzyyZjLa49cd+PVr/yxH779Zes3XL9640xXsaC2RoGVisN8 + vgnu9B4mqiEEe0j5nK00zcChcuLunx1a2e3Xpg9UZGYOIQCaUkpNOy0nqRBZa59pH/3MA3d98aHP + PdM80cbFMjTFJExDTFlSSqLY4oYSCu7M5VEYYGiEKY4Ba/hCWUjAoiQw5S1BzX1MGImVu3qsfTiB + KjIgAQBIERRBEBSsoG6nWGE9DDILACU0mikyUwwUAWhuzsgTm+np/+vTD1y3dsPbbn3nba99+2o+ + HhbTVbo6pKKgsHFqc/3okWXc3GpP/fH/+wdxyguRIIG1ACWQEjUcBVBGu1zOtYKSiCCY7ov4nECE + bVJKQVAQVSFmSjmhQCzjqi5qRRYCBYChQUhlkIBfOghs5WlHp2ueitxCFAxEipEiKzOxRk1pKdRJ + epS2WwRHUoaFe2TtjiLRJe6BFFERCPNlXp/USfjL3/3s8S9f8543/z3MUeZJFVYrntZ1I5QClznn + rnYeGoTAIKgAQhCrYEqyqJdJciYgUFLN0qTUMvMkrGrWLDlLFuTUqJAqYZxBgRRD07bD+sLhqJIs + nAeBlBnMzEI5Z1Ui7dojETFAxFUxmaR1TSEIUwRYa2mTUKElKcBDLBCBGDifEf0Q0Pc14/ckhCCa + RXMoWJDBqhP5/ANfPIEzuSBSrjSgbsoMFsTUQkCCrJorufvBL7zpFT/FQUpQkMO50z94hFKr8zCh + rMWZzbpaWTndPqlHtz7x5Q9/8r6PbVZnl+u1lkqh0qadL+YhAAFpOMPR7b/jZq02jpBI36EJZHxe + ZEJr3fH9XglPSBmqigIK1GkJ0ayYrFGTzz6+ufm7d33woScefN9PvP8YXUuCvCVlKM0ZmVIyW5g7 + sZyXLiQA2lTHgu0sejabNE3TNMt/9s/+x2eeOfHH//HD3ReJntd1ar4xOzkfBIGuh3Qc5zJg6sT5 + fD6bzSwH2lhcfS5N03SX0qqW6Mz6QPsn+oRsttjLcJ6gqiEE6z/NeHowvfQuk7ftzh56bwDL5XI2 + m41Vze5iHLCjYLZXAIN0/GIt33yc3RMWOZvpdlDYDobywRu93/x+AMxpbU2DiKqqslWIyGQysZWG + EAYJ9L4WbtZeyxA4DPqDf/fyY5biYe1WXHsYi4e2P+x4ztlPWv7Gk3POOZdlib5ZWSvYb/23emXd + AjNbIzJx9dBpjNsv7N5jb7m2Pt9SfZ53+SEEk2FbR4HekL1f7FdmAi7Lcj6fo1fwWhMeNvXyXBQP + u1yWpR0LK7QLda3WJY4F5EOqUucQIiK7zqOe9zzkvFjCW2syVnvRN6ihkx+fulxqlsvlZDKZz+dr + a2vWfq1hjquiPaRp+3t5tspxnMOPp892HMdxHMdxHMdxHMdxHMdxHMdxHMdxrkhUtWmaITpnCLmz + kB2L4DHtNPoYNYuqsXdeTBTpcrm0YND5fD5Evw1Ca/RBqxjFojmO4ziO4ziO4ziO4ziO4ziO4ziO + 47x0KIpCVdu2LScVMUvOoSzalERECUSkTBzY8hYlkWo2XSwWH/nYxz70h//hIx/9eIYqkFU4BM1d + JiPLRoQ+Bcn+tifENrVQgKAiIOIQmDk17ZAuRIEhEVhrWXGBrEJqvwMxq4gtQ1XtHQZZ4hVVGacZ + SZIhGYDu3FrL2LWv9BYEqEiIUSUNSm9VLBc1A5EIqre87kf+6W/9D3feeef66urm2bPS1NdefXw+ + nzdtmk5Xzm6dPbK2vlgsdmUsUurTre63QB3HcRzHcRzHcRzHcRzHcRzHcRznsCLECiYFq5CKkihp + JgCh1GnbtmGqMpnf/+27T9aP1WUN4iDmvoWYAVOZlAGpVaerdPcDn7nth98+CVcRM8WgKqBdpt4r + CmWGQKMlpjbFbyYVUFIpYpWUqWFJUoRQTo6WSJolZEIrmTITATGwKmdBFt7h5RQCaCz9PQxIN+Or + O2dGCYIugT+ZtbqnbTMzYogUFeYBUm0Vk8iJRQSqYIYpMwTIRZNTuySJxLFgKD+ZTj/92MNf+dan + r5pcc+NVN7/uxlt/+Ppbrz1yQ4kZNTydTXOTmqYx+0hRFFC+uIahK4IYY9M0xBpCaNtGVYsilGtx + g84swuZXv/35v773k48tHmon86bcTIxQYVknWqAsUQZOEHPr5gQWkAIkrACENACineFWTWWt1NdP + gAjBPKMZWc2KiEGILAJiIIAIYSQV7wILOsSEuQSwciYhZSWQQlU5sCoWaSkqISCWlAvMVTXXf/r5 + R++6+5PvvOW9b731b3ERZeNsFSblCj3bPHm6efrPPvUH31/cXxfzFBslDghZoZyVMhEYYKLFYqGl + VdzOnrK3yzlygUxpIQxaKVYXbZ3nVHFZcilaJ14K5UzIQFIogXBp2++gg7USG5iEAhkQpkwqIWco + QERlnMpwEBTDT3IWYmRkK/NACiYEyKWNgJACvJjL6hrOnk3r1YIQ/vNXPrK+euRdP/ozvInFspny + LDBJEq40a8uHTwEgpAFmMBeCkIIkHlu5ugyry+USFVbKctHUlKSIpdQEMIhjKJRSIAUrRWplOSyQ + FKOGsq/KI2bpCsEaJlGGttpkmRQTKJESiQLcVxvemjfXVKuoqdQoqU6UEFDGimvYuC+ETKIItiXS + dQuHnZxziKSAimSVJKksy7P1xle+87V5sQRnylIgSIsqQjJy1ukkLHIWhQZ54rlHHz/x/dVjV6t4 + XosLwoqUGlBIWMosP5tO5tWN3/3wv/7+/MGN4rm6aNsAEUDqwKEsWbEd8kU6FlqPK/m2wVp7g3X3 + DVNcj0Kz7JtkPa0CgCpUQQRmAlhVOaAItDVPVZWmV03y5tYXvvOZ7z/5yC/+7V+9+fitR8preFE0 + TRNCqKqqaZq2bS3tySUtOsc5zJh52s6r67oWkaqatln/5b/8lyeeO3XXXXcBMNfmWP56LkVRmM8S + vQtWVV0P6TjOZcB6niFVmrlC99DZmhlRREy1qD3oTbdt24qInSrEGC+17NNWanZP6zaXy+UBZI1m + KbYeGCNT8oW+LyKz2QxAVVU2Foy3wQGgqpZ5zxSz6A/WRVl4jHHwSdtAXJalVVo7iFaHB/P0AVZh + J712lId0gnasMfLdDun+9kVZljbu2zZbQf2gnNYA2raNMQ4PyFjxXqgTwOhQppTKsqzrejjVuUxb + 7PwgMJ80ADv1tR5+v85dAGVZ2rXk4K62tmxya/TObOs6rB6ODdMYpfo8L0RU17VdqA4O7Mlkslwu + L/STCy3H+pOUkq1aegDMZrPFYjGoiJfL5XQ63W9R7AvbZevxhjf36H/s0FhpW2dlpXpJN9I5MMP5 + 0lDP0R/EfWEDYtu21k6HntwU8rbwqqqGKn2pz9MmkwmA9fV1azjDCST6S2Abr629+zjiOM7AoZvT + chzHcRzHcRzHcRzHcRzHcRzHcRzHcZwXSFVVwwMhImJRzqurq0NkjBmshzAaABYL27ZtznllZWVr + a+sA6zU3dl3XFhI0BLxacN5YZe1Oa8dxHMdxHMdxHMdxHMdxHMdxHMdxXoJklZwlSZ5OJ5/97Gcf + ffyxsiyXbTOZTERVRAQqIpubm88+++zJkye/cd/Xv/CFu5OCgAQoUJTVsqlFADBYoQpFzpkIB0jF + aRmRArESLMu4SBbkIfmCpWVRVYDLshRJWUWzwFZHUAUxMQWBQtSSkKhprgGiLimSZTlHn6PEFt69 + KSoqKtC9EkpvY9PeDIhJtQERIaAsSlVtUxsJs8n0jp98x6//w1/7qb/97rWVlcXWfLm5OZtMJLUb + Z09X5XS2Otna2jqyvgpIiDRetlpSYerWQp7g1HEcx3Ecx3Ecx3Ecx3Ecx3Ecx/mbgoIISgqCKomQ + KAMSoBVTEEqL9uw9D34ulxsNMrigrEBqgwgJb+tTkYAwyY+c+e4DT339Ldf+0KSYMS8FQrLn6q8M + pLeQilBWEkUkxJSarWV7pDpe1ycJRTpdlcSRJWkCSWSAs7Lm1CY0sYg558wy1jqyghSkh6iMOs0k + 8SBe7dSSvdlOO+O1qiiAWEAUWZLCdNcgosi0bAQMZoTANi8sIiIaRUpmQDOSmPG8BAVUs+pUeurU + 6We+8eyXV+L6jdfd9KbX/9gbXnH7VfPrV8tjGCayQ5AMM06NtvrweMFfPOffl5RSzrkqihBCzhxj + jJGfSo/fs/X5T37tY48+8d1YogkLlbYsCk2tJMymDGC5FE4ymURJsnVGihkSd1PeBAGaXRbb4cCH + wUtKRAiqShkERC6YOuUSESl1tUJFRVLF3ey6VaRMksksL6qqY4dyN+0uQYlAmVgCgxhZNGVMV4sz + G/NpWJ0Xmx/56of/870ff91rb7n11bdcUx0/+cRzTy2f+tR9nzipj+EYEFA3CGBFVESAQA0UrEQS + 6sUSR2XQO6sqMakqzEW9u3vi1IZpeawKR5bLpogl0gzNdBKmmmtwHcpJpqbWNqNBRAwkKY8UxRcZ + 3lFgQ/gHw3zEmQPFgCJSAQIpMUgX3a4OR7Vr0UyACIQDEAAVaaVt21wsM19Q/vTit18bOVLFpk6T + CRY5zapFm/WvPv8X165e/6PHb4NUTUuTYtbqIpBkZBDjUmrC94W5rEEixIESKbEiZipTsTwl03q9 + Janr5XRlNsltauuiiC0ErMQQSKskeQmGag6E4ViOA05URfYjQDGbNSOokGaGUqRywoUsJSKQMoOD + BjvqAlXN69W1sqSKQg5EyEkEVJCCu3Ccvp8HgXincvsHzx7BOdafhBDa3IChqt948BvPbT0ja8uo + CgEjC5BRSag1QIKmHMERSsu0de8Dn3/tO14NOga4JOn8kHJIWq7yaT1VTzZOyGMf/NC/fnb5OK1L + CkVq20IIAU2jVORQFHUtzAidzdpaMbNuu6utb5LR664GEosKiAGMx6Ohe1bqbNfKgSKrUGraLrKL + NWc9tsptKxunlqtVMQ9nHll++9/e9b//V7f/4h3Hf/Y4rh98fjHGAziWHOdvGCsrK4vFIsSQUjup + Zm3bLpdz5VBVqx/4wAd+67d+69577x28m3ssZxB6oc9QVBTFYrHYeX7uOI5z8RlkovbPnPOgEb0Q + OedBVxxjNL+mZWCz7gu97PYAut/9Yis1cWnOOaV0AKc1el237Rd6zfCgXD2XIdecqhZFYZpG14Xu + wsyyTdPknE1sebFIKRVFYc5aAGVZzufz2WxmFbhpmtlsNuTlO8DyY4xWge1e2SAvH77QNE1VVTHG + ra2tA+xa0zR2W8/E21bZhpuEl5+iKFJKw1MtbduOn0Y5F2sak8kk59w0jf3KdaR/46nruigKZrac + liZ7PoAT10aNsdG2aRr7JxGFEGwEMbW8qtpZtPWx9ryVfedC58k2SA1baNVyv05rjLTQJra30cFy + jRLRfD4f3MAALrXTGiNdsRWaNcO9+41hLLPB2i7hfag6tFh1ijGq6mKxUFU74gdYjlUMG2JSSqqq + qkePHj116lTOWVUvZ2WwdLtlWYqITccURZFzHipzURTz+XyPRu04zksQ7w4cx3EOOzpM04+vR6j7 + F+16f/SF51/uhb65x0eXjiHmrFvphS6AhwnY/gvjotgdKMA7C234dFeI0fZvt0v7/BsgXTzSaO0X + gXOP4AWjFHn30TlnT7TfyvNWm+fdhEN1t2l3Jaft989bbemF/X3BjI/CYYkB6rh87aX/4c4q0v9L + +qw1O8p2d3U657eHqpo5juM4ziVBu/9YCcrKGQTAHnIBlDMLoH08NfkA6TiO4ziO4ziOc2AsCNvi + 6izC2AI0h0+JaHBdWxDY+FETItra2jpAOKlFCAGw4Dlbtb1pQULDs+gWV+Thno7jOI7jOI7jOI7j + OI7jOI7jOI7jvNQgRSwLZt7c3Pzg//k7f/7xv8SQEx1A/8RJYGTZjqUNRK3JoTksm7rLsMOsyAD6 + DCDPk2HwgptEJCo2P2xzu5YSAsCQb4WIVNE0TfdwDQFEHCwrlsqu9ZKlMO9mkbufAzqk0OozCo1n + pW0uW0Ve+PNODACC1DLAhNQ2BLzuNa95/50//w9/7devPnb0yNpablNa1JNYhMA5ZxFZWz+2dfZs + vVzOZrO6rrvsRcnl1Y7jOI7jOI7jOM6hQGkviYvjOI7jOI7jOM6LpJ+FEwCZoMQkDOWUEpW6JWef + XT7+0NP3z1c3KVYiBCVlFhIlZBLzaCqJMs4u62K6uOe7X3rdy+6IYRpSjkyqBEDIrm4YygyQCmhb + 8CzYrTM8PNgVmXam3u3EgwShjGPVVX/nHT+vkw0tZWOeJ7OpIidNAlFtm1Sf3jx14uSzpzZPfu/x + 7+XQZEptaFNoMrcI2+6l8+77WHfKesE3aTuDokBZyXTFgDJIFBeYaVUIn2cedljP7o2R/hMGA0pg + QAWqgCIQhBCADIioZi2KKFBVbVM39cxMgSkQqWQAgZCBlAFCiFigzlJzibJa1LJ56pmnHjjxxY/i + z975Qz976ytue9XLX82xkLkUeRKpjDH25SBDUVg5KEHofOVJuxJOcndkL7F3XYgB6bZnvK5+M3Z8 + F90BGMTnQl1m10jMzBnS5roOyzjFo8888sn7PvaFE3dtTs7mlZZUIzNINOeCIYR2KUIIBUjRLFMA + ra3GOqdh4VY38mDbBVhAikJKlsASSQNSZEQGqVIAleVkNlmZVFOaUIwxECWRlFLbtnVd181S2gaU + MktmzZwyZ+EmsypnsKhm9DXOUnNmyopMAAVkgiqIEQjLNhXTiaS8kc7ESWwx//wjd335O59ezVNV + PYsNXa1lioUgKGKB3AiTEAVQfxMlq2iqZZmRo0bWCEBVEzLh/E+zs/AUKz/xhne/+c1vXOgGTYIK + S11EipDc5s2N5vSJs089fvKJp557anNzS9BIbIQbpawkQqLcW4oVrNxl5bXjfqD+bdxAgwIaY5oG + qUITVov1q49ede3V1x87eu3KytqsmpWxCkJWt3XcswNNqlNKTVu3uWnbej6fnz17eqPeeOTpb7Wh + FU6Zcw6NUhZKJpEdbQQrdb00yCpzH8VhxTY0xnHvJAAQY6jrFMsit20okNDIRJ6bP/6fPvWh3/y5 + a25cf51sCgBJGqTf2r79DomEh635wYwRykpQEhsMWDnm8vU3vql4dzE9PjkzP82hAInmtijDom0a + aeb15pnNMydOPPP0iSfPLk4tdIvKJNxmbpQlM5R2pVK2EVB299XKQlAWADEjSCSUJBGZkItpXLl6 + 7ZqXX3PjVevHrj5yTUExchE5EgIrq2qGSsSkXlmt1uuNRqUNkxi7URfSZdzlITHz4b39qAyw9dU2 + uhUhNqlWlliGNjEK3Qynv/itz2qVKSgJmCGSA6jNGcSRZV6LBgqKUPBSt7763c/+zB3vLcM6ZzAy + QCRRiYUSRkZ57c9ewrjKX7HY4EgAK+wsTro7z5G1P3OAKJg0AhRK2liewlUb9z/xhT/81O+fLk/q + qm4286ghhkmBTKKxzFm1SS2FblyzisT9mQlDBAyI9UusEOuj2HKbMwHaKdUh6CRjfW2ULokzIARR + TU1mkCn6srQqIMJiIQyszVhVaSqL+mwblv/pM3+gt/I7bv6p645dn87kNtWMwERMZCcl3RqoP19y + nJcGGxsba2trGxsbk8nEDK/T6bRpUtMsX/aya3/ng//HL//KrzzxxJND0qELLWeQyJquD70Rdo+f + OI7jXCwsH9rYYrtHlyUi5vi0Xxn2E/P+mv7TDJqmPr0M22+S0UEsfQC9ru2vyVaHF3t3wlZKlnrO + RKfo07459ghGCGEoUlOrXixtc4zRCt9qnarOZjNL7mfrXS6XZsc0c+d+12uDMhExsx1ik5czc0op + hDCIrsuy3HuIPy/DT0REREzoO9ZmX2ZExB6TARBjbNvWhPF7fB+9J9jyK3rNfykwpLu0g27y3QPk + z7T6b7Jeq0smsbaGkHO2EQSjbtYyedoLk1Xvob8d7NfDFtpy9rudNszVdR1jrOvuyTLDeobNzU3b + DBv49rXwA1CWpe2CtU0rpT3Kn4iGjtec1tZ9XertdA6MDTdmm57NZgAO4LTG6PnBYfizerK5uTmZ + TMwfb9X4om7++ck5r6ysYKfP3kzbg5/e/NYAhtMqx3Ec11o7juMcVvqwm24mXAHpg724UxfDPhJA + +7idsep4h312B31Yj4B4/J1ugnO3CFkUoEur9RVI7mb9h1weHdx9YfimbWEGFAgMgkDQiZxltwDZ + Cst2lmVw+gqgYAEYQkBAt5sCBAtxGU++0s61D9swKuH9ls8OW7nufIsE2zsi/be4z2LCuxek/W8Z + AForGCDYAd0+uudImke7MMS6DEvfefNpRz0559NLwlDJw3jdY2/3yAIJQCBD5Mjef7EjSBHY1QS6 + dDAyypCzHSF2OLh87QV9PMQ2CqXh7qyE7j2WUQHJqErSuIqO+7SLVhqO4ziOc1gRQDgIk0UPs523 + BwYLSWIAiJJZQWI3ZxSX+EkAx3Ecx7lE2Jz6EE9mb54bx7Z35IHjOI7jPC8W5YPRKDO8Y3Gr6HOO + j2O2hsge+2vxc/ZlCxUaf2qhNuijf3bFqloM3/hX4zhOez0O7rQQVY/OcRzHcRzHcRzHcRzHcRzH + cRzHcRznJUsZi3pRI4TV1bWNrXkg1No95jJm7LRWIA1JPUQBtn+piBmkUxKAc9aDPemjo9TmOduK + di9nO6nI8PyRWipz6n5r88Kq3QvpH88hy0w6ethH+5zE5rFWxZBClLh/YmnHR7Y6IiLeflPsSbH+ + mbcbXvay9773vb/0i+9/05veNJtUIkqqabkEEJhIVVImIIRiOV+GWAagTcKh4ICUdfRU2/BEGgAX + iTmO4ziO4ziO41w2dqdb6FUTF0hzqRdMV20JQ1VVRdCn9E05hxDsfYttFhFL1o8+4FlpFBEt52zP + nvkgSMepbWTYn3GaTuI4BHJbDkRSEFEsWFXblIqiSJJlO1eLGT6IFHqJL1D33jtjXOYX+n6X8Vm2 + H6faFWQ+lACFLsHrBdZ2gVscF1gxwS/gnYuP9Sf2d3iYwjoNq7qWYHdIpu9JsR3HOYSYeGDwKKDv + 3OwsaDg18k7McV5SsEKgDFFWhWQqIByUSUljXoYNPrr8xCf+XI/qIiOITIqp1K0oonmeVaCxy4OY + U1mhaeb3P/LVk295bDWuVKmMbRTiNohwygSSghFD5qAJtAC3iSBgBQ82xEutGd4v2slcM3pNo5gV + EhKUdTl9/XW3KzdCgpU+UwSJkiipkOAa6KtFKC/bre89+fCnv3zXd579Zl5vtuKZluc1cgACA2Bk + qCqDlEQVynbF010NCWRnQkgGICSsYIkAQ1uAQqyW7bIqWFVDKBfLZSghAlM/UoBd4KplEjXXOEEZ + 3aRrRhGh2vmquZ/yxZABlCAKESghEAKjXaAoOAbKmjWDCAwQk2YBgbq9AwAlFaAlRdi+uA8MKOz6 + kgMoIEsjlDhAlJ/Liz/77u9+4jsfvvXGN99xy0+/5tiPhiakFoQQYpk1mT4kNe1KNcnLpKoSOwXv + DkknAdBBvssaSZklgEQupdlaiHU4Up0/VKyqm/dzl8KTAabYNA0COIakCaQcQk6KwAxu0hKraYNP + fPqBT37q6588lZ7WcgltEDgxElpWsAorSPtsukqBg4aMrJpToC6DF9kGEDQoM7UiyCiVC52GRRWX + 5dHi2DUrN7ziZa89tnLNtVcfv+qq42sr6zEWjEBChIA+I2mfEExIsbl1dlFvndo88eTZJx4/+egT + px99ZvH0RjodV3nRnBWmWJLdgSEGBWpFY4HUQgVcoclICSsTqKokYTAzMtWZkRg10KaaFMKSKUuD + sq+ioUsIXKPfd2VokLPNKS0Tz6uIYtEsQxVTzpED5S7tKgA2vSonUq7qyQ8fe71SK5zUZKszhjJB + wDmjTZpiGZrcPPLI9x545GtfeuQzW3xyjq2wggaLpQoYKmBi0RIaQBm07EpKeZQB9QXRZkynxXKz + XZkGEjRn+Hhx/bXxVT9920/ddPxV1151tWRaJA6xZObcpu6+1oX9u+PaLpyzNt95+tv3PPTVB5+8 + 75n547maS1knLM3InVswAxxyKFNKQig0ClJXgfqd0l45LCxDfRYGFA2UY9QUSg05pRSSFG29dvp7 + iwc/9F/+3X//nt++urphMc8BMUpMRA0LIGJMHw0AACAASURBVFE4aCexzsS6vdnCfY7cTL0K+pLB + ytIfLyHuTN1AzNW6XnPbDe/MJJiKmrLXPqMCRLVuqcjKj06ytg8/8+0HH7/viw98qg6nNvS5RVyk + FbSKaTFJjYKzkgCRFEBDiswAoSQsFyiKQpGbQiLASxyh9Twvizy79ujL3/gjb3njzT9+1co1VMcg + gXVIHczQ2Ae5iFLmGUsDLUmokKRMBEVms60X2huOu8CcS1me+4ZEoQCRFEqcqSWkoMKKnHhSzebL + U5JQhdWz+dR9Z7/yUH2fTBbQ7j6sdj2gANIKmFHG0DZtk7NOZKM4+dff/qufe82Na3xsa+vZkooq + rCwbyUXmIEhkvWhmJGYlBE0s1qVfqQhBiAFUCYyUOSeWxKzERQ5QRdtWVbFo6slsbeu0TNdWat2o + y61vPPrF/3DXv3u2eAyrutUiVIw2BAksADekCoYShNC5bQkAMgm2VfR9vepHOnsv8zBM97d4qQsu + 608cdt0plsAMqKh5+4YzCUDRiCXHllAio2mnZ/+fb//Rt09/81ff+Q9evv5K3WiCVCAOIJJug9XG + LSBYV3bIzj8d58VxTn1WBlAWk3rZlsVEcjd307YtESJpzotrjx/7/d/797/wC3dubi5tSoyYzV6Z + UlIoBxJR6PY811ghaXM9w71xs3XC75M7jvMisA7EBLqWP83eiTHarWzrhfZ2f5pHc0i5NgQDWMaz + Qe15eZzWxnl7Rcvndt5kbhdi2PHhxfDDQfq769O9t+ElyzjVnjGuVIPC2XzqB8uSN651Vvj2jo2e + IQQbbVNKB9Bp2wKXy+VkMhn2yJY/pLQdVj3MBNled6P8nrrfXbXR8gq2bWsNaliXmT4vbtWy5Q/l + b6/HWRNtY/ZwWhvWh5jeeNyZXMRNddA3lsGaPE6zfG5/fqGFjA+N1T2bwdyv4XhYjnmjLcPzUNWH + 4cBqxR6Nblz/7bX5bocmMHxq7xtDR/G8aaVt18bN8ABOa4xagXX+Q77QwbE9nPxftvFu3MRsLH7e + oW3wcI/f2RV787yNdyi9ocrZP4d9twIZD5QXBatLQ3UaepshI+vfpG7HDpM1pSHwYPyFoXXsaibn + XdR5X1hh2lqGwrRjNzRnu+QcVmTvDwdiWIW1qfP2/LZYqydmlx9/Os6jO96Lcb1yHMeBa60dx3EO + KYON2FJbAGGnWVnAudf0Bhq+Kf2LbWnxOKnH8PtRpMyFsUQX2zLtS6yhZdpeCfVxA6OPzzNvNFL5 + 6nmiWLoYvu2SpFGZbq/Pgp86Jy9DukiF8VNUyqBuCpi6JdtHFop3QE2v7vrJ9nEcm4nPuZF37oEb + 3hkt0XZj+1ZEF2AlOl44MUbFyrvsxTs3dbyN52z6JUBBQ90erW5wXcvoU6JtsfsL+UsYSb4t0HPY + HRr9Pcxc6vayEx0XUb+u8fpoZxPYsXqrn+5tcRzHcV6CdAMmjx6fZyj3wffZzrepO6tkoP1Bbanj + OI7jvBhsErQsyyHgYIhpAFDX9RCa4zOUjuM4zovEBheLoKrrGkBVVRatlXMuioKIJpNJXdcWT/O8 + QZnnYgtvmsaicCyUx+JuAYiIRVAdIDbOcRzHcRzHcRzHcRzHcRzHcRzHcRznJUjbtsysRDb9mgan + tT2FYpk7dfuZkytmLnbbP60gGp66Icu4NBZUdx8QhrnmXoltvjH7p4pAMSQj6xKTqAKIxJZZRlV+ + +KYf+pn3vucXfuEXbrnlllk1yTmTQrPYE2QWtHwA85fbrB3HcRzHcRzHca5Qhrz54wSFQypMEynZ + P5nZckoOiSYZhMCaJafMfHFSkA2ZiEOMy2VjuX0tf2hRFGUs2rbNTaNMXYpty6hjaVwUSoND53BB + CqXz/LVMoDzK5TEkG921BIts7/RL5yzHcQ4DY/8r+so8Tr/bdR3dfSrdb3plx3Gcy4A9X2aGA0su + z8yWXF5VbZ4CwCAfchznJUKXddGyDiqTBpsaS1qjbJ5efP+bT3x9Xm0WU6DBcrksKbBN95GpRgVa + QKMiARBut+TMlx/87Ct//FW6iCREDFZJpELEBEiXXIhIOzV2Z1lmHCqh5m62szLunLstkAtgNrxH + yiDJltNymGUkWeOrZsePvvHvv/kbj3/1Tz7zR8iy0eQiLpRUgSyiClYQM3bqNLSfNz7n2kiUIACZ + 3DpSVhaCElpIFpQkxbRSNMwmxqCcRTqfMUJgVRWoCpDBZN5r0qyqgIIJsc8jij5FRlIJDIqm2UDb + YrZWtcu2aXOMHEoSySkBqkzECrHMUbS9/donBe2Uo7DLwL4moPNcqoJUUkgJywaLLzz61/d/7+u3 + XPfmO97w0zff8PqYpsutZRkrZm6W9eps9cyps2vTVQqckYBerKnMgHRi6aS9z1kEwXTsyiYsv2TI + KL+kjvydBIXQKMFrnz2UFMwcy8iRUpuTpCJwKHjZLlpaYD0/fOL+j37xT77+9D3tkXmezinblLr0 + pnMQWCCqCJELopTyskmBEAgCNDWmqyyCnKSIFbI2yxS5mEmkBhOs3XDsFT/y6lte+/IfednRG9bi + saJeKTAJFACmhrAkUiIKrLxzNwESIV0vrmljfcPqa15/Y5IiL2TzVHPidHPqa9/80vdPfOfJE48u + FnOOuSghmpaLBZXQjCJwmyU1mE6DFnnjDFYrgJISm3w0EzKLEkCyPXevu+bxZde0vpAs0lZGSwrq + hMsiJKLECOiEviAFE1qAlFg55gKYAjtuR7RtXRQFRco5o9FV5mPXXXfz9a+74447PnXfJ+59+KvP + bjyJMh05WpxczIsKmq3lMpBHTXd/XZwSQoH5vF0vKzQIuXj56sv+65/8B2942VtXzkzXMCs2kLMG + ihyqXmC2j1UICUJ67erkpp98XTO988En7/30V//q4afuL6tJwgKhVZYsKCrenG/lENbW1prl3FLg + jjcSAMA2iMjOjKrCooogAIg1qkgbBAFxbfnNZ7/2kc//x/e//b8Jct3ayvFTz56dra2y1jIWb4N7 + XzQIAlIohnTBlyGIY6jnI307WALL9LxfzwlFGadhRbMU84ILuuXqo6+67rV3vPVdf/GpP7734c+W + s+pZPR0i6kXLVIDE+gHuO0YlgFA3mM6QagpFVbeJA9aKI4sn0nWrr/hbb/uZ22/9iZVwTLY4nppE + KYPEQGyb1xmBNfRZoFurErnvIvq19Gmc7QeHd/CVcbro7eFDc9ukGCMxzxebfFzv/spnFuWGcIvB + MT4SKgfmnEVSlpxDAY3yXPPcvY98+d03vb9YzlamU6lzU6cQC2EWSaFfqXY1/NCWz77pWw1bYJqN + HVlT5II4Ss4BYbFYTNbWz6STdGzx8NPf+NDHfhfH20WtuUY5QVoKSAEBpe48pFdEn3sLV3a+07db + q40y3PXdzuCN7bFsmx09zrmmXmB71McwmLYBC3niO5vxTz/7h3e+/ZdfPn2l1EEbSs1IkUUCJU8C + 7TgAYuT5fD6brd70qht/53c++Bu/8Rtt27nE0Nu8QJCsZVU09QWTwZZluVgszCVmt5WGG02O4zj7 + ZWtra2VlBX1fZL0KETVNM7Y+m8Vwj+VUVWWZP62POpiT+FJjYQxDktJBFblf46apHKuqWi6XVmI5 + 5wPoYB3DohdijDaBYrlkL+4qhgq5tbVlvtUXKDUfY7cQJ5OJeXaft5KrqqmFc842yh9s10RkcGnb + MnFRh347nbDaOxyCAyRLRK/EHjoTK6i/MX7ZQ4JlUc45m9M6pWTTjkR03v78Qgwa5nFdOsDBGrux + LRgMgCXMtMpvH1n+zL3N1udlaKrWUQ/O7P1uZwhhsVhMp1NblC3kIhqXbb+sEFTV+plDKOUdyt8K + 1srWjotNXluxDO9faDlWbQa3urmKc84xxrIsU0qD0fziOq0t/+rgYwZg22CvU0pjy/sQbnTlcqH2 + NZzMWJmbefpgq7DjDoCZrdNGXz2Gc0IbvOyfQ6na94uisMowtK8hFgL9+eQwrNiX9zC+28G1anOu + h9txHMdvezmO4xxWFCAZhMUZEsK231chCgi6s0hAcj8fzABtK3v7pVHnlhVAwdmUwOPIp/5rw9/+ + y8i9s/ZS7iu3xLpTjnvOGjt3b+ckDgzalhzTtra4809L/y5Rp+ju/++M4KTb5ZmJAQTdzoSi3M/z + 95d76KOldt0VkP2HfSggkG5/CUQ8er8PmBjExZ2MO3WfD95rGsmGu4AAhqIgCJCABIAGIbpkQKGA + jAJiLDyHGVzqbpm6BcnJ6L2uNHY7ri8FFsepyAQAYVwnu3qi4DR8IlYOL7iSkgB52+1tgX7KXdl2 + 6xoCYIDtCnYouBztZVQOVlhDcyGgsMayY7UtQbrCBBMQLLKCkIHMAIQhBARo6Db8EBWp4ziO41x0 + LB4xRLF42EKh6COHSUBC2sc+jiJKHcdxHOeKY/BVN00zzDfbJKjFNAzZWCwaw8O/HMdxnBeDDSUW + XlNVlY01JqIe3rfMa12as31SFEXbtkNAqsV7WfSPLfPFBBI5juM4juM4juM4juM4juM4juM4juO8 + BFFCCCFlyZJDETPAhLz9EJPllM24omNpx5suRCPntWmqberZEo4MSSi6tCxty9RlJgbApCSC/nEh + m5++9tixt771re973/ve/ra3veamH5LUtm1LRJqlCFFEUkpXevoPx3Ecx3Ecx3Gclx67r4Pp/G/3 + n+r5r/tUlIiYmJiGrJciogQiZibmLsFol6C/boagayIKRQwUEfjcjIS0jwv1zpwEIKVUFAWHYJKA + rcU8hFCWpYhIlsViISLEWoQIorquQxFZOwXX5eSF7N25ZU66+28gHkzhxo6sPQARMROA0NvHz7uc + C3MF3y9xrjjseY0hgbJlvLXk15ZBdfyMhj8k6DjOIcTOQwCYacD8FpPJxDI12z35xWJRFIU7hxzn + JUaXg9HOraMAUCUICbOC23u+9cWT9bM8iUiNIiMEUR0STtpvzUlcBFJRISDmex/8/Ht+7D2RiyJM + gUwQVlECIYMSiC0HZA8DTLsNtVcqg9ZRh8SeCmggitOAVM9ffd3Nv/kr//RPP/Xhe7/7lcnabIEz + CZ0cjmiwjW5DvfXZLqSCwozItiIlpJAAECNlaF5IQFVRTrrMLSi2rYJBqkTEykTEGohImkxAAVJV + iBJrIAZTFgweju3raAIRKaAUVATmrCalKFt1DQJFCIk0EEVkFAVyFqhJYSNULEHoyFAL1sFhCen1 + 2b0WgKGsylBMi2K5bFAsF9PTXzxx1z0f/+JbXvMT737zz9501c31ya31Yr0Ik/rs8viRa5qc29xq + EFBmVSAEYSAwODOUgqIVEoaARbuJ+Et7uc0KoQSAIQDZ6pQIykJsWbYIEAibEliRUz2ZTBbtcjFf + TKcrs3JW13UjC5rlNJ3/9dc/8Zd3//lmeG5yFec6pUZ0CiWEjABG5zUXYQHQZgmMIlARgMBK0iYN + U9pqRABmJKk5xVleWW3WX7X66je89sfeeNNbrl6/TiWosEaOFItYRmVVIuku6pk5Usj5PM1VgQ2Z + gxEoFmkWUpjJ1VfhFW1obn3TW+e08dziqW88dt9XHr770ZPfS0WzPlsVWs6XGzUklhxQ1KdTBF89 + jTk1StJGUQBaAggqtP8H2Bf1UkRGWhFmvdDD9Tz4uUfvdFRVZYEH5tdJKYVAK9V6jvl9P/krt7z2 + 9k987qMPPfON5dnTkzjv8uhyAwGjGTfY/fZyMYYJFXGrik31qmtu+uW/+98diz80adZXZ+u6lCYv + uaSCiySacybdZ+5S0qXMjxxdEcGZ05tvPvbO23/und9+6v4vffP/u/vbn2knG1LWWTfrVM+OBEbY + 2jwdirFaGAAIoiR9i2bq9bJsLQwASeZEElmJpIy5ASSnZjLNn/v6J647es173vhzz51K1cqRSJwF + QsyQTJw7OTF3CX5JCEkJChZSVR7fcDscSFUFkVpyA3DO3CTNwmU4khL90t/5R7c+etv//dF/W1QL + oBbKRcFJhM0vPjitASiIzd5LkmRWIWZanMQbbrr959/9q8enN1Q6a8+ilNksrgbh3JrOXNRkw2Ch + ZAPrIfZVvyCEmBWgDAjBSokBIdIsEkIplFM1f3br0W89dD/NLti6VFUEREoEIrIEUE8988TDT97/ + 5pcdkzQNXGUkCgTNjEAAwJkgJEETgK53vUz7famwQTgxB2VFQSIBqgSinLOwIHLBHGIhG/KcXL28 + 59lP//Gnfu/s5OTG2dNrR6t5W+dNzKpZlkZYEokQMkMImcDKUO66TxLtc8KPN+Ac+XSfJnpcsioA + S5eombe14iSk+0jvzZBpKJ879fjXzy7xufxL7/j14+XLCy2ChKxRCUINANZIyggtkHdbuB3npUTd + LGYrk7apc9Z3vetdH/jAB/75P/+fRTTlHAKV5WSxWBRlVNU9nNbo7zuZihW9KfAQavMcxzn8iMjK + yooZCk0qbA7anPP6+rplNjNvojkL95BT1nUNIIRgxuiDaUcvA3aOarONtjsHM26GEJbLJUYq08O5 + v1cEVVXZUSjL0gSlFmdyEZ9HsAWGEGysXF1d3dzcPNiiBmeqbere22laXyKyKjcEaeyLEMLRo0eX + y6WN9WaivYhTS8y8XC6LorBwGhzUmW35Eu1mju3sC5F/O/vFrMkWOCEiVVU1TWOPBZ23P9/jEFht + NIcxMw9W2v0ytC+L8bA+doj3sNHhYE5rAEOOTft527YHq58552HvmNkasg1YF4Wqquq6tgVaKEuM + 8XCenFutsAOUc7YgOnvfehh7bclUL7QQu/qw7npra2t1dXXwYVsNBDDs/uAmf/HY5c+QfNX87rbq + YZuHbtmijC7Ken+AnLd9WfnbF16MONwWa8fRehKLxTKVNQB70yqMdT7jsi2KwuIfAEyn0yEbPAA7 + mWTmsiytRVha+LIsbWkX2ln0VRG9QtvjwRzHGfDIKsdxnMMKAcSjqUreFVVAwBAth94+yxa7s8s4 + 3Id49HbqDJD2auSdN97EYoQAlu3ZUOFL/BTQ4M8O6GTSPPpoYOR45kyDk3r0PgDiYYHd/vDw6+5F + H9Q1cvyG3YW7Yyq4f6cXKu8otANMwHeK6K60tzfk/BFM/TZuFwbJECiWbTnU640VpAjopNejBTAg + hO2AnFHFEjMQb69+1x7+QOie1bKIHtpVRHY1s28nyYgMALzjqqhbo1jTEMCq/Q+8JM7lsrQXmJ16 + UNrn/udhUMIPDGXU6Tqld4Tv+ATDdh6GCuY4juM4lxgBEhBYMgvs5FuAfrQcztz6E1PZZ9C04ziO + 4xwWQgiTycTCvADYTKeFMgCwKcwh+tanJx3HcZwXyRDep6p1XU8mk7ZtV1ZWLMTHomEsztKk1Ptd + vgVIjePeVNVicFNKNt4xc13XFrXjQ5vjOI7jOI7jOI7jOI7jOI7jOI7jOM7zkiQ3OcdQWCqE3vU0 + ztu9+0EtOvR/A7ESSCFQUthrAJZdGP0Teyr20B8msUgpSdvCngMiFhVp25IoiwIoLVF2VgJK0C2v + f/2tt976rne967bbbrvh+pdXVRVCINLlfCuEUBUlMw8T2aUbOBzHcRzHcRzHcV6qWKbmwamsBIgq + gakzVetOylhYCLTlScxtEsupepHyPxRF0bQt2jZJBlNVVQCWTVMVhRAo8KQsU25SSrEoiqKQK0eY + cq6LmhRZZdBaw256EFnEu/SMs2kPBX3u0vQiHQLHOTCDjWOotFaHLePtUM8tEerBMhc7juNcUszw + wcz28NdkMrGE5uPvWEL5lFLbtt6POc5LB5OSmsuvVAGQCMJJQzqTnrvnW3fHFWpUpQGVGlgxPJra + OU0TiKEcw6xebgVGMcVzzz56//e+evvLj5dBuBVWECQooEJoQQyIkqiZCzWQMnXSVxnNkV6pyGBh + BPqMSVxSqOdLlMX6+jXE8Zd+6tfiX5X3PvKlMJvnIhFDVUmHLPl9wsKdl0IsIGUADBaI9q5cIRAj + RGhmVlJVZBVBScUsrklSTULKgWKkSEk1QzNPQjEtp5NYlVWMHAIXFJjKmEVsLGja5bKtm6ZJ0gJC + kRBEkFtpsyZm1pgbXlBUJagqRw2RRLTNQzLPTj3LYGhi7QzWvO3phlKXYMrSgCox1JSWgYH5fFlO + y4zmVHuymMbJtP3y459+8JGvv+Pmd73nx9/XaigwRUKdWqZCckOWPlYx+FyFGApoBCmQhSSogJIQ + ARHneMQvLrydnxUmJd+hRyVRgFSElFWUOJbVsmmIaX11tUlpUdehlHKmT84f/cM/+f17n/5KcTVa + 3Zwv62lZqcQGyZbOAlJkRiZRIJRxuUyaEUpClqbOQlBGxbFgDoiaSbdwdXXNbTf/+Fte+dbXHvuR + VT1S5plsclZwGRuRJtVtbpWYmQNFAhOIhDLyhVKBVbESYk5MidGAtYwhrETO0hSYzqrV62955e2v + f9sjz333nge+/PWHvjpPWJ0VOpWWUs4yCZGJKAlrYypoBYAEcBQALPs7XrKs58rWtDrTDBFB+/rZ + u+Gfl06ABIkxlrHKknLOzTyDJ6XMXn301n/08zf90cd+72vf/0Ks8pnFBpUAhNEMXYGiqwUv3GxN + wGKeV8Creuya6ct/++//T7FdWZ4pScplUk4aAlMggYgoBJEL3Wf3WYZYL1LIxTqOYRHaOt288uZX + vfN1t9/2jr/80l/c893PT48UW+2pdplFc4jY2T9b7l+xNHEgJon9B4kgQ8FmTgRAIkAxlSABpaTz + 6bHZx7/0J+urR29/1bvKzWndUASUkBnaJ7xlSx3ca+gFkvsDuF9H+KWGIKSacp2oLeK0nFQ5YZ7q + diuvrFx96sSJ1xx/83/787/9bz7yv56aP7WyFhZ1YymDGWlXRtoiIrWAamCmXNIivur463/2HXde + M7mxaleCTKZhwhrrjRaq0+m01/AISPoU2dH0wJe/HC4ayoAIwJTGonQhDiQklBNyzLy2+MIX/rrW + LSK6UFu2gXW4q8PMVcUK+cL9n3nLa9+2+eTyaDXjshFdqiDG0iKZMluk02Ab353t/MqCFVY3MkOV + SSNUgiaBhsBt2zBNFCEha0y52nr49Df+5HMfeqz5TjEpqzBpc44cYghtWhBrBjJgJyECsDIpbzfJ + PhP4zjvqct4DtJ3OvP+5nSmIJXoGC3XnUQGyr0PAlMtZcbY5cd9Td1d3r/y9299/w8orm7MJHKCs + xBCFEAiqKqRMtL3ljvMSw86RmBmQulnceeedTz751L/6V//bZFIul81isWDmtknWHDmQpPOPwaaN + tHvjlvsII2+c4zjOwTBHr72229rT6XSxWDRNYze393BSDo5MCwMwXeiBDamXFNNA2gS6qRkPYBq2 + Hhi9xxS9AdS74oORc04p2YyJyTX3dvEeYPl2xIcEfVtbWwde2rAQywS4x+TOIHc3KWkXJLN/k27u + GVyhF3dGSVVNSjps88F01Na4yrK0tmCLurh6cge95llVB+2ruYfHXfS4Px/HCO3CHqcaYjDspsd+ + j5cd7iH0a+xCtno7fNOqxAHM1tbTjn94sHyb1gbNCm+D1GBxfvHYWDCkud6j2H+w2HWEHRfrVaxH + UlVTj5sTvSxL66z2MFsPETurq6uqanrjId334D+2gMCLtf02XtjjbObktqK2QXksVLZP/3/23v3Z + kuOq9/yulZlVtfd5dbfUeluyLWMj2/iFsccYv+EKG4aLL2OuL+CYYDz8MMTcX2aGiPkfZib4YYIY + bgQEDMyFAO7cyxgbg18YjN9YtmRZGMuWH5JsdUvqxzlnP6oyc635YVXV2d3qbukcd0unpfxEx+59 + au+dlZWvqspc9f1erv0+U1ysf1nrtZ47nlZWldifIlY145WMqk4mE+tuFpdlu7NsjNc5qw2jaZrV + k6xldZTeJaLd3d3pdDoK9lo6F8vP6Jm9WCwwxIOV80ihUBgpMVWFQqFwKBmWMM1Z1sEWmbmP22E4 + EvRv7TkddjRa8J53nSfQ8Q5tb63TQhbMs5Z6Y2ys+mRzH4uC3qH2SjrRjr68fdyGjn+AV/ykV6x3 + rXzEmTPx6HjcOxOfsyqe96x5OQ/b+3vfwfmZCMxINATEYPAaRO8PvVeC51v/HqhUzJRYGQxyUPSR + JTRaDq+UgIXpkPLeRsIYJbbq9+zsFwIAbnAwHiKL4FZS0MHOnLESb7ZXB3shNedPEtAVbAYjCs5g + ELyDAh1gbtxWrQFAAhTO9S0BtGdE/tTTF/RRY0R7Vt/nTa7sRU4+LQf+FLnS/QVDevZlApxetCX0 + gbJwUEIf7YjVUcj1Ixhbc9wzuD405VkoFAqFwpVBFABnkDzhrCewQNLhZCoA+qcSCoVCoVC4yrD1 + bADT6XQ+n5vJqK1uEtG42G+rp8X7s1AoFAo/JKsRck3TWGyTvVrAk8XZ2HdMi2Rf6TvnLHYnpTRG + ZbVt23VdztkiQc3oGuW8VigUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCk8B9k5Vq4qrpoY9baJKBChU + 92JsV8NoZXiA6DC/QmXMP2HvWNywxZ6fGR+jSSli5UkaVXGAJ84qFXDj9de/+MUvvuOOO+64445X + vOIVt99+e1NVvR8ZkSNW1dQuU0p1XQHQnHPOTNRU9uc5D1UVH6xCoVAoFAqFQqFQuHq5mGPN6IB1 + HqMtdB9lTeSDZ+8sHFqlNzVh6p2rY4xdio44hGDh1oMd9lO+mSSB8sXuPYnZOedcWNvYPHPmTKhr + 5zw5t+yic05yJCKCg0JFYowuHBbps0t7BfHKp6u1YPKRJi4JwLQdTT28T5ZoFIAmIkn5iWnKubZP + 5b6+8MwyqsmPW2yGanxew9p58YItFAqHk1VvA+fcaPBmguCqOpoQlHGsUHiOYRqbTKa32d9e5RR2 + v/HwVx/ZfTg2y66LYeIz5S6mihjDMh/BFCATUOXE0KAUIxZ+kv/pXz59xy2vr2mjSo4VTk2+LxME + xAoBQYgH9cRn04X+6tIkA4B6BlKHGo/PmQAAIABJREFUtbClLnWLRcB0LfB73vq+h//o4WXchlsy + FIRMEAERaDCPpT2JVLOCZmg1FBdDOwzikCmDElyWwHWljolzVtf6el43Utd+urG2dc2R48eP3Xh8 + 6/qNZvPIxnWNm0zDesONo4qUHZwytSn2ttysxFkoK6JQ9+iZE7PlmUdPP3Lyse8/dvrE6e1Ty/l8 + zvN2vV7kWcZSCcqQrEpg9bqnuCgAiwI6ASnEbCn3BF3Pc+9e/TNDUeWIRRLAQULebbeXtJ3qnU89 + 8IGvP/jln/vJX37h8ZdtbF3XLTpKyTGTeJDpOUJZpN+lmeASa29aKQBTBuHKeliSkKpNFABOB5Nv + KEACsnJQJYB6uxL1aBfdpG5IKcdl2HIzPnP3A5//4Of+y2k6kba2F1gQgzyWeamETMwKQAiJaOxo + iCkTwzlk6Z1QgqMQwu52d830SD5LR/n6t7z6na9+weu26Ng6bblF5SiYvSeJoOUJV1M/Vd8qsqqi + PxSbIOHVWYKxvpy40DY5C4kyc6g8EWWVZV7knJUlpLpq68Y1119z0yte/+NnXvn4F//5s5+971OP + 7H7Pb9E8nUXAtF7b3VlMm4alcxBhKAkgAg8wkPZla7roFmAlUuXeX+eCA46Y5yv1RuNDq9jbUUrK + zI4cIDlnIvaOPTcTd2xne2fSTNn5X/lXv37mLx7/TvuVyiP2exgsn9EPd/u1ZW0aYNetpaO/+d7/ + uT61EWfu+PqNSRyIyYuydikKWsAHF5g46z4SZyXWiYo6CZQd1DmaxNhJ63/k6Guue8vzXnX7a//y + k3/iGDOcnmxgHkcveuzFsAxBIaTCysNpAaDO3pg3rVIC4MQ7cQRSn6PGFmc31uT/+/Sf3XDtTS9o + XhaXcQtrAGcWJc0kpGACCzsBCNk0n4Gh7wD7Od6ngRyTZ8B71Zxyx1RPqklFk+W8PVrflNLi5ml6 + 04+981P3fWi2fDQL7NpcATIj36Fgk4p3HFPeaNbOnNk+4o78/Ft/+bajL63baeiqHEmyeuemoVJV + Euv0CpLe912ZzdO6H3yuXhgQUATglAWkYAWUSCRneHXyaHrorm99yk2ySIJ7glYygH76UVUzM2IU + 1UhE4tP9j9z73TP331a/UoXZaUoxcANRgJWs94pXIUARhjZ/uJrcPiBhqBCERIWdOqcEgUNSys5x + 7epF1yVKHXZ23MkPfPrPH3j8m5s3bTz2+JnNSbWcdXUDX+XZLipzRVRmZSicgnTQ7O6Vu4euas1v + T857OF/sjSSAciaQmH659OLO9jpcQth11D4LX3IWV2mi1IX5Z7/1saqpfvkN7xdyE+cgTOIBApJA + pL/6fTZdixYK+yOEsFwug6+Z2YdqPp//xm/892fOnPm93/+/iaAKW2rTjKqpu7a9WDrmBGa2czFG + my0fJ5oKhULhqTPaT+acR4/e0YrSPAUx+B1ewot0dIY2sbWqqpbL5SH0tMaw1BhjtEXGHyYRm+S3 + LWUQ/iGpqkpEzIvX1oIvo4flqOM3brFGvt8GYJWeUrJFama+9OKO6Q16763J2cb9eloDMMvSyWSy + u7trsrpWPpfLN9ciTMxz9Imr808dK9LFYkFENpjs7OxsbGxclkwWRizsB4O1s1WZ1eAFx/MrnR/z + RTadTO+9936xWDRNY+PkZDKxU4lZUx/M1toEpS32SVUPtqhqi7YWMWVDzX4NgJ8UOzSrhTFq6/Lu + 4ofHfJG7rrMgvZTSZDJZLpc2AmAYHq36LlHUo7+yfcfSsY9CCG3bbm1t4UDKq5dmNE6ezWZra2s0 + zEMRkbWx+XxuJsrOOWuTl3HvTz8X6192XHYb2HWdHf7BmvTm5ub29jYAu7Xsus5KT6Rf6rArnPE6 + Zzyftm3LzOa6bR7Y9qn33nzNbcv6+joGbV47v18iM2MftwMcwyAPcFyFQuFZydU9phcKhcJzBT3/ + TyJe0bnooXO+Mv7Je4ERuvfVUUpj5VcCQIZAqHO8tMFPcLW9zFzIlnjP6Xn4zup/w4HpavTf3ueu + t3yWYeM5d5JiQiEr8ieyUiC8mh9lAty55tbnMqwu74u9GAhZeT0/nwCExsMcFrD3MiIrhbGa+GAE + PRQLjaXU7wVMw3NNffZl1dBaBiPwvU04x/H6Si9K0+j9PRgtW9WE8VjG1fo96+l94M5t/LJy4OMX + 9kyjD98S/BXtLwKYdbuuFsK5u+/bCI2tn4me2AXknMAsHMaSLBQKhULhCsFAQIYkPn8eto+xP+/7 + Sk/cVigUCoXCVQARVVWVc57P5xjCF1S16zpztrZlfgvEsVCMZzrLhUKhULiKsUgXO6GMIZ5EZMFM + FrllwXCrcaVPHQvoiTGOITWrsTUW+uO9zzmLSAlrLhQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhSfF + 1LKy6unTpx1xIETtH3gaGVTJgfGBr6vklVaeymGAmfVcuSXa+0fHjx+/6aabrr322iNHjlx33XW3 + 3nrr9dcff9UrXrm5vr62thact7LqCy0LEXmwiqpmImp8oKpWyUrQLFmFMTheDwoUhUKhUCgUCoVC + oVB4tjIKi5zveawgx6YamVVFpM1JUwwhgJlMppAoi4iKqlZN3XVdSlmieu/JMSuIaH/ywTTevp/P + crn03ifJs+3tZjrtUkyxY+9d8NPpdLazG3Py7CwCvAJEVQf1m8OMlX9vAQUo9T5kbduS61WGnXNg + YnbgXhrSfquqSbKqkvYKqqTnpFkoHCpMm9We2jCVcNM/HUWHVwWan+nMFgqFwjmYpvMo4jxqNJsx + gz13hpVzdKFQeK5AMtgnOzatQcqkQTkt693Pf/0folt2KbrKO+eWXXLenjNlDHcqpGAVqKQoPlTg + tFjKZpO+++i/PLzzvenRoy5OOTtSHq2YgbyntqcO8OZUyRCoyAXE+q4yxpuj/k8zVBaGYLbbTrem + XU7HmiOPbZ9862vu/NO7vo0MIaUV2cfBQO5C7NmU7t0pkmKtAmUX8oZrq8WZ5eZk45Ybb33etbe9 + 6NiLr50eP3bk+Fqz4RByVIqe1FWYOK04O4pOMyEBqlCeNJWSqKpqFs6CKBoFsak2tUkvvQ7+FVDO + s8XO42cePzV/9J4H7/7u498+efrhxEvU2lKXkOFdRNJBAJNUAGYo+pJhARjSH+KoA2mf9h6iAIkS + fBUUwjGTgkR9ADxa3Wl1dnZ59vf+9sSbXv6v3vqqd20210xlnRJxx6ReSYREIUKAKkAmF0niAYBY + STKBVBlX1vaVIdCxqhhKZnTcy1eO7tDKtm3Zxmo66dplzl1z1J3JJ/7+no/83T0ffky+r+sJVbTb + bWIoa8qw+QeFKAMKJbBCFanTUJOoakbtXUCVW+jMXUebzdnp61/65rf82M9cgxubuDnBVppn70OX + YiJxzjkXNKt2yDGRF2EB4EDUC70yXaSBEsCZnXpiVZKsOWvOmgTJVd7DEygtUm7J+/pYvblVHdt4 + 2ZHXvfIN//i1f/jUvR9Tx+pj2y43ttZimwAmFZsWEOAABr1KWLQLscYgCupNUFYsVqEk8hSSNYMZ + AClp0mT6MBI1kN8KR5az+ZGta06eWb7rTf/6Dz7+wE46Ba99JIQwIKwAm9f7/pyiGLzu1t/40rev + x2uaxdoWT9PCS4bzAZwUlFWY2QfHiXPK+5P7VZalqioTsWOAkKXyk7WwcebR01uT46+76S0/8iu3 + /+Ff/p8Pz7852zlV1TjX8kVGT2selWptqKfBC0RBQDZnW05QEIgVopwkuwaPz3dCc/YPP/R7//7d + v3Xj1vPybnQ5oDfD1t61mobCUOig/UvIe3rAhwVmAjnOyCmLpuQJqixKFVfSYUpbizT7qZe/45Of + +9vUYO2I67osGIJm+gL0AFQ7JTivse0mmLzs1lffevRH6rylu7EKtQsVOZB4EZEsIAVBCYJeFpt7 + eVu6qj2thaBggoCkd7SGywQheOKs4ipeuu7e73zp8fSDXBEoX2wCdfD2E+fYud4SKely4bc/fc8n + X/iGV8ezkSUyafC8XCTnwpgQQVgPY2s7AHYsQk6JBUxgJwpCzpnZZ2iiRBORtfhXn/pP33z03slm + tb1zZmOjPnOm3diECHZ3MZ0iJgAgZagnJYKyAkjC4wjRCzDTMCyAZLxuHFu7rmp6E+dRQXoINevj + zVRsKNjfbSrBeSxjQoOz8WyzPvnMN/7uuq3b3vSSn06L1ksNEKud0HRYUbjaa7hQODgppaZp2mUE + QIqmaVTot37rf/neQw9+7KOfACDSe79dwtMaK/5wqlrX9Ww2q+v6ENrmFQqFw4+q2hKbLb2tuj86 + 57z37TAcXdqL1NbszHzRtjRNY6t4VzD3+8esTEMIZmvNzOaTut90RrNGU5lr29bSKRP+B8NqxGrH + ZPrMFPZytR+zWjcZwNF5/WB6gCJS1zWAMbXRY/iJjMvcANbW1maz2XQ6tdayr/2a0uBoImu90rJx + WRjL2eR5zVL0AP1CRJqmGfV+VbV4Wl8JzDXcwpzGbmIN8oLj+cXap4hYUzQ/cmZeLBajM/F+s2T7 + 3d3dXV9ft0RijHVdm6e1jZPjvvaVuGl45pwnk8kYFnIAu2Ib9p1zljEA0+l0v5l50l2YxS8OpaH1 + yCidur6+vru7a3VkxBhHR+FLF7L1cRGxCrILADuxEtHa2lrOuWmaA9f7xUgp2aWLeVrbRkvfTJ2n + 0ymAtm1tOLosO31muWD/woq9tN0bLpfL0UX+qcPM5mltV0RWktZCzNfczmJjyNao9+6cs35kYQ/W + 4EXEsmRDillujxkexeEtwYsdrHXScb8xxqZpDlRyhULhWUixtS4UCoVDiYK0911WQrJ1R2eRW8MX + xivzFatj3tvA5xnj6fBBb96sfZzOGDBBg4vwXpLKUEbes3q+QgzWxdLbLfdrsWKfkfbBGpYzJciq + +XSfY97TAukPXIjEQTOEwRAG4HnvGEEC6u2cW0CBYLFLdl3thmgo6f2kiXtz68HoV/oUoIr9r9Tu + 2ZKz9OF5AkAhoLFyWfvDXKlNsogTIYDQ3yXruGsa3az7RWta/WilxEmHNe/+8wwVawYKZkBAfUq0 + z0P7oSGFkz7PyqgAAH7VV9wBLAoQpI+d4n08rkUKl4bGT4DrG5Wu9KA9B+jDx9PRX1abTT9EyJ4N + p+2OGH0AhcsYA2pHD3UBBAyQZHAG91VKcKNreKFQKBQKz15IxUlCzF4ufEVB/dX5eHEvh/PCo1Ao + FAqFSxNj7LrOgipSSm3b2iKrLYKGEOxP7/24ClsoFAqFwoGxWB+LpyGiuq6Xy6XFnloUKYZoPwvV + smdC9pW+BfGoPZKesyVocZ/ee2a2AJ3V+MJCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoXAxJmb2b + VFVVVe//9V+/8847TXc4myjnisisPTE3an8ffkwUydaXTeWZmadV7b2v63o6nW5sbGxsbKytrdV1 + vbW1hRVRLVv1JqLlfFHXFTPnGG1tmpnFpL5VVYSImAlAjjFJdsTsnSMGoFmiRtv1AZSMCoVCoVAo + FAqFQqHwDEIXufnVi9qbDRowK5uEQMwp56xiOoPsnMkUxuFGkQASUVXPLni/bNuqqn3NKSWLu2Yi + GRVTVve3uicSjAbMo7Xz+RImABBCTUQCnUwmSYR9yFmzou0iuXbZtdNm0tT1zvZ2XuS6rkV75eJn + JCx79RhX9UrkQjqbvSbJcOwgKOB84OAtfD2JZM3gXpeZiBh78wbO+cCuj29fSX5I8/z7+j5vdJF2 + UmxIClcAEwE3ndzxiQkTKR7drMc5qOJpXSgUDiFmXG3S/0TUNM1yuRwfee66zp4Is2ein+nMFgqF + pxW7jGf1gAi31Gs45gdO3fcvj341+exCYK9tbgE4ZjF/QfUAYzQ5JSFSZqdEwppZdmnnrm9+9pbX + vXDCFXIYL9N7I+HBsRJgUmJlkMk8rrgcXp2MYkmkUDrnWOrQMDOBprqxe3L7puuef/v1j625rY52 + ky7sNpIZSpD+NpR5dHUdtBCz6wBzAe9vP1mZlLHUdFZv3rz1za/96VuPv+CGIzfX3CAytVVFNavX + meQkDHhXVSGkthPNgkyAc0RBmZkUMW4PB+DYZDrJKblptdnmNi5SuxT2rnFHr9+85dq19mU3/FSH + 5anl6Qce+frd37nrmyfuO6MnZdIhJCHp5S8JrKk/vRArPM4x5d675RwcwYUg1jJnMwCYBExCkDZ2 + EWDEAJqKTGep6z7+7f/yjUe++gtv/LfP33zZOm9NMCVx6qAkmbJSJICUnThWhjjAZVahJJzx9LU2 + MrFWGey1AWHkUfIVyoAXgEjJMTWgSXpo+cBH7/rgp7/xkbQ240mcpUQJzOgSOgFqcABnkEJ4ZRpC + QcqVF+do2SkyiFyaO7+YHA833HHdy+58w8/ecu2t3RmZ8lbQ0M52m2Ya8xwkxJTNKBne1VXtw7LL + DnYL70BQIRFkEecuZj8TnfPKyBRj7oSyqzgELynHGBkucJg0tSMvnXa73dGNa0Nu3vWq99xx6yv/ + 5p/+8muPfMmttYu4C29qq+xyr/6aSZQ72t+yv86XM0FSEmUlEKmS0hg7oOfPKoyuq6vG170Bht3u + MXPDjTlYJJGc2obZt1l35dj6teHYj26EY6fiI1kXvWStMpSFmDWIruziKWUf0sl8u3vtS9/As8m6 + biFz1lzVvosJLjMTs2dmKKuSmJrwU4bUN77JUKGuwxIMqhixS9t0bHK0W7Q5TyWm/+G//q0//7s/ + +Mr3Pp+x0DDP3Mu6Ku1N4MjQi8cJvf7MIr2ntTIUUE2qEPFQ9g6Z4aY4uX0yAX/+iT/8b3/6N476 + myp1YkMBwBjPFwyzClcFhJBAmWFj46E6X3jJokzsNDjvzHYlJUiuOCzOtEeOHBXM77j1NXed+HiK + yyf8nC1GiJ1PMQWPPFtu+ete86Ifx06oXN1MJtqlrp0x+TpAkRWZwErmMc5QZ8Vm6eieOu7VCAPc + TwWTkHgA0p8iHftKfDrdnvzS/V9IzTLpuXOX55KzOAcRM7bvG27mlJvl3d/90s+84nvX+1urlGr2 + mrIjVeobMAGsIGUC2wnxKse0wd25G5mVJUurc9Qy9zv3fOcLn/zKRyc3ape7inxaxPVJIJGcsukk + i9qJJpEyKwN7xtDajw+93jJjsLrfkwrfGyIygcF20mfAEh6L2UZ7Z6rgSJaG7KcKMoM9lhFgLGnp + eOeD//Tn1x47+qPrr2QJQYSIhFUAApE8C+q3UDg4NjzWTWDmRRtTkhBq7fT/+N/+9/e///1f/OKX + vaOUks1+E5HohU8uNr80yhBNJhN7X2aZCoXCfhnHDRtGnHPmBDnaiNqnNrN9CWdr+6htW1vCm8/n + VVXt13P0acAsGG1afjS0Nk/lfaVjURBN0+Scu64bNeLKOHwwTJ2vaZrRZ/3yYvUyPl4x7nG/eoDm + siki8/l8fX0d/Zn9UnfKY8OYzWbOufl8frBD8N7HGC01a8YHsPW9BCmllJK50YcQxsdS9pUIM89m + M7Mnt+yJiFm/X658FjDYWtsKY1VV9n6145w3nl86Kay0pclkcgAdy5RSCMHqfewX5nO8vb1taXZd + h+FUst/jHd2RT58+bRLTo+/yvrAishZusShjANV+k7rELlaNt+1MethOhTaY2Cr27u4uBiNhq7IY + o53uraAuXT42qJqzNQDr6aYEbg3JfKYPVu+XyP+493Gp3R58s73Y6nzTNJd3kHymuFj/shHbvmN9 + 1pzpD7YXG65H6/GxPVhjsIZhLuZW3Va/1k7quh6N0scEzTzeKshiJACMHucX87QGYLswZV0AFmJh + J9+DHVqhUHiWcdUP64VCofCspQ+0GiIKbKHRXGyHp31WlwYJsnJ9xwoh6hefz1sPUawkh95ZGSwg + Zoi5zw5+xr0zLghXdBmSADe43vau26Oxnyo095/05s92gz0477IMLs48HN45K7s8rs8OGwmwGA7z + tD6nIAcnYyset7r9PPot6gjDQvM+DljPec6Mz3UlH3eW95I1wZHBfRlg6ten+/mGwXAYRAAJVIYm + IgqPIXRg+NJeTs5/0781F/Uxe3t5epoC1HTcM9yeU/JgPc2ifV4AHot/n/nScxq2QgAQhM2heQzi + ufLtf79c6f5yjvlm/1jduFEJcDTu3HoTkbJFQvRj1PjzvrGJDjnh83pWoVAoFArPVgToMtpUicCu + 4qi/qmcoYYxUHkI8i5RcoVAoFK5ObBVfBwBYkC4AC2gYxSxKgEuhUCgUfnhGFSSLxVkul3bSsShS + i1qzcBzn3AHCqix8x8JJx91ZbI0FFFr8zahvcpkPr1AoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFJ51 + 2AJrbLus8ra3vNU5lyQDUFuWXbW1BjCId18V2KqxqUUAMN0lzQKARE3XWJns1YGSCkSV4YkVhCQi + 0lQBJmzsPSlEJOdehoyZybGqWpomf2OaEaLCRMqsqoqyfl0oFAqFQqFQKBQKzxJWHzNVMoew/lXO + /Wjk8TOnT5w48a1vP/Dggw8+9thjOzs78/m867qd2S6Ge8m1yfTo0aM3XHf9sWPHnve85z3/+c+/ + 5aabmDklcezAlLM4jEI2/f9P9uirXlCDQ1UttznFX/m198WcRCCq3vvYdZ7pl9797vf+0nvWptNu + 2aaUwKBz5VqUnuHnbeXczPRvVv5c/YJAKafZYvH4448/+uij29vbi3bZdZ3JTU7qZjqdrq+vHz16 + 9JqjR9fX11fLjHV1F0QXELUpFJ5WTAB3nGiyN7Zl1NHer6RyoVAoPJ2YJvj43hTb7fmyUdw551zX + ddu25dGwQuE5hDJIoAwlEAsBJKqSfPulr35u6WcdJe/Cop0LYTIN83kMHtpfoK8sZap41pzaROIr + dFnYx7vu/9zb/6s7N9ymTxNST7243+hpjcHNF4CQYvC0vuoV+GjQEiR73peEwYq87BYiEhAIbqPZ + are7G4/dtFZtzFydYwsIOyigAlUQVmQe0bu3CvXrxbLi4EnKpN6LbjabL7355T/xwjdsyDG/W3v1 + jmtVlsykICJ2ACBZUkzO1QoBiSILKYAsSVVDcFBVAaBKysqAE8VynphDzRMhSFZN6oka3uSlTD1N + /fFjt9z46he/YVsfu+c7n//SNz734OPfji4KJ6Gs3PVVrZb31NtiDnfW0hsAA0OrGv0lN9er2CYn + yMusGU1g9TrPKgRVqeq2yyd1V/7kY7//tlf97Jtf+jMu5ypNMiGbLykJVBhgeNIAdQpPAiZSElz5 + O81B5XKcu1AArCZIaXKvLGCoVzgQiOIsnvVb+cGz3/zwF/7zVx/6XLc+W/pFTnAVJCJnNI2PkudZ + m8CUrfB682BSsDIrcgayeMB7qvMGLavbj77sHa+68zW3/ETVVf6M5yypTfDcrFUxLpx3rJShEAIx + CFm6bpHr4BWQLBkZykQgYu+96gWcHpSEKoraqaqScnC9i9gyOmZHVWBHSikh5RxcaJr1LrVr/kg7 + Sy858oqb3nnz5+7/+Cfu+9AP5t/VKmUWJYiaM7ocTOm0TYuIJBBAaJhaWPVAElMNfWrWyHZ1ZC4d + RBS8Y4cUl5vTSUQ+dWaeQHfc/mMP3ns/qsXQnJnMzdoG2/3AygT+0Re+vOHNmtbQkaqEhpa5dT4Q + OQevqinlLJGZqhBy3oftmRKiqGrOLsFlcFZR75qqafIiVlx1c1y3dvN2Cv/mJ3/tyNo1/3Dvx3Td + tWGhJEJ9wxuTEgIjKZgApTR85kkATubpnhlOEhgKFjFJBHVTv4yz+x75ykfu/utf+LH3KEjhAXZC + pCBVQIQB5UwMBSuBBBRBgB6m84WyKEEdnANJEkmxYyA4Vo2OKADoaBLWbjryvPtObc5yZ+rWMk5/ + De1QRJxHjLJeNbKD69dvvHHjxvZkVlpOQqjrkLMmSUTEAQIREh1EuVk9KbEwaCXlqxdlWIvRfjhV + oI05TN2OnD4xe+iBE9/qptF5ny+umyGCpgk555zForGISEhbLLfTqW+cuPfoLUe91M7Vi9ncN01U + AUBqow4rMVRM7PqqZjjnsgJKZk4NAjuEJJ2ElKru0fjQX3z8T9evD2fio84xM5ukt0R45wJpN5ew + VxIJxFDODAzXJzZxzcKknsWZw/pw5QJAx0tBRxBTHSex/GAUZB68sUmF0U+P5/00ZiHEDAdUFZKA + ArZnZ7hy//nv/+R/+sUXhVQHJUdeACJHZs1dKDyHYeau64h4NputbWyZb1yonPf+d37nd371V993 + //3fwqBidAkvSXNjxaBEZGJEow9ZoVAo7JfRpBBA0zTmU4jB15CZL+1pbSmMrooAptMpBg/gp+kY + nho2FW+5VVVzhN2vpzVW5Oawsnx5+bP7XMLOa2MzMwvPy5i+rSxbezYr1gPoAVp7Zub19XVrS6O7 + 58V+Yqdse6zD+hEueYq/IHb9YG+sAY+CupcLsw4FEELouu4AXu8AYozMPHpa28Yi+XvZGY2EbQSz + VjG6KT9xPL9YexvDLczRFiuKmvvCns+qqso6heVk3Dja39qq6AHs0p1zNtjamGBN6wDrqrbf8VR1 + AAPvJ01/rAUMvfUQ2irbQGS6pqMbtG1cPdebLfolxuGxCqwwTQp1tEC2FKw1PuklxL6w/Y677rrO + rlVsSA8h2HiLoWFf7TFFF+tf3vucs200lfWD3Q9aEVnpWVViuH6z885YoWOPM2f0MZprHPCtzVuz + t7zZaddOgmaUnnO2VnExZ2v7yZg4M692q0KhUDh0p9VCoVAoAAAJnGTJrI6IKalzLidxgXMU5xlA + 1y2quhZNTJyzOg59fMNgmWxOtAAyNMARkLpUVx4KtdkJBtJwKogC74jZwrykD0MB+/2GiBwIBWU4 + MPqFdWQwEwgCSUgZ3gO9WS+BBEyoRoNnGayp2UydExwDxBCB45yTdwwImBMgkApjnAib4ElFTqGJ + ACIHBVGWCHaiwsypbX1dg9CAMrxBAAAgAElEQVRm8Y7ni/nGZApSgJEinCPoviyVLa7w3Ps5JjBB + oICk3qva8i+ZOWQCqC+CCHGA2U674VjcsJSebWNOkIQQBKKEDAjYEwNImjx5e4SNAAdJKcE7wjDl + bDuLGZVDVngLVhqzKgni4a/grC0JPERTIiZQMKdvHQLKIL16DQDCLjJTNemjmp46CR4gTZpBrtUY + KBDUgQi5Dykltt6Ew+VqfcX7CznOEAL73uVdLM4kgyO6AA9rKqJQBXsSRVTyRIzE2M1t7epAQBJH + Djk7xwxpc8vMFQWogg7X8kahUCgUCpefrFikP3rHO98iCmj/JACU7cIGMj67QgqGHCAyu3Ae4/rK + s2ANo1AoFK46bFF8/NMWR1cXs8sD3oVCoVC4IGPAcc75vIAkez/GEIcQLhiWuhrTY+cdC8cZA2gs + 7mcMbh7Da5xzq+ev8bRl8V5jmJRtJyIL1lzdfsVKpVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFJ5F + iBJAGETEc+6fR+oXe58QPauXssw6XAzBUc5WkFWRe5VRIhCBgN6ZS1UVTCAmJUAFAgLY0ZCSCV/b + bwnDanUff8UEQKAieSwb+6gXCBncwK96xd5CoVAoFAqFQqFQeM5gkcxVVeWctZenjCEEVXXBp5S6 + lIJzCoIoHGeBr6uc8w9+8IOvfu3ez3/+85/93Ofuv//+09tnce7d9RNvqldvFi1W+8j6xu233/7y + l7/81a9+9Wtf8+MvfvGLQCDt7zLVQrgZOWfPFnQtAHzlzbB5Op22XVJSxw6ApJxSIsdVVQl0uVxO + 1ta/8fV//ugnP+nZiUg0UyuAgFe8/OVVVXVdHOQdn/goqD4Nt7cmB2lR4u1iaTq/RMTscowisn5k + a7azk0VCCEmFXQCQtI9Ff+CBBz7/xS/cf//9X/7ylx966KHv/+AHUbKuFD4PlTIe3lrdbG1tvehF + L7rlllte99qfeMMb3nDbbbc555DFeSIFg2NaEb7sxUyFmYPntm2Z2XvfdV1VVSlfJZMnhauN1aez + R9HS1T8LhecsNltrPeIQ2kJg0C+2vKWULqFNbIwP/45PVD3NEg2W4VWd/R8eU1i2B8FCCPZA2Xh0 + 49dMEroMa896Vhv5M5uTwmFAhbwPpK6NbVVXXV6ob7fjY1/6+mfi2lLVxyQcwEDXxfOHJfWAEAQk + WRbKqCoXY45ERDnV8y9+7R9+9iU3bfA1s+2uYl9VTeqWmcU5ygIxNUkd/Q6fPcpCpGCCmBMjEsDi + mBTkKCEBCiEmRuYX3nr797/1gA8+5o4CYoJ3AMGMJDGmYUKX6nWw24QCIiCG+l5ZNarr3Do2pu2k + zlMkISIhElIoo9enhAM7VMgQclAhBJiLNYEUki3/POzFxDyZSaBAJgIcqYJAggyFy1kduQ1sYNZs + uPUbb7rl7bf+/MNnvve5r33qrm995iyf1HUseNkC5BAEjiQruoTpOi8WQoIQGOMT0rpnYEyALDun + TOpFGU6ipizKDprRVEgtmH1XdQ/NvvXhe/705M533v3qf9csj1W0Ebtch4bAOc1c8NCkzJAgYCgT + 4HNWTk/Rz/iAaH/7q2CxNe3B05qtSoRBrEoCZNFq4lvZ5cnygbPf+LOP/+H9Z75KR3KnC/NF18HG + t01JgMCQJIFQeZzaxtq6V3Y5JgG8U6eQiLUq0KLRU+7NL/+ZO3/8F4/mayeLDZ9dcqIctZaWYtRI + AZrViffiAVaCkirBexYRBpGZzff1IqoXXnkXIHESAiuRsipIvFM4MKW+btWciB06JCHJBEpYd2tx + tmS65s4Xv/vWa2/70Bf+33tOfL454uYS2cGhYsBpzLI/PVsh2ZltuwpogQ5EREIQ9cQ2Q2GG7nui + uxccgqg30dnbQH0ERBYhh6StcJCUKlclbm654QV0d8XCsiKVxhBCZDNz3ceMBVMMN117q6NKBJkT + M3VYqAcJWOwwGMTiAFCUvM/WLJk7JYAyABJlBUvK0ion8g1DY6c+r928+eI7XzbJM/70g3/X5tRM + XeLFIuV6gvkSIfSqrkIJBFZI72HPLnsmcdL70SohOrAkwLN6jSbfK8nF2WT741/98I1bt/z4rW+s + ZEt388SvMVySuZKKU2s6rOYUrpCMwQf3kKBkJuakqgoHCDETsqJVqGiuqiqpuORedMuPfOTroOAV + Mo54vfht3zIlChwDMd24dd31a9fleW58TWwNKIJJTbIPZgw8TiEOcxQwJb9L3fJcPTiATUAagBBC + XW+3Z7C1/MxnPikVloopC3lczI3IOQxuN/0WVSWCONVJ+uTdH37Ni1/VLV2KuWrqJFkZCmYFKzK5 + PlRJRa92/UNlIfOKhlIWACROAXFVVc3czjKc/ZtP/+VZOhl1Vz0iCSBMTAKG5wRSDhBVSSm5hqKI + OGGHZUQViLINTSD1LIHUkzgSF+DRB30JgMHWWkVTqBksi7SMLFz5BNdFqcI0i3rinNu6RmoXjuE8 + LnkH/8SDhWNAIRFESEmp0nk6fWJBH/r0f3rPG34FyWsEEjkXOPjlchm43BYVntMQMYCmaXIXGRAS + VWZ2m5sb/+E//F/vfe97T548xdy7ONDgWr1qOzc6lo3iRTYFh2GqcAzmXJ1FLBQKVymmdbY6sbw6 + wTiaEZp22aXn1c+bBje7ylFvzRI3TbPR1tom9u396thyXsq2BGCmkqaHZgPXIRx/zFrVMmzvD+AB + aVhhYmU0vqw5fW5hYQnjuWyU17vgiexg62JjdVtnsVWbJ/3JeAq+4Kf25kk7nXVS6xqrO7UmNKZz + adv4Ud7c2ttqCfzw48C4a9u+vr7+pJ7WtotVjd/VLj+utV2JfmGZtLbxpOuPl2AcB0ZH58uazQuz + OoCbNewBEhGR9fX1xWJh9rFWiWY9a67kTdOYvyyGdjW6yTZNk3NetaQ1xsM/2KLzalKjAe3YUM9r + J08lnfG6F0OXHx18RSTnfACb2/N2PR7yqg2zNScersAvmM6qcOiY8ljOT0z/acNGSxsBnuK6PIBR + 9XTsUHY4OWfztB61Uu2IxtFj9XwKYDqdrqqt2g2L5eSH8bS2ljzeENnereWLiJlzj7uwqhzHB7uC + sqg2AMvlsmkaAAe2Sba9jIe82qIszSu0FnzB/jUO5uNoPFqV7zf98cS0eoYam9ATh6nVM9cFGRvV + eSmPSVnidV23bWsHpar2K/vmOCxbtRb1+EKhMFJsrQuFQuFwwjEn7wIBue1cVSFn512OyQUPiPa3 + E8LEGWDnep/kFQtes61V5AAQlIG6YmiCMiHBVwBQAQJJiSsPQs5iIiHmu5z7kInBAffKHa6e8z7T + eDTsnIcQVJEEnuGYAUYfLmPRBjo80UNA6qQOvFgkZqobD8A7AhQkIJG9aJ2V20sCEsiT2iELiMQM + oYl7P2wVIceV4yg6mUwVAHHqYqjqvUPYTwE9MbSH7UUEXCGmXsIEe8u/FmuUJDeOAJkv52vN+moB + Eno7ZjV7buegSuxbicyBAIEQNDADopAsQpKdD857AWcgtrGpQ+93Xjko4AgCYrg+IjIvYjsNzT4O + 9UB0eencYNWdEijE2Sysr4FIMvd+kG2WwOSbbC7s+9qB5xg7X1UCVZCnSiABTNChBG05EQJWwB0q + Z+sr3F8UWlltE1Z6ChMQ0ESNRA7gFNu6qiGACLwC2RxdmDlZZmyQEYcu5dQ1kwaQ3C1cqFe9PAuF + QqFQeHYigi5vdsnb5CwAMkNrBe0r/LrwJIwz3bZ0YdP9l5j7PvDKSqFQKBQKhUKhULjsMLOF6I0C + W2MEm6qOodgHFihpmma5XGK4ERgj58b4OYuUsjCa2WxmO7LXMQqwxNYUCoVCoVAoFAqFQqFQKBQK + hUKhUCgUCoXCD8/ox2y65E98HVDoRb9z+F/PO94nfS1G1IVCoVAoFAqFQqHw3MQ5F2NcLBZ10+SU + cs5NM1m0S2ZezOd1XU/X17quA4g9dyl96e6vfPBDH/rABz7w4PcfFpMWAbCiPHGeszVdSAGFASWI + 4tTuzqm7v/LFu7/yB//x/6mIp5PJm3/qTW960xvf8ba33Xrrraa/78DsfRtj5X2oK0m5i9F577xf + LJfsA1RNqLeqqrquY05d15Hj6fr6fLm85957CWjFFEgpi2bAA9I7XZ1/E/003yAvFovNra12uTQN + x5SSSWECiDmtr6+fPXMGwMaRrTZ2mpGhj516/K4vf/kDH/jAJz7xiUdPPW6qJKYbY7VgTj4AmBGH + +iCCeRDttMv2VDr5hcfjZz79J3/+ZwCuOXL0ta997b9597vf8ba3H9s6MusW69M1InRdx84pskAt + 0D2nLqW0eeTIYjYzfWTQobNTLRQKhWclo8iyqTCPuszPdL4ugKlUj2LKT6opb7LF4wNWl9de+hKY + gPVogWDlWR7dKhQKVxoiF2MmEiIVuBbZTdMX7/7H5FtBFnIX0I8kQEUIzsySIaxgj06Rc04C7wI8 + nV2evO97X3nzS37OdWc31o/KQrs2e/ZCElPmXtDT0laQyGDteVXrDg2mpCAFwyylyQxueZAWHBdD + WfnoxvGAShATdapghihAe1qpq5x3b8iKTP1GNftJZZedyzXnQCJg1X6/Y654SIdZBfBCwoPVJYbb + Z171RrU3vUOzuL5+TB5KBAwFgwlw4izxzNlXk5t/8nlve/3bv/DNf/zU1z4e5yeqdYppBoULEKDy + OLst6+uQjGUrtWNRZoCUFWmUITUDbwVAIiQgUbulz0ACZ2JSF3KU+Ym0+6UH4+L07Jfe/L5NB0dT + SeKEPNeSU19ErObhTYBTICPzlW1uCsZwp98rbEEYWruwWCxqH5IICGDnA5+eP+aPzE923/7jD/3u + CXmYj+gOZs4jJYTRjbWvIECYIDFDEo4dqbuMuBTyjjnHLLUjaZF3wvFw4y+8872vvu31k8VGLWtO + goKhooAgWw06hYJ1bHZ91YuutIQDQMqsTNbahIUw+BCrkCigBPZV7iJSrnKFDt5Nf+zo6699x/F/ + fOAFf/tPH0xulr2AuUsdqTYT38b0JHs9l0SpTZ30cwjnfDTOFJ0zxCljP861iXJmEUSAmL3Lfq06 + wtqQVMRLIRAlB4DELuhoP62NlGs0R9evIXg4ZFXhTrlTAhGRelIATGCGyP4vGEfjbeqrv69rJVFP + UZdw7JxH52SHbp6+8J2v+8Wzsn3fY3e1i9MJMllDl5AzqoZkMJim3mV5OFgSspYgIgQBhMEEFmHA + CVs2MqfkOoD/8rN/cfzaG26onn/t1k1+EbZP7Vx7w9FTu48570AgydL/yjGcgKgfcQ8JoibMO/iI + AQxVgJUjkLN0PlSc5Mh0w5EXESIGnSO6TL0OHzGpAyjR0enRSmsv7JUyOFMezhC9ap/2BS42vNuJ + YGVC7mqFe0VgBlggQ8EmgFuJPMEjuw9+/Xv3xPUcJq5LWRJ4v3dsJJ3OT8y/8/Xv3/Xaa96Wd1Vj + Ao/FCAACBsFBLD9XdZkKMQBrHgJRhigDqLw7szhNx9N9D3757u9+gTbTvFuQG7SQRRzAItSP55xz + Xt9cO7UzqyaYTML2bqwcqOV1bHLHOYLETcLG0a1rrjt6w+b61tZ0s/b1pG6C86q6bOfz+W7bzR97 + 7OQjjz38+JmTa2EilbSLlrxOmrXdZUsEIWmaand2tq4QBXkJvz+DJ4ZyPzlNyWbXxaUuzO558DM/ + etuLXve8N5EgR6pCszOb1XUNeXbYwBcKlxGJqZ1O12+77bbf/u3f/s3f/B/Pnt1xjlQoD05gq1dX + q1NtZjK3ahZo4kgYrEBxUPvPQqFwSBh9Gc2I1/z/2rY1S3tmHv0ax+5/QWzGHitGqnVd2/I6Bh/T + cW78Ena/qmrz+WbraBaSJpiGq0EnzYbE0Z4z57zqzrvfpABMJhM8NbvWwiUwP0uri6Zp5vO5ufCa + T7Otjlkzs2a/3/RHq1qrfUvz0j9ZNbgNISyXywOsx1mPMK/Z2Wy2trY2mUwWi4X33mzg7dOqqrqu + G9fILsi47qaqTdOcPn3azKcvyzhgW6w7hBBsZBj79SUKZ3xvEUf7LZ/9Yj13HK/MzvYA6djl09j9 + x0HgafAhHlu1qto67yUq/WKYPKYdBRHVdR1jtLoD0DRNSimEMBr9mt+t/dAEMEfT6yuKtQpr4fbm + 0jbkVhrWHTBY5zrnnHNt21prHJv6ZaTrOrNJHvd46UoZL7DHrNZ1PZ/PL2+u9sV5ttwHY/S0rut6 + bKLjkGvjjPfeVFKZeT6fT6dTACEEq2LrnnY2HMdP+/nB4hnsVxsbG+Of5mmNwTjZbI/Hb44VZ+OD + va+qygbGpmms0x0sCGG8Ehsr/ezZs9bdnHNjCIft62kIdbBhPISwWCzW1tYwXH0dztCRJ2IXk9b1 + rAETUVVVNkYd/uvJQqHwTFFsrQuFQuGQ4l2litSlEBpkKAkICD4hQ8Sz84wsGewykKAV95FJAKBw + GEJIssIRVCQl8hVygvOoOEF2YzsNE2aQ922X6soTOdLeHlgJGaNd7hV2oB13wMiDnbbFpmXhyjMy + ECAxMztRYVHLlmMBi0cfwwP1zjEUofLwaAWqqJ1PsQ3BAeogo8WvWvAHgQAKUIUCXdZpRQqKyAR1 + gOTsvYMKYibPFTvLYcxwdTVTxJimlQ/7sT22bzo84VkxQiZOUavKAyA4KCgLkXhwN9sNkzpA0Lao + wmbtRTtQtZoC2UGBFagc29xs4CBA0uSJNSXngizmoWlADE8KzZI9sQNyHVpACRRAQNfmmpx3fdNK + sa3qMA1N1uzoCrYIBZwLiqyp81xBPQRhfU0ZGYAfvKZDYALLEB3xlCtAgQTWqukAoIoCRwgWuaOw + gDzzQc9ARgbIWejMIeEK9xftWmYHUjgoSQYT2FmEIlAjRKBTuLpeJJ04UoBcB2So9xkbvk5ACyQg + CoLAs/feIwEMJc4Qd3gKs1AoFAqFK4QAXVqLKYgIQQEhdgohOFXYlYuyUh7kAfa9pl4wxmXaceUs + hPBDLu0UCoVCoVAoFAqFpw0zrgZgsWsWRWQRQs45C6jCQWOklsvlGNbsnOu6bvS0tvuIUXvFzLPt + TVVVFoxocZAlvKZQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQuC6PgrgCsKoNO66DWes435ep83Rfn + ybI/qYPXJTSgiz12oVAoFAqFQqFQKFxd2HOR7BwAZk+OwcTeO+frEFJKcdmq6r333vcf//RP/uqD + Hzxx6nG7KfTOB+Zl7MakLnCzSFAdXlcQQBQEImYiItUsuVXp5rMPfuRvPvSRv2HghS944c+/6+fe + 9a6fveMlL9nc3CQRAZHpzygI5Jwj0V4HExJj7Jatc84FH0JoY5eXS+/9hz781840tQGRPWEVs6JZ + sQ3bswJ6Ou9tvfcpRlO3rCdVSinmvOy6qqqma2tt1/m68qE+vbObJN/3z//8+7//B3/3D39/4rGT + VgLjw6umE8zEpmBrcwN5ZYLAvGFEBcAipVFlg4lPnjn91x/76Ic/9tGK+GfvvPN9v/prb3zjG52j + 4Jyq5iyBXTOdnD59uqnq9Y2t0dO6ruuUrw5ZzEKhULjaMaFk0/NtmsaEyJ8e++d9MT4nNXpaABil + vZ+IWXGMggx1XT8NwvGWz6ZpRMQU8Ecp+adh14VC4bkNO/JZo3Jmx6KUOW/7R7/wzX8UJADCAsCJ + KUD2vzGnZvv5YBwoIKhguIPxvnExtg8//sC3fvDPr7jxmpg65xttMzPnPVsdRi8ZKAKBsj579IV6 + p1JWEupVDWUwIl21Cj5+5DhnT8E7IArYQQR7XoznQWISTKwg6u2lMycCMlJmySyZObHzOQgnYsmc + hMwFGqwEEui4d0tKaFBQVPQ2z3ZPRQAIrMM6bF87e/7jAAIyzLTXkiUBMkE2mvWl+GNUv/1lN7/0 + tjfddd/n7/nGXWe7h3PzWMQiZ4R1OAclpAQfgDy0JbV9CpAAZPNCpk7J/NRByiS+yuRBKXfQlN2c + a4kdTsx/0G1/eucjZ/+bt/93NzS3ew1I3hMJceaUCRnCklg5ZHiFEqvuNewrQ+9pbb7jNNi2p5QC + eyFi5kRZXey4c9fEb+18+Y//6ndP5VOxjqd3dyZHApxP3cL5Cr3Jd+8OTCogVAExIrWp62QymURZ + gsQ7TP3mcqHPW7vjfXe+/+bpC+tZPUGdctsGgXrAkVReHCtDWUiEkrAJ6yYePNfPO5ILzUv0HuEA + oMwAZ0hvNWwO7jJ4cA9TBQTzixUClFWcSIycmio0WuVZDt3aDdWL3nRTc/zNt/3F3//x6XxCJ+Iq + pIQu7U8sS0kEedHuComqgBwRnXdcSoJeWhh9rsaaW/2eNf5zfysEghN2mZBZmIOKP7J2TaWTVi1N + Ue5nn5iGxJ/yjAUr+1wf37iBVOGQtCOWzFkJTjL3ZvMMomG42N/4yQpQglU3mMSBVEFCoIq61IlI + oKAkbZuZ6qP19f/6re9pP7749sl72VOX5kDc3Kh25p13YOpV4kaUoJSsLamCFZkF1tchnMWpB+zk + ItEJ0D7aPvRHH/7df/9L/+tOWgttvvba687unK6aJlLbt0wJIMfCQOj1ZQ/VWYPSngbucH40Z+8M + FeTghKIc29iqgnnqDD8cxlgWABAaBfj4uqM3eA2UhIgUPoPpnIqWld+LnYvth8B+1J8PIzL0N4ay + YrhCoJw5Sb28677PPrb4gT/WxNymmJsGOWGl4z5pw2AHJ0g79NgX7v/Ua25+U7u7dKDAPvenPwGg + 8PSUUjv09Gbnvd+8vTe98cwpuRhx+pNf+cjp9Ij//9l792jbrrrO8/v7zbkee+9zzn0lIRAICAKi + 8pJHAK0AEQiglljdaNtCiYCjbHU42m7sHvboqvrDrtKhlg4VraJoyyeNlmgVKgQDSB5CgAiIBJAQ + kGdeJPdxzn6steacv1//8Vt7nX1v7j3JOd57cw+Zn5Fxsu9+rLXmXHPO9Zi/9fuwuhLCSMQAiETR + jxXCLEBwcu985kdoI2ZfC5OSRrRWtKPi2MHLDz3uG5/w+Ec/6rGXHLx0Uq8XVDotvHI/WGkCoJpU + VSmpR0vz47OjX7j71k9/6eP/ePet987uWCyO1SP4cTFvuyYADr4ehU7ZJdG0yx3hsbzZbnfqSSEc + 7gpfuO6T73jkxY++hB+zNjrICma22/Kc7yhnHrJsn8Zjea1hIwBDYgjds571zF/8xV/4iZ/4CVUV + VSxVi+YvNNmepTwiIjNc2l21siwHk1nXda6f8stJijKZfc8gPTU/qHX5wV4PwLo/gB1ctvZzm18w + LaXN8w4iWyJqmsaynN1v5mTTENrXbNUxxv2SJ21V4G3m1z0IrbHcL6PR6M4777QFXrBF3i+Yx9pS + 81mrthZuQRRmhrZWt4dKHrqPLUdVJ5PJbDY70/eHI6x1HDvO7q1c1jBExPyvi8UCK+7VtbW12WzW + dd0wm3ZarIENo4H3/uDBg5bt/KyMA8Na7B3bmB3yqA87wqoUy5SJ57r9m/PYasO2FntS+drsqqra + 3hmU6ucaa8y28bbZe8tUb93EaltERMSUurYLBr+1aaRPmaUdlN5ns2BnxmaKh1Zq56hnWru1PZNM + TyaT6XRqwnt7374zKJYXi8VoNDorG2kzxdaDBmnx/WJSXhslTMT7YDFUsjUJq+cd5uV3WI5dStgO + Gtz2MUY78bBBY/jyeDweunzbtvZiEGwPw4j93UO5bAmqurW1ZRtmq7PNGNrSsJ3Dxgzuc+txIYSq + qiy4wjrO3hz2qjpUTl3XbdvWdY2lgBnAdDqdTCa2xqHFnjtMWg/AQkdM172zkf2Cwk4mzZ5ucuuU + 0rFjx/bL+WQmk3mwuODC4zKZTCZjpAQGitIDCKkrKo6p885BBEkwW8CxI4J0JrgGCgBY9fLarKQj + gMBg56AJ3sUU4JwAo6JQSIA4+MRIgGMMwVak8IREOB8XuyQgWw8x2IGHO4vMCALvoQouXUziPUME + iw7agRW8jLcQDzhwDc/eowPAgCIElEVlwVuu1/8yqDd2K7Do4oi5YPbztnIOnaBb+FLhGUEAhiiS + oC6RGFAiR+Rq7xdBXUFU+gC4IWbnATBIiGn4B5aBEQw4UgVD0HZgRzFh0YJQsmLWAQpVxISiYGY4 + D3Af56L9AhKgjgPgGLHTsiQCgiZHcJ4RAweBtAgRDlQ6D0IEQM6xQ0Th1bMAo8pZ6FVcdL4uq6KC + JM9EAtrLNekuCNACvuISCjBrEiK0UcUTgIoRW3gGEkbRqq6xXfxAIFcWZXm0S5PKpYTagQBK6FXd + xMuAFeFTxeMXBue6v/jK4laAXpvdK9gT0AkqTjHUVUEqgKABaQC24B06AipAvZAfV8kvoygjiPuY + T1eMrOvlS9JMJpPJfL0j0FhI6h+RUF6G9J12Bl2FKMf87Q3vfdu2RVHYLe+iKJqmyU7rTCaTyWQy + mUxmv6DLhzqG0D1V9d5XVWVBWsMDHnuI4Bli1yywb4gsHEIb7TWWAdAWrjSEKNmb5ydiKZPJZDKZ + TCaTyWQymUwmk8lkMplMJpPJZL4uUTqNjNmCZlf/7vzpfvm7B3Zr7TptfWYymUwmk8lkMplMZt8R + QiBmYk4qSTSlyMxFVaYkAixC9573vOe3/uObPvqxj4I5iAjgnVfVLkUkMDGYtp+jvO+lJd3nfR1y + Z6jI9gOYbNIoKAEJ+PyXvvhrv/kbv/qbv/HNT3ji1Ve/+NU/9KrLL788hrBYzA8ePCgxHd/cXF9f + D5aAFVQUhSsZQFIJKU4mk835DMD111/fhiAAiECkojjD47XnWWhtOOfati3L0hKekuO6rkHUNE2y + VBtKXdv8xTvf8cY3vvHjn/qkZYUhUIKmFNk5z64N3ZB7Nw0uazadVy9MSypERMywmHYrMpBUHPXB + 863Kn7/rmr981zWPfPgjfvqnf/rVr3pV6Z0ASWU6X1x0ySWzra2u6yzY3rJ/+mKn5MiZTCaTOVsM + efPruraMzPZk056T6aTsNScAACAASURBVJ8jvPeWZrosyxCCHZ52yJ1t2YqHjPxt256f9PG2YUP6 + ++FwnDMjZzKZc01KiRlKBNYQO53Ez9z5iTtnX0p1JAVgMmYmMbv1tvmVIYOyGUBKIO31IUGSY7ga + qW0/fMuNT//G55646/jh8hLvvaQEhveckgmVt9M1qslZ7yPT3V+saHEZCpD0ZmvSIbWg9D5pJuUD + axdp9BKVHEhAHqqgft6TVxe7apumVQUviemohYOQJhIBEotoUk62XvtVf2G2FDcSwLp9JUpqutld + wLZpyr0BVHmZ6RPdNEalqt4oVC8v17/huY9/9qOfe+PH/uqWu25Y4GjldfPETGuEDq6ACJRkWV5a + tgGvLOYxFVoRbCuz2gUjnHOiEqJwgaqGeszD8Vvu/ttwrf7Ai17/iOJxa9WBGKAKgVfzHDM46dKQ + vbvy7oFVpzXQ71oShCB1XbehcSO36GZu4httvnjs02+94Xfupi9LSW0KR44cOD7bCgiTtbWu60jZ + KQjMuq2cjwLvAeFRwbFrYpC1dZ8WNL9Hn/Ko57zyO37kIn7ERjzQzZpA7Wht3EYRgkue1ZGUrGSd + MBErkrLYc+026943swdcWGuPbiWHGK/Y2ZfL6f9vDZhJ4X1Irca2cmXBlURQcpdvPHH90gPlVdWf + 3PCWo93tnZ8XjqPE3Y4OQjLr5iiESMVuXQD9OMPxvrEYu3SmsiiBfCS1u0oqsj4+UHE1E2/3cxL1 + u512v3RS4tYdGh+SoIokveWXl5p57S2/cFDaw7hJ6DO0Uq9QZgWERAkxRSJSQlKp6xqiKSXt6GEH + L/uhl7/2TW/9lWPpzmbelmPEoGdOQysgKAHKJB4EUknc70RhOI3U+26hhOC6et3ftfXlt1zzO695 + 6Y8fqKvNbrOoqpAa9P7ruH1DTz0gyruq0XOOAEorBwFlgKBIpCIdOycinGitHK9Vk3s7+8lJnYyW + enJRJCBFPnzgUkpeRCyDthJbi1ptTaTU3zwkhUIIDkkJy3a3XyFIH3BEnHhZForJdUfbOz5y603V + IR+QQkjOwTmX4q5S6gkpg9SN6R++cstXN7/48OKxXkfMjkSVAKgs7x1zf+N2H1cmAIB56bRmS8iu + ooRG2vow3/y5m2+745PlEZ5LU3inKrRyhmBHYSURgvPMqoWruNOD1VoRyrqpn/bYK676nu9ed4fr + Yly6EtGjJQgVKCSqg7MhkEFERKxKMpvNDk4OrtcXX/Soy5782GcfD3f9/ec+/JHPfOCurS91cVFX + 6IBqrZrOFgpXFIVK2tVRe3ma1Mu87bdCsT5cferOv7/5tpte+tRL46J1HfrcjBfWcJLJPBgo98nY + l5hfLaZurd546Uuv/tmf/dmf+7mfJ4Jqr4wd7q2ZoZCZQwg2MWcqu+ELg47OkhS1beu935tMLpPJ + XAjEGM2daTeuzWIYYxwMjpYk7RSn42mxVGY2oTCbzUzHaONDSmljY2Ow6g4J1s6EjUUppSFXm93V + 3xd50gZDp23kHpy4thDv/WKx2NjYwNKKeva39SGD5d/DcndYizKdqilCbf5oz+1qMC53XVfXtXNu + Npvt0M6HySMsUxE2TXO/Xey067VtNnOndRz7Z13XTdNMp1Mr2s5LHtTRNhpYVdiGnZVxAMB8Ph+P + xxYEYkPBDv1iWLVlTbQ1DrkTzx222TbyOOeIaNhNu8Xam+0Fqz1zZp/lLT4ZE7RbFkqrwJ1H2jNh + J4eG974oCjtG2Pwsln5i203Wp0xkbqW23bRqND93WFc1AbCdrO68v6wtOeem0ykR2Q6yWWbr+Da3 + 27bt2XJa20qHUcU20lrXDvlFichEzkOzfxAnl51zg5rdfM82UOxtUSmlEII1HsBuK5CImCLaQsVG + o9Ew1NhwHWO0nWUNcrFY2MXIMHqbo3pXG2P1P5lMZrMZlu12aMbDAq1FDf+cTCbOueHwYau2IddG + yGFRu8X6i3Wctm3Ncm292JzZa2tr9une+vUeWI1zsG0bdty+QFWtrQ5y66Ff74vzyUwm86CQtdaZ + TCZzgeIcUtIUY+Hhigio54SuQ6cIwDwgAOTQNBjX4AikPo5L0UdlgEEO3iN0OLCOUoCEybhz7ECF + dMzUdqEoRwLx3ncpOeKSVgK7GA7g8zEFKUC0yWxS79U2HkoICXC4d77YGI8Y4rwACYsZ5gltA5dA + YpFeUA+uUEZUlbaC9VECmODIHqJiUkHLAKNCt5w2d5CNUqEtNmdoGdMZSg9KIIECswZ1DSQUDHgU + jKpkKqAF2jjmGoImwRe7m4QXoIUAqIjdqjeZICmWDpQCQoeUMI8IihQRF2BGJ0gCJkxG8B6jGrUD + V/Zb23FEfUxA02CjgCNCgCukYAAtNGC2QPCYRtgVZSEA0ChcgYJRMUpHmlzpUI+apqtHE187DYFc + gQRIckVxTr3EAiaUAGwLuwriOCya8ahOACBRuqoiQDDtMPWYLlAFPHADe13hwGSjqjXFynkoQheL + 0scIKobYIXYQ6o3OdIFJmM95fwEzWFK/L7gvvUmrOdZVRGoxa9ApGoFEFAkAtjqUEwihctCRq8jV + 5QIk3juACMxQRRelLvZ72Eomk8lkMveLgFQpAmCFU6gQSHk4ibLnHxRKEIKSCrLZei9YgIK9tnnZ + YZo2k8lkMplMJpPJXPhYDhQsIzVDCBYLCGAI1dpzOKNF/1h0+BBiNUQgWTgXlnHb9r6FK2EZzGRJ + Z85OUTOZTCaTyWQymUwmk8lkMplMJpPJZDKZTOYhyeCmOs3jactcgUMILSnLhfUIz67ZrYtrt8nE + z7/rK5PJZDKZTCaTyWQyZ52qHotIjFEJVVUJ0HTdbNEkxe//wR+8+b/89ue/8AWAiDiKAFAgpMjM + 7FxKKakgrWR8HhReO7D81BTLusyrKNq7faqyaruQUi/5uuXWz3zq1s/86m+88TnPfMb/8q9+7Oqr + rw5JCDhw6NBisSiLglRJwcySJKUEJu/91tZWUVfvuvba41vTPpOKqlK/dt3BBHR+SRrJoayrxWKh + 0IJ5Pp8rUJal964T/Yt3/OXP/8IvfPpztxEgBMc+pahQ9k6TlTgVVWl67+2ap76cq5Ws0D6CnZbf + UQCaVDCI1oAE3HH31/63/+NnfvmXf/mnfuqnXvfa16qmoiqPHTtel0US8exE1cEVvrpAqjGTyWS+ + 7lnN21uW5YX8kNGQWdgyWTPzDmaIIdP6kId6eJzqnGInP2VZWgZ5S289OAAymUzmnCFJ1TufUhBC + LGLrFh/61I2tn4ETAIIpSL0SCKIEKFih/aycKPfZDZmRIuyB1yTSdaEAxMXbbv/kl+79zKWjJ0YJ + 3jmJ4gonEgECCXTINdTrSfd79r0hSSN6waghIKu8QasLAkh5bbzh1YfE7JiG2WEl0lMduAoAHipQ + sAipgEFgAQOiBOGkFEFJXZtAoi2RQkvznTLMsdpvGCv37uIlpOIECk7LpJKsvUD3TBmfhAAtlIRI + AF1Ksh1LUXlXEpp2To6qumo3Fw8fPepVL33dx7/0xHe8/8/uPn7nkQMbU9lsu9m48kEjVEAR6pd+ + XjPdxsR9S2EBC7MutaYak6IoCqYyhE4CnIMqQqnVxXLbvZ96y7v+8w+95EcfXj26cmsOlSoB4lRM + tSvMSQGw0PnRKki/A9XkwZ4donJinrabxWH+2uL2qZ744/f+7ucXnykOY34iHDp4+MSJLSY6cGCy + uZh6zwATPCsIbD5jJXOW6KQEk7ZbcnCt0tbLcf7mS5/1P1/1+ovkMeN2HV06PD7cyPEQIsuItWD1 + pGAISBgilByiQpKqEuy/CLCyF1nJBLbc6UtOahjKIFFKy/yfYCWyFKAAAGdacYiSDqpRaUNR1pHq + mIJyKlQKchOu25nWeuSZD/vOAy+5+Lev+fW7uy/KODovmna5vxiLdoEKynR/BuShx/FynwlONyL1 + iYeVAVYhokrQKQlBSFE5P+JRkVxQjtxXHwFOQbI7szUpexQb9ToHkILgVQVSKVI/YLICkqCsZG1b + dpmejaVXSpuBW5ZDhcRUFEXBvotdkgiGciqKqt1CzQd+9H/8qTe+5ZfmsojaNvPNerzUkyju21rM + B8zKAmaF2shGMPsyL+vDCYQwlzkq+ey9n7z2I3/+3Vd8vywkdL4qakoeJIQIRGXI6ffMhQADQr0C + WRiepRDiBI0qlfchiqMiJXdgvOE6Euh2UFA/IAsIqiCCKFT8+uQSlkpViQlQ0mF87tco27+FQoSU + gWRDBNyFcqtx9xDEtMRqrX175Emp3Pr05/727vkdsiGLZlFU3vm0aJI76bKp96ffd7n9xwJNwgwh + 3QrHb771/d/z9EfJiVojOVBiEYJC7Zzn6wNWj96brlg2oUSpc4vN+LXrPvbXMo6RgvMIITEvhRzK + Yu55FiUBIbQyKX06rnW3dsno0Vc86Xnf8dQXVLqmrXOoSChGgiSHgsmBSEkFYjrrBCiSiKimcVlQ + JEqjMdVVumSteOTFT3zCc5740ps/c/3Nn7rurvmX2M8ErQeKNZ1Nm2LXTkZhReJIAAnsbFNZWk1c + u5tuvf7p3/qMI0prfEQ6GtejDmfUg2UyDwGWZyi6PLySAGDiGLu1US2xS0lf9yOv+dpdd/6n//w7 + RPC+z1DkvTePY0oppWS3B03tFmMcjUaDcdNSG81ms8lkMqRFzWQy+5fBnemcc851XWc9vW3boigs + SZrdit/BUTp8ai8mkwkAUzx67+0mOYDxeDyfz3cwMg4LscTLJiO0++r7Ik+alddKYZ5Uc3DuVrc5 + lH11yVluvWcGDadNJA3SYjvk2Ufee5MB78FtmVIaBJkmzAbAzGeat7INsPSD9s7eDMSr6zKRqhln + RWSxWFjDs6mxrut2bodWIYOqdrD/npVxgJnNaW11wsx21nGm+hGRIRmjDSPmjt1t/ewBq6jBvb03 + fexQ1U3TmP4ZKzOb5w6b4Y0xriqBcbKm+oFgO8gEzOYbtjdt+SGEYYFDIy/LcqgrETlvjlg7cpmz + Fst2e6a9VhSFFco6hfd+sVhgedyx0dWOLGZQPltTyYOXHcBoNDIl8w7fX+3UMUYz1pv1+axszx4Y + er3t+rZtd5iX33khVjo7K7CFmLp+sKRbqe0ng00ZgO1iuzCxAdMWZdcptit3haqOx+PZbGaBBHYd + ZAu3BoCl5doui2xrjx8/bttpzWm15Q+7zAq1B/2zDXQ26NnSrC+PRqNBuw6gLMvz0MWGUw7bQcOo + sr+wZmPtLaW0j84nM5nMg0XWWmcymcyFichs5ssSXcQisCQ0CzggNGjj7778ezZaGXXJdenAxsa9 + J467ulDuSNUpnMBZggr1kXlL1R85/OXp9Mfeey3GNdpm7DwcmfS68g6zudSVurJDKvjkuXkFSKgP + DjunwQ2sFgdmq1puAwGO4CAXjTziAiEgRMxmEPrTf/bCjS4kjsqJFACpltOiuqse/eT119F6FZst + 1OsAnCoSwTPA4O2QN4I4TQgdZlMIsDX9kxe85ECI6oKSklLXxSMbB09sbaaiWJRus6LXXXMNmLE2 + ga/hK1AEfO0QOtAuT7AduLeHW73aPyQWGtAFtC26Fl33hy/7rnJzawx1VVmq8rRl9uX6gc83szsO + rb/huvciFWAHWh7QFUxwQALGNdCa7jpiNi9dRLdASFiEP3j+iw8GGQsXjo81s6oq6ihlXd0+ndKh + AyeK4rXvugZ1gZjqokIzRTWiymkn5BzE9Rt8ji+XViK1AOnWvcN85ryiXUCBFNHNIP7tz/+e8daC + OILicrLwfv4erd333/g+XxYgjwD4snAlQvIEcJEcL6fc2WMpy2a+kMzW57i/CMFZeVd6vQokoWkA + RTNDaBHTf3vF9/Edd4/IdyV3XXzY2sHZiU14Py+Le0b+9X/1l6j8qB6hLMElXBmDoiyK7LTOZDKZ + zEMBAlyMTi1KnhRE2yHJevJjD0oQIE+G7w27iW/zSePxeIeJ2Ewmk8lkMplMJnOhYU5rCxG2MCYL + FLOQl7ZtLYbJ/u5h+Rb6Y5lWLBAKADM3TVMUhemuLVzJMrYw82g0AjCdTi0GdD+GDWUymUwmk8lk + MplMJpPJZDKZTCaTyWQymcyFw+BgJj01gLb/wslfO+XL++5vJpPJZDKZTCaTyWQyDwRLbd907eEj + Rza3tkKK6wcOvv0v/vzn/p9//w+3fVYA9hyjWMBzSomJLJ8gTk6jf9JCLQfI/WUC0VMyRfauZd90 + lrGXy1HVNW1SIeLSu7/9yMd++Ed/9KKDB1796lf/4A/+4GMuf7QSiQipMkhEoArAtqeu607Sr//6 + rxfOq2qSBAKGbK2AEKNXlz2YV9EWJd51nYhMxuOQIjs32Vifz+e3fOLvf/Zf/5u/+eBNCXCF60KC + QlIEAIKkVJSlqsYQzGlNzBb9LikNJVUREIEIJ+eHJWYVWabwJO+9miIbICBCBbj9a3f9X//6/377 + 29/+y7/0S9/w6MsPHTywdWJzfTJezObe+6ZpRqNRF3eX5juTyWQye8by9loScEvga+nmH+ztOomU + 0qDPsbOFnTfSspnXdd113fD6PGwnM5dlaXkhBrX2Dun+M5lM5mzBToiU1CsEVfrq5hc/++VbpGpB + BBKnEADa239Jl6rm/lpJhmSITEwkKQk5dh4kSgpBin72wVuu+/4rvymeaIM4z8pMbdd6VwKu95vC + nLhQQEj2tUJykP5aKUhZl2LX4UqPAeqlcTwp18bV2qYcJ3JMEhOIMFwYwWaKCebEFoDBthdIwcKJ + TVAtZkumfh/x0qtKBPAgqFs6rQFgW+c8SFVFlwre5cZvF2pFx7utu1NA2N4RBhIpKUGZlR38dD4v + y8p7N9/a8s5PqvXZ1ua3Xvrcy//F499z87tv/uz7nU8XHxwdXdzDhZVRBB0rD6LWfj0KVrCwk15T + qiRUICQkDY4ZzCSq4pRixxBq63X3pa3PvPU9b37lVT982eQJa1SjAYtnRJuBV0rROeh5UL6aj3XV + K+Whzhfl5mxzfLh21Bxr7/KH0m+/+dfukduxhmkM9Zo7unXUeb82rk9MZ1UJqPSVvczQZZlZS+cT + QidBI+oahY7m98QrnnDl97/wtXx8fGT9cOhiwVW36JIjZXZ924joHcYKCKgXnVp/V3OwLzd+tYrk + Ad+rsHRhvFyINRKxltyXgVkhKhIiOe+rUhEXzaJrEVg5lpPqYFgsHrvxpB988Wv++K9/5+7mS6GM + xLswkSuJOp23c3C/3USkS1n76TiDB/fM3xYtiZOqgpJo50icYK0Y39OxEx85KkkiOF3usN3c7GHh + cTEZF5MiOgCMQkUdISERkpIKiYKhEKgXIaiQYDcpkfuUzL3Tuh96Cai8lxiUyClUJEaJEiuqy1Qz + o6qLH/rnr/3Dd/+XO+b/uHZo/UR7ws5Yh4rtdzKApdnaFMVWtcKA9i5t8+uSwikIiIpQNgnT6z/x + rksOPuzZj7/yQHlJmievRS+kJxGKzARlusACUEwKTsqMSH2Ptx7DCh8Rai4kNCWXTUtr4w13wgu6 + 7d8rY+iUgBJBnaIelYdIayJWFtalbV23+yIrC6BY9YWnlWF/P0OJwQkCsO1tpwzqhDdvvuX6WIZW + O+fIMcVkXWGH3n0aHCl7nrZyYMx/97m/fcHTX1rzBjpmhqpEXlb110dlwroMK0f0vZUAVgo0STd/ + 4oO3b30ZB6RLiR2YoQmeACARlFgJQsIACdbAfmt8EJc+5ykvuOppLz/kLw6boUDJZamqrAwow0Og + SZKmuq5FRAQiIkjE7Lxncho7SSDlgiuXWNrkXFGX4xc+6Xue+vhvu/amt3/08x9YpBPjiRw/Edc2 + uGt2pXcSUFzpUwzADh9t222srd9+9Ks33nLdK57xaplHH+vUJHKsD/j4ksl8PcL3PQUiIlN1zmaz + Q4eOzGaLN7zhDfccPfa2t/33VQPiKf5UACmltbW16XRqrrhBnznYN0MIduPr/JUvk8mcbSwBWtu2 + NikPwIaFqqrsnvaqhvZMWuVhHDhFfllV1SC/9N7P53Ms5danXY5lXTMf5OC0tvv/+yJPmo2lzLyq + IN2t0xrLesBy1sZqIDut/ymYjnfI72dtsqoqOwICMM/l3vJ728KZ2U6YsTzynun7JgO2dQ3f3LO5 + eZgvMyOshVgw8+BhtQCPHdqhGb6HsojI0ALPyjiwOqlnG9N13c6Tj7YBzrnBQHx+TMnmtAZQlqX3 + 3qpxtwuxqrYMkKaknU6n52fjLcXlA2mEO2A7yDqLNaFhUUMrslSW1mhDCKu1NMzenmtseLQe/UAE + 3nbWar3POWdZOkVkPp/buA1gsNuexankocsQ0WBf3iHL6LCRVpzJZGKi97O1PbtlsVhYbVgtjUaj + +Xy+Z2czgKHyrZnZ4FMURdu2g1HeBiJrezhZoG6Dpw0yZineg9PaMHe1tVhblI05tsC1tbXZbIaV + yyJLEmsnS/bloVp0GUnovR/64G7rZ0gbay/qul4sFlYVQ/yGDcXnYTwxYbyq2pYMpT7X6z1bDF3M + KnM4PcM+OZ/MZDIPFvneViaTyVyQpOS8YmsT84hpeOdzn/+Iwk0cmvmxunTP7ubj0pUJhej8c1+5 + vK7KVHehn0BlXfHcAlQUW8fu/ibvv/jc58yjzEKAdyfGG9/5vhswnqD2qDx3glpGzjeSiJ3jITRE + QKLbQSLnaspZwQmVAEUfIAaY7Q/itMWiQewwazCL7/zOF18adLQ1e47GQmLiBBJSKDhSdawaVZKw + mILm4yMHWrR2FwrwJKyMVPYxQAWEENC1ODrHrLnheVc9MoZ/JnN0m+IjgMpPFk2c3fu1yzfW0RGm + PO/0H57xncfZ37kxesWN12IS4TRELvyk4N1F0jiFs4gKRrecl3Ypoe0wb7BoMF389dUvOtJ2z15s + rXtKoVuIlr4aRW6bEO+ZrV10SGctFi3KCl63V00gwEGQiElRCsICIWA+x72b733F/3DgxOZ61317 + bF0KlXMqkZl1ngpOW3embzq01t7dLKL72FOu2Dx8+Pnv+HOsVTgwVpmm8XoqvQOYwHTu2gLQa9St + pgDpSii6Fsc7NB3KiLa99tlXXkYcZTFy+pRFw1Eq51nxAJPEdA4ff/w3+vW1GN1CfZxsfMc17wIU + Fx0CKjcqErsIDzCZYHL3kVLnlPPQX6AMYWYoEAFAHCe0LeYdjm7d+JKXXdTMqNl8MhaUusMHD4VN + apquvevu9Y2xayXNMb2n/ewznp6Kyd3OX/nea7GxhtHIr61vpta5qs7mzkwmk8l83UOAk9aJkECF + 1ZFACKDtUPTh5CLH+v1TYOYQQlVVNtFbFIVzbs/Su0wmk8lkMplMJnM+seAVC7K08MqqqiykG8vY + F4tqcs455/YQ0TisqOu60Wh077331nVt67XwOwvbspgkWxERra2tAbBgaPvaWStzJpPJZDKZTCaT + yWQymUwmk8lkMplMJpPJPGRQ2o6S5e2ncuTU76w8sMMr7+/Lv7vJLNz/6HRpZ8+0mDO9v6vctZlM + JpPJZDKZTCaTedCZTCaqur6+fu899xw8fOTvb/nEz/yfr/qbD92kgGMWRYjCzKoSQp8EwvsSQIwx + RrG8nVgmixwuFlVXLdKnYciqv/oOiFQA5z1TSmmxaO0jAZoQCHBEm1uzX/2NN/7GG3/r5S+7+jX/ + 8oevfN7zCucgGkJwxFVVKaGLIXTxHX91zYc++lHp81QAcHCMPufvKU/TnnSVez6vba0ezBredG1K + aX39wO233/5b/+k//s7v/d49m5t1XW81jcRk20jksTSChzYCIPb2T02Ifen6uxr9863mSCO22HVL + ZylJAGZLdikSuwQA5Lz3MYSQotmAROWDN3/4u7/7u3/yx3/iZ/73n04pdW0YItvn87kvz3lGzkwm + k8lgmf57+Kelir7QnNZYMTcMD2rh/jKVe++bprGHubDMhn+un5+yfO5VVQ35ys9DjulMJpMBCTmo + JI+609C62Uc+fVOT5uQkQkFwCicIZEn8+sx4pEt9JgBASUQZEUxIgGoqHIPIKSMCZfcPn/u7e552 + 5xGqRAvnypS6ZeZ3BQmWwkiFU9Klj3lfsrT22hVcXy7L7whlXi2XMiuzUumKUTnZWjArM0EEzrOK + g56ay1IIChGAaWk4Vjj1URnqWTsW51LhpPJxVKQSLP2hb2UZWM6oJqyarXX4VCgBEGIArGKbap8N + V6WyMgW8vLrVZcIoNYF3E1oT87RtKosJMyTGSidOLvJ05OpnH7zs0Y975wffdvvxz1eTKmpr9m4w + RAUQXS7fp2XyWAVDhKSfQHcAIQhCkoLgvFMtlbyimbZAMcfBcNuxv/vTG/CDL/oxATb0SBkdAaAY + XUqEyATAC86pRp0hQjrYbS2llpBvOh1PDrRpOqd5O1q89c/efEzv6KpZTMSuaDktgMMHeDqblQyv + UDUTcJTtLLpMKmERRhUSIxIk1rMT/M2PeO4rvv1VB7sjG6ND83vuGVWjkFSJmSbecYoNuLV9qsum + Qb3FnIBlAlha2d8kOLlPWr7SvnzbJYUom8cdZg8lxD4FqGwLj9UDYOnts2XhY2xjbAjau059yVSl + RRpV3C4EHX/rpc/Qq8Jbrn3zTI/PdYrdaEdVtWnmqkk1QR3RqXdXdGltP2mnnWkIou0e0VeE2g0o + JUoKcSwU0vpo7BomZSFO1DdgHWTzu9n+tWpSwBfOaQMWJ8LEnhBALSgI250X8YmdKkFEXXrg6nEg + cV8D2jdOQ0ghIRbOe3bsC3W+6WIhvuJiEWcyl0c/7AnP+Jbn/dXf3jXdurtec1GTiWNZTHAdzWK+ + Um9Cyk5h6m0lKJDItLpgBScWEi6hiuPp+MYk/sVNb73o0OHHrz9tnR7mYkWK5AEKSjFRcAKFv8AO + FgwtACFEhoAiiSctQAwQsY/CjkcOQHTjcp2kAMfThrioAOwFjqn2bkQoicwKLgyxFtWvEeZ8dkJQ + clA2TbgAjO3DZr5a9gAAIABJREFU6z6FIICASCFKNpoAJF+449Nfvue2uNFpIZVyCF0i9UV/K/KB + L525r0kq/J3HvvLJf/zYCx72GFJPkoSRGAC8CAPWnvd12JE1GyEkAkh8ckv3eTq2uPsjn74JI5mF + eVH7LsSq8lGiVU4CK0tiIRVWVMGPFge+9eFXvPzZP3TZ5PG8VbjkXVocOnRwazbrb8WrIyIHxyAQ + wrQFEzl4IpBLqhpTgDIXrnJOWGLwQo5YUxkbKmNRVZN/ceXrn/i4b3vH+//07umXNkbd/MTcFyeF + z91fgXsP97KziLDtQfbehdShLm76hw9f8dSrLiuKI25NF4wRUtZaZx7q2LCw3RFi6nzBBDp06NBi + sWCG9/xv/+2/ueeeo++77gazxw0+S7tpNtjmptMpgEHzhqVPzuae8v2uTGa/U5aliSQHAyuW4tKq + qswVaipQ3J/5z+Z2Y4w2YjDz6q+Gj5j5TE5rw26nV1U1nU4Hp/V+yZNmQmvnXFVVTdOUZbkqvHzg + pJRsgLVZGyvvDjrhzM6sOq2xYru0v3ZEq+s6xmje5d0uX0QsN7hNQg1q0geCtY29Oa0Hr/AQGENE + q/nJTYAqIju3QIvuGLSs1vxM+H1WxgFbuAlZU0plWTrndjbR2jZMp1NLlnh+TjkWi8VoNOq6rigK + azOn1OcDxPzftpyUkrl7z9E2rzIMiVbnVVUtFgtrALtajv3EfmU6YdOK2/tEZLZdG5MH67l9x1Ll + m9b3XE8xW5Ow9ZqW3trYDj8xgfHgSLZ+WhTFMEU+HKfOounWRgbrTcPIsEOXtD44jEuz2cyEx2dr + e3aLOYCx9C7P5/O9VY7tKSIaKn8ymcxmM9trdioSY7RmZuch9tHqiDq8sCZa17WpqffQzm3h1mVs + vTaiDuJquwga9Ntt29pIOKRvtRLZAGV1Yv19NBrtbdywDLSD0bxpmiNHjgynTNaQzm7j3Bnz2dd1 + bQGH1oz3iwR6aEspJTvcWHHsKH/hn09mMpkHizwWZDKZzNlm9cLnTGeS9h0Sm/kje0cEISF2iAGh + RTf9vatfeuTo4jGde04bR8fnBaJnNNqwR5rHGFEBF1ekXZuaFmR3dpSHSDgFgDjHRb5E7LrptHSF + pkTMd28tPvz8F37Ru3ZSveqv3olRgeCd95PRBL0fFyCAWCGAhd4IAAXbVUjv+l2uS8HbxV1ObQ4f + naTu68u+XOLJMWUBcIAjQCLFgC4gLNAuMF+842Uvv/jo9MlN2NhaHAAUSaHCAoAVAk4USPTewiFF + lOtQdLqoeAQiCxYToAMYUqJF02IRMFu87QUvedTx2bdsLQ53C6K21VSN0DZwOjvgkaqi3dyiiJpd + Ad/MunY0rufTt3/787/3+vcipGI0RnMUaxv9IVUxSMUt6Kiviu1ScgLYgn5USKRUhUTEgEXAovmj + F7/s8InNhy3aJ4Z2sphPNDKlpAiOXRAN8ZJqbdbOaZMPl4cQqd8/JDo0JGKCeBchHWZTxITZ4r9e + edWTonvMHV+7BFrHjklAEkWJ+lMBBY5UCEenh33ZxfiIcv2Oxe03P//KL6yVr3zfe2iy5mlGRZV8 + zQTRXVutV+oEJ+15AYYgxeWXCVKGDqQICbMteMYivPWKFz7cUSPTg4vmigWtzbaYpNXkSgCgtrOY + vBVO2cb++tnW9UgGFidaQbV28M4Td9zyrGfPLzr8jyw/cP27MarKUV0WFVwBVP2WrfTl4ZGykxr8 + EH560r92zWq/oNV3h5d9BAqwi/7CggBKQ9CfABEKoXtKB+lQHwDQSqi4BvdRnVYKj+gREAJmLabt + n1z54m+Ytk/aaibNiRGnRJ2WmN9xbAI49ih9u7lFgopxKSHNtoJuXTQaf+x5z//Cxtr3Xf/XYF6r + fOcKtsjM7fgV6UdCWantf2JVZjKZTCbzoDM8ojCcpeluAgczDwybrgbAzMNcQnZaZzKZTCaTyWQy + +4IhRK8oiiENikWPmd/avmZxVzuHx50WW5RdIKiqBTxZIKCqmtPa4jUtcG14ksTesTDoHFuTyWQy + mUwmk8lkMpmHCKcJezzlETA63df01I/6qDnlk+PoMplMJpPJZDKZTCaTAU5KAN3/80xf26d/M5lM + JpPJZDKZTCaTuV+UEFIUICUdra//2m++8d/9/L+fN63lmkjL1JIiQo5VCKpDULRxip16dRp35/TP + w68sbWufrleV2KvEuIzXtmyqIlL4IsUQVWOKAJLKf3vnNf/9ndc85tJLv+97v/eVr3zlEx//BHJu + Fjr79Pff8oc/9+/+vRXEsYuSoLrqtO6dYQ82zL7rOtU4Ho9ni4Xz/nNf/Mcf/8mfvP4DH7CN32oa + dpREwWz1D1VmLsqytRygRGmZ1nO5zD4rqKXPtveHTMcYch8DcnJgPBHFEMqq6tqFs4zGwKiujk63 + fuGXfvGGv7nxLX/w+4kR2855Jnal23X60Uwmk8nsjbIsLcOvpez33q8+7nThYCcGQ/L0IRX+mb4/ + ZI62A4qV6/wcXCxvu+WYthzc+bmtTCazB4TYzJcALJ8PKS+VpeYtlsGIKRCISNIRc6D2RLzro7f+ + jVsLHWsCSNmLAHCIicTSVfJSWwvdjoBVgkQp61I0JNEoiRQMUsIcC8R7P3v7Jzcuv6hytSPXNl01 + qjtJUGIwlKnPybmvlZGnYZj87c3Wy11AS9UoKbP4wpVJJSEBULELUvMYS6+btuyIav7diGWuRQUA + ccLo83Z6VuY+eRM78crpPtkme2RwN5Kizw96mhIAZBZeNbn1tsv8Pl+DQsms29ofTwOz8+xDDMzw + 3qeY4oLY1wdHD3/6Zc+96GUXveMD//VTt3+k2igaTFNvTYdtUK8GV14mdBWxghOUEANcAUuqSgCU + UwoCjQ6TDR/mMYawcYhuO3bLH73nd1//vf9rWIydokyAgpUjmbJUnPLS/Lp6rF9Vkg8VdbLS+IFB + Cl7Zg0MS2qIsZt1mKttYtX923R/9/R0fdetd4o7YKySlMNmg49Ou9nCMFOHpNGJpUnZeYkSXsFHX + aVo9cvK4/+lFrzkil5XtSJOMx+MQWipLKEnUKIlYrTItVaoQs6K/Gh8Kb05ctao+1WkNnGqGxknV + t10/apmEaeliV7aMvaS8jCHgtm29p7oog3QxRiavjEXoRnW1Od8sCr9RHZxuHX/cxre+8tv/5f/3 + 7t9Na6kpZ8OGkZ4awE+wxL4sJCBN2oXUqRLAqiDlZZ/qOxed4pvfFaSqgYihIHIqqWA3S6kuaiUo + LXXs1mb6Ve9iXQQUrtJIpCxRlXrdFJEXDSd1WRKh5Yp2QyIBeNVibi1WKXnvy8K3bWjDoqhGpJqC + BKQYUl2Ou3lTwHnv62o8j5tmHLbeYf1R72uHJcEwCOp2GIk1NlsvEpSgHtE1czr+x+/9w3/18otH + ow1OzokNEKJkaanBwFKjfrpaJSFVtZzRepK0e7e19ACxjjMgYCa2G12k6shrTM4VlIioqLjmwXRu + 22sVQwCQEpyDKpid04LhFFEkEkFPO1pTX+NmFQMxIIJzLIM6DwznG2SWdEmcogsf/tQH0zgEigC6 + 2ImgHBVdF/xpC7xaW7oyWCirIkapPXexFd/dfOsHv/3hV1c0IhArkzhlTQSw0D4XhC8x5zQUTsFC + cCLimi987da75l9ZjOYdYqmeGbGLnghiunSY09oLj9tq3K6/4oof+LbLn3+IvwHTutBxUbCvRsfu + OV7XNcxXt3Lyg6U2TJAEUFUGhMiBVDkGSYieiAkq0aFgV0Ypm620tnHp0y//jkPrF73zhrfddscn + RhvVVLbAUWy4OemIfPpObcOLk/71gPcc2sYXfhZmH7vtQ4ced2iSDqyPjiwwO0f1nsnsX0yzOh6t + zWazoqhM1Tau6//wH37ph1/z2k984pM2U6YrM3TmKRwSFsUY7X3n3KBptE/3kd4sk8ncF5M1xhjN + aW0zv6ujgRkNQwgmlt5hUXb3ezVP8jBHv3oP/35vzts3F4uFLdBusO+XPGkiUlUVlvVmb+7WaQ2A + iFblnVVV2VTIWdzUhxTWcgZfu2lQ7R1rnOZgtu6wB334IG+2f9q+22HqatX1vponcLcaYCLqT9FF + bGk2LWWH5mGKauiPZ2qKw1zb0PHtVMEss/gnjwO2qJSSTTta/eysoTWx/WCcHZZ8ThmNRjHGqqqG + 1jLUwK4Qka7rTLhr+3QPbvs9YLtmkJSbyXXP67VttrNB+zsM5rbkIVLIqmioMdvv59ppjWU/soya + WB5c7redY3lYYWbrgADsmGL9aCja2drOwZhrx1ksxdU7/GSY2m6a5jy0nPvF1L/e++l0apLjPcyz + 2+hh+8ta1OC0HgbDYViwhrQah2ZDSkrJWqbtLKufPTitAdiirJ6HiIjhHdukofEP3uuiKIbasK8N + HcE5570f/Oi73Z7VIgxK5sViMeSVtUa7Go+321XsltWOYOW9EFrjA8Qq0LL+rp6P7ZfzyUwm82CR + h4NMJpPZM8vT2VMyMIpsz4YzYxnHoAoiqAUvpQRHSpIAQB0chYio2DyB6daNV33nxtbRmtKVkuqI + KsoowpOwigg8qSYpgILBCulUCGALMbH5U2AIS1IwXIzEQIFCUwJEVQ5ofOzRLz+Myc/rTz7jKV8p + +OobbkRV4gihHgt7VoARgABUcB4JSEBKKBIYFuiQBEjwg4iX2eZWFVCBRDgGQ4AABeBBlJLjAqpJ + KS2DXAqAAadIESiQANYZdQ2mCxzduu6FL72oWdSpfWoKVepGUSsnmobqhsVjMYhVnEaAQQWoBqqC + 4YZ9RaCE0gWPGaYnsNW8+5lXPXoerwhxHLtJTIoI1YIROzgGK5JCulAAntmJKoJjFBIfoXTJvfrx + pzz3K/Xou266DodLcKkYA0wJYCRGAlzsvGfRwBZpFxO4ACOABSg5+hTQJSxazBeYNte++CUXN+2z + 2mYtdJWEIsVSQaoWZOYEBCkJqZ3Wjkpti04gHihUVSgpkqcCQFQQscMMcY7ZHJvzG59z5RUxHYZI + mnpmYZCAVQv0gmSzLWqAA2vUAkXqmkt83NjcvHgLn3rGM79aTV584w3u0MQdcJACfMYAvdOiSxW0 + AwiyDEphsg8C0hgBWkMJSAGOBN0UocUsYN5ed9WL1ze3Xui9zrfgpBSpWxA0kjgGxV6ELMvwJihW + rNDDZDwDYv3CtokUBSHMtw7Cjztt77794Z4/8+SnHq3csQMHX/7ud6McYeMQqkkfTJhADkqIQAI4 + htoXJ0Vv9LJ2CyriXV2/pmVozLK/SAGHlBy7voMt61xIEtgp4gPuLyIYhNb9iKTslZy4zjG8h6si + RmBWAByhFmEJIBBmmJ3AVnPtM696zCw+J8RxjOMQCySRQATpMHIWwBFjjMzwAAn6gLMCRVx8w9yt + tfqeZ1z5og/dwBeXdcHQGuqTQB0CgmioqebEZJpzD1hw6bL95MnYTCaTyew/FIjeiyNlIQgLgRjC + qnZc7k9dQFB1ILXQ/MzuWZ2Zy3e9M5lM5kFkCEIaQjdsznKY4s2xtplMJvMQYQhyWg1hsQDN4UAw + vB7esQg8LKOoAQxJXu4bbTbEZ1ucky1cRMqyDCHYqnXJsEYRsWA7u4gYVm1BQhZ1au/Y1/JhK5PJ + ZDKZTCaTyWQy+53TKKV3mJEkpO2X4CEh15BSvE+JxbK9GHFYplQDlJAAAhxk+JWAATjkAKBMJpPJ + ZDKZTCaTeYhCuv10zUrqZ179wkn/PkOG6H3FrguwKx/2/q+fTCaTyWQymUwmk3loIVAAhfMW5zwe + j7uug+M2JXi+/at3vuENb7j2fX8NoPQ+WALclZ9rkiFLBIGISLTXGvVZO4aLSiKsZktUEMC0zLk/ + fMZkuW/U3h58XdJn5O/z7ds/QSEGrF7rLpN1fOFrd/3Km970K29608WHDz/5yU++7LLL7rjjjls+ + 9ck777p7KILKts3afnWfLK3nfCLZUhKXZdk0Dbkhjp1ZlMHVqJ7O574sPnTzzT/yutffec/XbELc + /iYzQFmiTDX7mrRNtIwdkoSWf/vCyPK1IqUV6fiqgFxPk4lVRQDu2hbLVJ4KzJoWQKty/Qfe/13/ + /Hv/3ze/+XGXP4Y8JaHUtVVZtG1bliURxdBaMLyqppW0nmoSlKXg6GxVaeahwA7PA9o7e8ghfh4Y + 8pIPuhFL+Ptgb9cuWLURWFL1B3H7V/f1kFr9oakHGFISW/EvQKc1lscaS+5sr3fO/T1kjrYv7yGR + 9J6xh46HVZ/dRm4Jl23gCiGs5uxefV2WZdu2+ZHnTGb/IsRLW21iRFIBPCsLnMIDIpR0UBorAx4K + Ig1eUtXefOt7Zv6rDTXiobGEFgnJoStVkqAlqOlBIb2wsF8pAGGPLnVAPwFKQIKq40RaFfq+j7/7 + aU96VrcYBRnVxVhiEueEvE+OCF7gIPG+GtT9xqA15WWo8HKu86SiraiCxUdXSsGeOqcxwjtoSESs + 3AGAMLRP3MoqrH1KzEScyPajOAWJKEMYiSW5JBxEnQjozOmaVgy4/cYMSUlP4mSX57C4lfKYppYZ + svrlpJGcJSuFcwRISglOQHMmYOYO+MPr1TMuet7Drv/UNe/5+F9UG37aHecarkBoIQmTetR0UR0p + 9df4/fUbAQrngARS78EswlCCJCdFhfkilgl1SZ10UnVf1dt++9o3vv7FP8V8iS58xVXbNeW4ktQx + Myn3HmRaLSOTecjV7jKIkkgfFC4P3GxNgKcyIcXQwnwnQiF2RaFMsRyHE+6eaz/6Zx/84vU43LSp + Ue7vZ3iCdlo7QBEBeAQBwCyeYYZXsayhjWBUFeUi8Ja/hC571Qt/+DJ+1Kg74NWB0WpMRQGoQ/Kc + SJFAiuLUHaontROxfKG9X7xPq7sD/W9P7r+sIkvD8ck/F7uPowAoOSaFpAgm58kBgKhjiqllD/aU + QnJSXrrxmPLQeP70+CcfedPoEsykbbpubb2IXSDLFkus1Ifu2z4FSeIovttsjjM5Sr4gVlG1JKB9 + YIEjFVoq5E3yvdK6T1/ulfIKOyFillJjYO9SariowC46CRwJUppYRUWYdy1UVi7LWoQ1oHAempil + o05VHREp++Sl7x0s5ISkF74+YGwcsLFopcwiCmJqUoJnB04aiRguBohzTqIWBRfexdREsrNGOySI + AM5OvVdOJPuUqYPWe3m/bVAFL3OqskuSFNWIFl1cFLN28eW3vf+tr7rqdQ/zjyww6dJCSLRQFZ8a + XStHEqKw3QCMShj01ZYNlykKqcIBhWgFgJHOndZ6ZfmaiAUuERcsgDiBYxVNEGpBQWWtXkMn7EFL + w7fVSSQAcB6kARq1m2+MR6kL3pFIfxNrO6E2AGzfAuVlRt7tJ1z2N5yEi6JIkghSeF3Euav59sVX + P/rlW2aTqI5VIjMcASGUQxZl+/G2vvqkhQoYy2E/SKoKzyEpd6GWzx/79Bc2b/2m8dPKrkZiUqKS + xFPXhQk5JLHk0PsUJRESInIogkhwXlNbauPK7gO3vFsPNPO24apiJgkBCi7Y6bjtWl1LxKINxrF+ + hDz61S97/RM3nlJ0B1ULIYpuEQFKcOM6aW9nB5YN+uQLyqH27DgrYAIYpBAlgUOECKCCcTFZzOe+ + q5544FsOXbn2/pvfd8Mt104eEY+GraouooiQiKAux10TiEOfuF0xjIEKOWln9TZtAKLSllUR2kZd + 8dFP3PSip754tjUreU3i10fHyWT2xn3av9oNZJRFbXeVVRMz5P9n782DZbuuMs9vrb3POZl57xs0 + WrIGS7JsY2wjbAuNlmRb8oQxXVDQUQQEji6guxqKIug/u6OAAIrqKldRpoGoLqgyRTXVBpsCYxva + YIPxqPAsl20Zj3jQLL13pxzOOXvvtfqPlblvvlHvPt03av/iRka+fJnn7LOnM6y1v08iwBdddOFv + /eZv/OiP/ujDDz8qAu85RgWgYAuv5UdbzlFKml3ocjBoHpMiwpJpX/ZdO40HXig8HclxjRwtyqqY + 9l9medv3fTaDNPmyLFZm2znsEXp+3p7fmFRafr59mL1ifvKcjR5NDy07hlph7DvOuby7/By7aZq+ + 780t0tIMbPu2r+W9nP06aXnqW54DrfzL5p1Y8jzOKqbZMjZPs4fVc3nO/1TIin858mVntFzhqto0 + Tba5zRwWL7bQTP5f+zzHmpd/aO2bW3zZOjp7tdoQyKPmpI/Ofpstpc3Z2npO7mlWvFzUuq7NXjq/ + WY615RjcUeeB4zibHnUeyD9ZDjvaLo41D7RtG2O06nXOnXT/z+2Vr1KwaLWjNmVVVeZTi8Ucexyb + 5ONj7ul59lvuJLkw1vGO1fT5kPu+N6/c48evc4FtF3VdL8+9y3bCeUo56nbsV4edGpZfsWi45Uks + /69zzrrBCVfVSZKlPpcVPo/TWPZf2dj+sHixzdL2fneTBCypI+tUZ+fv4xTVypbt1XFGpa3NkB4L + S+DliSKP33yZcfwmsN/aQS1fcuS2yD02z1d5nhSRwWCQUsq+1zZd23SX95vtqJe3cyxyrXZdZz/M + Qq8mLXtYefKZIoTgvbcy2DTinOv7fjQaWUnyzL9TcvUuTx1VVVnZloudrwBzj1p+vyvkgZC3mS8m + 8zHmUwx2OM/sIjaFmiqvzZZ93+d+spxSko/o7L+eLBQKZ4pzKe2yUCgUzlIWkfJ5LkHOr2LLKZnr + bxAhBK1q0ijETttZGHoirboIVUwDNjf+6J57rp1Onndw81JNEtqj7ihnLVjUVxfWzkIKkrn17ZLP + LlRBMRFIzTkPAGrBxam/OGA66y5s/L6q+vTL7nzw4ovf8GfvxL6L3HCIZigKMCryXs2HGyDLazBz + 4u0iYWFavCQrwqBaZlMeNXOzPqgC3hFCgKscI4gQc1JhYgoKpSYpQkSaodtE3/3BzS97Edx3Hty6 + oOucBpAIzY838Xae0KJ2oZQYScnyDeo+gOpmmtpRIOeHUGUkns3QbmE6ftdtd968lfZtbdbwi1Qb + nScMLZY+kc5r0s1bVQjQKCtA3cZVNJftbz5w+913feK9UFDjUA/B6Lrohx5JvKshibkKsa88q+Uv + pTSIASlCE7Y2ofSWu1995aS9dNa+YDbb07XD1Pv56iwsPJgZSyksBDDUaSJbwhYSrTRR+pq9dAlw + XINTRDvFeOutt7zyBb2+oEsrk01Am4baJEpgZZrbk0viuauiJUBZ/pxDGiUZJVxAmIX1C4b05y+5 + +fVf/AyaDszgHT9BODLyTgs7dNTA/OZTKPQuKMZjhK3ff9Xdz5imK7r4vLXxpeA+rFeYez+TQhe+ + 1VbybFY9d5HfXli1pKS6jM6/5EQdYi1xT1Ql4crNen541v3ti186ufqa17/rz1FNsboKZqodxLUh + VIPaAc5X02k7Gg7mB5bT9RbLAneEI7Sz1DQObOMFiuQdISawg4MGIceIojVDkhPn4g7Gy9wSezFI + eZFNF4nMrDuFOKjqLgg0DepKojISlsfL5uHjxdJ2SbcTfeY1ILa8TUAICR5aTyeMuNcNP/Cyu+/6 + xHuRBH5v4hEGXgAHcuQSxDnOWxGIUwCsi2KXe9lCoVAonGMoQ9hJzgIEkekPbJ83hewaD6yH5IwW + CoVCoXBuYdHHnKCT4/0WR18OoC7nrxQKhULhvKRt28FggMXZwXKMlid/E8/KyWQ73b5l7Xjv7VyT + bbMBWMo4Fvk0y2nZWIieWE5nORkVCoVCoVAoFAqFQqFwVNwijjmPcdJC8Wdb2o+PuJsXgHO6nSwn + EGbZOpyEn1ehUCgUCoVCoVAoFM4fTkT09BwWRi0UCoVCoVAoFAqFQuG4eHYC7bpuMBj4uj5w4Inh + cNi2vRsMP/rxj73xjW9cW1sH4Bx3h3pa0xGBVu+9mUyTuVovBDHZO0kJqsRsBtbOe0QhVTXDZEAB + BpGbp15jYWjdDAaq2ned815FRKIuObfZXjQXKEOIaZ4Q/sjBg4984ANZEvHotXCYe/XcCOp0PA6w + zPOu66qqUhIT0Awh9SE2TTOeTdm7P3vXu/7Xn/npNqaqqtoQJCuvHAod8XosjnNgdMwvLMXbCcDc + h1yAelDf94XP/4Mf+IE/fOtbr33WNRfs3UekIUSrf1MONYlhAFQcEQpPAZM5PszG2NZfjMfj1dXV + 5a8dpmp9NmCSwaY5boXMQ+NcIQsKZ33bI5XizwjHFxAvFM4ebHGZqWZXVWUeQstq3c45047fXQ3r + QqFwJqDF9bOAlO2+RxlzwcYEEoXM/USV2fmkcbNfC8PNz371U8G1PMCsh1OGeiVRZVLzAoSAiRae + 1odZRS5mjuXPhUTJddo9Pvn2l7792e+56O407jUKmMCcLYpNbYhUlBW6myYQp58lf+An8UfUuUoh + OWYhKAkBtDDGBpkjo8+b4iWzWFNQVAIpSIQhSc3cF0ICikoCkhPSJjwB3+LjHia2tRsP56g1oAxy + 6qRjF90zmitvfc4rLrzwwj/50H+t9zdr3aNB4DwNmmqyNfN1rcpCYiqLupA/nWvJKs//ACDO76YT + KkblSBVRkRwOhofThrz73rf94G0/Wnerkng4Wh2PN0d7h13XOXjgEJXIw45x6b9kp/WkQNv3TdMw + Je89lGezaVU78mncb2IlfvYbn/jbz7ynuljbqm+jDhypCABnPsM2RgkKKDGpF2JWgoIhVilV48db + 4aJ6X9U2r779e6/Z9+ymXalSDUFiTcRKQsoCcfORSU9qy61LrztnuyKP6al+1I5xiPu1eO/bthch + x5ULomO+iJ9543W3fXXjc59++CNBxxddum9ruqGClaGfzaJ5sgsdMS8h9nGmShAiPuQJjNDcVpmR + AE35Fyd+qCQEUSXWOqknSgJ26ohcIlEWUtketiS6w9wPJTB5gpt3PE3iACQwQxjKDokUycRU6Xg2 + 9sdn8bNDjv2ITdnkI0pwYr7vonTISgid17+ABAql7QnoSO24I88grICyiqgQOWWvQWdfXrv/XR/7 + bz9yxxuKvginAAAgAElEQVTjdDYa7uvaPnbw4KYahBCcrfCgeUmEhOfdm1mTifmSLnalDEo4hQjp + tr+7kIfVKYlTqwIBRODA5IgYtFwJrNmgHVAhAqs6KIMIAjhg+/x4ZOvkjZw3KJiYQ1KoCkVWUvSh + lo9/6t6u6qMzVe0ldnb7wgDq2qXYk4ivOQrWw4FPfe3eq7/7WqfsMKhdnZA6DeSJhKCs5/SyHxIo + RNTxkMGRSJxSow88/vWH176xVR0UFsCpwhMrSUopxo6IECEB+9y+a/Y++8fv/Klr6uc1k30+DiMD + LEJRAIaH2gDcQYkWc76AROazs0AZSimklWa1Vx82t545uu7OFzpm/NXX/mx1/0qQFGLgGr7izc3x + 3tU9afFoa3meyYbxh+5NQFCBagJRlHbSb3z94a9+R7W/j7NyC1wonDDSdd3ll1/2e7/3ez/0Qz+0 + uTlNSbzzMcXF0yRZNpxzjsyizFxps2GYPUrd2tras2dPCIGZi9JRoXDayFpkWCihZTdBi633fZ91 + MrNBo4jYhydhu2iTgIg0TZMdarMx87Kbo/kLikieMbCIDZlJrb1XVXuIbQXLtpRYGDTifPEdzOad + y8kGh/lf2neyE/B5WQ9nFYd59OZGwaKlzBHT2sgCiBYyVlUL0IxGI+vbR8V6fjaYX7b/XF1dXVtb + yxs/vl3xTmHmyWRi/qx5j1kjN4/fbJm80+0fpysedR441i4sOnzq5gGb62watK3NZrPhcJj3bjkA + 5sFsMXcsHHYthQBPZou7I6qqskusfIzHj98tG1RbUc061yyuj3q8OcBtE3XucstWxKq6srIymUx2 + Wn4bJmbSvGyYbR+GEKw1rYOdBhtm51xuUEszIKLjnNfsGrVt26qqrEKOmfS121ia07Lr87Jl9ZHY + hGOCoietX7pb5F5nhV82Ec+tb3W+ux7Gy87W1pPbts0jKJ9MrVlzb7eSWBaNzdLH6g/2X0den9j3 + 85vhcDidTvPZgYhsMNpFVHZHNsvtbPR+cp7Wx6oHE5JlZjvG/En2j8/XDHnCPHVYVtLydUtu912Z + Z04Ca30Afd/ns5uqntLzbKFQOF8pD7MKhULhZFEGwa6CfV6ZM09lmd93JYAgjuZW1FwpkIgiUFMz + JKASQWqxsf4XN37PtbPJjbEdRdmfBuPYNdQA4lSW3HkXe6btVyibDV5iUQJJxeqdAipMHQCQJEYk + CKFOcDLPcpBIAA9d1SfZ18uqdBduPfTZ217x+OrqPX/z17h4H48GtXgodDahYQPxYDgC5nazAs+A + AyDgQ5YRMRTQBB6OoEj9rGm8QgQxRK38AJIgbgCGChTopnCEMMHWFBN55533XE2u2Vx7Ve1l4/E9 + qoS0ncEARAYrvIAwTzThpEoihMRRXQIJYuTV4RTq3IAdI/XwitkMj8/ee8/rBo8+9J1de3HdMHnV + hEXhE0EJbuFmzWppT3zImi+HpnFpK62Qus2t69PqX33Xna/+xEdxkcALHJoh99NJM1hBwjxvyvsI + kK8kjqug2OgxbtG29/4Pb4gHnrhJcTEpjzf3VZRC55b8e0nBygA5AFBhS6mxoLEoJ7CgqQBueICg + NLdQ77C5ho32r1/26ht7Ghw4sOLUsapi2ikfceYnBSO7nisQdLsE6ASDwUBEnj0YvfX2V/3IRz+I + EaEe7ijzjOY1CbA5hHvKPdojKQgYEhMSQovH1v72rlc8Q+Jtm5NRokGUPeI0xYEbEJJoyBkqlnIn + WjGY52NQAFEkYTm8fGo+5cxqIzUpQWB5qJbyKQBmffKsV4BHoX/877583823HKzcK9/3l9jXYDTC + aN+wGSICbcLAjQYDAOCQAAU5eFr4ai8bXT85CqQ0aByA1M1c0wDKmiQmriqoKBFqhgLMfZCRV/QH + sDk5wfECwAkThAHRbBEdQUnMobxtmz0eMdS+Ump60dr3mE1O1Xj55IdxaXQDbRPYQUQ8k82jxAAh + mRn5Qu72XM5wKRQKhUKhUCgUCoXzHIvjYhFHz0IJy2kWFmsvybWFQqHwdMASiewskFKq6zobUdvp + wLLrLJF6Oan0BIkxDgYDS6XKSeQ5rQqLzEtL7um6TlUtszCnzpSTUaFQKBQKhUKhUCgUnj4cJXfp + OOlMClI4yFwVaq7TbSJ9vBDUA9REsaC0lM645Gk9T8VSBp2mpWuFQqFQKBQKhUKhUCgUCoVCoVAo + FAqFQqFwVpEFMZTm+c993yeV8dr6cGXVOTcaDP7r29/+sz/3z0KIClTehZgE4IWX1CECCyQAVCFI + CjFlxr7vzQyMAI2JAOcoJSHAEyTE7L7sCQwSqKhKSnXlQrAcbIigm7UAwEipB8DeAVBVBlkm9nYx + DhV9MAXb/AV7k4W/Tz/Z+iuTXeg8uyiJmbsupCTiEVIaDIaz0FNV/4f/+Lu/8qu/Oo0JgHlaL7Zo + W8FhHzwF5Dgbsai7HvERM7Vt74CHHn3k+7//+//6ve9zzpGmoa/ZzRtLoUxk8sdpXv/FerZwMmSV + WxyqRh1jXF1dtaUZpn86nU5Ho9EZK+gxsLUqWNLzPTn7gTOFiUqbs0JKadn84IzgnDtMhRmHan8X + CmchpiGe9anbtrVVzzasTIY7vznThS0UCrsFQyHE2DbaFFAkJMxFBUXAKakbVdpv3v/3//2xJx71 + +/ykjVUNiQKKAiUg0slf8zNzSqGT8X33f/zGe14RxqGGVlwHc7GloARFBfWgjiBLttxPC7I5E4Dz + 3myIlQUgEHvVlBKSJ75y/5V79w2J9U8/+LaVQexp0qa27fuVFd/3PVHtFFiyKzZdWcwdK+cGuua2 + ywoK8A5EFBUK7zyJ9puzhz/xlfddfvFlt1/3fRqq0KdBPZQuNOxFRBeu4Yc57wpt90NSsCWJP5kn + 9GFQjVlsh35AQtNuOhjW3vutdk32hAcmX/vTj769a2YpxNClwZCimDooH3ak2Yh5kaBunuUgBWIa + 0IDHw5uf//IbnnNLLSvMnJDAvPAYZlJhQOB2aPt6JjF/CwC2BD7GWFXVhfsufe1tP/D3f/p1N/AH + 1x/jGkkR2lgRJZG53zckOWEVp2BhVW27mamDyqIqiWi3xDuP6ryyi14geXJ4OiAE550G0aQSwT76 + ym9OHvvUN++95MJL7vzOu70OHQ0aqUmIPDp0xJWSgJLY40GTelWed3jYGYwBZSRzlT4jh7bsJ0QL + TuTm0UyM6EhX8KcH88csXiWpiirrwfDYfV/+OHwihZJsL8lZSBwv5r3D1/JsMx+yZlnEIok9qWOf + EpF+7uufevkL715x+0iUmRIJYqh9o2pC1ue86K+qgkmSQABWrePnvnbf5mxTvVZ1FRBFk/OqAomo + B4HEVbQy29LnXXXjD971IxfQlYx9CRUYQgIIC4hAGrHQuz5hjjcYva9SEihV1ZBJLt5/5Z03v+Yx + OfCZBz8mw43aIxHaXlZGHPsxwwGcWITF3OVN2fs4xliqygyRNO2nX/zS55//4htTDEyed3iKLxSe + tjjnvPdXX33V7/zO7/zET/zUZDKLKRJINNnQs1PewtaRvHcxRnsAZfacWQRpz5492VkNiydXZ+7I + CoXznxzRyDpjTdMsR7Etzm62subPav9rJpQhBJM1O4n9mrNvdg3M28yhc/swP5o2W1kiWllZGY/H + ZseYf5sdUkej0RNPPJF9cM190LTdzoM7KYvrjUaj8Xic3TEtrrfsf9k0TYzRjDnPy3o4C8nKflbP + FnaxEWTGpU3TpJSm06k1gWE+7ta3j5M3YhabACwMmu1sY4zr6+s52muKhbsYl4wxrqys5C3n/mMC + htlPNwvn7lbey3JVHDYPHKucp3QesDnQymCmvOZnnIPC1o5YpADl9CTbqc1su2jTa9W+PHs/qSJl + NgivqsoCgse5vspKmNal846s9syc2PY7mUxOOt/J7IRzvdkhhBAsVyFLNJ8G6cuUUjYpt4Y7fn8T + kfwdZq7r2ir2VJczd7bss766ujoej4/zExsOdo2dq/oM5i3YxHhYao0Zby8/7cxHulv7tTGS5y4A + 2UDdCmNziJ1Dc39eXV2dTCbmZ38c73Br/SOvT/IXbIxMp9N8X2NHmg2zrZnscbeF6bG4Ktup2Oxx + WB6qdpLKerb5JGXyszHG02DbbHOjtbW1foyxaRrL/NmteWZH5Fwjs/q2kywRndLzbKFQOF8pc0Sh + UCg8JWjhZg0AJAoESFhE9efmr0ACggZCBBTssLWFLlSTgLUxHn3inbfcdMNk6znTydV9eoYo4my1 + GmKe7sOHxIoP88pVXnxkTtesYAEJnIATza2Et0toPyIoOEJ5MGpT8MnvAa9O28un42cdeOKaBx78 + 4O234rGHsb6G2QTtjAYNgsWxbQMCTRbGTGA97FRC0IWZrkUt67oBqG97h7ryA4BADpLQzTAZY7KJ + yQQPP4C1R9/2sps+c+fLXrK+9tyHHn7+eHrBgccuhVQaGEI6911mtTo5bKdihuLCoR7U6DvUjQAA + zVIXNAAR7SbW1v/qljuuefDAS7S+WmiytR40KIn9ITuFW6Xb75VJD9/VZCs1AxZtBzK7NPTXrU3+ + 6rZXYtYhhRjaTrp62Myri8AR1RS+hdvcqiYdDm782W23f/q22792883XPvDgd7XttZPx3o0nLq2o + arvBYnGU0mLd1PYfsSwHs0UWqQZtl2SmACFEzDYwXUc7+eDtr3z+Zrfn8ccu947CzHv4Ck0FwsJh + ervPAADPzYkFENDcF1mAQe1n0xbj8Wh94/pZxMEtpIC+he7wtkdz1TLlfxKUxHF0faTxDAfXcGDt + Aze99NrHHn7GQw9fN51e3rUXpeRTHzAl5jaF5WZSQlp0BFp4KStEFkqpywhh7twNtt8KQUkSy3LS + xtC7yjG13WWga/twzdrjz19//LMvu+ntN70EmwfwxOPY2kDXYuB0fittYz0Clr+wnfaxw/oRqEBS + XTUeiG3PcOxqCECUIIgTTMeYjEeTKR58CAcf28F4UUcAK1tzCyEREiUhGTQV2haeoAEIYbaVEmoV + tONTOV5ejvEUk40BiwMG7D3YAV1Unc8bQovUqENnwEKhUCgUCoVCoVAonF1YVNJ7bxkeOVvFcl9C + CBZXtuD3mdKmKRQKhcJpwxL4AFiOmiUS5U+yHTUAtRXZO8RylfLPLbHJcrZs17bYw7IkLX0qZ8zk + 7KhzRZOoUCgUCoVCoVAoFAqF040KME+AymlB8+TAnLW4SA2yfwkYxIfnNM4NsJdy/Er2T6FQKBQK + hUKhUCgUCoVCoVAoFAqFQqFQePpBCkdsEpxJ1dUVOR63s3/1pn/9sz/7szFue1p7x1XljrfshhBT + tNe+7z2b15QwMKwrD3DSBqgBVqxWdQ1yptWggKoZYDtAQnKAJ9TsaqaK4B2xwrEjZklJUlKRpKJH + i/Nm/RNzhTRN26wCeRauG7LUcVPX9d6PRiOTw25j4EH95t/8v/73X/yFaeiJwExHyrCZcMcZtHRJ + yXScPRGtj7de9/rvffzAE6t79+fM+ew7iyWJ9kLh5MiKurYk0AYLgCztbZ7WAM5CT2ssNHP7vreF + jTb8z6H1I9mH2w6k67q6rs+ghqytBjU5aZved1emvFA4FdR1nSXpATjnbNZiZhOPts9LTy4UznVM + LJEUrAT1wELsEgpKoABKQGQVu5RnQIFZnLp98eNf+BAqFfiQMNcppGBOuomQzNJCQTudJ0iExA/0 + K9/+4iPr34x+Jk6JyCkIQgggUbASscL8d59W5AtLAERQ3U1P3LMPdqhIKGpUJ6CkIblpVc9GNz37 + zh++58eH8cJa9jppnEPSxB6ESCpmz8wCFkDBAlYmBZnBM4nw3JTaJ7hoUqFOuXau8p6pSWG48e57 + 3/5g+60xb2lFKaknBxFWIRN1pMRzlVEBTElyYYKrDDAp79TTWkhc45NGZp/tT2bdBCNZo8fe9oG3 + rvvHw2AGoOEqBLXbVloy2GY9LM1clERZ7FNW+FSP0urVFzzv5S953R66VFpPcAExsZjRrxN7+mEa + m0fo4p6tZFMNcwqx265+Eq9Yffb33/nDbuJqcV7JOUBZojqFE5DUitqkRO1oiXTatsJKC43aU3Gp + s+zOcoJ2xSeIc+6wrS1fzp1/KBhsdl/QoESp2qPdYOM9973rvkc+PcaYmNHRkAcSe+KkFIWizNVf + YT62SiRwilpRAR6wuSKC0pmytcahZudzs+pjt6OdC7AwSzuPW/z4qCogRCBSkciNfuFb9x3oH1MO + DBESG+mkwFw52YSF/fYreC59bGPU1KRJQMIQib1z4IpDCgw0A//Y7IH7H7wvNkE5iYgmYVEmTSJK + O778OdsggkDFphEhQCa68YVvfg6VUs3sYDZYqkoEOPRBKiVdoxsufckP3/7j14xesKKXTDc1EScW + AAQ4hRe71jy5wWXn3O3mMVhZE0EcpOomIr27ePWqN9zxI1eMrh/pilfEiKbxCiGoCUqrqTMTlI5Y + v7VACWrjC6qsRJoofu1bX00cEsedX+AWCk9fYur7vlfVG274rl/7tV+tKodDz3TmBJbt9Ja9Ks0x + 0TzDzDG3aZrsBlc8rQuFU43FWbCwTswRohCChVMtumpDFUsWsxb1BpAtGHeEc24wGJh5IRaPo82D + 1rZvCpxmFZmLaj8cj8dENBwOLUhnZqImnlbX9Xg8Hg6H3nvT88z2kPlIz3VEZDweM/NgMMDCcPcw + B9Cu66y9zuN6OKuoqioLA+Z+m72WrVd3XWdis6urq+ZR7Zwz7Vl7ynH8vJGu68wD3jlnzwxDCNlE + 2b5gb3bx+UPeMoBsyG3usOZqnwNJu6uXe5x54Kic6nnArGexJP9olW9e0XaRky97TFvYrHBzl8gl + 3C3sAqmu6+xpbZ3qqJiIpZXtBA2Drdqti2bPZjOfzibrJ/0wTUT27t1rbywrafm52XJTmn3sye1l + R1hw3052dV3PZrPjjCMrofVJVZ3NZjYDnOpCWlVYX7JRaeeCJ/3hYDCwM8XZ8PyQmW0qs3Fhfcme + 8drY9N5bQtdu7dFGovWlHHOxi5w88Trn8oDNFxjj8dimjiettKNen9ih2YVNntNSSlkD1rpQPlNk + 1+2cZLJbNWBkV+acIGTVbg7ftkebHGyi2N29H6s80+k0X2oSUdd1uzXP7JTcM+1WFIBdo57q82yh + UDhf8We6AIVCoXDOQgDgdP4mLeycATDglpJaegAQR9AUgAqJUQ8wmeCRJ9732tdc1m7dhK7fmKVV + klbnG9apA0gdAIUSJEcOdSEQSQun6UQsBJdYCUIRHFQhhEgE4iaCk1QKAGylFRYiAU/bLQI772Lo + GOSrmmJ3Va371h7//PO/66FnXPzqe/8WF1wErjCorBwBIKjjCGWIc5aKt23gLbaWyQFw6FLwxJ5c + H7Vq9kAxm0lVsSdLIwpoN7E1+egrXnPheEL95h3e8eZsHzuHBEcsOosY8XbQlJSdUiMMElYGCQtA + QppdirE5WcewRhIFD4CRaxwSQocHDnzo7te+YBL2zMasM3BarUBMISoAUiiEFAy47cq22qYcAmbA + ARGISaoBx7Zr28euv/CKvu8w7VCxv6CJ8B3IEbrQr1CNcYcY0Y3Rdx96+asu2Ny4IXZNP93nCKEb + DmpB7yqM2261giYoAZZupWACltKtCHDCanbnlg1GCoeqdtwBAvgEJBw48J7bX379we6CBO/Jo4fD + uMdqg5TgdDmFi4Q0zaVH2UGApZi5MpQk6sh5VfWEamv8/le86hWf/hAahiS4E77ZsG6Bba/ubK8t + gOsFmxMcXPvQ3a+4vN26th1fOHSrvonTzg39ZDYdAMPhIEmfgESwZhKgZwDCGsyDO5dcaW7qnD3C + BayEwASAIVatYg1LgMyHBiliTI5cBUYKQ6ezLuylgIBBW3/6pXc9Uvnv/fBHcOklgVANvKTEqADn + LEUPaa7TimOE+o+Ddxo6IoZW2qWBHyEAs6jDSjwcd4SAyQRb44/e89oLxmPqx3e4Ex4v+ajhhChR + EgcohGO/uYHKQQJcDaJqNFAA0x4PPfGhu191isaLzto/uPllP/axe4HWDUfztEaHylOwUubExsXy + yCJuWygUCoVCoVAoFApnJ5aVkoOUIsLMfd/XdW2vAEIIls9xpgtbKBQKhVOOJVFZymPOPrS1Fsyc + V54DqKoqJyGdOJarVFWV5c3kM46diSzHSFWn0+loNPLeWx5527YrKyu2d9Mj263jLRQKhUKhUCgU + CoVC4VzkyLvxeWIOWcIO2zdyHtD8+yS0/dWcJrlIngQsM/HIfDLCXBulUCgUCoVCoVAoFAqFQqFQ + KBQKhUKhUCgUzlfmShpL4VhWCIGI2fHW5tjV1WB1ZX1z41f+xa/++9/9T1iEYlNKAvRJkODYRUnb + m1gOsxIADAZNO+sAKJKJORCQ+vDC5z33Zbfd/h3Pfe5VV1x5+eWXD4fDvfv3gZmI+hg2NjYeeeSR + hx566ODBg5++7zP333//V77y1RTTXFckKQOSRBfBYfaOiFKMS0IS8yLkiDCxs0POcrdnD8utMLfj + JQ5d7+tKVWftzDU1HL/5t377l//Pf+kqF0MyAZ8spZmPmwHMPcVkZ5IXy4F5kqN/fui+jopJ97Yh + Nt6lmNY3Nn7oh3/4z97xjqsvu4xiDClWTU3JqRKI+iLbXXjK2HqQqqpMkdZGkKlXZ9M1I8Z4ti3N + MLXcvJ4xF/tMl+tEMbHjruuY2WSvTYP4DBapqioiMntCk98tS0QLZz8pJXMjMK8Lm6lMWdu+kAXE + z8J5rFAonDjzPFdlkCgcYLp7psqYGBHmmatsypmu8htp8sjBLz+w9tWOZn1Iw9FgMmuryrwGITCr + SNDhDrsnRJRUkfYcN8LBT37p3u996TNls46iROo0CQnAYvKHeNp5WhvZLuXpIJLviPsURBM7dSAW + 58k5+NlkdtM1d9Ar/R++7/dplXo/noUJM9h6hd13Ky/nYputKdkzh4X8bEMQ4UguEZEmpCQQ7zCl + SRsf+aP3v+V/et3PcfAXNPtiO/bO8r/tqlIA5vnwEGzviUnN2RpEstML0L7vB6NhaAMzj4aDWTuZ + hq36UrznI+/6wsHPpH3tLExG2gybwbQNxNDFIwRhmBEzy1xCFmRm23NIQcKDNFiR/a96yesvqZ81 + CPuYnIia6iwpnILsvh1IcFCAzq5nFMfCLvvNHKiu67kriTTdAbz4ytu+fO1nP/K196ZBBxdUmIQ8 + JQULkapLDk5BCicA0aydEBGICKSqSlAC796dRPYjyZvcRbuL5U0RkegZc2U+SZ6knpfWXACwx4Cq + YK4b0l6T9Mmj59By/45733bZPVc6ri7yl0mffO0kqw0vHOjzqUrhBcLqlUCqIDFt3TNu666q9oDx + BL2FiOiMuxCdQZJZMMcIFmbMdHLv/R8IKxPhHkd9FKmMRTdYrP3BfA4nM3QGAJCYtLIHQCSkIaIB + kvbB9/d+6QM3PesV++uh9Mk5AOoEvQj7J+3P5wBEJFAFs4I4PbT1zYcm34orMaTYqSqJiTITwTmk + AJeqy4fPeuNrfupSXI/HB1U1GAy4T0FJvMApkwKQxFCygbaDISa0fKrd/hjKfd/Xg5HATyabzXA0 + GFRr6wcv33fdj73mp/7vd//yJG0ORjSdhb0D9Pa4V1nBy6fIQ1Be7i1z23gSOJDXA+uPrs0er3nF + azXXBC8UCk9GVbkY02BYhz7dc8/dP//zP/frv/7mGMURM3OUZHZlzMzM2dotv8+XN845e8xrDpH2 + pP2MHlmhcP4jIhbhcs51XWcmgtn30ewG8yVo9mW06FLTNBaXOYnAd37+PBwObS9d19kkACDLo5nV + onPOVDqxMN723s9mM/uvEIIZ65ofoTmwqmrbtmb8bI+1s7znuY65ToqIGYqb+2z2BzWv0+FwOJvN + zJj8fK2Hs4oQgvVYszSOMQ6Hw7ZtVXUwGOSWmqcxtC0Ae75hg8tGmf32WLuwxrVf2absyzkqasbw + ux6XzL6z5g9tp3JVXV1dHY/HdrD2zeyv/NTJnq+HzQPH2v6pngfMjNaMb+3ZlJXEimdqjQAsYcCc + UK3dsXC5ztPmLuKcy9s0YcljPXpadthd9uc+VlfJMphWXTb9WhjRThnWFc2d9+SOa3Nz095Y/6nr + 2uxsrfZMnNmKarV3Ers4cbJ1sVVj0zTWdsciO6NbK9ulrE0Cp7qcuQNnTdHj2LTnSePgwYPZq/gM + PlCy7hdjzFbWqrqxsTEYDJbnMTuL7SJWRXnv5jNtndlm4K7r6rq2yrGObVblIQTrn+ZSf6yqrqrq + qNcn+UDs6FTVrJqtn2MxOQCwwWX3SiJS1/VJX1wdvx6891VVWfHydGEZTSaNblcXdtG1i7s+KjZw + RqPRsp12bppdmWd2Wh4A1h+waJ3Tc54tFArnJSWnqlAoFE4SzetDdO5pjXmYbkneUQECk+UCSOU8 + ZgFR8cTaf3nl3S8O4QVrB/e2kwpCK4OtcdsAVQPU6KZwjLlz7FL40Px6bR9qzz/BQsLz/cmypW5i + x0pKIGEnkoudmBLBVYwoFVwbxhUaQWSlmqmf9Zc0uCTx6mOPvvOuu77/gx/GKmFlXyIkgqU7zYUm + ZXtfS1edsqiBBBZHLsZY+ZoUmOmQGDNB7KBTjA/88Q+84cJvP/zctdmV4ISeHUSgKfkKfdCqxqqf + W31b/hAUrJh7fS/2R8o5O4oUTdNAAe9TgtfgIZhsYjL7yN2vu/qxgxcn1NpTRTGgF0So91CzJ1+8 + zqvpGLBg7wraqT2nxh5Xbx58cDTa/9bb7/iRz3wMqatcctGhg4dgMsVGePs9rxylyRUxXf/QY5eD + MOQgvSeKivGs38OQgBUCLBlg6QZHCAxR5W3t0EU3EIKwggSiqRNHjKjoWsy2/uqOlz9vMr1EpYo9 + I3URTY3VGrMZag+S7VVYuvxKst2lF1XLajcZiZl8Nx118ZnOveWuu/7xvR/EnubEo9LmHi2LUePm + /Z9Mo8UAACAASURBVESQ1E1adP1/vu22525svGg6aSZjN6B+lmaCYeWms3alQgzQvh0n7Fv1YRoB + LCL6Mt/wkvX3EU3HRwijKiDzotB2Ful8ZBGipqpqQugIWHGIgv2K/dDx2uZFw/o9N770tZ/+VHXB + fjjmegBNIAK8HZFCiLbH/omyGLDeeY1CdYUIzBLYUxsdOugUmwfe/frXX/zth67dai9jiGAH42Ux + Yghg5QS1vBNCWqkdNMFViBo5eWbaWsd09uF7XnvqxsveevR8z9iYYrgCRCgDngCJEG/1IWRO17TD + miwUCoVCoVAoFAqFwunFYtgWr7Ugt0VMLZCc11BhkfZRlnkXCoXC+Y3lM1kqpCUwAcjzv4gsf/Pk + 5HWW83JyPqKdjCyTD8BoNMrJ5aq6srIiIpbVdxpy+wqFQqFQKBQKhUKhUDgnmeud8XZK2SJjZ7FU + iAFxlqy1yLOSQzPQbDNQAIxjaaYUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoXC0wNWCDSGUA8HSWVt + Y/1f/5s3/e5b/pMFWCvvnHPTrgdQVS6EdIin9WEoALTTDsCgqUIXRk3zhte/7vu+9/W333rbVVdc + sbm+oTGtrqxAtAs9vFOaZ3dfeuFF119zbUopivzkP/4JAFtbW5/73Ofe/Rd//v73v//b334gwrze + wMQAUkwggMhVVTpCUdEUIERElmQmTKbzbPO3BmBWrN77PoYYoxKaphHm3/3Pv/8r//LXhJBCqqrK + OXeknKtpmpiZ92k9sOV4fUpN0/Rd16fkCJ3EL339az/9Mz/zlt/9nWde+ozpdCoiSYW0aE0Wdg1b + D2hi1jFGW/dhUqemvmoisGe6mEfBZLixWHWy7Bdypot2QmSfAyuwSf0eX/b9lOK9z9Lqh0l+n5Hy + FAonwrJTddM0yyr/GdPvLiudC4XzBUt5ZSGwCVGaAygkqywykCC9xno/f/j9fz11a0pRCVDnHJsP + qJEIALwyq5n+nihKIACexuPpRaOVz371Y3e95BXOj1JHRJEQef41AUB6bEvC8xe7KrMlxWa1eF5r + CkrSCMAzM5GkBFUiVzkfA8VNesnVN6dXhv/2gT8I/UwEzR6kBCxys+eetXMpRiEVy9ampVRtVlJl + mE+JpBSDAuzRRayshm+sf/6dH/l/f+pV/9vGo+t7XE1g0jTfyNwPFYD54pIJ2M5vQs1zXFl26Gyd + klQVQuqHzaCbterTyqXNR7/6/o98+QPY1693k/37fHewUw1Ng9hnDdJDYDV3ebHRly2Zfap109/0 + otu+86oXV5MRxRpRuQIcRKEmValiNr+YC7eeG5itBQDz1AkhqGrjGkpVN5HX3/qDX/n2/Y9231Qf + RNBUFSSRWvb+IfdjxDrrpkoCEiiZffwuCneaFUf+Z7a43sXt580+HSBWSoiSHBE5JIUAicQP2gOb + D/7x+/7LP3n9z+91qxX2dm1fDaqYTF963q9Jt+cCBQscqygJAQwxr/cz5Wy93CuetIfYuWC52VX1 + vD41HBVhdiIQUTjSJjx48OtfefiLenEnKRDk2A0pi1fe/mRubLwty0wKZoqiXVA4VFyFWcCI/n7t + q18/8OUXXbTfx7qCB3mcrOjHWQgzRxVm56CR45cf/vy02oheEpIqnCdHqgmawFo1XA27/T/6hp+8 + UJ4x7FdS61MUHkJZREWInbBJnTOCQPSpeELPLbEFBCbvRDUlYh7UI4LGDo0OsUVXjq77wbt/7D+8 + 881K07176/UD/QX7OHVLzbq8ScXysNGl9V/zawpEcNXp7NuP/v0lV16h8rS7BC0UThoRqWvf971z + brVZfeMbf/yBBx74wz98m4hIEhAd5mDNzGYt2TRNSsnswcxHDUtXU3ZPVPT3CoXTRtM0NpCzu+do + NMJC8czcr82X0eIy5vx3cnaDNg/EGKfTKRZOlrZx83fs+94cQ237KSUrlVmxmoGoaaMB6LrOfBmx + FKAZDocAxuPxysoKdvWm7AxiXo92O5xdNu3YRcS8Ofu+n81mZvF7vtbDWYiduVJK5vdsvqEA2rYl + omVjThs+1pktNcWa8jjBWe+9Dbf8hMGa3nxAzY40B3F217n2sPvW7Ag7Ho9x6Cl7F4PLx5oHjvV9 + 2/Wpmwdy1MwSaXJrWisQkTV6vpJRVesAq6urk8kEu/0Mx/ZOi+urZev04/wqRwOfNHJtjuBEZF6/ + 9gjOzG6xMAm2OdlaSnZ432RFtYikNetsNrMNWmzdBJlV9TR4RQMwv/Zsjg7ArHaP8xPL9bLRbRe3 + p+di1WrD/IktfHCcL1sShXNuMBhYo9sQPlPX1bbfvPcQgnPObJ5t+ooxdl1nbw57uPpUsFFvbuU5 + lwMLPXCrEPuO+Vibe32+1LHT63Hsw03B9ajXJxdccMHDDz+cv2m9yyY355xdwCw7eWc7ZyzkYbGY + 3546tsdsJG+pQVi4s9uOLA/w9CS32MRitW1vrPVzW+CpzTM7xRrCRIBt8rHPT8N5tlAonJecK1HX + QqFQOBuZ3weQKAQQr+wSO2EHThbYUyDBAw6saKBAbLF18EP3vPLWRx++av2Rvf2m5+S9l0nag8GK + WwkdZlM0Q0RGZFUClBMhMDqHnuepb0LzP5A4JIdETojhFE7gErO6SuBUCQmQeRKCssD1zJHRdzEm + dBzUs6s84GLELEnloAEi8owUXvzwwfd/z12YThFnlrtTK7wA8AkMZhAYcPan8z8ACUgAExPYc0UK + TKZIUxx8FI8+9I7nPPujz33+V26549n3f/kG5/cytRJbxTTBjZwAMaAihB79VC3rL7BVCBKrQhQq + LIkgCxtjsgNXUAQEUBk61B5oJ3hw66MvefllDz3+rKbuwyQitCH6Bk2N2kFo7gFMyqxgndsbz42B + AUCFoCCALWVRejAjETYDtmI/HPLIpe+AwxNrmK072cLBdTz8BL792J+/6KV/d/NNL33gWy965IHr + tx67gFrQbDydEGEW1A8He6oazjMREcTWdpnY51KmY2JJrMFpWtglC5CIExGIkaSuGHWEjLHW3nvz + a5714BOXzCYaZ2i0BeoasUc/w7AGkh3d/CiF1BIiCRBC4rlvOi/+CFCIq3wr6r3bt7pnNNm6btpi + 0mEnyx4ECEBcJEHMD04Vsw4HN9/1/BfeevDAC2abw3bsAW11ta6Hrm5DGjUNmBNAjL0DjMeRABYm + ECs1kZs0v5RRzOuNdMm3m+ZjhVWdaCVaiTqBE3bCVYJPqGS70QEQgUEp9A7M4CRMrmoDuJeVqPtj + eongCze8+G9echPWttAlIIJU4RIqsVSDpeY7QRTowdHXiThVPhG0HwNTbDyCxx/6w+c856+f94Iv + 3nrX9V/8+g2O9zq0iintaLwkYRG2mQouOS+uSvAikA4aIB5uxflV9IJH1z7w0tsue/DRUzdeVl18 + 1sb4/S97JVKYJw+GBEE1f3AkzlzPlw3Yz5Ncl0KhUCgUCoVCoVA437BU2qxPgYVlqQWPLZsWgMXU + S05toVAoPE2wBO66rtu2HY1GVVVZ2kpOpmma5qRzwiwvB4tcJUvgExFLJ7IEGkuqs3Qoy76yBEos + CRUVCoVCoVAoFAqFQqFw/nNEys2xcnAUSEAH6SCRoHOL6/nnCYhABBJYwFCGMIRJwZbJZiqAlpJ+ + jCSfkvtTKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBTOa2TZlik7MKkqO5dUlOhN/+bX3/zb/75LIEeV + dyGm2UKvtg9JF4tx5gogtAjxKqBwTAAqDwdcvH/fv/ilX/jy/V/47d/4zX/wfW9YHQzG6+srTbMy + GEiMrFo5x5j7XNmrZx7U9Z7h0APDpr5w/75bb77p373pTfd98pPvfsef/vRP/uQle/cNiUkFKt4R + FFBNfb9dmMVB2pEp1BYKmbqoKd6ehVqHpgyuRN5Xdd3UVUPgv3jPe/75L/5C30dVsHchhrZryTF7 + ZwdrgisClu2WPKQ5nvzPDKXsb5mlylx6u/3J9r4WH3V9p4AooiIqmOmDH/nwf3zL7x1YO0iO+5iI + CEzMntkvb3NZeqVQOBFssUZd1yYfnHXkAZjoral7m/7pWbhO0IRrTXfb5I+rqjqH1o/YFGpzqWkK + 29KbM1UeWxBqGse2bAcLgelC4awlS3jbKrOu60yd36TkVTXGOBwOTbL5zBa1UCg8NYR0fv8lZBft + LDAFPIX5OCqzMquDshA6mh5oH/ncNz858xvaKFfcdl1VVaTz63JdCDCymrbeziSa2QHQRIyGHpl+ + 43Pf/KRUmhggYQjUQx1IQQmguWPx0wlTxl82zTwLbx53CyFE7YWiI1+hclJp9CFK30Un9V7eR2vN + jVfd+oMv+0f1eHV/vd9pbU7qYtnZJAIo4Uh/17mdrXJSt7B/jeR65+EYSqSM6GI3PPjfv/Whj97/ + 3jRqO0TiWomhxErbjxuUoKSkSiqkh9+37gxuXBO6SF4T+i60PMADk6//5afe3Q3Hj0+2Lrqw6WfR + DREgImAs32YDMOHQuW/oYZ+7xHUcXLP/OXe86J66HzQ6QBAEJSFe8hY1gVwhAenhGz+LMX8gMz8z + UygRkah1qi8aPGPUX/raW/7hUPZIB+99hELN7DyAelhfICRGQpp1UyER0oS5L/ihQ+ypas7b1rL/ + 9K7b3x62wfPGXncJyX8MdY5E0KsmgjCY4RhJRJrp329+/h33/j/rw0cfmn1zuHcYeiX1rJ7VOfFO + mACaP5wTzNVfGco4cgSdCRZT/ZN3ErO1xvnZ3DtASOCYqQbTVDY+fv9HYtN11ApHE4Umnattz1t3 + +Xkjbfer+RyvDPDyuh1VJUICmEFEiUADbv30Y1/68KY+oZwYjpVV5vZC5zqi0Zy8lQnQqNPPf+Oz + 3aBNPlBF3pNjslqlBArDprvkVTf+j5evXF+1I5rIBSujgUcMU0IAJQBCpHAKFtBJGcYf+pO5zrgQ + wVecQq8pDOtGk84mbcMr3FI1a7776jtv/87XrGJVZ/3qCF0vQqIUCUJZIXyuwc523rPzYEbVhpgk + pOSC1unrD35NXDgbZolC4VyBNHWzSe24drw13tizZ88v/dIvvuIVd41GAwBQ9Ty/FiVyAJuLoZl0 + mgOlmSaaIBIWsb+u60TkLHyuXiicTzDzZDKxQRdjrOvaOWf2geaLjIU0Wdu2FvDK8mX285PwtAYg + ImapaEabZiWYh7wF2swxNNsr5ltCe1Ld932eQOwpioWHzBwxi3yurq7m7Z/B+NFuYRWeb4rtQ3uM + nw1r7Wubm5vncT2cbVicxYx4s9+z9z47dGZX5tyBLYa4srKS7TOPc68XY7RBZ/FHC4ya9alZw+Zn + GrvrtZl9mq0jZZvV3K/MA3V3Pa2x0OY96jxwVE71PJBtX7P/cY6gAUgpjcdjK5752uZ2N6/rnEhw + UpVxFGwSsGsn55xVkR3+UbEG8t7nWTfb6B6V3EVFxN5bvZkXNQBrdDv8k/CazTbkZs69rLdswyG7 + g5+ei0DrxnaCExHr9scZj9nd3M6Vp+3hQK7qPOTNY/5Y37cZYzweLxstn8Hr6pSS1a3lz9h80nUd + Ea2vrwOwsZPP7Lu1XxsabdvGGM2xGIuqs3FhNyaWJ2PO1jbAcxM/aWGOen2iqg888IANmdyvsMgn + sVQim5fy5GYFsPcWl9/FqdU2ZeUxbNy1bWsfWuXbpeBpePbY932ekG22t2G4W/PMTrFZ0WpJVedx + BxGc4vNsoVA4XzkfIgeFQqFwRpjH9Uks0EtYBHaX1R8XK3IcUEvAeILJ7E9uvfWqRx96tnbDSTsg + OILEVIEZFJMw1QNftTNThxTbBcCCKlLT8XCjHh4YDB8bNY+NqgODaq1xm7WbekQLOS/MZUlBusg5 + YAhh4d7LrCBo5TGoESOYKYSeoMzwzIkQABDqgKtaXPfExh/ffAvWDvh2MhBBjGamneAs7Wlbj1Jk + ni8xT/LTWgmzgPVNHNzAdAtrj73trlvuu+OmW9rpd29tXffE2vUdhmvrAxVRcY684/EkOUdMiIra + 1zU5gCVf01r4nNJyCpQQJWJb18NiLtuE2FMPbI3x+NrH7n71dWtbl4PC5tqKh3cYMKSD9OBFnhYr + 8zyxaZ6mkzePhWVvIiihIiBBExxhZQQitK3UfXvR+tb7X3kPxhM8ceCPbrzxE3fe8cU7b3vhxoFL + H3/gOoSrQqg224aQgNUarKgq3866GDQFESWIVxBxpXCL7JB5N8vFACSxWO6jgqF+buYsHWZjTKZ/ + c8udVz928Pp6MGxRE0KQukZKUKCugQTRea+0fmKuz84WI1nP0e0EL1tq5og0xUFFScJs/Ph+liu6 + DuMtxB3cATLA82MRRiSJmAWsz/DE+gdvvfVmksvXDwzHs4bhK9SM0PYpxUE9nHWdBmk8uoAUsNJA + CcKiAIGduoRqra4eXmkeGY0eGa0+Phjlv0eHo8eHw4ODZquqApPMDbyzIzyROhYW2Jq5+VAVAZEC + ylXD4KASgqw0dc2OJTZtv3dz4/q1reu/9e2/ePk9WN/CrEOKlhcE+PmV1c7vwkgxEHW9+K2JG29Q + N8HGE29/+a0fv+OWm7r2hs3JNY+vPSuiXp9WCklwjJMaL0qQebIrCEBigbfUHqak2Jy+79Wvun79 + wDMpnbrx4kJ/UR8uCh2kj6kHMxqHiLkb9naHp+00yEKhUCgUCoVCoVAonJVY1ByLfBTLY8hW1ha2 + zLkUpyGMWigUCoUzS87RtCzAffv2zWYzLK2ANdmyruuccyeXFpyTyYgohGApfXauMYtry6myBFZb + +FFVVU76sdPTrhxsoVAoFAqFQqFQKBQK5x8KzPN2cgriock7bC86T/DJztZHW9NTEtQLhUKhUCgU + CoVCoVAoFAqFQqFQKBQKhcLTGiH4utqaTuq6ftO/+7e/8du/ZZ4TMWkXExMAMEMBy74WkaNKKxDA + ol6xOhj+8j//P+77xKf+yf/8v9S+qr1PIawMh7WvQggpJSQJISCJBXOdggFKoilpiBKjZ459cIqm + qlPXpxBvv/mWX/vlX/7q333xN9/8Gzff8OIKQNKKwMvW2jCzZ2Ahq0PMJiq9rG16FlrRmGxr13Uh + RmJuQ//Zz3/un/7Tf9Z2PRZSkgCqulYROVRG85DjBbCT16w1tFOObH92DgAzK0CMIBpEfu1f/6vP + feGLXQhKcFVtUqHFobPwFKnr2iRNbf2Fye+a2myWnXXO7a5W9S5iStYmTWvlDyGcQzKseQ1mFkw/ + vgz3acAEi032N4sXFwpnObZgbTAYmFUJANO1tw5sit5Y+AkVCoVzGIrZmU+J5lJ1AEAEsHqAoU7A + QiQcdRDu+8ont+RgcG3ATEmcpxh7u/5mBWgu1Ans2NMagCpCkqpuZim0PP30l+7tXBs5AhHKpCYM + aGVmwNHOd3FOc5it9dl347i7CHkFpZSSBCJ4V1W+rsl7gOJM99G+Ybt6wxUv/od3/aPBdC+2PMni + ntr8plkSi/mY6qKL2jdIAbAQK5MipNSnZCOgipG9Q9sj+DirDv7lp/5kHQ/xXj+JnYCFWOChHupJ + /KKT06LEqiQMoZ03DSscHISqqpqG6fCCZkvX3vup/++B6TcmsrHvQp6NO1IIUK8yKzzIRpkuVHOx + yDjnhc28lcsJ+9TUYeXOF73qGYNn+b6SPtRVNRwOYx81KisIoktG4KRCSOeKbac5HZrDjd1kmf8Z + Ae1GP0oXvPSaO559yQv3VPtDF4XEZGMJkUgIc2FhIRFIF/uk8VBraJ7PZk95tjk9t1Rn4QOlU0Tq + /3/23jz4sqO68/yek3mX935L7drYdwRILEKyhAAjgxEI8NKL2zMmJmLGdtgeG9s90zE94+6ebkf0 + zDimZ6JhthhPT7vdTds9tttAg9sL2AhJCAEGIxaJRRgJBEhVpapf/X6/995dMvOc+eO8d+tVSVVS + FbWTn/hF1Vvuuzdv3syT99489/sVx8RswxUEkAQSOEVRQlaaT3/zrvd/4t/xlWkzbSQWJZA6Ek/q + SNmZnLQdf4oAFCwEJRJiLILGBcJTcMo5NwW5QBFCSL0gsS96DYe6A5/56ifqHVUvmhhCmGtEKxKb + ljNIj1pZw9rAXGRYnvDhnZRQFnXBEEEbemVESlKkz3/zsxv6mLgAQAWqcK44pzt/dmCFxVUlEeoa + nfz1ga/2Zd9qTKoMaBRJ4IRCR1VYe8kVN9x09Q9Xca3mlbosJ9sbVcmMwLo4ybQ/6652snjqhZr/ + v/Rb0T7Grqy8Y0wmW554x+p6aNqaynW/o9tfvO3Gv72T9vpQOjgAiUVY5rLhtgZAzMv8WOZ38Qlm + zaksgoBC9h/5rvh4OsN8JvP9ChGNRiMi2t7eXltbmzUT7/273/3Pn/e855TlMRp6dn/JLB5N0aiq + KjPpNOcw+8qcGquqyuJ7mcw5wIx1sWTRioVt5yBKZt6HZv3Ydd3yXNLpzX8Nxti6uPcxrNMcr20B + uz1i1qf2Q5tnXzZAHQpsEcaWNFG1wS3YAsuFOVV3Stje2X4NKQeWNWH1MLiSD7t8SdbDhcZxc6zW + Wcwf1Fq4+XdacosdNXsxnU5N1s9MPU/Ecmdp29a6TFVVNs+LJQNU6zVnar8GH+vBSd3e2qSS7ZdZ + 1eJMTyE9YRw40cJnOw6klOq6Nqtsm/x1i3QUW6AoCrOatoWtcuzt0DDOoJ38EJ8t3aWuaz0pw8y1 + 3UazqcCTnGKZErJdKpoWpd2Fs+aHhefr96JIOfhYi8gwy28H2rKVsDRMnG2sluxo2l6fvDFb1Q15 + U3YGew6mUIdNzK/iVbHQJj3J8qYjOgyd5zF1YVlh2xpAjNFmpVdXV9u2NcfreRLgmcOGyGXfd/On + x8L5e7jD3LbtIAnuvbfBdFjJSdZvL447PwEwHo+H1mXY5uxfC03MbCc5y4OIvV62Uj4jWB6gxSvr + ayYtax8OgcuGkjO43SfEzLyHJATDjtSZijOnhDWJGOOQ4oVFFDqr42wmk7lU+f7KachkMpkzhgJJ + IFAgzGd27WEgaAIUTiGNGQirEhBaNA2ObN/9mlteeXh7V99oCuyQBKTECkEHDlRrRzKNIHa1AyWo + wAGVr1300tdtsX5w12X31qMHrrzqc2s7v7H3ygfXLntoZc+j1Y4WPil6kJYll4VoCiLEYI9eoApm + jqRBU8VURuEEjagISOKQGBHagaRjBA9RMFiS7I3hxscO3/WqG7D/MWxvoZvAKdQxOB3d98WUtjCU + zUzbo8Qk4cgUBzc+ds1Lv3TNS770sue/5rFvP3PzwI5+UsZAUWrmIipLqhk+Ekeq2ImywDk4iUkU + CmLhQuAFXuAWWpn2DBUAJSRyiZwC0AK+gCZQwvYRfPvwPW982xVbG3XaVnTRISiSzp8aIoAETtgL + O5lfWCghEdIikWs+nQ/7kBKxACQoCD4BLeqEEWEUZE/bvHhz8vlrX/O5a37gps2Dzz3y8FXbj+6J + m2MfQ2oS4BhJoYAEkMAFreAZzCgIhcIRyigsYDFnbmXWeRmcolQVQWJEhhJKX1FQCIE6xCkOTv78 + Va95wWy6T2OcNc6jEBQKDmCFJ2iAJvh59gkN+0iAEziFbQuAVe3cv5hEoQpE0UQoyPnY7ZxufezN + t0JO4c4RKXxCKWnupTydYXP6Ry+5/quvuOEF2wfq7QMjBjt0gk44qSNyiTRJ8KAo1EYA8Oz7Hr0A + pU9QgAmjabH+har64pWXf27fZffu3ffFPZffv+vy+3Zfft/uy76wd+/9u3c9uGv3o6vr07IWXwQI + MeARAUADpCdP5Thy2QtUmYh40bo0NIpYgVmgQVNUT86reEXB2JfCK777yF2vvhGHZ5glhKB942Ru + EH6qTsykKFTQzHDkCA4cwLe/decrX/75a19y86FHn3fkwN5mq0xdUoF3AY4Sl8RFdKfWX3Seh2Su + 0yCJjM5xSw7egxJY0LWI7RXt1o60rejPXn/xgoC2rAn9jBwnB/VAARBKoFhkxiQgDAlSF1KaVCaT + yWQyFz4X0UO2Z4Rh9ldVLYvrfJcok8mcFYbZbgCWzZAfg79wsPljLGYxhwyY4Vt7kZ/0zmQymYsU + O9PGCYZgy5uxjLQhkciSV+xXw8+Xf/uk4/iQrodjr3FsbZalZClExy1w3DBkyV7DJzkXOZPJZDKZ + TCaTyWQy358MSuNG13cAREVSWiTjiYekfuogBJEUTChIA0pFoSgAD6SwSK4CIQaIEBBVYELeeowU + mOYc9Uwmk8lkMplMJpPJZDKZTCaTyWQymUwm8/3BoNtrgpVC6FMqyyqJjFdX/vD9/+Gf/a//XAAQ + fOlMPCEqVGF51qqqmBtTOO+hcOwcz3UeHFACt9x006fuvPvv/fKvsohTrZxjwBHZM5VERKzqFB7q + lBTDH4Psz946ornpNTEDEhNEXNL/9G/+zT/+4H/4o/e9702vfS0pWEACKIpynoDtClYABHZHxTeX + c8UvQGJMRVGKSF3XXQyHNg7/9M/+zJHpNgGeC4aDEJRDd6x8CplNkChEzSgEg4HJ0X8dsXuiz+dH + jYkA75gwn7BndzpP/kpKIIgKCGKSNYAA7/qVX542LZj7vk9RRqNRCAHKUKaF7gcAHPMmk3kSTCB+ + 8B0cevcgTm2fXJgPCTrnHv+A+cX1yLnV8xmUAv8esQd/Bo3dc6a0m8l8Lwwq28uS6FgojGPx3Nn3 + mxxHJnOpYRcqJEPG6jDee+9jUIJXYXJVFBWmnmPjtz71xdulioGVCwIiKIJUFc4hRjgHAhyBQZpO + 8QKHIHbC74teUyz6hze++eBjX5W6E0cAp6hEjhlBAlOp4r93o9mLi8FrwXtKCcy4kK8iv0cYgCTP + INJImhgR1EtSM5BIqq1UodpbXHndM298/dVvqaY7K6wSIQrYIwCRJGkUFhkGK1q0cvUCDozgIrEW + BK+AMIQYLjQY106AvmgP07c+eM/vTv0mVlx0wq5QYhGIkCp7X6vOT+2OO10+9ctHduQpSoyxrr1s + LQAAIABJREFUGvltbNx/4IufeeiemdvkIkknhbBXgNFHIQJUly5Xj26dAShE5l2SFRVGRV8/fcdz + rn/+66tmXIjzDklmIbYVe6/shG1VwpqIBABFRrxYxvjBsoWZ7VompQQS8smBfDeq+p1vv+kneLKy + Uo+jhkgaVBRwCgZEEElROPXoYxNjUBJy5mZ6TIThoxUuOHXP7+GSyl4MwjJnimUvlqFCLqLrOBG7 + JQj7W5iunXB58khRSMEKVRCBFE5QwzWTlOoQ1pqPP/gXt3/lT2f1VigaMEtiB8/RFSgQpCCwBFAC + kvVgBctcaPa8DS5majWIDj2pB1JKcA7Mxzew7zOEPSuJMKGiu++7ox81TWy8p7mNvcIJlFjhgfkB + dgxJYILzSAJAiE2yQ5RskaM177js+0gEIggDjlNkcTTxG5/6yh3R91GCOk9cxhgvzFs9pwSRE1Fm + 9t41afrgI1+TOnUE9dYsNXaoCCWVPtQ7eO+Pv/6n/PaaC7UqIgVXU9dPvCNWcSqACKfkUmK1Xnaa + xVIGSAkKnp/EQthJSr1IqMoCKqHvqsKl2IdZ3OWuWI9XvelVbx/3a9IxF04YQiDAKUzvWonNyf7o + RuiYAT0lBYNIE0vk/uDm/uiC0iV79pXJnA1ijElCPSpj1xZMSUJd17/92/9qz55dZemTJAI8uxi6 + wjGAwZyy6zosLFoBDL6Vy2c7xy28vHwmkzkj2HmpKZIN8xqDJ+vyYuZDn1Lq+96MUQc9tMGXd3CW + HV4M3w7rHASQl7vzcXPow6aHySy7GBSREIJdIQ6KbVjc1l7WSRs01s74ddm5Ybk2jot7ViGDTp1d + FZo77OPFpS/2ejh7WOsdnI+xqEx7PZg6Y2HAudwmsWS7a2+tQZrlMJba/yAqOBwXa9LLfuSDvymO + 7TiDQ2pKKYRgV46DyzWWpnWcc7ahs3eIlyc9BwvnodtaeZb9ua3SThIHTrShE8WB4cO6ru2F1czZ + jgNDhBzaiR21oZz2+XGz1fZ28JY+je2eCNvuUJjBAvZEyzOz+VLjVKQssajzqqqWD+tgIm6ClsOS + jz9Sw6+WRZWHLrO8nuEG2nHLn5t4dVzDGJqr+bg//quhA9ohPmdB1Y744P1s58wnmTIYfOixaB59 + 35/31IXlMLXcT51zZqs8DO7Dt49vSCdiNBodt6TdcBti8nErtJOK4ecWWAYz+MGyYbBeXi6A3c/E + IvLbh3ZStBwKjmtdNkxbhiSW7ugOm1j+dyjDmWJ5uFFVu8Ia4slQ4ee4kRx3WIcasNIOne5E7WF5 + nF0eCJbb2MlPUG0ZC0SDs/hwZoVzO85mMplLhqzcnclkMqeL5bJAMEzeEkAgwpGN2c5dY1ch9dGV + TNMJkLB55MNvfNNzv7P/ir6pvMaEokQIkKQFEwRJY9NGx1SXY6CPfSKGFjisfDh0vOeyw4k3RvWb + b//wSysP6VGUaAPIIckfvfW2jS7w4UM7anbbm3uSeKCuECPaiHHNIN83fTke62ymofeLNASal10B + OerFq4A6GyNGKYynqZR09+vfcPNH/gxX7kEzpXqnI7QpFM4p5jPZYIYCDAHKJJg22Jq+7wff8LRD + B6+N3Xq37T20l0VqFAB2C79cUjgVVRYic7EVGvJgFmbLuvAMtsrW5alTFoCgAtfNOgDophC64x0/ + cuV3vruHYu0kSIJNuCoEYAKUBeQWjuTzvC1lkPDieELBJKLMZMk4RGAHkAoUw+WIg5ZoqhY7YwBJ + IXBq7sFLhVRODF5IhBJgzy/povwA67B3tr/go3mTqoVHpygrms60mczWd1+FtkfwCOEjr3ntS7e7 + temkpKRMMagDlq+WlLCo0aM1SCqs8yejhut1tdRKABSOprIBrAyo17Qawkrs5gV/qgjaHqX3bQMi + zLo/eM3rXzubXj45kqizBqBzJdN5uhSRdjGOizIlcY4loQ2Bi6qhuO19v8azSDRef6Rwt3ziHrCi + HEMICnvOCgBIoAmh+7evu/lpxfhpzsmBR5+1c5W2JgWBHJVUzGKctqGkglEyU5TOzY/VvC4Aceqs + d7DqUCGV4MrphBR/9vrX3frxO5FqXl2HouulqhhIx1b/kxEDjmyg6z/45tv2bB7eG2bP3d68nJAa + qQiJEBkKhmUBmns0RKFPvb8sHwslKFmSikvqIQ6+RNNhY/L+m193/ezIKqEV6FnrLwB8VbbTLbjC + SQock/rSYf6g5eImydHsqHxVm8lkMplM5sSEEOyuuj0Wa4kdNr1xvouWyWTOCszc931ZljHG8z6X + nMlkMpnMJU+M0dKG7KzbEpuG823nXNM0o9HIEiItYcXymSw/8rRPy6uq6rrO0pXKshxyVeu6ns1m + AEIIdkpgiVaW95nJZDKZTCaTyWQymUzmKSIiSZJnB4fYB2+q6EQrxQqAvuvKqgI0tr0vK8waLiuI + znO8NhvUNUigjCRgKYji45OlFgk/fMy7TCaTyWQymUwmk8lkMplMJpPJZDKZTCaTuRQR9exMHrGu + axFxBW3Ppq4s7v/qV3/pV345irjC9TFJSM5zCnLCWVRRAJKS92yu1x74B3//v/17/9V/Pdue9NNm + 5+pa0zRVVW1vb1dVNfzOlCaO+l7pE/xrnijHfc4y11odV9UNr7zu//u3v3P7XXf+09/4H++978sC + pBChIIcUxUyn0qmJjZxPmDmplFXVhp69+8V3vevbjz6igGcfRQRziRcyjU6CithbZk4xmqgGgWih + QeIARw6AqKiKfWILDK8ds2qSpAykKEXhQkwAJJ2xeiPggQe/8e73vOfX/8k/SSmx95vbW6vjlRQu + FDfcTCaTyWQymUzmrGKGYArMdetUAEkBRVE5LkLqY0xgjkhShC9947Ob8VAqQgKSSgSYKSmI4IiF + RQREEAEzg0nQn0pJ4D36HiGFsqiiyEZ3+PPf+PSzfuDZvltnlN6XBAqamFlBUFbOtoKXMs7aJUFI + oGwukqSIMTKoqkdNnM4Odvv2XHXz83/o8OHDn91/R/BY21Mf3GjXdiAkM6dUVRKAF4KrJjKZCEoy + CD8CR2VC68LHLgmQPCZy6KsHv/jJL9/xuhfdpkFLX0+2t9ZX1gpXdl0XuuCck/kWVEmgLCROgVO2 + 7ZS+74uicF6PhI12dfPPPvvBbtz02vCghAkmFaW5VOZw18I0MoXmNVYUPsYYAuoKmJDTso6rt1z3 + 1qpf93Ek3ICiEoSjSwULg5MqIkMWWrqsaVCwPI0DdyGgQEqd93UZChfXd/tnXveC13/i4f/IFZEr + iEGUiCGAJwihS7GAT0gh9YpkpuGqmhP3zyVlWW5vd2trVdN0TZOqiorihAILdh+KlElhwcEaa+jS + +vpoq2nKom9p9qF73nfVvqe/cMfL0wbvrHbHtvPsUgieC5VUOA4axVSN5yq+Cy1hvVgb//cnRJog + k267Kba/9PC9jZv4sph1DZewEDqXViY7skIEiXCAKDzm1uCSdP5oz8D89Mi0mZmWYiKrB4Bx/5df + u/u2l/2YpxG0QpSqLPu+d+7ijh1KlFIiLoJ2VMdvPfZgQK8OjhGDsGDnWtVudmvFuJv4H37jbeuy + Y0XXPViBQMm0m1nNyQlCCSpCg1P4smL3UyzQouIVc0Nr5aMHi+JitfNlRiv1bNqXXFVp/Krnvu5T + 936iT1+bpE1xwJK4NCvivFQCyLJeuJWQFwLxSkhISWMTJ1vNxhrtPd2qzWQyAACS1dXV3//93/vR + H/2xw4e3AIgIE8d0skmZqqrM087ODcqyNKEkACbWhIWM6iCmmslkziVmx2uCaZPJJMZY17XZ+w0e + q4PX6XGuzKPRyMzpT2LTKCKrq6uTyWT4+WBbW9d10zTDb4fgcEligrHmYYxF3DuJjeJgkznIzJoC + 3jkq7kXLcvXGGE2+b5AKNHPTtbW16XS6vb1dluUg3z3YihORDVW2wuGQDc3Yjoj3frjmtYbNzMMn + gz6hvVg2xrb2v2zVbBvF+bbVtAZmPqCD4avZJ2MxfFu1LJs6f49xwL713m9sbJRlaZs7BycDzNx1 + XVVVQ/+ypnK2t3umGJrloEdNRKdRb0Nst1O1wZTdzsrsoFtXsvXbWzP6NWlNa9hmrrxso2uevhea + U6z1x+VPLCyrqoWIweDWJP0H79uzRFEU5iLvvbdD+fgSHkeMses6771zrm1by8o72+U8DZ4wnpiC + a4zRokpVVUOkPRFN09R13bateVF3XXfajWqItFiMyCmlqqrMRX5lZWU6nQKwccEag40aVsMnqWc7 + j8IijBRFkVIazqDOPao6VJrtsoW781We4ZTSwkLTNHv27Gnb9kTtYYhLjx9n7cVoNGqaZnhrQemi + GGczmcxFzQU31mYymcxFA8HSehhwYAYSIRFA2LlrDCCKpBrgBqo4svXR66675rEDO/vJyBXMtSoQ + QQIHoKQEsNJa4VbKYrufhhiYsJWwubLzW7t3fulZ+5736Y9c/7VPvPkr9+CK3bhsD658GnbtxtOu + wmW7cdVlb//LT1z/uc9e98ADf7Vn79ZVV7WVH49LaVEC44Knrcya3hPibFaVcAydJzQ4VmKzyGXY + Y09eUApYbSZaCALIet8/f//GX/7QW/HoQahCoiQtlByEIAJRqBLUI9nAMpu975qX3X/t1a/eePTF + LmE26SKaFkfPWgmYrxwYDKpZlBMogRLPqzMRBCSJkRi6fNKrIJ1Pr7IC4EROiddHI0gA9eg2xpv7 + L+dQSYM+FAIvTMpibr7kAlMiBpiWRkMCnMK8exeZH2AI6TAtTAsn6OU/AQJTV4gUCazmE75YWsHC + AAlRZBIiAEpJOAmn5FLikFxILggHIZnPOs+Zz2QHgOsREtJM1wuqirJrGqji0PS9r7r5GZtHdrZH + CkzgpRUlZ27H8+IpWOapXASAFYVoIbLI97J9BCsEbNseDr9lqziBm69CGHAaT3lavXKIPRLw2Naf + v+rGVz7y3R3toyKNU5DaYYZTcSqJnMAz6bhACH2USFGC9PV411bAtF7/zvrOz162+8Vf/ssXfe4v + 3nD/Pdi5gssvw44RdtXYvYo9a9izC7t2Y8de7LwMl13xzq/cd8tX/uqF93z4gZc+97Mqh5IjX3Yp + bYRuXLnVwjsVRURREFhpfuwSwVohoKzKSCARINkEPqCMnf3k5YceveumGzFNCALpyhpNOz01T2sA + TfNHN9/8xZe+7OUPffPF29PxxtZImcXXzkHBCqdgFUZymszTGkin1F9ocayVkByEQRAvPJIKrcfm + DIe37rrhdTc8tl1tBwD+bPYXJXR970CYCVoqAkCIw5N8ygqO8LDH9nTRIjOZTCaTyWSeiGEG0Tk3 + nU67rlue1c5kMpcSlm8hImZg6b23OdrzXa5MJpPJZC5lvPdt21oSmGWrWObKkE40Go1CCENSmnPO + khQtP8kSWU4j98tW4pxzzvV9P6xhNptZthYRDdlCl3BadiaTyWQymUwmk8lkMmeJUT3y7Lqug6gv + CqgSMYJDZO25LEcJrID3QGzggG6GZortTRzcj9BjcgSTLbQtRKWZMVShAizlzM1fZk/rTCaTyWQy + mUwmk8lkMplMJpPJZDKZTCbz/cCgCAwATEklxDhaXTl0+Mh//tM/0/SdAjEIgKIsU5yLdTyBjoJC + RAhYqSuJQsCuldX3/qt//Us/9wuFK8qiqKtqsrVdON9MZ6vjFdORUFr6O6k2gy1/3B+Avmkq72PT + jkc1qdz2lls/8id/9k//8T/as2OVBEdNTQjFqFwol1zgEEACjSlFlS70v/kvf+sv7rpr0gdLjzdV + GCYQVCSKiCYhEBNrEjPz9o5tAQIKUEnMgGpSTZVzO8YrBahYmF4z4AGGQlIBJmBUlgyEkFRxyq5S + uvR37G7Np+PZ/+//1//5la98xUR1x+PxkyqfZjKZTCaTyWQylzYpJVaWBOdKAdQlqlIopp/58t3b + 6RBcIkKC2VcTBAykpM4hBtQOCGD2SfRUJZpVwARIZAd1vqNw38OfOdR/t/cxKJihmlIUchxVzMc0 + c6lCCiee1QupcAw+Jk4AWBlJnHNRuiShLqo6rT69fu5bX/Xje6un7yr3bh9od61x6CAC7ymkoCR6 + 9FocwNwgM3FMDChcwsIhXQA48hqVFMwIhR5oHv3k1+54NDzUuVmr7Xh1FDWFEEiooAJH7XSP4TTa + pyC4El3s3Bif/OuPf+ng5ya04Ur4xEViBkAyFFWWdGhN43Ru16ocQiwKeEJqUaDipnzRldde++xX + +ThyyaSlknDE3KyTaX6VrUpHFVHpYherJIkkyolBHNwuetprX/rmdb+nSF5EEjQJUgRbzHGciBMS + SLquAWThZGp2Snaj4uitiBx6zgZECCFhPpqQeRidyIbHbtwB7MQXiZ3OPd0BlGW1tdmMR+MI2k5b + 0+LIv//Yv3ngyOfrfdjuNlztwCD2RBS63hEIpkC7tCHl7Gl90SEp+Yqk7u7960/vbx+RUei6pvQF + sHw/kAWLlkNOIgoPjdAIKFSRBCfq37aO4fYvwCQMoONm/+w79z/8+d5PfO2UpI9y0XupKhOxJDA0 + pCbUswe++5WABKDv4ZkcI4WEnn03et7eF133/OvXdFzDMVFi6YkCUUJBKFkKUmZEUFAOSt+Tsplp + es/LSFAwjuqN60LZWoSkj8GXBcdYSb2bnnHT1W8qU6m9aa3PGfTDjz3k83fD3X6ngMy13xNiL932 + bDOPApnMaUICElZhFefpqquu+s3f/L/X18cws3qHk7iDEZGZltnUYVVVZhcKQFUt8JqlmRklnqM9 + ymQyx2IepQDMatreDlaUg+3r8HrwYzbDYACj0YhOgHNu2cvWzOzNsHk2m5nnJZbMWc/97p8bTDB2 + sFc019iT/8TCY1qQPa2fCkQUQrD2qapd19V1jYXjsjXg7e3ttm1tMBqMS/u+1wV931ttW3O1pjuZ + TMwA2w6H2QCbDa1tenDNNGVCAOZsOhqNrGHbQQ8hmPumZTWYmOHQEc4jtstml4tFWLA9tXJi0fet + qGckDph+Y9/3dV2bgnpRFOYye1YxO1urfDuUW1tbQ9y7WEgpLetRn4aN7mD7agfd1qmq1kpNUTOE + YJszAcxhK6oaYxQRi1SmyTl0gQvTI9was3POwgKAYXeGOGDd9tx4RVuwMvtnc1O2qj5RPwJgiqMW + uOq6vmBNB54wnljTGvblSRuJhVwLCCJiTfE0bIntJxZmmdnKZsbVbdvagZ5Op1aw4bLFIsPw85Ns + dxgRptOpqjZNM/Sa88X29raqtm1rNX8ePa0HrHot2s9mM6uiJ2wPJxpnhxHZliQiu3i8iMbZTCZz + UZOvxDKZTOZ7gCBYPHGjzHZqHRFC4oqdF4cWfYNHj/z5rbe9cGt71ywU7EJqU0LtCiAUJSSibZN3 + 5Ioqtm0T0q59K4ea7ruou117Hozt2z76kVfuXsO4RlkGXzCP2i6OqzrE4LwDCoYQ6hTYJfmJu+7C + 5uHb33TL/s0jV47L1SAkWGHGuIyzGRNCj2LFd9NYgQCm+UQmYBPPcwNdLH3OCi4T9qVm9ugjf/qW + d7zlro+hVre+DoeQIjsCICAsMn0cgL5/9ubGS5rpdh8V2D0eSehZoTFZvc3/XTwidfTDpbpVmk+G + y/JXS6e+SkgkAEOFlYUYQN/NsL0BHX3o7e+4Jja+bYsKAfAyf6hIaZ6YtdiiHLfqo0VabIsUTCLK + TGTZS3zUMVgBCINVcMxn819bvoj9iBQAKUEVxzypNU+rmid5kfLy5LECIFTs4qQpAUfQhFHtx6lH + 0yHIDVzu6dqx9j3Qh260thamUwKB5jtphU00WIArQYa9s/WTWHaXQN2x1QKledbKsEenfPGqgmaG + FLHdvu/VP/C6IDv7aZTkx9AGPLQ9YQBCChJWaIQCVVlIgtL4odk0XLbnAZLb7rzzurHD+grqCuxA + paqQHVZiwEEZC2fwpOycBxUYVe/4yEex3d79xndMt7fW4+ErRv7I/mYVKMpaQ2y7iSe2mf6hARDA + avOItkonYFbL5pE6YdTMovIH33DLj9x+O3bXpP1oVANx8CN/SqR42Wz2zMn2uqsmTbNaj5LGputr + mkcVUjCBVAA7RqfWXxYe5/aj+ZGdW5UnRdtB8Se33fbig/uflno4xA4OZ7O/EByjJvdbb/vx/+LO + u+CkABI0AR6WKuUEcGBnW1SAcqZUJpPJZDKZJ0ZVh1SDlZUV+3CY2M5kMpcSIQSbP7OpULOxz+mY + mUwmk8mcVSyFCwvLakuDJiJL6bMUNMuzLMty8Lq2BBfLADORrFPdriXcHJcNM6Q9WZ6WWW7XdR1j + zMnHmUwmk8lkMplMJpPJPHVEhRTE7NmBSPsgIq6o4NDPtFyl1IkrFH0HUoSELvzSy68pm64GSu9C + 6puukR07Drjx737pSzyuogQFey5AT5AwRRgUcc71nmYymUwmk8lkMplMJpPJZDKZTCaTyWQymcy5 + wSRDTDW16zolCCGo/No//kcPffObvSRylJJCEZ4sudqcmdq2I2DP+o5/97u/e9OrbyigmwcO1ivj + 2AfPTmKydOvH//yo69WpyBLWdT2ZTNbW1rqur4qSRUPTvusXfvEdb3v7z7/rF+/51GeI4L0LMYX2 + ovFOVoLzXiSFFL/x0EO/9o/+oSnKzkJgAKbhAcwFM4hM5hJqvlpErJKEFYVzr3jZNS958dXXX3/9 + 1VdffdVVV+3YscMcCLz3IYSNjY3vfOc7X//61++9995PfvKT9335/i6Gil3f93VRtCEIMB7X01kL + nNbU+eMERgQAkwje/Z73/B/veXdRFn2MzHQyS/NMJpPJZDKZTOaSgwA1zUIIKxwXkiBJ/Kggl3p0 + vtJvHfz6g499PZQtSJjgHAafIEkoCte0cceOYmsa1qoizCIzJ5yaKUKMKAtWQFIvTK7Cwfbb9z/8 + ucuf8xwNComkSqrMPiZhzmo4lzZsOosKFVKhxIAps3rvVWMfg/O+KOo4TS5Wz93zojdd//b3ffy9 + e0fatEegKFcwa1LhIUuWt0uIEBhgASsLCUPM5ToJnCuEggiYmWo8tPnVz3z9zluv+bGtxw5fvvPK + 2eYMSRwcc4UkrLKwSoWtU0+jaZK4its0i64/1D760b/6M7dbp9qWDhyJlBNHmLCqQOYWvEwqtmdC + IIUQWJESPJhZ+hZro51Oxq952eurfsWJBzDIzOo8Mf0YC88he/10duFCw0GgDpI6KdzoObtf9LKn + v/KeBw8JB3EJCUlBAiIQEzMDUUmn7VTXIQkM6ONvDqjd/zgqVZo5UzC7vo+rq/Vs1laVc45CiKo4 + kQGQmECtgpSdQBjWf2NMvqzaJqLgsi6I0tcP3f+Rz35o381X7Vt7RhOJ4VbKsSaoDrbZsrhb5Gm+ + 4uxae9EhXexkpfnEfR+LdS+FdDMZl7VosFuWtgxM7VtBybGmksteevuYeGGnSgtxaeW5crRZFy1t + jBROSSglSqN1uvMLH736Ha9wqXFcaVIijyVl8osRG/5EoRy35fB3tr6FEbFAFczEomEW16o9NBu9 + 7sY3rut6EZ1PGliEVInYeVZPIGhgSAKO1qQyn4Zt/HHG8/O3On89F/geVkttDKX3nrSC2zysL3/+ + Dbff94GJHmk02XEZYrhpU1vZTMf7GJQJgCgzwQlY1aVpO0V9ER/cTObCoWmn119//W/8xv/0q7/6 + d0UQ45P0rEGFSVVNL9EcMU2yCQvfO7MXzWQy5wuzDDT7STOXVdXB0zGlJCIrKyshhLquTeusbVv7 + tqqqpmlOtGbTSTPtNe/95uYmgEE3dVBL9t53XXchOC+ePewqxqr3ScVjzYLRas9kpfW07Dy/3zBh + QHtdFIUNPdaudGFQuizTp6rW7J1zpiIYQrBvze92PB7HGK0Bp5SI7C7E3HfTjDmxOF7DAGeSg957 + ZjaLU7Nyt98OyuGDqrCVYXh77hnqZHhhe2Sd3ZruYD4K4EzFATNeNS1Hk1a2lZ/t/bXDbbtzMZ6H + mOwkFnrU9uHw4jSwtCuzph7kLq03pZTG47G9ta+GWGR1aNsdup6d7F2Ywdx7bzVmDXKIA+bMbT0d + gJl5nwNb6+GQ2bgwmIufyHzXwov1RPuJiFyAOuQniifDSUXf9zt37hwixomGtrZth0NmP7ToehpF + GqKreZZbTqOF4kEetiiKvu9NM3b4ofkrm3/8iTbtnIsxOuesnENpzxfDzg5xdTlQnHtsZLSsQgsp + Vlcnag9YnJQeN842TVNVVdd1w6AzjFAXxTibyWQudrLGdyaTyZwWBDDbNN78rF9BCyfdonY9R0ZL + 4Qg2Znf80FtfeHBjn2pZgOtCpqkU7lMoV8eTyawiBMDVxWTWlnAr9fjhQ9v7d+36yp4r3/mnH37J + 2giFQ+VRlHCOwQqMKx+7viw8RDS2VDLguGB4j117sL5yy/1fxNbWPTfe8vT9G7ul8xrQpaggxdgR + 2jgqOCQA4hYGvgIIWAFvrrhzo2UoJKECUDi9XMKOje7O69/w+k9+FLVDVbIreF4DfFwW3mhc6/bm + GAgA+p4kzQRjz5IER5+AYmAxQbqYtV1Oi7CHtQCbD7Vf8aBymUg6DyGpIpyIPTjkfALTPdff8vKU + 9oTO3HmdZ00CiJnjWgLi4nJtuMgZ7L0ZkERzmU06OncroqxkdtoEgFSthEpwCgEiM4srxM93gcRp + IAgITOLnzzNxYnGDhqcCC/frIXuAFrsPQMAgkMq8qtmRatze2uUcprOvv/Et67FZ0TCLOl4fdVvN + dHu7ZI5s6QW8qC2QvZl7li92flHDfNRjO0EFUNYlb3O7VbJwL2Y9Fc9mAKIAYbu56wduun7rcNU3 + RcmF0mymNYEEjmz+mwAutIeqQkFghpCbpbifwsHL9z60c/yTd96OqkC9ClfA+SgJ5EBgEOui5hau + 4cp2l8dBasBhNEJNN//VX+Hw/tt/8PrJoY1njUc0a2Lf+qqUDgKxCmPlhQU4LBVLCGrmsDFpAAAg + AElEQVSz8+pJhZGsMrqEPV1z3aOP3HnTTa+/+2PYtwPoWpGKx/TUq4j5IOnTV8duq11zZQqt9zQB + 3KqP0x5gIfDgeo5jDNGfSn8RQJlI1fbICYjACqexqARocWR774H960WMsfV+EcrobPUXAF7JJ/aJ + kYAEUmFz7aZ5z2DLyNKhJDi1JpfJZDKZTOb7Bnvy3yaZbGJpeUI9k8lcSix7WgO4MOfsM5lMJpO5 + xBjyUUSkqipLQirL0vJX7JEJAHYePvwqhGBJMMzc971lVp3SdlXVUmcALOfT2Mm/pfQNKYbZ0zqT + yWQymUwmk8lkMplTwhGD0LddWVVQkC8coElCwVgnAM4p+og2oI+/8pzn7BV9oSr1s4IoqgSHYqV4 + dOvIZMVDEkLrRzXgVAXKWMijyPz/rH6VyWQymUwmk8lkMplMJpPJZDKZTCaTyWQufRbKjywSk0pR + Vs77933g/e//wAc6laqsmr6zJcui7vveNDgWPwawMKUA2EETAKzXoz/8/T+4/vrrtzeOjMtiZX2N + mWez2Xg8bpqmLIsYIjnGsfotg4fTKZk5tbEdrY22m21mLqiKfdi9c0cXwvOf/Zw/+sMP/NZv/+u/ + /w//gYTEBFWw5xQuNBuMuTIKjt3xruvIOyX3i+/65Xo02moaFXjPKQpBBTqIOHtHIiqCgimJErRy + xQ3Xvfpv/62/8Za3vGXfrt2WwW7Ckc45gsQQNQUi2rm+unP9RS+/5qU/8bf+Rtd1k8nkj//4j3/v + D/7g45/6VAiBgIJpNmuJoPrkc+jHHTc95r9hIepiWK1Gv/+H//7nf/ZnXnHttTCBy5Rn6DOZTCaT + yWQylzp6rHMnCSCsSiBH3lQT+xSS7wPPem0/8+W7p7SpZVRRNmE7hggRHDNijOMx922sxFNfqiY5 + VZccBQOOOWmQFMhXPSX46ae/fPcPPPeH62JNmujIM3kiEu3Ye5G5GGDm0kRNxjFaYyUVIAEgoj5G + XxfKmM2ayper1crG1qEbXvC6hx958AsP3hW5KYuZAyBwzkkEMLd8Xli4i9Kg9OihYJIEAKLEieG9 + 0xhMNNM5muHgJ+//8+tfeOP6aN+R5lDlyqocSycxdM5BlEEMCJTtQlpoEB99qghAFHtu0qi7/ZMf + eWTyLd3bO0WKYBKGzCVmwaSsHGmp5dvF+3AJX5VF1wUUGFUlOvfsfS948RXXurYCTGHW8UKGM7Gw + zu1CSckJzCpb4ADVi1msUgBiH1JyvtSUKLiyr1/z4jd89Zv3PSb7gyZ4IVE2SV0VVQWLksy6qT3v + T0QEOq8GIt9fEJH3rKrOkQhSisDjPK2XhhUTkhUCW0dTAFBCizgeV7GTpu1WV+vJ9nS8s/zKo/d+ + 8O7fe+dbf1a3ZnWx1kpRkivKOkWBBysLFjq5NN9OPvIXEQyw87O0+cBj93179vVZPYki9cjHvvXM + 5tGsBJCw6Q2rT8E5rTVywf1cCJ3gefku85zFyUwEGDpvJKQgJIaQRxuarx/68ndmDz3Lr9TiRnXd + zTrvL+L4CUBEfFF1SeDSo9PvznSbiBAxqou+DxIwLuqyX3narue84tnXuaagBBFRUoueUBYlgAgs + xHMdb4KpUpOe2s12K9HRV0e1lIVJj+oqDxZWykU16rvOeyXVcbnS6+qLnnHtYw8eCHGqvrdzAByV + bBdSk2iG8DHbckQCqMp8LGACaxfaHB8ymVNg2cl+7pVgDgPYsbqyPZvceuutv/Zr/92v//r/QAQi + pBOcPquqGQcCYObB420w/hxsFG3hc+AgmMlkHo9Jq8UYU0pVVQ3ejYOmsRkQDrpqNlM8Ho/N67Hr + upOs3CyczdvSBNPatjU7W7uCMw9Cs0G9tJ2th1g3+E2eZOHlCDl4Wg8BM3Milu0qY4yDCzWO9VC3 + F0N7O86E1WwvU0p1Xfd9Pzhhm6ev936QHxx8NE0DfDAQTSnNZrPRaCQiXdcNUuFYaAibnbP5N9vK + z69+uO0dM1s9TKfTuq6dc3VdW9uzOhk8v3GG4gARWSXY+q1OzoED6+BsOhyXwVH4rG73TDE4H1ux + B0fqU10PEQ0teQjLth7rHZYUtLW1NcTnZdF7O6y2qqFTDDH8/DrpPiFDCLV+ajuCRT3Ya9sLa+1n + 2/7WCmOlMqv1J/3JcLZs6qM2NF9o1gMniieDe7d52w9N7kT9zgKFnZZYUyyK4vTGQTuUw5CKRWe3 + 8hxXh0NwHgyYn7SSbU9t4MbiCuh8tX+rpcFyHgtb8fN1/mCBYlDKNcxc/AnbgzlSP36cBdB1nX3u + nNvc3CQi29mLYpzNZDIXO/kaLJPJZE4XgoKPOtcOM/kMxL5EoG6Gze4PXvtDL9ieXN5uj0SmAVvb + rRBHaFlUadZVBDDWxkXT9FSUvRvtL0bf2Lnn1Z/81Ds//nFccTl2rGNtTcpRq+iFkkBEJWlRlBBF + VCpqoIiqAJQwE03j1W5tB/buu+n2Ox68/PKvr68c3rnzUNCiLMf1qEkKRQgilIQWZtIAC0OJlFgY + C4vlhQetgGIXe5K4c+Pgczcn77/1NsxaiEAF8ICHsgPMkNbsLA4VxXcFqOsC1MREzo3roosLK1yC + gtPC79Y2d/RfLOxsF6+XPXoTU2Iym925JTOEkQAtJK62HWbds7dnuzY36ibVY0y6uVmxEHS+vwqo + kuq8Bub2zWbBCwDKtMj6G+aPSS0FRI4tnyzWucw89cmsoG0NpHAKJ1j2h3589gcp6LgPSQBEUQXI + FVCaiOxdX90dw3feeMvlsdurUjvxjI2txlUlAZ7dXBp0sb+0KC1IjpsRn5dC5/UMEuEkLFBmOeY8 + IdHczJmUTy1vJUZsbrz/xuuftbX5DNE1QtNKiloubM1J2bJi1DZCkoCoCILGl4+srm4+/9k33n3H + T97xUaytYGUtVSvRl11k4iIpdSHpfB1WYwAJnIBUzRKZSpQrWFnF6kpYGePKK265555v7NhxoBi3 + bkTsQ9ePx4U6APPGZnkcixqawxDSyIhDbVQFRqqXTzaeu/HYf3zTrdicYtLUxMcfxJPD/La/+PCR + 0kld9ykgaeqkYGxv24yFsAJgXuQeHT2CT7G/0FAviw0KoFxIGm9tYHrkr99221Whc103UxGB3co4 + q/1Fk/aq/9mHPoDSgRUSCeLmW4YDH73rQPK4LpHJZDKZTCZzDF3XDV56w4d6As5fMTOZzJlhyCEw + hsTNTCaTyWQyZwNV7bquKArLmbNMSgCWTGnn4VVVhRAAWIafc27Itjx5DvHJadvWstxms5mdyVsK + +LDOIVln2VE7k8lkMplMJpPJZDKZzJOiqlCYpzVEoUjTGbGWEsvpFo4cRjP9qWc+8+8+7wW//vzn + vYD5qhh39NNnlPWqyuVlUSes9nHUhXUigOBYQkcQJswm28DjNLaxSB3KM3WZTCaTyWQymUwmk8lk + MplMJpPJZDKZTOZShBQOpDExUZTkioK9e/g73/6f/5d/1qn40jd959gNepEnybJmhiZlYKWs/uW/ + +H9f9fJXzLYn4/G4KIqtrS1L57ZE60Fi+PGFOQ15Bi68MtWjUT0aEZHE1M9a6UM3mbHof/mzP/f7 + v/O7V+3dN64qKOSC87R+YhQg78D07v/tPffef9+kadgRaC6Uoc5MS2AqojGKCFbqSkR3ra+/6+d/ + 4e477vzAH77vp/7OT16xd1/sQ+wDRfHsRr4sfVGxH/6tXcEg6ULsQ0lux9r6f/J3fvJ33vve2z/8 + 4R+57a0jX4goA45PJ7v+CX+jquzcrGsA/Pa/eS8ze188FbHXTCaTyWQymUzmkoB1oXY5l8qDMDSF + npmLoogxRuqKFTo4+fbXvvUFKUN0SQQQiIAJkpjZq1BKKH0ZGnWh3L16GbNPeiJXshPimFIfNYIJ + 3nOkgCp9e+Ob3zrwgLhGSB15xwxJSqKU9TEuZRScGAImZSfkE5wqQUCpk57LIimFXgoqC1dGDay+ + Dmu33vijq7prrCtlgnaoC0hMrMc46gnBTGydzLVMQRGLa0YhSRx7DVB4EJITTTru988evuPTHx7v + LKNOqZAknaqoHtMI7RpZ5t3qFCHt+3a0Xjy48bVPfe0et0pdbB2DCEpIi/XNVVCVocx6/FbmupSq + KmCgdOXsSHfjtTetYaeLpVBMHKEeWkELqFeSxDGRKMDKTtgJWFnBigvLM+bUYVUIFA7eOw/FJL1w + 3zUvvPxa6jjGGJOC4JhJWJNAkyXoN02jBEECTnbTKXPGMdORI0e6hUkbTmIMTAALk0IpJp5rFCug + BC6x3U7LkS+Yumm7slI2sQ9Vf9+3P/snH38/70q6Ih36WR98WQnoOLtNVtCpGNJnLhRE6hV3170f + 7uvNngIYSaNz5BROvBILYS4HDhHicbW+Vu/uZorkHZgEiCdbvR4VE2YnzLAfRAhCSmm1/8T9d7g6 + OT/3UzwHe3x2USZypCBODz/2YKoiQE4LiUoKZpRcdZN0w7U37yj3cFuyOCLHOlc2J4giikYhG38d + wKTMyk7nnvSnyFHd5oVMOy/c7Ye/+eAoxEm1qKo+dqJd5chFftULbuTZ2KeCACGTv2YBM8QtPK0f + VwmwoVZNR16gmoRwcqe9TCbzFOm6LqU0Ho+7vnnnO9/5cz/300+qaWp+Y+bGam/N5c7UmQbXsUsk + DmcyFyFmwQvAe2/6aYPRJoCyLAcF40HcrCgKm6ZPKbVtm1I6keixGXA2TTPopgKo63rZ+tHcCq0M + l7CntTHsqenUnXx/zcXTljdDxxwnnxSzp8XCMtNSIOwr+/y4+reKtYQTG576vjebTPPCHDyPm6Yx + UUGTIuz7vus6EZlMJtbUNzc3rUeY3/NoNLJ1jkYj25zZc9oaiGg0GhHRZDKx3nHe72NYVZi578rK + ikkdmqt3SsluNVv9WJ2ckTiARQgafMSt2Z/tnTXTYgBd15ltbdu2AOgiwax5mTmE0HUdMw/H5ZQw + k9ehzonsAkqaplmOTtZ07RMzx7WkL/ORjTFaaynL0poBgLZtLzRPaywaedd1Vua2ba19ioiNfapq + yqKqerY9rQGISN/3zNx1nRXADutJfAQsoNmOYEkK9ULjCePJ8l5YY7Puf6J23vd9XddWJ2b7veyk + /tQxV+whRhGR9XcsRN0t/3AQdR+ik2GtwiLGSXbWeiIR2Qvv/TkJBk+AjVa2C9a0aHEhdl4YLNut + wQ8DwYnaw0nG2WG4iTGaMC8uqnE2k8lc1OTLsEwmkzld1B4uAsA9OBLgAQd1gCNMAh7r//Tq1133 + 7e3V7UNVhSbIWs3r4zESlaOdXRAIOUAFfRNqIAaZre56YHXnD37hi9izG+tjlAClhNBr5z0pQsFS + EjkiJIAciqILLqIA1alXUoxHRRLtkqIaYe/u13/2npd/4XOf37dvUu6Ine/S/GEXs+Pmo/kHTEAh + 6uwKB6zq1NKXAEeBKFUj1DWIu1G/feV3D2L/JtrkyCebzlZA2EE8hBTw/rUf+ZMDT7v8kZ5duWPE + VRtSjMEPV6PKiREZkVU4EQRwEGdWyiQ8Tw4SFAnF3NbWrKkpEUdiy9mqEqoEp3Mn43FMT9/sH3vD + m3eSeKgbI7VY4QppvmG1rCwSYYks0YkspnSVkXhh2zz3NmahecaiLmaSbcrZqTgVhjiFF3iBExSC + KkmhgakjNA6NQ1CSRKzKNmE8r26FKIs6URblBE4257zsWLx4YMyqgh0JKKQEX60SzbYm43a2nroV + H0NsYgfnUNfFNPTjqpIYikXBvIrXeYFtQlqOy0WxWXN1w6eRERlCIBCLM3PrxIgOkW3m253axHrX + feg1N702hl1JtvsW4irAEbFCwAKncAoWUmGxgnABdajK9f2Rvrxnx6s+8iHsXsOOXfCroHEbggCV + g1NUoPFwm2N5vh4ARCURCIQoEYyY4CtGUWLH7jff+6Wvru7ZXrtsKgWD+lmAwAFu7ses8/JYNsa8 + vyfmQEjCEpg7x21CEGHCzn569YEDf3HDa3EoYEI4pcRRB1R0AGkGKss1V64AGFfV+shb1djhAyBA + ICRA9RT6i5Bl8kEIAoY4qBOiUYovaJpHbn7troP7d7RtQby+ut5G+EVrP0v9xSl8OWqJseaxoigh + DAJIlayqEygBikhIlCVtM5lMJpPJnAwRsSwQu1duigw48bTo+S5vJpM5fYYZQUvRGIwzz2+pMplM + JpO55KmqykZhy0KzRLTV1dUhqcueYrIEGstPshRVACmllZWV00vrcc51Xbe9vW3ZVCEEy5e1/CpL + SrMlL8z0skwmk8lkMplMJpPJZC5YiCjYc9QxQhWAG48RIo5sYLL137/iFf/NFZddvXnk+d10fbK5 + HmeQNhIe6ZuOsNmHijx1fodbQ5vQtlDlwoXUAxivrlmizzEe1tnQOpPJZDKZTCaTyWQymUwmk8lk + MplMJpPJXOqYNqIpmQLYnk1/81/8P/d99QEC+j4CSDrPqU7ziVoGeHCv+P/Ze9Noya7qzvO/9znn + DhHxXr73MqWUhAYQGgFhBiOBhAQIDLIYjF226XZ56u7lrvKHclX3alzlXvWtu5fbyzW4VrmWadvt + rkV5KFN2dbvAJZDEICEQmhBCTBICGTSlhlS+fO9FxL33nLN3fzgR90WmMhMykXKQzm/FeivejYh7 + zz3jvffs8//3yNyQ4sMf/vDb3/52IlIRS7w1Hq/tPr0LvgveOEuGyRgfZ6oWSZmkfyWUDvE6JEqI + 0K1mGki74IPEJIM4rAeldaV1vmuuv+49/+9//s87l1cMcJRqIycSZv7mAw/8y3/1r4ggQBS1ZRmD + AFCaKWIYx0mjc1AWTdP+o3/46zd/8oZ//lv/7JXnnVsaLtlqF6rClc46awwhBt81U9+1pNK1TfQd + QUtny8Il12xDYMWutZ2XvfrVf/yHf/TH//cfvvL88wpnYzzGufMDrU5SzTEiYGJj3F//9V8/8cQT + TdMYPoTNeSaTyWQymUwm8yJEt3UGSbHoGqgiygQTlaO69hsPfXnDPxuMj4AlkCBGEBsVhhoRcc7u + X292LK0YKd/2lmtHw2WYo9ZnJlEIkrAnKJAlLShaf+/XvzQN60QENSokIkSLBoeZFyFCCIxIMGKL + aItorBAoRvaRAzsWDw00rJdVdavdKAeOu3okO6+/+gNFrCoqOEJDEtHkfp8yvwknZY6ViQVIJH2e + IrdJgpFGIhun4hyB0KEQ1HLP1+783hPftgMItT5MQMFaw6AkXJn2Oj8WK/HRSpQrZCLjz3/tM+v0 + FBmxCo1gRjSIPEu8kEQWYMHTmvqPZhtCCMaAyYRWzt599oXnXMKdY8/KXthDDUlJUpIaIUSOQgKg + l7UkQGAj2VNdYl2ViEwgVVZL0Qoqv/Kmi9+2bHdYGB8RFaoVa2EBR+lBgUy7BhCdOyvqD7RYzDxP + pIeBZ521GkIkImvN3JfkECSpWFaJLMFIYI6UBIrhAS6xvrU5GFrHZISNoUBe6ubmuz/xjb/76mbc + iDYGqBdScvOHc0zbDRl5cDnlCF72rj/zrUfubdymqxyR8RHWGRYmZQHLXM43PdR9zavfcMErXkXR + QqyKARAjDqpvBzwB7h8IK5OyUYAEBAkwBeLAf+Whu9c3nywctW1ri1PdRpGZbfTBMgvk8WcfiTZI + ZAcXu2iJCkO+DaetnfWqC17LU2ekJJRJ0pwBo5HhQZ2SVxIlCCzUGXFGDClIj7J9kcyad89MjZwF + VsDpNb+mtVDT+WicUyal4Lv1kbPn7LxoZ3mOi86IaLK1RqGwlMS7F/e9cGRVJUpqbDz/F108rA1V + JpN5Ls+ZuJtRVo5Yu64hIufcb/zGb7z//dcdYT/OOVXtXXKrqoox9jZmSSipd8d8IU4kk8n8QJKl + a++R2bu9JqPNtDEpGBdFkSwJUxNObvSpgR/B7lFEkq1g2k8v4AZgOp2m7UVRpDTEeFRuFqcSKYuS + cBzmSnF9Dh8Sa22yeBSRZCp8fJJ6StO2bcpbZg4hJCvl5DPdC3QDcM5Np9NkfQ0ghJCyV0QWrXl7 + //XkjtnbME8mk2R9HWMsyzI1irqup9OptTZ5/aY75WTDaYzpjxtCEJHeCXg0GhFR27Yntoh7c9x0 + +knkMPmGJpHzXjvROZdkD5+vfiAJKSd7aQC93ewLive+N9IG0L85gjP3SUWqmckOPLmzF0VxDDrz + faPoyxTz2g5ARHq37/46LYRARJPJBPPWlOx7U4VPVd17X1XVSdhlFUXRdxGpFQPoui6E0CuFphNJ + 71/o9KSBNYRQlmWqkEe2H07Jw1zmNPUtJ2E+H64/IaK+DqeU92PiISmKIlXLrusApAp2DOWi6UGz + MX1XXFVV2nNf3FVVpb7Ie5+6tRBCSls6+hFuVdI4UpZlKqA0KODE9Sd1XSf3aFW11qbRKiXyhJBM + 7lMRFEWhqqnoj1AfDjnO9gNNKp30w1SOp8Q4m8lkTnVO7TnXTCaTOeHoge81udl2Hda3PvHjV73e + 07mNH0n0bagKTBvxk6lj203HCjWGVWEsIkNcsb8e3u+Ka267DUtDrO5A6aIKrGM2zjgv3jJLjJAA + AAYaAcAW1HgQYK1B0wFQQmnKDi6MaqwtY+fKdTfdvHn6WevlYAqylkMUSdMkB05CJ/fZ2VvMrY4B + AAxMpiBgKrrscK6ffu6916GZom0MINh2sZ0FNFiD5eXv2dKtrG10Y7AVw/tL97jlJwf1o6PRg6sr + 31xbeWhl+dGlwb7SNdZEmqdibpG7uG5KZyFDKZuFICAByXZQlIIUhcha1636jkJTOGib4vfEUCSd + pbBjs68sn67rZ+pyvTStwfzQEKQQKJodi+SgsJBk38uLC7qSQbiCFAJuDY8d76vMnqF7dFQ/vGPw + wOryt1dGjw2XnylHm3bQcj0zBkbv/UsLEV2HIBWTiJKBs+WkG4PMwFknWsQQptOBg2MAGDfelgU4 + ekCAQGZs3b6yfLasN1zpyeniUbaftBBJClygxcVMs7wGbwd+KQLzvrLcV5YwVmd5Jgdojx4Y4okQ + MN7AxrMXTbaWntnr2ray5cxOcJa7pLNp9eSgPNvNxEOr4feDf2Jl9IEvfAY7VzBcQlkBFoLSubmb + GWIABH1wnRJAMksI2LBRaFQx1ipgHATQwmHHKobL1972xS+X5TPDYVfWYuAMONUT5cgUiSJBGCD0 + NY0UylCCgKBEBFWwhfHxjOnk3PX1T1z7LmxOEH2EKMIh8kehQJxtEyhABvVg84zdU2O7EJuuDa7Y + q3hEdM+w/P7S4MGV5W+trnx7x9qjw+X9RTk1LpIFLIkhJVY6cnsBCWG2sk5hBBawgDqJo0k4U7Cq + UsXglKZb47KvGwRSeOJ9Rf1UPXimGmy4MjAAaKoSgBCn9kIQXgxm0llDPagap/aiwHoX4o5VqA+G + ArMSIypg5iU4q6GElFcH9VWZTCaTyZzCHHJUWxw0M0dLurRMz9/btu3nRE9wsjKZzAtGCiZIQQD9 + 38yLmBNd4zKZTOalDhH1wSiqmoL5UkCY956I0vIJIkpu05hfnPc/H4/Hx9Cfq2oK1izLsj+0qqb4 + KsxvBFLkTb7+z2QymcxJix74OuCDNEu4MJN4wNcO/bNjPfwPQADR7RneuZTPwqcHpTAbU2YymUwm + c8I4zEi8eAkx+/w50UqLItcKOGfRCtqI1mNrC1ubHzr3nH96wQW/c+GFS489+vIQz4xhxTdrgLa+ + sJgq6pLJsgcAgkLaSDGgsIgCpdIUvmuhARoOkbgDY9IymUwmk8lkMplMJpPJZDKZTCaTyWQymUzm + RYkCzpWt757dt/77f/AHztmkK2mdS3qCzMzETIdWF6G5M9u117ztf/qNf1Ra1zaTYVV2XVMURTud + GmNSzHaK8X4e5RdjjKPRqA/Y9jGkiHFjzHQyMaDp5tYlF7zyc5+++Y2XvfbkVCvrV+8uLuONKr/5 + z36riSEojGUQQtvCGhD6KHcJkYDSmvNf/orbPvPZ3/rND1964UWlsVZJfQjeGyYGRR/attUo1trC + OmaGaFVVEO26LnReVXnmJ6UAJpOJRmHgp9//Uzf910/+/Q/9fO8I3s+fH3s8nqqqBpUu+nHb/M3H + /3YwGsYYNS/FymQymUwmk8m8NCBlqIEakKZVMKRwhfGxi+KNtaLNVrd+z0N3TO3+CA+AClaGCAzP + 9PqK0rZtqAbcbvrLzn3jG85986vOfL3ragDCyX+U59qDye43HXumlNnrRkbAFqZwEEHXeANqY4hF + vO97d67HJyMHVYUE0bQI9+S8ozp+PFeZsL+JWzQ5PmURQGaWosqk8ypEwkyt76y1VVE1TRNjtGXR + ti11GOrSa8+7/NUve0OcGghKS9sOmvPM6W1Kef5OCb1oLAHMAM3cC5JntY/w3I3L/Z+798ZON0HR + llZIVFVJI4sQk9qkYHngKSDV8Llg6ra8ZDp2ZBECKQOiQ//Q3m/e8507tQpepkwwgMpcEbRPMkk6 + I5rLxvbCnqncrWON0EAmFFe/9tqBLHN0BEPaJ6BvO7KYIQcXwKlchVK3Y1D4oJ2ocqys6fbJZee+ + 8azlc5eLVWMgBGWV9GWCKiJiJ5NokmsIsUJV5zqwgBqAocTQo7ZlPd5sp3rG4Yr5pCGIljR49+Xv + HTQ7XFdxpK5TY2YNP71STZ/pss5bk9B8mYUwKZhgGMagaduiKDbGjbWWDPbLPqx0f3nzf3h8/N1Q + TotlE6WZKb5q8olnAPTcrHuBoMVeZ3HLc78DzAswKTs/t20e9EDspC/to2NbwHmWXwISIUkbSRlQ + qf1d3/rChDai8awCHytHXRN0ZkctIGHACGwoym70tkvf+bpz3jRwy8SW2RJh9tJe6VcO6LF1Zomt + LEoze6jkiAxgq93akvWvfe++1my5kqLvFhI/0/o+sHxP8gsYYUaM3rCIdvsn+wJ3UTtjjHMuRg2q + COaNF12+QrtkqqWphQhJqFCUFAxiJjZIxQSAtbedfj44dGZu77x0xebmZl3XEaI4+/UAACAASURB + VMrOqqKQ4St2X0hqsfjEW/kQCZrvnJVFepM8EIGZmQ2/yBpYJnPiSA5hw2E9nmzWg/K3f/u33/KW + yw/XwJIoU/K0A9A0TfIwS/8mlzJm7s3JjuN5ZDKZGWn6vp9w7/XNVDUpnhFREjcDwMzJPLW3SEym + g0ewe+T5uD2dTpNcavIvBLDoE4wFy+cXJSlXe2/OXq3ucN9PHSOAFBqRyuhFbPv9fFGWZTKxTlU0 + 1eG+fvbagJPJpK5rZk5VPXlRA2DmFCiSDEGTruB0Ok0/741OB4NBsmhNe0uO78lMFHML1fT9pCKb + PINTbU/OqWk0TNKCqpqcPk+g7Whvjpv8fZ1zbdsmf+5e8DD9myrh89UP9EN/Krjkfn0EW9/nC+dc + sn1Nlx/96R9HaeEfieTtmuzAAVhru66jYwqSSQWaKiHN1Sx1bute1/Wi8Ga6chORwWCQiil9mlpW + 2tLXihNYn49AWZYp0waDAeZO9tZa731f51MVPQ7jERF1XZcyKjm7J8vew30/9R5Jhzx1X8kJ+IVO + 59Fy5P6kr8Yph1M3eEh6v/Zkkp28sY+hXFLGpvf9m77Cp7+pVqQUWmtTNU5VurepPhypEaUSxLxS + 9XLxx59kK962bcrk5NJ9HPrVw1FVVRLITWlIg13acsj6cLhxdnGgSaVDRKkc6VQYZzOZzKnOST4r + kMlkMicvoiGZWCtgYrQQgqgEhcLHGy6/6opxM9ra12HKBRGgCknPIjU49iXHGDt2FIC2tI+qfXTX + 7nd++W6sjjCooQrA2AJgAjO44pLBxjiwTVPT5GYesUMHo4CEZG5MRAQoJFpWa1AMUS+/8TM3Prpz + yVuHTqLCOLDMzJjT2fSxCAQhKCESIquyggQUUQKh5dLUoWmXu42zwrOY7EXoqBMAU2A8XzejKbip + HK67qo0ygJuE6bRyj9TFQ2trX13ddc/ZL7/k/vtee9+9r/nKffedfc6DVbVeu2AhiKCoEFhESHL7 + BWFue8xKwhArakUZEUAERxhRQ8oMGIVhhfogqsamu35mT9QJYAwgbgv1Yzt2fnNlxwOjpceXVidl + 3QFgpDtWJqcoAhwcxRTkkabkBZ2CCJysn+3MmTgI2BmvIC6ncE/b+omVXQ8srdy7susLZ5994Ve+ + +pqv3vmq++++fefqw7t2Pz7YtVGOWgIxGJFnOaxG1Kj0htnPhRSGAFUvnSMnQuKJYKHEDAhUoYrC + GA0xhGANIg320dKe1d3fWtpx/47lR3eeto8HgqEIGYA5xSGBmS1ZASJznE2fwyiMgiFC6jlGx9Eh + RAzdcBLtw8Pybbd+BoXzqeZoRB/6lgTNfQftgAgFxlt46rHbL3/D2mRiAatEQURECZpMwZk69Sls + wgIG0AhiWOZn1Tx51s6rbv0UBg71ELaA2lmRYBYkAYKxWPTjTieRGg7Nmo8xxIv+4QRABcMaa0vX + f+Wu7+1eewRBHFJYDwxa6FRJy6KR7Z0n+jC+QmIhsQQ7ps6jKgqFH/nxK/fvweaTCFsevsVU0KXM + CeIVkpqaAAHwSSBeBKbA8ur7b7w5VKVKaAnPlvaRXav371y7e9euL5338ku+9vVXffX+i7/y1fvO + Pu87pdusTGAA0Vq2RB0iOYZJ4UpQcCQbyab2YjRaiawqhAgbyQIgBIYwYC3a5Auu6kQqmgW/iMIy + rGCK4vuru76+cvoDw117Rrsm5MCQVIcjd1FsvdIhKs9d0AEGVEmN7QTCRgE2RoCgaAVc0BZ4c7Br + jzoMSkIM0AgLLSDsgRYIDDWC1KJhCTZfsmYymUzmFEVnHLw9D2zPIzSft8bc8Q5HDFPIZDKnLqml + pwnvtIWIcjjmix6aR+JiPnW9+CaTyWQyzxd919pHEfVbUlAUAGPMQYPvYrgMgMVo6T6ipf/bB18u + XsP3EFH/hfT9xat6mkdB9b9KX04xNPn6P5PJZDInCQo0TQNAQkz/etEIeCACMptKnftGq0DmLwgg + Aom9obT2E/hyjK/nGFDrwS9ZfAlEEBUBEtG2QAqESFObkqaD5//MUpxvyDOZTCaTOd4cNJwD/dAf + ETVdAIh4oJlfTkjU2Q9DxPZGRAAi2Gyxv8Xe/R8+6+z/88ILX7e1ddZksjRtlwVFiFDpvKQfBY+a + EDvRIAWINAgCbDBWoALnQIUKV66GYdhZoBXNRJJS3N+LTWYok8lkMplMJpPJZDKZTCaTyWQymUwm + k8lkFpEIKIsgEsHa3/7dfxEEjQ/zWddIYBVREdEgGvoQaEoywcakDQYYVMUf/P6/DV0bQ1c660Pn + CssETcdgUk0BXCoyC/mem53MXrM96yFeh4QUjl1sAytbdklumAwrwUdfFI4JzjBCOPv00//jRz/6 + 2ksuWYwFL11BSTsEhhaEPl6QjD4MhlhEDLEh1iAaVSKE+G9v+NQX7vjSXPJCoQABEsFGQ4CCFByk + Jv7vf+Hv33rjja+56KKRK2PTGVWoAGoMp7D5pCZJRL2abVr0xMzW2l76OW1XSFkWqmKIJuvru5Z3 + /O7/9n/84e/9ngNGzpgkiWIMGQYb0HMD/A77moUKsILEFUUEIvDXH/+bNopaVqB3tqYDogozmUwm + k3lJkFbG9W5AST28F5RPb5JCd16nnMmc2iTrPi2hhQJKArVQE6FcmiZEY0xZFt/4zv2PhkfH9ZSI + WHhC0jkQA14dEWsn2tkSTZTKDN583jW7py9/x3nXj7Z2hUjRwZDVVjiAiXwQNk6JQYs2pRYACMTo + YmwVZOC04ugU3Nhuvdj7hYdu7YouklhHBZMEJhQvNdmhxbvR59qaLt6ovgiCjVmTjqsoiZAk62iA + SAwpMUg1RvVgBRErGbiSDI1pNNl93Zt+oebTDVccgQCCHHQXn3JSyAsHIUh6GgBAYQTWowZ813AB + TzFAGQgm7B/tvXvP7fs2n6IYfAgBauuy0xhYhMDibHQMSbKusm0uzqTGCIyIkApJ9GLZkaiyasGR + ohFDpJMd6x+/9z9J1RgbVXy6JVWZabcmY18oA8lvNSjJLMZcZ9Hm6VEGiTq2VgZVt+NN575lMFki + WDHE6jg6UFRulb1SZGVSImUAQog8cwhmCEH4ZHduPhIEWBALgFpt1SEI4pAGvGHf+brr414Uhthh + ItNgO+OKrgUUcPxs+9SEx7awDFYfSsMgUYLCCqxoKbAEMfCHMCE+iRCkJzVIlYTnqrEHOJqfVLAF + GnvNOe9978UfGjWr7F3tKhExzBbgCCiCmkDWOBLMqispjKQ2LiAhhYmAh2UooYltWXOM3iPEAY3r + 6Xqx56M3fOTZ8GhHe61rWT0rhwBmiwgGFcZ2wZM5boPLvFFvL2Xl2UZKW8L8awT04+a2y/WipT0B + pCdf0R4TcydrwezsWMEADFRjgFGvIRqNoIIKL+1e88RtD98aKwQPF1ErG0+G3TgGGtk2BBUQgQVu + snTVue+6JL7uDUtv3jU8jYy0wRNzSM+TxbJYkCilXhysMAIXYSIABCOdlWAkdcjMVhXOsZThtgc/ + v1k824ZNy6lfZSFRUqEoFJP0Ms2snVmIF8/0ROXz4RDyCi/iy8LseXpP5KDON2Ezxo4dc1GSlG88 + 78315qjiUVBRikrpKatRIgFUoanYNI0m/WiOyEe5nlpT90Ws3O+QIbM3c+3xvhExIokfFLZpGlcM + 2mBEh+jseWe9AkowliQpMgdCSKntCyBpmNOs54S1NkJBKhqNQfDBqClMTfrSuv7MZH4UFrQZgORw + rwxlJgtlA/JNWxdOfGcZf/CRf/eqV11EgDVEc3Ek58rUc6rSTMSJAEKIQTHz++z93gAk4zHMH2EB + iDH2VovH+/wzmZcSdKB5Z69vtihllsTNFn/V/7uohHZkkuPvc/e8uLcXNzHG5ODYeyf3s+qLnWH6 + cvJuTI/xARhjYowvkYz6EekzGQvifil7+wxMjrZ4ToU86Cfpo1R1E30BpWGr/+2i+t9BuoK9JedB + ScJcPHCxApxADrIi7l3t09+0vX+T+NH7gcUTd849N4teOHqj3IOa3qlCqjy9+Hw6nWMgFeJi9esr + 80FVoh8sFospJSNt773hT3hlPjJ9pmGhsjnn0vbj3M32BZeOfgRveDynb7HWnrT19gj9Sc/8luGw + p/zcszvm8+2PctDh+up6UAoP6ouO3L5SnUl7YObj2Y/9wPRgoWGeqPRg3qss5sxzJXP7+nC4cRYH + di+LTfVUGWczmcwpzUk64mYymczJDzEHFRAzYIjh2yb4qijZe4yn50yb1c1NRsuFiV0kQuMxHBSx + iSISoMxgRuvVVnaf0tNn777yhhuwVGGpCn5q7eL1PR94gYlD/SezfxRMkLm5rwDGMpaHIP+dtcFg + Pzlgx8A1Y1/SYRcdLVhcb8+lEMgY1wYpLGlE8cz+m37i+p/43BewMmiFQzVPsagSyDqU1a/e8rkb + L/vxdnVHHKw9Js07b/0sihriUNYYlahrdOHv3XAT2smtb73q0rBxmkQvsA6+EzaARdOi4GSVfeB1 + /wExMWmON6bTCQo2sBYqZIxjRIkSAAtsRewbDb/j3Dtu/SzqAm1AjHe98ydOKzYGk80RhDzEewdy + zk18U1rwTKObmLisXDNtKgKAEGAZbNF2aNsYimKPKffV1fqO0dv/6ydQFChL1AM4woDg48/ffQe2 + Ava3d173rvO3ttx4WhIOmIz/YeeJFCSiVmfuzWIdjVsdOgSPQe0AkI8bgvVB9dTSyhU3fuLipRLM + mLSff9d7J/s3zwkWzX4lmQLDEsGLSFsUS21otnN3YQ6NjQYNocNSVU4mkzja+fhpK1gq4GwfVrCd + eAIU5CwQ0TSgiLb99Fuu+rHpdBgi66y6Kkn/qyaGYb0U2lZUSGAIhjH2aEy5fzh6oMAVa0uoBkIs + TbSV60VOTZ/Oo7wbIiCFKwXv7VINknd86oYvXvfO4SPfG7B2HUhgnAVZVS0MAESBIZBC5zGRfUBM + ELHMBI0x+hjXdpRFM7nn3e96451fqoxBVQIGAg2RShOhlmbezyYVYEqQJfAQfu9369Ks7Vg3usfR + 9bd87nVlCSWUJaoBygFa/alPfhJh32evfutFGtfGrYa2ZqqKyncNE9gAs4B5Tq2YAJNKZx7GN2/d + mkqgCagGFDqVqEaDIWJGF2AIm4KN0eg7rrrmls+iGqALiPGL77pmZf+zu6K3027Z8JKtmsmzjo1I + tCMrW4EZPiBCSbQqKwkegA/RMozlppOm0VjXe0fD62+8AcYC6DRUVIAQmoihASAQM0snbzuRZzKZ + TCZzCvLDP7rNQUOZTCbzw7C1tbW6upom1UIIKdLoRCcq84IzGAy2trZSbJ/3/qDInkwmk8k8L6Q4 + Fe99URQpqLcsy6Sr1YcFV1XVtm0K8z2GITjtvOs6VbXWhhCstTHGfnGF975X8spkMplM5hSlrKqu + nRbGwCsFKaAIHpagczHJ5DdJC4saKakr8CxodDYfuTAgbs+MH02k7GyhP8/2T3Phl9lBFwdcQZq7 + JEYUgMEG4m3tIqAxWLbQ5HINM5ss5aOfpM1kMplMJvO8QtuXEhGIIAU5VRCTwhEAxAiT3ilgDABp + OxbLJEweG+OPXP8zT33lvqUCFxc8febJ6lAB5f1FA+vB25QEFFJIkoJpdn2wHQ63cO0imuIYn78M + yGQymUwmk8lkMplMJpPJZDKZTCaTyWQymZOKZAXtymp9vPnok0/95X/6GIiJoHJoh4/0fQU0RgAS + IwFEEMVv/i8fXlleKl0hITAzICF0/ALPuB7W8Xq+SFajEFEzmZ571lkf/ZM/ed+HfvZ7jz0uAmOo + 852zTpVCDC9oIo+Aqjprfecdm7IslWnaeVH9rf/1nwtAbEKMyW2OiCQqEA0bibEu3I7B6F//i3/5 + k+/5CYgmdQ5aiGnXWQjfUStddl0HkbosHXHXdSvLyz/zgQ+cvuu0X/zVX2GADLcxGmdjELKFhu7o + lhmrAuh8B4Kx5r77v/rkU0/t3nUaaFvXJUH6YnCGy2QymUzmhyEtXosxpjXI/Rtm7rquKAoRiTGe + EH32TCbzfHOIhTZKEGjb+bpe3uj2oZ7e++DdrRt3zrtoSVlIQDCSfiaANB5ssaNaXul2XXzGpbxe + nL966QWnvXpLnt6K6xBZGgymfiKAcUXThSRIeIDo4lzrLy0GYmWjpAQChESG/q4Hbr/u1R9yXDqB + RiqLQdsFa/gkNGc9nizepKQVSnHho1P9DmbuUrlwmrponrAoPcoMQLW2A+l0tTrzite+7W/v/cul + 00fteJOZZ7V1rieZ9izJy7JfqTZXxZzf18/sVAFAoSyxaDbafXd+7QvnvfkVJGCYrXYMJqG0Q4aC + VHVmkclKQjrT+iSFsqZzYess2Vbb6IMnLVwZpr6r2vu/f++e6WOdTozOik8VNFtLxzOz29lZb99f + p8hznidbgKBakJWpee0Frx9hpaZlgMEKtdxb525n8ixHD7yTFj6qO+uTEtLABCFRkqTGyQIX3Pmn + XbJ7eM6jcX9HbVGwdDqedkvD5WloVNBKFzlEwAqlAmVInMX287xZycw3+uSCAeBIZqsnrw9r0nIt + eRCfsu/98Z/dmD579/du3cS6GkQrFmACsXXGdOK7eMBJLjyIExyo/SsLMsseoY1BjZa8729u+bOf + v/oXB+5cabQ0JQV474fD4WRrc9q0yytLk8nY8DGaOf3QCACoXeipU7o5jYTb3zkU+hz5ayUk5+c4 + G0af/xQfN+a99MzaGmAhMAAFQRmqosaYoKIqrQctyVf+7q69sicWQgSGiAiz8SLFoN6aTqzFoOJ2 + Ik7qohtd8cq3VhvLRVG/+bK3/sUXH+SKvYSyMKGLPJMr3xYknyVJZ9uFZoOFEQY4+g4GUYUpPD19 + 4t6H73n72deFjYa4mtvJx8UeO705QP5bZ5bkJ1GJkYioLaxhM9kcxwYgLlxpmL33oVFP+ppzLztz + cE45HfoYRMUsXnEcvhc69nM8xD4P1zoEgCFWSJQg4kCFRi2LavdpZ5AyoiSDc8VsAZckz3OdFXoq + C1IA7CVyWiMOaERhDVpj7QvdOWQyLwl0DuZzfNYykfvIRz7y8z//3+zZ81TytVZV733ybT3yDpN8 + k4jw7LZAY4zMnOSY+qMch1PLZDKZ4wARiUhVVQCScl0SoEt6dABCCMk7NsaYHvV7751zTdNk0dFM + JpPJZDKZTCaTOf7kO7FMJpM5diwxpQl0jnCmdAV8i/WN/3jVlW/qfCSwwnfREshioBQmnQKuqjea + KUcMLFfGTRvf7lx7y+c+jtOXQATAFjVUZhrTPyTEsx5dYIGOwIBN2tKs4oR3lv/tzf/lM1e8Y80S + NZslH/UEqUA5BiAaU4Cw1OLH9jQ3vPHan/zGPaPVUZvmnINCDTNADGIsL3/zggvf/bG/gAmXjAYg + A2Nnf50TgAuHnS+DH19zxz3ffu0bV6Z7FcJcCLoQYQoEBxIwL0ZaIJIAsxAEqwJN090ChRJcaaZt + NMaS0jh2DAwLFAIINqri7hX3M3fcjtEqPLCjxub4TZ+95f/7wPUrT4TzIadRV7dRpdPQDUsjUYOI + AGUx9N1Epm3hitZ3pbUcwlRQRZRDh8Y/RnrP7h0/d9ttYEZZoLCwJUwNYjEaZFrsUAwFq8PLv/i5 + b172umUEaDxC1MVBKEFmoRgC5WAEygbBaNQONSAelaVu2gTAwPrVtW+uDK+/9dMoDYZDkEXTXX3P + 5//qrdfS3z3xCpSTOB2tmHYcg2DgTNNtWjJ9LvNsqRUzoEGHJfmgHLTCaJPLD372JqwtAWQFylYQ + DAuAmH5H4kPn2FhjsbX5J9dcdZUWxXjDLkqvb8c6YGBcN90K0MGgnExaqygMXD3Yg+rxovzl226G + Y7iKUbCz6BAqRMAmt+YIAGoRk8v1D1+blQG2zsJ7mIgzdl15000PvumK6d5na0sgmngP+MpWKsZL + rEpoe4jdUFrQxcwihkwJGe8f18NB9fje2y5/51s/9SmceyZqhzZQZRUaQZYBAgVYAhiROPJMu77Y + fcbXd5/+nj//UywPURZgg7JAFBiGraAWBbDzdKB4xz13ff4NVxWqO229b//+lRisoxBVAiwlK+t5 + qOFC+A4DhgLm4SGp6yodplPtCINh3WxNnMJYFAVCwDN1dfuu5V+4/XbUQ/iA01bRNFfe/xVsTG69 + /MrzeWPgN7SZMMAUAWxthRGzRiJDpXPSed82AErHIjIVlFGqYY2mfSLGh0t53doAsYmoSiq1C2Br + S4PeqXyxwvzwJZvJZDKZTCaTyWRevKjqysoKES1GIxFRNr980eO9r6oqhZ055wA0TZNC0zKZTCbz + fJFWO6SlDmVZptE2Bfj222OMac3DMXhaO+e89957AMaYNIiHENArsqn+wCUZmUwmk8mczKSpt83x + /pXhAD5ga/wPLnv9StMWvmXxhGgERmeG1Z0BwGVgJTQWngHACVwEKyJRZI0MADbCqKTZPQEfrbhk + mmLWuUCJ2dYo2VYAIMAIlLjxcPXA+7g+KP/t9747m343FRRgBIAAq4AoAKK5IXcmk8lkMpnjSfKr + pj6shpOt9aa2AyodAxEuCAre0uCcVahN4iSqwjCWsDnBZPrhSy9aIx2ON3eTdB22plgqKbb5YXsm + k8lkMplMJpPJZDKZTCaTyWQymUwmk8kcI9ZaGN6/sTFYGv7xH/9x23mZWQ8nDhEpzcxR4qLdkwFO + 37n6y7/4S4V1GqNGURATiegJNPERkbR6q67rEMJ4PL7wwgv/4k//7Cff/779G+OiKIIXH/yJTCLA + RACIKEK7tiHDpih/79/83hNP7THGBqhxVlUlRoXOJt01MvCyM8/6yz/78wtfeUFhWUJE2A6V/1Hc + oImocK5TnU6nDAohTLbGo9Hommuu/vM//Q+/+Ku/utG0BEQfuHDiu2M4QF+9iGjatHfdddcH3vs+ + yFGH+mcymUwm86IhrV9LRhdVVRlj+sXIRVG0bZsMrdOb3iIok8mcsoiQVxJSYrUgETCBiIgIMOGR + fQ9/+7Gv647gmBBBChfnXr+Q5LbLRkxk2aArr7h6x2hnXFeH8scvu+L+279oK45RgvVCAIMJRvTQ + opE6dxMkCAmMh7IRBkRV924++Y3HvvL6068Y6K7YoA3BWobm6/bMNqQMIKpURX35pVfe863bNpun + mQBlEJQEmNmx4+jt0EnBMKD45Yfueutr3nZ2taytRvXWlqzp0AKKIAEJVA5aKaYk/a0xM3uJAFs2 + IkpEWvrgJvd+7cv7N9eFhQnJvlv14BVnlKy+k70qSVrsxnrAt1QBJRvd6y/9cVJW1RjD/Hb/pYOA + vVAEAySqIgpiD9KlYuW1r3zTkw9+l7hFwY7LAB88kVho2UyUpaRooBYIqhEciVJXw4AoBZCgfySS + eZ5ghvd+VO4o29FPXf1zT+19/BH/4H7ZG0gjwwghKnNwiBJAR6n/TAr2cNZEH7d0/b5HvrTrq6s/ + ffmvFcVAuunScGncdpOmUeeMIZHIpCA5okd45oVmvg44kfpYQESISIWctaKqHGPVTe3mPQ/cMZFN + ImIgkESgsBqCUIiIXJQQLxRgpbjgjItefuYr4z4w3OvPv/zmu294Oj4qFqSECDWy7YZ+qDqWxKV7 + 13AiMhZeFAZjv/XVb37lra98pxKXKKCGwQKZi5v3D0gPHiBOQqIqG+M7v+/ZzUG3NNKdpbcaMTIm + ikTGa85+ndVSRCLUOHuyPUdVkrT4CwCDRKIlu3vX7sIUGkEGAJIqi0J7zWZg+60SRAERYwwRRKEC + awoNOijrE3VemcyLiUWT6fSemZn59NNP++hH//0HP/jByaQjCAHD0WBrawuLs2apE6bZbwEwc7Ju + TX6uMUZrrXMuKTulH6VWn52tM5nMqU7XdUVRID1Y8D5p2XnvQwjOua7riGg4HG5tbalqr0cXQkiS + d1luNJPJZDKZTCaTyWROCDmmKpPJZI6RFAakAmJABETUTOA77N94xebmDoKoCMQZAPAezrAgFkRd + M7VEg8GgHY8naOPyzm87vmRtJZS1hYFCBRJh3FEkRoEIJiC5wjLBgCGzeQu1roWWO3Zc++mb7rjy + 6vOayZKhxeU0PwzWWqgUbCZtZ4A1MmFz/RwYTMdUSjkYiALEEAEDBNQlQvzHn/oEjEFptCgo+RBT + gEHKOhAmUQdLQ0R9fDRYnW6tWTOdbBlYtphOQ1UDHUiTvub2ZDbPimBmaJ02K0EITRvrqm6axsew + NKhDN/UBDWFrsPTQjtHP3HELRiWGdYu6jEBRY3n4wRtvgp9+8pqrz8Xm2S7WTUsdmjY6wFXD0Exi + N7ZkphoMKTvbhVCwrYFxDM04Tqpizxmn/9wdX4QCK6swFoY8rBJHgEHqBmPAFLGqBZPJdLjMEdJu + mKMMDyMFK4QEIJCQRhBEYGoHoUnbDSxTUT8h1UNlff2tn8FyjeFQiAO5ohpgvPWzt978mbe+i9bX + 3TiExrOgMACiAYwq0Guas4IiQUkdU9doUdhG+DHoU7vXLrUF7BCRISCGwgYEzAMoVGNhC4DRbuGp + PRePJ0sbTS02xTYphA5ejSYKtdZMp60hFLX1k/D0tNs484x3fvomDGsMawRGhLaBBjZVak7HU4Ag + gKSafxRZCe1AKYquYJgCsvaNolpe3Rn2rw8k1kzkqqadMlCV1rdh8YJJF7yik2q7Ma4NXV1UJobQ + NBeMVh5/4ulbf/L913zh83BDkIVAmDyCgbUkgEAYxCn9EWygUPzPn/0MoqIuUBglKyomehiAWCOI + 0HXKS2uW+OpPf/pbV14ZNjZ2OktKMSor2EB1brZ9iLOeh9TSfEZTWWGCenbFdDotgaKummmz18Mv + Db67suMXvnQ7hjWqAdhGGK1G3kttl6754h03vvn1Ly/aFW1WCWhBzlXeg50PXRvVkhoVZ1kBH8QZ + Hig3MW6M/bh0z+w+7advuREji1HtVQdE5Awikj85QaAyS2efy3kWNZPJsKBhBwAAIABJREFUZDKn + MkRHF1KcyWQymUOSAjFTvFFZlm3bYh6jmXlxo6p9Qafl4jnILJPJZJ53mFlVjTGq2rZtVVXe++Fw + mEZeEUnRwETUD8dHRXLI7rptvS1VTQogIYSmaVIa2rYtiiJ5bD+PZ5fJZDKZzHFjabgD8JCIGAfr + 6y+HMeMNS8oQo0iz+QGYWii4CgDQGkQGK6ygEBAQCJEkMITgBKwwadIfEDDP5HN+0F8SnU+gJ4zA + zHeVHLIFAJg1hY6RctltboLs91kgHQDDxks0nH4ETolQgAQnVIE0k8lkMpmXKDQLoUkxf24eTaNA + TWWSymMGDCGEouBOW6OAMrxQjIYDOv9PLrxk13j6cohrpiUwqGlfo8bBt1pZ6FHf8WcymUwmk8lk + MplMJpPJZDKZTCaTyWQymUwGSmiDt7BVVW1sbX3sYx+zhrsozCxyWFGR7Y90FiImwIc+9KGlpSWo + xhiZKIRgDFlrD7+bF5a0qMc5p6rj8bgsS1WdTqevueRVv/97/+a/+x9+rZ22AMqibDp/5PN9QUly + 2MwMJmtMJ3Hf/vU/+MP/y0MkiiaLOVVnnfeegLpwbecvufDCv/rLj73sjDM1xCBRfLDPUxx7ciAw + xnRdVxXlaDRqmmayNa4G9VVXXfW7v/u7v/FP/rFXeFGNEclm5KhQJWZVhar3gYG77rrr+vdcl4Xk + MplMJvNSJnlaAyjLMsaIueNFMsBIlzFpRRuSmF4mkzk1IcyUGJU8AFYmKCiAoErOuUm7367JnV/4 + YmMnUTuCKgkrQ5hIAAiJgQBcsKO2WNHdb7rord1WGBQ7xlvtpee9avXu01QamOl46k0FZfKdL4pC + YgvMFIQOuIjv3QQZIgHERiyBRYNdtp+/78ZXv+9VsVklqkg6diw5XjezDROZECIMVGl3ddaVr377 + x+/+WLky9FFAsbdHJUUkYCa6eRT7R4QtaH+390sP3vbTr78gBnJVKSTkzcxtGqJzP1TWtHchKOvM + rF3BAAsQgi+YnXMSfWgbM4xPjh97+ImHOmlNQYKgDGLECEPJpppna93m+xcCk/SL3ZKYpyoDYEN+ + Gs5betl5p52PMUUVVWZ+yYl2zRfxBVEGrAJKwgqd2jdefMWtX/9EXU5aEeVYVNZ3UzLMiqadJKtT + UlDqDWf1JIAsZmWRLn6yoOfzBinYGHgxytxQaZd+6X2/9u/+6nci+y3aDEaCAD7ayIUxXiKxURyl + /jPBGe5iCLG1S7j1W7fsXrvk8le8vdaqDd4YM26m5aAuymK88exwOAw+azCdeHRBMXvWyxEYBlGJ + yRCCES3Dg3u+9cjGw1oEUmaQkHqCsUIKRC24kNBIQMW1bcrLL31zEUrDTmPYoWtvOP+KT33rCVOa + 0IplG2nWjZPORZLnxwUdYEdNyqyAMRFRFJFDVeLRp7//8J5vn7t0sbTBqIHCzJYpQ2lmzi10wGpi + IcZRP818YREwkaqAiJfrtbf/2Hsat+lgQts5VyprIL3snDfERkVATKJ6sqmZxBiNJWYihSUbYoxe + qkE9qIb7giUEEQFDKBIBdIAm9jYkRFASJTAhRhAQowzrUV4Snsn86CR76WQ1jQWXa1W98MIL/+iP + /uhXfuVXQoBzZmtrqyiKrjv0jd+icFOaU0taTwBCCGlqKb1P3zxe55fJZDIvFEVRqGrTNMvLywBi + jElcLsaYOlJjzNbWFhFVVZVk6EQkPcAXESJKf5PLdSaTyWQymUwmk8lkjg/5HiyTyWSOCcXMFtqI + UpAYEQUANjdvvfqqC4J3k02LSIAKfIQr4GMsXAlmBQZ2GCfRojBrp92zw73/ntthlgKKSewa7VoS + OD7aadqZhzUBgE0zygQw4MU0WmoFqrFj6e+WBxM2LHyIOcgjMg0BtR37yBbMoLp2LLVr0G5BQCFq + 8CCg4I4wgY/wQIOBAaIWhcfMjliNAB6YmNggYlBS1wCG3nbLJx/aWT/WTatqaMhywI6iwBRGk1S3 + 8NzH2gmMAAolUDpjmklyRxg2btJ4gIfDUZi2bQCXbu9gdNeus952x70YrWKwLBCL0HQBBWRY44yz + cPqZ191zz5271r5Wl5vWWmMG1TLDxq61RRGgZOKgotb7MUKszFhCRFUOXvZkufatc19+5S2fw9Iy + Tj8DZohgYrA887QGK8SrBRhGBKiqvYafkhCOZmKIFCzc1wmjajSm2X7PWJ/66KMDoOaxqPedufPa + L9+BtV0YrYFq5oEhB7UoRlhaufaOm8+/53OPnveKx2lkyqERSIArZ0bhpIbUACTg1nDH1AYtyGln + 9oC/feGZb7v1BoxWJbk8k0CS9rptwUm83KlBEIOIbnrLu99zyfr+Hd4zDMvskkMPjIcLMZauZEZQ + uAqhC4Atd53x3arAyhLIwdQoaxSWBhaCFBU1i4QjAR/jqjZyUAVEJIqHQTH84JfvvnN5tFnXxpWN + aPDTajAE4NtgkQLj5ldNvac1wRrTeQ8JFghdU7iCozT7nz0T093TdYSIZzegUAsPEGyEKCJYYWZB + HhZwgIWiKlFWqIbgEmJJAWWxNpIJ0KgBiqJ2bcfQGkW9UbhqtAQBokKgihTvofNXn8i5WzlYtwNc + SA2r6bwOy1GtbKOWrkTTOsDtWrt/9fR33n4XBjtQL0Mc1HWNGkXNjGiwa+nd999959qOR09feaYj + Ijf13g6X1kNLztjSqtWGdEoyhsSimESFDKrirH1mx4PnnvOm227CzgGMAK4gR8pIrcUlu3uZpT6l + /KSKWMlkMplM5kcgTZlnMplM5keh70vbtu3jLzMvetIy8uFwCKAoChFJ68kzmUwm8zyyeMNSVRUR + JXtpAGnATX/TQohjkO1IglxJKazvxtu2nU6nMcYkEZJkQfKyikwmk8mcoiRZHFVstgHGgHipqnQ8 + GQBGRRUBSFIiAdypmZKZMDrARam8FEFYJEA8hFSswAWYgKBoCS3gFaKAiqr8UH8FKpD5CxEqUJ2J + lwjEqwRIQPCzl29ly9hp1P2ljeBJx9JpdGzSrOxsXl4ZBBhRlqxikclkMpnMCYAkQgAxEApAB3jY + gFqhQYTQIcIpnBTwIxKLgK39WN/6p7vP/t/PPO93znjZefv37jadb6ZcgC3tmepIUXqMGJQfu2Yy + mUwmk8lkMplMJpPJZDKZTCaTyWQymcyxQkRBohA+/elPr29udFHqwUD0sGoYDFJVEGEhkJuAX/7F + X+qaprDWEFs2zlg9oX40xhgi8t5ba2OM3vuqquqy9G377mvf9eu/9j8WIAK1XQsI9WHgtO1ZdXwC + zVTIsIuqquCiZOP+n3//0Sef3UtslEDMyTs8eVqX1nWdv+ziS/72b/7LeWef007H1hArCueA59qB + 8DHos5Wu6JqWFFVRQlRCtGwGg0HXdZbNz/29n/31f/APnbEGQBRnjsVWsyiKubk1FHjggQe898ew + n0wmk8lkXjQ450QkWVwYY/oVatbafukcM6tqsg7KZDKnLqRgFVAHCqSWZ76EokoAxHbPjB+/9+E7 + Y92pidEjiTcaYSMMQAmRRQga2TbFNRe/YyWcRtEacrUZLtPqFRdeVbUjQ1YM1KJTUdWDvIRZhSC9 + vh+S7h9BDEBiVIzAONtg/O299z8++e4kjmOMZVl2zfSoBUYzL3KIiExhQhOrdvnKi97x/7N3r9GW + XdWd2P9zrrX245xzH3WrSlV6oCcPg1AsA+aNLQRq4xEgThyDsd3DGYnj0fEHd5JhdzI68Yd8cMce + DqM90k53RsfpdCftxh1G20mMDTZPgYTASCCEQIAeCIGEVKVSVd3HOWfvteac+bD2OfeWJAS3kFQl + af3G1dWpc+89Z+/z2Hufveaa/4PNUeuHj6JDA0llmF/+cx83bdAkwtKPp5+/57On+pNgMSeqiQBn + BDLjNMw2IwWUcxi1AYCSCeWbgRGIiOBM4JRF4zxsff7um0/3J7hScpYs5f0tDanvZ36UpuE2hYck + zqErpoIMRoBjJP+KS/+9ia07qnJglecX1nxzAytqs0BasXmzVlEDIEOdRkdGl1y2ccWI6jZwn+bg + mat74jl4PpufIteDIrEQDdsrNrAxG8MCrFWbqLX5hVQ8Xax3rZ9IH525jeqCNTn83uv+05V4hPug + Cq4cApkRmXe5nfJ+t/+EFCOTUYUp9dv19r/7/L+689HPYg2bs7mqjptW0rzrpkxey3yQc412exQr + GZMN50WZmYhYPSWGUrJ+kx675Ruf2Xab2oqqkjE5mEMEwAghkFqKCL5pdHRBe8nLL3pllUbMDhb8 + zuh1L3nLxK+ReohzLuQMYwBky57JeVu+WLC9ideAqqqaEZKKVrqZTt5y1000TsoCWN5usHk2JmMA + SthzFMRPuHC+GPIOEQ6tHn3zK66/4Zp3vf2V7/471/6Hb33FO3/qpe9429XvvKS9ilNFxEzn45ZQ + IHnfN/R4IQ8hE1tfOeDIMVweJbC80+QnPSBQAOSQLKmCCAyowJkbt6vl+LMofnTL0bplmrWqmkk7 + qnd2tt/85jf9/u//HhFiFAB93w/H2GfcBGBYnpgiohBCHoZbZmbnXyz9FYuieD7JZ+ybplFVAKPR + CICIhBD6vgeQt4re+9lslje2yy1tPpbOm8pzuQ5FURRFURRFURQvPOfdMEBRFMVzBjsY5mmeoFwF + bO9ge/YnP33doc3T9alTq4Ec1HlPgANSnweVrRepfSWxm1svTfu12fbP3PRJrK0gjDwq7xpmz8x9 + 2veg3+M36PmOGQgM76AAj+Dr9/71R/TwoVMShfa3C6gBmfVNmyN03eZ0e0fjyLoPXn89pjNsbXkf + QNbFBMCBDQrvQYbRSAADZvPc25oUYjAEgiQIqhoIHm212dZuZbwz33HEwfnU956X4b8AluPli0Hx + vQ8SwfIIupJnCo7SdNqb1m1zHHR/0777MzdjtIJmTSiQsQPq1ifClDD3jNEEK2v/yc03v/Gzn/vq + ZP1b7eQ7821pRjua+r6vg08pT2ACewhxRLXdjO9Sefiii2746w/j4AFTMvJGQOXZsyi8wQEeGHmq + AOmm7Bwc3fCJDz9Wo+f9Pf6Ux6KMAThThyG5nByttg2AGKqHV9pvHz7wrps/hZUJQp04gJ2ImeTJ + Xh6+wsENHDn8hr/40Pcuvey+8eoDoTrheQrumYbhLRhgxiqEzvO8Hp1o2wfGo+9dcvQdn/gIRjXg + 2blF5/LdlxtDCcrMLhGmHTa3L9w81W5Px2Rq/fJ39cysYgIAiVHaBl2HnQRtx/dsb//8Jz+GcYvR + yk4vCegMUYEwPKTI8/cWy3AWRzN9MlSMuua6VWLUNVbX3/2Zm75dh5NkVVPPFWm+49g5uCdOFrSh + wALIb3AzV9cCxPm0Yb9WhVoTnzz2b697CwCQCDCXpADt/h3n17MDKsBBY4oIXj2rgzHMwAyFU5AD + ec/5/VJXgA+ow2ZVzSR55mRJAT9uuwgYlIahS1vEvesy2fqMSXQMMMOxGGIcuVpit20m7egbO907 + Pv051KuoRmakzADaypHCZsCIMW6xuvort9z2qk999v5DR+4fj0+urH53ujOuxymKxqRJfYAayEMY + c4TTo/ZekWMXXnj9R/4aGwcxGUs7EfiAAM1p23sm9LGBYIu1KIqiKIrnrjL4XRRF8fRKKTnncshx + SklEypb2hSDG6Jzrug5ALsE/10tUFEXxPJQrevO+dbl7JaLcfayqqrw1zleeReeOXFUcY8wX8j2a + WZ5i0fd9SomIcqezp22tiqIoiuLZRQoG6rqGJJDN51MPYwgDxCAGKI82O6aKyZtz5PI18AADRGAa + xkMroAYCIQDMIDf8iPFDfWcCEzviAA6AJ3ga7iUvj2Nw/nK7nVGd6Bqzxik89+j3Tu5xhr3zlvXM + sfqiKIqiKJ4dy/0vY2hkkPfKrGg9T1N0TEgRXcTmNram2Nr+z178kv/hJVf9GLvDp09dNO82xDCN + ay1Lj5TsktF4qMIjzMvevSiKoiiKoiiKoiiKoiiKoiiKoiiK4myxd865lNK//D//lQLMmM1mT/H7 + Q/wEhuJtJmbgyssvv+KKK+q6ztXdquq9N7McZXFOpJTquk4pxRjbtvXexxin02njwrht//t/+N9d + deWVDPLOA5CUHteHZNczPCTdNE3XdcxMzFvb2w+fOP6//PP/VYAEBWCqYGZiAhwopfhjV1718b/5 + 6Mp4srO5dejwBXHeqYiIPCHT+izlJy4Xz+dHT1Vj1wfnJcY6hH/wW799zSuuBuCJ01mV0HfzOTHb + oj7/m9/8Zp5zVxRFURQvWCKSU6uxSMLo+z7vjvMBVZ68tpwxd44XtyiKHxHZokcddtN/jZMlP9E7 + 7rv1RDy2g81QB9ptIDj0KDCCkgKgzk/swKuueG01bUd+JXXJm3d99bqXvnmc1tI0+RrRIIYQXN5u + 2Bk9BJf3zvkjzzK1bPnBqNPOJv0tX7vRWqVAkvpQksmKM2lMzjmDpF5Gce2CcPG1V/2kdY5tb1eN + 4UVu9MSOmD+AI06WZn7nke7B+4990401SpcDjwEAafGJfU8A6m4y67IJJJsJOWdmfZeccmj88f57 + t937OfE9vCXrxUwB+UF9Ixe3yWRMuuw7iT5a4Pbll7zSz2qPyniIsNrv+j4vMEHJAHMGVjIGvIS6 + H7/+6rekLYckzBCYEpQB6mfzTSVRViOA3e6zSXvCFC13xi2eNmQcEKy3KoTAQXb0gD9y5drV//5r + f34SN2wbZAZPCUPm8L4ffYIqmAEBg3qL0qYH+3s+8uU//8ajXwkbRJ40iQcI2o5HKYmViIHzwHIz + TQY2pjzpR8EUoGAyqvQ7m/d949idXTUVTmTi4IhADiIwQ0rJzEKoSXzc5Fe/9PUH/OGQ6pTUkW91 + 9UWrV155+CXUucoFkbi8u72MhinAZDnkPl8YPhwBCBWM0FMXq/nXHrjjsf6YuG7IRVYm3c203rMv + yM7HlxkbmBlgEaFEI1lrp6vV9mQ8PzjpN+qdtZXuILaqimoAxOdjh2MiUgBMqmqCwIHhvLm18QGG + Y2NSsD1uQ/L458JIjckMef9JBFKeNGttGJ2fT1xRPLeoqqrmXkkAlt2Zuq6rat/1s3e/+92/+Zu/ + QYQQfsBu3znnnMsdlkTEzJxzuatePqOVB+awaNZUFEXxPMDMeZs2nU6rqgIQY8yR1QCcczHGqqq8 + 96qaz8LlzayIzOfz0m+2KIqiKIqiKIriWVbOKRdFUZylNE8AKh+idgDD13jsxMtn84u8nzSc+o6g + fR7ed+Bc/qaagN60R2zGa8cq2rn4AqxO0I76qXqFxZTrQ4Lf32mynGHtc7dKghASIKTGGq1L0F4A + B4xXsL5+L/rT49D5/a0vAVDoHOOmSoaVyXjcgrd2XjrvceIUQmWEWZIqVBVgXdeDEgJ8BUkegCCM + uCcANBeawU8BNDw0z4YAft4LRWlAsGiaDPDeAzBSJRUe8noX4dZMtmeQ20BGbGCLNZNKgulotHp8 + nh46sH7dLTdjZYSVkVIw9URBUiIo+jgxNAokBQWsHsTqwbfc+qUvXHH5o5dc9FjQ8fiAwJBMgB4Q + gGdAz2m88nWK911+6PpPfwiH19HU1LQkRoxOepAG1gBQAgHSz8lSW4duvoNgaNP2oXa/j/9i6Nux + 8jKcmA1e0c/mUeXkKHx64n/6c5/A6gqqGi7kV5Rj8qSICQGoAqSFX8fFl7ztpk9d87c3f+2KS+8/ + csF3qjALHJ1Er+LUWEHiTBP5hwLftTa+6ejKGz75V1hbQ7MCCjCAGAxjKBCAFqggDtobEAXHtz72 + 6jet992ohqZZYN5TYrGbsgxDnk5HBhWoYjIZn3Suu/ACTBpUHgjjatQDxrAKKa+45ghkHbKQv8+8 + tqd6MAEXSIFoPI0gYLObom2xuv6GW25+6MDKia6bTMZQRBWqfBrG5UHGPNRYDEdQnYhrGk+kXReA + QASTvo9U4UDFV803ceoYpFegcl6sr2AQQB3AOcc5rxGJBh96k+QRGZFUOQHJAeiEFEgJweB7AOAE + 1tna2nbSaexDaDwIs84Pj60uq1sVEILsSbbGnjJBAggCTYBA+x5oJgeOhWZ64WUYrWNlBWakyVg7 + VSgwB9VA6oxdpAbjw1i/6A233fqZCw/dcWTt+JGDU64q1C15n1ALKoWfQ7Sfrdd3uvldVx16/U0f + waELUK8aNdM+IRmEcii9GBJUoEKWl1BKN/yiKIrieaGMfxdFUTxdiCiEkKswl9e8UKchvYAws3ND + ktqyqLckWxdFUTzt8nyJqqpCCETUNA3yiEZK4/G473ssQq9jjPm8/X5vf/ndzPL0CRHJF7z3uW+X + cy6EUKZVFEVRFM9JBhBYhNDBCTj5UZWcETgByZAMalAoICGlEBOJJNMZ64wwJ0TADLBhnM8ANlSC + SuAEJFCD4If9UoMaTImUWJkUZkgYvlRBChawwGRYtppQAb2qV8C0gjeNpmkYi11+ZwDM4HLesyiK + oijOlaEMyy2+GCAwUHvnLGIn4rHpP7z8lb974Yv/8MIrf+L01sZ0a2fr0YmvACQDDP1MAwPAqemO + gnqgM88+6L6LsIqiKIqiKIqiKIqiKIqiKIqiKIqiKAoASElikp3Z9KabPwtAFWbGTxZXZnu6KJiZ + iS7//abXv0FiCs6nPprkDvn6/XKinx0xRmZumibXfuek7VHTqiSLsXb8B7//e4CapKqqKPcV2X/I + 1o+OiLuuZ2byTmB/9ud/fvyxRw2AGZioCgBU1YEAu/jQ0T/9v/6kDm4yaoLnnZOnqhBy++z8/NiT + defYl77vq6oig4l675umqaoqP6Ft286ns7au//Af/+OKmGGV23c9ng8BQF3X+Z9MeOihh+JZxWMX + RVEUxfOGc67rOudcPmIhotXV1TxDOYTQti0RjcfjHGvtSqxsUTyX5UN0NjgDQArOQZ5EZE5P9I98 + 8Z7Pyyh1bILInEOs9YwuiAwDKm2uvuTaQ9XFE95gYRVoZ7W2F48u/bELX8lSASQGIxAbTJeNE2Eg + DB/VHtftYtEEQY1URHzl0HS33/uF780elEbmfVf5ulTrFnvlxlQiUnNdpZp3mp/8sTcdqA8BMFKy + IVgUwN7X8A/PERFLT5A2ff7um+duG6QMRwbAFrHHjEV86bBUBpAq6aL9JoyGBi9ExA7k09cevONY + 95BW0SiJqbmhTywBT90GRmlIe83h1gDyhUNrR1+0fkUdW+gQ6feC6ydDuW9oZERCAomRGqkCiKik + edmLrp34Q3GOEKBAEiighGnsjCiBhNjACspPKEEIkRBBEdSD5Fyv4fMNidah6fqUTI0UPdbkyJte + /I43XfG2I/5idKqk0VPvgNxudZ87ACWwZyhBuApuFrebo/zVY7d+5I5/993unsi99FKTa9lHgbnq + mVjHYj/4CReA3FtDxRExsyGJm93xrds27UTyvRiIzExU4RxyGnGS3thc8NrRRn302qteW1lrESow + dUGbNk1efdUbJrbGcGJ75/+ecbRje04rE8DDBlWJLe96yKOzmTbxsfjI7ffemnwnnPKpXTKCDbuG + xc3mW2ayM85vn1ecI/bE5KvY1v2kjuO6H1fdeKxrblr7PoRQJ01mtowDP38QkWgCwMxmRkbenCM/ + qkcud8DWIULXCMsRBcqB5bqba24m5GAMlZxoHtZWNoJrzukgQ1E8Tyw7Jj3ueueoroNqYoff+I3f + eN/7fiFFecKoDy+/RqORiIhIPlUFIJ/LMrP19XUsejF570Wk9NkriuL5IcdUO+ecc8zc930+P29m + qsrM+Rf6vk8piUjubpdb3jnnmqYp/eiKoiiKoiiKoiieZftuO14URVEAAMGPvBoYaDlAgBg/8vYb + Xrl1OkxnURE8RFAxgX0fY+WYoQIRoPLBKT/Wzx48PH7bR/8SXIHbasQAqlAplAFT0NMzcKAcXK63 + iwbPgUL9M3/1l199+/VrJ6f7uiEDnIMm9NPe+3Y27VhxoIFsbX/4hp/52Tu/SEAIQQEnaKpJGu5f + wQxD5XByNp20I4KvHc9gBIqG4HOLb4VS42rYlncUJTrn2ZD65J5s9NPAlHtm2952mkYQz+hTX41c + N5Wd6Wy2sXF3075qZYza94TA8AiAMjMMPjgkBTGI4WtQjfUWE33PJz6BzRMfvf6tqztp0hx1jnb6 + qTWeiFwPddVmVV/38Y9iUmN9hLaOxgFASnCBAysUpk6cYwdTFzzMkFJdt5hPQbxppmc1qrsMcTaC + 0mJKlGN14ZgL7/n832LjAECoPAQgkCNAQUAgIxjADJmbG7WoGGzvuvFT2Jn9P2+/4fi8a6VXEoYG + AcCJ3FZVbY3G7/zIh9+8NkYT4GoEl4ewjXLQMfMiYzvXkVVqiPLXP3X9Szd3DniWLp/w7ZGL0hav + JWE4Axm8Y4EBqBiBcbLrT6yu/PRH/gp1sKrSLjlXmUEJDKgZiED5SWcYYMy07zq7oeiCwYQqsAB1 + 0/RA5R1WV+5u20MrK3Fzi4Bm1G5PZ5V3SE9SO6GEmrmbz6scEU0EQMwqTzszq9p0dOf0x97+U2+/ + 7cuVY25rpQoSoRje3obdmEtlMDOzAIJ5BWKoCDz7ECooQB7o4NjlJ3QyflD6q1dX6pMmsWeQqDJT + WjzK/GSPyp6i2KFGJBAZegWsItP6u2rfXlu9/uN/g6YShvMMcgYjpxBGBVhEHQRIdcWA8xWY/u7n + Povt0+jiJ970M2veT1bqfr4lFENwKem8ah6rm5/92MfRtlgZo64TiIwnVUUKpKHBrhFSLiRc1Kno + siSnlEAURVEUz30l3LooiuJHZ2a56iilxMxElMM1X3AzkV5gVNU5l0NP8/40F92e6+UqiqJ4vsmz + mwDkEt75fJ7nNhDRzs5O3uGGEGKMy83yvuTteYwxb8zz3eXy4tyxK7foyuHW5QNUURRF8VxlBmce + AANmYmrseokOIIMQQDADwTyMYHlUTwlEYAXTMJyae3PkkEoa8rLVaXY1AAAgAElEQVQh+5/p7UAE + DE0PQAKTRXtNZ/CLmxMgMRSImn+VXD1CMgc4Hj58GYHzclBu0slld10URVEU5wQhtyJQzbU/nMvI + AEBUmBUp/dqVL3mZugs3tyc6b5ES0DO49tOuH8FVnqcpEoGYa8PctAp1jL2oBg7lbHtRFEVRFEVR + FEVRFEVRFEVRFEVRFMXZCVU167tPfOpTtgiuZu9UniouKPjQpw4AEZGBgGuuuYaZU0rB5Xwp5Mpt + 732SczOiOx6PNzc3vfchhDyxS0RUlQyW1Hv/U29+8y+9573/+v/+t33fn8O+ECLSjkdJJKXYNM37 + 3/9+dl40gRmmFmNOCiNgUo3+xf/+v115xWVmNt/aqavKMXddZ2bMjKepI7aq1nUdpc9V+tPp1Dnn + vRdTqEG0bturX/6KX3rf+/7NBz7Qy77vNMUIovlsxs5ZEjUY7MSJE5ccPfK0LH9RFEVRPBfl/S+A + ZepP13UA8py4PJctX5OnqJdk66J4jrIheZfIMEQ0Do31WCxJJfcd+8b9J+7WjegcZh08A0MPQ12G + 9goBQBMnr3rJ69brQzQPifrgq4rr2PcVj17zstff+dgtx7sZVeY8xU6DI4XZmf3whm5/Nsz/YSgt + OjQCIASBbHe9yqk7vnv74Zdf1saRRi0t9Yq9XBWi9GAbVTVNnaNw1SUvvXj10pNbxwE4ZTLkoHRg + N7Tyh6ZR1XsCQ0O6/f7b3pmOHfUrODPNk9QDJMQYYqlzvvLQ7NQZA2BmVTFYXTuTfnP+2Ffu/9Lc + b7NToSQExyAHTQDyBLonb6R7RnI2di9XbnJk7aKN6lDbj5MqEZsJM15wyVXD1gMMMqS8xXMGR8zU + NLRx2eGXbW5/b67bKnCBVVShKfVCpmYpJ4YbU55TCAUpkAgMyumKL7DH8xnGnndm23XdovcqHcM1 + GNu2vfM173ls89EvP7atbis5M6cSwTJM9dzP7aPrtOKKjCHiAyLUrdoXH7jpYHvg3df8ypG1S3Qe + NdpMZ+PJaoodlzkh5x4DIAMDZAoCERlgREY6T9s7curL931hRqdtyJYmjRojXANWOIZrfd9J7NKK + 23jZ0auPTC6mmWPjqgpQmJCX+pWX/Pinq6MPpW+5yonJMrVacp/lodXwsMnNycdLRCRmMcI5RIW1 + 2lU7t37j82948dtr1wngtUJOtgYABcyIyMDD7Syzrs8vmpJzTk0Zzqn3XKmKGanqZLw2nU5BkQyq + qfUt5PyKiVVSACLifWDnkVhVzZSNg/OmBLLchoWIcqju9zucVIX3zFBNcIKAsNYeDAhk59cqF8Vz + UW6UlO1ti5S7IDrnUup9qH7nd37n+PHjH/vYp4YmEU8wnU4BNE0zm80AVFXV932+we3t7aZpcmum + YdCqKIriuY+I8gYth1gPER57WsjmZOtcJpGviTEyc1VVy8vlZH5RFEVRFEVRFMWzrMQ/FEVRnA3L + BRoEUiJz6CO2dy7WeKjrPcCMpABBzShGD6cCYogaMyWxJvAp7Y8fvghtBb+CxDnVVQACkyrl3Nkf + uvLMgAg4glOFwTk2KJnmgV8BeiAQHEAUMB6d8v4CZuCpJkE9DgGqUAcDk2gwcgyNOGT9ZGsTyaDm + mQQQx8hdtnWRnmsgwlo7AgCBI64YCvBinBtGUDgxT4iq6gAv6IfSCwLYDEb5Acmj47mWcLicR+5z + IQ7BAzKV6LE9Gt8bqvfedDNWVhGocovhb2JiPyybx27YsgHEqBl+HSO+4fYvQQBjGIGXlYvDLSB4 + VA4V98QggjE8A/DwQCJisINi0UAU8AEGWACPffT7HdZlQqeoMHQTV0ZnCBWR2DTqTlOfHB1AtY6w + EtkZUDnNmd+Wnwc4BXJGuGscAHDA6ipSwnjt5770ZeQgEp8AQ68wgAFmOAfnEQI8w1ECHCUFBFBL + DTxUzTEASgpjdD22pxdunz6cek6JCeQQEzwBBoIBwyA+GZgApnnUcY3UwTuXQnMfydWjGt4p4OoK + QE1QIABgssU8MAcMIchu3z3ckR8KAwzG6AEAFRSNBx/4uRtvvuuaa49QAFmczbwHWJThdPcpI4MR + kyFBAy0WwAwAEZKaAxq4tDm/rNr84Fvf+gsfv9FzQGAoDY8tlvMR80vLw/I7FDV8fm969ouXJUAM + 9shFq+RQh7/3yY/d/srXLN8CjpyqEedaD81da3lRYpINb3hiArEpwXrTqkbqsGM6Hzf3V+11n/s0 + 1sZohIMXcG6R78BggCEUEpY1tIADVlahEW2AyPXfuB2K3WUeXruAY3hGzXAO+ehTefg1D9Dw5vZg + hQ4ldTjjPVeqcIuiKIrnKO+9qua4VTNjIjzz2atDOJwqMedsHKbvU9n0fJELEZZlXnlCQinGKorn + peWMo+WFkml9nvDep5SW5bb5eanruu/7vc/RogA3YU+d7g/MJs9db/KvEVHJtC6KovhhLA+SRSR/ + MFkeIefLucaXiEIITxpTvXei77LeF4vNMgDnXL6R5Q0653JHDyJa3uZyO59SSinlGmLs2bDnAuK9 + 1z9jj0pRFEVRPJMIcAZARL15mJPkiUeC1AIRkUGdWV25vpeIVHsXo41qP++SA0aMqcIFbMdh/Doo + gj6hqOuH3k+SgSEOBFjMQ6ueO1FltN7381SDRlxv6zwgdJrUe2HnXNA+db6BsQNDEpw3JIXPI/sG + EPEwIEhlDK8oiqIonnVD5xFmQr+su8n1YEQERS9Hexlvb645EyRhCKFTuD6N4AiISZhJ2XoaBjFh + 0UEdWFJXRteKoiiKoiiKoiiKoiiKoiiKoiiKoijOTtd19aj55I2fSgA5gpiKELN9n8RiJo5pSJHy + 7JIkB1x5xRWOyBksTw3NidfEIoLvEwf1TEsp5XrvZRk5M+dcFjULwXci/+C3fvvP/+L/2553ktuh + 7JkkxMzQxco8k/oU27btZ7Nm1P7Lf/0npzZPw3kAIBr6WsAYqJj/pz/4/Te97vUaewKqEGAmIsF5 + wJ6uTGsAzC7G3ZlWIQSQGkTVzMwH1/cdiP7r//Lv/+m/+YDbV+ufJTPK8wIAxyRq8/n86Vr+4gUu + zxM510tRFEWxb8tsjBBCvibPX8tz4vI8u3xlSqnEYDzvPXHqepm3+Hyi7BxIZrFtq5kIHDn4qNGc + drT9ha/fPHWbAnGOJQ2HNcwmZgSQAA7GYPijqy962UXXYOZFtB6N+tTFJN4HFn7x0ZcdbI5uyemU + dowNBtptGcR7oxyVQAQyJgDGBGVTYQDKICR4Dw1y89dvfM01b6GkI3+40/4cPGrFeUq7blY1geD6 + eRxZPa5XTj18/K0/ecOdH7ktNB6dMKM3hYcqgmdN+ztW955SMqoQobraf/aum37uFZc7BMstmsjY + mM0peNH9ERgCrfXMXriqakwkkMTdo9NH7n7oa6numGHA0C9Thsp2Ih5Oa9jQN3WJCKpYZHM6VQXU + waNzV19+rUsVRw+O5sl7Tnpm/vYLgTFDYU7zxD1SGBsRYDGmuhm/+LJX3Hn7Z7gmNrZEjtU0Reln + 861JuMBEo7D3taIHlMEEZZhCS9rx04802rSp1yQxJLB3ZgpJjdWr/QW//NZfP/4Xxx/o77GVfhpn + Yw/d79kfgykWHeSECWqwBIHx+uyTX/1/L2gPvu3l716vL45bUo901m8HCvkQaG9bCZSjoGeLLbei + y0bTpICKaTVq0lwSddUqf+Wbtx+ffk/GcTjFqsoOnmExN+lFRCLHHJ3N3Ftf+w6dBgILJFCVVMnU + W1jXQ6++4nXfu+t+qskTiZgpjKAM78HgHBP4+EUkVeQ2fWCGGUKDadoKof7Oifu+/eg9L1m5Jrgq + 9vPGjc3ILCUV9vzE7oVKON+2KssOhGYgg4iAADJmmnVTZiZyUfoQ3DI+9nzjnFM1MmU4GBM5U9RV + Y4acbtt1XWh80pi7sz9pYq7z6Dr1LciTF8fiLz1yGUXPWmaMFcWPyoae84/fgARHsZ+TCyEEkVQ3 + 4X/8vd997Nf/i9tuuwMACMwkkvsywTknogCWAzp932NxOivGmLvIqureWOvcyinGGEJ4XKh2URTF + 0yJvYQCklHKTz2XbunxgmbdI+ad5o5QvL1vY5dvJP1re7LITXe4dunfwcW/D0ty5bu9Pl2f4H3e5 + KIqiKIqiKIqieNaUc8pFURRniYAuJWJGTNia/sk73nGwF4viDACEILmzM0PBCgYhBNSh0STzvmsO + Hnjnn/0pVibww3kxJcgwHQYw3Vu19gMtk2QHtijgMZgkl3tfG4gBIoRmxpXS/ncBBoDJyCmcKQxs + 8H3/onGN6Rw7AtEUewPUcqD2opk1AVAHdTYsaF4kl5fdFMowT0qSehDgIJJLImCUR0w1RxArwZDL + azA8vstnxEBAJ+AWziHW4cG6uuGmGzFeNV/B8XBfpiAVQEiNFgu557sQkmeMxlhfxcF1HDqAQxvY + 2LD8dXADBzdwYB3jEeraKBicgUFPFkPOOZuXQTw8fhagbsjy3Y+5om4bEDTXhxg5pm5uZuQPHfxm + E67/9CdQj0yCJ16cu2WA82tDl0/gck2Z4WrUY4wnWF3FgVVsrPZrG2ntIA4exsZhHDiIA2tYW8Vk + hLo2F3rknGMzCMMCVQBA3HfdfLYDHzCd4/Tmh254+9p0s5XeOT83xIQwOmNlc475sF5Rxq2P3TAR + bOaq7sgRBAfHDBjUoA5aQQlK0IjF22TPMcxZjqcNFW/wUJfffw5WB0xWj43XHnVhqolzQZbslk3Q + IiiabFiLvGTA4rUKAKhCYLUVYGO6c8mxR7A9w9ZOfgeBhojofHMG4PHT8xaRz3nNdlePhpR0JoSA + UCUmzW8NAEZ52dh2/4Js+IKx5tfD7hvfAK1ams4RAqSpHqj4uptvxKjBpIU3QjIw4IdlJQUp58T6 + Rea0EcRBQkAzsfFqv76aNjaQvw5sYGPDDm7YgQ2srtpo1LumQ5D814voa2MkggAMdUBYvGkY7MGl + /L8oiqIoih9oGdeHPYHWJdO6KIriWeO9X2aX5upYM8tFaV3X5e1zrgnLPwLAzFVV5drZPCc8N7gp + iqIonkZ5VlKu6O37PhfvLntwdF2Xj6LzZIazuP2maUTEzPKf543/shY5lx0zc47NzhMnAOQrl/dY + ZkoURVEUzzMG3tqZOleBHOr2xHj8QFM/PD7w0OjAA9Q+vH7gO7W7r3IP1Ly11j4EORX4saQGjDnM + FQ3QRVQthCA8jPAKIKAEEixipX/IL0AAZgNQAQJ0arIyOhHc/YSTB9buC/XdwT/AzcOrKw9Uzfcm + q/eG8ODK+Dvj9j6JqFqATWRRiTC0whAgoaRZF0VRFMV5gc7cJ9vQX1sVyQXakVkCTimEOTAaZoI4 + JsemasoQgRAI2ElSMTE0lJ18URRFURRFURRFURRFURRFURRFURTFWTECHKvqrbfeCgzNUpz39v1L + tdWUQLmts4jk7g3r6+vP2jL/iPKSz3amJunIkcP/1W/+fZjyE5rY7LbXf4YHpOu6ns3nZmZmf/zH + f2yASoIBIs1oDIABT/Sf/9qv/QfvfFecz/aOudOzGMHivY8xQo3MgvMXHjnyC//Rz+NsG8Atu4SL + GgF5vkBRFEVRFEVRPL8pIakAHFxlgqRqZmJwwaORb5+4995HvulWkTRK0lAtQ3YhBjDMwMpOQZ1/ + zcveOLJVSTCCiDF755xGpR5jt/66a95C06rGiBLXtRdRsj0fH5afKBZtMMmYjJ16gIUhjJQSE5lB + WB/pHrr9/i/4VTeX2bP9kBXnNx9YLUGVmcEG4zW/ccna5ResHNWZsOap2sMLTWXfU8KTmnOAAMCW + nf7mI1/t0CdLSqrDy5iWrR+NWIceofmOiHNjTVIVYQfnqNNOmvlXv3V7h6m53cabe5z5GZcU0GWP + zZwO70BmppoUQmZO/chWLjpwqZPgzAfXQPSFOAndmI1ggczD/KKDKAEQpBCCJffyy69Z8as0J+65 + shoRjasnTTufT/s4NzZfOTAZ0dDt1hhGAJPx2Z5+KJ6cEcSZuAiAjch8vp6MJ7Y+mh74lbf/2kG9 + 0LaMBN5Tbne7H0xawbywCicATuEVDOxI7w6mT3zlQ1/53t8e7465cZU0OsfMQ+O13AIiJ8ztTZUr + nmlKWHagxtC12LwPm9vbCNb7borTt33985GnFIzze5xUAVYmZaeejYlgqjWaqy58yaH2wpYnIua9 + TykZxEg12Yquvfalb2wwTr1RogDyHq4Ce0SBSGJmJc1zjcmG/sk6fGPsOSOqrOK6GLrPfuXTOo6n + 5id868W0i30IYe/rhwz8ZBv988RijQykRjBSYVl+KScjA1RJ6fybPMW7x5O7V+YHOwc9kmPnXG7V + YoYn20PubuG9hyo0GSNYx0fXLw6oyy6gKJ45ueceM8cYRWQ22zly5Mj//E/+8GUvuxLImdbWtm1u + l/oUh7jLIR4zm0wmzDybDR8e89Y4/y0R7ezsPONrVRTFC0xuB9d1XW4fCiC3rQOQD0JSSqq6vCZv + 0wDkHwFIKeUQa1XNm69lxHXefOVbWLaJzsP6VVXluOumafKPcpO6oiiKoiiKoiiK4pzz53oBiqIo + npMIgFjt/VaarZhiZ3r5Y6fDzrRtKut6HYKbTUmXabidoCGkbkZwTbXy2HT+opVVBA83bIqdoSMY + 4Fmh+zt9xgDlYWK3J8SYDKSePFR9YiUgACawEFEp9l1hQIYgufZoqGpSQAnTRx/54A3v+oUbb0Kl + dV11XazrACiGYXUYlKAQAxSOQeygQwvsXC5jQG/sawMcLdJ7E6KB3KJP9jAwzwCRLa8hGOdwYSOA + UHv0MwDY8e294/q162OMxjPPFeDzI0Mq0Igcn73YEeYTmkP8NhYryAJn4N0QbQy/5QAYIykRKocI + JCgRGAwowQDK3cZ3fx9Dji+YhbCvJ9gIla9m8zkb6ibM55EFFVxTub7vjqldf/vnsDpCU5MBMzgH + MOeBY0f58U+LZ5F3s8B3B7MU1AEICMNDQoAxjDtS2xM2PFQhgCz2LriUQGx15aBmADnGdOfgQ9+5 + oAk2h6XUNiyddlOrnnTgzJjY1IwAPw7znbQN+o8//JcYjwBPlrPhF2nolF8pbIDLuci51M3AdNaV + AQpoZUO8tAHgCq776U/fePt1b3nRYw+2vUhEzXszp4dka6WcbJ0fTN1bJ2RAF2PlKASsKq7c3v7s + DW974xduAQDHIBipgnmR+A4olHOkugAGduRo8dQj1w0sOtVHMBN7svyycme8kmjPIpAtHh8jKGBE + ZHBmRshFFUaYzmw85m5HT3l8a3X0ugMjrKz15itWGLwBhESsgCA5A0X2gK/UIASFsaNggMFHIC7f + U7qsWxiqCQkIxDkfXPLbHEg8hJTz8q/AfvncKpBfsGf55BZFURRF8YIgIrmWOldr5fKFZRFDURRF + 8UzL9WQAJpPJzs5O3/c5vnQ8Huf61/xPIoox5mkSuQYXQNM08/m8qqq+78/lOhRFUTxP1XWdK4ar + qgKQE6Zz4LRzLlf04mxreefz+bLC2DnX932+5fwdix3EsiI5X6iqannE/tTTLYqiKIriuYiAyXi1 + NxHFaNz8H/fdBQFEkSKcg83hGCkBhKS/fvXVayGE01sX9x7ddA0jwBzibCbO5XF+GDiBBCwEAN7U + DcNoP/i7QRDsdMQqUFMdLc3NH1Pa3Dj0sJMPfu1rIIYoENALQg1JqDxUAIPzCEHBHGrEnoLn3MNi + GAhnEIj2X21QFEVRFMXTJFcEVbmwZrcMjACCwz+6747/9sorDjImgIe3RCxqUOdxKiVHYAeN8A5Q + cr7W2E3VRkz9PusVi6IoiqIoiqIoiqIoiqIoiqIoiqIoiiX27pHjx79+z727GWdPWSxtAHEu94IN + XSXQtu2T/uZ5SC2xgzNHzrUh/PIv/eIf/bN/enJ7EwbwELgBwMyUQET2DK9H0hQqD6Nbbrnlq3d9 + 1YMUVldezebbO3UdUhevuOyy/+a3f2sybgO7vuvozEL6J2ay/OgpLcuOH0veUUrifZVUYuxXxuNf + et97P/BnHzz7u1B1PHT3WfYZL4qiKIqiKIrnNzUSmCenYuQMbDEmBNG2++LdtxybPeRWlTpAQN6B + BDl8FPAEBnkL6HGoOfrqF7+mlkYI7ClJ8o5ym0sVeK2vveonP37r3xybP+gqIs9mIGIAbHsbHube + lUNNL1tun8dCCiAQefKqib3bkpO33XPLdVdcZ1tW0whWJuUUA/YkoibqyUcWEWWqN8LhV1z6yofv + eMDXnLSjCmJggtm++20yg9khGQjR9/cfu/fE7NGGNzyIAGdEBhjvScjcbTNLe1I2CcQgOESd927r + 9vu/KD7BwXK72Sd86B/64u4mZA/z3kjBBMqprTAiR0Tcu41w+JIDl+O0U4InF43YmZntVsu/IDDM + w5zlZ8Fk6B9rIA9DSp1eeOjio5OLto4fr1xDzBWp7giSpum82XDoJWkUIe8ZyL1kl5MO6Iz+nsWP + zABxSC4RojOoBiMWVsB8ogPhYFVf/Z43/t0//tQfVf40QeZdV4d93D4bkzojiOtBcAIyeIEx1GEn + zsDf+8CN/+LvvfMS5yvvgyZSUXfmpM/SzOHZpQDDmEBs+fBAAagqe2eVJszveuir33r0G+4Qi8IZ + eGgbroB3utjcqQarqn706pe+YezW3TxE6eEMMCJ2FTQl9O6SQ5e//EXX3PrgpujcXBSDMXJr5GRo + nRMR+/5dmtmgQ39vCGv0szu//aVHu4fW6gsEKbAzExsOVxQAm+Pc+RmIrEM75fPyzLUCxMnASmqk + AMjYLJ9CVZANG8bzMObZlmnow+tH2Xrp816WiAhkpmSgx/cz310XVbAjFYOwNx+ouejwi1gCnceR + 5EXxXKeqzjmGiqa2HpnZ9vbmxRdf/P73v/9Xf/VXT57c9A7z2cwx2lGzvb39FDeVO0Exc27clwcN + VdXMRCQ3j1LV8Xi8Nxq2KIriR6SquU9dXdcAYowhBFVNKTnnclfnZfvQ3DUub4Ly5RDCfD5vmibf + GjPnzRczq2qOx2bmvu+XbaLzlo2Icku6uq7n8zlKpnVRFEVRFEVRFMX5pJx7KoqiOEueyQGNd5Du + Q2+77qLtnWoe+3lvNIwGktHuiCBpEwCgqWsFbacYRyvgGqEFLyo99g7z0f5yegkaoARNgBEPfzuk + ShNiggMz5rFHXaEZbTZt2s/wQ14pWUQ/55Bcydc4bKy0a6lH6nMad8UEU0AATUAH9GBZ3BJ2R0Dz + gK4CDBewOnqsqaSposABfQ8CQlimTQOLcOs8aYfz/Kw9SwiCEjTC1X42Xn1ktPq+T30C4zY6Hqpo + 8v+IBQwwgWlI11YgGRIoLYf/cw2VYDd21xm8DY3ALad7EwNMyhXYgXFGnY7lfyYg7cnDBgykwtB9 + jeoaKzgZvEeaxwCq6omInuq7nXrl4dEIk1WsrXUq8GfcGYaL6mBuWca4fHkYbFjB/HzJbpQyD7/m + 9hwreMABDuwA7yvrIjNcnlRGjkTRx4//nbdfMJ/K9mlf06Yi9QqgXsx+MlKQUn4SjQGQ2nwuzOhn + MdWjnbaBC6iaPFS++xrdc055Nyach+U8yxFyAkhhlpPgyYjgct0BJs2dldtiTw7VoiLrcX+a3920 + +2rG4r0PAjyY4KIgzXGg69a3T6KfQueLx18ZqovXX35V7F0NHVZvSI4f7mDxnwA5P5oNznId6+MX + 0WAKVoIRlCC8iGq34Y2S767xOLWjc99MVw794o03YjyGOWZn+ZlXQOANQ653fsQoQXOh2yKy2nIS + /WLJl//YfTcBlt9xu4+gcU62X/zGIukeCsqbxZw0XkYTiqIoiqJ4SjmZj5m7rgOQ6x6cc/Z9nOvl + LYqieL4horZtiWh7eztvhPO0llwaOxqNYow5uDQXpVVV5b03s6qqchlZLjU7t2tRFEXxvJSPfnOB + LwAiMjPvfV3XRCQiy985i/ZVuT4YgKout+S51DiXC4uImTnnQgj5LqqqijEuj9iJKMb4dK5wURRF + UZxzBhWxmKrQdvDzqtmpXZqMbH2tr72srndti7UDmKxgvP7P773/D+684x/d9bXvjNudjaPHKDyC + JFwxnBfnld2ekTVefO0Z6P2B33O2JWJwD1m31YxOjpvfvfvuf/LVOz949z0Yj9JonFbWZdzi4Jo2 + DusTrRiTBo1HGyJ7g4MafLW3tIyhgGoZxCuKoiiKc8QIQhBAoTCF6W7FkTGU4D2C7BxZT20VBWo0 + k1iBxnX1iELX/SmPWQMb+8QsRrM4F6DmulfSsn8viqIoiqIoiqIoiqIoiqIoiqIoiqL4EXzj7m/m + C955AClGPFVyjOaiawBMQ43WE+urz9uwibzkVVXlqZ0XHDr8y7/4vtzEgiy3Exl+89mZ15mXp0vx + ox/9KACFeSD1CUmYkLroGf/sn/7RoY2N2fbOzvY2naOppiLimJ1zDJIYY4zXXnvt0UOH9ns7xIzF + dIA8k0uB9fX1p32Bi6IoiqIoiuI85L1XI4UatCLHRGIauX94/p07HrjVr9Gsn3nvPYV+LkRMBBPL + TTeZmdVV3fjaS1+zFg5bdOyCsoGGqDDnyXuPiAkd/IkrXxu6UFOVup4ZMCblZdfB3BtwOeWGbWhI + qIuPcuwU0KRQAlXyneP33PPI17SNwiVTtthlZlBzIGMSFjG1yBzDj1/+E2tunY1FVQ0qYOAsunJ4 + x5oEAgcKDWa2ffd370phriz58zsblGCLz8lGULCSAsrGZPk1r55YNUXpqbH7T91zfP6Quj151U9O + H98e00BGDJffLMYGB4ax0qUbV05sXZKBLUXlYV1tiI1/wVACSJUUpDxEyQIAe4pJAlUhNT/+otde + FF68JkfsRL2SjozjBWt8OJ5O6IwNVfD1bniyLnqBqpHtdk0tniYKAMpIBGFjWMi7AJFIidx286pL + 3/Sz/z977x5sy3Gd931rdffM7H3OfRIAAQIQSQAEQPEJPlPucMQAACAASURBVCJaD0osSqZoiXZR + dkW2JcpyKX+kbCUVV7lky3FJTioVySVTtJyYScmqcmJXSi6XXLJjJ5RISaYUkqLEhyi+QICEABIg + CRCv+zj7MdPda+WPNbPPPvdegDiX9wIXwPrVrnNmz56Z3fPqmT399fe94Ufm6+O0bLoQDhsBwApS + VYICQgzloAgCZlCDPV6ejo/95of+9SN6Xx/OVi5VxfLhABARM9ul7XKsu3MOSiKkgLASK4MUECEF + Sa21m3dnytmh7f/4ro9I1wsNELCAzMEYIDVTcgDgik7nV7XXvuolr0Uf6sApxlpzCiRSmJmIqYR6 + Bt/9mrfMym6rM0IqglwAIAQQIUvVfRNjAGZ4LmZNbHU7TzbCIAjnVTj78bv+sD3Gi7IH1qZpci3T + rOZDzM+GWoQw7o6iJEoKqL0Vskr1ys13PqeCEIKSDMMgUDNvMccY4ECzA09X8/FGVEY36MSJcrj6 + +DXHuxcgh2fDvnOcZyvWChZjTCmtViuLel0u9779Fbf/6q/+aowsghBIBE+eab3xawKQUiIiEbH4 + 6hBC0zTms+o4jnPJYeZN9WWZ1gCIqGmajTtorTXGuF6vzTUOk3eoDXddZ7crfd/b7cqogmC2ym0Y + hpSSjbQp7Vus0uv7fvNd7kfnOI7jOI7jOI5zheCx1o7jOBeFRcuWmiSjX5488/j1tewATdNmQiUE + lbCfyaqA1oyhoNY8ME7Pu1PdHKGzsFlSDITBgmoBEIP225WfIqQIgIAHywtmBhGIRBVtkwP6gJB4 + 0B5H2h/93fcvD5NUIYTMKAwhVYhCK8sQkANyRsl9lT3Us0BVgCxRVwCwxVr3QLacXwQFCyzVN4AY + FMCMLuLE7Ed+7z89BmlnyD12ZiFQyFlYEQRJEVSCWIupsqql4gqpsCgJCJXGiNyz3N3Vdq9///tw + /ASaFhbGrGMecg0AmMENOCgDFdQDPaG38g+IA2JFFLAFKxOEVFAFtQQtQFlBloTBxCEVGEAFQYUh + MomogkozWXtvZfYWUFUqh1X2lDzstF0gCBBS1GGliOHEC7+4M/uuD/wuaFYLhTYNDMzGXOGNk+mo + JVHLsebN2+nTIqhQggZFrNaAbVuNJBZpigRrpTYr1KkLGdnDZgUQdbnCOmO5OL7ee9E8RVGp2kZI + 5KrQAuiYrwwLCBewjvqE+byrgpXisUYf7gJmO4gtKIJ4k48MJgsRb8ANxojmCpRpvQ7rqzp+OTB2 + jFNGiSiMWnG0xcn2x//wg/Xo8fUAMHGwyGqxF6b5eEuNselfZyNTSn0tqUvEYNIGBWUPZQ0p0FGT + MSnmUEFgASQCDRAhk3BQx1UlAQpUSCVCon2iHARBDySkW2GURAiFkXk8LxRjljhB7LC2AzCktiDs + zU/eHzp0M6QGGqJAwGq9HwUQRAXAPTCkXFMFFQgDERQmb9wStbSQAKmYYtF18tinCGYFWBEUbAfD + 5L8fgACZ9uNU9W3Wis5TJTqO4ziO42yRc7Z41LZtc84mViCi8AQ80+V1HMd5rqGqq9XK8lBLKQBM + PcbMbdsul0sAKSUR2Xw6DIMlm9pHmPRnjuM4zqXFQqYx6XctUpqIhmFo29amsR6JF1EPb1KxtyOx + N45jtdZa68ZnzcZf8Hrxra+m4ziO41wxCLQPLF3kWIcWJUqec2IoKkJo+wLh2QppjQ6pRTvH7i5e + ePwXH/jcf/unf3DPC2ePHtnJqQmgCDSQgBpQI0qL3GpOmglVUZ7iC9B+0JDwWNRHjqQ7Z+Uf3Xcn + dlt0HUIHbVGYEZWbVdW+aQdiSp2AkNqiIOJSAG4gVEEytdlOZcOV2nHbcRzHcZ7L6CQ+Mxs+kyuZ + eqoSSoFSQkjY3flfPvHxWlMqTIoQ48DpK315eHd2T5p9bWf21Wb2lVJOMWJqAtCEdil5CR6oEb/I + O47jOI7jOI7jOI7jOI7jOI7jOI7jXBRF5O4v3hNCkC0vC7pgrLWNYxqHmcx7AcDZs2e3J9wEbFxp + SRtKQOAKzXXIOUcOkfkn3vWundRY2DJUx3Wn6XWZIaIiMmubv//3/97v/L/ve8fb3gZzTFE0DAJ+ + 9md+5rWvfs16udzdmUfmzYpsXues4Le4zbcdUMavMLuZWkGWQIMYo9a6O5+9+bu/+9DLV93+a4U9 + cuTIt1Rox3Ecx3Ecx3mWQESqtbIolQhCrqGJ0tVP3vORR/r7U6dVEEgDkVSQcqAogoZICpSgOZyk + q95485/jVaLKFHjQTMxKkFKJiAMIiZbp9bd+57Fwoq2tqhku8sYTcbrht7diWbQMKImyjJG9WosO + Cs6lRNZlfvyP7/qQHs2VPaHH2admwXRUS1BqA8eGS/uSozddP7+BlIuKEMEyLA+fScmK0oNIRYQU + nOhT93wsdyulYoaZAIAxR3kzl5L5VgaWMJqxWjquZp3LJ774R31a5FpGV9LJgZPGGGwhFUxy901B + hBhg1gBRUrX+akpVVanw7de/EosAgKLmnCMFEZHnWaY1IKBBeK1hpdyDzEZUQCLgXMDSypn2La/4 + Sz/9zn/4D/+rf/Luv/trf+8nfukf/e3/9e+86+df9eI3zfRYKCylrlcLUAVl4ayUhTO4B/VCg1t6 + XlpYwcqgChQ7BZRYAAmiKEfSEZxu3vqKd7zhxu/l07Mj4USQQ/lLi3BWLgfGKZMiKNZrhFmbZ+Xz + j3/0Nz78LxbpscxrCjraA0/J1piMJpynAQuNJp3uFqZ6lZmz5J4WXz97/z3fuHMdFkMewjnPHpWV + UEkAiRrDKrzxlu+4unkRBmYOkQIrW3einCtrms92UePLXvCKG+Y3BemYY2jAjNFEmM0MZDretpKt + x3pVmSSSRFK2TysXnQ0f/dyHHu8fQVMGHTiyaiXCuBa6X6NPcdFX1qGlYLMyZmV7RE8KUjqQYj15 + HV9pz9sB8Fa0uZAqWRq39KVXVkGtWuykPmjWfAACiCCiRETKUuil198cJHGJHkHiOJePGBoV6vs+ + xihaSh3atp3P5yLy8m+/7Zd/+d3MqFWZEcMFWw1HRMQ8oETErPmaphERu6yXUtq2NSOpnDOzn9SO + 41wyaq2z2cxqG7ODs1rIvONERETMxrnrOpvMfEQB9H1vE4cQzBGaiGqtm8RrIiKi2WxmjnPmPmce + pKoaQjAfPPMmrbW6H53jOI7jOI7jOM4Vgj9+chzHuShMPrZeoe9x5sy1kKb2jLoYemvOJIteViYF + QyybNgQSFXTh8ab5/t96PyghNIA1gQLWKwaYgmAvolhTMySghAquYA6pVBVAUQZZhaZF06LtyiFb + IMaWVxJAN8IjUuzsEC3rifUSNUOUACSCDNv9bAigSV1kub8ggCxalyuhMGqTMGtqO68DAlBXVUEp + NNhKC7ametjSIJga721bCUGASDuPlfiN66/FC69G24GT5tLZViGxiN8ApNHpk6cwcba/cuDKyAEI + +xdLswbVAGWIQgSoB3aTzc4ATwG921vQXjKV9HAwiEVLRpNQcz6rJe90X1juPXD9tTh5Am0bQgNg + qEMlaNqKbba5EazgY1D0fjcqYYDB0AaaNuHbQqgQUB334mZvkq0CVKuM2gasVpmaOfr+X771rd1y + b3VmjwW5gAhVwBTUMqynxQTZ6Ni4Ce1yuU4J2uLsTvqh33kfQLIVZw2wEivZUcO0OfroIsR15zFu + JQKNZ1ygsM65NgENHpOoPF8XLRVCqFMs9/bcmMKtN69xs+Y+AqtVTqmJlOT06d98x1/E4gykjge/ + MlQCBGBFVGLwdG7Z+arjWcdWQxBDApQDZAqBJlZA5YKd4qaRLHSgqJgSuG1Uvyp158Tds/aHPvwH + 6I5kJUSyLx/TqXk8JgIY4IyQLaba0qhhoTVifQstclstbty+V5nAOmWr0xS8bZjxfcSksaJRIzEe + dptT+2KElI7jOI7jPF9IKZVSiKiUsgmuZmZ9Ap7p8jqO4zzXiDGaPsxqYFOS5ZwtxzqEwMwmurWJ + TRE7DIPpyXLOJk1zHMdxLi211hij9RGOMdZaTcJrFbXVzFYVb/owHAoiskxrq8ktvtrqfLvxTikR + UQihlKKqJi8mor29PbtkXNijzXEcx3Ge1VAASJZrKKNIpEAQa4MMgdoYrdmNApRRwYOyxpnOOlx7 + 4t13f/bnvvCnX+Oyjqmeu1wlKEP1kC1mJ+Y7y0qPxfg/fOHOf37X57HbohbEFiVAY+RGihIohMTA + aKBDcVUyOFVBjFCBKCniprUXaoUBPNnacRzHcZ4JGKBRsqOAbvRctSJEEDO0QZyhmZ/RtGznp7r2 + vlIeOn7sGy94wf9095f+yd33/PI9X/7Hd9/7i1++/5E02+MYm50zdQ0gBIgOz+zaOY7jOI7jOI7j + OI7jOI7jOI7jOI7jPHsRwQMPPJBrJYKZMltm1BPOYBLuyaEeQArhgQceuMCEV6RUS1WJWURKGay7 + 0C033fzn3vQm2uS8bZKtn4bCEFJKqrpYLJoYX/nKV/6f//L/+P0PfOBH3/lOBkjwqttu/em/9bea + GEi1lPIMdmViZut4BaBpGiIahuF7v/d7D72grXQi45oXXGW9txzHcRzHcRznuQ7XqmBCqAgiJaPU + 2IS9cvpjX/gQdtfL9aJLkJpFpE1JhaBMwowIgYhE5VuvfvnNJ29P0jE3IpJroUhESqy11qEODO50 + 94ZjL37JC2+SQVOItQopszJPBpIHfqwRWAUQ4aIk5kZYAGUNTRCCSiEun7//04/nh+s5GaXO8xsi + Yo5CqCpZRQJpINS4qydvuvpWVWi0ycBCum/g+ZRgBUQDw3w+JCMmvveRe07pY8KVJotXJVEyC1Kx + aFUhUVIWnlJ4FbWEEEIb1mXx+fs/ndssUgJtx2RuObhum6Du56AylJkjK6t5mRKKqGiNyje/6GU0 + RA4QVlVlis9H70mSKce0ClVLLzY32yHXJs3maZZyc7Rc86L0su70seb0sd3+uiPDdUfk6rBsqdco + nAizLhHKuENJMFnLOpcWUgQBSxSwEpQEVCzoHaxgzn2Z8848n3z7G37kjhveOHyjBjlEQpuQ1FCE + iwXGQ1mJK3EFlwFNg772ayzzfPG5r37it//o38vuCo3ao6eN05qlY16mLeA8AUzKAGQMfhYVUtW0 + Gz9x98dOlcfWtGzbSDLaKQtBCEoQgoQiJEnSLna/4/bvlD0KSDHGmoWUstSmTVFDrVpVUpjH1fy7 + XvkWymnIBUBI0AopyszbZs6bkh2sB9hubOxCICxD7B9afPUL931udrTLkqtkZt5cKWg/G/uK9gYm + jTSFQ7NyEFvHye14OpueuQI+GYTtq/x4XV71S3NFVx6DIZlZRHHebrB5YyQoSBlVI6ebv+0WZGqo + 4St1rR3nOYCINE0zDEMpZT6fm6fTYrEQka7r3va2t/3Mz/xdACKo9ZvUn0QkIsxs3lAiMpvN7CNr + ADK/JmsRu8yr5TjO8wgzoDPXUACWLS0i5h3HzMxs5nLDMFjz9MZurm3blJI5zpnsoe97q6wwOdSp + 6mq1UtXd3V2ba1OJ1Vr7vsfkibeZ0XEcx3Ecx3Ecx3nG8WfKjuM4F4MChYGmw+m93/yBt8XVMue+ + QnbaWZAQlJgsujgAyWJzNYRclRRI4dEUQIq2q1YPC6KgVQCihw88tvJUQgUS0AqCgBQFXMEQxEoN + JEIbpgBAAtDqYYymWREFUcAKgpjMpa1oKlYLfWFsv31PPvhdP4BVBbDWXCOBBQIGGmCO0iIDGVSh + IKAQBkKxiQAAgzJ4RhoCWAANYaCwqlUm6Z4QKqOyCI+zjGNovzFewYOGPD/xw//hP+DoHLFFQaMC + KSBRiKIQJMh+NHKlWNAqZtBWkRjcQBpIUAQgKiJAFiocIixvA+hQO+SEDBREIKFE9MQVABiSIGnK + g2bdxF1b1rVIUD2ULIxMdVAFACoyMD9GD7X11Ldd8yPvfx9254gMCEuZhSgogBAyIQdIAMLYds4A + Q0BagEzIjBKBqJEkQRMQTYIEgJEZK6BHLJj6LhVGIYAFVDNzCQSpUMzahL2C9eqqvNglnNydNQ2a + gJLBIOJmmAQTskmGVhbb0bXOOZaMGvEwFbQRbVcVlWAZ5AIewMNUeFSze0fdz6QWkBzWN/1AXDJF + ZQwRJUIqutgF9Kj5dLt7qj1awLFjO8zO32k0RjUzTZqMjeoiAjNK3HNfy7cdufqaBx7GqbOo/QDb + jIBk1BwBAXpbR93KcCYCMcBT1jiDIjSNMggCiIXsGEblTfA8sJUEz4qgCIpUEQQgUZ6OJwtXp/ZM + O3vLh34Px+eIXeIZgqx0DYigDFw0iiVbU0Wr3CIyUkUCRYjJa237ExAgMeimwBi3sQihMDKhgIrt + L7Jw7iooElSskhzPEkYBMkYvXm8pdRzHcRznm2KuDeZ9oKqminimC+U4jvN8oZQyDAOAWqtJykwu + Ziq0WqtJ0CzftJSyEc5iMk8ZhsGTrR3HcS45VscSkQl2Y4xN01jKtao2TVNKsczpEMK3Ug+bkdZs + Nlsul6WUlBKATZR1ztlu1G0MgN3dXeuDYdeFS7W+juM4jvOMo2BBVIo8PwKO4EakAqg1U5D1ejn0 + ywi0QASkIgQ0oQVSxRxxjnmLI7P/8d4vPNTRIsUBXEEVGGh8ZTrQ3vpNX5Xo68vl0Bwrx16I+VEc + OylIOHYNOCFGFEARA7Oirha6WjSqGApq7WISgAglgxgUA6YO0LChakIIx3Ecx3GebkykFBShCgkU + qNAAjoqGoCapAunAoHbvxLEv786+NN/5x4888rP33P2L992DE8fRdJgfR3ME8+O//KUvP0TNGU4a + Qmwk1nIkWFq24ziO4ziO4ziO4ziO4ziO4ziO4ziOc2iI6MsP3K8HR33TuTaZ1sbHPvaxzfCVmWa9 + QVSJ1boI1VprLiT643/9xxqKBwr+dCVb15o5YD6fxxghte/Xr7/jde9597s/9MEP/vW/+lf/9//t + vVJrIK41h0BDXh+I7AIAKO2/DqzBdmrLIZmWtv8tmyQh6wlrRt533HHHxSydyCT6qlDgVa961UWW + 0nEcx3Ecx3GedYiGQIUGoZKIg1KW/q777vzq6T+Tbl1ldFgWKSEkCImAKFBBQhTRWbv7xlu/Y3c4 + 2tJMBZa7Y7fobUyqtWoBEKXr6s5rbnv1TugCaNvGhi0Y8pxfCiSgoiRCGA0JCcrQoCFFlNpEnFp/ + 45Nf/Jh6rLWzBVM0j1DLlB5KybWScJvnt13/cqZIASoIykQXpfWu2iWqJIjEGSS6oNP3fONuJWHl + oCAoxh/Ies7v39Fg07JWiRlQkq8/8rVTw+MDr0IIrIksOxMMjL6X578AbHxQWVmrqJ0ggAiYabfd + uWr+gkYTiDJyjBGqRITnWxc2ZWgSJCFWBGgisS2szFFVtQwhC50J7XK2M8x3ht3d4TidaXkZO+12 + QpdI8moPMkxWoCyItijVVtEIuQX9JYNg/q4BmiqxcAb1DGFlpdjXmjGkEFJuXtje8MNveueLj78s + 1PjNlzuhBGEII4gEsVqCC3NhViCGSIS+5jjv1o18+HMf+Pjd/3nRnzKTn+0oa3q6ns45Qk9cZTE9 + tjz1mS9+ZuAlkoRAUiAE2TojlSQzhMGVX3Xzq6+ZXxvWkSiICNUQEFQrEQXEiLTMfRVN6/lrbnrj + yaNXV1AuIAJVkAAcmPf3+7bx9fTkk3nMtOZN2vHAy7CDP/3Cp/aGMxQgW3GDm+VsyvtkK/sMIQQh + ZiUgWGg3KQeJQaKdQdsvufLyOOhA7LQIQUmV9PTZU1WLndT2MBl4sufVbH7fyqR8bOf4i669IUhg + BPJYa8e5bOScQwizbqcWzTmLSBN5Z9YSac5908af/MmffNe7/to3XQ4RWXa1RcnaKV9KYWbLfDU3 + v83El3WlHMd5HhJCsGzpruuIKKXEzETUNI1VUPP53IQNVtdt7EZFpG1bW0ittW1b+8hqqhhjjNGG + 9/b2zPjOLOnMidTuc0opROQ+0o7jOI7jOI7jOFcO/kzZcRznIhEA6zX6/MLVapc1JQCofW9iMgDn + 5OyqauAQCavVKrYRbcTQh+UeVgusVlhkLPu46uN6heUKqx7L1VN/0WoVhnXoV7QoWAqWBau+HVZN + v8Jej76n1SouF00/YNVjnbFeJzmENIl0bIC1JGmDAVLMAoehzvfOXj1kCEoRolQsopYQgAgEmPxu + SqnVUeoiAMAWsxsIUJYsNqrWCkgIBzainq/i0wPDhWnRzr7eJZw8rm0LYjAjMjbtLpaNrFs9izaL + 3uy0TbTw1kutDX6MKAfAAWAIQ0FZSeq0jC0RB5Q2Wb02xlaH6dB9yCSZ6hFA4C7gVK+nU/yB970P + u7vatYgBIkzEY6qfidNkfxWwSYHe2mLbm3XqXkUAQSbNJI3h6JjGjrMyQAxGJAwZCsSA5d51e4vd + jOXeKmfYh1RFpKSQsBXkbRHRFm+sEYMUMDLF0h0FJdSs/KTCuWkt+Fu6j9mszdgPrADF9uuQIQGz + 3e//3d/9yk5Xdrra7z/OvmC49QVgKEFFMtYtz/Ljp25YD7/99h9GyQyxeG6wgCyw+ryZQRvlBk/F + nQq7tUMxxYSP6KZwDGElVmJL2oaEzWE/CUoy40zX3kvAySM4OkfOACoQYgSEYQa4lj8+HicW965A + PfC9DGJMSrVzV0e3hxRU94+jfa0hQDydn1sfejup4ziO87zBL3oXjUkTNpqGc3TVjuM8n1Hn6QKA + RZZu1GAmDjOdmeWY5pyXy6VNb7mnRGSq2RijBWM7juM4lxBmtm6HXddZ/Wx1L4C2bTcVLxHVWi+i + HrZFWSWvqqdPn57NZjq6YqmJiWutKSVVLaXYmJwztu7hrUOF4ziO4zxnUEKuW02ZFAFwIAAx8azt + AkRKDkAIGFuXFQKsQQgtug4pnDlxbB2iTksRQuELGGV+88KAZ93xBYdf+NgnkTooa7tTgKxQBQJA + qBkEzGazLiYogRlECohIIMSEKrDfefuP25RGn5Hnmy2I4ziO41whjEownm4OJgcCmhR4AMUZuH3v + Zz/9z+65+9e+9CU0CTs72JmVZl7mR9AkzOfoZpjPf+GuLy6UK6sIEkzM5DjOFcP5IkUd/0yCUNkM + jb8ttGDosVxhb4G9BRZ742u9QHUTTMdxHMdxHMdxHMdxHMdxHMdxnMuIABTw4IMPNinu+7hcyHNZ + MZp7AFMssSoREWio9ZN/8ifm9LEtGPtWYpUvHzHGWrSUEkJiqKpywNvf/va2iQeSrfXpKLptnwDK + Oa/X66ZpZm27d/rMkZ3d22+99Zd+4RdffuttR7q5lKFrWgsYuOxFmgp2zr4zF+9Rb58LRGdt9+IX + v/giv0ZHgxECbr/ttkCjRcf28XOF56M7juM4juM4zmFhFVIwUS5SQCHF0GEhj376nj+WdliKtjMq + BZbmKFJEBFIiQ0RCSLF0x9M1r3jJG+oSKpLLmklnsUHlMtTQJGWNTSNQZG1K8+03vuZEey1qEwKz + CkEAyH5CZAHKpg+QjCUELAyYUBWlFGaIKBL6uPz4nX9YQl9DriyVBWQmgltGlbYs82n8Fn0WnWcD + IiJaQBRSDIGIlYhi6FrdveGqmxptogaqIICIOAY55K88KSDiVa9KAkbRIrP8xa9+poZeqMgUag3o + aI46vgukBFRQBhgawJQxLOvp+x6+R1IdtGdmyefLU5+gx9mYnA2RovYkhEgAInS8c6Q7GWtsuRER + 65OuWp+X/lGWtGpGtQywTj/yA3HJA0OaELvUaU87cZd7xgozns/jDiqGYTDfrciTGbJ1SlQW4gsY + 0TrfMmb0CrAQKwnsWRBQq7Zty8xawZn0LN1w5Ja//Ja/1vXHm3yEpRl3LtlutgsH79f5dG5/UlKw + 2khRQkhtzgVA12GvX/RY0YnyG7/zrx8uXzmDh0vICGxxmOb3Oy1la9ivL5cFxnjaiZBsdmtKaVnP + 3vnAnz48fLWmARHLZQ5pazYFqBCK5S239eibXv59cpqOpB1SybXnFMGROK77vubcNjE0pKpHmqNd + 2XnZdS8/nl6gFWQdi8yXuxwMP9ZzXIsF297SgBJKUUn57gfvvPfRL0mqMUG0EBE0QoMSV4JMTut8 + pWYkH1zNCxfysFfSp4np9BSSyhAuwkVJTu+dGspaqQhEUbUqwIogtH/raM7wtl5SJSgCESOdnF17 + 1ey6JImqPOEF2nGcb5nZbHb27FlVtQhYu4k1eyUA6/U6RPr5n/+5H/zBtz5525SqmvsTM9stsQ2b + cxQAIgoheOCr4ziXnJyz1Tb2t5QCwH62Y6rQiGi1Wh09etSCrtu2NVs5W8LG1y6EYM6itij7yBzq + rFozAzr7llrrdrVWa93Uoo7jOI7jOI7jOM4zjtuFO47jXCRaC1iwt7g6Fy7DOqMLqdQCKkoqZG17 + psUBKQKIY9RKbYjz1QJ7jwM9OIJagKARAFQA2ajVDqf9GPNuCcqAgKZmZIvhZd2Kkg3Y25uXwyRV + EEhRGcXkbwohVGIFoXIAAqpiAEkMplGIIAE2TwGnyNuxzRPJZHlgGxesyVdkbAgXJDCpVNRxYygY + iMIAeErnNRGG0LTBCGdavneXvu9Dv42QoAFsracRIQIgyGjgyftNsGGz8WgrLpm2NintD+5/BFgS + 92Y5dkEdA7xZrMgyrZrtCrXNcFHN2CpFCUwhZ4kBSuEst4gtOBFHmPk4mICGm3Hrkn3led9I2w9n + 9ycgGteCwYRkKxhMdMIAkDazAI0yVEEFc8IwIO/9f9/z5lecWc+zRKTCtapEAqtCK6pYwndQKCwZ + XaJACRJlWXC0i6scISeAo9CC/SPFDqZpF2ztMN7eKYfdmOMGAk0uj4EQbTFc0DBKhzDDif4LV82v + ur8eD1CZ0pcxHnIHN+qBVj0lVACEgJoUKsuOcaKEo4sFcolaKkUF1oI2JIy+8Vsnw7hGvPmKA2rT + /fUVJQUQBEQgVIXq1uF6fu9E0gN2l8sm3Lub/vxHES4KOwAAIABJREFU/gBNC0TMFSiMOJ0hMpYK + jKntk+hAcPvB8oyKtTCt0fQh4wJHPQPT3twsYVLXRuzv3y3fXcdxHMd59iEizKwKEIhIqthl77IK + gpg552zXc3oeXERNr2B/XYXgOI7zTGFCWLNWgfWIY+77fjMBEW2yS5umAbCJPjUF25WGqorIxqfG + usZZdCsz21sAIrLR3m1GYv8eYFzIZvtsJnYcx7kITHprFZHVM6bN3a5/NsObMZuuDrWO4VSb+nkz + ZsPYDVgkxlhKsYWLSNM0OedNHWhsvlFEUkqYbsg3X2216PYlwCbzmtBxHMd5TjJ6RWzantWezdll + j2NoxjbWODaa25TW9Mb2JxCOxF/59Kf/5xtfplgWaJo8JxkIdEGP0yekMHoqCwpoG4QEjgGskEKg + wOaLut/1PXVjsUkYaDeN6QHYTtMjIJzbjuk4juM4ztOKXYPDlsgIB1U1dh8SE2b7qj1wUNp+W3It + KQLMRaVLTV2ulZHF9TmOc8WgMFmBmvRu0tCCIFOmddjyUxKgam5KwSNn/sEdr4/LBWppuqZIhtaH + 2+6ff/lr6KKd5JPY1nEcx3Ecx3Ecx3Ecx3Ecx3Ecx7mUMPNytVdrDYFq3TZ1YJp6lI5jN001WrXa + eOuEik997rNfuu/Pbr/l1tIPrGhSLENOHISg2F/olGqz1ZZkbhxbX6uXOQpbKxiBOagojQo3SSm8 + 4x0/9G9/49+N0+jkykF0ufOtSVkrAjEArQKgbVLNAwNdjAC0VlIWBbNFj5/bYnapN5ia5Y+OQXQH + 46UVAJiJFFqlTc1LbrzxnvvvH0tCtOnH9ERLJ4oqElODWlRqBN7wujtojEHS6evYM60dx3Ecx3Gc + 5x4EzCMNfW7jkVKkZx2a/mt7d33hoT/K83UNyEWZUVURUTFw4AgVyZpQ1zIv1775FT9c9toU51KH + pkGVCsQobYxpPawkiGiBoGGNGo7V699w0/d/5a6vczMQZxUtOt7Sx4gapC9oAACVgCntkSafzEBQ + aC0Dt9irS3R8/6l7/+zhu245Nktxt65ll1MQLhKqCAcQhDUKoFwAhvL0s8Kjy56zEFcAiqoVgZTt + 11xlkeb4/IUvvfqW1ekzVdeUOFMRHDpHlCMPtcYOWcEcqtRmVr/41c/KG1ZluQPuoBFcVQpVcECF + AMzaQKXGBcCqMygV5JKyHO0/++VPrOqimTeSpZZCoM3xeW5QKG13SzOpulRIiFCNIhJYIaHuhVtu + e3kQUpFAEcQZmSAqys87v3RhACoyPkkQgcDykqU0KYgUYl5jhQZFhAISAOl7BUcFQq+KlIoIKwtk + kzvLKjJ6CHtlcimpZJV/YRWRBMDipyOj5kxIUkEcutBhHW47/tof/Z6f+vUP/qvF7BGZrSgoVGNF + LUBg1mjZ2ESDEhQQQtAxjZghQvtnWBUhQiBIQSBwo6fr47PdnV99/7v/5l/46Ztnr6MzmhCZdF2G + pmlQLOm8AlASaCQNgF9fLinKrMypWSyXs9lMRQjMGUTU1xWfLB/8/Pv2uoe5rSUjMQRse0FKTm0o + pbSBtGJWd2676o0vPvKao8vjYaix1YqcEZhjReBIDB3qHoWcGGWVZ2n3ra/6wY9/7qO7J+fLYUlA + k2gYauQ4eptvKme7RQGsQhYSjL7iAgUBMWCoq9w8+tF7P3zra1+hfY0x5FyJOwUNrMQSVAAEscr5 + yjp4WAGI0mbYatFxYDMGV2xNSKRSIFBGCaUid4kfe/Tr63yWdpBZhcAiiSGVQVypABIUQVBJwBCG + PahnoC9DzEf/i9u+P5zdbcAqq6lvuOM4l55aq5kp1VoBlgqAmVhFE4fRFRb8nnf/0k/91E995KN/ + AsA8nQA0TWdR1iGEyQNKLOHVJrDhEMLG+87GbAxXc87bybJu6OQ4zlOh1mo2oWZeZ6agxqY+kYPu + NjbejOyY2YzpzHTUzOVsoNbaNM3Gg87sN23YvtTyrbdHMrPVhBtLvadpKziO4ziO4ziO4zhPyvOt + mdZxHOfSQJA2AP3qd97+Q7c8frZr0bZY9nme2lLKplsRCFAxazlOcZ17BuYcd/fO/Mlbv2+va3tu + tAAahYgVUZQgJRTrhHIReb1PkRP96qYpzeKpoIAwKo29Y6wReqsHjABVOIOLhdoKoGDaDoreMMXu + Bhxg6uSjQkIgghAoCBQMkmka2l+IjrMEHadhRWE+3RK6CEvXpoIpwzgA+/7aW40s5495wuHz12WL + YDvd2nIhCsiU6LvfrcwSpZUPrwrbhqAQigMncBzLc245D+QEnzP3uauwNc3WTuHz04j396YCCq2V + UpC8ZiiG5XWKY/2a0VQwIAq2ZntSE6gBGMPRoeM+JWBdNDRY94V3jpwyr8WuzWWR4oy2g57PK+q3 + 2FC2dVTsrzCAokMkQpgBQFP+xgd++57Xv7Zf9GnSxu3HqE/LObdgur08AUEJAZiXemxdsRgwr6Gh + tQqHWQYSoDK18u8v58A+usBRqtufEm169On++XJg/ml6svMFAmDgeLoN6CJCUGKisj3Hxnj/nG1N + Fxg68PZCEzzBAb99NG7Nfm7lcOGZHcdxHOdZyQU7gbOep8t3HMdxnGcJJjKjiY1iLITAzCY1q7XG + GIdh2NauXeFs9HAWxUpEJuElolIKMxPRarWazWbYCre2abZTZk14Zzo5ExmnlDaLdRzHeeqs1+uu + 6zB1IbDqaLsy6fu+aRqrfC6im4HV3jFGq9g3sdkAUkob7S8AVU0pWco1gFqrxV175eY4juM4dOE3 + vPX34ARjP/CNtCAiAjGsS21TG2upqCpSFMdnzanV0B1oIfwmKGEp/YITIiMEcCxDDg3xOY3FY2ds + CMAEOq+B+Lz18l5AjuM4jvNMs+1OfoHxAAHEYAbEQnABlukThQCqIUAEgVcqOefZJAv0FkvHubJQ + kEl2CZtTlM1RbFQ/jtHXDAkErFb/4HWvu+b02aZf7qa0eHxJQAiAKOrBpATHcRzHcRzHcRzHcRzH + cRzHcRznUlNK6fu+ylYmBhFUp0ae8ywgLtRyo8D/9W9+/ed+9r/f3d1Z7i36vm9TU4bMzOdaMDzz + ECuEdIpYAwCG/sUffscm1jpyKFJBuNyZ1k9YxGeueYy2/T2eoDHepmEFK44dO0YPPGAS/e2/TzCn + /WWpFSIMBOLvfNObRCRsdSXwTGvHcRzHcRznOYrkPqemXWXlGBd1pc36E3/2kSWdqtblRc8x4pNS + sLvbLJYD1XRVe/3tN94xw9G8rCEIIME8IZUBVMs7DJFUtday0qbbfc0t3/FbX/hPfT4DHjgAYKKg + VUqplRCjzbZvHLT/S2QrP1IIIAGkhP6PPv/hW/78K88+fvZ4c0L6XPohtkdJiVDH2Wk7apSe5GeF + 86yHBGrPDghAUJFJ+M0aonTXn7jhi49+NnKuUPNkVT3cr10iUiURBYGIlTDU5aKcObN+bDedoMK1 + AMpEgSyX3XxiJYAAqooKmQORuGYMZ/Njjy4erDqoZK0SAsuTxJqeW04BoAQlogp7WhIpBumuOnI1 + KbOKgsV8XEnGE/lbsmx9NjIGzdrw5M7LwH4maz1veiUcGKkMgA9uuis0xvXZjCVPgwQkBAGi7aLN + BYh1PJ5JOdQWwGtvfNP9t3/1o/f/3sOrL+egO7sYVtiZxSFbZJyMfUAAmOHtdL7LvhvzwRLAPi0g + 9Gn1WH3w33/o3/z4W6++dvelZVGihJ1mtlyvmtgqgfxh0WVGlUopsYlgyX0OIUVuK+XQ6efu/eSp + 8lCOyyCIESJgZqkUQhDkUmoI6Fc668DLeMcd39nVY5oBKEoODCIMtRLzFHNuVsoMgCW+oL3u1d92 + x0e+8f54DBTQ9xpDZOX6ZLHT40fbtoeSMZuHRVl+7sufPv3qR2mIs7ATKYqS2p2Jjn0aaKyOLvTQ + +5lnu0hy3jF/BRZ4RGHpkpSlhNBk6fuy3BvOmEO7THHd9n/aceftAoX5Uu/EIw0dffkNr2nLbunL + Tjtfy5W77o7zXMWMmIio6zoRUa2/8ivv+bGf+Bt33/VlTC5P5ua0u7u7t7d3gUVsmclvkq3N2Snn + bNZ2lqhNRH3ft23r1k+O43xTRCSEKXTionzqNss5Z3Zz17S/NsYGrMZrmsYqPasbzeEzhGCOnZvC + eLK14ziO4ziO4zjOFYI/Y3Icx7lYagXxTpYXzY5gfbrP6Lp23ecI2iT4YvKABknNfddy6SVKf1Pb + PviNM9ftNlU4UGCFEFtyNCCVRYiDxMvX8t+IzsuYt/1UEKCQVAI0mcSBIRECZaYMhTKUBlAPZIIE + MBAuXyy38wxjseIxSqlMCWWJXPozewO0hYAkCpRECEJIdEABw4qoGD3RFbstL1fSBtaU/soH34dj + LUTmTfs0iV6mr9mcaoECILnmxAmpATBoYA6Q8VQ+kFNOEJpERVMzPwOko2WkiUEngSB2c/m3b/kL + /+VHPozYtyePCqBQqRL4iutE6DiO4ziO4ziO4zhPhZRS0zS1VotBbdu273tLQg0h5JxNZKaqNtlG + zXaFY0muG5GuxVFvPrLxlmmdc2ZmZraUa1Ps2ZpaxKwp5GqtzJxS2gTTOo7jHIqu66wmEZFaa9M0 + myBqi7Ju21ZVrR+CVUSHWn4ppeu69XpNRJtA643YF1OVaFrhvu9V1UTAm4rdOzY4juM4zsWgYBoH + NoQU+/ViQA3Azmy2t1qVnI8nXudDdBsWAE3z3ru+ACngOURjkypK1RoonjOh4ziO4zjPWfatw8dM + 3P1sXBUwdq56Qf/QmVagQJOamodnsLCO4xxgY3M/GlUCkzQxAoWg4NHtEhwgWK+h1OZc++WR2Xy1 + WswiK8n2Y0LPtHYcx3Ecx3Ecx3Ecx3Ecx3Ecx7l8iIgZMe9zUVnOv/7rv/7f/e3/RkqNoN3d3TOn + Th/dPTL0/fY0dIFIJwWgRHgas5zlQp4gb37zm3d356f2ltgEMxM9U7HWzwrMleXkyZP21mIJ7O+T + zKUilKLkQtAmNrfefNO1115bhrw9jUffOY7jOI7jOM9JlCChchDWJJDaDt9Yfe2z93y6cmFFEPvF + NIb8kTIrNEgpgsytzF95y6tO7JzQPSWyH1bbnoIGaxHW0DVdn/tS8guvvva261/x8fsfGZpeSIIK + M2uIFVUFTaRaD/GTp3L97J/96WPLh47ytUFARJU1RmUo1wgSJVEWUgWIVNzJ8zmO2rE6Gdcqw7Jn + AQBE9G3Xv5TuZo6hoqiC+dA/sZUIqqogAjNUtdbaD6uHHn7wuqtuEhJRZQqqAIQo0Phb3uJ1acwv + ValQJXn01MOPn34UM1VVVTCzHD4s2VKv7McvIwSE6665Hk/wnMFxnjOQ8i6O/qXv/ZGH/u+vlFOL + M/SNYRBh9GIGtoOO1UEDBeuwnWT5VBCSdV1/8cE73/fxf/eX3/xjzfLEUT6ZJHZahLIQFAEaw7jM + qgTvW3ppIaJcSmojoYgUig2Usw5De+bjd314sTrLMxLRkLhWCaSA1CohRNVSBIER+tm1uy/+9ptf + GfpQoTEyKkcKwkG1kj0WVggJNAIQMMBtmL/+FW/64/t/n2vt47ICAbUevutAaND3fUrtqbMPfepL + n/iul7xll4/nhSJmEHh8Is0KIfIj5zIgRMwglSKJYgANlB98/OtCwgre2p9KAoCVWUWBylBAARYG + BBH9Gjt9eO1L7zgxO1EfR9e2Q854lvh9Oc5zCfOaU9VTp04dP36ciI4fP/7e9773J971N7/6tW/Y + NF3XiMje3t6FfaIUAFJKFmK9SXs1Q7/NVHt7ezs7O23bblvkOY7jnI+IiEiMMeccYxSR+XxuFnaH + XY4N2O96+41PRGZDKiLWzC0iFmVttdYwDES0s7Ozt7enqvaltVZVHYYhhGDunZ5p7TiO4ziO4ziO + c4XgP88cx3EuChWIYLFshjqcXZSMLiLnXHXUBo1dfewvCYCUAIgAmjUs1tfPwom94UU5X71YXLVc + XL04e/VicWK5PrEaXrAoV+8NV+8tr14sLtPr2GrZHDLWAmPLJQgACSlYwRDSLUneOHC+Ss95rqGM + 1bpnDsiKvdW/evvbGmC2ewyorBpUwxMoGUgRpnZxIQwraYBSZICgEcwJKeU+X+5bFHqCN6X2AFJM + qAoVtLNHm/RoCEOgTfnPx/KtLeJ6MxkpAIYpMAgAZqXccLbHXo92lrUyEECB2LvhOY7jOI7jOI7j + OM9STBBWa53P5wD6vgdARJa3qqppfCImz6JMawAhBCttKaXv+5TSRkjXti2A1WoFYBPpOgzDbDZT + VZPEWaR327amtzPNnA1bMO0ztV6O4zx7sUxrAMzcNI3VJJsxmzhqYOxRfNjlhxDW6/Vm9qZpMPmI + 2VeXUkop1s/YNMQbEfBGmnxYjbLjOI7jPN/RC75lgSr4SOoYWKxWAVgWPVSmNQAl5EhQQRuVFYmW + Q1Yg0NbvMuusDCGIN/A7juM4znMb0tFSbHqnCBFS5tdcxbGJATHElWdaO86VigIVGB/5CaDbd++s + AFRR6b9+5avk1OmjMZ1dLXaaNhepGUE2HlIQ9x5zHMdxHMdxHMdxHMdxHMdxHMe5PDAQOTQhWsOM + 9R8xDmsk8fAjj//qr/2Ltm2L1LOLvSPHju4tF7FtLmFpLxO27vP5/NWvfnUgigSLtnLv6afCfD43 + 9b793fQUuPDUY8TYeHANZXjnO9+5Wq3O77f1tGWcO47jOI7jOM7TCUfKkkMIWXrdrZ+695OPrB/W + BiQcKrbdC00+R4S+L53Oj4UTr7v9jXmvRGJiBXijrwNESdiSsBG0KChxiMrUL/rvedWbZ8ORRB0I + WdCXIlAOgRRS9FA33splL5z64zs/vDNPeb1A4NjGXNdCQkokQQhCChKCjtHCHh75HMc6dY2WtdMB + LEQgoeuvubFBRwrQReRHA9PPTCIQIRAzM4Mq6v0P3p8xKMQSp7YfZdh8PJaNARGqQ+lDCvd/7b6s + qxijTaF66P7squNjE1JAVBUNtSePXeXW6M5zniCB12G2nv+V7/uxG7ubj+AEZVCkQYuQYHP+K0PZ + bJ8PhRK0xdD1H/vy73/gU/8RR3LGeuhzQy0rlETBSizjlxSQ+0JcWjiExApokTo0MQXmWnMJ+b4z + d9/z6J2KgTUQjdUypCpLriXGyCH2BTuzXVrM3nj7d++Eo6UAKQgxK0NYSg2RWHmqqRkIgqB2rzDw + S6+79cYTN/O6qQNiiyKHv2AomLlUxKDcyYc/95/LTj+UPhABAlRWBLGLAiuJUvX7k0sOMxcV5khC + AKQp9z14j3DB5G493XOKGcIDXBmVUO2arczKlZFiK3vNd77i+3SpqBpjqv6U2HGeCWqtOWdVPXbs + 2NmzZy3l+pZbbnnPe94zm7UhEIC+74dhYOYn8YkyiyciUtWu61R1vBtXXa1Wqrq7u2uzq5vbO47z + pFgFYiHTqmrOmRftF2cN06pqadlWEW1s8dq2tShrm9ieA4QQ9vb2iGg2m9mYlFIppWmaEEJKyc05 + HcdxHMdxHMdxrhy87dZxHOeiqMC6YtDdlBQ6n0cIGqGZtSWfhwKl4kyPNOcCgFFXlQDJNRCYEAjM + oABiBEICAl3e15TD+5ReDImCJJKkRs1saiOazK0VJCBpITNFgiZo9EvMcxgFBOhmLQQYMqScXPe0 + Xta9M3bAmP9hUGzCrelglychZEIhMBAJLceBCTtxrQOYm2Z26P55h4EABgfwmESt47FMkBSSrntU + QAixQZu++7f+4+M3XLVMDNPrbOnuLNzdxICbs+UgYd8BnjAv9cb1Go+eghShcHbKiQHRZV1fx3Ec + x3Ecx3Ecx7lMEFHXdQCWy6UlqrZtW2sdhqHve0tFzTlvUqKfRdRaTYRnOdYm/621Wse82WwGwJR5 + AJqm2eRem0ovpWSa4GEYbDv0fW9jnnWbwnGcKwGrOohoWwrMzNZV+MiRI5uRKaXzOg9/c0zUm1Ky + Sm9Td1nlZgtU1eVyCSDGaLXiYrGwtzalDTiO4ziOcyjovDzpZj5bI+/ldeKgQNM0R9p42Kb3yjgz + DGgbhFApFCA1SYAyZeFBz/li70PuOI7jOM81dHqNqiQFdBR9BUT0A3Znf+e3/h/lQIK+lq5pzhM+ + OY7zzEEM8DmqwtGxTCf1ozIUYh+cXe48fvZFO7utYE48DEMDbjkSQMpQ1lEpabf+fv/vOI7jOI7j + OI7jOI7jOI7jOI5ziSGi+XxO0/B2Q8+hnCQC0T99zz/7zGc+k1IS0JBLO5ut12uMlh3/P3v3GiTb + dd2H/b/W2vuc7p65DzyJBymCFEgAAh+QQIIkSIiyZevBhJIqsRLLshU5kZIPiZWKlLjkSLYTx5VK + RSUVo4pLJUePKqXs2BUnseRKXJSlUAolkiBFiRQkgAQIAnwDBIh77zy6zzl777XyYXef6bkPEDO8 + 92LuvetXU3175vacPj19+vTps9defybjMcRif5pFvSs7qyfGZVZKec/3fC+tBWLpIWJUrkb7nylg + f/eSg4YNsIiWAmgbIgPf//3fX/uPn3tf596vc84555xzVzojKqWoJTT6/PDMx578UJnmhAFg0cAG + QGFgBZkCmhRtiG3eeN3N33Lbxqtm2BQKZXn8vEy2Nlo2TmSjlhuY9F1OhWKMSLjr5je89uTrQ2mZ + WQQkKJYBJiI9YPpP4YJj+aOPfXC3/1oTKJUMkqyD6gAwWY2KrCvjmdbXBmMYL59uY7blTG0llGwn + pjccm96wF3BrB2/uSkqM+hldVc0KsTHjK89/UTmBjZmXPUJXeanLFxG0bpN1Oyxs3NLnvvJZaQxC + ZiZC+eDJeatIVyUygFAwiZsbzeZ4g9W9H/bxOndUkaGxhrfDa9q7f/Chv7nR3zjjk8ZWAjJDCWwQ + hSATMnDg7V9Je+qHZrElX/3go//6k5/7sG0OQ+lq510yVoKS2qpfLpn5W8xFphZjNEs5D62ImSmr + TfNHP/uHp/AMgooxs6haIKgCUBZk1WLEhNzzje2rvu2bH7RdkJJJ6EsO3AaTnHMgJlttFcs3Di4E + A0uJx/n6t931EO00oiICEUDsoFMGhkHblosOnW5/Zf6Fx5/71EI7EWGUZU/yvdOMxWPRLz5jgix7 + tiQwUYrdZ7/6mcIFABlEgToAQAooA2QohCwoDOX67HCXEcPszhvvfeXsNTNsBI6Lbght42eInbv8 + +r6fzWZm1vf9yZMna/Lr9vb22972wK/86j8uaiCEwABEaO8D4AWISE22ZuaxE9R0Oq1NqGq7p6Zp + anc755w7LxHp+z6EUEqp+5AY4yGWU3+rlFIb4tUE6/pf5+23OeZbj7usxWJRm+YNw8DM9Rex1rfT + Oeecc84559zLzodpnXPuUIqhz//0+37A+l6izOcZjGTFyvK0115HSAB1MJ95yoBxBhYFA9DMWgLU + Vl8KLbT8Utr7+aX5wtqo9Ne9HDFU9o9xrKbKsBGDoGNDTHf1IgClEGAECHD6zK3z7tbjJw025p3X + yGc2wPai3uvWYqvrAKIQkWQt8yEBRu0MxDA7u337JXwkDF6uDANQpXYKAAHLwPmTJ56eLxJzYYxf + 4/SwWlrBq3zr0eqR8vqxVqN5Y+vMh3/g+5AHgNvJRAHN2V8wzjnnnHPOOeeuUKWUruvGkjJVrVVr + Y6GYqsYYazFZzUy9gtQS3rraqkpEIlKTXEMItSyPiOp1EWnblplr0R5WtXdN09Rva+1d3/cH7TXj + nHMAaiVuzrnW6dbdbCmlXllv9WVmh9vP1PkJfd8DEJFa7Fv3bymluszZbNb3fb1TM9vY2FDV9bph + 55xzzh3AecdDiZ/f2momMwCq2rLMh6HrD/xGa6BmcwOlgLmr3SvNGAiQ+t/OOeecuwZwGZsZ1Hq+ + VasxgDGZgoHAhdmMAoduGF7O1ubOuXX7KhEhgIxliATwqvW8Lf8XRWF0Ykhp+zRrMtMEYwqmxiDe + f/zvU0ecc84555xzzjnnnHPOOecuBVU9fvy4ArzqQQE68AisAtmsK/lv/ec/kVXb6SSbGmBEdrTH + eepDJkNgfte73mVAUWNiIoJPonkJdnZ21r+tEwReJBFc68wmIOX0rnc8+NrX3kFEniDunHPOOeeu + ETVPt5S+2bBHv/DJL535fGlzGgPASLGqwqvhnSRga6Vv33r3g83QboTNft4xM6w2E2QASqpkZEzG + eShNjCBRGBumvCHz5h33fjv1jXZEDA6UTbNpYJEDdkssnPuw++z8C489/cnJcSmqxYwFxKsVrg+i + 9m+EYdkx1F2taptKrom2ZKGeAsAy2pyiTW89eTuUACUS7G9r+VKYGRGIAINahqqZKfRr289lHoy0 + xlDVaezFlNc7zxrDmKBGOTTUa//M81+yUIomret+8NpzomXjjuX3StdvXMfWAOyV7O6qJ8Qtps3u + 7JuP3fvvffuPxK1jMzk+ZBihEMiYDITMyLoKn37pjLRH1mkZJJ8avvL+h//Pzzz/iXA9BskKYY01 + M74G4pIBIPLk+Isq5xxYiIiskMJysracLs//8VMf7eKuclJVBplBBPWkaWgkaymlTJvJcKq88VVv + vWXyqlBiw6JmfS4ikSEElJLqCeKx+/e422xoYjt8/50PXBduFo3DAA5sduD+TsyrJkuc+un8g498 + IB5DwgBSWR6WoO73lQ6YmO2+PmZjVVUYiCgroM9uf+X57nnlDEAMhNWzsHwVK7Bs7m17cdcIkLTN + D73pL0zTRtBIBiIp/oQ593LY3Nzc3d0VEVWtAa4ppeuOH+uHxf33f9s//Id/PwSkXEQopUQXHlKs + LZ5q36f1EOuc8zAMMcba2q6UYmaHS6h1zl0jVLVmTjMzEXVdl1I6xH4jpVS7jNbedzXBuvbhBDAM + g4jU65PJpJRS93L15ENtZNc0TQhBVVNKzGxmdcV8P+acc84555xzR4ePITnn3KGoYre7rqhYARMD + UDQShcN4kzrcS8a8zPcVVtpd5OlkUtqQBN2POcjeAAAgAElEQVS812UEMNcE3WCBLYAapZqpi0v3 + VWvXXuJlIWTiTKy01r5ytSwlGKmSQlKGKh1igpW7ohiEBIZODCjv/573vOLUVtnehsjeFkLLuWe1 + LMZW9Z1jlUxQBEWfrRQVaWM7AUeFJYPx5diADGzEhTEAabnKCmYQErQEbA8DeAJMQ3uyEJda5sPI + +5Ot2RAVUSG6ivEmFEZiKBhY7gHIIFaui4bFaVBRaIYVoJ5Sd84555xzzjnnrkQ15rlWiY0pqqUU + VRUREak/JKKU0pX1EZiZxzl4XdfVb5umIaK2bc2sPnARKaWMf4daFVcL7OqjVlVVrX8HVW3b9uV+ + ZM65K1iNrG6apuu62WwWY6wlvGP/qbZtc851BsJBjWnZRFSLj2OMqtp1HYCUUr1s21ZV672klEop + tSy4aZo61cE555xzh7QafN+48brtnNrpxi5MYZEoCJqDD5/u9gPaFuDIwkAgMihM92Vak09Hds45 + 565ytn5t9U1RAzEIiJJMC6xoaQ51PsE5d4ksW2USgFp5iPoSHQiFaqdKQAGDGJDyT951141E14WQ + YQnYmM2SJUU57ycJL+91zjnnnHPOOeecc84555y76Bi4/uR1tY2XfQNBzkEYwCf//NG/99/8t2e2 + t/s09Jq5iVj2x8B5Q57IVlnawL7h4ZfDa159x22vuKWOd5kZ8bXb3Ozc56s+U+s/r1dOnz69Hlrw + EjYhbZoAgEA//uM/DrWU0lnPe72v/duGc84555xzVwOBMLOFvFNOf/zxD/fNbsJQw6lBWkiNlI0J + UFZjCFNZ2GtuvOvu2+6NacI9WypCYCMyxjLU0+oUGzZYLkSESNLEklQSySLee8ebbzn2atE2JRib + GVA0EPMBP/IY6UIXNkt/9MSHTuXnTYwtCgVmLqylBlUaj9HCHvR71bN9RZ00dghXGJEgNbfd9GqB + mFlNpjzMXax9KiQywED5hd3nFrqTdBACqZFajZKqvXNBqqRstKpkLSr6/JnntvtT2TpFIYLCDpFs + zczjZDYyiPJNJ28JpVm9EkFrizxorK9zR5xaRrE2T9rdY992+7u/7+0/xLvtTKj27zUEsiAGEJQ1 + 88HeAoxADZKVpkHi9OXuU//qo//kqe6JrbhbEGCRTRkJlIzAFmTtdecuCrNlMxCRCCViDGH+J0/9 + 0VcXXxmaVDgDWnfmFTHMtHAxgqTmpsmt33rn25t+o0VLBigxBTMrJTXCdHaABMNYSZUQ0XAfbmpu + u//Ot3JuUGBl7D5yADFKn2EEbmiQ+WNf/LNnd7/UY3fvsISUleuKmCdbX2xEVIqRiFoGDKxPfOmx + ISzqmzEvn4XVVkAgKEgVUFq+dSqrAo1u3Lpxxz2vvG9SNlKXGMqh6VPxCBLnLr++7yeTyWKxqMnW + s9msbdsadN1E+eG/9lf/4x/7MSFoqcfcRvuOrHn8UtX6qXNzc7P+X9u2RBRCqO2e6vJrn6iX44E6 + 564kRFRKGUeiRSTnfNCFMHPOuYZkq2rtt5lzrm05a9x1bcXZdV3tg2dmdU9V29MNw5BzzjnXEOva + s7Qewnr/Ouecc84555w7IvycsnPOHYop2GzrdCiFSp40rIpSctGy3vp5fX5HLolJNkM7X/RFKbQt + gQIHAxdWkC5bzVHtNnfUMCAA7Ss+WJ+7svy5EopAX9ZZTu6yUMAQQZjv3lTKDTm3OP+me9aUqrL6 + lg2iaIkkxK4kA1AgYCZ8A9P0Xqpzh9p4ufKshjpi104bmCI2p9t24GUln55TRVHncbGdXQlXH6yC + 623qpaX5TAqsNFCpNxfx14tzzjnnnHPOuStXKSXnPJ/Pa93YeDmfz3PONRlaRGKMhyhfe7mUUohI + VUspm5ub0+m0niuoOdb1v2rs63j7cVZHKaX+nJmbpmnbNsY4lvHlnL0C2Dl3CHU3UnOsiejEiROL + xQLAuEup+9u+7w9XLgxgDMMmopRS3/fDMJhZLR2uu7K6M2fmEELf9zHGcfc+7vOdc84591IRQLq/ + gSiD8Q8/9EHemJxZ7ArAHAAMBb0deEQxhIBS6v3UMUvKENqbt7xaB7Pl2K9zzjnnrjbrVYzrAhEI + mLaAUWwaCQBSzt7K2rkjoh6ir47mV98bGFAgjzeqxcYpYygnSwlDJ1YAMGPeLQJCRFxfLO1v9uec + c84555xzzjnnnHPOOecuIiKqDeVp7Sc4+PyRXNQAEfnlX/2Vf/rP/rd2Y5ZKybWr/ZVAczl+/Pid + d97JgJoCCOxF5l+HEbZ3d+r1Ol8AazMFziuwLOZzAd3xTa/63u/5rmEYoki4hhPEnXPOOefcNcWM + U8kauud2v/SZLz6GJg85McNIC6kylAGrcY8wgDJFnd1/99s2cCIMjQ04vrlZJ8audUdUIwVAxtN2 + UoahSz0xBxMa5FhzfGYbr7/1nuPhelMQIxAxyMwOEbeTCTYpT3z1z59+4QkTMIc8FDMrZIUVYFEh + EzK+Uj4JuouF9xrXKqBCQVJ8xYlb2YIZEQkRHajcu74ElJbNcZlZRJhJSbeHrV3dSWVYtQdZfRrd + t/wxYV2z9c+deXbAPGEgqhnYB65JNQIR2f6pdNdNbxSNtSjWN3l3dVOjEIKpxhQm8+Pffvd33/+q + B9vuuOjylI6NwfYEo8O0pC4Jqtg4JkPcfvyFT/7Ww//77my7j0NhgIxNa+NcUvEX3MVFhshSiqkC + HAAg2haf+tgTHy5tUYGKkYDUBFBFAYSQBguRm9DaLn/zzXffccM3S2qgVDQzURujoqQyxEYCjEzJ + tEZZryhDodbSVLrm/nveEXO7ESamOMQZ2WEoTUN9RiZLnDra+ejjf6jTBFJSQZ2gREpGBvY8i4uu + drIKIaRSKGiR/qlnP4OZKmUAMKazcuhJybS+jut7txEYCLuTB+5614YeC9YIKISQh2HSNJf9ATnn + EEIYhmE2m9VudYvFouu6tm0BpJRU9b/8r37yPe/5bmZ83eHEGlm9s7NTG0B1XTeOJdVk2bEJnl2G + hv7OuStW3W/U5OkxQ/rQ+w1mHoYhhFCb0QEQkXGPVKOvsb/lZv3fMeg6hFB73IUQaiQ2EXn/Ouec + c84555w7InwYwDnnDkehaYOsLZlyAUsClCkLrY3yQgm6qtEhULKC2AaKNpS8KIFFVZNoYYAAVuUC + KoIkSHSJw63JwMpk/FIuRREKQmGGkq0ldxtUEbhRgLOgcANgyDBPtr7apQGmIQ9QnWq2ktoQSrG9 + krjzVZvpKtm6jKWcZrkUEjYzgBsw4xCz8w6DDKQshgiVtZcbG4tCCgwosxbXT37w9/91HyUSI8My + QuBUYLzvAdZk6xpuDUAUZMsHOzJGkSJcsFiEkgSZAJTiVT3OOeecc4c2ZogCuILSUp1z7iojIrUU + bL1nSnNOUfsYmHqkqOr4DpJSqlfGwrha94a1mt1SSp1fWqOsz51rWmfuYfUmZXWOoAhWhXT1ZsvJ + rmZ1UZf0MV47xqdp/QjhmjI+cK8yv1KMe4y6Tzhrb9B1HQBVVdWxNVXdn4x7mPFJH3/36+5SiGg9 + wfqslanTIWpk9Vk3qNfH362TJcafHM2dvHPOOXfEjQdtRqtWOAQ0sqPJhAGoqpkxwAcfT2RjUIAR + AwEgQwgBBtjZR8ueae2cc85d7c5+9yeCGjIYIRS1XJQJfHkKtpxzL82+Q3RTQFFW/YYAmIFUOUN7 + dOk/vevezawNkIsxlvWZBVqgy+WQAqv2UQaYzx9xzjnnnHPOOeecc84555y7yKzo6+98XR12rXNS + bFUEfs5Nz1+wtfwxA8BQigJ/+2f/7m/8r/+EQwTzkLMRmkk75CQxFNsrMj97OYTLkHxW7+Xc+yKi + nPPb3/72s6bPXGsu9CyYmYjknEXECBwklQzgmWeeGVt414lI46yQWthfEVGMkQAyE0AIP/t3/msy + BOamaa7NP7W7FMbe8aPx23rl5V5B55y7oJzz+szKc6c519COy7tSzrmLzdgKmiakpvv/Pv478RgW + /W5gYRYVJAJFFIMZRY7KUAIN4abJLW+481uHLWt51nLsF52I7FXVEdYaa6LkgQXcIJWBTCbUpj5H + bb/9vr9AW7zRtGUAzAJLlxIOPrO2EfTanQ4vfOTJD5WQiagNjaoqZ2VjY7LAFthk32q5qxqfc6JA + CVrQ6PQVJ26jzFAbQ6EOZnX8zracSE5qRsYz/eyXnggTBhA41pj28WDfSI2g698G/eJznwcXblBg + AFRxiH4GKZUQQtECUk3Whvbk9DrOYWyNvv9P4e/a7uphYAWp0LxsxylLmmwON/27b/sP7r3urW03 + 4wKVkillIwpcDOWAp/jIgISNJnJB3xfMsC1nHj398d/6o38+n+52vDCzFg2G3IJDCDn7O8xFFoIQ + UVEycGjiTjrz+TOfefrUZzJpMShMSevsHtO96cJmxiaTtPnAPe+MOqXMbCowUoLRYIlbzsMANUbG + cgn7njtNeSIterrt5Kted9vdIbfDHHKI+cgMVZMAlphNtUkfe+IPd8MLGUaIgxYOBDU2pKQs0XfR + Fx0z92lQytaWDtuPPfVnmYbCutfYe/80EDWIMArECAUcwJBXNLc9cMc7JrTBEGb0/VxEzplT7py7 + HGp6a+1QV8+uxxhLKWyKkgOBtPz8L/zcOx58ixlilPF8VT1zRURMewNt9XzXeKVe1v5RzFzHlS40 + buicc1UdxyeiUso43neIGOn1BpvrTfNKKXXhdY80LtnMJpPJeN4+5zz2x4sx1hZ2nmbtnHPOOeec + c0eNjwE459yhmCGnaUkn2iYAQ5eaSBAuqoZVFY7x3rAfQQQRtrvYCqwnNzYiOKueVY1TGDXietl+ + ji7VJYCx9/VLvBSoQGkc0jQAUIBByCC0RAFFoQV8gXlU7moyaTDvkAzFrF80DMt9G/aynAvvL4VZ + 1UaeWx9Tk7AJy5l2NAZjX1Ln3MUqSJ7XU7UzgNggxkVSy9ZyaANDKQQYYbB908nW17xGXANqpGNZ + nhIgaMmgivkQdXUcph5e5Zxzzjl3SMxc00Zr2l+tV/BEPeeccy9dza4upaSUYozb29sAxqYbNd4V + h31zEZFaYzeZTOrSUkqq2vd9CKEWCtc6PzPzcOtDGwsWiWgYhrHw+hpU/xrDMNDqBJfnWx9ZtSQ3 + 51ynEzBzLcYtpdS9wWKxmEwm9XqtA55MJlglWx96j9G2bd3zYK0xBzPPZrO6zJTS7u5u0zSqOgzD + RXiozjnnnLuAeqC2Pom8HsiCKQkK741n6jkDrC8F2XL4Vdau779FHfr3CYrOOefctWH/e/7yIGTZ + bqwej/h5JOeOqr22VShaVn37VJFBBTvzGxfdpOT6cyWsdxJcNcBaLsaP/p1zzjnnnHPOOeecc845 + 5y4REXn9619fh2OKFgAhRtMD50WowghB2ABm+omf+sl//Gu/mg3txoYRvXD6tIgUUyKKbXOk5guQ + gYE6l+Huu++uJetCbGbwNvorRFQDg+tUgpzzdDr93Be+kFJaDycbZ4iEEOr82drR28zqt2YagPve + 8Mb3/tvv6ReLaTtZ7O7W2zjnnHPXrGEYapZPnSWXc57P5zW/01bm83mNzThSx1HOuUPInE91X33s + i3+6m05PN1szSn0xggqygRlmlrMSQYCZnXjza9+6ySemskmKmvgFNSUooKsPLLSX+KeA1q6JbEzG + bBDlE3zDfd/8gPSBFREMze1EVA9cmZcHhDYsmt1HvvCJHd3a7rYAMElhVcowYhVSBvis8EJ3davb + EdveBkVGwZqZHAsciIQMOLtz7aFpQr+bdxT1PZHO6kx+dmgqoKKnd1/InBXLd1Vaa0h7AET1QkBM + QObN9niwFsZGy8BONiWokm//7urDRqSxJO5JjbfpFvumH3jLX7093DEtm1DiVoy4H5QIjRysJS8Z + pIAGM6VCsAZliufS5//k83/wB5/+bbm+DNqp6onZsdKnRb/gVg4Um+2+rlJKzkOIrRF2+i0+Zh96 + 7Pe7sGMAG+uqDzMBAANMikmDMhincMcNr3vtTXfLIEIMUpDW/sxGaqtjAgDLVOxli3BlLDeSGIKo + tGX61rvfWc7YDZubmg649nXdCKaiCjMUzi+Ur/7J5z6usSRkCLrUmWlgiaHNyT9PXWQFVkpi5tiG + rfzCY198ZKBFwrBqI6+AKqG+OTIQAnIGirZNO3Q2i9ESaM7vuOuhm8MtNIjlwgJphEzhn3+dO0py + zpubm2bW972I/NIv/dIb33h33xda7oqpJsWKyOrk1fmPimvPqDqWVNtDeZMo59zXVdOj62XdyVzE + vpchBDOLMdYl19aatXVn13X1BqqaUqqt9i7W/TrnnHPOOeecuxR8pNY55w5FFWmYLrrd06fCbMpA + n0xAMfD5y8uoFgdhIwLIZ3a3DaltZwCLihSGLSt4FFDjmoqrl+zSlvt/PciXAcXGrGJjGGDMiIpQ + 0FCcQAEyCyhsRn5m8KplhF4VbYOd4Te+4y9zSgOAgD6jbsmZkXnZ/PSsyrRaIcH7xrVNFMvEdAJs + tbldagQwjDit3ZuBCnEJKAICGgBJkJqNZkOkGUpOSUXBQJcRppL47ABvw7IqjgBCJuSa824EGLTA + Fotfeee70Wd0RfsMEYh4w0jnnHPOucNR1Rhj3/fMPGbvrU/gd845515crccVkfqGcuzYsdogptbs + jvmyh3tzqZnWY2S1iDRNw8xt29aZ8DVUu95dLfVzhzBWRppZ0zS1uc81+PcUkdrVqGn2ulN5XPqR + FULouq6W4ZZSmJmZzWycVzCdTlNKdUuuu4i+77HaL9V9VI3EPpC6EBERkWEYxiXUJh11Nv7YzWrM + vXbOOefcJaJ1Avpesw8GAYLM601Ilrc8EDLwKsp6L9N6HBMlgOp3vPqB148555xzVyEGL9/l6cXy + bBUg2DkFXc65l9Pe65V0+bEBgGKDBKmATfMgpBgWP3Pfm29YpKaonlN3Wb81UpAR9n8ocM4555xz + zjnnnHPOOeeccxeVmd17zz0AhJaxS+cW81942HZtOYAahqKxaZOaSPjZv/f3/4ef+x+HnLqc2+lk + MpsNOaeSiajYeSvLzg2fuvj21aOtDUKpKlTve9Obsf5gL1rs1lWiTjWqz1Of0pNPPpmLjtNA6v/W + b8eO3vXKdDpdxl0DBPzUf/GTkxBvuOGGxWLOzJrLuc++ETyjyDnn3DWiaZr1/J463VJV1+eftm1b + YzOI/A3SuSsWKbc80OKRpz/+/PyLGhJISylBYj2mLgUiy+NqAUKJJ+nG+17zFilTQGrafZ3SW5dX + Wx6uZ1obqZIqGQBSqfN+yGgTxx6460HpZxObiLKZqZZDzPdpAg1p0Bme6b78iSce1qaTJqgqaK91 + pxEpWAneL/qqp2S66uxKZlhuA7VVLIcSNsLGJM6IaueEg3/eX00uW//wbqQD9ae7U1oTUpfJ1rjA + eQtWQuH03OkvZ0pGIAbUDj7HHVgF7xGBiIhgWa/buFFKBNg/vbqrW52vYWYdaYchTCwazXY23nDy + LT/07v9oOr9BNHZdRpDpdGIDcMBYYjaemARlhSVgKKCIHMuz3eO/+8j/8ehzfzRMcmLklKKISbFQ + LsMpxGsIqVquBxgFJU/yM/MvfvLpj+e2JyMpDdtqak9lnDME0qrIPNx/59tumt5ChespQTIwFLBC + qO8RZFjOEyYbTwDysodzTmWAEpfwpld/283tK6NOUA48P8gMzKwKKAnYWLfsaw9/+g/6ZhetmSgA + CaRQIvEUwotNAeUggSXTkCbzTzz5sQ4LsK3SrLVmnAPL9/ScESNSQk5pYzLtdkurzfXxFQ/c+eAx + uo5VlNSI6odi//jr3JHStu0LL7xQu6dGpjbIr/7a//LqV99WT1/V3nQAzMxgL9L3KaXlsUIIofaJ + GrtFOefceakqEalq7djcNE2MscZOXxQ55xjjMAxEtLm5CcDMxnDrGGM9UV/v8RB985xzzjnnnHPO + XU4X7eOic85dWxTv/+733FvKDcc3+60dAgKDDJqV9wJTaNWDemmeMYs4A2y94uQZ4tJZ3NggIiOA + VEkzMQA25uVA8iVE55+h9HV+x2gAQNoasZIC4CxEoVD4TJA3tlMoJQaR+XnBq5sIY2cXi93r1QKh + mZAO1gpUl5HnxmoErQ3XVzUNDKhhrws7AbZ8nVzmvqi1do1Wa7XCBVAsz2rz8gYMkt1ShlJaaqgJ + 835OwGzWzLtBBFAQQWy1WIMSxJhtNQPP2EhhAIENx7i5vZmg69BMY2xAUPO6Ueecc865Q6qVuLWU + aj6fz2azazDD0jnn3DeiFu/mnEWkbdsaNV3r8usNRGQshjtEuHX99TrRvS6TiLquqyV99TY557rw + i1jhd00JIfR9H2Os8xhjjF3XXYNxzqWUrusmk0n9VlVTSl5xfmSVUuqTVSOra6VvLfwNIdRZ8XWr + bppmzLqOMdas61LKWY02XiIiqvcyNr0CkHOuL5lSipnVyO3JZOL7Jeecc+5S43OuAAziOtuc6gTj + s2/wDSNgX5gde48b55xz7mpVi7JAABTGZ/UZYwAGIwOW1VzeR9y5I4L2jtEVWBYejj9oWMwyR4Jl + zOfXD8OszAW2HhtQqxkZKICSrjWv398PyznnnHPOOeecc84555xzzl0MZCCzm2+++fjmsZ1ukXNm + Zi0KpkMMxG5ubu7s7HRDP5tM590iSvi5973v8089/T+97xckcCrZCKFpFn3XhGgHzlC7JMhgBDKQ + mjHdfvvt06bdHXo19cHodaoqImNVv4jsLuaPfuqx9dusl/rX+QU555pPMAyDmQWQwd711rf/W9/7 + 3ZrLztZWFJm2k62trabx+n/nnHPXtKZpUkp16m6dr1fnC4/zT82szj+NMXpXCueuUIXMuD9TTn38 + 8Y/wMVUZyqAikTlkS8woGRSImc1MM4VFc9ct33L78ddYx6ZUoIQSeBkdbARdfWTh5U9qvDTYiIwN + TKZKIOOQJ6+58XW3Hbvjy8NnBt4NgRbJQoTpwYryIst8yLIB27APP/p777j3XfPFDiECRAYlI1be + W6kj8aHPXXq1vFNrp8qy3KRYlCY8mzabopJRVqHXh70LW25RSmqST+08r6RmFiAZeRWvDay6dMK0 + /pYRsi2+tv2cUTEGDGYQsB68mS0RqdUutQYAStdtnGQVXdXJ1jMMy9Woq+2vAne1IMDMJDaD5onk + 2HDe0VLo3le85fsf+qF/8eFfj8fjVr8oJbWRYHagc2pkYIgpiUihMiSEQO3MEMqp7gu/8X//0t/5 + a/992k3N5AYyaCk07mncxWCAooQYh1JUkm32H/7YH+zQ6cKJNUDJzvnwoYTUl2N0/Yl48xtf+5a8 + Qy1HQ6Hl874s/rfl4s/+XTYATFAIhrwgEc7huF3/znu/41994p+3G9OE/jD7TzUJgUwBTSF/9vkn + nvza4/fecEx3rIkThnRDVlBYdl33/fNFYyghhKy5s3k33Xriq48VHlhQyrKht44Z5wAMOWM6Ze01 + 9xpmoaiG3ek73vTQTe2tWDAzGVIpmUjMjOgw4xTOuUuklDKbzerpqb7vm6Y5flz+53/0i//h3/yx + M2e2+r4HwBxKKQR5kb5SY5e82hDPO0Q5576uMUmamWvnunrC/CLeRe0AKSI7OztENJlMuq6r4db1 + BmZWG+uVUvz8vHPOOeecc84dZX6myTnnDqXo5mI4PqSu9ASIgIiJlmVgRsBYHWMMKnUMbxop6eyr + s8k9D/8ejs2gE3ALBcBgBQAFiCEMKIriop7U+8YQjEEK6kGATkGAJEBRGCCkct9khskkS9PYspGe + u1oRwAo0DWSH+jkX6hfWcK1rYEMMms1QBABCqZ1P2UjJINjbPOrMNAAEBSlIDUqXq206GWAgQlgW + z9XmrcxAsOXjLIBFhCnvTEMZJt18Z7O32XRzWOx08yEEFCxfvuNjGRdPsBpOT2AzARUAUJRFL7u7 + sAI2CJVV21h/xTjnnHPOHYKIjNMIZ7MZgK7rXu6Vcs45d4Wp9W21EJ+Za8MXEZlMJru7uzXqdSzh + PZBa7Fvr9kSkdpwppZw4caLruloN3Pd9DR72yuBDK6WM4c3z+Xw2m43Rztea+sDrH4GI2rb18s0j + qz4vKSVVbdu2VvrWThkAmHmMaV+fY1Cjyvu+Z+ZhGJhZ9WBzvcysbdt6zFwXVfdRIYS6G6z7w7pH + 8v2Sc845d0ntGxy0tR8RCjFq3HQdSz344LvVKcrjb9HaF4DVfHExBi0rG3yw0jnnnLvK1FhcGr9Z + NiHRWqfIBlr1DrfVjdWbiTt3ZNBeBaMCzATiVTi1gBjIGUP6W996/51bp6ewAaYEMZBBFAAEIKgS + jBXLZOtlprWX9zrnnHPOOeecc84555xzzl0KTYhvvf8t/+b3P0AgUG1dwaoHG4YNIezs7MQYU8nz + bgFCKhnAv/jNf/m5zz/1y7/8y7fc/IppjEQUQkglCzHG0aXa3eJlGvetuVPMDGISueOOOx59/PE6 + Ck1EB8vhuXrVaL1SStM0JWeFbW5ufuQjH8GqzfdZrcNr+nW9Xmck1YVsxua/+wf/AGqkFkXa2Jw+ + /cLx48fr7ANb5pxdps4tzjnn3BFRp1KuZ1rXd0YRGeef7u7ubmxsXLPzT527OhhpF+ZPfvmxL575 + bJrtFFUIYpRFv2BBYDI1y1RggYP02Cgn3nHXQydwfaJgpIisKavWQEDRVXktYYz7rUfUzMowWc3N + YbYgypt08h2vf/dvfuRLZbMDZzY76OcdNgx9nrbcqXZl/uXFU088/6evm775eLixqJIZKBtY947n + PdP36qZr1d4G5OVHOWMwUVIYRZPN2XHbgrHRwas/V1nVe58QCQCpSj69exq8jLpk5RKKGpjUwLZq + EwoDIDCep/lud0ZnSlSjecdlHnj7rJ9zzYwNgcJmc4KHBsjqm7q72gksF2riLOlit9uZcpBmwhSH + F5p33/Oer20987t/9v8c25CFzoOgy6xbeYwAACAASURBVP2B2oQYoVgGCIIoIQ2WU4GBgRzP7KRn + f+23/tGPvfcn+jLPPWI7yTlHjyS4qAxQy1YKmvJs+tLHPvsRm6pSCiYRKArl5ZxfVgVBBNSJDJNv + vfOBG2e3ylYLgMgMDKhSMQAWAFVkXp3ztTr7x5gMYjCCSVFR4YbR0nZ+290PfeCR3z5dBqIEOsh+ + laGqARTB2VCoYGJz3f7Ipz746ne9dkLHSDHYYAShSGwovtO+mNSMUUopssGPfvmRrw3PYGrLd8z6 + jry6FAUIjWAYdDKZDJS3duY3tjcdH2569z3fHYdJ7jRMY1LARMBWm9L4BBLnjg6zIKKlMNFkOt3d + 3W2byZvufcP73ve+v/7Xf5R5bHPHk8lk0e1eaDF1oLCe+xqDaZdJ9s45dz614yWA2rau7joO0b/u + QsZGebVXZwhhsVjU/2qaRlX7vi+l1Dv1pojOOeecc845d8T5GJJzzh2K6aSUtqgE6gabCDF4Z+g3 + 25hyWt1oWZRT5QwFepgcvxGz4zg2QTyhCATBqmNcbUjdAwCamvV7CR1wCsqylV0CYIgKZICQgxUG + YMWUSmgMyANCBOCt765eBjZAgbRoW2y0k9gvLFsGQAITBrSmOAMYG7DbaptbVXECYAKgMNm75WXe + bGphHGm9speqbcuh9wwOAV8L5TnON24c397dOrZApMDQrMqyb17fqryUChhUeD3r2hhARJhIe3w2 + QemQB7Op1qQa55xzzjl3KDnnOo2wFlSllCaTyTg/3znnnHtxNb661rcRUa3KHeOrd3d3a8r1MAxj + Fe9Bl1/nwItISqlOg69R1rWzTM2XVfXTA9+Q+sTVgPDZbFZKuWaPBIZhEJHZbIbVH8RrzY8sMxuG + YeyIUftSYf8TV2OnAdRdRM2crj/5Rp7ZruuapjGz+Xxel5xzHgOt67qNJch1rZxzzjl3ydmq/QgA + Wg6k1tY3AhCgB2/qaasQa6O1Diere9vLsTP2Y0bnnHPuajW+yZ/3QIJs1UaMwOaFfs4dJctDdjXo + 3qE7LWt+hyHFCVHJOLN7a1c2uKBAV4WKDIgBYF5/7VONra+h9qty5cv+sJxzzjnnnHPOOeecc845 + 565iRFRKec973vNvfv8DRJRLAaClHLSvS20bnXIi5tDGNAwgECDMH/uTT37Hd/7FX/+VX/2Ohx4q + OU2atg0hD+nrLvNyqnVwwzDce++9f/74pwEQSM3HpJfGyUQKEFGt5H/44YdxvkDr8cYiUq/EGFNK + RctP//RPv/kNbxQiamTou3manzhxYj6fewdw55xz1zIRqbPhuq6rwdUhhDGWo87a29jYUNUa4OGz + ep27QhXJKXYf/fRHBll0muIMgyJZYmY2CsamCQUZhUwkNa+9/s7X3XwP5gHgwhA2M7JSiASrmECy + vUpaW03qYQ2kbMSlZlAaiUrqw/2vf/ADD7//+Xwqq8ZWhqHIAT/vBIIVJabCmibbH3zkd+79S2/J + Z0o0AqBUlAprBJhtzCR2VzeFMaAgIxRg75OdKJPi+LHrscVmRnyBuvCDI8GZ+WkiMqPzpKcbKylg + SjBjJd6eny6UjWCAAGb1/w6cbG1G4+dfM7RxErgRJchyHWg1vc65qw+FqF2ShFaaVPpMJTQaJM7s + 2M4Z/c43vfcrX3328RceyTENQ8dCB3zBK4LUViuMQCRkgBYzUMQife3pM4/+5of+2V956Icnxza1 + t8DBo+QvLgqUc25DsMb+9Kk/fi49kyQZgaE1ghqGwgCgrDAYQbiZ5Nlb735n3qHj7Ynd7VNtU3Mi + 2EgVBBOCAArUFjrLTOtl22YVIizKIjRRi0IlptmNG6+869Vv+NBTzyIc4PNObclcCiKItZCaQi1S + btKjX/7Es/PvuGt6H3YslRzahgiaCtFFekNyAAAJNOTMzBb0w4/8Yd8sYhv6kuvEj/piNYCMAZCC + iFIqJRQiosCLnfTvPPC9N/HtLWaFSAkKiiBCYOGUEgd/Z3XuqKjDPcMwTKfTrutijKWUvu8ffPDB + X/zFX/jPfuInoQqgacKi251Op4vF+ZOtzWzsksfMMcbd3d3a6c45586rNqyrTTWZuWmavu/rMPRF + WX5KiYiYuZQyttysZ+OHYcg51xPytTmkmXlrROecc84555w7yrymyjnnDmnWNklTiM3mVPJgmnIL + SilhVRCjgBLKqv1baMGwGSSdOoMO6ASd8SrNV6CEbJQMqUVqkWnZO+7SfR2AAYXqUPay4ocNAWjA + bBEQZdYQgyEqQguwej3Q1cyADKgiYj7fTv1g2WJAnEKJFUHBMCYD1T6Iq6KVsfZAqf4cSiiEwlBS + mAIG0lWlxCV+EONdUM2c5vGRLZu8G8QwAWD8/R94/wsnwlfy7ubJ6xM0Ww4S6kMVg+i+cGsjJEGS + 9XrQ+pAoA6noqfkpNIZWIEx0sUoEnXPOOeeuReM0eyKqQaGqes0mWTrnnDuo+sZxVmpsrborpcQY + 6zx2rNKCD7H8WmlX35uGYdjY2BgLgseiOmY+RGa2GzFzSqkGhA/DUCsmayjvNSWE0DRN3ZxUtW3b + lJL3VjjK6kYLwMxUVVVTSpubm2OSdN071drfepQ7DEOdQlBKqU00DnG/NRt7e3u7bh4ppRCCiJRS + 6vYzbjaeae2cc85dWrbKldO1b/eTVbj1IYZPdfmbpusR16v7PHtNnHPOOXdVWjvAUEDPbjfmhwHO + HWGmsOXHhfHjgDEKQyYxo8DoZ+59oHn+dGTMAWXUWzEgIFn+loFgtO/Vrv7Sd84555xzzjnnnHPO + OeecuzRU9aGHHpq1k7PyiQ+2ENN2OgFgqqnONCEyYCjaNLK1Pf/Bf/+HfuZn/66C+r6fz+f1t4zU + 6EgE0dR2/KR277d8y8u9LkcLGchgZjWmus4tYubf/b0PnNraPvvGRFi18x6v178tgHc++M4f/Rs/ + EgObak4JQNM0aRh4f+9vo70v55xz7lpQczIA1EzrOre3pmXU+ac1NKjOP/V5l85duZTK57729Ke/ + 9Ji1GREckRUZJTQiEC4xIjJEqQDY5GNvufuBaT4mKZqJkg6WSQCA96bprH+YUiVTVgOkCFuoDRIN + DDBBykA3TW+97/UPmFmheqB+wAdAiA3lDFFpQuybnce+9Inntp4pJYlSKGQ0KGelXFeMveDv6rfc + hthANk4zW34kZMAKrjt+kkjM7FCRT7zMQLW99z4jGNvuYkfryQQlgNcDpVb9M8c6Vpw5c4oCFeyd + 8zCzQ/Qzr/dSe28yqG2mbAwLda2WiyMvc3dXI2NYZI7oupjLJM5I4kLSdtnS0m9i81h/89/4y//J + LdNvKtvEgmZysH4pSihBNQKK0hdYYgFTEOLUoZnxNn35o0/9v7/zp+/fpp0QApcD989xL6LuRyHE + 0K7f+vinHx4mfUZmECET8vLcIFDqDpaQDULNXbff86rrXm1ZVM3MAGNjAIWzkZEF1gbGCijI1tom + s3K9pZllKsWULUxskxfNm++5fzY5tr7bfymMYIYghJwAMMPMlPNpffaRpz4uwUTZAkyslGTm/f0u + qmULbhORp7/w9NPPPIE2Edu42SzP8VLtBs9sbJliaPouFdNmOrnlhtvfdvdDYXsSLFLgehQh3Gom + Egb7CWLnjpDaNqpt29roKefctrFpmu3t7fe+970//bd/qh4ID8NARIvF4kLLGcePmLmmxh4/fvwb + GaB0zl311keoAZw5c6aeOb+Id1FHtGuyNYCaZh1jVNXawHMM0vZMa+ecc84555w74rysyjnnDmno + F5sSy26fFqVhmCHGaGvptlyDrU2X4wE9wnRi6DcZv/ad34W8V7AD1CZ0a5NBju4wwF7HbAGWVUoK + Q7Dlg4BBE/KRfQDu4mCgKPrFrURt0YYpZ5QebHW0W9nABl4VXNoqRpqWN1iWrBlBCUoFMBgDclm3 + nFUD97G+tP5Ax5/Utu7MiPIcq2xOz5w5ZbA42RzyEJnqSP/ICMqqZFib9TcW4LEhcACzNC3AKIra + jN5T4J1zzrlrBp3vWEf9UOAbMFYkmFnTNOMU/Qt5WVfWOefcUVTTf5m5vpXUqricc40ErmmvAGrQ + 9UEXnnMWETOr9cREtLu7O8Zj1+Lg+vbUNM0hlu8qVa1/YWZmXs6ZHOPDrx31Iddo9lo5Wgs6X+71 + cudXm2LU63Uv0bZt0zQ559oyoz6JRFTTpgGMew+s9ieHKM81s1pM3LbteNd111eXX5dZU7T9+Nk5 + 55y79Hjvso5TGsOYjVZ9ZxTnDaJ+SfSc05HnXczBl237/n0pl4dha18X/h8754Yv+VL3QsXPXcq5 + 93f5XWiVDrU+L/JLF3rc570lLsUfwwDoOZcHW7cXX/yLLOFleXoPdI8vsm2ee4OX+Bd78RcRgNWe + 4cr5RHmBR3LI53dtIecscrWV7vu6tF7kaT3/477QnnHtPy+06Ave10t4/V9gQ7pytqIL2nuuDXr2 + YzzIfupFXnQXWqYBZfndajXO+f3zP/tnr9d5CsWp3qi2P79cXsJfqx78nPM2fQnpeS7P80rX8cDs + pV++pJ3zhZ61C//ygdbh0JdH0Ivt8c663f4rL/Lq23fL8z3yy/o3Od/6CTiOPYQIZBBAVGOfsT1c + 35ebEKyAGWRGBtr7bR0XCROYAFT3Bmf9ha7Q7cE555xzzjnnnHPOOeecc+6oqRXgd9xxxx133CHE + QgyAVxXg68MuX7cEvO+6ECOA2DQAYEbMxFgMpcY+/fKv/fpf+p7v+rNPfaqZTQtRIRixEY5EGzEi + AE0bXvnKVwYgEOqq1aEuAgh7zUauQZoLgBhjKUVhEpv/61/+JtO+AgsiMsL6lNhSSmBhkGk+tjn7 + xZ//+c2NaU07EJEYY865pmW/TA/LOeecOxLqJFNgGZ4RY6xzeMf5p2Pej5n5fF7njhgGWOn8nxTq + z5RQWAuXLMMnnnx4h17QOEAwn4MMkxhySsSWLYOJOIAhKjfwTW/+pge050YmAgrEVpSIVGHr97Vq + GLjvhxhjgK2W5IlEUSk78rY3vnOiJyYWUq+rfQ8AZmM2MNZ6G4L3TRoiAEjJ2kC5NzNKPMx5+6OP + /6FOeyWQMdWISkJhvWY/Ol1r2OpLgJehlQCAmhhqRDCeTY4Fi4f9zK/AaiOn1Tw1gpENqQegKEbL + SmniegZDl1vycgNWo7yVTqNRNasdZolq8PYh6kyV68M0wEIbW6zukYyV1Ai2erXQuP7OXRWGYZi1 + bWBK/VCKFgWIwMTMeZGP4cRJuvH7vv2vfNOJ10zSsWFHjaAMI7BBDLJ8YdYzgeO8VAYYBGMMxVgQ + QogiDCJDyZaSttPwws4QjmOXT3/gj3/7s6ce3+IXknRiLBoAKGnh+i7M5z3BeKH36GvP8k0epCDV + 5dfyj5Nz5mC7euari688+cxnenQUqJgZKUhp7M+M5T6wydKm6X13vzWWyWazOZ93bdMEknHPunZY + suoBbnvpxLpq9BxjtGy0bGDEITd33fyG22avDiWufpfrbpVtlYS9tmnxeHf1Clu2zGSNNGbIlLu4 + /cdPfmQrnU7Ui3ApJechrh0AufNaHdTVt3hVLspFl8ecfPZrzdgSjLSLu3/8xMML2dVGu9SxGRl4 + 1fJaASNddvAWk0aEeGIT2W6+8y3f2w7HZ3RdGkqdAMUMIhpyMlv2rnHOHRG12VTTNPP5PITQtu3W + 1haRbWxOc84/+qM/+iM/8sNBiAiw8iIv33p2q574qi/zvu+bOrDonHMXMB4VmFnbtuMO5KIYW+HV + xdbWnWZWw60BlFJqyzvnnHPOOeecc0efn1Z2zrnDMSKzkhgIgCkY0DyE1dAsQQkWtUQ1MsDQEHTR + EYZWuleAsdvDilFaLa9AFRrJoiEayZHaRdPesKcAddrQ6v8Y4MBgAS975gF8lFbeXXwECFD633vo + L965tbM5dGYWuZa85Gg9IwMgZdKxcEGV9pKt2WCQQqwEEKTGwFM0MNlZs64u1SMgrJd+Lq9HIGCt + lo54ufXHySATGfrjzBGwbhHAZd/8weU2XwiABrWoyras3lsVEJhqDzE1hkVIJAMVyCVvwOqcc865 + o8WPlS+FsY7BayjdNaVOml2PnPRptO4qM+7e7f9n792DZNvu+r7v77fW3rtn5tyn7pV0ERIg6+ot + I3QFRroSCIQQBpexMXGcoqzEqSQV4iJUYgyVKkgAY+fhSMZOhbJxqsDYBIKJ40psg2OBzRtJgEAI + hC7oEdDzCt3Xmenpvddav1/++K29enfPnDkzfXrOmXNmfWrfvn16ulevvZ679/qt71e1OEBv12bV + Jg5LM4RQ9k70fW9PLB5uY49k65VmIlvUZDDukC+74jE52QvF0WKvU22lcibK1DwMw9or3nvrWc65 + 0tcM087A2PXs+dHxxB7LwGXDyFonJaKpJAdWRxt7Xly0S2rMbLnaTilUKpVKpVI5Fptpy3JkWbgU + 74Rtm3EiSdnP8sw6Hw6ElADh9RVR5uJoR2IvTazvTnuYKs8pHxUrLn3HOguumzgelyUd07zWcepc + mTXpNYxplxma/PW813NXCwfTUx0LZKWazpKfaUprha6rpbeWmWldHK3T1TLc6HyXxTseItClfWyC + BCAAulYXx9bRcfV1wrmPfxWBnPty/Wr9Tmr1mJ619v7xb7LWbst5XKsvLE/0yIknIEDS5JDx0NVj + w/o9Z47rv5OGtCwiOVq/1xp/VorLPgdBboS5iI5+0SQn53eysIlg2UlXGvDyr8t8XHuQnZSJYPpm + OfWAoMe/vtYUj9TI7YudQiotqvQX4NjZ6pgSO01vXRmpJn9LQBw77LK2y3tWW6miDKT5swkoMX4E + dpASEOVW46ZI8xecf4Guj1HTOaUcsta/VuejI03yuNn8dBw34k2mJBknppVmsD4nnvB4rcF55WQm + b1ntgMeMbDjjNdiNPJ775HjteQrHzEeWsdzgQxmWrZOMg1hOzUpvMr5JnvvsyB1Zj/ZZrGXjmDK5 + kfnxSJtfLYGjdUAEUUSmSDC1KAVCQAo4PMBn97/nFa9p+0NBAsBAq2iXA4kKNEJS/ooW2ii8EifK + XV8uWHuoVCqVSqVSqVQqlUqlUqlUKpVKpVK53YkpdF0rcfj3vvEbVJNnAJCUxjixlWWXk6K0FVDE + IUAR+sFe0SRmbMa+jYAQ/9bv/t7XfsOf/zt//wd6IBCo6/qQiB3AMYppwiQV1/ikYm7HjWviEEmJ + tNipmd806fW9ttchaIk7M9st0xJRiPcuxvCKl72ULXWBAxqgNSkPQoxhNuuGGNg7+2DOxOS43Zla + kZnPCENA0ratqkrSpuuSYkjy0+/8mUFBBBrrRKFQXVrOAI6hkmbeseBvfOd3vvDzXqApqopzLJJM + GVzBokUZiAG+k8qzUqlUKpUzMd0r55yb7rYrG3vtAqlSqVwMsuefgjVHuAkAISQQs581M0kJHtGl + 4Pp9+ewvf+Bn5cp8oVEAZngCDqUFIkJqhp7TIvXeMy30jX/iq+4fPsdTN+jgoBzRqpMAcj4pABCE + VeyaWZc+1rAMCMGpOBVQUApxCK3bc2nn2Xuf/5IHHrk7PifMx6hcAqvJJ4KWtqPLNM3G0lwJHSEl + eHQENyjC7uJXP/KzT80eH9wAannwHWYCSSRDCOSaKlN0Z8Njq1MgkRM4a0hMqpwSC5h2eId69txs + IrNBU09ryXKggKo65w77BRzUxURDSoGYzZzVC3xikkbBwiH5+TPDH/e071vHgiGCnGcH0XCmkFpS + SEqOKCkEnjBrmp2EAA5exAsUiAwhBphVWG/rzQiVyiokLSMMc3VKrQOUiSixlxaJvfcqgh4vuv/F + X/cl33jv/HlX0oMRWNjdNCEK6IhTj6b1midNhjK0ZfEACPAMTUCKpOIEJOpIyWOAaIODGNHoAX32 + f//pf/Ap99jh7mcpUdM3ztNAIVBC24aQPDeUvZPZvhvmN09Tl+VLgd3TG/9ld9uYlFmFVIWSclJO + SmI1wswDFvHew596z//t7uKAGCm51onDkECOCGgifEKvEPJXFvc8fNfDL3jOF9jY3jn26iTYBgkh + ZVKAepDdIvZ2fcFqdxqTUoo+RCeeOgRHyoAsZN413ZWnHnjzi//MTHZiD+9m/UKioHW0wzsUvRIi + IzCU4JS9sN3qJQETFiTSgJQxRKdQh4UPj4dP/NYf/bruJZXIAm79AJn4oB93oUKyflwmSNkJO3Ek + jYCFNbpBuFcOcD4GddSQsogQa1SQmznqBklP+E+862M/d+j3B4VrQYxGuIme8x4TCEtiCT72TRr0 + 0EV0+90jD77uNQ8+2oS7FQ2zI1bFAI1DWvjOpyjXrKZKpXJLYAVrHxa+dQBijLPZTEQkRIbM2ua/ + +Y5v/+o3f6VjMKAiRHnph12+qTVNbCpLBSClxMx2Z8xufzVNs7F4VEl2u3J/N5mS+XI6t4SiXmjZ + iDHeSH6KjOHGiqNbzE+M0bJR0tygwRxVdyxCbRsktVbpm0lHTvVdpypwtyQ/9pFpl9z4pJh5KkA3 + DEORvyuPp6G8cyoBrare+/JKjLHUo92WL58qd+yPVvQGp1ZKuJhnb6wXupX8GKWH3sgQKiJrtb9x + frZCnRe2SJ0XTpmfwsbzAoCU0vR0NmvAd+S8cPR0NmtC28oP6rxwPbY4L2wrP/akzgs3Tp0XTpmf + wo38Xrjx/FQqlwd/qzNQqVQqdw5H9nLI9LZ9UjhHKamEhV69CsIRtTeGAGwfYz55M9JNZ8wMY5qx + 5UaaLBMpACAMvlCZr2wfAlTv6/v7+pBkbAFq++lMx5OhDsjRbLr2WYCEmWWlkyjfyg1RWcUVbvUV + LF/2XuAlCVgn3ZsBKqGo44uuBKqO9ls5lkKZVEj80j67UqlUKpVKpcpAVyqVTbEAHSIahsHCB6vd + bOUOw7bDldVQIkopbXG7+GKxmM1mFgbXtu0wDDfHG945F0Iop2Y992L234ODg729PXueUmqaxix1 + LxTMbJbkIiIiZoLuvb+1EXg3H+89kO2QTZZo6tReuVXYkBVCaNu273sAXdepqqpanyKi2WzW972N + bxuMQpa4RQlby/fep5RscBOREIJ949bPrlKpVCqVyo0yri3q9F9KZfFRCaowzVAua/KnTXs06juO + yc8PUbBkZ+1r/yrR9X8S5fiG0zwuExm9M08dDMFTfROCKI78dlqmdtr8lETHwAZeSWeZt8lXnXcA + xCT0Yl2/llbfcvac0LVXpkmXsR3HJLzaRHG82MxYlmfNk31KLQWrAmvmPDnlUt28fNtKRlkhtPyr + LNvMdZuZggB3E0NbiniHndTym1eGgGMyPv371KX+2P49rQm6xltdbhWTUl2hvMirWbsokF6jvS0z + KlAmEp7GOClAuTBOeAQAyiVjrWs6YE7aqiB/6txlHSxXPB0fTEONVofI5VmufnL5RCaP47i3+s5S + 4zINnplm4mjix0S/HffqbY0p5OXqxnLgXPaQSVUc6XFl1rOSKVWmS1Ppo994bAkL1hq/5vle16ps + /LiMUwCNWSWIWtC4Wd8SrU3/511vR3vL2PtYx4keRweoaw9Dk79sNB8tP2IZsInGpicGZDL4lqnr + zNcbR9GVJNf/tKzNa1/IWSibni0/Z3s8e0memWsFCk5fXkbeAW4UxV8v2LV0ivTe2GV46do+vbBE + bmZrU+/5XP/o5DPjN+RyNo3+lamIARIFEznzqFcPAZAG5wg6ICy+80u+eOeP/7hRIagjxNENoRhR + l5PItgTCpFDKAxnlK5ML1B4qlUqlUqlUKpVKpVKpVCqVSqVSqVRud7z3i/m88c1Xf9Vbvu9v/ve2 + POS8T2Gy8qjXD2lZZ7kcxgCiJAUE6pvmmYP5d33P9/6Lf/lTf/fvfP9DDz5w7933hBA1pp3ZDEDK + GwuEiEwz1JHb29tbE/Xb+jIxM2tKDHreQ8/9L7/lr8qogqoi3nsJ8dHXPwrg8PAQON4LxyI27khY + EUIAQVVTEnL+x/7pTzz55NNZr+Xo2mUOESGIts7FGP+zv/If/ZW3va31TobL5QRTqVQqlUqlUrmz + YaWEEvQnOYxbWQjDMJDLV79BQrMbf+P97wrNwcCHy7ha9awQEtfgMMC3ymg4yB7d+8jDX+r6GRwp + CSvGANHlTyE++qNo3NSjJIRIEEB09GJsXdMntGn3i1/6hg/+7G/f/6zuIPb54n1Nh9A2R5Qw42ls + sIDJR00qJIzE8anw6d/66Hve9NDzQhBHPvSDejjvXEMxxpu566FyqxASjBbXBGaVRGrulUJo4FiJ + J9ttTksOgwcgY1D88se4qkaJSqKQ7JCr1k+YIKwsOYJ0UIrzcDVKr6pEeU+H6ia6HNn7XVnBrN67 + lghAdMqTcvCkuGweqJU7Hs576EQIShAClFiZlAksMXJDFLmTvVd87hcdfPHhP/+Vn5jt7okcpKBI + uLLbXb3aX7nbPXkQfcsAj/OOSBa5XRkhWFmQ7agXg/gdQiSBUBf2Dz/zoz/1Q//hV/+n98we6Ga7 + T8z3u7t2ktDBwcGz73rW/tWr3juMfVAnxs7QEzZJXAYYq9tyy4v2KFBp4icP/uixT77/cGfeznxE + WizSrifyWenD9vFyAxqadrHzhV/4yH17D9KiG/p+RjsMF4WIgVyDBBIBnK4UO4//TAQAKSoJORAx + wAnJ3+ue9ZIHX7and/WzqyFqt9N4H4ZeQ7+YzWYRQ9lNsVanNkEkggdImVRYoQ6HYf+9H/61Vz7/ + NTO5+0q7tx/mzp24GbkCkFLeFA5MCzkNwbnGex9SIlEBSULQQITZ/f7/eve/mvsn3Y5qgxTACV49 + rDrGi2S1VtGiv4oH3N13631veuVbnuWfI8kFSY50vJwWpVHfu1wEVCqVC0zbtovFomlYVd/+jv/5 + bW9722/8xm8TQVTZeUlJVU357WT9t6Iuxcy2UAism2GfkpSSqpqqVUn8rEltbKq9xllVs0pWiz7n + FjOzQX6KIJh90HtvWTqrPp4tQjjLEwAAIABJREFU+9pzUxtj5g3EyraVHwDWIIvWnzWbs0pEeu9D + CMxs2mtd12FTZ00TITQZt8ViYWpsG6RjJs1EdIPNZiv5MSk5VW3b1pIyacGzpmPFa62oVH153dpS + kb87QQfPhECJyDkXY7QEi+WhCWnakNV1nVXuCVkC0Pe9fZ2IbKCXaBERlpQ1xY11MreSH0tHRKyT + 2ivTzntKrHbsROyz1m3PmpktDn11Xthifuq8cN38bGteANC2rYlwYqNGa9yR88IUmxo2E0PeYn7q + vHAC25oXtpUf1Hlhq/mp88J187PF3ws3np9K5fJQba0rlUrlJmFhYQQw81333IWDq7j3CpUIIXL5 + z+Py7EVb9VtugTkiHDh9wQFVxu5SIYDQUhr1WqLGK69oVk0VzZvKkgWspCzMeebNeOeNAom7yKym + 9ao6/rBcar+OrIi9mi6tBfTlABGwwmWhSlESupCeVZVKpVKpVCqVSuXiMwxD27a2ADxdxru1uapU + zoOyOFpa+Laa+mw2K0EGFhUnIjs7O6aPc37Yd9k6KBFZ9EOM8aItZ6aU9vb2bEVZRMyN+wLaRVuQ + R9/3XddZREtK6aJl8iYQYxyGwTlnk4IViPlb3+qsXWpKiBWAruus41sITnndAhosYPes6VtshA1l + JdLX2r+lWaJ+K5VKpVKpVK7LCT+0jgu7lVGU4DSPWLeOXpMXmUqrTL+rmEou/zgx9dTJ21hOlxMZ + c8MCZA9LC3sYd+OvB0TQ1H3wXFBAIFg6krKJU6SJb+W4Ei8p5/8sWZrKUtDyBM0id91RkrLH4cTA + kFf+t7SB3JyVPNBRs+rRvXIpFpXdZI/7YvvNkzUgsnXs2LoIcMe6omo5eDR9vZETuh505F9ZEYDX + PKuzovHqp1ZcjbGUu7Km6wAgrhsUr4VurGSAKbHLne6Idzsht8BJGuddPGej2HVSPqYCxytFpExl + wMGyhIiyme+xjznNsS2t3qmZtqVcd9cypt0WpJOOMHU8Ha27nfJ0OFUs+8vq+FueZ6GrMfd5WFgb + /SYmzVm4rbzBjX+SiYzbsp2Me96X6d/WUWSmJZdFopdVvaJmlyuFE2DlxjTKa0/fM5aHI5gStZg8 + iTJM98teIRBz+aCbjABQziW97NpZE2c5Yk8aCV28ol82ktUBedIHeXLJkSWWlFba8pEOd0PzEU0q + c+Vr2Moyd6WxvuBxtuuNtUDKaS+b3ANaqahJxR0vPEeTMY1IxhHgPB7X87ZldHW2omn5MI6E5Fn8 + HgHeTS4jeaVFydib7DVHpfeJy9Ux7Z6cr0iJx28/3+ufchU3OXexjpyWszAAUQiAEIcdP0sxusYD + SKLMBI5IATwsDp+8x4lL0rGfp7gDJEBBucWS2hjNAEGdKjRBhEwHSxg2hJyh/eDiDSqVSqVSqVQq + lUqlUqlUKpVKpVKpVCoXDFFmjjG+4hWv+Avf8A0//s/+TwJSiNuKK9DRH9pk6EMIuzvd4WH/nve8 + 5/WPPvq3vue7/4v//JvZuWEIouj73jtunCdQiAmeW9+oyv7+1bIHDRNbmpV18BvOZ4yRnXvuc5/7 + 7X/t21zbmPafqrJiGIau6zy7YRic9yJ5Cc/CP2yV8E71tDa89+rosB/U8azr3vGOd0SJjl2yuLCV + JXUBYXdn93A+ZyCl9GWvf933fe/fADAsel/3iVQqlUqlUqlU7ihsz4OAkhIExMpQRiMBKRGzJ03k + WA7w9K998F0RSYlJs4snSBIDQOgx63ih0iRt+71Xfu4X3XvPg7zPomf8yaNMSqC0jKFWDwhIkgwW + B/ySF73q3l994AnsH8ZePUAQEh59rAXXCs4Gg5XEOUjqiXPU+jzsv/d33vWGz/3T6BLCAEmeOwgR + kUhE9bW+9DjnNjOWOAHV/BNeoTBBD9uZfo0bGfP5vFji3UhmiEBEovlLm6ZhZiKqSjmVOx4ZVaaz + p/USduQg0Jha34WIvQ5f8srXf/qZj//MY//PlbuVmAZafHa/v/+B3cc/Pb/rPhdjAgTaAgIelCZ7 + MMdtkjJ+kRLgoKrEKhrm8Zl7du597CO//fPvfed9r36wj4srV+5ezMPOzg4ozfvDpm0pCQiClFgU + DBApWLd1m/P2RfJ/WWGYBYA625igJOQ5cPzNx977ZP+EuysNqXcK7yCq5DFEbYgESkBLngLf3T34 + 0he+2suuRtJArnECAZMSKyDEavdLSa67kcFki2w4NVGg++954E8+/Npf/PC/OeQnBerhmFJCEpds + P6mzFpN3F05375bX8+5OZSSNv//x3/n403/4ot1XAwxRborheVUyOR4lBZIyQJGUnXhSZiWFc54D + +kU6ZKaGfcsEz4f+4LPzj/3WB99FvkfSZFtrfZciMxQkPO5NU9tJs8AV3tGr7Ze8+k1f8LyH+/24 + 60H9IAwFC6mS3W8XEJNu6/Z/pVI5R4qn7H333XdwcPADP/AD3/RN3/T7H/rDpjFnWVGRIMCoXHcC + RDS1p23bVkTOKsVW/AhN5dIM3lJKmznn3XxKVk2f0+zAT7DpvQl47xeLRSnPzcTxTFsME/u9EILV + 9S3JD0YVtaLiuJnSXUqpaZpyalZNtiZ+pnTM7q5UfXlyVofCqWTf1Mf0rO1nW/kpTns6/pTeTAfS + ytPuMNhzC29wzpkj6dT3+uT8zGazvu9LlVlHM11cM8g0yTuzurxWOsVk0QrEnLA3aM/T99ugV0w6 + z8S28lN8T4dhKIVZOu/pKe+3NrNYLGaz2ZlS2C51Xtg6dV44gW3NCzYgFIVP+7jV/pnSuVPnBQvl + mpr7YvVkb3J+6rxwMtuaF7aVnzovbJ06L5zAtuaFbeWnUrk81B5SqVQqNwtCTMqOYj8Mi33s7iIJ + iPIqLjGYs6rnUeHgi8ORjE2FHZeqf2eN4avcXoy3OIo6sMWs6OqtDyVYuAVGO+cJTACPTV0Jal3B + dppdtOajQPJOiJSVkI472cLR/rv2RhqNrk2T2lKrcTqVSqVSqVQqlUrlrNj6aFlQseVACzG/I7nV + 5V25NRCRxYKUf9qC6BbbVdu2FlxiXrCz2ey8Pa0BqCoze+9ND0hV+7733m/rvLaFuVl770u8SAmw + uFBYC+m6DsB8Pi++zpcQc0qez+cYC2S7O1QrG2AhaBa8tVgszB5+b2+PJvFbIQQax7ezUgJ6yiuq + 2nWdfaM1Bmbu+x7ABey/lUqlUqlUbhf0yAFwMRI+xSNAAhaQuffJ0oywhBasXrrmL6LRPnb6h+wo + OaazXGw9Q34I7MBU/DVX16nLXv81n8tzgiAMcUiwgwSQBNH870nZqIWVnDHejwSI0AisHWNFHPe7 + gUs5L8ujFLasv/fsWBKJymnnM52c7ORx9VPTL+bibmwr9TppJCpQyWeKLAyV/06rx/lieYg0HtBJ + sa9mwCQhLCBBJ+fI04CcwrK8dFJTk566UqCs4GSJ0eTj5Zh8lBQ88dO9cDfmjlht25kvG1Kp2WkJ + lPfriY+TdJZMS3QtXOQmlM60mnKVjD6j0yERAghBijNroTxfb/LZwzsCgREcokP0iA4y/kBd8bSe + pCkC6GRwwIqndf732ORuc3T5pEwkpEcaT/4rK5DW+toyITuS1ZSDEBI0QcXGQkUSCCiC8/hMeQRg + Ug91UFaatHDARPyy/zPG/JV8XsAA8UmJrV8DlMfpeAZMmzetzwM3PB+Ng970w2VIkXFukuXjGa9/ + JvkfT2FpVX70rcuxd6030ZEpUssnTp+fsz6eMySgCIpAflyv32Nnq/wK27w2nSNNWYkALn2CIjiA + gl1xkMryUkF5/IppV5Xzu/5ZDsVr0y5EIJNrIUkQGxoa7xNAnQeDFI2SZ0JKWIT/5OGXPivpFaij + tEjxim8ikEClxa5ktEwWJKAESptdP1cqlUqlUqlUKpVKpVKpVCqVSqVSqVRORlUdMTOnEL75m7/Z + AZ54ffnvRtKHMrEJQJugXgihaZwoBPiu/+673/Blb3zPr//a7K498s45Z7qEprponyKivMtgtLcp + bDE+ymQrUwjPPPX0ld09B9KY7BCRWdulEOfzOTPbO7f3zbcBQhBojHFnZ8c595M/+ZMf+9jHFEiS + 1oOmxueH87kDuqZ53kPP+Yf/4AdnbYskVPfZVSqVSqVSqVTuOEgtTFTEYmiFSaGqrmE4EJHEwXn9 + vY+/7+NX/zC5BPO9HmNNhUQIKiAlAJzcbHHvG1/1lsVBUHe23x1sntYAIVpQuVpoLzE5xDiQwonf + pSuvfeWj4Wnt4GiMM1+LdrWzWG6fASzyMykJJQIcqzO3UJf+6PGPfvQzvy/tYtDee+/ZSRAk2Wzr + ceV24/jf5WXzeNOcl2jA1F/q5B+bi8Vi+s7RpGSTL52eyKUVhahcWoqhdZk4AMQYu2aGxE6aJvjh + 6XgX3fNVr/2alz/whVf6e9vYMLPf4ScP5t0VADIG00eQaAkLt/0mgBAj3/rLM6BzEIEQAkKiITXD + 7gM7v/L+n3/n7/zLwytPHsRndromzecz3yhSgshy75IoCVA8rS+7gbHa3ths9c2sjpVZrcAlcP/U + 8OSvP/au9m4CJRnAitY5EYA4CIQdCABx4Ca0X/jwa+/feSjOQdF33YyIUkrMXrJccd4SxLqyu+Eo + dqNVREwdCEAIQQd+3Su/vBl2W2oUSL0wt66lkBYAWOEELEjEidi21WRFcXtOkjiLRbMgQffpqfd9 + 5DfQ6SIMzOToct3XPStKoiyJ885WUnKpcalxqfXeA9KHRdJAjgE4dUIhXjn8uff/6zmeSrRIESQg + 3hHlREh2eay5RqxS2tQ2/exz7nnho6/+KumbsIieiZ1VI0Md1AFgCOll77mVym1ESmk2m129erXv + +3vvvfdHfuRHHnrowRiy7Zy9x7z0Tlhfs2VBE5gy669hGDZwIEspLRaL8r0iYvp4G53ZLcB73/d9 + MTlrmmaxWNxC3S0z953NZqYRas83SCfG2HVdaQCWzgb3DbaVHyIyKVT7lde27fSX4+mxUzCzT2a2 + 34kbeNRZU48xmpu7eVhusK5qa+uWB/NmFpEN2s+28mMfGYZBRNq2LcmeNZ1iSWjlXBqSqlolFk9r + c7m+Vjpd19n4QEQlHTs7c0+0mARzEj0hP1bIbdua/p6NMJvdBzPPxXIubdtuUM7byo9zbjabTb0S + u67bzAnS0rFytue3UE+yzgvbpc4LJ7OtecGGJqtoa67Ty6rTc6fOC2ZvbKdTTnCDcWZb+anzwnXZ + yrywrfzUeWG71HnhZLY1L2wrP5XK5aEuA1QqlcpNQsTEPskR06LHsFgK5I2Kllk8Fyj+vheH5e+t + qUoggLzEPUrp6nhULgPK13J3BgASk+Sc6rRO309jTIOJ/x4RTLw4WOyIByCERIisYirSk75gp8Z5 + vZ+xanE9qmOLkkoWaldAdFQkr1QqlUqlUqlUKpUzoaq2lNI0jWmLYKNllUrlImMLomV92ta/t7jc + ayvolqD5wi4Wi81WTM8EM1tvHYbBtoh0XXcx+y8RDcNQQjHKJsMLhXPOwgJUdXd3F6sbIy8VduK7 + u7sWJNT3fd2ufMuZRjLNZjOLZrBhx2rHHq1nbRCO45yzkKmp63zf94eHh7ZdwRLvum5j5+xKpVKp + VCoVYN3fb7Th41MeCTwAAySSxLw8KtkPdbqavBqKMHXoXbHHHg/NR1SS02cmG1IWC9zxO8wK0Y4G + aAAP+PGV84Y0QYbReToByUHMWZYtj8W+VOBkuQx9atKKrWP2xxRg4hA8cUil7KFpT2RNXmnVXHKT + xX1zTZbR33Vq3U3lfwxlJGfhJzkDViw8HjlXIkiCJIiCKEiS1/KXTtfLxiuQpcs0IzokxklhD9tA + kYCQz1U1W24TlJHGw96Xbc1X1SZW/NePPYr1LRhKEAd10AbqAQ/1CT6CAziCeyDx2L5LE3cTF2vk + lmaNza0GCN1y1CrO4pKKj+mqI3VY84OfjBvXPXQi87F0+qZ8lJZkHcl82c+9eJYWuGXos/yM2R7L + prQGAsbOsvS8JZ3EdGn+QIIIAjAQImmilJAUUZAAYQvmkTEXzWQ8ZIiD+PFwawopyyHmNmcyNh7D + ak8kgVMQWMAKjuAEr+SVPMiDGpADuVEZxCYjhhYDZbO2TckKjyZZyFa+DOKld/uYgRWT94kXPWWD + 3guFDcDBhuGx3+UML4fiabErCDrGX62bDa+yoae1dXX7Cjc5eDSZtsOP7f+MlxySx3w7ir/15But + 5bjxOUQmlt7L3rS0PZ76mtPZ8nPW48xFelYogQJovBbQ7PxdnMVXThbjiEZQyi1pAGJ5Qxb8ASm8 + ssvzbwQiNCIJko7XfgxiECtxGi3Db8L1T/7Y0tNastTX2DDs2sY6tebeorYLcNgfSIGQMB++5bl/ + 4gvn9NDT87tjtNHiagwJTsECtutGzYL4E0N1FrAqRBGVRdnedpHaQ6VSqVQqlUqlUqlUKpVKpVKp + VCqVym2OZxdC2OnaOAyveNlL3/ymL1eVpnHA+qLzprEYUjTqTR46RBlCEqBrmwj8zgcf+7qv/7N/ + /Tu+4xOf+iQcHxzOZ7NZ6xtNQkRE1Pc9sB4ns/V1oDgEiHp2DKQQNEnrm8Z5z84RMwCRrmka54Zh + CCFYFIRRIjTOO5jnJlDWhclkhZQFDOWUUlKdLw4F+vZ3vCOmNOuObGIqoQgAA41zrPiJH/8/nvvs + Z+8/88yV3T3PfPb4sUqlUqlUKpVK5cKSg6IJiZAjpXNgoEQiJE1RE5Mkd/irH/jFeXs18QAA6lk9 + KywyXwl7s53FQWrYtTL7/Ltf+sJnvbxrrwyxP3tALeedHFTCVm3XgbKDZ2b1Mnevfdnr7nUPNsOM + LTbbIr1zVD9Yss8lyo8dAOoFDKakQgxmZYWIUMOHfPDu3/u5ff5sdEFUJUZWcYwzunJXbkfkyBOD + oETkzFCK4G7s1/Ix2rBmZ7WUj1DCtXUkYoxmYGD/NDesTW2toSO2s/4C6ldUKueBXKPLhJCIHMNR + YBfb+/yz6MA923/Of/Cm//jZ8vxmcJ44CWvjAxCS2g6IvCPDVHwhytHixpUgky5PCglomhxh3tzl + 98PT0R/2s6v/7gP/6pc/+m/TlXkvB46YE3Xchr43sVzNH2fSLBp8+WCASfPGLCGUYgGY1Tlhp0zK + DAin4BaPfeJ3PzX/eO8OQ1zMPLwiDUk1b0lTpshQJV64+9wDj7z09Z3c3coeJW6dJ9akSaAKFjio + n4zb47al47CR3AZzZvbeM7MT/9zZ81/04Mud+llLKi5FBWsEQCDAKdgytjo5jFc1EBJSOIFEqEPa + GX7zI+9+Yv4ZcIKqpMQnmm1XEolyFE4gdcpOvE8Ni0MS0QEsfuZtIhaNA/Y/OXzo3R/+ud5fXaTe + OzRMrDykuNofs+G5E76SrnSHe1/12j9zj3uOG3bunt0T4wAywZnphkIdL2hrZVUqFx2TTQuhD6G/ + 5557nHP333//93//9+/t7cxmbQih65qmaUx/7wRb6xCC6VLalXaMcWdnZ7MLdxOtms/n5pzXdd0t + tHk7Kykls3Mjovl8jvF0bhVEVGoEo23eBukcq7O3mf3kVvKjqnYpYqkNw7BxOaeUzIjd0um67mRH + 5GvhvXfOWU8xyzozDT0Tzjk7Netrbdtu4IG6xfzYp6xAQgjOuc08I03rEsDe3p61HBExMUz7hQ6A + iPb29k72tuz73gw+LYUYY9M0JfEQgoUl2PmekE7btl3X2XuaprE2sMF5AZjNZpaO9/kSa4N+sa38 + mJAptqEDWexCrUbs7DZIZ1vUeWGL1HnhumxlXmiapvS+EIKZodoYdSbu1HkBQNM0lg4z2+C5wXi1 + rfzUeeG6bGVe2GJ+6rywReq8cF22Mi9sMT+VyiWhxilUKpXKzYMZInLXzu4V077zLqZ8h67IJF7o + Bb/pNeeqV/HKGy5jzMHlRieqqBOm7di2ReVgF7CFuCg0L40rSE3ZFVhVS74YMMhHclpEka+tUEmj + +O9a+N+KHvuovlqvwyqVSqVSqVQqlcqNY2HlACw8iO5QbnUxV24lFrVGRLu7uxapsK12ZUG3xWHa + vu4mOCJbny0BARgD/rZ1Xluk6zpbsrXsnRDTfAuJMVpYgP0zhDCbzS6hfa9zbjabWawSAAvvODlC + tHITsEiOlJL1KXuRiLz3KaW2bS0GC+Pu37OmbyNYCMGe2DeqqoXTDcNgwRMppdI2KpVKpVKpVI5l + at139JgaCfO4V11O/VjCIYqL9HVDItZEWY7GIGg2AtViTn36/IwpylIjduoeulzbPdk+c6sow3xP + 4QEikAN7wCt8WZY+NkjjlJAbTYwZcFPDZ4Usi3flKyZOyZYFs8JVEPhG79TQ0k3b5Se5qM1U2HI1 + 1tq0/AW5uYJ0tQZzijxavE6dMO1NMpq8SrG/tPZz/ox+6sXOllhp4lF6JMBgxedbj0TjrFeABxpo + A20ADxqrbOIUO7UvT0CERCCNsl9rTf+CU7rl1AR39YaFTIx+c4sqz08xQuQnvFowtJRzyMfN8LRG + qTzRia+t5AzyJHDl+PpbDmETn+AsWjOGvtDSYtlB1qRP8je55aRggwATuAwL48h+zGh5JwSRFatb + 5ICn6esjuaSXPuKTBIpsDXR0ldcG0oyOyTYy5wrV8g1FcWYSllUm0+nMxZPqnX7xRezQxHkOoGzv + m3sT5U69MhhaCes4HxVT9mXD28a9SjrynMY8jrbi2XYawFmvN8ponE/52hnOtT6Z2kbKtdPyIqo4 + MJ8lP2d9vAlomR+tL4xFVIpp2Sp4ecUwRZZDsRwZbljAEQzYJdbY3dSDGLScgmWZGM7v+mc5MqzY + lquOVykO4iF2BehyphmSGoIK2r0WQ48nr/7XD7/yRYnv2z/chTbAXa1PBHATgLTmED9BzUIeIpDp + xfOFag+VSqVSqVQqlUqlUqlUKpVKpVKpVCq3O6rqiCUm7z2pfuu3fisDEtJo97SFFfSYYpGnVFUi + mG324RDUBE9Ff/CHfuirv/ZP//hP/tOmmw0xDDGQ4z4MYGpn3dRAunDiWuaZcc4559q27Zo2heiI + HJHESKoMpJSY2bYIEdHGSq+3KUpg79q27XZ2fviHf/iDH/ygAIvjNGpLpIoDNKV//CM//PKXviz0 + /ZXd3f1nnpkGfFUqlUqlUqlUKncAQgIIQ2glyBm2J1cVSsKdfPrpP/zgJ94vs0XilMNtV32jk2rD + joPnuX/Dq76SD3Y7vyubOAEzl8BOEqEcdT+k2DSNqpox4f2zZ7/iBV+EeeuSBwCztTaJRfVjSOTR + DSmsTAkgB4umlSSJSLz+3sff++n5R/mKj6QxhsYzM6dYr/8vAbTWTtbbjP183sT7WYGVaPTVryUS + EaKsycC50x1/k8B+0Renq60YUZtRSvW0rlwy8k6KghJ2dnb6vgfYc6s9z7Dr+87NuxfsvfgvfuVf + 3on3NLEj4SHE2Qzh6Ea46cYKnSSuzGZKPXrWJ2BIQTqZy4Hsxifw+E/96j//8NMfCLO522NVjf2w + 082AmEiEGGCnxMCFlsi+uQiNVyniSTyNG22Vh77df/cHfmloDhc49B6NoxDAgLNtJQwhJIYKdWHn + 4Qdf/NDdn49FN+NdDZRiVBXfsLIJHbMS57K/bpZEiMgUS2KMJmzS0KwbrrzxVV+h+4yBHXkAwrAh + n8adS+a3DfDa6F8s2ElBROxd7xef2v/YB/7ot3lXwInq/cnrISRCCkRWYWVSl68PSQA4Zg8fY0oa + /S6wG375Az/zBH1yjkMwvPcpqKTQelKOyiLjfivzGvepw9P8yAu/9FWf/wj3MyczhodQ0ZyZDgu2 + b+cibjGrVCqrOOcWi0UI4b777js8PEwpOede/6V/6u1v/9uLxcCMvg+mHOWcO1mfqkhdXblyRVUP + Dw83u+QehiGlZF5oZgBJt48OpGXVsr27u5tS2sAzcouEEEz+y6ZscwXeIB2ryq7rAMznc1uT3aBe + tpUf55xdihS7u5TScj46NZYTy4PlLca4mR11jNFs/MzlzororOkMw2BCl6YLNwxDCGEDh85t5cfk + H+1JcZLeTF/RPnhwcFD0JE261mpQVUMIBwcHGIUxj6VpGjup8oqIiEhKyXwB7erUavCE9lmU8Wxw + MxHODeww7atLHIXd69jMlnUr+SEiqzLzyLQOu9k4XPx3LSclb7eKOi9skTovnMy25oWizGmjuvf+ + 8PDQiutM3Knzgp2RnZ2dURm9b0l+6rxwXbYyL2wxP3Ve2CJ1XjiZLf5e2Ep+KpXLw0V0IKhUKpU7 + EnZIAgJjiM3TVzFEqKgHAF5ZqL+oFy7lB4UJRdJS3penmoFaVywvB6MSKulSVJV0ItlKcnQDm45x + GAokRmIogRSNCSeyKklWi7xQgQoMNP6g8Yk5n2mWyZ6+Kb+iBAEHh8DL7Xxky/lLb28BCXJxSe0x + lUqlUqlUKpVKZQNs5cMCyjEG8dxGy3iVymmw7W0W9NC2rYVibFG2xgyz7bnFUtycThRjtAV4jMui + xZ/+omFRJhaXY08uoGxQiRQhomEYvPcWUHJrc3XzsUVx7/0wDKUlX0J774uG6W21bWvaWxZ6a0FX + e3t7Fg9RYoM2CFOzuh4356sFSaSU7IkF0tm2XgsI3u7ZVSqVSqVSuWPIQjl60kG65nWdgwRO82ge + qAxeexzNAgFbPzW/vcmacuGIjGpOnvLj5KVTPC6/kcfD9IMgiqiIyIdM/EPP9VKKlZtI3YBmQJPM + 8TQxIiMByQo/KqJSAEdwXHqdnjJ9+IQmohnQDPB2BPjRv9FOMAJREROiAgo/OjFPnUTLkU1tR0PK + syLQSBqdRqfRI5p1ukASJEIEYv6OTsWt2F4ylCGMVDzWGcSJeXC8cDz3WDBH+ASfxsJKoGI/TMju + pB5oAHOOPFcULGgEXUKj5JVZiROtxdsIEEEBLoCDrFiqj81PAWJz4sxnUuIzitnp2G2sxkAACSE6 + RIfoNbYaW0RGFIhAdCyCoQQ2AAAgAElEQVTqfKz2C2VJLCkbYV4UCGggDSIjEiIoKkVFFESyNgNp + IKR2itkVXca2Oj2mxqXlxTFcRRhCEOuD+ZDsjE5jf2FEOu8oL8oCZxEIQAQLGDaKKufIG/FQr+AI + HuAjOC0P6MQ9HTjqks6MDtiBdqAG5ME81aumbGgdgAD0QEBWjbNGxss0dT36bRuK3LceE6Er9vCT + SKGx17DA5S6jFAnRw4a16BBJI6mQrnoV6xhfRwz2oCbBCxrkwwu8AiuzUpklJwkUL+viab0SbzXR + ILsgiDVL8hE+giM4gAMQkKdgsZZGARTAARQVUPJ59FtOBNOWvNKLz5YhQq44NhlBUQgoKqIeHYHN + 2FjPcr0BTDrj6hBEy6aljERQPsm8GdfQkT/T9dhZH8+78dj8mNBFNKVJ2GA1sRIXICaEAWFAXEbi + KbyiWU6DsryYZEmmTQlOY/oJDdjGN4bLV5sMIURCAHpBnxAS+FyvfxzgJjKXNqDI8k88Xv6xE+eF + feTZAIpKSII5dPjRP/eXnv/pz95/OBdEO/dnhjgokqc+69avzEql2VC+MsgX4TT56wVpD5VKpVKp + VCqVSqVSqVQqlUqlUqlUKncApok5DINndsRvfPQN3/jn/jwfu+Cy0QLMrJsBCCEws8WoKxBisqRc + 4w6HwSIEPvqxj//Vb/3Wv/iX/v33vf932t2dPsV21vm2uXqwX/aDkK4fN46lo0niEFKImqQkrkkY + ZIvDjjj0Q3+4YJDElN9A68ftztFSHW320IfwoQ995Hu+9/sidHdnJjou89HK/zmvIdOP/uN/8pav + +MphfmiRUq1v6v7BSqVSqVQqlcodhgJKalGaUAf4xEgszAyBIw/WRbP/7sd+ZZ+eGnxfguWEBJSV + /YiwCIudnR0/d8+78oJXf/5ruW+GQ+XmzPt2+ZifSMsoXYnJKXfY4bl77Uted6970KeGxvyYB7ZB + AOnKNpnsZkoQgAkpQhXMiKDYpKfkk+/76Lu0RSJmhiNoVNVr2gxX7hDK/iDS6V4hE6Cw544b0+gs + FtSnh68R1k/jV4xm1df5pWl74c3Vmogsa5sZUhPBbm6Ub98klUrlNoRLjLptcCQoQUhcw1ECkRIR + KfXz6NXPaE+vuhc/+1V/9o1/eSc8cJdrO0Ic0LYoBrdQQD3h5PuN3DiSkN8yRLiGaYcO4jx18Rl6 + 8sfe+b99Wj7ydHoycSSFJxYSYVU4aOOEnQBAunxz0WT4HL2rSdQGamWASJ2Vu1CMrv/Q47/7B5/5 + 3dj21MJ7H6Myg5lYAFFmihoDAG7vdQ988YsfbYZdCo0GYkA1KpKyKs6sTeycUxudgZRS9mJJmOmV + l3zOn3z+vS/CQUPE5IkIRCCdXupInnomO57GjQlib2NmJfTo02z4tQ/90hPhE+xPcT9Zef24TAiJ + kioJTzajWX8nUlKlCEqsCa5xoek/cfXDv/77vyCzeXBCTafi0gDC4F0iRCUR23kEQNmltg07n3fv + S978yNd2YXdHdlvxw0FwriXYda8c2X1cdWYqlYvHkbFxGBbOUdM0V69eTSkwo239M88885a3vOUd + b/8fVfKWsMZzSukEO8ai1Keq+/v7AIhoAz0r733btsw8DIOIdF1na5QbnOstgZlDCF3XicgwDMzc + tu0G5bAtmqbp+75YIXZdt1lhmrhc3/dEZEpi5qx5q/JjNsZFE9LkzjZIykw0zV7Oe980jamlbZAf + +2zx6Sy5OhPmMGrnZRZ6xfruluTHPlJcVFXVMnbWdCwnpuppgQ04YmPZ971J2J1gE2hNbk0z02Tu + FouFnbJ9y8k/+e06tmka7735hpq16lnPy7JRvAlFZDabbVA+28qP1bW1HyLq+94kMc+aDgBmtgHf + 2mHf97dQ/7POC9ulzgsns615wfo1Rk1OVd3Z2dmgP96p84KNdVbvRaF0A5vtbeWnzgvXZSvzwrby + U+eF7VLnhZPZ4u+FreSnUrk81O5RqVQqNws1+UjFMDzUtD/91q/B4oABW+EbZRdlFJm8YBRtPoIC + cRQ8LbK/NHnDijpj5TKyKo94zK1jC79QGVe7SdkJoJGwKuR6QWBG557u/MAM2/2VozHoWhESiuVm + OR0FNy2egBWu9HK9lhhppVKpVCqVSqVSqVyHsvLhvTc5kupdWrnzICIzf7UVawDOuQ2WRU+gJGsm + 8TdnTZGZ5/O59dnNFkRvGuYmjrGIAFxAu+iiyKOqbdsWf99LiJWGRZavFU7lVmEba20oU9XFYmER + t865g4MDq6ASG7FBeJmI2Aet0i2W1zlnBufMXFzeVbW2h0qlUqlUKtfhZL3UlT9J2SB/mkeXjTq5 + ATzYmRtrcQqcoNlnd/nZpRF1thXMHyT1DuzgXfbnO1VOjtGHJVEgTfxvl3pBN2vlWoEwumfn79Sj + dcEAJIdmHGtteVL6aUwfo2Omy0kwVhQWzCPcbI3zZ3Htwt20eCSfSgk00bzAzoADO4BHw8WyNXdU + gWJMH8fM6OhAbtEsyCeYvSeRfXrLKcGCd0hzUdzUC2XNNt3lq1dVpqzgl87xSwfikkuTtZpq+NL6 + 66PPtWgJTShFrWqFwwAVWQ1g1cNVxn8mPndb97MilAVYFFAdT80BDmI2qCTj2EIMzg7WAlhJUx4x + xlakQG5vuf2jtBxLJxeACTrkw0E91ENddrk+R3TSeVfsRVfcqWFu5GvGxqXVrNdi6QjTf9JK0JeZ + 7I5J0TK0jSa9SZFfoclbJrm93X+FmhTLOEGY5/G6ATxIFJJWSnNyELIdNa33YktdgWQK40CjaACv + y8FhleVQcWzBjv6+47MLGH+1aoBePNAtfnJsbqt+0qPZ/PnMR5YZGS9srDtPx1EBBDo+yrJ0T/lo + Uy1Pp6EJMk5e1oQSJMdk2vA+nm/pTUemjDPkZIPHmwOtPufJ1cEUheiaVfs4jy9TYAHL9Jpn9e0T + Bfz8BqsasjmRl5PFubS3Zd3RckC3YYIAZ5OO2KybLaihgPegBO05RRw88/i73v15aBskB/TAAIDg + G9IUusYTBKSkyJdVOrYZNQU0QIlgl9DsTjrFW9YeKpVKpVKpVCqVSqVSqVQqlUqlUqlUbmts24tz + zral9IeHf+v7/uY9e1fKcvoNrrws+oVjB0BEVDULSlKOIEgiApAjjJFC7/yFX3jz17z1v/r2b3ty + /5nDMBz0i7vuuWd/cbj0V7NFN4GT7dhaG957KwFV9d5DlBRWLJZnU8NU1Q20UG9fylI0mPoQvu3b + //rB4tB5t3+4IBAxr7WPMUoD//AHf/DNX/EmiWlvtsPIfuZbrK9KpVKpVCqVSuVCkKUImcQ78VBO + LImFhFJS55pI8Un5zHse+yXdkYQAijBfSftgCQ33SEF3w94jL/ziDrtXuns1Cm9ioygEsPL4WQFU + Cc43kuDAjrgRdrF5+KGXPefKC3zqXALlmP8cBEsK1pxD+yG21CRUVQEzUgID3jcKDkiDP3jvH7zr + 6eGZgQbyZGYbTdPUnwCXghOr2faqb+V7uPyoVBDYXHQB21ZyEsdKTGxqa52pO98rlwtl5JmFScvN + QgHk6uKq3/Gu4cVw2O10zMzsU9CWWtd3r/mC13/ZK97C+3yXOg3QVDZl2AYoIc275GwDFUFAtj/C + XmSJ2jhGgidc2fP7BwJWakEtDungY/MP/7Of/7H99inZTdrERT/X7MHLrD7vPSTIJZ2NrL7yP5Sg + pLarlsYLDKUoLgzN/Nc++IuL9qlIwTk6PIwxYnfXLwZVASciVoWKouHZ/c1zXvW5r5npbsuNxNg1 + vmtINcQ4KFK+L6hECigL4eRbyyY2YrpMTdOYvZYKaHCzuPvah9+wR/d7dUkG2x5nE0H2z6bIWhSh + QYrELJQll/MGCtUQggK8Q7//qff90f4fiA9yATcsXUhIRz97IHEUloQkIpzYwznntImP9x9/70fe + 9cT8kwvpxVEEgVzrsdO4YZ7ySEFIDCGweh93uuGuR1/11c+/+2Hft+kwzJqZ55bFg1szz1bKxuSk + dZ6tVG4bTI3KHKnt+TAMu7szVXnrW9/6Ld/yzapQRYxiDnbXSscs90IIdqVtzoIb2yUSkWUGoyng + DZziTaUYlVn+rTQ2KIctYh6ExTXQ5u6zJsLMdhZTubnNbG63kh8Ai8UCgLkYmujZZvqEtnBseqpm + jrhBIqXxmxRb27Z2abRBUqZFabZ5G+tSbis/JjBrooJrffys6cQYzb4xxti2rZli2shjTWtnZwdA + 0ca8FiViofih2hUpJm3JwgNOVtc0q0UiMhfJzeIH7CxU1UZRjM1yA7aSH+uS0056g/3d/Ckxdttb + RZ0Xtk6dF05mK/NCSsluQmJsvRuf1x05LwBg5pSS2Tbb8w0S2WJ+6rxwAtuaF7aVnzovbJ06L5zM + VuaFLeanUrkkVFvrSqVSuVkwIgDvGsJ9Q7pyMIdTRqAx/mypR7mmZXlxUGDUU47QBNEsAyjlryAk + yqbXlTsc1a5tQwQwBp+s/r1siDohCiUpqGkGyMw36BcIASoYbuXPtmNgwsy/7Z0/NTARyCmcwCuZ + +KM5dy/X65UxvgeAEhIjcX4DOwfRLjkkRoggAIzb52d2pVKpVCqVM2F3b8tKymax+JXrMr1Rvl2j + 00rlgmO7dGxNxZbBbOH8VuercukoLXCN6e443XQWLB8sq4bntOZXkr05a4p2OuW7SojATfjqs2K2 + 35jk9mLm0yhN7ubYk19AyonX6eD8sC5QQvanPcKeW9AtgKZpbLI+msLUahrjRWzpZRZnVsJzMUb6 + mll1SafUcowxpVRyUr7Uwi+Y2T5VousqlUqlUqlUjiLgBE7gACixEguRPQGxPbE3RHAEJ7BCgHjq + QyiBImgA4sTDWZBN+wAAurQOjRid/Vb8YpWXDpCWQjR3UcWZ8kPjQi2xgmVUATUXZCgh0fjlBLMA + Pv8QOwW8+RwLlBBEgpPkoY5B2cmb4RN8Ap/1Z7YVGylahU/wAj+IOada7Q9CYjvkxZOwS3AWA6DZ + KzoSIiEyImEgJCCUTJz9V7+Uz5Bp3xCEMCjZkZhkYu5I5VOmmJCUUpbUZRGNAFjRAB2wC+wovLWN + Hi7BBXLKpNnfeum/C8mO33LuAS4KZcApKChFUAINJqWFtEhmehojKxpFJ9llcxI0pABZ5hE1WY1Y + nUaVXqQXREYaw3WixfbEADDgAQ800AZoQA3QkHqvrCGZhefY/TmArHX1Q2+F5KLQ9cSJbgFmup0b + kcl/EYKiVwyEwEjmT8oDY0EQYOgXVqQxhDXTYWDpPowxdkuiDUW6tG1OEpOE0TedLDpqkRDPP0BK + 1QFe2Ss3CV7hFBKRYraCH5IqZaVpX6zrRZ3CqXiIakKxT142LDnWs1yBpIiEgHxEcMqtqIM2NhQk + jMbMo4O6MkdiGyuStR9zGb94LeisJE0ABk0ROgBDdpPlHtSDIjiBxknEQ5p8aKNoEvwA34PnSAPQ + Q5MJVdsYKyCCs/HhUCiBAjBY92aol2TTkIdySlCBAzxAUBUxw3tJ1kyP4+TCH+8S2A2Dm3PLgE2K + SVIDNIDTyJI84MH9vHdwhA5aDk+afYZF8tQTCWEyHwVFuZ8rm9zaZTa5H7MQjglKqT9utdEkh+j0 + Fz8REOuYND2ybbMSwJPYS4FYA+uBfrxWKo7zSOoANxoVQzSGADrTxdhmxzmSRwlRH+EFboCTsYCB + xcJqgVNyhMaj83CKpMCgampKECCKbWpX5ADcUm48joescIrYKylUIAkCHPbJlLxiINKGtCNtbNQy + SnuLhMC54SVgEM3uABudcs7Z6GwtIAIYPg/Jvdg8lAMOGyQX4RKkx9WD73r5K51cDTwsIGE868hI + oo1oE4JTZbVr2eJpTQTquGngkFweoCPl6lVcnPZQqVQqlUqlUqlUKpVKpVKpVCqVSqVyByAiJvdM + Ckjanc2u7M6++7/9Llvss9WiHOB9onbzCSQ5sipqgeOEJKpATDoGVUEIA/D3f+gfPfK6L337//L3 + Dvo+AmAm5w4O57blp3WeACZyINKs6GoC96bveabsZedmkRLQbvZUIkIKickRm3iiBcZPZUzvPKtm + C+MPKZqbdUwCduy9gP+H/+lv/9tf+HkZa895sr0A3WyGcTmRgYb4f/17f/frv+5rWRRJJEVVDf3Q + On9rZTQrdza2OaXYy5VxoGxmsVcu8uaySqVymTk6RZbtsRhn3pRSFSa+JBQFkvK8mqdeZHJcnjIr + kTSsTjgKJxE03AFAJ7/y2C8+QZ+JNDhHxCDS7GwNAOAEi8njRHfH+97wsi/TQUWE4SA4836Q0WYb + YEAYqhwBIXIhqPeek8ZFf8Xv6oF//au/wscd9Nz6ZrGAMrglYk0pjelYmpNzVWZGEDCDCCkqAHFp + wf0fLx5////3Pt6hiKisje+qVNGlxdwpzGjq8PDQ/nmjl+JjcyKFCFS1aZoYo6PrCxTYHMrMIiCi + pvEp6WbDqoiW3x1t29rzTRKqVG5PzH/anihBSbjhQfteeu64lyGxCEn2NFbX9fe/9TV/4bXPf7Tb + 37vX70gPZg/XhiDMYIhEtL4xZ2vSZfqswsqkcPAS81zY97FtERNUoUqD9roX3/uxX//Xv/kvnnSP + L/whZoBAEzlqmFy5YRgumj7w+SPHjExZG6RpOmYvIsopUE97ePzg47/xkV9Js0M4xKDeee94HmLT + wG69kiIlNA3p4F/38i/fiXfTABZ1BNIQUw9KbiklMt2wwHn7gR5/PWN2TebYVKYJViZBk3b/1Iu+ + /Ep4UAc4T8yIMd+GFWLbjEYQJSix2WcrWMib9bUASohRmlkH8GFcpLsOfuF9/29wc/JLORTDBFhO + ti28bJAyK5MQgMSSOEUXBDJrOl1oIw1DD+IzT+BT/+59/watQK38aUgDO6Qh7TZwQOMpJCSFEhw1 + zbD36i943SMPfxnPZ534GXvpgyeW5FT8eN2bQPWXb6Vym2ELat5zSoEZqsleUSQifNu3/bWv//qv + sxv2kpLnIn1wjADCmkfdDWZsKnV1G0m6TbM6PYVbSLlPVfK2we+76UfMNvLW5geTX5ElwY2vB6Yq + bSapeiMplFc2y09Re7NsbHZLeVv5sSu96yZ+XayK+743/1GMp2lOh2s95bqty94wvQQtGSvehzix + 6NZUczfWKS1FWlLY2Mt8K/kpeZiOwDfS30snvcEsbYs6L2yLOi+cPkvYdF6YJpLjczbNzB05L2A8 + EbuxgE3LZ1v5qfPCyWx3Xrjx/BTqvLAt6rxw+izhBuaFLeanUrkM3DbDeqVSqdzWKKGP8B4JmmKk + fn6vKlKkuLAIAEY2wb3IaBJVMBAREwKgZAqXRel1Vfe1cidDAHR/f79r2PkWQFSd/lq0iBZWPbp/ + TMACZhUGGseIsYGTYYAQouBwjtmF+P22xAGdQ+cHZowm9CMrv8eUIAQo23uEsnJ0FjwnhJg0ifYB + CjR51Z/I1z5TqVQqlUqlshl2i3wYBrsp3DRNsRisVO54bJlzsVhkgQ8i3FbLeJVKpVKp3I4ws8Xm + eu+HYWBmETFfalXt+972XDnnNou9mM1mKaUSnquq3vuUkj3GGL33JiLWNE0IwUIi7MWps/X2zrhS + qVQqlcplYRGSgglewFF5iAB4sYiirGo+1ixgBSfw6MmoUD3NI1T/f/bePdqW7Crv++Zcj6q9z7nP + 7la3pKb1bHW3Wk0LoSfijUkwIg4QEgcsySEjhoBAOFhogDAhWBDMwzwknknACGfYCcMjA2IMNhDx + sC1ASCCphQQtJIFkJFA/7uOcvatqrTXnzB+r9j7n9kO+p6Xbfbp7/cYe+567zz5Vq6pWrZpVa87v + m+dDa8BCszHsNIzbBhyaL7XqX33gvnrod9MwgmC6SXnbeiNfXks27SEYGVgNAtZ5CpuslvcrQwxg + kINy3Q9XaLcfbLOZBxjmAUcwCHkYQXCJGO1DFm9VKAOeAC1lfwVVMCMLbLZWdExUHWqrayHBFATk + lBmAWD0cIoUAV024Caawo8vHGFjhLgwrARlcMVhRCMEFuADnkKV+LyWBw5TmTBWe/XOdEKrChoFF + DAApnAGDegUX6NbQWQEmFFTTXQPLxhUdhNli9ApDgIcRVNI4u6jnBBQwYAjBlSwi5j1vbDIdDnf+ + QwddTMF1EyxrAdREomPvYKar9QpQQ2HSKQ/BO914dl/ScQww5PUUXCCruskMgMEEl3IBOMYFwDIV + cAC5B5O9eGQwBjkQgbwZ5yIEl0aZ+8881BgMY7Gt62ff9SImIiEEKQWGg3HpwKB9/lezBMeSFI7A + QO1/ITofpRiBVRRKAIMDBFc6BZeIVvv7839ELSsKmOECSkEqFgKpwgzMc0KLaYEZpgE5w9QT4XDP + 32wvAQ46TmsQhnGousjVa/f+HFjR1xOLq+DyxtiaOW+NfLd/IMCjXAGDAIAdBQYznIrVscigAKhe + CoGUEwMqdunJBt04E9eLyigDkSmKaObqN+vUkMdpD1COPBsc15IHhyLFORIRESsFXDt+EWeqOTEx + GFPK7EgVh4/bcU5BMhOGeSaCjsPKEXt21aJ7uVymUWAouYqHAhvn79ptGWCat3R7PaLNaWqqD61c + pBRosTnHKxuyutjDyLYvqkcLoBo/XHa8oXSQSbl9KaAgUJ5SSclycVAHTOM+w3Dp4dumZTomLYba + BDOw+egAPVL8c+R3u8LPlwwoGTCQQjL5emmW6tzeL0MuAoCJoCBVV43AbQJboRqmwDOzHb5K2RzY + AGTwgEqpp1voKRdjBjsAWHROBCYI3lneHBrDejXQRiYeogSIKEznjqcWmFztcw9pi+fwgxVUh2Ew + mHQzZnZcWy88j6iODMM+xvSaG591Zr06s+wuaCoMoXlZ9Z9gCBsvhOppvV2jAec1wzFEwQ7kzDbf + e7Cj/wBNv/L9odFoNBqNRqPRaDQajUaj0Wg0Go1G49FP9WGq1V7OuTSOZ0+f+cq//bdf+sIXOsAR + MZBT8t6ryEN2tv54EHBocjIbuHcCnN9ffe8P/tBLP/ez/+Uv/j/mWIGzV189TZOITNM02/sB3vu+ + 781sGIbqa1UL1j7xdvFxnsa+YqSSh2ns+35MU+y6xe7O3mp/yvnnfv5NP/ZTP6lAjCGJLpd9Lhqj + B9E0jiGEGCIBgfh7vvsfveLvvFyL9CEu+g7A1he81Qs0rhApJeccM+ecq8O9qlYt+5xz/aGmYLUi + 7kajcTzx3uectwrs3vvlcklEtRavvi+XS+990yZuNI4rBHg2Rk23JNQzd3+8OPLw9g++dRX3fUcl + 1XxLqxmZIFQZT6cMZR3s+c968Rm+KsAbJLjoyB/troQUMCOF8SaRfr4zkmKmYDhmdiAU7IYzT3/i + zSfdVbt8CplOLMGMlKQU8Z7JFBtZwo1RtvJcD8OofpkGMgYVQDRgjemO9799hfMjRgp+KpOqtgy+ + xwUPfpjNbKvO/0m6H6xGpLBNwA/w1o/qwf7mStyKfrKePDQajyporo2wWdXWyIzESIVLfRkXA4xg + Jo58lOj3+y//rFc+ubuZLsRdvzOtC4DFwhvUBF3H4ypXE+ZNaYluL14Ak1WTY082rxfGAIsIeSqu + 8Gn6rTt+/S3v/+1hcTH7yQVHxqWUqSSOThjjOJ7Y2XlE9tcjjQLgerHe4MLBfYexJKxHt/f2O38v + h/3iCwDWaGCj+VrPzI7IMQWgo90TfPbWpz4vjJ3TWg2hIAFUqRhwUL9VZZxJ2WBz1enRCC664nft + qk9/5ks4O1YqCX3vuBqmE4w2NQmXbHCNf5hn92uEjjUnmOfOD3zhA3e/597xrkHXxURVSymlFBFh + 5q2/V2PjRE4wB7CxGolyMVI4nqZpd3d3GFbqptKNv/a2X97jc0ZKBiVSgrLOR8dAAhN0HZjBIE7h + rH/yF7zgb8W8G8rCCztTruf7XKBMAEBKcwXLcSoLbTQaDwki6vqwXq+///u/78UvfiEAAom28bbR + aDQajUaj0Wg0Go1Go3EFaQ+XG41G42Gii74+8/eO+25h4wp5QM5Qw1Z9eJ5EZjuG47MpBTZTyamD + 7+AZ5uaUBT1I7wNwKIuh8ZiFAOazV111Mattsgfsvgf+vtlpZADYiOs0uTNMonA8QVHyz37+f44h + oeuKlPsmODyiGLE4j+DksG/3pr8bDlyrN7+Zi+sKIzkIzzqlADy56MLJ3VMohlKywdpUYKPRaDQa + jcYnQC0v7LrOzPb39wFUi8FHul2NxsOBc24YhlOnTtXq2eqg2dK7G41Go9G40nRdp6qqGmMEUB2m + SynOua7rvPdb+Z6HsPBxHJ1zVcssxmhm1cq6vtcfqpH2/v5+/W9KCUCt9VLVj1Mz3Gg0Go1Go/Fx + 6EMgQAqg8ASnjhSLGNnABl9fgAci4EFsjizAwuW8o1oXe6CDeRSCdFiV5Hd7YQgdzCtXbR43+zjq + wS8IRhCG3+1XOUnEBBRGCSiMyQA6WnuMSTfaQBvrXzJQKQAxvAegAgu0miz08YrGWAQEUofCECkD + KJMlh+wweSRGMmRAqjxMnYk+4nS6eigjT9MenPgTEZQhA5zC6rqKq1keDEQgAKzkCqx0kciKZ3Fl + cpo7Yp4mp+qLkIEJDM7TQ1Co5OXitMFPhZyPCNGCMwdzKED2ThjmEHq3GsYYec5J2ZhbM9gMABPg + XChDptp1A1cP3dJhz1RcVeSYPR9lKgAMXMAZnIAyK4HoFc5PUJhCivMMZ6Puy4JKZxen8+IyXA5B + vRNCMRkIWvK08bTebG7NICKAOEMFGVDPrJKid2lcW0mBcGK5UElVfMRIFaIQhVVn4bmfb0xVQ9+V + 1Rq5IAvnsj3BFyHmbKpsYO56A4vSMcvfgBCvsxlY1AXfkXHsuylnIZNIFiEdjWbeU5hdSJHVyBE7 + BwPYzTagtklvslnYzKzKbxCASdPcJyMlmBCmMfU+IHPgCDg1Fnbo/JXfP7zcPWmEVIQiU+Tq+QqD + d4iOJGWYMKtoVowETSkAACAASURBVMpAVh2BCdXm3bLZqMi1G8hWj8Lq7rRFFwHtF35MK0ExKkVH + Q/LIATla9iZss9epmZBOESWN+0CpTrPKmGCXaN7MJ+3D4Rx/pakKINN6Csadea/slXvhaAiqPeCg + yxAI6hyBoISyeems/2EO4qFL5x3UAzApkkAKiKKEzhedwIIIdCgeay0DZfEyyug82JlSVgIITARR + 75xqVi2xCyA8gEQY4QHUZY4BRGTAer0GsFh0ULGciOqekNgFAD5QKsYeRUFOQQUo0JGsUBqcFWel + I3YpOVVvoCIMkHFJR5sfMaAY2LPzXjNADhzhY5kyyCk5IZfJZaLCJA7GBBwl3mASRnEoDuJgDnAH + g3oIPvgYXNApkeFE6F0pHVBfEXCbAAUGU7CnLEWg6zIW6FpGAYDLaslDfMcVVm6dhwg1meBJaVJK + xWWjrJSyDiGo6MRcSlkxAyUhTVyyICugZgg1gKMaEswW4EDAvOtMC7MKiqAUFIqWkAVimiE5Mvws + ySUggMWQlrsBmlEmshKc0rTuWIOhxj9RlWxe6UPobwoItF6X65jMGz0ykznIHmGJMAEGFQwoE9b6 + 2qfedI1kJ3m1nhyDDG7eao5CUQ7CBTerH81G7QIUYHdn955hH70vJMKQ4MUhWz0hH+joq4f5S94f + hv7QaDQajUaj0Wg0Go1Go9FoNBqNRqPx6GfKib2r5taOWFVXexf7GH/kh37omrNnzSwwBx9KKSFG + PXr9l91fvOP+H9HBywU/TULeicF34UMf+eh//7Vf94Vf/EW//R/+/bm9Pd/35L2LgZ0rIgYMw6Cq + 3vuu60II0zSN47hxt3oAyDYiOfdpFB28KkoHv/r41GU+2JIfXSyXvY8ulbxz4sT51d4kJS53fvXX + fv3bXve6OnU+pEyE1XoEUN3QAeScU06O6Cd+4if+x6/52v2LF53jKY3r9TrnXP2Gp5K7rnukt6/x + 2KSOYCmlWiNT7e1zztiUcnvvq+l1jLE5WzcajWOIiIQQam0dEYnI1lytfoGI6iinqq0ev9E4ZrDW + PDhjMtTiASMVEd+xdfLuv3jHX1z8oCzzmMfoPdUzmIDtHYcxmXc57ODkC296aa87ZCg6OZCVI99g + 6P1uXupNCpFj9mYCUnakWbmEq3aufeEtL6Uh2mikMAU7uAfJuSNTQs1FnO0kq0slIYMTPCeUP/3I + u//y4gfQm5AY6RWwEm4cM7ZWqUY4ZJu6tZE2syIJUDP5JCq81pr0vu8P34N/nBL1rZRTbZeZPeTO + SXSwdap6JQyzG41jDll1Sp5/Nqrm1vNLyZRUyTh2Fy9e7J2d4uWp9NSv/uLXntLr/bA41Z0oU0q5 + OEeqUHHeBbvfFUNJlXTOWzdmY1Im5a25tYjFGKds67yaFuf/1e/9i/fc/UfTcp0lOVDsHHmdkMUR + wUl+1NcHHR1Vmms5a41trc/z3texixzUafbTPeljf/Rnv1/8YFRHdQewHjy7VROFWEcL3o/P/pTb + P+XMDcjMxiBVknqktlLGtW8wlKE86ztXk+yjSZQbqQmWcvoFN37GDk56iZbhrAZdgNVeMW/gvG5D + XUvtnDVWYcBUGQToxHLX+JF3/vnbpZuUCzs4T+xgEJA23bMt1QqdjQE2ggLCWs9rIoLjYsWi5jj8 + 2V1//Ht3/vsURwOzRsCMsrIqAwY2RBfHfWMQFXTWh9R/8Uu//MknnuXzDmsgY7bqjG5aux8ZarR5 + CY/D87fROMYYHw77Py4KaB6HZRcZSmY/9VM/9exn32ww7/yxLB5tNBqNRqPRaDQajUaj0Wg8Rmg2 + P41Go/EwMaQSPU9FPNEw7V9z7TU/+Tmf83Vvfzu8HpogVty/mug4QABzTinE2AUP6DSuu66/5Auk + 8yQ0mojdY59cNBA+etdd1wMU4zQNnXMq8gBzWvf7qOZnMECGjiGmYRE9+CohrCY40KlTdpymxxQo + cM55uW+6DgMKkJFVyeD7sEkbBUBKTFBTGYvcnVboPWJH1eH7WG1to9FoNBqNxqONWhQhIru7u2Y2 + jmPf961oofE4YbFYVCdLAKrqHqy+rdFoNBqNxiePGn/Wel1mJiIzqwJe9bpcPwFQbaePtHDnXPXD + ruoYtXarKmiUUpi5/ly/iU0ZcM65SobVD7f/bTQajUaj0bh81ADAe5DBBCHAMmibVmYAgfR+05p0 + ee8EFwAgQwlUyBiMQLIpplcwoAzeeIBeOvdKMFS3YiiA4AQopAAblMEHT0Quuz3334ha2U9+YyHJ + c1PUUwHif3oXfkIQyKBk5hwjJzLAstONt/dWEQBMADuHGOAuN+uPNk7hvotlGH2MMIUKkkAVasgF + zhETVMEM56AKETCjJHQLkIEZSpCJYgfJ8G5WtSCEeOT8QzMwAYLOkyqmKXeLUHf8INNu7NKwWoQO + wI73GDMVmefdoag9oko1qLBzbAyd4ANSgfPonYo4FxKk925rXeyit80OAeouVdm4P15BjCEE9mAV + KIeuYPKmJxeMtIIYjDAkxOhTQpwC0XwUtmxFfmEMJhBDHayIgrRjguM0raPzgQgpAbajhjzNnWcr + CWw8L8qAXHwXUQxs5AApMCsGH0MITqvVK0EV3h+7h+1igA8KOAcyiIA9OIYCIXIKyeO4jAvsjwgd + JIMAFBgjTfCdmwbEBTSDw6YbK4xpk/XkyGNMy85hfx87vSMGw0AuMOpjWGMA7FCAsjm/ruT2KhOr + WAzOBNM09cvOzLKm4DzBvAMsYZ09OYiAFCoYE3wHK3DklwvvtZDKNkHtcIuNy5R9H/rYpbSOMbiS + QYyiKHUUYqLNqcJwqnC+YwIJQKZZ2Ds4AP6QoypQNVhwVNmUY4gp+r6bL0zDgC7AgCJEgAkZYZgQ + A0TBzMRcM4VsI/lRc4oAmMA5OOeJwQRJ5lihBAKzERUDAVmk8+wgkIIiWK9BHOEx3I1+BwZwQCCO + Pkl2zLzZw/Ro2NcGR8BysSvD4EJAETJDLrO3vGaogn0sBT74kuAACAhOBbFjApKCGbmg65EnxADH + AIjhjz5FogQD0iSL6KCAYxh87OqVQzf6PLpJEduIm17We41e7JJDo/Nv1WBIwxAXCxcjzMDsjOZu + dlh6ngCAHIqKsRVNfQiALWpoRZsVXHarjvZ+ZWG4DgBFFs2OGSg8DoieirEZ0sqRg2oHIGUUATsE + DjRNXtktUACe95XNYeQlbJyY2aAKgYyRHVKBMsSgE9KEGDhN6DuIEDvkjBCgBT4Cys5hHKBG/QIm + cAQoxOCcDw9hSk4NUChmC2om49p+csgK8vWyqwsITxNUsZ6+7enPunaaes71LxcRaQSAsolhaQ6T + 5166Ze6BhKKJogdrgdQu4xHZb7rZcekPjUaj0Wg0Go1Go9FoNBqNRqPRaDQaj3qIiIiYubpBL/tF + SklLecbTnv7jb3zjV77ilUlFVAnQ8nB4e0gpAKp/9pSydyyqf/iOO770K/7rL/2Sl33Xd/4vT37i + E3OW5aJXLebZwaeUzKx6J1c+ie35T3paP8a4sHeRvOviYsoJzAp708///Ld+++uKqnOsYo4d2LSo + cyRi5AgAASd3lj/3s//0sz/jJSmlvu8jczJzzmP2DCMianbCjStKjBGAiFSr+1rYoqq1fLXVbjca + jePMttqu1tbFGFNKdQTrui7nXCv+cs5937eS/EbjOGIMwLiAjK3+V8eyolPlLb/1O7nLhUUFfeDD + AbEBSnBgJ4GSv+XJn/qkMzfY2sGBCKpqojSXPxwBBWh2stykKoKdc2paRBzMe6+l5EmM/Quf89m/ + 8/Y3az+t8nklhB4RLo/CtMnss01mKGndtK07MdU0RyoGqDljrHDvuz/4B898/o3j3uQ4MKMWHTce + y8y1HtsDPfccM3PsAM05f4KhePXN5UvlLs0sxqiTGmxevtGDZY0etrU2MxA+8ZsDIhKRdpfRePyg + hEOlAswGBYyUzIw2tQbV55IMwHo9XHPV1Rfu+dipU1dpWVzl+O/+rW/8P/7fH1m7v+o9sgIC5zlN + pd9ZWp5gCpqVfo22ZRBMNrszz8q5yvVJXYw8jpP3nYjlOMDhX775n5962VVPX9zKgzMV9jQWhfll + 34/7K989jiwMlNTIyBQAGdWnmzUbX1WJmYmK2oQRvb7nQ++4Z/3XcqoeRIYxKIPmUV3qQReK1Id8 + 5nNu+8J0USMiNuP+5sEpz387F7bqvIRNYenRIC0KAgcN15++4RnX3PjeCxd7b2NOjjaVCLZtwuYC + ZGDS6nquVHsspCiDmCyVyRzcCf+WO377BTd/ZiidRyAi51zOWUSC95/cR8qPatx8rnP1tAa0hrhm + AFn2ecJq393zK2/5JTohKaQqdc0oQsUIZlACDKTYiX5YlZ24tHv5M2773Oc/8yVyL3oNVEt0AQBK + ChQ7qKuZzctt/tWxVLlvNBqXx3K5PHfu3KlTZ9brtXP8Mz/zv/8XX/Jld99z96OhlrTRaDQajUaj + 0Wg0Go1Go/Fo5XE0J9RoNBqPLIvYiUrvUYouuu78anX9zgmsRgSdB+N5RpAeyB73kccIPgaYIAkc + d66DGFTgHHj2tK7Nbhm7j3lqHicIJ0+fcpJhaoCZMrM9ePYj2SZRjmoaKAAYQdTSOPlF6FcDzNBF + RWH44zNDxnW+Xh3bQZNs1gW9RBCSbM5DrXqRW2XazZ+wA2Gn2z+9QAfAROGP5wnfaDQajUaj8Sgh + 51xrpGv9QyllsVg80o1qNB4mUkq1/8cYq+Glqm59NBuNRqPRaFwhRKQGn9XWOufcdV29/nZdN00T + NqpkIkdWHKv1t2YWQsg51w+3F3cRqR9WvbD6+TiOfd8DKKV477cRQqPRaDQajcaRIMKY1sHF6Lio + sHMU+JCNI9eUAGxsX4/09IGgZAVaggsAiGksQ/CLIa0WcadOwfK2htoOvW8crjf18TqkaRG7nIc+ + BIYSTDQxO0O4fL89AgjqTEGXbqMBRSECFwHyAetSgvdDSd7HK5cIYUAGM1jyGBQQYMoY5XXPeU6X + crCipIAZAVAy3LVY/OiHPozFZWf9GZMx1GDi4xJaUASpYMrf89LPduf3eFh7A5wl6MRCHDGg65f7 + kodIP/judyIyCOgDvAclBAZYrRDFnCUEdyQJGQICkAaNzACcYtkHAZJOxNiJcBgW3pBGJEFW5PzN + Nz9zx8QZeZAzhQkbK+BjWOVpYsKy/963/j76AOdgvj+xm6AMVhSAnPMplRACHfJqf3ghGJJxNguM + DkAuGEeM+q23f5o/t3dV11sqHPjevb31ddf84Ac+iMXOwV/bbI/Ks+yPackeHFzA/hrLDmQxBhRF + Kji/D3Zf97SnnyW3Aw5aGKakhVVYhaAUk3XFdT/wjj9EH0CKZURgeOedH2Ug9kTB1WQIBV2qW3Qc + YEKsvqICZjiPdZpidAZxmLxJ8IRxjSRYZ+QJZXj9C24fV+Ny2ZckwfPFcxdPntzVYlWlRUlhs7l1 + du585h973/swAp1DWpMn55zAkeO9tLfbndBiEHAgD9QDc4W3l9OUO3IAUZG+6wBdYzRPilGm1Y6P + GASr8g3PvOm0C957y/lsWF44f2/Y3b0Y8OdU/sUHP+A79v6QrTWxQQkMY++7Os5GBIyCnHFh9dpP + fd7JqSyLOjMhJKeTx+h5H/6Nd7wTywWiQ4wO6piTSscRukmmYYBnQ98rbft9pSnZfKC0nmIXAEHH + sIycQIRhAhyyveY5z+2nctJ1edxTP4KKU1Ade8EGSuxLF17/zncgeIjg5C5ywokdEvUuKDgbQLMw + 0MI5jCO0YBxedeOzzogsRKnkru/PhfDhndNvevcdIELOIYYMVYOnjTMuHb5AH5e0q8PMzUtwboFc + kA1FkAtKfs3tt3VFuZSTfZ/WqzylxWJRxMJieT6PZRG+/11/hMgwxU6H4EEJHRu0aqzlLM45d5SN + rh12LbLTOwCWJ/IBUuAYZo7AEAUA4jlDjI8ks65Qhsw6UJf+KpcUYhd3e1Mh8sVERaIPKDzvplkm + aH43gNgFEIi0ZDdnpDGYja7ggb6iJ68Ao8ExFNxxBysYJhjj7gsw/rbbPrUbps6sCzGVaT0OYefk + vvm/dPx//vX7PbgMax+XEMBXV2f2pHWx1aCcATJi8uskXaRY9+PFfSiwLv/ouZ9OFy6e7LtUMjr+ + 2N6FkydOUWIfurXJ2PH3v/MP0TkwofOIHpRgBuoMAh9yFmb2R4mA6CDiZMzhKG/HzKpGWDT1TJhG + gLBOP/6iz5M//9AT8v6pRRgGXXjU4SEABZicCcGr+jlfce4vtn0RagB5cUgnn3AV0tAtIlAAM1Hm + AHIPGNJXmSQY3eedH93DeaPRaDQajUaj0Wg0Go1Go9FoNBqNxhWHvVuPQ/Sh6zoTlVwccew7Mf2s + l770G1/19T/8xjcy86RaPWIfQqr5A3Moz+pSXIwxTZMLLCKlaN/30zgC+KV//Su/8qv/9mu/5n94 + 7Wu+RdPkvBtyXnRRy5wnn3NmZiKqFt0fZ+VkwMZ55cFmVOkyUs3u/51HtQ22EXwX+8UipbIah1On + z3z3//o9/+SHfzSrLhfL/WFNIDCVIs6RqlWbuth1kqZf+IVf+LTbn9t3cb2/4hhWw+Cc67ooIuM0 + xRi7RT9NU3PibFwhqp9ctYOtRkS1s5mZ976Wr9Zvbj3tGo1G41hRQ6wawKSUatGfiNSKPwDL5XK9 + Xo/jaGbNRLPROGYwwEqoNpCsBMAHPm8X/uP5O//iwp0pDDnbiWWYVskFYJPptilAYaehTydfcstn + O10ovCdvTCZ2VHtFrTbTAKhsPEcJ5kAQyc4xmZqpIxcCi4BVz/TX3nT9be+++y19HwuSCErZ3PFZ + TXbdbKSBTBkq2xGIFFBnEEJS8850Mb7zA2/9zGf/jWvDgjMrPkk3j43jy1bH8j59tVpHGxGVUogN + VO2kHxKzfuaBYGYN8g/fXZrS1sX9/tTLq5kRQc0+KbI286a1K3LjcQLp4ROslmvVPHAFHRQdWC0V + AABHXrOeOXlmvVp3i6vHUp5y8vaXvfi/+cXf+0lduMVyeX7Y65d90WnKIzPDGKYAZB5OlA7lmVe4 + etwSADVi9gyD9xg1Cedz+a9/8Xd+4RWf//euXTw5rSRSH31fpozOPw4fRj3Y80kRCeSKSKakPie/ + fut7fjfHEdg+qtX5+q6bQgIiJ0H2+fnXv+hZVz+nfCQt3KJAN17ZDILCsznAz27TJIAaGKRqqIUt + l48CRiCQN9pxy+c94yXv/907U5AJE5EBPLcNBw+WayGLFwBqBK2FSgZWEJlRMRNimKMP3fWBOz96 + x/OuvgpASqk+RKr3Ysz8QBeI+16DHvMQUKtfhapFugLw4tggYmERVjhfdte/8bZf/eC97+UnqFlR + dUCNPwHAACGAICUt4k6QPt+N5z31M77g9i+hPd7lHqog1a36OxWAQbMyfBO4bjQexdB9R8spDYtl + l9IYY+zInTl5+g1veMMrXvEK0fud6nVIbyNAo9FoNBqNRqPRaDQajUbjE6alhzYajcbDgvEqTQws + vHMASvbezqwHTAlF0d1nTve+UoaPOAYIQGTOCI6RpM5woqvJfVVIWjfuv6gfNiG7xzDOMUQvrlcp + l1FKxyyqnrA96kabLDk6qCIjA4NkljuHMUQgQPBut4snncM0Qc3h2KWYBQDKpIy6aQx3aKZPCdgY + utMhGXcyOAA2W3rX/bAn5QOyj12GcygKfxxlZBuNRqPRaDQeLYQQzExVq5RDCCGlxMytIrrxeKA6 + VtZuv/2weVo3Go1Go3FFqZ7WNQT13otI13UAnHOqOk2T977aS5dSHsLyq6e19z7nHGOsV3lmropg + 1e7azJi5lMLMzLxYLADs7+/v7OxgoyLUaDQajUajcSQc1NK46whaYBbA2F+BAySjWwAbw1fMtq8g + zDPDdnnvUJjAgDIC5pzfcd7KFGNns6v0BuP5r7YV1BsIGoAYg+UUnUcukAKCAwECLiC+3PYYYDpn + NtDhD2vBNiNPyEDgpecs6YS/snO6ZBpzQdUtKhlT/ge33Hpib32dYkdKtAKYQo1Uq1wFE44ka6uK + 1QDnoRlQSPlvn/7065w/NZVrwXG19joFgEAFNgKGoYMfxwu7cLLo/+cbnpp3umG5vEfyP7vzTwBC + 18ODKaQ8htjLUW1rDVDEapWtUkw92Ib1TvRIBTlBDMP4393ynDM+xFROMd0wrhcq3uAMzg66xXoc + ruvCfslDHn/g9tsSoQRe3PDU1/7mmyM7xB6O4dxUso+LuZ1bs0famsjqFY+hCVBEIJphXEMLpunv + P/vWa7I+cbU+Q65cvOAAN+L6Lr773AWoPaCXtJoaJJDzPkAM44TYYTUhoPrCfs1tt58a84kpPTf0 + tndhF/AQhipQACEIo1AadfS7p19/49OwuzwHG3r3k+98F/oIoO87QE0G8n1R85GPn6s1RFGda70H + DLreX3YB6z3vCFCsBhh//e2fHvfHE8rdNJyidHUqXcfD3aMDAuHpO/36wt42N+twD5jYnyL/Xc98 + Wu79XWkqu/3PvPc9FL13DMOJrte85tDD8SaV6uHIj+q6AAWKQRUGXa93Fh46wRRGOH/xm297/sn9 + 8RZ1fc6rdH7pu7C3fxo2XryXfLj+7AnkDB/ADsx2kMbDAJAMIOQRbCjTVz/7lp291fXeP2V/3M2l + gzhoAQqQGJPzOfavf/ZtFxx/jOTn73wfLRcY9rqdE/PeqEslfsyojfhAAGLnkScQUAr2zqPvX3nz + zWdd7IcprNaf4ns/jB5lCVIqRnB60C8MnMitVvihG2+6R1RPn9iL8a5p+L//5L2IgRw7VReXIIMn + U8AEMFy4+G0vfsmTV+mqnJYqDCtjgvcfUw9RWMEyAqYwT1wtZw87Wxvm1KtjNWHgDBgmkEPKIEWW + lz/1qdf1i8U0LfJ0vVlIpSMdV+c+xXfseVpdII7nx/O7Lg6JvvuZz9pzNp088ZH1/i988AMwYLEg + CIV+nNahX2rNzjpKkxhYOnaSUWWXhzW8Qx7hHQhEcLQJD4xR9ypfbvzjNkJCm3imHhIGITiPYULf + WRFhkPfEbGDa/vnmVRPwipTouKQxgBwzVDFNCAE80eXHP0d9Zwa7I2ofHQ3PMMPSgFyQJozlH/+N + L0wf/cuwt39dSTtmJFqwvs5RCHFvbz25nXCyQymI7BfLGlHgYLjh7YhefZkxFAJ2PHBhDQ/k/Pee + ddOZVK7JenaYzrCf9u4lgAZ8yu5y7/wFBjHCPvLk/HffeNPQuXuJpkX3s398xxz/oBCFVOb456iX + SAIcILOT9cbCHMDsxq0dE6Y1imLSb3jiDS/EcpmnfYgm6RgwOEEPJEDJqpqYEcxQFaVkDrKgNEto + OQMMV3f8/gvnQUx5giSwI/MwAzM9UH+mR6g/NBqNRqPRaDQajUaj0Wg0Go1Go9FoPNqpjonwIYRQ + LIuIqua97GOIMX7nd3zHHX/87l//zd+qE+qfNE/rj9ugVJPbc4ldXyRtM9JTKYC+8ad/+p/9X//8 + H37b677qq76q76KZjeMIIMaoqjVxfbFYPLSs+EaM8fzeRe+j8/4Vf/eVv/jLv1Kn8/aH9WK5TCnV + HatqZvDeaZE0TgS8/OUv/+ZXf+MrX/6Kna4Lzvc7IaW0Xq9DCM65nLNz7nFoI9R4eNg6vIYQqoN1 + raCpNTKqWj2KnHPr9Xq5XD7S7W00Go37UseoWmifc65OmSJSHW27rpumab1e14tpK8FrNI4bNR0W + UOXM0CAdgEzSneJ/9+/+v7W7oNX5U5Q31Qtss2WjgZWYND5xecNNT3pO3rPeR1IznQuBVY+a2MxG + uqk6YYBrHqlodhyYVUSsQAhEHCimqf+M2z/vnf/6LdbBL1EMIvDsbeO5XR0ryZRMCTBSBkrdCmjN + Tp1zAQNSGT528SN3fug9T7zpKTTBTI6Yrth4NPLA6fc1RDeznCd8wmIydOlfq1rf95u1z+sjpgdb + x1bHiYhgMDNmeghNqhmsttmcendsD1xD02g81rCNUSVVp3njbRXOJYPA5vPoCIphLIv+5Gpc931n + WV5w82fes/qzf/P2X4zeO45jmnznpBQ1ZXPzgjcrMgKZKmb/7Aqb1gsoM+/vlyc8Idxzzz4HUEDS + 1Z1/dcevve2XX/aSL7vq5HW6MgeoIefM4QHtih/zGEhJ3aZAiJXVMRvMRIyNAj5yz4c/8Fd3ysmM + eaRlJQOVubzFkAnOEZfgy85Lbv5cnHNdCEWKIQAASKkGGw4W5ivCxhgbpApf9/t9juN/CgabijKU + hW65/jln43UXywVekpqRgQBWFuaNzXmtbmBnCiDXMhoCGbxjETUIOTDz3v76xAn/1vf+h+d+zktj + jDnnUsr2kfL2/qtBBiZkghJARkZOmY0dkWCSRf7g+Tt/8x3/xl2tF8uewhwVbGudDQoWgjI82zSO + Sz19prv2b77gK67xN/RjDIaCPMeos0u9gaZqTQ7bSi8WkKKeubUwvNFoPArpuq6UwkTDMHgfz917 + /k1vetMj3ahGo9FoNBqNRqPRaDQajcZjnObx02g0Gg8TO/2JPK5UxEWsk1pOpybGKAcm0NtkguOX + WqNARiFVZx7FYIwsCA6iYAAsm9Y72/70CLa3cWXZKHyb72Lc6dNqKqqdZylKIGwEcG3TjQ9J4gIb + wcSaQRMWzk+aiug4jtiHZ5hCBMepkopqry7MAAhSlR8ZEDBYAaMMQOumVYFIgm231IBNFggTY6f7 + 5t//DfQGcp1xzQdoEVmj0Wg0Go3GQ4aImLk6W2Nj9NtoPB5IKdX07ioNUE+EWpX0SDet0Wg0Go3H + LFWxgoiIqFpZV0mLqixWjaidc6WUqnBRfakf2opSSovF4p577un7vq63igERUc45hFA/qToau7u7 + AKZpqgUJ2/LgRqPRaDQajctClYriwh7iAuMa3RKmsAwo8vBAttaKrZHgZVIAZhDB1SnWQjFACzlf + 3YXnHInNxBnIxwAAIABJREFUuwG6EUbhmn1ggCpESQnjBABFoQpVmMAfMctCeV7dYVtrVJNaN3uy + CsNKiB6lIPS4chX1RbDaxzR9zdOfedK5k4Rr0hRKOrnYKatBt565BhgykI+aUqIZOmJv/xuec/sp + 5rieXkIulhWV7MwIqkBC9bpFZ7XuvSw7sOlqWD2j7y6e3y97+UmL5XfccOteiD/yrndgZ4Fg9WGs + PoT0kFJAsMjCptBSBu8YF/cx6k9/wRetP/gXC7MXW1qlC/Hs4uK9Q8fwSkQk0MNPvvourKbsmTo1 + qLpSYtfnP/7w9z3ptn3nX/+ed2A3YhG76BKkgCK8x6GeSxAA4KPZch8V0mrojtGQ0t+/+Tm769Wp + XJ6S1wycCm6dpujIxXhxmPKUebkzN4fua0jLxAyYKpmhKFxAMRR8y9OecTqnSO5pw6o37HZ+vXfx + hHNJJG/0jQyAQQUELGPJq7uvBnR/PO3COPA/vvHZ58SmRfcj73gnFgsKHsg+8CCZXOjAxyqJyXE9 + huJMIcqOMExIipy+8Zk3nXFuKfrMkheepnHovctj6RdhGvLCUQzh4piwP9ohLQ/eZHoA8CgLXxY2 + xcFfN+Y+46duuOVjpeztLn7gve9CUe476GAcFOzCw3HnpVkN7AI0koPDNLLzuDCi6KtufNbpKV9F + /LRpvWCSnBLQeRQtPRCJ2PmLmFQckMECViPWzaBKxJAMG5Ezkrz65luuznrrejjrOe3t9YABQ02S + qU0xF4tx3j8LXLXYfWLfv+apN//gn/wplkucX+HUSThOgAGuvrYd+Fh1oKOhZsXWI4eAIpjKq559 + 6xmRPk2fZsB0dxDZXYRxfWE3EotlgRjUUA7EvQComi69G8a9G7pl2d8/PwxP4vCjz7j5njSOy/j9 + 73wnuowY4D15BxOkCTHs333PE0ARbCgMkGNjt/ARRbBwSFOKgTgYjA6kxIBDztbHDpkw7WO1/7W3 + 3HqqC36abjbaWQ9uSix6sguT5cjY6cPd4xQBAzzG0wuaxhQNZ0wm4r29e65d7nz7Dbfux/ijd7wL + iw5qfejtUov6y8FBbVr7GFDKgXP8mMDAVADbSPPQxtZawQY6Svwzf5cBAjGIwQYmlAmLBVYj9z07 + NrBABeYd1cRRm32O5zRS53zJU+AIMQwJRWAeU9poFV0ZfMBiB/5KxT8OyhAa1ygO5/Zed/PtTwnx + xMW7dkADptj7UQSMk/3i7r3htCQP+FJ2h4xhjWVMMgW/IDfvLgJgDIVjuHrgVEET8oR9edWtt55M + shyGT2VyJYmUnUXYG8bgKfjuwjjK/poAx6aUznoepuwy9lflum5nPdK3X3/Lul/88B/fgY7gNXbR + zHBUWVubTc3dwaAKACCIAaSCFCEogjH/w2fd/nQ4KRfuQe4ZBihDCk4BK8wqnMtiBiQmIXJ24Gld + MzbJjIAAOIAmvTp4rDLgYQYpEIMIIo7Qf65wf2g0Go1Go9FoNBqNRqPRaDQajUaj0XgMQODYL4qU + MhRHHGN0jCknNdNSRPRN//Tn/ssv/69+9+1vY2I1/STP6s6LO5jQib7LJUtRIkrTBKBf7IzjCoD3 + fioFwF3nLn7Ta7/1f3vTm77l1a/+0i/64pMnT4pIzrnrOlVNKX38IjW2B5io1UPtsMuYVXtQv6xH + OcM4dl331re+7Rtf/er3/cWHmcm5MObkvF8PawAgsHPMXFLOWQAws3d07tz57/yu17/hR370H3zT + N/2dr/yqnUV34uSp1cV951zf93t7eykX4Jhl8zQeK9Qy7WpfXatm6ufe+/39/Vq0Up2tl8vltqql + 0Wg0jg+1lC/GWGvrQgi18k5EvPfTNBFRLcmvMU8bxxqN4wNv7iSU1HhS4068EpSGc8PH7vjzt627 + 88Gzg5smWfRuUkE1CwQKMYjZyJt//k0vWuJ0rj6OSR2cEB3d03qTl0gCAMYwJjjAnCNDEQC1ugVk + zlQ18vJp1934pKuv/8D6XhWA4T0gqInqCq7m2DAAygaDghgGozlBlRQMBEdGJaOE2N3xp3/0vBte + dMZfa+n4aZI2HhaIyFTNbHuHbmZ0vzKTT4SdnR0zY2YyMmOzwkQPtvxat14fFJjZJ9IrzcxsXlQt + z280Hg8YoKRGcLopmTxkMXv4WsXGpAxS9pSmoraYimNOZqvdnkumz3vel3307gvvuecP4jLsayJS + F1xOQgQYG6ni0KWD4BQKZbvkQwLSWE6dCh+7Z//UKUwDTGGcbTn+7p+++ezZs5/7rJedcGcw6oJj + QS6gY6QO/LCgpO7QMdqWoREZgYyJHI0y/MG731rcVKjAQMoGgLQO105hhAKIqqPwjCfffNM1t9J5 + F04g62RwMF8fsgJM5mdJYpudzpXlE4kBTKvd8YQsZxfXPvcZL/yPH/zA2swI3tQpAFZ4pbQtnSFl + NgWpEQsxG8hARmwQAjFQOtOi3fT+v3rPR+/6yzNnnxhC2MZa9VJ1aSse7wUISiqsBHiFF8fmKfJe + unjO3/Mrv/uvxn5vsn0f3TgW2xS4skEtGlwhcZQABCafdv7mZ33Fk5Y3deOpHUKZ1hyCEMEiwKCk + pKBSA1fUrlWd0aGX85S+0Wg8wnzc8q6Skohy9F3X5SxveMMb3vzmN0u98zyg/nzFygwbjUaj0Wg0 + Go1Go9FoNBqPM5qmeaPRaDw0COYBVxPHABjNqspsoEun7sjqtP1e8FFLnpLFDjuOTo7lzf/ZF33+ + H74LsoBTEObZ6o0+LXBgcW2HP7FZt9iAQ5KMR5iyrdKHBDjooVUwtmU/tPneQXvIs4cy0oQ8fd8X + v8wlec0v/xIWHr3zfRCuqnW+5b895mEgqyJ2L/63v/HhF73obPQ8ZQO0ppsYbyTMdRbXPugSTABD + lVQYAGQUM3SETvK1ZJgyDOTi3BtnB22G8eFuX5cns+pntdjmK9vxNsbzClYoATqLwipDyQDa5poo + ANvU5/CBUiwBMNPJDGDh3onO9t5XViS70Wg0Go3GcaRW7G/vGj7BWMDmkIhhACtDcN8lP2bzO82s + lkZXQ19VrT5/H+f7D2PrGo0rS4zxcKGO9z6l1GytG41Go9G4olQJnipsUSusSin1+lv9revXiEhE + HkIxbV1UKQWAmVWBDFWtn9dYV0RCCGZWWwKgfs3ManjQPK0bjUaj0WgcGTWk8etf8GJbrYNgEbyW + XKOdsHm0KESyecpIZs7KA9ZJ8qVJDBtfPQQO05h9v1ybJuZ1H3/+ve9FCJfOJqPq8mBTOmkAbSed + bVNUqeWVt966O0ydlp5dGVLsY7IJpDXb4bBeqlOuj0+FUb2sN9/h+kzV5hWgltl78kOawmIxahHn + 9rvwpve+B9Edckw81FrSw+kV9TFsDQHd9pv32UvGMN1YdMvsyT1N/9NTbnii87c4LuvVyRhIBYxh + teoP0kPmFRERmKtd7P0fgx7ykFUUgSiKIg3fdOPTz6bxGRTCauJczriYJFW3Y6qT7ooqIODqDD1j + PaGDnXCYxukMwGZ7+/vRxd6n73728z4q6cffdyc6RofYd0DYZLAcymzZNMWgOk/u85zx4mtiSYGN + kQApOLf6ltuf/4S98fRQrkEKUIHuEi6cG65ZEE2GjUGjzf0ARBinHAhQ26XA5jJRmtISHU/TSYz/ + 5JbbPojpxz70PkiM0Tm3OHBKP7Rbt7bcB+3fWIrOza6exzT/d9s/+WABm/65PST1EKtCDJYgI8bh + VZ/66SdX6foxnxIjWZ/mLmses0SGmO2NUx88hIwd4A/Mwg85vpsKMZEmiGESpPzVt91+VS5PXq3P + iCSZOgrEtl6vTzPEpCY2zDa3c88kwHJC70EKzcaSdoBxHE/HnYtD+t5bbv//2XvzYFmSq8zzO8fd + IzLzLq9e7bv0SrWpVKoqJEAS0CyjVgODmaate0Yz9NjMMD3DIlBrASGGBoSs1fTCQDeYIWjRWM9g + TQ8CprFmEZsAIQO6NQgJtFFoQSAJCkm1vPfuzcyIcPdzzvzhEXnzvqqS6pWqSq8K/1nZrbzx8kZE + Rrh7RIZ/5/s+KfmH/uyDUA/BfB4iRAH+jF64xzvDeTJ1E+Nj8ybTOo/OxbQFRvZsiAO6jCz/8MZn + XGzYjfEWH+js2RkYkBLnaZKDQ+ySZ4jYWuKu806hpgLDFPtdjM2cgQ1OQGI7mvaBJq5j7FqEQ9XX + 3XjzvY1/w5++F21LXhwxmiAK5hZbLedhD874wXR72dSE+Ph7eXttLgCmUHUpwgxJcLj+1s/7/L1V + d5PInhilwwAzMQKEkRkxgxidmuXEgYJjWIbpMSvoIh1LGd36O+66E/efuZ7cXp92RCzrHjAAGcgM + KSO5EgPOdAdQ4KBb7gkc+J/cdNvBzvwH7n4v0gDnlUIRpHkwTKePfOHMUm3GZAaOOvumvdlGs1cO + lQlJJMo46F96+5273XBllhNG3B/sADO4DEvruBuQk5khMLKhDKW6UfaNCj1pAAzrGXA9e9GhXWIu + Q5/jv7jl1jMqsrd/4P0b3/WHaDwkv+yWWy9hzNWyxgBScC/CwSP10Aw4NG3DbqVxxo1adkUK/sSr + j6bRT8uVycp1RbcuAoAJcqbUox9ec8sNF8V4o5pbDjTk3cYjZg8EQh7SAkiCXtKOgzlkBTGWnc0I + wZBSXgD7bna4Wu1QONHk19/2eZ8gfcMH78bMkQc37WT/Nw3Rtn0h5uPjvALqm4B+gNLfvemmi9V2 + RFpiS5G5qCiLFpSmrmPCGTTa9whhuovQMnoA4/zvuAPKzkDGRjCwEAlxZu687+ftv//DP8RiB2CI + kYfbdJNp0Ds6xgABzAxTiHztrc86mW0G02HZcDEMAoo5o0HBkxPSMcqOnZcd/APt/Cf+/GPmj13c + ppXxZkm58/HjP+vY5e14rz+6SVCIQksIcyTpIOkVtz3nsmW+ulvvdYdzIGJoQKshwwOMM8tuPwSX + 4ACGuDSgmQPE3icgOKiCaOP2yECGCJIiDuiXr7jtmbuDXJPsEqVZFrZEsEwYukQOSS33/a7zQWGW + s8I75EEbgGK6CJBhtRjafW4Ouvy6W559j8Qf/8iHoI68+dDAlZTyc4Y4nXSP29cFHY+XMXgrEZsB + wCHDxKceKWE9fPsNN1+VbC8lMTnZ+vWQyw1xcOgEM6JDWDnmBsjoUIjNSGukm/aw2XYr9t3PetZZ + 5mCpZS9dats22aAP43vy4Nn2B9r5v/2zP4evTr4Pz6fpXw95nO2RXRxJizJ2/JaxfSUnPOQldlKA + bw/FDOj2/eqDd/bhLiD2CN5TqVQqlUqlUqlUKpVKpVKpVCqVSqUQY5zNZkmFHTvnhmFgB2YmEBOB + Xev459700y/52n/w//3hOwDoYxlE9RCkPDh2qjAbZyv6rgMYbFlyefQfgo8x/8ndd//Db3zpv3/+ + 81/9yld++Zd/eTKF5BCCE/fp69SK7OrJm4pxvrOoj3ydCnQx/Yvv+743vvEnMgAgqSWNBuSc2TsV + IWYVURHmUbgVnI8pFvnWA2cPvuufvP5H3/hvXvdd3/PiF784hLDq1nPM2bumafq+Z8cbUVOl8ljh + nAPAzJvC7bIEQMm03rxNVWsWbKVSuTBpmgZT7maMEYBzjpk3xXqboLU6jlUqFxpKgJEV8xgDoEaa + 5/FdH/qD03Zv9oNmOGLvkbOMalUqikZl8TNpZ3H+Bc98nu89OQfAzHzwDB9zYtZJi/UIfm4ZcI5x + kJNQyzmXYmcG7xqG9zBhVSU2b8k/57Yvvvfd98T+HvEIDSfJnr0Ql09EBjItmlvaGCdOGwJQ5GA5 + Z89wc3z4nj/567Mf3Tt5iZlzVI5JkSYWyb0qKaNI0ZgMIC0CQj2ek1p5UqFaGgwUUCbKZlATSb30 + GYNOOannhzGKkHhqdUaAeW/Y8/tOPTPDzFTHYoZRnMhKMChKwq6xpwbmgUzkPptHGlbKgWAgNU5q + GQCZl9JPp0NRNYuVpzDl4kVb1x0A42UI2F4iSYJv2bxCOLBoTF1seQE3e8mLvu4NP3/PPasP752Y + 99oNKuwBVRiXyjSDbtzthOEUMJzzNKlpwmqV9vcxDGPZWLYIT7aw33rnmy+ZX/6FT/tiirOWnaqz + Ui+AozIKKqWaShu3XSUjFpDAmJ/8D62ccrk1MbJiNk6mbE5M1VJgL244ne99x91v95e7lUZHk3+y + 6WibTFCCJ1Bu5/miu059/gJ7bWhjPE2eIUf1IAUtxSCkWirojCYPZB0L4M7nfobh2AVoZ0Je5s+5 + 9Xm/+9HfWnVLtDLdNoylB0WjLsQgnVToR0L1nMV7MjMVsOre/s7BwZnWrd738XddvnfdCX8pRacC + ycaN+sDQMV79CT1bTwDn9FBgqkp8MFy6WzmBtPF7Jyi0z324mH7/PW/7wL3v7eerxOIF7RQaT2N5 + NZcKWFKPnBe6eM6p5z3n6V+4G09SR8JKzgkdlZ8qeCzuIYWV3v6UO/6Vyt9gyDnPQcTE8IYf+dF/ + 95M/BcA7n6X29EqlUqlUKpVKpVKpVCqVyuNFtTWvVCqVR4UxLBgCe4LlQdAGn5RVNcAYEJ58bA0O + INMAFhEjDxZkbcQuJT276rE6wMyhbZTYmIVYR3/Pye1xy4CMNl6AYyS1AuqOxD2PVEkmwAA4wJnC + BAQDl1jubeOzbRfVAE2SGXNmxuEnn3vPh6+MzbvueO69O81X/vav4eo9t5ibtUasrAAc1UvMUxYC + ZgSoYXHRvRdfET718Stb1w9CIAcCWIiPtCZHuc4lwBmEwRiDA4BW2RvAGmK68uyZ//yiF33R+95d + lC0OcEgEBTxs7FHmIVAPGDgDBDSmMMM4+/64YKRGwtyLZ1WbNWEYkkHJeUgmWFBgKlqzIpkzGLGR + 6uiKygAr1JBMCdgjbUDOAHIQmxQBlUqlUqlUnkoQKZiNxwwVY8CMQGobLekmW+XB3uKfESXb2KML + mZHCApl6EqCUuvO5FvxPRUpp9CbZurjMVyp/c9i2lS+Z1iVx6iEp6e/e+1J8W6I3nXOPInGzUnni + 2VjhPLbZ7WVtpXdgKlYvfeRx5cGf4sLvjGWfH9vjX6lcIIhIsd0po0EJrnbObYx4AGxeb5aklDZ/ + Xl5sMq0f3J2JqFymy4W4rFxVm6ZJKZVNb/tilC1ujH7GLKVp08UYiIg28dXlbQ/Om6lUKpVKpVJ5 + xCjU2q67PFG76hooWRZvktPct1CLSWzWHqY4WywoQ4e+dZg0EUcRvzgKADal0cSnlKB7hRlhSEJs + pJ/Mc8AQeCyx3rj+lIr7o/DgPD3ldADALCCX8+Xr9dWrlcfAzF0GWwMoqyCLBzEgptw0yBIyG2Hw + iAxjdWpeseXiUirCSxRoLtmDZkaxF4KBP7EzBxg+CDE2YdXj3ipojMWFqmMmJWNKGO0CHAEpwQOs + BqQhNc0MWWFA30MUw/AjX/WV9/zp+0827ukxBRkYIJgbYnms6wiwc7MtCUYGwGcgGGK0piGj0StA + gWyWc7/jGf2AVfruW2/bHbrrrQuaG4le4YBe4ibe0in8pCAUIBd1iqIFhNEpAqBA0oGZ5oHQry5K + eoXih6669sQdt/6vv/FzoD34i4xZGFljA2bym2Ry8xiQCOxgrMzwY4awBxn8oIjp66659oaIZ4B8 + ig6aYBlgkBpmgPUwgpGRHeVP69ROnIEBtqySPVjBiuSRGuT5Onmn3/X0U9/3oT/FbOHmDkQwMsfE + ZGYot/kCckctuQh1jCCAAGSpEQeweiSADWYAQ4BmlO1kMoA8iItnzxhvPp7l+C23PONSzfMcb1AE + 0VaMFQ601qFskRRMaADLubhdwIIWSwhSSwOFAHgzOHaQAZaxWv3YV3z18OGP3JFTA/FZs6kDZSQW + tICO9hdgO5odmFJ8p0hxAhHEAGAOIK6uAOcDPRlmr7/+1H0X7/zwB94N6prZTsZMwCTJOQczEKkq + sz/SFBUtEwFObYxOfOSoQQgKcwDrpIoKAAlgGYEzTOHEdFGsH1g9BDmhG/7RFU+7CngWxFFmFR+7 + FnCQ0kKI4AAIHNA0GCKIXZ9lVgRTk7eHQZMzMg1mbOMUS5p6n8IIaZGSy3RxcP/smlOr+ez73vNu + nNgDKzErghoHMxAdGxE3T1BKzPm4WGEGE3FOIG3Rl5Rh0CCi4h3DI4r3HkQKIUSyhMMBgpfdcOvl + ghOip/p1ICVIIiMHNZTezQokOIdO4AnZ4ECUBObKiEyARHUtQ4CUsOy+98abruk6Z+Y1eiu9D105 + MgRWnGN9VcZKdlDpdoXm5E/k4bufccM//Yu74eahUUJLWc2USszshfVVddPEWtg0xtIkyTPNjglw + JQGcFX2H5dn/48679h7obs557r1KNEgDtHAZuQih1hkE7DGiwgAOlJKVWOUGyAARcgYRssF7KImq + DdJ7YCfHuaSTYIkPJMf/59NvyE4Bvd4kqCGBqURlw8GTmoeCFY7BwRS7vDDk8XnAVkQ3kRr4CUgU + z2pgB8uGAGJERcs2eZ4RjCxDOxycfcOX/R35i49dlQdnaKS0WEfRytCeDQw1WEnqzYIEGCEJPKGM + rsX/LErfgmame0PWlOaOv+2GUz/4kT/FrnOAoM3Th25tc8bHEaZktPtx5wRQIyY3Q1xfMaRrUtpL + fe7T/l5jmZbLoeGmaWaxH+Y7i7OrAzg4T07RCmCcHKJDZhB0ns0rlFQJg2OlMQzb2bYHOhtBCKvQ + 3GsLsIIAx6UpcrGKEwZADDcZCZV9ZoCcg2ZArunjlV0P9JDeqzVNWIsAWMBDLWVtWm8pMyCsAEjZ + CJlJ6fympN0kTnVb+lWbBIEq4pxTcHkcRgqXMoLY0FG7AxR5LZCBACNkA5M6S+gHZKDLr7zpxhOc + ZzI8LaMVbcmyYQkFIDAG2jya+EhO09VSfbsDLX3LEZJAHDtRduxUwB4KYR1w+vB77rhzf7m6OScy + cmbOoCX2G6oGj3EwYACSZeqwEGRAUE4FnFrA0Gjap9mZ0/fOg/+uG592r8ePf/B9iIT9E4LWgTWp + 8yxZXUDWpBwAbnSTSQ5AQApyGCJmM0nZea+GqGnmCXnAukPff+eNN17ax2c6ioMSoQGGIZf7z3JJ + 6glDkRzYODB7A2xjjFSMOGFUGg4ykIDMEJbLVmcuAzmzYnpoaXlew7NToNqdfBrs2LXyWJx5ebEZ + oI+94yEH6ePH2bT82bBR38rYrsrNqtuSgtioKx/Xs1kRH7dABSDgze/uQbtS3mzH9oan9RxTlVcq + lUqlUqlUKpVKpVKpVCqVSqVS2SZ4JzkVIZSIsHcA1EBEMEtx2NnZEe/f9JM/+ZKXvOSd739fnnJI + ALB3zrkU49HqNoll2wvOeUS/NUOxqVi1ScoBIOuUd7LN1m8xZkw6k7e+/e2/+w/+hxd/zde8+lu/ + 7a477uy6zvM07ZFlsTtfLZchBDMbYtzZ2Ulp1Myfk1Dz4MSaaXrhoScsP1cBNyXZY5wl2ZrZ1Syz + 2UxEUkohhJyziM7n89j3bdumlFS1nc9EpNTlEbtShlCqEsg5Bv3uf/69b3j5yz91+v4yo+rYmQqm + 0iHNAsCmCTidznVMEZOsQQAGPvrJe7/+5S//0X/3E//qB37w2c+6fT0MbWgAwEyzBHYAyDlynHOO + ObVtW2oZygfaDu1+8gcJVZ5QNsUpD65S2VRzP9H7VKlUniqY2abOPYQwDEPbto/hysswlXPelN2J + SAhBVYnIObfZdKkifKw2XXkCKLWWpajTey8iZrZZUnmy45xbr7r5zkKSzmmHNAlkaIeDxZm3ffCt + usgJ2gZGEtLA7Im4l3WYGzPQYZ51d91+0Q0vOOlP+IEb8zAl71SV1BryQkkZo1HhZ/pZdPggGLkS + Q2iQEkxpat43bEUylooS3BNEeO73Pv/mL/nt3/+Ntl03i+Fg6MICQ84wT8ZOwaaMPK0dILhJCqiE + TAzzOebZfJZyv5bDZmfxtvf+1nUvvG0+27EErwgEiBIaMUuIzrtij+AksAE8KEy4CA61Jls/2VCG + KplhVOuywbI4JWZPDp9a/qUtctYEgOjY173PBCsaGMDRoKVGIBOc+Da2l8+uDNJoytZATAKTpMze + gRTqjSCcATTivYRZ2Cc0Qjlp34ZZTP2jq2r3BCtCY5Ykq1V3FnAwt9k9MoAEBoJ7agajVv6mQgAb + T860KC61wJiVe9Sty/JSPmVe1Yx6cIaaQ1CdBQMNcvniqq/98v/5Z377//qr0x8Ju25gcQ3Qqwdp + pqZpsqa1SFgg21gZBTCrJ2MjVReNkDWFFnkYa2oMZh5ZeyM9a/f+4h+8aXd/dvvlz42rYEvfhnm2 + NRwEMLakMRDmYWdYRnJUaiUUCpfEJYBgzeNf0fI4wsakpaZDdaxCYdYWZsrZKBtDZ/F3/+gt68Xp + lNe+ITElg1dlgwLCyAEwOJ3PuhNX4rrnXvtFMwrqTDU4olLWWO46YAZOgNiYgmyjWTiBwFOZI+wR + 3MlM9zNM4inD8Y5oZ6m/Yu/qWy969vDXg4RuaWci984DgBP48ujZVGms5iWD2zxH9sgYi6SIUxel + naHP/W9/+M3Pfd4XzuIJL3MxaxfzlNdscNbCxvqdTZL6+dazXHBQqQ+Bjg+VS+Ugbz2xVwDTDRgD + IAc2s96aecOOutgRR/LUU/dXhx95yx/98pnZfdJmA2iNncZ1g8wWzbKPPgCMlGLrQ6vtPM6vnd/w + 91/wkpPpJNap8TsZJkLT8SzbBeDGyG3jKQodwJR0jnMCuSuVygWGMabnWuPTjzyMhnjG5BpVU9U3 + /ezP/NiP/1sDjj8M4XPWc+60YKVSqVQqlUqlUqlUKpVKpXL+1MzRSqVSeVQQR9/05JD71qGd8dBn + Zc/kYefIHPloSq94exmKb2cL3Y/p57/qq/7eW38LfIJbb8QCqKkr8cDTzGtxWDTA0bluoba15keD + jcZnm30bF1Nxb51m0AFVnblWDeiWb37RV9y+PHPyrM5n+5+Mw9tf+FXPf9ubMe9o73L47BoW8MbM + ufJ833HpAAAgAElEQVRURGFA8Aizg2Z27WK2POgXwRkzMlAi0knDUaXTphdML6iEO0LVHCgJFgt2 + 6zjve8QogEeJs9Zz5sN0XDIaQTtgDJKmxzEYmkwpZ2Th2JuZDKltQhbLkgNsW/Fmm+5pTJBziruE + OTezM5JhLdMMRKOrdZ3ir1QqlUrlqce5BgHHKj42NtMAjOA+C/0PGRVLeAPIxlt6qoqiSqXyUJQK + 2xKlqarDMDRNU8KwP9e79oioSbp/MymOLUVlu4mgfgzjn0vZuYiUxFkzE5FNVfzjR+l320G2F2ym + 9e7u7nK5LK/L6ahl3pWnGH3fz2YzTB2TiLZ9KwCUK2ZR/z+Ki2YZUrz3peNsYrMBhBBijJjGoo2N + QrnkFWOFcu3e3p9KpVKpVCqVxwtW9Gu/0n3Jc8A5Ozvki3aabjWUSE6WfHIxv/fw4GLXOgApjZXY + 2+vYJD5PqcMl+bDEOpoZIZXw5XVgAEZMo3ri3EeqZBsnTR1jEYtriXPQdFFO+33XAN7rKiPHLMCJ + NgxZZ55ELBqoGxzQgmDcRSSnAAjmddRFbIrhS4ywQcqjVTM4iBJgtAwl8XprRvd4RHCU2LrGMUMB + IjMwIWZrPCEZnOsPzsz2ZuSo8Q7DACFkRcqvuu1Z+2fOXMZ6G1N/2H362e7tZyJscGbQ5BGyIrRU + vk+WXXSAM22JsR7+x+uuvWo13OJnvlsLCQhOx90XgtKY5Lo5CLY5cTT54JrZMT2KpX7YAYIe7iPs + mt33nnd/x513/Mu73w/X0M6upD6EhgFLmdgjl3zIkmprouLLwpJHLYr1Clle9rRTz+b5ibxsNFGJ + 1mbYKDtAAEtxdZ3m4rd3lUddwSbLVY2hwKC22zQW40UClfyPn3Zjd9VV//od78CCEbyRCpwjzlm9 + 5+IMa5t2OLXpMeW6SBHMoig5F0Vnnm3K/1MwCI4pd2vfzGDgPoEYKWO9euVdd8yWh9eKnJTcHv/i + b5MF7ea0bv2LQhNTCwKMqWlVVTQHYgyClDCsXnXzTafODpeoWJEo0ZFz8bbLw/ZMBNmDZg42kYQE + AM7QQiP6kPLl1ODg8BU33vLDH3wvtPPO4Bp4lyUyeRAze2Crw2Ps/yVG93zVG9uTGrY9IOQMhqmC + YcgteURAxdMaECz7l9946y3k9/uuR2w8BhtHITou1WpLYnRCVDAkhCanOMdCkAVwUC2HnWw0lpia + hAI6WnqYN3izkPQSbpbr/Lpbn/2JWfg3H3o/L3ZAg3Me5FSzGpFjMpiJc24cJs8Z44jAXgADmyix + B5OpErFzTmFZhpnzkIzBWCJ4QM7fcvtdJw6HU+u4m+IOLMCiSSRkGlPYiUpSN7nSiMgygwVkTuBA + PGpUkjSNgwlyxCp9x7PuvLpL+ylj0+/p3MY5meaYYvLgGBuVNCAyaVPKnP/3G274iY9/zJFj78k5 + GEt36ObzC1k9ZtNISIClgZpWASqi6jjAFKvhe+56zv59D1znF4OmNqYMaCgx1dKQiyYDYA6WsTZ4 + oGn8YcwNcHJnp1utDGgJS0PLUAdPNERLsBMOTKS52NoZQxhQgQKJoDwNQWNrLO3RAcooxuZsYDKg + xKmXjzP9JNJjg/fjCYMgCuauX++FXfhyWTeFeBh1CVCcvv81L3jBM+87i3UvDCE0AgcwyAABy7jn + TNDNeFbaHmzMBDYoYAIoAbCZCSCNkik000tPnfqxv/jAeMSaNhET0HVpPg9AEhXHjM0wSEdjTTI0 + RBCcMLoo55M5s8PyMHrgCk9svO4PToB0la5sm0EGi+YNLcggMXMSJKdOsVArQ18GBpEyFLMSb7tL + TSfIma7aADWQgkbXoIfqKMWNikuzhCicQfN8tT4x9ESDGTwhrdNFADNMowOxc7kfQvmUUrYrBsTJ + BuiROwGdTbKVigsyONIiYVUbHV0JBjNHLGIueGSldncYYvA8+tt7IBo5C6kHG1LCun/V7XfsHHTX + pLxPqdGjIechroxHVzQTKINWqYPzh/0waxdEDlBFDhwQlZkRwd0KqX/9XXddvjycD7GZxLUYB/aj + Iz3duI7D2tRhSGFCyAyyMrRaAxlkdblbNKn368gB33rLzf/qA3dj3fm5l5hdmMHgHKtmYneUX3xs + /FcooQmAuMAQZWBmwLLDMLzqrjsXn/jUNaYnxCxZEyAKlXEnGXAGmW7S3NbH2DIcGq/ANh3A8h4l + JAaA3STBxvcnkvNVKRy0gjpP92k4J1l6ZHKAAk93mdun7uFGaQZtuUdheyCZ2hUALRLzsfXStDrC + pmUzoFwsscYtHm2Ctn4fb6O31zC92O6IhK1fKpVKpVKpVCqVSqVSqVQqlUqlUqmcDyVmrA1Nv+5m + TRuc/4X/+B//3kte8vb3vNuFEFM0QLOoyO7e3nK5/CznZaZ8tG2vms+MAeR5yPqffvnNv/6bb3nJ + 3/9vX/3Kbz31tKf1y2UbGjFdLpdt28IMRE3r+75nHz6b/bwAKdYozBxjjDHO53MzWywWfT+klNq2 + 7bquaRrfhPV67Zzb29sbUpSsXRz29vaGYRDTj/75R1772te+5a2/o96vY0m1LtoRAHiEpUPl3TL+ + Ld757vd86d9+0be/6hUv/+aXtW277Na78wVEfGgOT58m78Dkvd/Z2VkulyGce17YylR7pVKpVCqf + e0qBaqneZeZyhU0pPfj69eggolIqWIqFAbRtOwxDSmmTaV0q62um9ZORMc8JKCexJFvXYuenDGbW + tq1zlKLlIQfPmVJq+vd89A9P509GPyhgpgTy7LJYFuUm9Dk6hjfMeXeeT3zezc+j1ECcjsJAFagD + oKxQmB2XRH36nyPTFyrb/m61tRAAyLgNs2G93m8vfs5Nz3/Hx99238Ff+jlEyje0zFYkW1qUWpj0 + nMVNtBTOlMKExrc5RjBcQ106+Mgn775/uPekzRa8IIETgYBJvXdCXkSIRs0tVW3XUwHDJOknU1BR + /qqZKdnZ7nSkwQh8vifbeFOdhanJCakDBZ3tNxdrNmZWEiODkffezEr8LaA2KmLhlPfnJ8g8EZew + PQcyMzya75tsplZCdAlDXKEEsAI2xrhuKjkeR2fRSuVzxbae3wA8VHD7tJxLFK1x3uh52TwM3jX3 + 3fvAM6+8479+/t/9yV9/43x/ru7+w6XuNPDEOUkeMhy1DiBYBm3Lm49e6BgkX4pTMKZrC8M4OZfu + X/3VL/7uz132NZfvy+WX7F5jvUDJGMRETME5GeK672d+LqYyJv5uSZGf5Femjdw6kY6j4viQjRwo + Q5dpOdiZ93zsjwa3amd02Bs1Y1VauToLIAxSBOG5Lb7o9r+1Y/P1aunUcaCcM8PxkVvxpBHfnIlj + CvVzBOWP4KcBltm5mDO3gZA8t8+/40vf/+fvWebTcR5pB9kBAmJAXE6KwIDK5qKxeb48FcOM9yyk + CijL0t3/jj/7/a8+dQMwmzdNSkNMcdHOxtudI99pPXr9VOJYSrRuvRiXZxVmbtvWTNfL1XzeZs09 + r/rZ8uff/B86v6QZKWCKtkEcpG1nZ8/2Jy6erfs+a787W6xPr3fo5KX+mv/+73z9Hi7WtQvKzOhy + amYBWbbiqx9ufx78T5VK5cKFmZm5PL8CQEQhBAVLhoF/5Vd/9Xtf+3oAzlF9GFKpVCqVSqVSqVQq + lUqlUnm8qTbolUql8qhwON3owU64JOyeOb08Sdp6lzIUsXiEOZ18WifJjYFB6kxhIGMDxGEu6cr7 + zuBgjXYPZDQDki0cjw5mU81QUbRlwAGNQ3GlhIGJy3Lacoh+ZLuPUHzsiv+hgYjcNHMsXKzKsnMG + IxgTwVkb+8HNAnw60ceLutgYa7fa9+2p+5Z//MwXHFx77Zf+5luxC8yC26nXl6c6DDBDRL3vJe0B + MGPZVkGMk9mj5/WWEo4AMgQBgOC8SAoeutY2eEkdmBpTJp42A4C2XfU2pXRufE14WI+/x4jBcCb+ + 5Od96QvVQuAhKSQlwbyZ5RQ3DtGT/O2oI5Y+pawwYtPk+PR8dmbRglmGgduZkTFIkrpQJ/srlUql + UqmcHxuNMlsR6o8vjuVFGEC1/qRSqYyUWM0QAjMPw1BcNubzedd1n+tdq1QellJjXH6WSvJN7uxj + sv4SaA1gkzK7kfY+rpRPNJvN+r4vS0qW7YUWM++cWy6Xm1hxEVksFuv1+nO9X5XKY8lsNhOR0s5F + pGmaTRB1GXPatjUz51xxrzjfEPqcc+nsRLQZakpCdhkKSmR1GXmGYTCz4ovh3HhnXzOtK5VKpVKp + PBGYwjQ0bq7Br7HUbkcIwH2ruAPMm2YVY0xRUtwDnAxl+vfBN0ZT8Nox+LEqOSeQIsfek5d1v0uO + TCxjBgQK3vvTQzfztMwWAohghPWAMXuxpL2eU0t/XhsXBTEYm8p8ATMwd3MyRRehhJ1AQKNomKAQ + I8c0O3ExLGNIyBkxohu+/c679rv+stXq8qaRoV8Be46inIcfrTOF9ZSIQojgrNayE8ADOqxZBev0 + LTfc8Ezn9gLp+sB7EgHZZoYdk40CZLII0PFDbQ7O0TGyKYKPDY3Holnouh9gjDBj2l3Gb7zl9jd+ + +C9weKbd3wEUmch5AGigBiMEhCyxdW3uk/ctkIEe6+U33Xrn5at4c1QfT89CExU05RsTYGQKeBMH + yKiRIYOV10IQHu2c8mjDZImghMywFgddvJqpNT7pAiU7+/H7Xn3bnT/wgffDNWA3IDdoved+neaL + Il5QAm8/X3fl2IgJgRgNu6Q695y6PAsewJCinzeZ/IBhMQ9YngUIq+EVN9x8OfOu2PXd4d7uzJNJ + Oo/vEQyBrR3CKkkIISdqgjcoDs7ibPp/vubFn/jA+2+KXQMlFzqR0DiNeYzZptEE5NgKbQwhPjIj + muYUMNqQIDMy0AlOtO0wJGfdlWnR3rd83ZU3rS/a//67/wSNwpjCLIMNFLaSdMtJywwFn5dy6Qhz + IIDYoAoe1SAGNL6YEQXTQIqhQxQASN1rnvv5O395+lZFo4Mi7ZPrs5hHIrCCDQwiIMOMkI2Dc1HS + Tghry0OKJzlEXSvBaNRctQoyGCEVCxUbP5+CDSpkIMw8HUSbaQxmO4M9zbffdvOdP/ie92AxA0dl + o51dhQfgCAwnAJOSKRhGJfCbARYgAauUdkKjDEfIBDU0CgDeaXCKgzNgh7W86pbb9sX2jJ/erRbG + rcrCh1VeYxqEt2KnRy8RB5BZJKA0afO99/AeRkiZQwNkyYdO5Dtvveuy02cda+9oGiSNJq0LSven + TdQ9QFaMTYAShjo6ixjUm17pZugSeEbDkF3ws+DmiwvNh8bAMrUxAKlkWhugSm3IEAM5CIaIdfr6 + 6298xnw+e+Dg0vnuuu93QuMs56wkyAA8VllaR4PYzqxN3TD3Pg05xbwfkBMOVitfxk9DC6hCFAJr + HC2cc6CDmHbIJSvSvaPMYwNIzU1580f7DnlikqofOWSYhwZGENudLUyhBgeIJHNEqUciLOPr7/iS + k/ffD+SWcEhjmribjNeERKfEZTU4Gz/kGMprNvnyWGmQmQFgJWgJZlFgu9Jexe5lNzzzRz78ITTB + pd525z1oZxFggDnHDNBmDDRsRjB25JA6pOScW3bdRUTRcHKGVUKXzVP0cA5gyOmh3+HxzweYAUKq + BKdHp6lcwrxCCcEAmJ7vLC0DVK567EixsSlXZQZUsVpdurcXhs4ZKKDLmM/gesw5fEJTaKiPedZw + TFpUnzTFGCttmth57AtMj66MAhCcg4AdsWYxGBPvGEHUWj6I0oSGCdb6TGAg9t2i8eCIPqEbXnbj + zVew3xO7ZnlwYmfhHGl/HreJBiOPFDI4z2cXk4AZiYIgcootBax6RP3e2z9/9sC9F3tZ99GFMQ28 + 5EAT4KaGNK6xHN7pEikKTPcY40YJahDQnEKUBNjCnIfng+6VNzzrhz7yEVByoYWIdMnNWwvca2qZ + 3bk7DwLDEWA9qZjsgDAolvnVN996EnrZ4dldbmYOAvHQVUozQiZgCp8mwNuYVLydRixHO2ysAkBZ + Svdx4/0bqTBAm84FwAxCBhqD5B8JOgYzVx6eopglxrGexjgyhTr+Tw9zPMcI6tEREgCYoECLKaG6 + GDYanIIZCVAglMuZAARz49BBxWNucze/JbN1G3Mznu4ojgVXMwCQbtmJbe2fPezOVyqVSqVSqVQq + lUqlUqlUKpVKpVJ5SEpiYkltVNWiVP/Zn/3Zl77ylb/4a7/KQDubd31nhuXBIXDsUfyx6ZztR/QP + muexB73lfMlZmaGKrov/4ad++ld++c3f9A3f+LJv/uZkundiv+97MayXy/nOIoSWWHRrFuG4OuDC + kgo8HJvsN2Dc5fIpvPM5593FjqqmLDEum6Yxs5QS8xg0E0KTRVbrLpu285lLOLta3n///f/8X37/ + m37mTQZkQOJYOLApEFB9NEI671mzzkL4wX/9w7/xa7/+oz/yhmfecksXB+Qccg6z1jfBzLquM7N5 + O9uud5ji8SqVSqVSuVAo16mSRgyAmR/zitpNYWDO2Tk3DMNmYc6ZiGKMpU7wQivmrTwSvPfOuRgj + EZV6zHJyP9f7VXkMUAEzm4p3MM1GZA0nzn/0/v+yzmfgiwCeCEYOJtk1Mwp+yNETGZqhn91yzbOv + vf7ZtjxhriUoIKAMiBqxsTcHC4/TzjNYO9tvd1ape97tz33nB9+6O2/F2dpSqacgxCJyBzGg54iy + xu9TpDBmRsrCXBSBw5nVve//0Du/5JmXUdxhcs4pMVRElcgFFXOesNENVmXXk5aSKWuksGNum0Rk + gELAdubsWQ2P6usdPYScubiAOvOXXHQpmTFjU9JUvsY+ZP7lRfsXkYJBRKSWmUjNmHEe1VDb+zD9 + 1brvjNRIjxfC8Cj0ra268jcatSn0Wou22MZriMZ06f5lZw8/dceNX/BVh5/4xd/7f09efZnikzGC + 2kS+dFUnAgwINJbkKQGcyWA0RuHS1jOxrRBiGCzm3pjvOf2xn3/Lz/5PX/O/HQ73O2r32xOWMaSY + JLmZ8yEMSbxTsagwgJ06Ek+uA2CUn9TPpQxQyhjl4FzGKONEBiTMFk3fnP2jP3vH/ct7eUbDMISA + PNZuMJdCoVLIYCDJJHLbLad25mHWw0SFk4kFakkfNyMRzoK1etJSiYM8SL72addffOklUc7SfBia + rhekjJZBviFAaHjkq1fSjOHdd7/zy079N7uhJWpIzYEI0O0c66mx8ZPjmfHDY6znhNCPvypvt/Ox + BE+NWATeu6SJYItZk1OkVrVdv+39v/Qnf/0H4bJm2Vk7BwyiAFOSPszQxyFG7O5xXq9PtotFt/sV + X/DfPePK53efXO+2MxtctjxrIbmjGilSqTzlEJHifGVm8/lcRPoucmgI/Pa3v/01r3kNgLZty8Ou + rVmnh7R2qFQqlUqlUqlUKpVKpVKpVD4r6vOmSqVSeVQ4vOg3f+W+YJ86WO41IIKKMLQhv8ncfbAz + 5xg4Z8VSLACYSbx6tXrri74aMRUPvIYI61QmI0EKVkNWKE0TloqjxGsCGEyjW915DOlUYq1HAzIH + OBhP1pgAIMhS/MzKxzBA0fjWdWuk/jKABsycaxlzSfvd8jbR/Y99/P9+4QthNMZiP9lnjiufDs4q + IMJi/kBMCTSfQ/MoCVNSRi5+d0ZQjDqMjaoAGN2li9AycBMzyGGd8m7bQoYQozM4AOZg3sCCqblv + 7P1sy2ePHmflmSqiXOv9ruOUcggAEBhd7I20WENOId4QhhFjkys57qGAJDp8gvHit/4OYnTtHOQI + ZKLOc+0vlUqlUqlUPhuclXuPSeNofry9R70tr1QqR5T43pRSESaaGTN3XcdPEj7Xx6/yuWGTYx1C + KFLaUmBsjxGYHII2vi0l1Pbx/lwhBOfcJtO69M2SoXtBUUr3tw10aqZ15alHybQGwMxN02xMMcrP + TRw1ADM730xrAJvOXv68jDBmttl0McUonS6ltNk6phFv+0WlUqlUKpXK4woRlsulmM4RMmyxCK0D + A4cxemAOtIz9WQAwYy4F7UoQGl+UWLwSmrZJJh7nhe3RBJs+5J8EzzAIAHJcZA+AmCClADCRAkmQ + BGZoG2SGkDmzoGgM3ojt0U4tmxrUpgTBkghMSugzmhnmbdd3RqZdDwXEnGZkxarD6UOcPvyW225/ + xQ03/pPbb7uqW59YHV5JoNjvt34XSHK+R0cBgZOEwaCBnQO8CJZrjoqD9Xfe8Iwb1XZOn9nJAwOr + XLJDCUf/bc4LAaRlPhukcDAHc/SgSXguZgqge9drBRJshY4k73X56dl9/fWnYIoYIVam8eMqYqrL + j1FnroWxdw6IkA794bc869brVqtruu7SnC8ml1PcmFXQlnYFx/8/pVKSEcFIQUqQEsi9+VCAMJoZ + 1mpixjHt5ny14uIzB193/XUYOlZdkPfEAOaLILI94T9+8qJGoGTOHDtnzM7gszmgCQQTWG49u6Fv + +9Vi1aHrkPI3nHrGa2+59VQaTi4PTnaHVzviZT+sozufM0xQcAJSG4ICwZNXNP0ApX92223de991 + dVxfRW7fhZUkIooxO/AmuhJb0wLlgBxrOgQhCJGBCORwlCCrQAbuGwbnaId8k1bXGt8wyFWnD1/x + 9BtweAg1J4PkSIAQ0ngytKibZKvvn1+DNgY8zJfRg6E8RQ7DpojFHJF6QHBw9n+59trvvvW2vY/d + c33Ol6vMgAgMJgY4BSuUSmOeXIwMalhJ8giNb5HNAD93cRPfWPRXNh6foujydqRPUbARCWEd7cTM + OYAlXzWb0dn7n57wvbfc9qpbbkNM7Jg0S4oGJEGXlMvxIQNZgmbwNHSAFLshMOAIfYQAzEBviKAu + 4ewaWV/69FP//FnPvOrs2esO15cuT18lsqtdAx3yeh58KofH4BWNwCvYMB1Dc0eSFWfECgdy8Izg + YIIUXdJvfNYdF525/wqoV1UwGZMx4GCEksk5tRmbBoTSkouvFSuxjlsELIgsuvyPbr4NvcEH3wYh + gEnULjCJ8iSxs03Qu8KmEUBSC/ESEftX3H7bM1Tb+z95RTtbrZeqWVWXWRtG4wGFGBoPIyya0C0H + CJZDLqfYNhm0xCd395Zj+DfmTetBUOpjlqRzgJmNWImFOBNnYiEGmIycTv41m8ZJBlKcY4PyOcUI + KWfkBKIhDsoQM7McmBoZEPNLT934uqffdMkDq1NhF0Ccbgk2w45gTLkuPzfwRmZZPJGmceVIh+UQ + DRG2wy361aVJr+7yt113I04fwMyrBJgC666HMnIRYk6OTjR1/tIImga+zcn22l0x8sC6hyiMKRsy + bIC4xu0TGgYXQx8qNxgA4BV+6ho6RccGO08p5waagooBAW+G1ulhkWI+e+CBBzJMgJgAoO9BwDqn + XR9MKbQsqlbu0Ebl3rHbs/Nkirs/anvMYDMwO3YOVK4fmQDvHRFiwgxoEvwQF5rQHWC9/PpnPO27 + br3phn649OwDlyzPXueZV4ex69z5+NgpIZoNEsEccy5++pQxN9eC0A+I8TtuvPHSe++/JHc7aifm + pIQ8jmqf4YwYINNptem6wJNsdoAtLa6QGnI+Z1otrws7lw/27bfejuUaKUKzm8/gKIoEDu7IZXJa + OUjAmjIIBB8owBmG7tW33Xx13+2dPX21d3PTFOMg1glm1MhkCLjpGrwdPDzdkR59BIKygKRc/R3g + pvezERsz2OAZviyoPn+POdM3BTWobo0JG3uYcka3b7P1of4bLyVbf1WSrN32FeCYgBxcmtzkPKlT + 23DndP5phDnW8Ur0e1nfOapvO7YJnpbZI49Dr1QqlUqlUqlUKpVKpVKpVCqVSqUCAPDexxiLVjyl + tLOzs7e3t7OzeOOPvuHl3/RSB8S+Y8ARO2IiOveh/ROFc0TTJKMS7jtz9vu+//uf84Vf8NM/93OH + 3XpIKeZ88rLLVbXvo5y33ulCZDNnRlvyNhERkRhjVmHmEAKXxE0m9i7n3A29Ac185tvGN6Ebhvfe + /Sf/+LXf/YK/9cU/9TNvSgAFXyZlnHOb0gCbyqPOl5xVgT4lBf70gx/4r/72C3/hl37JCNyEZjGj + 4FJKXdft7u5670s9wjkY4VEr5iqVSqVSecyZzWalkq5E9QBwzj1WKw8hlCo8VXXOiUhZ+RTzM1bW + i0iJuH6stlt5YihR1qUk0ybt2ebkVp78sGWLwyo448AJmef0V/f9xUc/9SHlntiYSmoXDEk5p7hM + /aEzzP082FyGcOcdz+9WyOKTsGQTURlJIpLH1/Z4/EyqKSUiZ0JXXXHtdVc83UlAIutGfTsBRXJv + BBvVwgA2yvKx9AbQnGP5XhgzhCXs09vf9zs9HygLACV474kk58TmmFqUfFOKoAgoG8GIjPihMokr + FzDnnC9m4zFYmqFOE8V1tyxFII8qQ3qSKE75tQw4M83u0pNXEhw7iEhJ194Mq1MpylF5ykV7FyEz + GzOI1KhIvR8Vm09BhGEYomV1GyF2pVKZKBp+EgU2Yl4yEJThkKnRHVq2X3bXV77gli/r7kkn3aWN + hwKZATYiM4ENmFHDo6W0KmXhrKSbh0VkR+WfG5ffrEAwcZH37O6/ft+b/8sv9Htn43w5aJ+zEJuJ + 5pzJBwp+0CgsRsLGZJ41sDrALqjil0cDqbAZGRk7Y6c8yrRJnHLO0Rbx9973O9ZG85qSOefKUzgZ + Q52ZDKxwwrv+xE2nbr5k/1KJEvuoSSFwzk/3Ko/L/UlWITJVcc6lITMFJMigX/Cc58d1jKtBenCp + p/Ews6Tn66Oi6vTeM/f8+ac+gJ2hiweBeOZbFRipUXGmngLUcWEVQz1atmX12xUAtvXfpvpG4V1W + Vc0gccTrdKjz/u5P/PFb/vg/uYuT8ODgvLgANnPm2QB2yNkWc8pr3eOmWc6+7M6vfv5tLzz71wJd + AI05L6bBk8rwlDiklUrlGMXeyjnXNI1z7vDw8OTJkwDe9a53vexlL0tJABTryPl8Xp+HVCqVSgVb + u6oAACAASURBVKVSqVQqlUqlUqlUHlf853oHKpVK5cmJY8z57Iyv0YVbrgFkg0IbD2Qdk6AJCi6m + eEUBUJw+DVDzAJxikcRLd7A+i26J2QyuhQPaAMrmWCbHV57CrBlKmMRGGI2HHfhR6HBGI+Cjv+Uj + I9LR2UzHjRc8cGaF9epNX/KlX3j2zF4LS1kVRARWmjv2IS4UNqDdMQE9ZoLhyoWIYwcHhGbZOGpn + 8XDZzNq+H5rNRDsd87mbDBYVk49eUMDQW5xx0wRIRnAIUKxWmC/gZnCAMghCXGxMaWPOaADgxrRs + fpTGm48cVviUQj67On0Ro0+YeWTBfNZ0Eos79hTpPbpbBhmXbMxYCUiMg909zOfY3R3i0LRzNXWA + xURNeHw/QqVSqVQqlacum/uNclOkgBGjlChYVexXKpUjzGxThWtmqmpmIYSHdKyoVC4ciKjY95SA + 85zzJmL5MaFYw5SfROScizE+Vit/OEq/K9sNIZQlF2ZsrZl577ejfL33F+auViqPjnJZLOnypT+i + xEqZMbP3RxOpxdjCzrPkt3Sf4mY1DEOMsWmaGGMZx4r/hZmt1+vFYuG9L9YYfd/v7OyUrev/z967 + R9uXVfWd3znXWnvvc8699/eqF1BAUW8ooIhiHNrDoRg1Q5OMjE537PjqaNtCEBBEFFFEfCbpYbrt + Hp0eRuNwaGKPTndsH7FNdwvaERAEJYZHAfUABOpFVf0e995z9t5rrTln/7H2Off+Cijq/vj9il8V + 6zPuOPc893O99l5zfr+qhzejUqlUKpVK5VIxjk3TzBaIy1Xnwihpf5UCkzGbCigkS72iH1JHWKpu + zMyMHj0pzGv/M1zo7cmNqzFPTqC0WZzzHnFod3bOPvJwAFrAqIHZWaQTzfyRuJoFMFOMZmXGlkGA + R7FrJgFnQE2P6rNtbjKko0NOu84AJbSdsZ4bV92sy8hhRsCIsQcx+hExvfkFLw5nzz0rjnPPJGKi + HcDBYsKZMS+Ofnx0MpejAD8iBzCNEUoY849df8vTRZ6x2veWd+bh4VU60cGAPgHGYiCoAxhkBhRn + Y4Nb32Oe3i9Pz5+FL3Z6pnY8uD7JvG11lADbljw/vXeyWfz4tTf+3CfvRmdwDHbNvIEAHgo0jTMF + xQSn4IS8/NGbbrrukeUpowXxSoSAAZg7FAEEW3sS8/kqNzS5HbMBqgBBGGoqZM4ODP8YSD1CV0z7 + HJC24KOsTnK4vdn6vmuv+5V77yVSzNwYxTeOHZHBkWYgAYGZ1mI9YCpPKCUYe0/jcr9ddEBEFiRB + FAz5DS/+inTmzDa5mwC36re8Cw2ZxF2xENB+Fv/Ax4JNoRFIMkjXzKFABPbi666/7oY4BCQF9kxN + 0LEzBYM3MQnFfVQP+SZOT4rhcZExKrFM0KKjMPkfCzKjnTsG+pXMIB5gjFuAS6oz95oXvPAX7/oQ + utkMKh7LrHPPk/CCMwEcVNfyJUfjoA1hQB0wWTMTQMij+JkTyc4r4vhDL7z9hXDNuVWr1iJlYA8y + 2wr9KjmDV3hQZESGM9vYMHftjMae2E73+1seQnh4ObQeJGgUiWFA4ulAOSOPTagXGJByXAEl9CIE + MCwPy2eG2fLcmRPAguzVN1z/P37sHhjPXJuB7OAdbzxNZV2jJovKAa1H7FPoAoCuRURmyWDDKr3m + 5tuODf22xltS7ynPPW9nSpaZTEx6CByGlAHMMJlQFjUyA2xdc0t1IGUBK5wvDvM5wzM0ox9/6Mbn + 3rRcHddBDAEEuKYYiBtsKlHTlFOJgdvYn27KcgkKM0DI2BBMt/uo3Hz/82//Xz58h2nft651zBdP + bvKiQJgciAEAOhVinlqaAEMe0Y8/csOt1+7uL9Kw3bRLGeYunApdHvbLIUoRLcEEADJMkHZcKyKZ + TAAmN0jaauY556WO+/t7AUwcoHEVxwzscGsykNksdGfT4MgpTZFQBLAZWzngxChmzId708tLFlwJ + gwdmDQjeeQZcIF0NRIbl8PpbbrtxfzxmMBv3U+zARICqrcuPArIeTpDBGdy07+ft51oTbd3zTpb1 + IA9HNKaxBWhYXoPm+Kx90+0v+umPfBBem1kXzc/mHTKABjiwWD8YZQCmBiMsVyLm3UwRPZFZzibE + PiHP2s7G4ZEoJzz6jAAwIRcTbpu8YB0AkE6FYm0Dvx4wHE3a5/y5XQWv31BIhglMeTG3oRdTU/FE + pCTmHHHKYwClbAoUwdXN/soFzhcroDZ1zvDu4F0QmEAGTcIOmHlZ7s3nsyR5yzv0EVkQx9d92Ze5 + YY/3924K3q/iCabWwUSXWTsgMOTot/m35nNkbr3PgGfQ3gBPWPU/ePOtVya9NqWGVsHRXhokg8OB + PfzmGChBaYo/LG+yTSdLQaVkOAXowFTeDG0bZExK2hg3CGEZT1h2ih+69Xn/7M4PY76AZRUNXZMg + TQkRPlSUBQxo07QpiQ/syolx4mTpXZzN82oViWFwoWlXcTAiM2sMZJO+/Pkm1geBmAwjwCuMJk2x + Uvz8QfErRV4F7FBmVlTJjJT0CObrF9THfwlh5w+dNweWNh+XeFc79AHBaJrrOnxo6VC3e7DcEsVN + 61ZibWtth9rGacUM2fR3crA4o01EOAxwpESA6cEYkfznOsW0XhcO7Wa1Rq9UKpVKpVKpVCqVSqVS + qVQqlUrl8bNarRaLRc45pbTY2jpz+vR8Pmega5o3/8Qbr7n6yjf/1E8TcTRdBxqsb9t/1tvxjzlr + s1nCBUDGolIWks2YoIb7H3r4B1772n/1m//6537mZ2+//fbd/f1ZO4sxOceqesQIrMuLwxvPh55n + 09lsNqbYdd1q1TdtOwxD0zTFfbPtZlFyTGnhuwcfeuTtf/qOf/mrv/ruv/jzIY6ipoAPrk+5nMXD + mTglZ+Fo+VAEAN67nEQBTxjFGPjel7/8FX/5H9/44z827I2ztjOYb8IwDN57Bh3er+pmXalUKpXL + De/9MAzMDBR7Wp3NZsvlkvni2K+WNOSSQV/eUVUiKi/LGlV1s7rqbP2ko5yyR53QL/ZGVS4KzHBE + MBlFMwGJVNzuez78pys9R40A6pkcXDbJJuzQtcgZSaH7Kxro2que/tzrb22XjQcHsCNP2AyIHcDi + oKQHoX0X9xHWnZzvxbMSeDnIV/z1/+yO3/0gtbN5y6MOkyBjideaEnDY4NfRWJsLq6LZSMRT7DoC + jNN9D95z930f/PKrnmYWYoq+Mcc+UQaYmQ3Fl7RECzqA2TZij5UnGWRgOkg6IABqRKROlnEvaWJm + MjI96qWeFld1simYEFiHbedmZ3GFY6dmppl9S0qSjV0JWdTNhpVnW822t5BEUQJh6QLvP5QwbALI + iBlJ0zLu7vgrS6aXEQAmm3K4LmgNlcpTiXJzyR1UB9JyIyv2cuzYFTYyVu4//9pv23vk3F0Pf2Bx + 9dYu9g0YTYNpCA4CyuzMG6nweW7WmHJYyhsMlK5NAZBHVnU+7uaz2zsn/sP7/5+dK2df/8Jv7h9c + tTbrXOMbty9jSil4p6JEaiBn7JQYTOaV8OS+dTiJ+GUFB3WkzAQzzawAmuDOyXD3Q3d8cvmxld+T + ELuOxyjaAICxqjEXm2JTJ7Pcu698ydd2/iRyq3nWhrlSzpLNwdwlGJlMzawn5WBMCEDD5kAqqf/y + W778be/5g4dl3BuXTGCGKVgT1tk9jxMjNY497b/7zj+55ZnP87sKa5m8atIAo8Pn3wAquY1Pcja9 + krJtOkqjg+cH4u0GUHDjOHbBMWR/td8e859YfuQP3vO/n3MPjRxlxNZiSwcjJde4IfYhICd0HZUs + GD2jX3Hj13/j7X+vHbqcw9bixHJvv2vISCXmrvFZjih8U6lULnucK6pWmM1mq9Wqabrlsv/wh+58 + 5Stfubu7D6BpmqJA1ff9Z/y6jp8rlUqlUqlUKpVKpVKpVCoXkyqDXqlUKhcEA8HvBxeTOFASE2C2 + vb23t9cxDgJWy0SfTbKhZSJ/E17GUFI4lWN9/5t/8xu/4x3vRGhBHh5g1oM5AaK1Ah2MUOyxN3bU + hyXJHn+Az+ZX7tCiDn6u7nBk2oFgomK/f+Fgx7KpQNQCY1QjYLkUd5V76e//FhYtGOSqaNlTH+mX + rmm/+y1v+dCLnh8TkMaua3VIIC3Kj5OyqgFACXY0OsjvKpPvnZ9L7lXBBAZsufvr3/gN//DP3oM2 + wxV9xSnMTidBXt2EJBwIaRNf2skzA9KKEbtZSLup65AGBEfjEMmDaR2UswnaMwUdbOemKkTHp0OL + ZgYXfNMoADUwUbi8ZHwrlUqlUqk8qZhyWACQQRiZfWR3cE1Sh+WVSuUQxdNaRLz3JUKxelpXnhQU + C1gRSSl57y9urvhmacVK9sgyMReEcw6AiGys5Y9qlPuEkXMuPtbFVrwm6leeehR9qJxzCCHGWJyt + S1+JtcbB5psXVlVLI1aeO+fK89LapJRKtZrP5+M4tm1bVrRYLFQ159w0TXn8gne0UqlUKpVK5TEh + xmL26aGfuSAckqifba2cGqkbraOwOw5XzLZ8v+RgqhgFmwHKeTqYAH02c+uLsYXTY8opzOf3x6Gd + zVuWZZYxExMvfHvfsNz2naQxwTxQLHdlbdzrJi0WJJAS01EcHjeWcA5w0AzGxj3OYMMo8zBv22Aj + mWE1gBgpvfQFL5DdM0934ZpBujHOmMYoDojAlg/7MQnQNXAZDMQjXYYaAw4WmHgOWBwghl5e/fRn + 3RjzCUSCRGBcpVMt9gcIEJjIFAf+x0YHE+5kUAKXlyWUcDLCPF/SaJoJdwqHT4/j1V2HIc+BOXg/ + 7l2N7nue+Zxfu/9eODFytLYPFdOGmBRQQspYnn7pi2+/5ezy2d4tB0mQBnCBKStROUs8bZtpia0p + x2ZtuE3lO5Ph+YGIr/Fk5AdS7LQYBxCQoR04wEaAU2rOnHlmt8D+gK0tZGnagMNBCAQAAjg6iJEB + KQjcEMwwjm0TsL8PD6yWMP7+62+6SujKpDMxrxEAsxvjAPZEYIYK3BFlHQCAA7K0oZk8rfvxh2+8 + 6XrH8zwy0HaII4yRRLbgFZOg8LS9n+lpfeiJWXF2nQocr31zDTDF7kp2OhJgAE54WmbbZj6rmc/t + XX1s8YpnXv/PP/4JzIJLeRE8NmsFMYCNM/AXAIOxKXQGGHznVEbnCX36b5913fPEz/d2Z2AP7dCc + Q1w03I9pUCwCKE0/FYYZeZ3K+e64ZLjICMxwnMc878hGa4pfqSJxaRHIG2+OmE6OwtMyCWg7N/Zi + wHZDEi2lfgEXgP393advLX7g2Tf+Tx/9GObB5ZHm7Zi0cQxjuMkB1m0UpgJgaAJj7EEKy02/h6Z9 + 6XNfdHyvv2p/PGVYWAZyZjB03+K24z0R8pP7JDvMgtNBcH6wmGyCaKaTroBjQ1CFKrxHjjjX//CL + v+qq0/vHtN/yUEE2A8xPm0frQJ+NjobSZCK8LmYEGAUwgDRJocGrXdE2/f6w6AQ50bxrnFdLTIeC + zi4HbF1PqPwXBg5MulWxHF97y3OvXS538jgLNMaxBDqdkT0PzILbTdI6OAJlirB5s0iGc2mM0Ga+ + WOUcyQu1zjkhYriTW9uPPPLwMe84+mPzmQwxS2zACZrT0DgSBZtp8TfF5jSwgwJr2ZbDkXuXEwbk + 0nyk7GdBTF0SZodV/9pn3nA9hxCXM/IWIOKiSnHsxro42SEFbbaNLlJ5ixWAKa87rIIW+14gAaHB + frSOMPNBU/ZI2seTtPXKG2/4nz/1MWp844KtF2tghW7WXlYBgEhBhu3tsW1PD+OJ2dbZfq9pZ9r6 + MUXNnIkEdtVslvq+ZagCBiKwFQ9yOrB4BwkBMGcHgZkXwEaT7lBPDQOT84DB52WghwcLjl0gTtTM + ut3VsjO7grcbwlnZC86ZCjZu1oTiwH0BWwKA1s2yTcF9YGAESNESuHUGtTS44LG3F7wijhB7+Y23 + Hs9yIssOzIuGGBtCilYGVOGobt9rRBGjIqmbQ4pftXNYLn/4hhueIbrIQ5OzqMIhMIXgsubiac2f + WeqsHGECoGsRLiYFysndlBwoIQHIyTXuoSjPbEKOkSwfR+OzxP3lL/6dv/ua3/1t7JzgxisQ4A5O + P20e2MBRchuCZAAMBzBte+Kz0QgOaDypsKYcQGq5bTzFxIDYeaNrTApZB8+n99eNuFvrlpWRsAAg + MZDAMB0KcYAZQHj8WmFPclWxSw6thzGH35mwR73efEMJuh788GRTPj0eakToUFjsoTDvKRb9cNTs + +v2p09D1mwShRxtvT1c3VIY8tNHPOljUplytnxOd916lUqlUKpVKpVKpVCqVSqVSqVQqlcdP13U5 + ZwCqure7e/z48WEYnHdMPMTxVS///uc/77bve9k/evjsGQDFTFq/gDnHC0ZUmFhNm9CklLJZ1zXD + ED3hnX/x3m/6lm/5nn/4X//gq19z6sSJ2Ww2jjG4p6A4vhIcu34cnHP7q6Vj38cxtE1UaXwY02jC + Y0rveMc7fuu3/8+3vf1P733g/o0WymzerYYhZYFjYkaWkn1ARMVN88KSEVISAN5RFmOgbdsY4z// + F7989913/+q//BUwEZxzDqoppuD8o9byKLOiSqVSqVS+uJQsuRhjyeYDUDytL1Y+72w26/u+OFu3 + bauqJSW5JNTHGNu2FZGcMxFdtim9lcegnDjvvXNuHMeSelmdrZ8aWELTNkx9kgjX8dzdu7znjk+9 + N/kspKpGBCMjQAzOQzKY0DnkEbN5uP6ma88M9wqllZ31RITE0MlN0YIxhNRIL4FlJAiAcV6Ja6Cc + zulDJ5554uTTTj60un/oR9ce2slDcVclcNFIH/Wpcy6ZEMAOxDi3OrfYPv6eO95++zVfHbrFuEc5 + aePNEQwqYuxKtGkRDHE4P4yt8iRlc/lmZuYsc3z47EP0hahUUj54vg4fZaXtcHzuj0FhJoAyM6QE + rh5sycG1pHEXFvMw7/UMmxrDDMS40O6UADMFwSnk9PLMlceES+KFfWYxrgW78qXOZ9YBy5i3i3FP + 5u2ORWnCVX//67/zN/7fX/7w6b/UObq5E5WYMPNgOM3CzDolAx2YXtI6yLl0RwY+8LMnRMGiQ1yt + zsa8c/z4H7zrd04cO/nVT/sm2sUwpta1DfmYEwUPhlHJ/HCsAKM40xudl5b45IPWSVTGZFNEdYng + Tjb6Y/Qf/vitsV2OGj3BTB1P93U3LWfRXjbSK55xxeKq2SfOfmybTrXdfNc46piQHNslHZ+QwLHP + g8zn8z6ushvRjHvxkSuuObnaPTMMy5ghBFMwifdBJB3plKlTCcMHP/HeB5Yff3Z7C0UzgfMhIxvZ + NBA7Ss7C5U4JuS/VhA7dwp+q0PkZS2vJmpwH59VvWd/s/t9/+tv3nPkQnbIY0TZIaZ/Uk/NiCras + aD0sWuxxnLZuuOb5f/ur/qtFOmX7vN1spSghtKAIqJk5VMH3SuUpyDAMTdN0XXf27NkQwvb29v33 + 3//yl7/80w+dAcDMRa6qqMCVO11f7E2uVCqVSqVSqVQqlUqlUqk8Zam21pVKpXJhELL62fYQz/Zi + s4Y4mfbLlig7wzoWxxWRu/VvlCDEBvKU2Wyt/Yc29jcOPfbPYd6MzXYDNVXHzOdpjukkraeYdFNp + bUe91i88wsQiTdOfBtb1T91aAdNN+qQK8zC3XkuExbd/3Uue9/DpNis7OIIqisXvToMHCHCGlo2R + Cb7Ocz6lMRG3tYUYwYJuNm/HPA5xGD2Y10VRCDB2BpAWgcgDq+fpP4951XIgTuyRBoQYb2lanDmD + xZaRJzpPTJQ3P1yr9fH0cIkDzkwB54dkWbjBakBwULWGIes1T3KWBC5CfwSzR1eByO6Ma9AswJ6J + s+TgPEzTOIRufml3oVKpVCqVylMOpQPp6gkyIRqdG7mZxkt1RF6pVM6nbdtxHFVVVZ1zwzB0XffF + 3qjHSzXT/dKkuD7HGIsLe7GbjTFeRIdXIiqpyyJS6gjzJdfWEREAZaeccznni7tTF5HDRz6ldNid + t1J5KlEsq5um6fv+1KlTwzAUjYONqEFpH4rL+1EXHmMs+hrFynojhFEWVapYSqmIZZRPi+F9aRaa + phER576QnONKpVKpVCqVzwczVPpjxz756b1nnDwxjKvR4WxrI/Ji0WyPesq39y33duApY7B0bNYO + w/hZL9Q39yyVwGtRTIYdbUJ3I6Y52S5Ped0CkG8B7U/s3Le/9OzMTCk4F6Tvt93ClvkE5oRMZCOi + GBhwNnlaj8DISA4AWjlaSjwdmq2m812KqQ0eAok41yNmsL3mllufFuV5Yx86R7F3AwhYic0difAx + NJrTMbQ9ookNup4KPwIMaWENZQMLqSLlN978vBvMthEzEgBy8MGdHmSHgvchpZ5hjMkf1NZe3UoA + mRnIlAG/dt4VaPG647Wno619KHMyBU4smjFFhs4AwTgCmYfr51difx/zY0tbbbk51Exk7jxSQj+i + x+tfcJtbnb1Z0/aIJaQDBIiAiA4GyxLgAHKTKaMTWGQFmbPJ3FUmM2stQk4lIKec4s1hdICLGA2t + 8wBWIgaEFi7DKa7M+uabX/DmOz+MEy4ZvDlTYyaAPSDrIzOFVHqYaTJRUqU87wz7ESt5+bOvu3ax + 7WW8PqmLfSPYDk2SnKEmYdbu9OPKO6+aZw5JcMTRPMMCOIAN4xJLff2Nt1zVLxuLI3Biy923LwR0 + gUhsQG6B9Nm0jG1tybwRRdh4ryYF1m6Xpb4R4GAngx+GzIAP2DUzh0+Jdh22DIucu+TedP1zf/ru + D2NBLmbMu8netKyG2G0cEC/oNh5N28wH2ihOcxq8VyxXr7n+ebeu7BRiABE8g85i7IActSVYi7y2 + yzViTPJJk9ZKh3bswqfdQMRbfT7mWh3GbQSHXEqgghVgm+q2QoqfetkeW0e89L3MWzbVvWgeCARl + yYLW4IehkfDG59z8s/fcSVtzN8R52wAovuEOAB1M6QhHGVZN8MCIZXztrbedzDof821jbL3zLec4 + rkRCMQsG2hZnoibAA6oIAWy0GqQDbC0xJYAUv3qY2tReMUDIzrxXgSQkQ7I33friZ5zdazUtWr8/ + 5m46+FLCyARcFENKhSr1beOcPa1lssg8Dwf04/7J7uTDYw8DVive2QIFNb0IhucXl2IX7AGoOxTK + JJZclh992g03j2m03s/dqhcFAqzzM2MVyDJlBfysPb0/LmAdZvsxDgj99s7Q+P04pJPHfvGDH4Rn + 5BHeY4zfddsLr7jmir39YVtstdq/JiwGHRpQ8G5QgZk3LRJ7up7Z+xzOopcjZCW40SFnWHLkQRn7 + 4w9ed+N1oW2W+wRdWdSEJsAURIDRepemAEtg7bhsBEDAAMn0MZPJ5oAQyB9ENCJHzAOGBJLEAJAb + oBuWV7Th+2657VfuupO6Eb4tv8TkAa+YrGcnmBhpQOAHWXLDD0GbnZN9HKPk2dZ8DrdaDlcsTu7F + aMDCgiCDzCswNaqllkDAQsVGWo1MDWoXFNBmAOAP1Ro5+IRExPvmQc90YkdlCdVm1gxjwtUnZ9Hc + mdghh3BsTMsGTklGByMEQaMIMAD5KOWJMXUV7nw3ciIwQRkRYAghOqdYjhiHN93wvG613/lwQxwb + oGNPffY21bkZc69qQATYuSgSPnO++7GODWXmdr6NLDBtHGPMWC5/9DnXPY1yk/sxQQxXdLwcdAaf + hOAcmZbRggEGEyoDClo3dGX9xZIcztiZukOtXImvDQ4INCS5ZqtLMSeoBxliI7YjOPefPoCo0Ahq + NYv3Hofns9ZW6AAa5y1DM1wDCKNPXZQrATF0Lc6ONiK1sHkTVjFSlOkMTNsGwqRJKIDYIWfrTXTl + OhoZa0PrTBCeapxCSKexk9m02MdfHuxya8wvN2zT0qyZnKX10KE7CCfVqb1nBhfVMChgPF340FQK + jSA0eVd7KEyNWKEMLm87IAMgOCrqY9NPGaUpBXiquAAcyuxXiRjnMuh1m21+1CnejCJND7lkH+Yp + aFNRqVQqlUqlUqlUKpVKpVKpVCqVyiUipaSqIYSu60LTnDt7NoRgomK5YdYYX/I1X/O2P/6jV736 + 1W/9kz85bwrnQECGYQDp45zgudBpZlbDfLG9XC4B803ox0iOk6hjiOFXfv03fvd3/92rXvHKV73q + VXisaIDPNVN6ec4vGDaTbqQAmMOwHLaP7cy6dohRBAR66JEzf/m+//TJT37yLW95y9vf8Y7VOBQf + G+ddytK07TiOq34A4JpGYjRV3iiiMG9cMy/APtMFlqzE7KAithpHAEz492/9o2/9tm/7lX/xy1ee + uoINOWYmJmJSBaBPHbuYSqVSqTylIKJNnh2AkslrZhcrf7zv+8O22SLCzMXEuiQpj+MIwDlXPqrO + 1k8uiKjII+Scc84lQ7OKDzxVYDMyM2Jl00wQL+/72LtPp/tlJgkAwQARc8ElEwCSwYwQIIy9dPbP + 7vz/3v6ePwky8yCCGo9KWVgNbAgAF3/NS2QbyQaXsOhme3E/+kgLXo676jRsu5zlUbtKBhgbinxo + niLPzrO3ZlMlniK3cxM/9uCHP/nQnVtX7oSutQgjJjIjMVvbVh4K8drkgFSedLCVgnBw7exAGTKi + f+DMfXBkZmwwOnqE8rqYbSJOnSGIv+bUtZ2bm5CZMDMBZsbsDXq4RG1MO+d+a6vZOZvvF4AIInAe + OV9I+ggR0Tq13xinlw+nY2NLAXY4+YbxWcW1KpUvJTZ1kE0P+Q2z90GSklJeyXxxrN8/+6wTN3/z + V/7dvXefuzd93IuRp1FyJAlMysUB97O3Hkrr+3xrcWBAc0bTQMwsgDjv59NtO/u/3vFbp77u6puO + 3a5jq6Nv2tZUgyGpgZyZM7CRMiXjbITPEOJ98nE4hN5YCXDKStrz8tOrT91x7weGraFxDNJxRNc5 + FXEAqwegpMJZGdnlu0+//5d+7xdWDwwLPsYWYh61Mw6muQxzLsn4xABQ8L7JY5z5ZhxHw9QL9AAA + IABJREFUZrgZ7Q9n/U7YT3vi4AKRwcig5brpCAdHGQRkP56ND//Fne965q03IhvEMbNRVjqQiV47 + W1+eN4ePDB/KnaTppv1hQ+uD3RRJXdeNvbDXsdl924fe8mcfe5sdz31ObYvAGJZwTsGaJfvAKkoA + ErZpcY1/7nf+zdfs5Kt4dK2fW5ac+tmik6zsAoRTMlx6aaxKpfIEwgAWi4WI7O6vQjubz+f33Xff + f/Fffuu99366fGPjY+2cU9XPof92icX5K5VKpVKpVCqVSqVSqVQqXzJUW+tKpVK5MBjd/PSQuq1j + s3O7GhMTJCs7SkVYzOD0PE/rgsKBdJLCK0JyDltGpx5+5Pe/4W/87fe+q4VPGcG3MD5IFWEYmKZE + oxJ3pCAImAm0mT0+AgqoTbp5k/yy0EaKlACGMYxAbARSIA1Ynju1OndSBOxFcpFVsxGBkSJgAe0C + 7ISMnvxhBJXHwsAULI3UBWzNzwj2k3lg3rDGSXL0cBzG50p6MqChRlUUGAbMWzrlmwc/cS+UICMh + gLwdSIuuVcu/KJgQVGDTfjEzkWRxB4E4DCgbjEyt5HqB6eBoKDC65qV/+EcILZQsm/feANMUZg0m + +cFKpVKpVCqVI6AExiauEYAqu977wTvQwdDC1kONOkavVCol4bb4dALouu4ipvtWKpeClFJJTc85 + hxA2vrMXcRU552IW65wbx/GJsW0mopIYX57nnJumUdUnwFH7qGw8rUUkhHABhr6VymVO6QpLOS9P + UkqlkpbusghVjONYJA8uYBXe+6K1QUQppRhjadC89wDK81K/vPfMPI5j27YAyjvl8WLuc6VSqVQq + lcpnQoTFzm+8972QADFoROPQEqjYDiv24k+++K8Pq34mEqw5M4wdyNl59nYGKB3IJVyE25Kk6yxv + BlAMZwXs2f3q+94HSYBCBWEGERAh5TfcevvuqF1KM7Y8xrl3ECnevQoImZGSHfnKiw77vRWTOGCK + iiBABZoxysue+8KrstD+7nUO83447sJymSahIgYbRjGGJSQGRSQCROAZSrBHqxU9FgYCtTDACKMh + 6kuvv/6mVdqSaEiB0StMwVG2fEPilqlvJiPX8/zFi2KRTc6NBoNBbHpx4FxbzoJiUrAIgDr0Q2SC + BxzcCCEHzhLOnnnd7bf/wsc/sjVvYdmA4BiaEHtkfe111z0t6zFIyMkBnnhlSoAylAHFrAtpzGSf + pdQ4nbZECMWNspxKLs7la6/uA+9Pw3EKo8gI7RwE6AVQdICMwylrXnfLbb/wiTuaZnuyN10LOTlA + NjP3pKrKhCYKWEEJQ3zpDbc9rbdbM/EjDwWQQWZtoxZXKe44P4qy471x1/sm59i6IJKatUHy40TB + YA8KkAFpfP0LXnRNXO0gm+ZZwKf3ZauhbJBsALY7vxqy8mSseliFStdhSWLEwCGTTmNACWZr49hp + vWRJM7Bo3RCnitMtsLtER6BxPEkN6/BDN93yz+65C7MWKSI0G9f5qdAcLl5HgqZG4xAZOXtW9OP3 + 3vjcW/bjlSmTJQUUieBm1IqNBjRMcbQyK2LECpeZB0bm4jLLmUJs/M+//31w+NHbvuzsmLewtT/E + eTYHHVmF1Js6MweUyBAcuETL5H5q6BgSFUBD8J5ytixoPVJGiGkB12b9wRtv+h8+dg/mLdKIpjWA + dF2gpn+ZKbuGsbt82fOef3J/eW2SKxKcxg5+lYYhQQnBU87GQBcwZGRC8NCMzkMzAGsnJ91y7mAE + IzsQqZlMvhVgUCRiyIiVvub5L3rOmXxMoyO3GsfOQRW6UU4GMqtR8cHkUjOcgYzWn0IIPLmGr0VO + bCp7c9Cnh7Pd/BpA0XgCYOrosnO1xtrJdTox5QSZOTGc27/WaMtWM4ehF+fgBcEQcx8BdtOvVqvY + uNAjLJt2n9xZp//0I3fAM9TQNZjP4Bm0QIzoun/18XswCnLGmH/pm7/5njvv2lls7cfRNLXBUZIA + K1bNckgp7GBDpycH+kCXm+60U8Yo2GkRB5DD/vBDN9/+LAqy93AXmlGxNfN7Y+4T5h6SSzzi1OZu + mqzNjm/aAdv0swDWvsAOTIADHDTDPNAnzGc8DOoJ3gAgaL4CQUWx7NE0k5f2WuedJ/tXTOefkHJq + ugZj/rcfvQuSoTKVjNJQJoEA/fCGF/21DuH0OC6UZiIsGibZeOT1MGPtzc1qCjK2ozX+53HoZ45g + QM7qvYNvoenX77oTKSGt0HioB4CGkfRHnn3bMVHvCWiOZ3VAaSRK/Opmtx7/iGNScCRem9qut81K + SCkE0kARBcPw0ptvvXqMT1stF0nFxgw0HpIzAaWrCNQsNTZoeiR2fhRxzkPkSAeJjZa7S3QOeUQC + +uH1N13/TGe23ztCmAEZ46AdkCEtWielc+cSKCsgI+h6Hp0OpLnK0MJID7pLXpfS0p3GwVzAajVA + MSeKZg5Q4FhwfsxveuFf++l7PmAkTbclZkYEAkFhgJXAXygwZum8cwRROOfQtblPAAIwjgiAb/wY + JUfZZqgWV/HJcpuM3Tp40qZmv7x/0PULl5dTuKJg8rTeDKLAUIEDyOAAtQv2M6h8NjZHcxNQuq44 + enjANX2xXFOsPa1tM6Dig9/SJAxpk/80QEhQAzyU1+WBDgyqJwP1UD6iyXcB0/UUcQkwsalhwdo3 + nV1R1Hr0HmAjubUuRkSfQ9CuUqlUKpVKpVKpVCqVSqVSqVQqlcpjEkIovol938cY5/O5iBBRYG9m + xJRFrjx1xf/xb/7NL/3yL//ET/6krqerDIdNSC7crfpxwsRmtlwuAbjgc0oATJUci2iZw3347Jmf + +rmf+df/62/+7E//zDe+5Os2gjiX21z242Ez43bem0CSfOzE8SSyv7/3Y2/8ibvuufuOOz58evcc + 1ifFMaX1t1OWkotErjjPsRRTAe8lTRlDIkeJDPsMJKvznJIAaBofYyZADZ7w9ne9+zu+6zt/73d+ + NyNuzRcyxpyzP5RZXCjzO+4zllypVCqVyhOPmW08rYtF8SaD76LgnIsxtm07jqOIlLy8ssZN4nD5 + tKQQXqz1Vp4YSg5mSqmcxJKX/QTkg1eeGLz3KQ1wwo2LkL189j/e884h7CUWNeedqZiZeB9SFlHM + Ztz3Kgnk4Vr3yP4j3Xym1PcSQdEYShCGEtQYYGd5Cq6/BKaRzhCM9tSodeosGXSmALLpo6471nkb + B5CtQ5oNAEcR33i1qAIQZjt+9/Qq8P4df/UXzzj27OPhGZIoS1ZSZg0BWmLPCArmdU5E5amEkY5Y + PbJ8GCyq2WBEfLSe81F5X2uBXC/u6mPXNNSZKAjMbGYq4r3bRDkyYAadYla55flW2OFEMANBFQ4w + wwXI1RARQFykQ5ztDeciDw05gjuI9D6gFuzKlyo25TACsJKbRDBjBVSyd52kcbaYxbTy6HRveOFz + vuLB/v63vu/37z9zr+2AA5SRkMHk1AFg3WQRPuqemAIlKJlVAdbW8xjVORDDWOF0zPsPDff9u3f+ + 1rd/46mrtm6wXtgaErDAKSl7MQLBSI02qZxPctaiwwZeiyCrU6cu52541wf+dEl7QiPBNKFpaByF + HdYtmBopaMpYarZw3+79J47PBuSUNVoM226IA6/HBpdifGKECHjv/Qznhhxa74ljjGEn7Mq+OcA7 + hZkqK5hhdoRkk0I0OKftXP78I+/8ppv/zpY7bgmagEkRirFObDtIyL0IJ+aLRwnONwWts4UmT+uS + qFYEYXjzZe/UNIbW5Wa886H3//t3/07aigOPAMiw2sOxY1iNKprYk4g6wIlrrT2Bp/+tr/72E3hW + J12ggKTe0aILe3unu65pm2bZp7Ztj5IeVKlUnhyUWxxd143j+PDDD7/sZS974IEHDn9ahCLLrFO9 + tVWpVCqVSqVSqVQqlUqlUrmkXHYeCZVKpfLkgBne77MbhADPzNngvYd9HglkXWuJAQDBCEk4mDu1 + HK9b9dg/i2E/ECCG0ZCBBCTAkIAVKDODGZN2mWYgAubWArRHY9KU3Yg32trl2hTIBHMlXk0ApB67 + 537ra7/mOKVo46jZzbciACMimMEDkC30HtZ4kHuU0mblqQg17TJl+LCczZdtS10zxvMiSMjOi1kh + A0MZeuD0TBCzErLWMDSZG9Mzjd7yDd+AOABihLxWinRQmKLE09FkFL3mEo9nCHBqwRH7ovPN5vQ8 + Cxs+9F1lWDZBoKwgBjmMCmEfwwxthxDAjmkKuiFXU8AqlUqlUqlcIGVApQSdRiMmZD1zbFqIlIG+ + qCStAYiVSmVi42DtvS+JvtXTuvIFUlK7N86vpUQVd9hNMnkxiAVQss2PiqpustOJ6BIZPzNzidm9 + 6MunNQA2xrQlyQ5ACKHv+/L+ZehpjUPbXMy/L+wkVipPPGZWimsxon6UFNQwDDjUvAAgosOFfPPz + w7/9vHpSRLSpMod72LK00kgW2YtHfeFRTUTxtN68Uz2tK5VKpVKpPBEQg1rMd7A9w4kOV+zg2ALd + HGGOMMdsgZPbP3XPh974ibve5/ShrcWy6TLYkQOgQAABCIT1YOhRS2c9YjSDASD9nHaQ7NHO0M0w + W2D7OOZzbG9j0eHE4h/f/+E3PvyR1z1w550tp7Dl0BhICD0hEZxhLjbPmGflIyXBT26ubMSZwGtX + yOIOCANG+d5bnn/lI3tXn927zlHbDyD0jpR9hodbjNqJdQ5zhouQJVIiTbAMJJCCjxxgUSbdc8Iw + /vD1N92UtNFV9tEa7CvEwXcuKkx0tARQdiYt9oDokRxGgAkEdIZO0SqcgQIiYQ82sEWyopA7SSnQ + FF9S3JGdIAAgEGMJMcAZFhnHcz65t3r5rbfh7BIxk+aEBI3o04/dcNMzLB3Lq05Gh0wIyZyQi4GW + hMEjOfQxmRlDQJqQE/Js1jq1TtGVSXsPY4zAyOa7ICYM3QTLCDASVg4DQ+GimcGaYjtuMMAchECA + T8O2JqgiJghQpv6p7C47U1ItDuDGgAj29L//qm95w85NP3/lLS/o9cTemW3pd6AeQtCcDGgckEU8 + I8voAUfRE1QSw1PxFnzcCDGcMzOM8duuv/743pnFsAeNAGLGHOQjugQnYMZ+zMoQBwRkmySBDPCe + wMiM5JCcRWeRTGC2DhNig5JlspExMkbiTJyIAiiOSoAjBIYMmDGcIQCBbEviNbvLN976XKQelKBx + WtympkBxfizF4yvPalApsiW5lG4FBDKg799w7a3PPx1PjMNoqfVsgMFFaGb0gDW0LzYDthQOmHU7 + g1jv5/d3ix/5+N1veOCjb3zoo29+6KM/f+9HceIkdo7/k0989M0PfOJ199/5Aw9+7K6rT3x01uzO + O3GOVRqTBQnDMjAyRgAd9wYhUzNP5BXBpj9LxgYHSJ7CrjxbO66evt+/8dbnIvbgDMslLGQ6RKow + gQmJ/r2bb/uxG15000PjtT1tjSIaDbxEJvium6sB2Xa6LgKq8IrOEBJmBp+pMXJGBFawEK/tt40N + jcArCIiGtnE+8D7UzYh4wLD8hRuef+OZcaajQsRyC4hCgBGQAG1pYIg3YYOHQgjqoN5KO0cZyAQh + bFRTSpvA0xcgbAJLnEEZ7JyZA192utUbV+QS2CaEpCBCFpwZ3vyCr8h5vweM4QmWAYMC7NYezCXK + TnmAf2DRvm/bveH+D/3TT9+D4wvMGuws0LbwbaYAC/AL0Ax+hvkcx2a4cvaP3v2HP/Hw3a/+qw98 + 6urjD83njygotAZ4wAMN4G1yIDZAiiXt5FdLbJdjqDcbIxl8C8qIPXaXb7jlBcf2dheSOxAAD+z3 + mRmLGcaMUs2NYbAMMLA9aw1oi781GQWOEN85K670kLLX5UUCKUhBBOdBBAQgjjo1d5PeDeYqW2f2 + XnXTrUiZS5jXJLJ08Fg0egRgH4wYwaF16ALmLeYtFh3mc2zNcHwLJ+a45sQ/fuDun3zgrjc+eOdf + zf1+1xoHg3VoFCDPicxICeoMrqyQpq7ziOi0i8XK1qZoTjIExwooApoO7QyzOY6fwHwbizm2t7DV + Yav97x68+8cfuPP1d733wZNbY9tl07k1PqIjCECt2zuiaE1mRsNDiVY9vwC2hhagOGDVv+K6F7zp + mlueu69X7Q0uqgGenAMoo4H3cBG0AkbiDBrIMgDvE1TWco2PEzI4pZlzkBHaY2/1o9ffdHLoQ1wt + AkjhFEgAEJwTKEG3yBOsARwgMHhKZuRgbAJzgEEyRCCz1qsciGetw2ink+gUDYMFDvCMSJYJI0EZ + KjKTYbG/gigRIScQb6yI1ycUrjSYXiLy6Cx5SJGibJq4NkcfGX0WlAG2lmGYH2A+zKM5C97YZRhz + M1UPMiVjgwdC2UfC6DASR7gIJzRpUoUpSNGPSoJgcLY+owR6jL8SqVz+Lrv2/PJESlzs9EoVOSvA + DMdFadGI4aajWvQcS7UkqCawTn9ON2qnDdAaPJDAQ1GSBBu8GsM8zDtwGRUksIBhTJkh3igItTEz + oXUIpEzw0+qyUlISK9qTERgJo4kCAlMgAxlmVARUGcSbnaL1NUKdYK5UKpVKpVKpVCqVSqVSqVQq + lUrl8VOi0EWkaRrnXHlpZjDJaWSQZwcVz/zffPd3//Fb33rLc64vE0xNcAQwcZl42kSVhxA2MfAX + cTvVdGOvJkk3s4SmCkKJ5SqBNnd97KP/4Lu+4zu+6zs//olPGhF7nyQbgb1LktmHrJNjJTMXO8mL + aFd58TAjM8LBHwCAvRtiHFNabO/82m/+5jv//N0P754TIK2n9qKabQIn1rlIJgqbHmEsaT35eDF2 + XLKW8JSYMtZxXMlAnv/ifR/4+9/6D3zTJMlZxDfBmATGzMxcjDxL5tcXvhlfmmzyvzZ83ryqkq6y + ST950uVhHd7gsu+qWp585tGoVCqVC2DTzmzalou48NL8juNYXpa8wrLGjflx+bQa/zxJKSduc4qr + p/VTCAXKJQ8JQZr0kXs/9MDyk6PfNyKCMzEHEFmUSAwQ+gwEVrAAKZtvfKYUMYiLsk4wYC2pGg1r + gPniG30pHoXQOxsa9M564sRe0AiarM5wEFHGNv0RpmDRyePRAEySnc45VYUxEWAYxuwXSDy8+44/ + iX43ImdyYq5t2yy92jj9zvzaSRHA2nOx8iTl0OlTVTi1mX70gbvgtCTCWz6yhJQRSvdLCmKYARkN + zZ5xxbN0IOf8EEdHntSmElg2ZErrmGBlTu66a27QTMxsBu8hAjp6jLuixNYS1IiIPN37yKeogx7a + 91JZqpRtpXKohbeSjYESj8w+W3aNizIA6sh5mruh+7rnf9OXP/urj+GUz41njgZhKJtwSfXiEnXv + 1hkW5Z6Y8kEFZGMytqTBgQEyqMIM6mx0q4888oHfe+e/XYYzOcRxXLa+YwkkgYwBKKmyKInR0TIF + LltIvQo557JmsBkhcJcknnOn33X327QzgTnyjqBibuqKFZRtygqZjrZGLBqopJwTKAVnaRzK4b10 + 4xMAjmCSVbMPYGTTGDxMxBM7YlaDgAHi8+4MP06s5GI6DLR6ZPj0Bz/+vhXtNYtWShLD2hQc4GLQ + js8j0/7kgRQwKiM6Y6DcYvbMAXBZ1EDETlVZxRBzOz6U7vvf3vJry/ZM9FB2qmCm4LG3AhyULWZ1 + jklckK1uuOpvfeW3337tV7dxixJIhQiqgjxut8EhpXEMzUzNX5Z5ZpVK5fFCRDnnpmlyVjMq8n3k + wmqIzE5EX/GKV77//R9ICYfl6A7foi93SNxa0P7SyUjmnDdKXLjYt/IuKYc3WFXLfcIvLiJSDmZ5 + /MIn78ps4Bd9e5xzZTNKObzgQ/2oCeWmaS5sOd77wzXiwu4fbo7MRvcSF1rRLsr2POqHhzXujsRm + R8pOHS4AX5Ttwfkn2sy+kPKD9Q4ys7tQv4+LtT34gmtooRzYzZn6vPqHTwC1X7iI1H7hsan9wmNT + +4XHpvYLT8z2oPYLF5XaLzw2F6tfuFjbU6l8iVDDjiuVSuWCYEbw3/PWP7rrK19ybu/M3CwwyvUE + 86QnSAYlsBFtJAGNGVrUy4SnGX3vGhhaH848+Ok/eMnf+Ja3/iGOObQewWFUZEPnxt7cnBgkgC9q + oGQ6TfSzAI4uRCasaHXifCU+VTg4MFkSal1M0gSHlLC7f+PQ095+s92Oe7FfLWe+lTw6oAd2/3/2 + 3j1Y9uuq7/yutff+Pbr7PO6VZMmWbMuyLdtCNpjH8PBQCQPMBEOSqUqmamYCA2RSRQaHSgyMwUkI + cYCADYQYYwJTlaSABCowwzDMTGGYGcAYAwZjLL+QZWQbG8tYr3vPOd39e+y91po/9q/7nCtbss7x + udaVvT911Dqnb/ev9++xH/1ba32/of5L3z5/tg8BSGlKTSiRzs9cDCDUoUaUr/6N3/iTL/rP2uT2 + 66CD2MmrkXK4nU9mp+U0AyXAYKzAsft1UN0d44V+QBwxDlQFAzvAGZAEJnAOYFzhaf1pwVEn0chp + jLWrTUmQKl8lHXnT/hMJNBpqr0kIQBOGVRRPB84/xAGhmg6Ggh3iCd3bQqFQKBQKhdNgx//LiyuA + CcJYO/e1v/oryLdWbUo5iinWPjxRbS0UCoXCZzAu2ywBdV1nr1YRyWmv+Rls8l+HYdgatV47ZJWc + GGMIIWvlZPWQ8yJvs6qqnGyRUsoVg9777QdtxXpSSkUpplD41MldKaWU3aNzF9vqfznnuq5r21ZE + iChnFTRNg42z9ZlzdPKgl1MTqqrKIyEzN02zXq8BxBjHcayqKievnDkZolAoFAqFQuHqwCDAOTAE + mkuaPXJQWGEj5nOYQvzPfOwjOOq/64WfO19Z7Fd1tmeDBZha3tAm9yDft7QzBkO3yzLevJsMjvLG + PXnAPBhgMvIK5HDyCGkgHuNrP3Dvd932PKyHBblgKVtXegMAB1WcVt+Et62SE8l2BoAVZliv95if + GsJ1o6y6bs5YA8txrOEcnAoY3kEVoyKF2hmbmuloopjPdh5aHu5MNqaPC4JBeyRg6L7jBXfcsu6b + 4TA4qGFQ1BWGEdrLPFQxiiMywiggQ9XQOFrjHERGQwseoQ7Z1xOXInZqxAE+wOIUBN8eJCU4m/7M + EXwBhBF18jJugdGkGdY3HlQYDHWCd2GIGOK3P/3WZzGq2NVk2bG1R/RoiGlI4gLGAQ2jDfDgftCa + qeIwxHTYrR1QsVdJteOjpOyxWFTLo3G1HneYaGOZnl3GhSEEAzGySZ/k1pqBsoOpog1hHeOe52+4 + 7dk/96EPI2iu6zVs9nebi0BqANII2KX3vf+Zne0gpr5joLfkgRqYN+1h3xGcAgkWFY2H52kbBixm + i4fXl8NpOgEDUCUxDHrLINfDPJQMIEQiWDbYNWfZYBgALMIItYOzqf1jMgPgoLSR5bLJ1Jo2vuzI + +kRAzvHg6fxurMzN8jXABseonDuMMQA3tzvjpUvf8vzn/fR73435bn67JlQOUINXuCzQ8HjzhQw6 + yOCcF9WaPTHQC4Kh72DxHzznBc+6fHS9qSLVgQ+iNgAzkqpjZcLRaHOPmqpVTIc+HMSO9q/7nj95 + O3Zr1ISak68U7EDONgeYNDuZvvq970JK6Ff/9MUvvmntebWMZgtHg5hnOELs1QFMwLZkZXNmGVBY + PgWBUHE4TEMAbm72xkuX/v4Lnv9Td78bDVB5YySDN4AZMsApFL/8lrf9wM13XCfwEIUIEKGOaLQ0 + 9mnfN5bGg76fVxyjuklngjZn8jgFJ1/YhKlnMeAMCjSNP+qTAfuz8Jerce4IY7pwdFQbRUx5+goG + lAyzgGWEwvKAGxM4sJKYGYE2GS7Y9LbpOOrHDVkrhVa8HNcgQBMQjr2vr6mcGMKqW4Z2llTrUeEC + zJDslXd+/uLBB9oZr9dYCJxi5hoYDdqJYKdyo6gxR9CAark7/76734lFjTZEYq9MGkAErtK2W2Uh + GMcgNeIEYrBDgPc/8s53ok/f/cIvwNHR06pGxkFgBixqWg1mAHtI2o5GuVJEYXYNyrgs5nOsV6gY + SV/5nBfsHw5PaWZjd3nuq3Xsa2DRhIf7qIra5/xGHqJWngJBkz3QDQS0jseknqAqgXHYD3MPSagd + TJB1+4SYDKa5RyqDGUoncrWUJmm/fhivc41rZhh6wFAv8sx9fCXax41RjsB+GiIJBtJstI2c6qmA + KJIL7l/fe88rbr2dFTei7jAI0CUxBsFYp/6Sp/StQ/npONlZPu7NBiSwDwzvwArAkgpBueZafW3o + V2j2/tW77/qfrr/lzvmFYXU0c94sETBEmTcu9qe48WUEEEk+eJZF0nUSOWJAtKkqyDDrxr1u2EEK + kKbdebg7amBz345pqFw4ks7DzUJ7OXYNM3m45Pqhm7F3nnQ8RZFPFjSqJEEiDlbf88IveUrft2l0 + igAQICMcQQ2HIq1rogxmtgCD+ZIKAWzmGJKsZqq9G1NqQHXwBzEedv0swJKJHReD8uZRAa8wTNfc + tNAlJMFOS9Lpdd5967Of+5Mf/iBaMFSOL7BpJZvXsQSKlhz5BIwIbZjd18xUARoTI1Gt8E7hLXkb + AIDqaj5//+FD9czNhVuNOxTWOoDIyE6uza4kTwiar0EDEjB692CoZNasE8W+qxe86lfeNZueYY/2 + SDQlKz/Q1Dhr0eZnBQRTJcf54CVR7zklC6ECMI45+sOOEaOoWl05ECRuDqqBq2Bmqsm5E3kdk1QZ + nEFIG4AGBTmsVljMwZaXKe4TLbxGARy8D9M3MuIk5h3D8pqCYIAkNUEIBgSiOHahakeJ7DyBCGCi + 47Fos1w+y+BWKBQKhUKhUCgUCoVCoVAoFAqFQuETQUQOlP1iNYmmNG9nt91662//5m+95kd++Mdf + /xMSpXJ+lIQTNTsxxpypTkRZWvTT1VyYQTcRNAb+39/67Tf/F1/xT175ym/6pm/0wR+uVjdcd31S + HYahbds0DlnzNKVU1zUzx/jEiyyfJMebbRPR2z4Zx9HVVevqSweXF7PmcN0bQDm0DFD0AAAgAElE + QVQYucF5NjMVU9OTZ8ERA9MLr+KJyRkkhJh0Pmve8tY/+u+//u/8wn/8+XrWSpyCsOM4hhDqulZV + R5TG0Z3BaqxwpYSl9z7Xf4nIY4jeNk2Ta1hyJR0zb3WNr32yEPP2z9zyrcip2eRYD+Bc9G0LhUKh + UCgUthikH/v53vyB7oE4s7e+9/dH14uHkQAM80DClBjPML8VuKQsl08AIKQ5K/64IMD4yjw7vRqP + RpDsIJmFNzeFGGzbXH5sn9+m63+c+TQbbb+hHK+1jDT5uHaX3/a+t3z585+FPtXBD3FIsavbJkkC + PMzlL2pKsOJp/aTkyrNGClNAmTmSdrZ8uHswYlRTNjjnBKf7fj3lDCqYaRQjQ00NevfUCzdVXLMC + LhCRGWBGxFtn0+2XZSUAjlN1/d5TKgqilNOJiXDW2xJqllO/RTVe7h4aaGio4cn0+kQXONvmC4XP + EJjNAaaUfeBzHY+DkVEuoBCllIvYyJxPbTgaXvpF//VBd/B7H/gduFjPcbTUizte1mnKjTfW7Zjz + KPcq2BjQE3fCsqQ2hNPYrP7kQ295xrue/RWf8zUcWyCk3hw7zerb4GxovanZfLL3YCYyBgRGnpJF + Mhul5zm//c/f9pDeL340M0sgdQiWb5hshAA/AcoKS0oQVt2Mc2S4SusTYHKXNoUCRNux9Xi9tLWe + pm1d8CnvYEUFsw1YvuP9f/ziZ3/JwfpSFXaQr6JpPcNKk+76k/2CAHB8ck+suAiB2fXdyN4tFrvL + brlaL/cvLLrV5TDDpfjR/+NNv7CsHu7cepRozje1Xx4OdYX5zB0cSd2iaar+ctzlHb1c//Wv+jt3 + 3vzFWHov3pJy5VVTLgAEkAuB9UQJaKFQeJLS933btlkgi4hERETqqpnNZl3XvepVr3rzm9+SX/nY + roI5WpGVsnIII4cdz7GpW+e8M9s3PlHOdtum5shODmo8gTZ7ZpbPUW5bjHEYBhHJ8min2s5213Ic + Kp/0056ac2xPFnl77NjZJyVrsmFzVWedxrOFomKM2akud418iM62qRzj+xQvm3Npj6pm9c6UknMu + 21ie9mQ9ghz1O9t7z7E921Ern3pm3l4Mp8J7f3I7McZHGMd+OttjZiLCzCdlV8/QT/PFk/clP5N3 + 8wz9/VSvf2zKvHBelHnhsSnzwmNT5oVPSpkXPg3t2bYBZV44D8q88Nic17xwXu0pFD57KB4JhUKh + cCaY0NTwuNyEp+1dNxzcH8AKbSqnSfRYuIuyZiIZDA5AUMkpaMiasIpReoYP5J5a1+Off/TNX/nS + l7zhN3FjPdSSZs3cAEFdUxrhqixTxkRqG+m4XPZzFmdc2zYSBDhCmpRYASIozDs1rYIh9lgd/tZL + /sodR0cXWjo4GmrnGEGTECiZpQt7758t/ur/9/+gqiddTknwxZbjM5eszBjFVYShx7w5uuH6ww9/ + YBYjMQNwAGXRvCuTOrOiLjax8G2VFxuDNL+llrSIA9ZrNBVCOxrNmWRQVxFGhXNZUs+uuOBPoYl8 + pv01kLnGh5VTwFkwgiANloxBQNBH7lRKKSU0QFpFAkbvu+tu/MpfewO827Y7769tWv/kqEMqFAqF + QqFwjaHEMOLNyl5YY1OhacBshK7r21kDIBRP60KhUChcHUQka3Nsk1xzeG9r2rp9vq7razB0lyOR + ORyeo6Q50H5eEeUcsxzHkYjm8/lyucyBzHzQiCjbXed4bfG0LhTOhWwb3zSNmWUxIABmllMQALRt + m83scxLMVlUn53hlVantk4+fYRgA5I8bx3Gb979er7dDyjZ9p3haFwqFQqFQuNYQgJnJAIPLtxu3 + 6QTEaHwyc46iSjVv0YRX3/32f3HzbU/nIGpAyhFQyv7Bn2DzaidcJx8PCtix2S6mWLCBgGkhRZNB + pRHi9HpvgIMnGByh1Vd/4E+/7dbn3NZX9WhkYgTJSRFmOENwlgHalOsbYPDb27LOsGiWsR+HPloX + gAjUAf2ABbuoo0IBdbDsWroaZAl4woXapV7iut/3jaTh8bfF24j+YdDsO2577lOXw27qdwATDIA5 + sGAX1WjjGEcB5sH1o+7VzcHQa4/a1as47CIEuBF9QGWE0UZP2Gl5GHVvzsuV+k2ayyPOCyZTSTgF + M4QwOkAwAyIQ6oAh3jjEV97+/B+8549w8SIO9J/eevttSfcpLdUSwB4xYeHaQaKJNkBQVIYFYTlA + oB5QkSjSwAcYz8LBug+gXnSnbtdDtz4an9I0fd83SgIVIAFCMCIYggHGClZSENjgDc6y/AF5YIyj + Aro+vHW+iy6CEzjA6Nizj65Ip0HjYfEDtHzqoubl0oDGwRNSAgND3+0QjGRQKIOBmJAAB8w8HyY9 + XB95CrBT2HYGTViuUMsrnnH707pkMla5k5obCMoQtdzlyMBGBK4hDtyLtuQNtoLM2Amhz36wm93a + QpNOFgxgAinIjlMuZFL7AkPzfZxBzRwqj5iQuqMbdxarozVGQZsi90QNHLKAb0rR+09orfioKKAu + KNCypyggB2dYHsHsu2957m3rboeEvEqCibYOZlBNDlCXuh67AUPEhzFif/e+Nvzou96NUCPUIGd1 + lceHADDUiCfb8pzn4UKcI/gAxfffe9e3PufOZ2Bxc0I3HM2Iu6SeQAbnJvvSUbRCthdnOm67GbBW + KEvjEAVdf3DjzqI7XGFIqAVICh+juopVxHkex6EyQtVW88V6XM4gADggKUax2mOmGFLfgi427YN9 + J0Bzxfk59pbmzXnc6p3xpk2rPs0DJ7KH1nF3Tqmz19/+onbKTmEDCwhAMBegTUQNY6K12jjCAY4x + ZAvM455AnF1ds2AVbYdSJkg+FqHlTmS2vwsImKFmQ6TmWotPKaBVW40Qzx4NIyn6hGH0y6Ob5nOJ + l/caQJFGVCIGaUEDrBvFgERYz5q/bKsf+dN3IHhUtUZw1Y4M42mOCJukqWleRD54nP8HM2BEzSD5 + obvf97IXPD8++LFnNQ0NXQWMg+UkIkvQ7B2sxwlF16KAC+nB0WUEj0uH3/W5n3uT2IzUd0cNyASE + EBFJtPVQBQnEoNAK6MV6Q1Uzmc1cOOzGBTFUI9AAi4B1xF6DZY+AyUtYCMYQAmRyVc44nTxWp77A + uD7wauj54Uv/43Of928/9EEgwfy0Ujk2hZ8yzfzUmQBMfs0A61aXZ/pXBnlHAWBU8TX33vMvnvGC + to8z8KyuOunBoAgGPGCAKLBJzZfTnDgDFLqx056s7LdJcgy4zRiWLw3BZB8PQJRVpKrm6NaYz/7N + pY982zOf81RoRT5Jym3rejnVDWgyQDkASJtEvRPyijElduRIfvidf/jDd34eLZdOaOhWDqh9fSl1 + AVDpFo5FZB27C4273MtO4PWYGFgwXR5jc7oL24hSMyYcrF71ZS8JDz00D9VO06R1z8Ae5kc6miPB + WDkcSL9TBxlihPaqgRCa+rAbPLDwlabYIe2RX1lax3jdvJLVSAwhKNlWg48AZ9ORn1pgEJvUJxVg + j34wB0h/dEu9i/UAx/C8UXxiQMHTSSSDU2YKRGBCRxhc++N/fh9SD59fW8NoGsd5AI8gwfISdudI + gqPhVS/8wrCK7WCKlA2teaOGLyCDeYXftFnAAlOIMMiFB5z/5x98P+oWCWCgiiBAA+yT2FofPzpG + da0N6dcYjpPmEYqJeRB1wa2G1NTeVz6LL6ogeAdzY4/KTZ7W/To1M6+GIUkVQtxsjzbDE03fgxRH + HdYBInAV4hoXAhwZjBTZgh4ORiweBq6NxsE4EBjLPjZNUEeDGUmqXEBKEEEIgRnAmKKINnUwaHAu + Ijm4dYozXzvaDoYA8uVwWr2yQqFQKBQKhUKhUCgUCoVCoVAoFAqfGBUomAHnnPc+pcTMO/P50Wr1 + yu96xdd+7de87GUvu/cDH2ycG0XSMDAoxQgg57o/lljqmcLMW7Oq4y18otfo8YuNxvjd3/u9P/+L + /+knf+L1d9xxx8HRkfc+1HU/jppkZ2enWy0B5PT+07Xm08IJjZLj2oGmaY66tfdhf39/ue4dETnu + k5ADCNk7TNLkxOIcqRgBjp2ZwRQ5t4pd1LME+u3j/zh5LrbmdjRVO3RdT8Bv/fbv/OCrX/Od/+gf + NlVNaiF4hSqMzUSkCiFXUhTOQHaV2BZ8bTVqH6335RqWXK1W13UWBh2G4drsAh9Pbnne61wGuBX0 + 3KoYb63cc2HOE9ziQqFQKBQKnyEoMRlEKGiN+y7fe89H/qSfjxQYKWcreQBKyQgknL8VISdTGgPQ + 7NqY8/Xo2ExTiYUMUG+Jr1omrgJum3loesLvEHyiTFkp+ztyzsICdPLcttxsNuLsWUiWF/9spEoQ + ToNf/fE9v/d5z/+vZv4642AKIiLKRR8KbJ0jFVddjbFwdSBV5EKRE47OZkbpgdX9D3cP6kyRM0z5 + kZU+n4ScxTo5SpCZeUOwdu72b7pwYwCrKJOHOVO90o01G+Vi+l5q7DTccv0zPVfZW5MJZlNtwen2 + NXfYpI5IYUnjg0f3R+uAnZMfPf1Cp76/USh8RmF80j0XJ1yHjVSRjEQ3ryQNM+xXVv3N//xv/+Xl + +z8U/+zo6NK8xjAkR9Bcc2HgzTij0Gx7fPznieIK1kfeJBTWXtZh0f7ff/C/Xlzsf94tXzwMPriW + 2DESQzYVeQ5gMs2Z8VfnuHw6YIMIE5FY4kk/2MA6uuUfvu/NR3gYZN4cqxNVrkgBlzP8KS9CYAA2 + VbWJQaa5vlVYlUBAna7ilC2EyWJ8o1duYDKAlA1K0+rlRO0M0ilbk92ynYP69N6/fNeHDv7smbPP + 8RpJGbZxldnez3wUt+8nFVfuwlSLwcxOBaFujGg99OSonTfdsKLaBr/8zbf9ytvue1M/X/UWK1dH + 0QQNNdq2ObzU78yhgn49LtyFer34ss/9yi941l+5gBt8JJIxhEZMjCOgTj2MYAHMIDGIQq/e+rZQ + KFxVVPXChQsHBwcA5vOdw8ND9tV8vnNwcLhYLL7vB37gP/3SL1eVH8cEIAcQTxRFXkFe4TdN0/d9 + Vtkyk/NqZ0pJVU/qBzLz2bzunii2ymN5F8ZxZOYnUOJvaxyY5c625nmnQkTyLphZXdefSqTmXNpD + RCGEvKkcFDubbWRVVSdNIrOA2xlsPrcedblt+ZcziF5uP5eIthp0Wb/uiWrPdiO5JSGEHM471Xay + QOh2m4/45dPfno8/xWfzLj0ZzXTOnTTpfELaQ0S5L2z7BU503sdP7t3bLWT/0SdQt7DMC+dOmRce + gzIvfNL2lHnhMSjzwqenPWVeOHfKvPAYnNe8cF7tKRQ+eyhZB4VCoXAmmGHAzt7lxn/g6MA1Tf4m + qaOQwSmc4mSQTzhr1J0wr93IMAf4AK8WqzE+M+qFD9/3q1/3N3C0rE0S0uHQZ2E875CWUlmOU3NO + tCHA5wD2WTxxCVt/4OO/wYRhiMYgB0dAt0a3Rjc8bYw3GHUrqwOatlWJETF/7H2HR/fNWly4gO1O + u7L2+kyH4IODGeZztO37Tf3uHoGEcvYksBHUm169WXLQFcFv3QpCk3H+V2cyT8OvfvV/iSFhGCqm + GOECQwSVA02b+rReYaLo4143xKNVWzWmmiRVoRZRHLt0k+E4NYcFi7Zmzy44AdJs/s6xw/4enJ8O + BmPyukfJBygUCoVCoXAWcqIwcIUhjBAujR0IMFPFbNbgnFw5C4VCoVD4hGSb2Byzz4/bOHeO+eWA + X07CuNY8rbFxsd0K+sQY8+6c40fkA+KcWy6XRNS2bY7l13U9jmP+LGaOMX6yLRUKhceFiGTFHyLK + OSLYjELe+3wbP4SQ++N2XMopF3koq6rqtJ7W2KRcqGoeWDIppdy7RSRLQfV9z8xFFqpQKBQKhcI1 + iG7vNOrmJ+OQFHAkAIVWKIxEmLVj7f181kMGgMAChHONe9L24WRu2za8vIk/6+bF2a8xgCQaQgMi + zMLr3n93ZEcgd0InyGj6OV1rGLZxlNxsaBP7Jobn/+UddzVNW8Mr4AnDgJ2mWuvIgCIJdIQxwXsE + j+v3uamQBmmIo44qcqoEvloEMv6j25/9tL7bT/0u0AIRqAAV9II1xkCNh0+A1n4JPDSmMcz6/evu + q/xDs8WHF4v3Of5YvfOxUN8PGlzdI/QJIhh7DSfC8bZ53P5sjzltnRQJA+CB5RBvmLUYljc4Qqix + 7F7x9Ftv6oddlRTHeYABYwIBS+kiEhwUIMEc0IQ95ipUg/MHzH1oLjl+GHhQpGvqw3a+dvXK1+Ka + i/P9NKgDsmPzZHfKk/pSUAQDQZU0n24BFPBG+RqonNtpaz+MzaWjf/jcF0AjWCYr0Sw8e2whywCi + Kiz+hw+873K3GiEV0Cs6QWJ0wDzQ2rBSzGd1yhIPhItt3YBS0gV5g542dblNin789tuec9OY9tQW + IURsGwgjTSf6KIEdiODUdJfrA0tLyG49j6qDiFN4hVdUAqdXZFnw5jyymTMEqIe6E7LGWRGJwAAH + 51dRbJNklFbLi1G+8/Y7MIxNjBXUMWJKgJHLclqnwMAAr+JqkhzSiBih9A+e8exbuvT0UEWTaOYI + nSLJ5BDaeKx6mzVECqqqYXd2L8uPvvfdmDWoG6nroa4GoBumrCqCSj6/mz0UYPTtZYQjnmNn7yff + 997vvve97zU6cIulUUWuBteYjNODyxahJlPXp02PIGEE79ZJty6nulxeHOUVz/scDNFJDCZNxSmK + iRooVC2qFmIPrrum2Y0Q75AiVMEVhOAcAxDgoO8SUFcMsILyjwBCpgCgBOVjnZNsazpdGHO4GFWi + 7dSIK2tG7HnQRqSMACObLnhwB6tQdWYBXAMLdl0vbSDJFsKbS0IBbxz0eDjd/BMBMMKq07ptHrp8 + CTnvn4iacE2qjkw5cQIMaYQmpPStd7zwguPD1WUZYQn9iBl8jdYh5CvnArsaZKG+zPwj77kLiwaL + WTdG9i0lpM2dgOPBczPXyGYUIvg+AhRQzZEMzQxMr7/nvemmm/4ipctAD14Be7VXgPxJ8Z7jTqVE + p5u/rjLO9Lqmwji86oUvvth1frVeMOWiltGGDnGvbsYoMcE7NxiYKx+qvvJLR+M8XKr9Aw73k/Vt + dejcJWAARsagiEDfY1tEZZPoEpRVWONmRcBb42Gb8idFcTjoAjQ3uYkI44AYYfrIiQ3AyRUGsREL + WB/haX3F1c7ggLpBXS2beukYob1/6J2DjNlaO89IOQOTThhAnwLb7Jpi8/7NhnIaHgOy+cmtG6Oa + wDMcOVDAbA4AFb3ufe9ur7tuTOqayhhmqE7ZpGxr7bYHjdSgk9e6IlQVgxA8dqv7XFo7EqhCW/ZR + x/156xyNQBJNwIwxjsLAskuVQwVUhKc4f9oCBgJmwL++80U7h4f7BBvH9boHUKGJoATNuXwcnAfi + EBPQe78ELgP3xyhtO9TNZaIDH1bgh2u3cljstMthZEAG0GZRIXwscHm88tn8OAUZ2ACD92gbb5r8 + 5YPvuP35ECU5sezMVxlNivaAI0NaT5nDPQOtx2Ie523fzuM82Myj9ZgH3ZnrfGGzFk+5CU2DKqCq + Hx57Yh8t5WueN5mZW7kvZwgGPy0P4Axe4RQmtlZC3WI2w+4udne7qhnaVuetzVubN4/rsangnrAy + vCcFBgGD2BEIgGoCEDwTsF72UJDBouVlXBUAKPoeMTYuoV9zv2rT4FbLsFqF9TKsVn654tWKVx2t + O6xXODzCMPz7v/61//L5t7/+S78UQ4+Dy1gf0nqF9QqrFZYrLFe0PvLdKnQrHK6qYaRuoK5fsHiJ + 1dDVliomWAKAKoAYxKtVV7m6cg4Kk+igAQ6Ac05O6KsWCoVCoVAoFAqFQqFQKBQKhUKhUDh3jI4N + qquqYlDf93EYdhc7bV2/+IUvevObfvfbXvatHrTRkzECOeeyJF9OgL9amnr2cY/Y+Fht4mjkuFdx + 3t/17ve85Cu+4p/98++NpmDuxxHAzt7epUuXQgje+8VisV6vr0o7zxsypJSC8yGEOIyeWMxEhAkm + QJr2nwneMRNUzDsPwFRgSiAmJpDoudkGfGKMmR17UoOvfIT9qx9/7W+98Y1gSqZjjC74XKmUi7mK + 9uKZyVqfuQBEVVNKVVXFGOlR2JaonKwZebJ4Wm/Je70tCcxqv1tV5exvrarF07pQKBQKhcI5opR8 + xUf9Miz8W+958xCOeh2MAFIy5Y1cJwCACVBSpQQoSGmS8FOlyd8amyw7NnWWCAk59/rq/ABwAifw + AqcImx930tP6xM7m/QJO5mX5TVqrghJDedIUYgDCOoTuL5Yf/OD9f0pt7MaOXQihNjE2ZSgZAw7G + MJ6MiOlazOEuPE6mjGRYkkie/+KBD/fUk1MwiKB6ag9Ly99kmUWUHTx7G8LTn3LrLMwRJac/ixjA + zGybqxoANh632fnUSXX93o0VNyZTaZUZ0ZTE/bgbk9PszbLIBhEp60F3aZ2WurlueVuzkRtRvtEW + PovZTDSP6GVmpNMj6bbugY05Bl5X+/SUr3/p390dLu7axTq1lADANl1s2794Ixd84u6fTh9rIGPC + cT1hnoi5xkoOdLH65d/++Q8e3pPmfe9WwqOSnNgKw1wuPPwMMDzIdqGSS7dIdT7ec/+fvv+hP0vV + SGwM55nNtqPaZnnAx7WruRKEkP9ThuZVitMTr78665OtLjoZTl5FeqJWZarWpVPXAwIwIyYmAvm0 + 4su//6e/g500ogPUTWuzjdfXZ95IPtl1M4zNTExdCOTQDSujFBrqsRqr/g/uedOb3vPrcWfZUW8E + 55xTSDJfVctlv1g4joiXcF19Ay+rFz/jy176pX8rdLN0JA5EREpklvur5i5sqGA+rxifyN0vFAqf + GmY2jqP3PttR7+zszOfzw8PD+Xz+Ez/xEz/7s/8BQIxTN2fmR1tvZ40sVR2GAYBIjmidW3zKe58D + IjlYkINNTyKJvBzK2TY+xlhV1RPoUZf1BmOM+XxtJdFOi/d+GIYsjJY9I/u+P8N5P6/2qOo4jtnu + 7qSF5Bk2dfJ6y405g23eNsKVXQmzPOYZdOQy+cBuPfzOoJ95Xu3JMUrnHDOP45hbcobrOW9ha0l4 + 5hHjvNqTm5FP97afnqE9W//UfO1lbc8zHOfzao+I9H2/7Qt5oD7b+LM9vMMwZP/RJzDuX+aF86XM + C5+UMi88BmVeeGzKvPDpaU+ZF86XMi98Us5lXjjH9hQKnyUUjaFCoVA4G6yuZq9f/Yu/8K6/8XU4 + Wmvf+xD0xHI5h9KVIDmyy1McgA1epsQazarHlhI0gFrHN7PrPvoADpaY+905p8YlwDMwovUOPVAD + k2swJjXhSTrwNM23zTLrRPyYkXJktK7CoPAMJwMs4uDoV7/4Sz5/6NMQ24CqovVyyUBdN8PQ14td + J7r2NczBgxn90DXtWb5ZFZ5cqIHZ4Bgq3/TG3777c190kWFEMCjYbSRBjRSW1fp4Ut3cdI1sCc05 + bQXYvmCW0i2riIeXaOcM9Z5hgGcde67a7RY21/zVT6CM+sYvf+nnPDhc19TdqifyzL6Pq5l3aVNR + ptnPGzoJRzL33cDeraN44LLRpRtvxKKFo0lXdev8TShfVgqFQqFQKHzKTAsjI0jVoKohtoksqIOz + ojNcKBQKhatGDr6qao5qm5mI5CdPpl8AiDFea4oVOSqZZYBEpK7rnA9xXtsPIeStZTkS733Xdfmf + cvx+HMeqqnLE9xo8PoXCk5Hcr7MAU13XVVXljpYHImbOg9W2e2Zyds4wDHkQyCI7p/pcM6vruu97 + AHlTOUfBe58VfHI9QB4en8C0lUKhUCgUCoVH4wqb0pNOjNk1FzABG4HJocE4PvWZz/rYu9+137bW + D2uTGZwRBkMFmG1K37PbqZ06CZq3D48otqSNp/WJAPPkzmsAIBFVcABQ15AEmBAzmEAEqwxiW4WX + 05EPj9/+Rtn1EpqMofAOVTjqxuvBF9gfSgqM5TB6QmcgBwDOIIaG0EfIZZ05HkwbQvC+T/FULZol + +aGbb7+14fmgFeAZlxU1kBe4bd0cDWMH9UBbLz7ad3GnHSwsQ7jc8r/7s7sxr5EEHDDiG55529PC + hd0kfHC4413lUgBAImrYuCTKicbpJtZtmAQU8pWTjZYvVv5w3a0BWx7h4f7fvfSlzwqO0rq3UQGO + aAM0wQyOgKpayugrp6MAnqCjhgOESxUta6e1H1fd3uLi8uggVM1IXO/tP3i0mlX1qhvmpjvwDhCI + YsoOZsArarBBDWrTVUGRQYYmt9PbpSS7nTx9vn/feuhEID26EfUO2AugUMspOpPVOBMDDSN2vm11 + ZZdtbdlxNmG3datB2sqtR1mPIkDN7aF06MYZqgFDCzerfDf2pzq/8yg/88IvvPnoUkuk0C7a3Icu + RefIqRpM+NjNNB9/jzACR5qYgjEuxSHBHMCgMIlfHDsfb+MFWcCLAAfLX1Esez8bjLPh8aSENUgK + DANCgEUYY4dpue6/5dnP/+l77iY3iFodZhCBr3uJjePHv8vZ/3U37AEKGxAT+vQ9z3jenUJsfS/U + OoiBatLR9nzox2hAlzD3iL0p6JDdh7z7qQ/ei6aVUV3VkMIZnENdZR3lBJJJoIymtjFQAzU8wScx + P99BSN//sY+8/Hl3PmN1yKujBFnAeYgCJscWs3bCRlQIBvRJPJOBQjCLZkx7zKtV923Pu/N173o7 + 7e+qIXAFDhEJYgEOvsLO7PLlS7tgFdmvsBqxHOEdDkX3/GyV+qZqfYxpjAynkwBK1otRzqcLwMba + VjcOymwgBTORAgY3YNcDij5NYxGJGrJcCgMUwRXPHtC1RxWRdtAkHXbYLaMQw2jSqREyApzpxgkT + JzyyT5zKhIsX9wGCah6xLbv7XkuoaEzR1wsFEDxixOHyhuXKjesZ07zxso45NW+JFcj1bBA4tTm1 + Hx777sL1mDXwLMTtbBedsac5AIMYEokSASrHSYITBHDwAjCDFjPpo9tZIIDAuPMAACAASURBVA7/ + 8h13vfyO57s+hnW3r7oaUgCiQBmwrS87GIgE26pzXRsEsb3D9atve25j/W7l56wxpT5b+bKrHR0N + PQE1YYhStxcf7NbqXefRe0q1W6a02LvuY5cP96rWVuvd+SKYar+aEzlEVTAm43SaDoKQsRGMVAxu + 0wu2aj+AhYAUkWBsqT5aYhhR13nWNoDAIGSX67ymSHScdsabQ30szEQw3syGgCM2IFT1a97z7h98 + wZ2XDy7t+7AaYu1Oqv+dlG87A7xdBRk23WezrRzxlU1rHUAJlWMVMAEC7SIvAuoGxDA66MYFwlG/ + ntcYBtSEUxfUTJMNQEiAZFNngnPQ0bjyYMHM/dj73/F9t9xRjWidN6SlgFYdgCZ4E5BKUiOmKlAf + NdTsyQ5iPNvdMVNQP3rCPFAFioPC8YGYYKy5IZYxpaNebgrNURwszB6MY3XhhjXkUhwsBGUnMFWt + 6xC7ZRPq+dDvJVwH8rBOj5NqM0pgu+Js5uxGZ1DAsz8cU0S6sDfDYT+khDHC1pjvsZsuLQYTFKSA + QiIAPw9GvgaYIGPvKs8wgxF4Ov+Ur1BPyjDDEMEtgP161x46msPrRmFzkgmcsieJN83fdBmwQQUJ + +pTFDoRAHoYhItRzAIREpxtV9FTKg59tEHIJssYUmbkJvu+P2qYFdGfuYQkK5wxKUAES0hISserB + Hl3/7S/+wipaLVqD2aZr0QhCnGW8qoq7w8M2YS/U4wc//Npn34x5vdI4AAC8cnZbV0JikMElE+J1 + Ta++648xbyGKZobokBR1gxCgMjKByM/nSeDBWPfc1lAxS3C+Ir/9+nXloMYl/6RQKBQKhUKhUCgU + CoVCoVAoFAqFc4GIyHNKSVL03md5R2bu16u6rp1ZPwz/7B//4//mb/3tl7/85W+96y5ip4CI0EZO + EciuVCeUBz+Vm/g58LmNC+TMr83jI7ZvhlEUwJBSfvp1/+anfu0Nv/H93/eqr/7KrwrOHR4e+qpK + akS0XK4Xi91rULmSAbJtSsjmSWJyFMfI3sHMA8lAQAWwJ6KsKgtTzYE5lbSJ05HAtgfrbOHZR/+H + R4bqzHLQxsYx1d5pkr/3LX//zb/7u8991q2royXIKh+IyBHHYVQR9qdW1C0AyMUg2wIQ731WtHyM + t2Tj+fw7EXVdl/3dr3pbz49cJHhS/TOX2GQnp/xMFvd8cu1XoVAoFAqFaxdSMxNE8enS+mPvuPeP + pOnVCCJeQVADgzRnUjmoAsLJCAyFgRVKJzQ1Dc7gDGQwUiHNWdZXz1uT1ENrMmbkrPVcXaGcbbYB + 3aSBTcmEm8z0KenLGGAjVihYnYGgbAqrAGaDkY5O1+7oj+954wue/jnjkTVoHCqRkfnYBliJsq4p + Srbfk41sTEs2eaYCgCnyOpztAx+913xKJKZgRzHZ6YX6YUaO/ZiEKxC5tMZzXnyHRTNRZg+wKgIz + gUw3hQebZFQB8gXFVs3CYqfdfaAnV3E0VWH2Lrf28UNTBmzOe03krI/Dw0cP3tI8g8xAWbx0Y/QO + lMu58NmM8fa+nyPlqUYzDxTTcMFkBIBNydhxULM2Xripsv/2q775P/7avw07XqEWopEqKW8qxWhT + eXd8L5AUU6/TR/S6PJEZqSUYpRUuuzb8b2/6ha//a7Obd25dL5PnQOrypJZnNzZm4ye7m3FWJhFS + qDJM/HjEB793zxt7PkIQTfBwjpgNZkp5Ft4c0FwClpcluXyPbDoeuQhAAbmaR4hUq81iYzuQ5uoY + 0FSGdnxDctPC08AOLGNyFYwttet3/Plbv2L1tU+tnkm9OcPkab0pijE63WRxDcLGgH7cHXhOIsx+ + GDsjqRc+WT+Og9vVd37k7b/8+7+0ag967skRmaW0AjGzi1HUEBxLZxfqfb1UvejmL/ybX/Lf7Q4X + HXnPNI6xCfN+HEJwsGAEpQQHSDAAGGmqfS1TZKHwpMQHXq4O93Yv5MDZOI6KNJvNfvEXf/HHfuy1 + qvCeU1IAYBrjeKXewvFwKiL5pj0zn6+hdcbM+r5vmgZADgdk/a7z/ZSrRxYWq6oqNz7r/jVN80Q5 + woqIc24bYDrzwcwaifn31Wo1n8/zOXqi2sPM2QcuR5Gyi94ZNnWyPbkxWRfubJsioryp9Xo9m81O + uwVspDKdc7lhWZLubOKQ59IeZq7rOr89hJAFM89gp4oTonx513AmXdDzas/2LOeTnpuxbdjjh4iG + YajrWlVFJJ+sM3T282qPcy6/Zb1ez+fz7Ap5hu2cbMy2459tO+dCmRfOlzIvPP72lHnh4ynzwmNT + 5oVPT3vKvHC+lHnh8bfnU5kXzqs9hcJnDyU3tFAoFM4Ic4B2uLD3oKS9w8OnAqKJ/JSAkyPEOY4r + V64/ycAEKITYADVx7IJz6yhuNBm7m+f+zV/+5S956x9QlLA7H1hH8bPKYzwuiFGAs3ShXik6+zjJ + KTsnIt+gKf8NxiAQQyw6RAzdL33ZS76I6330la9WcUzRZgGm6Ie+qUO3POxni//h19+ARQMPUTRt + +3E7XfhMIyX4kAU0FfsX8PDBEakGr1m3etK4hjE0p04YAKIrna0nSGFuUg8nMFCJ3LDs3vA1X/fX + 3v6HaezIzRxTGjtfhymQZpNY3iYV4ypnnole6Ib9sUsj2hbLLpHozAdNcUrvM2jWEwSzKRmSaEXo + k+zOZ1zVD8T4jb/+BtQ1fE4jYdAmWY9yZmjJCSgUCoVCoXA6suGE0Il8UIIQaNaiH3BdRZRv67Mm + YeeKrnChUCgUrgY5Cpsj9wDyL1m3Yhtmzr9cm57NWV4k280C6Pv+fAU1YoxZyCPHQWOMVVWpakop + G2nnEObWZPccP7pQ+KzFzMZxPJlwkztaHqByd8u20wDyeJUHgfzMp5JN0vd9Nqpfr9d5yymlraF1 + blseZJ5cmTeFQqFQKBQ+SzDa2JVNWQjIMc1R4RhsIIaNQmLwDB9e9mv/12uec/uD3fr6duaGqCpr + 0x3nR0knN8t2lhuTBJw0FbRse0ubMDQpiHPAeWqzAQoVc4EgUBh7hq/QJiGvYAIThAAHyCTZc9Yj + RTodpRwHZ2cwI+Xg9p/97MN774WuIjD4ytrmwdVhPZ8NMtShSv3QGnyvN9StDWNlrmbtNLn0SK2H + T0oleHobll2sgNrRSmwe/DomAN67S0OvVUVVe/9yTcE/4Gavvfs9UIe2RsMIiN4rnDNz2v/cR+/D + pQO4+tuf+5yYZCFkSSozgtgJr1xsTmX29RRACWTwNtkqq8MoCKMQsD+b9Sm+7rnPua6ay9gZKRyC + czLKKmLOnEyNsB7GZhaGUdeuOhTnm9kh6KBtfujdd6FiOMAUkkCEpKhqKMEE/fBPvuDzpe/jaj0X + 2X6HZwNdcbEZAWSTcTOZ5dyapNjxQMLDq8t71YVL4/iNL7j9Z+59H0xAzNOllL1LAYMSGB4wrPqj + EHZmlaQebIPyWNPK16u4DqGu5tX6aH3xwsWHL3cX24voOmI0Wq1twIgI1Kc5v95Ejx64Cc6865O4 + 4B6I8QJBRPMFbIStTo9BBNQDQBjYpCIhixprrhow+kRmHmAoAIEJCEAuJhAAZNmtc+MXPylVZKEs + A5kpgDoEhQxRKyAAmjCm/qk7+zYmdCOCq+sKSGIAULnT3d9wQDN1ZSEILH3zrc/8guRmY0/kVjp6 + hvP0YG/XORqjRKAiLALHZANoqOYPz+qfuvc98AG+EeeYswAKxnWsZiFp8g7ZKVahAiaCy97PBmKk + MYW6GaGhrcnrj733Xd91yzNuCfUu6mVc7cEZ6SUzyl7nBjLbet8yTIC6DlDrY6qACoBYL91TF/vo + RoyC1TrMZoDFvvdtAwd0PZhe/ba3fP+dLwzrMaX+KGnvofs7fTKX+MjXq5F4HJ4eWho3Y9yUaXOF + Rohe6WltkzyGJZUACoDAKCECtafBzCyP7+YBhSmjc/goKVUXYNGvB2dWgUSlIRo3nzUZZAKVHFfA + 56tlSnuBkGF/Nru0Wo/tCANUQBhjqqprLD/ZmDk0VVDL00rCOLzi8198Y9/PLTm1o3V0wML5pSQP + J5TEwRO6pNHEz/d+9K63g8l83Q82qze3EQQwI9Lak0ANNinondAJMIVjAFgPaVZ7boOqMhva6sfu + vvs7n/08xyHIeo7j47tNBqQTtvTXFF51hjRn81VIXcxm6eYrIcQYiRGBhYcoDcYPauwu7h0hvfbd + 75yOhfcQBQdIAhjrJerw8hfdudMPu+ytHxvTfM17xUYmXdzG6Pqk4l7u04BqNOcgCjVZGL/ijhe+ + 5s/ugQ8I/niS2Mji0CaVbfv0I6zajTaqPdPIyRTm0AEh3d93txB8FTjFmcc4wghiWdlpsn8+LbT5 + lO0z02WwXZsZYGDaiF9vFiTsoNHYEbcBBAErLBCHZrZer9pZK6mvYO6UV1H21nbTDKFZr14Aywbb + CiiimK8qCuPRrJkNYiyrAe3+fCW6jAIfoGBD44P2A6c0r6tuGBrYrvddSqe9pIlAhhua2bpf22CH + sEWNbtDKgdSJpTENBMwa95G+r2a7H4kpXrxwoOn1d70DswaqcBWYwApLYEO//p8//4vDagiX+wWU + EL2aHmtFARv36O0J3fpGOyMk7LHrVR44WO/V4SiO33bHC1/3vnuh0EcU7uX3O4ZEMxYyDydxdJWP + fe/bOi+yCAremlMzcg9o9rBeAn697s1M8Ug5J5ou3klHLC9hAN2ufltX3XvwEByZqHn2DgYkVZfn + RjzKo5GRkvH0CBCddtH62YWampljqnyAKYa+9RW65TSQ9x2chxHMoPiGO58XhsN9RzshcD9WY3oW + eKEurccZjs+oZbUykBLS0hbzak2jc+MFdqbj8nK/B4gnJbAxWR4tp9RtUwlNczTKD97xgr720rTL + UVei3O789F3vQBgBquoK44h2jq4HDFWFVY95ExyJKQiiwvzIMtRrbTIqFAqFQqFQKBQKhUKhUCgU + CoVC4clLlJTNblNKWSkvE5wnQx0qZiZ2t95yy//5v//Kv/+5n/2BH/7hS8slAMcuxui9J6Kr5BV9 + Mr7Km9i3XfkK9l5EiJ2kxAxR3POB93/z3/173/SN3/Cq7/3n5Fwdgtt4+o7j+ETJPj4a27Lc3Cze + /DkOY6grB4JNJtUM/P/svXu4JddZ3vl+37dWVe29z6W7dbEkW5Z8k+UbBhtMMA4DTMAwk2QYQp6H + AZ4ZEiDxPMMwAeKAuYwBAx5sj42JH8ZAEgYCEyaZIUMeMMY3HDA3Y4yw2rrauliSbUkt9ek++1JV + a63vmz9WVZ3d3VJb5+hI6rbr98fp3ftSe1XVqlq1a73f+zriZGrRDDFPw+VP5YiA/FEFqIunIfHu + iY7xNtVqMqmXKxZpYiqE2xT/p+/93t/57f93MpvWy6UX51gEFGP0LGoXe5DQUwMR5YqwwcL1/MVf + uU4tV9uZmZlNJpMnq7GHQF7NswKtc0FcTrbOT4qIqo6Z1iMjIyMjIyOHCBElpGImN954w079wErm + vvIhJOqqP1rQnngua8UVYIP05n3a50caAcY5Zze7faa1IMkngmHJuqZKNWJFDt1mA2DaZVr3KtY1 + nEKUYKSdajT7CBkoJ4wSIAiuufVTf31icfdV1YusJY3OMyfUgDIUEBjvN45y5ELGCFLI6Xpx3wP3 + qU+WYgKKvrBqnzCB+zp3qKqXyTOuvJbVGBDnYwAMzM607T9yhlrVCDAiE6fuysuvvPtTHyciSzCj + 9eKvfayddTp8UhCZcbj3/ntecu0XH2BRIyOf16h2NX1ZYa5kYFLtFO4AmPZsfgFSgzgUsU1TbL/s + Ga948GWffddf/XY5q1qx6FrkoapbKghg7Uosh7EsD0ZdZWlXgMlGMDCgThBbJNeeTjtx9Yn/+4O/ + 8T/+ve+b6Ka0TCpkjk3ZlADSLm/7osbMzBKRkUFIkrN7T99564MfRxGJYEkNZGzMHFSJu72S11sB + pjNG5mF7dHsNnKyPJ39CYFJmA0Gtv6Iw6nqP7d3SZAAgsPVXII8Z54qmiVUBFqx0SThx4x0fvepF + z+SVskJFhxvLSnt3Xy9yGN1eG6q+wMwJiQQgTda4ytoQPr1z17tv+N17wt3To7EN8GIaIcIhmhCp + YjLBzsPhUr8py+Lp28/5+6/85iurq+sH242j01VsjKS1lG/BETHMp86yOuYayYONvyMjIxcIOfYv + hNA0zXS60TQNMb3nPe95/etfP2RaT6fT5XJ5/uXkTGt0N/YJB8ogPP/y8wTHfD6fzWZ4fJZcTwm5 + wSIyn883Njae2vkaEck2jDlBLduO5UmlfS0nvz+7qM1ms+xk6Jxbn9l5MtuTv11V8yTaeoreftuT + 3dgG18oDrBTOTHYMIeSs0Jznt6/leO9DCDn0MYd9ol/Zp6Q9+SPT6TQf5nk3HSB+MsaYzxJ5l+Ww + zwOcNw6rPdmNMz/Iu/5gpnxn5XGqKjMfYH8dVnuGAzPv6HXbw32RD8miKHImKxENB8hTwjguHC7j + uPA52zOOC+dhHBfOzzguPDntGceFw2UcFz5new5lXDis9oyMfOEwykNHRkZGDoQBESAPYmOpNjaa + 06enVbFYNFMG1ibj0dnYgTX7vq4VoxjB2Cg1GtmTArPZJrdtU+8+W/hDX/q1r/rgB+BcsVGedm4B + zOyc6Ns1c9l9uSEakItguLe1o+w1CIZpbFKauAkB9RJ189w2Hnt4zrFNwIwdOGb3zFIQmuABd2QD + U7YJhWSFkAUlERCPyXmfx+Rf36vUFFKgVicSZ9Pd3Z0JvAM6/95eJ2GEBCYDD3nn1PeOXmGg4Mgw + MoY6083d1bFJg5Sqosid25VlQsyLzppL8NBvn2DIjIMTJIMlMIOJQJryhVQnDekbQjCCAyBSgnYX + y/liKdc8E1WFqkhsBhWArDtAzjZFHRkZGRkZGRl57FBXbLPOvF5hOgWoba30DppYCBrBbrzmGBkZ + GRk5dPL0fJ65z1P4w3R7VVV5Oj8/yIKMCy25mYjynGKeeR3EIodoH5MnYnOyNYC2bdGVr5iIDPOa + Q/T1YX3vyMgXMmVZ5sMqH4DZSGhjY2NQQuQE62wtlJ8ZTlMppdlstlgsDvC9+WTSNE1WOQwnvXx0 + r6uRxkzrkZGRkZGRkQuQlDNTSYewUkANzMwG1CmVTqgQADE2TgDhWuzY0e16uYoajhBPjMJapjVZ + p5fgLox6n6xrIQYBBilRAhChChWwDBIKAQuZghgMgmlLWphGogiOIOmjfHME7H7JyZERCqjrHRkI + QAvyLhG41H/yofe+4YUvWs6XW8e2d2qcYn7n/SfgJcswQIwQXveSly4feOjaajqvd8s8U0wQQtrn + BlquQlVSCraTrADm0VpAhJqYykm1S3jIwu4VR//l8Y+jLJB/bNYL+G3TxMkLeyLQxhQx4mmXIaze + +qnbENofue5FWCVqmyKHSNPetmLrMsQViNRZPonBA4AlgSqiWUnFsm6nIhWQ2sUEWBgY0gZrjbaq + SajrApKQJoS0DCp+pypPbs3e+vHjKApQAeehCSIINQpBaOEcmoSyBCI23M/cegPa9gee9Zxrimm5 + u/SaxHIEtRnQ5ARAgmSnKpDYkGcMAEmxVfhVG5t2eWxra2O5QGjgS7A4wPUxvUM3BIAmYWv7DTf9 + zWuvufqSpKX3c7+xmEzvT+HX77q3m++P+k+ve97Tt2ernYevKoqTbT0BmIACpZEGe+zmCwYUJTdN + 4pAaABOmkAoDgDpnisPBmPu4ysi2Em68LFhbtuBYUPiEso1bjinkYE1OQIS2zAAKBQBlzbtYDIXC + DZmd1MmcyCwfNW0M0bAJYhgBLXBpUT2wu7Mh5Q9fd/3/dsftmC9w2WXmvQYUbp8CCAMFgKAawIbF + /JpZxfVuQgODIwSFM7668su6TkKmqA3cagu0fnbfZvmm225BWcE5I0cEBVKrhediCtBKHIASxgQI + oTtJZZMwpwB8yYB2P9JSQiE/94mbf+oFL1zsnH76ZOvU6jQZNkpZ5tjuvvPnLqI5ZTdEU9sCZU+m + BFziqgfnOwX4Z7/ky37kYzdAV5iZm0wtgQmoBG0DjieFbLppOm0m/gFKv3LLTSBCUhQOElC3b772 + pVtt7WAgk0Ga0tuu2dqpNcfM5+OUxIUUGaxQBgRSAE1MxGzQCOSurmQrthMe9x6b/vKNNyLE1173 + 4o0lfCuENlnMWbaSj3rJm2evH6+ZVpOBCBaX9aYrJ5dfkePRDSwFt2qeL7Dqk2Bw0qToCc4My1p2 + Tl3mxeq4AawcRZGdmJSwuVE8tLtyQExYgIP3u2xoImizXtbVdHsVbFpSbMEFiIhhgIrljPhzVHOE + FFQcz0oHaBsbIuJSUAiY33LLrT/13OvJuI27yMZAnTNMJysydPYxT7xGaj+QmTZekFaYAiTUJPPi + l82icqIpbTnMIxiGo9t3pPiv77wJBJQFgkEKtAlVgRCx4RBbHJshrN52121Yxh97wUtdWrm2KdBw + th1M3TmZ1uSTe8nu3RPMlpoEETiBb8PlRYnFHJub+c1iyGqzjpzZjH65wwPqLoZyfnCnDdN8JWAg + B3GzSy+hBx9cLJebQGhAQCIYwaiPojYAa8t/TDDpmjaUcxuBvT2fz0NZ4ckATMJ8Od+cbnPJIeZU + ZHPgAg4pLGLcLqYhNSUkIe7Xq5Cs38Sk+YRMsDwShZV5T2jgq0ptRVK86cbjP/zc559s6+nRo59a + Lerp9Jfu+gS8wHkAWAUk/PPnvTAsV5c6p3GxE+OUEffZoU15xn61WnrAeWqDtQ4pgFNTwC+RXOHE + 2YMx7WyV96D5tfvugTGqEiSIBBaYBwxxhY0ZJGJavvnmG7FKP/jM655dlNXuyRLJDMOqZ//IXu8I + su76lAGAGZI0bk/97irMm7C9vbm5DKgN5V537b3slYkpAb5cIQJUgEtfNbuLcjYFVIZevKelhDlE + A5EryxJB3cZMW6WEaK1SZ3/ZJ2BDu1hsmOXThUl2/TMsUn3p5ZfA5lqUeQRr2uW0qPK5mgxGj/QX + 6C+fBcAFdiq/4DAAxEQwVUJCUBihqZEUMSDEf/Gyl/N8XqkWMVVEX6JW+kmMkVIiBZJtTvzuqr5k + WrSrtndiRZeTACLTCkhNa4pFMHWRDFVnFp8EgBkjvxkGNtJiWj60rLdnLq7iRhNtES8XYfHtiZ03 + Pf2ayNQ6qR2fjO0v3X4TihIyQ1IUBWK+iDYm4JxM61FzMjIyMjIyMjIyMjIyMjIyMjIyMjIyMnKY + GEU1xyTeQU1VoZaSFkWxWCycc+JdbNrLLrlktVr94+/8zq//u3/39W/46Xe/+92rekVAivGsnOnD + ZZi8XE+2XidFBSimCAKLjxo2JtViVb/zV/7Vh//8L37xHe94zrOeLQQz897HGLO3/gVFnuDtTIH6 + 1pXiSBHNBPS+d/2BMYWovpBZUc0Xp0+fPr1cLuu2ffjhhz9551133X33xz5+fOf0qd35wmDoVG+m + h1GU1KfdPbID43Q2Wy4WfdwytUkZ+NMP/8Wv/8a//Uf/w3c651UtBxoIs4i0nXhqZH/kYhAiGkKd + iSgntT/i+4uiyIUkdV3nqpb898lt9cHJdW2Dn2/uYHnF89+zynDGOriRkZGRkZGRQ4MJbKdWD99w + 60esSJGUKbJkt8tOwm3ddbsS4BMDWqYugjGr4ruwRuNEnbzOKCaBEVhB9sSJcNvkWgAEJOylNmoX + wN1rgqHUR1YbZaVX/gnBZ6hYbTAkjGycFaRBwC7NFw/ecPOfXfHi6xBItSBWthqUskgWgKKLEB3j + rS8uutjarn5HgaxYVSL57InPnp6fTFUEgwHNsan7g01JmFNMZcmrpGZ06SVXXrJ9uQRmdM62WSaa + Ui462KNXRucFOTJ39dXXfPheMRiQciH/fiWmZjADM0PNDIrkBJ+67y5cC5zjszsy8oWMEowiAIUb + kq1BCaRADo1mGLMRwUARUCVtmjApJkAx37X/8mWv/vTD9xx/8K+CNRjilhms3ZFNueauP/mclUM9 + VGrkqg0GKuKlqkzdfDFvqLlz95b/9Ef//u996bcIVWzCJjADK5mxCZujizx51yypqvdCZhzNSG+4 + 8yM7+qBSZDUmULJkQYQCQNQ5JOfaH8slisQ5Kbxb4FD8YkwGgj6R1yecyCUQU1A+a/8yzOXqFQcF + xc41er/XD8aOmU2NEASpam+8/YYvv/arJjRhA8MS0DmcQ5XAF3d/GKo3zt5lIhJCU5ZFoNi2ywpy + cvczH/zr9338wb/yV8lyGb3vosTZiaUEqHdILaYVHIrt6SXf/l9/5zOKZ6UHmyOyoY1pgngXQ5qU + 07auHedo+dIokczJGDohI+suAkdGRi4+UkrZDiv7XJVl+ad//uEf/MEfrNvkPJgY0OVqScKWQCx2 + xmCxdy7KE3AhhKJwi8UiL/AQpyRijHl+ZGNjA30u2gHiAJ8qckxjbnZeBTNLKT1V7Y8xVlWVp5Cy + ySGAA+wsZs7dxsxCCEVRHMzE7LDa45zLwXI5XjFPGx0g7i6vC4CcURdCcM4doL+JSF6pda/L/WaF + AsgHFIDsF5cPhwN0nsNqz/CRYVEHM/N0zg0HQl61g01lHlZ78obNn827fphp3ddycpcTkXx2HVIY + n6r2MHPuz23beu+zo+kBtk/2R0U/gRtCqKrqKTwPj+PCobdnHBfOwzgunJ9xXDg/47jw5LRnHBcO + vT3juHAeDmtcOKz2jIx84XBxnNNHRkZGLkQEcB7EJ6fT+c7po4U/tWhmJaVonaLLWAlsNoRbd1O1 + BhBIu+odEYlRVW0y8ScXu9uuKpzT06eurYs//Ttf98oP/R7J9vYENQDvOrkRuJOdGQ8LzHPYA2ve + zpkzdELazxprNyPRuUDDAEuu8s4U8zlW8T/+7a99xWoxjUuHAkyttqYoS7QJpSAJ7xb+PsYLimrJ + VDGZgUQO6mPX+f4lUtkzywaMe3PAs/37ehdP7ddZE/fmkqTUKRLxJaaEOwAAIABJREFUpFRC8PDn + DOtYYG8zr7X8oLI/6xfBIN3Lje6+K0enPykT9oY2mvOlAsXEo22+4r1/cNOrXnnZPBZJ0e/Lrm3W + 7ybb+/jZkGJQ1xmOOEyXu1g1mLYwReUNTPCDjXLv+8g40PoO3pFnPF5rlREUUWBIhKgOGhNYECO8 + cIwpKrzr5BKAnpXTbQaNyYi1qnY3Z3/r934XfhJZFMrZbJT6zQIcZBWo60NsrGvWpQAUwpSyreEj + y0No6J4MK4BsSB6evGNlZGRkZGTkC4GzBmHSPnEAQFdh0r1iUMJjD7Q461soB2P0/zXiyWQKVcS2 + mEyhBiKLkVwx+guPjIyMjDwRZAeKPB2rqqpaFEWWI+RJvrPECk91ex+VnMydc6bbtj2saUWibAKD + vE3yZsmZ1kOiNvrZ3wst83tk5CKFiGKMWfeQJfjZBmt4NR99Oes6K6jWjXWIaLFYDAfvYycf2gDK + shy+esiwz0sGoKpmxsyjfGFkZGRkZGTkgkQx+Nn0zxDQplQ4D0BNAYj3iBHMNpmePLmzBRydTurl + yolPqbPjPOANz8fQPunyBM9oczd3bEyMtglF4bOeA65cFLxopNQueRXZqWddjfBYv3lvStf6m7IG + kEdKqswgRuk+MatQbL/x+I2gCsyYVvA+mbAQJUVo33jDR3/4JS996MGHjgJCSIZgMNvf7VslCFPd + GIBpVSzqtmICeMVy2llblQ9Efccnb4cvMKkQFVUJVVTHQIzkhTnnNSZAnVNtq8kEXrDAz9566794 + 4UsvbYvtxby0MNzIHjJaNVsnkQIg6yaYDQgtmAGDmqrFRuMGiGGRwAYxNKqbxSw1SuAGqCFWFUH5 + BONnPnkrCsZ2FblUuCbopPREqKX0LOxYQPATgwVNpS/hgMBvvefu73veC64qJkea2iPmbzEg5Wl0 + AH2kugCARnTRgGRYtIHADpifPnnFdoG6xQSp7/k8rDNABiHAVQhLTMsTlx61tkbCWz5+O3yJQlB5 + sMKAaL90551Yrl7/0peePvHQBsAOQRFbwMztZwcrYdUqC5xik7GzGwoHSgiWEyspsGvZtUJKBmpb + 4e1nPPu1730PKMERhLOe47te9OJLzG22utVaFaMztZyDTaoKhpFR1vYYLOWccnRuX3SmpqIAAeZE + 2hQlp3jHOCOZOElt80PPv/7nbr8F2tZIM1dZAkn34TPiYvf+0yuUBnL4Kwna1fd/8cuPnTpFmoQk + mTLIOQpJLdQetEwqDBG3jMlmWyfK8k23HEfl4UqIUyAaPMGXrO2KCwApAD67LOnaKnVBpV3hkKlS + Vs+XJWLApPjspLiKj9378ANXu8oj7TaBCDm1GJ0eRgFjgxEcIyk8S9AYAQU02hbcRlncff9n3vr1 + X/cDH3wfhBLgdBC5KLY2H9qcnthd/dptt4CAaQlHKB3A0DaoefGvPf43/+4b//6Dt980Seqtk5gM + G7JTiuSYbRsEMJws93QjYCK+TgH9niZACQlwxjXRCYdPF+6Xb7kZRCj9m++47ef/1tfsfuq+ssZU + HFIQO8PROBFgiNIdSgzNiekKjozWpN6Y/dB73gtxKMomNV5KudAyrQGQIKIqHLUtNHzrdc/5slLi + fHcLFGB1NEWMBAN2d1clIyaIIERrBbUZZjMEnWxutgr2lAAqs5ZLkUykt/nBmnCqRxxDFWyKVDhv + sDpFJ6UTB5Gd2UZR15dzpVrncUHMBARwREowAsT0SdGE7QMCWFEADghqAM2bRenLOkUVrj01BV12 + 7XXf9wd/gI0JJhN4Dsl8UcA4J+rCOfNoCqeACJcW0Sx++qabXnfdF0UpN5qTlcZ1cdjavGgnlWTb + 8/IhloJTHeGADfY7Dzz47V/6st+8+y6I72Lpz1qB4WRna+eHNe0fdxnSmlVeLqsizU6dPn0EeQdZ + CTTrBkNZsEUHnQVel9yd//ghTbDJdKP7BskXKJSggAP5nz1+/M0vuF7qwCkd8xLD/j3K9zRmgEGI + smG9nxAaIN/vV4ZMUPH92xtlqt750RvgPAqPwqEslkBBzhWKZXjLrbe97vrrt+anZuSjtWHf/jmk + oJW22zxpdBWCFYKmReEgLQw6d7byXBOfcPKLd96JwqOaAARXxJBcUULzOZowmQZCgJXOyVTA8X+/ + 91P/y7Ofd62fHAkrgnKXIA6BGajNCc/n7EpDUuhqmVggCfXp3Uu2noZ6ha1K4c48WlnB4jkEc4VL + /b4tZzOognhvU/eXK6DsYwUDwA5EwdRIW2sZnZ1lL0AdRIO5H5qSGsEMiSBABXxmcRqFT4gM38Y4 + Laph7MvH0aP9zcdDFiHyBXdC3y/r/XnwCd0Pa0flGRc5AKlK24IIoQEYKSLG737xC2dqGxqxWBxT + O1ZUYb466n0b2hLcNMtsV+qda5GoCVtelstWZE312d1EJjIisiaiLAUxmSEkbBS+aYPkI7+7eO3e + DaLVqtkuYU2cKirh0ESiCGschEiiaSCLhbvS008861ltNd2R6pTRb952CxhwIt5BVNghXwMRD5d2 + 6z+N1q8YYWsnjUEZS2e+55G23hm/zC76bjYyMjIyMjIyMjIyMjIyMjIyMjIyMjKyD8qybNs2JHXO + MREzE8HM2rbd2t5eLhYMEpH56d1qOlHQ1Vde9au/8su///u//4Y3vOHW228virJpm6wQ6OYXPted + djrzxvzes+fFzvrP8H5mqJJzFmOIAcB8VTuCGv7qxhu/7hv/q7e86ee++Zu+SXwRYooxObmwZrzP + 8nvJk7zI88Up5Y36khe/kMXn6gMLrXOOmYMmAOwEQEjJFeVDJ0/cesvtf/xnf/yB93/who/9dd1E + JsT1KeTzNGNowOdusvYJ4wzocrFw3sc2iIhqyi8L0//6U2/4hm/4hqc/7QqLmjQRWJhDCLjAtv/F + Qq4KQT93lzPbHi3TGkAIIdeIiUhZlk3TmNlFZNs6lL/l/+Z1z/8dUr2Ht12AWfUjIyMjIyMjFxBd + xQHD2IgBKLX9NTexuf5hV8OSNKBMd9x/850nbtEj0RdUN8m5PR0mGUBsYOUoqqJO1IkqZ2kgQygL + epksZ3/mH0AMi2TKyk9c0rORRu4aKYNOzZhR5A2hbEqKLCQ3sHVqtk6LRQpiNmivv1J01pnaB2Ga + Qlltph+59c/+9vX/bSVHHTtVI2LLGm8AAHe/D0cuMjgXwWSLzi62Oilpg/CZh+9t0tIsOCEiSwFE + +02wVFaIuCbZbGOyXC5ckmccfeYWH3EoSEk1JLOCCqglhfNspr23KffaywhiKIm6Z2xfW6bNZTql + BCaoPuaCqF7naIAZWJAMyUBkVLSfPXmvUei/lI2ifqHqCQcjViD7laE3t+UzfYb7vdSF0fZ1VOiK + SWjPl3gMPb140fWbccPNKzYMB8hZt3siJamcJdNaC564lv7Bf/GtD/+n+1fN3DhGiYmyKp7ZNEvc + 8xeRwXjtaKZeQ97DpgQ0jZYlWo1u5pDCvH7gz25+/zVPu/pLrvmayhzHkiKz7eVt2kV+IAsEBgdK + 0IUtd3H6Y3d+tMauIUHBnhVJFV6kL1MDcoFePnItH5K5WECzAzmIDQ4mMDDCE3d9gr52EOZYoRSp + P7f0BaKiYFA0gkqbG4+h5d1lifYdrx8R1t4Tmrb0hcbaGOzQhtVdJz5x76m7Lt+8PLFPBCWwMoDE + 6C5nLuJka+3Pz/mqcu8krGQkqJs5Cq023MP1Z/7i9j/+8G0fwNPaFjVEgiZhgFHXQdhpCEwoQNz4 + 7ckV3/bq777MXVUsy41qZi2applMJnUbRFzbttJVFwDmuo6UL4yNQfsvERoZGbkwICIjApBAjuij + N3zsNa95zWLRilAMBkRmBpOmdH6zeudcCME5Xq1W6O/kH6KZVZ7XyJ54ZlYUhZldLJMd6GMac7OJ + KK/IU9j+/NXOuTzDoqrOuWx4uK/lDLGa2UINwJDX+JS0B32wXHZuPFi2HIDBejF7tQ3ruN/lDJ/N + f/OkXvaCOwDZTjOv0cE2ziG2p2maoiiIaFjgweL38r6OMQ5OoQdozGG1J3dj77vp+Pzxg8V8Drsp + d78DZJceYnuyqyH15IUcYGsPnqjDQYr+4H1KGMeFQ28PxnHh0RnHhc/JOC6cfznjuPAktGccFw69 + PRjHhUfnsMaFw2rPyMgXDuPhMTIyMvJ4SLjssm9+7/tuf/krXNtOAEoWCSC4xGykWc7WWcithR3n + CV0YI1nSgqAJSGGTGLG1qDOGjyfTbv3er/7qr/ujP8RGqmYMZiRAGArHMFUYIxqEkJDcGf5fXXQu + 9r4w6wYGZzGFeZB0whSFGlSglgpBU4smzNv3vvyrvmyxPFKvhBCs9a5sWptMqsWqnjiQ+B3g7iOb + f+c/fwhpOtMCpHW9KCebtm8bu27eWgmJ8sQzujkWIBFFZkCdpcGPz7ItNWhPsWAw0pYZApBqJ85j + Gaxpn2Blg63lhWeyPzKsm4PXrBezR3A5fCyQgUm7vpNlAaaAGhAABhwU3Rwz+nc9USSAHQEuaqsE + rgoc2b55+0i52r2ksLreLcRIYZoNFskhMh5NJMbZ7VcMpNoZI6I9KvQbX/Gq7/jLj2Izu2F76rtr + SzCwA3goGNvPymaHbvS21Do8zrswAR4RUAqCFkugjkWAgJFUCIiaf6dEXWsA+uSb/JdhCgHXk9lH + Z9X1l18OX8FQgLuejWS5eqffBI99DbLdOpGeYftHMEBNDBwIDBOzrAjpXFl7aRqZGgMGM+/8EcQC + YY7pFDoBc4zg8fJwZGRkZGTkUDBjqJIqwQAiBSxLeIFuROduXD+ApznBjEBMqsbohnmQioUIIrhs + nB9hIF+onVeadDGT7/vnINVhSiPf736qmzYyMjLyBUGeVsx6iGEqOj/Ip2Uiyg8u2Ezrodl5XQad + BxGtW2zkGNrzjC/51SEKNy9WREIIZVnWdW1mIXQBb4ObyfDxMdN6ZGS/DMqGHEK2/kzWPaA/rtcF + EEP49BA5nz8IIB+h66967/OT+dA+6ySQz2/rn1oXN+THg6QD/WF+YM3WyMjIyMjIyMgTjTzSHUQC + GFxJvvsIGi5mxMGVtfLl3s3aGJsVAW0KBEEXwAf05jgHrK4f5vcpNyPTtUS6qV4++yNAUXU/rzw8 + SN98y02vf+5zLl1ZEUGEtGdptP/29FuJ+mbk58XnX4AlNtyvffL2QR4AZoiAONfxCzNcgdn0hMdl + 06qdhwoU8yQusdl+7mcaEQqgZRhimAhWKULkpMj9R4/+wg03YGMb4mEGERQKQmdLajzkDQ+bkbiA + KdRhcgSFvum2W1/zRS97Tp2u0rLR3cKhCXA5/tiQbZXIGH3iY54md9laADDEAgRgATMgGEQQUjrm + y9CuCAb42hfzcnY/4a23HsfUYeJQSJ3AYA8UfQpkxZIAhQBwUDKUXAEKp2CGp1+4897vf+bzCiqm + 8ZQPaSrcRoXBOwppMM9IqWujZfun3LOISBGmBpxa/sCzn//WBx8y7xKQYlM4b2ZZt+CHDjypgPSr + d92BFKAMCMjBCQRghuWNPIGjn7z5lrdd83zMH4gRRQWqz0x0fgywAQRWMFNIdqkvl6FZAJ64NY3O + zYVPlf5+7/7NbTeD8452YIAZ/XQBgH/96fthCav6B17y8qM7u9uLeoME2hoQgamHC+aTXyERuHSc + NORmCmBGLTPQRSmTWQm0KQ7+WUmTEVtqJ6QSCM0uAibVVpaweOsEDKk321rTIOiadIqNAAJ5IEYs + V9/zwuuvaupZiCW8WRJIMiAZyBIDah6AUjJrJ5O7ZvL224+jquAqJMlSnIKQoIC6wgEwuJwKPPg7 + deeT/mzmiwrrJzcCnGBj4xdvve2fPfeFl5Xbi1hPU2BgyrRQy6ImGoQ7Wd+SzANJI4AWYiBBElhs + ltsTue++O2ARgELBOSFXUEwh+D9vu6XrG0zdHiTAGFJ4cUiGyyb/3R+9/8ef85wrlsbaXOrc6RgD + UBRUt0YQAIQ0ZFonwAjJmInZWgfEFBhQGAHBdDJx81U0QIuNh1L67CVH3/nx4+AKKWEi4PTPPvIn + WMV//rwXXmk8my+SLbZcqWllLSYVIAiGwFDFlgNFc0gOdBpYVbOHy8nP3HQc3qEqYUmMRGLOYr6w + Iiqpc/0CM9Jqs0iTxgqgBiuUyEjhCZL7rSIAkwnXUTmZFg7k4DdiYPYAkACB5iEpCXfDy3l++jOD + MLj9ePEGRBa3vfXWm2/80ec8pzh16pJy2jRLZxCDgBPcklUZ04gqmugF5DaX+x4bN1AFkkEIbLxS + bVy1mlX3IP7yJ25H4QFBNQERQF7QbSO/F8KaB2+BICo2thHwxttv/p4v/uJnnlhdHmrSKIATqA6i + O9Lsk0RwgBkazlpBQ8Jm4edtENjmpKjqU4gLuArsQh77jGEwhlKvFhvo999w7ZFtZ8CDSRygCbDK + M7dmMAOF3iOe+82CbChmxPsaAHCmvnFv8/D6MxieMXbksiiU+82Z8oY0gRjKcErsMqEioY377jpG + 2SoR2OuzKNGfTMu+cexhion71U98EhoBBjkIwQHEEzBZn408Kz/r6aoCvGwTULK0ug8bnazpc+JO + plUu0JwACJ2D30OaHppt7Bzdfsdf34hiCsfdCEAA4LzL7pTDfnUEhwJQcImqgMPb777rddc+V6Rw + 9WlB9IyCESMcrIUYgVR973OZgM5bCVAQEyWoM7hQI9WwFbC51nCwkZmC2BdkgAw7dbhI24OHve4A + zSpcJZhpCsSRqcu0Nt3rEYpkGJwuVbJmkABA85PmoN7DA3HiGGDixyTvPTwzk6cc7S5MbNBpMvor + lkd6MwAkTY7FzMhYVZn7c0bWsBpSCqXzGqKkgNUSbY1V+yOv+PKibcpoL7Bk7aoUYVM2YDWvGG0M + AsROTawAYopMMEuIcNR9+ZkZByl7OAohtSnvOc8IITD1N7SxdzSRwQBHsAAAjhB7vSsIyRLMjMCA + D4EjCoYt6ivTEkXxlqufsRCpq+KNf/WX2JihdPAeTpIyXGngfCS1rXkhEkTAgAKIbXBeup9C1jec + eRDND/forVOrd2faQfsNZNFtt1M+f7reyMjIyMjIyMjIyMjIyMjIyMjIyMjIyKOTYhAmQKCm6Pxo + QCDhuqnZSTIF4JyLbWACx6ht+9+8+tXf8NVf/ba3v/1tb/+FBCQYgKLwyzbAwE5UFWCoASrEZpbD + jLz3MbR7CWv5n7Nuyq/NUugjv7IWpqMRgMX+jQQzBEPhfIphXjf/9Hu/973v/8Db3/720hcEMk1V + USwWi7IsXeGXy6V4d1YsLtne3yc69qZfPq9VCAwPiIlMk2OC5tQVIyeAmSbpVBoJQAFYCFccOXbs + ZS97+Ytf9Lrv+4Hdxe573vPe//g7v/O+D35g2cYu5MWgBmZWGMxYRKMBcCymCYBj1+ojJyWf6Ro0 + iHcAIIYAIKUhww5BbXe5fNu/fMcbfvL1jrhwoppME8s+y5c/rxkqUJqmKcsyVygPr4YQnHPrlSCZ + XHXyOcuWiWgoLhsWlctYznULPZit8BNKLnjJ9XR5XbAWaJ0juofSuQut8SMjIxcLIjLU/eUHIyOP + kTxOrQ9Mo6nIhQupUmLjLjFRK+Oo0ii3RODkUuMqKUEpWMOO2hjNa+3qP/3kHy7Lh0ChNFFEMwQC + MVhBRGxVshAFLKAVYM5ABsqKJGc52ToHDfIQm+2SBylsn46Y+0E5RY5kKELplIFoxDCvYO+liYua + axS2bDGtpFDNstNsVpkIQASBCGKaLTcNiIxBjiUKJojwXFb3rx688Z4bXvGM7WOzbZ0HZ96YI4sy + igSCiUHp89Zf6PMSAjxLCCGCfFW2besch9hyoSt3+sa7PrIxs92gVPiYEqDCjP2c+rJrq6WYiOug + DqAVf/lzv6LYrZyVIA5o2YEiIzn2LplaF6Xs+hDTmKQhYzXz0T936yVXl9cdb/+yPFaudpqqKAL0 + rJ/wZEOm7Vpv7CXWBIggalJyUQojBJx6YPdTi3Zn044ClZrFgkEpNep9ua/1vdhR6jTpSspQMuui + YMEwXtMO502sgGo2IIP2GbrEBrWhoxj66GulrGoezxAXDWys0D6CGuh2JQHCthY8vyeHZhJOFhso + E5U2iUs5iiv+yTf+z2/97Z9+MOE0TsGr5pDjpEUhTe4WOXG5/958m9LAeTwlA5FyVzqHFmjJYLEw + sIQ4Ofkf/ujfTL9p+7pjX4aTxRQVw2tMVlKDfvEXDPnslE9ZfdvObiEP7zQmcoUrrK3Nh/pI+PAd + f/Fw/SBxELNECJbUw0WOyRxDFVHhHArlVOvMT0OISgzKcdZGUFYGROGUckWYo3MacHgoIygxdGKY + AkpITCuxaKqb0+rhk0vvJ1qUUUJAKw6UIAZokW/1KkXjfIbn7lKKtEtVVwZcUXKqWzF2JbVItenW + JeH9f/OuF37tSxxN6zrMZhthroBRSUaKdBHfSlKCUSQiRz4FICbxLrEGbS1F55kSq7arMP/wbX/y + uzf+ll3WFJKaRULp2Pk6BDEUnizEwnFcaYnNo+7p//CV33X91pfRKS5lEpJCwORTm4QYUCYGcpWr + EkDKoIKNjHSIuB4ZGbkw2Lv6xdrYJyJN03jvc64eETE5ImpTdEWh0VJK99736e/6nu85ubNgRkrd + kK5d1EC+ElRAmZmZY4zI84Zx3SwrDTFjzHzot+0fT1rYU856sy8Qc79h+ilbMh4gE+5cx0IiOsBy + Dqs96xxK5u76DN0B2nNWXyWig2WFDvNleHwb57Dag97k8zwLf4wM7nw4czWfkvYMW/XxO/IdSvc7 + rPYMy1k/8xxgmefurAuBcVw4XMZx4bE36WDtGceFz8k4LjwJ7bnQxoXDas/6QsZx4bAYx4XH3qTH + 2B4zE5GzFKoH+NLBofoCFPuNjDyhjLmFIyMjIwciXy1MvCLx9uadpkdiOuIRA0jyXDUp9WmyHedO + ++lgX9tbLnbvEYVHvGS1eJ7jP3jZlz901dO/7d3vxsYmyhLm25B85TuXVaLYBFd67t1gz6WzCOtf + 186emKIFkAgYTaJkKBiiklawgIdO/odXfNUrd5tL5qcESgxPUA2bmxsnd+dHCmcad5sQjh25fVJ9 + +XSComp2Y7nlqsksRGV3gJ8fWf3CnYyJznCN7I0fu7noNQETI+uZOt9Y5lytBRjUIJ379pPuc7bn + uba3Z/umPY6WDJbfZOAsSyRNe4Vh/Xo+wevbVU9lI1cSECwFms3+wfve/5GXveqy3TlDnSeNYCJB + pTnPcW+HPrIlupgirwjBDDJvXrrNOLWLimxSLJt2VhZIWWSa59Ef72UMDasDdAdQ7JZKhIimTBGR + f/PrX/2VqXPSI4MNigp0yi4DcoD9sMyoEOeXCZ9B+rY/+SNMCjiymP35GNQt7sA/YlLXzP54GdbH + hicTSMlgWWvWHzmEzs9YCQAvVw1Y4BltjWKqBuegj3YqGRkZGRkZGTk88rVEHqxxwKuCvm4+my/3 + VwK0/suCOjuDz+OyE+99LuR2zuV68rH8bGRkZGTk8dO27WCxMYRbP5ZMa/STjuvOHXVde+/zMono + LMeTkZGRg5GVBCGEoiiapgFQlmWe7E8pZVl/VVVN0+S46wP4XOSF54PXORdjzIHZ+WBX1RDCkJM9 + MjIyMjIyMnKxc0Zo4tnPn/ssgyQxi8IZckyidnOSZyc4HmDu2s6J0Fv7H+OsBZ6z9C4TLU+bOlo5 + zp4N9ngmaPe+6hGytLvn/fBS52tgfXwiA0YgYRT0r2684acuv+ZyKpbWThhqbET7ahwDIbUFe9O2 + jWaMtqDdqnygmv7C8Rsx3TI4FN0mjEkJKlIMDV3/mhQjGcQ5uBIGpIDt7Xcev/HHrn72dLV0IKiV + BADRhiw6tl65keeqqZ+pTgQDxLqVUULWEhcJKbQEEjd9wOJu4e43e+cnPompYMLJ8cJCKRXn+9t7 + Xhpda6nbpNzNhhOD2dhTpW+77fYfv+7Z1CQ2RNMEbJT+ZBPKNZfd9fXNe8gIhmQGATaAbRMkmKkR + iSsBhZmSqsH30XogNmGFsPcEIDGMIXk6XoW6SOlUzCQtl+Bj2GgxTzUSMGWkfd6x5pwIrsbAMjQl + mFxxOraxquZVdb+jn7/lZpQFygrm4H3f5XjYxQowytAsisn0rTffiN3dH33RFwdNRcsu1c6wDNgg + VtMSxWwyObU66ff6IHVhtcSKlGUZCsQuVTNvQwapRZuV4tv2tddd9+b77xHEuvFlwesijDNsjs86 + HfS7VhiINdpwyelVtVocm8yaxcLDAySAWqevUOo6H1Ubn2nnb7/1E5h6FVcHnTIhDYIcHdaCcEbx + RE4SzdKd9bYM+g0CjDgIiqr6+Y/9zU++4EX1zlKAo6U/3QTOxixrxyv1edI0rCxBwWqwnEDZpGnZ + IkYkSG4L993YPYrmJft9gCE5slXnZTGfz4/56cmwnAm84FRrBvhuf1PvSYREef8w2Rl9TtHF99ar + KABPpvc17cNbm++44UZUU7BHiTa2RVnAC3x8y123vubZz79yWl6aiofrnRJ8rJB5HUCAAwssIhkm + AAMLmJbT0yInJiUmFaaTJoWiqhyQ6pV4D6ELS4PCsAgSICXEetNTu1p5SAMKYKMEgtheqvHEY77S + S1y5I6KqSAnE5JG6HqWM7C4OJlZAzn86Hyb3+n8SIM6loFL5n7n5+E897zq/u7vFFGFGUEMCIjMR + CczrGcfQhYAAUZUEaiiVd03JV7GqHvbuZz92AzY3UHo4SVJoVAaJ9QPnmVGyFiMZ4BykhAEUcGTz + V47/zY8/7/rdE80xVzhr64TCA5GimQMDqmRia8cyQRMSYG3YkKpNMTX1FUc3kIbgaQz/5IRbPueS + Y6B/usuqPkNkZkrW+UOgO9ERYFk5Jr1McP+zlboXnn025zzf69ZoOJeaAhDqvchJ4LgVDKeIA82e + 2lmdVoZvX1dvEsMDUJjvn+mSawW9r2LpsGpXpWt3WwUqYF9T4YIbAAAgAElEQVSZ1l1rGFFDyRDA + G+YJ4orW82dCszwy/elbbkI1g5/BFQ3DeIjePrfNa/uXOEtpYXjjrTf/6DXXPr2aoj5NgjpgAwj9 + R2RtN2i+5iGIUTLTiARsVtXDy9M/8RWv+Im7bo1pyVYKSBwA1MvVZDodrhvlnHacu4upk/tCuksg + sHUpyN2Y1Slo9y518vidNZqMLtvYkE3GGCbUXbspjJ/oDIALEuqOZTv7WTvnfQYslruT6eayXlXF + hIiIpHstKTEkqqToibDcFWa0zfdff93R0FbJtncXW85XoBDbI5PJsl5lJTn665neEG1/B+Wwyx5L + 4MB53mP9xVKWvYoBCjGdOrdb10dFVkInV/M3vvRLdgQ7zv3S8eNwItUU1kAB54NaUXjtfwg4QFWd + 9zE2jnhv3Kfuh9sjntfO0FfbGS+Md9tHRkZGRkZGRkZGRkZGRkZGRkZGRkZGHhEyzMqyaRpPtLtc + /tgPv+7bvvVbX/tDr/vAB/+QRZZtS4A4F2MEoQtOTppMGeTExRTb0ALIjx/5O+w8/3ukd5475UQA + 0MYAdKHXv/N77/rMZx/4pf/jnU+7/FIH3t3dnUwm7OS+++678sork+lQffMUcp65s74899wn9whN + LWVZOeeJoHrp1pFv+5Z/+C3f9E2fvOee3/v9d/273/r3x2+9BXkSql9TIVakyWRSr1YMeClDag5r + dRT4tX/769/5j/77l3/RSxcnT4npbGvz9IMPlZPZYX3FRY2ZFUWRa0PKssy1JznsIcYYY6yqKv83 + 15IcYPn5QS40G3I3nXOr1co5N2Ra5zYc7tqNjIyMXPgMhr/oo3fyufEpvx4YuSgY+slQyj3kNj2l + 7Ro5Dwp4MmZDAgA1sqRakPdSqAIITGrG4jkU8a4Td9z+wK3YtNC2HFB4NJpVfmTRmD2SEoETKOD6 + S7/Ipw0hZ6RkrEhkbKTDM0/mXyUNktioDBNRKAcjBZwCTbuiSXvb/cdXsTl2pGrrBorUgjxAvYdh + 9ly0MzYcsPebK7+UghpjZcvjd3z0S5/9ivnSZn5mkRWiWX1KiU3VxsTai4/YBnFioBjUYhInibmh + 1Ul76L753TvpYS4BIEVj6ZXr+0EYCclXVWjTzE2PlU+7cvPpU5lRIKOu4KOTHXb6UkVXpkAwhjFI + jdQXZWxt6jafdez5n3jwlkV9AgBx2q9GeejtRjBiJQXFJKt7HrjjaZc904IBnCx1JWr6BVjDz32y + +JmQrmuQs1HooFA1GjZs1g4rzPpdOeyh8fRw8bEWQ97vx7NP83tHoFKXq2FIZGJmTssZbape+i1f + +x2/9ce/fnqxLI6yiqbUVlXR1i1c0X/RGUvM6vUhpJOtE/3amtCXACMN3KCY/z8f/L++4+u3X7R1 + NO0Ex1BDG8OB/KifBPgRSiuoK5Y7+63kVqvFtJSWmrqYf/j2P1ulXV8VSRszRIb19apkYMB7SOKi + qY4Wlzxt+xmeyzZaMhVPZCAoG5OKEStybU22C35Crk+ACG5gbDYzeDKAomApFmPTeje55oqCqmqF + +pP33wpORvVeLzAG7fkRW+9kvPc6MQwpRGIDXNKUq59Ox5P37Nxxf/0ZM1cVG6GJApGiSNKu6lUh + 1aHuyicVhia1EIMhFVK4amJm0RoRNjMR1Na0bv6Xd//Juz7y/4UjdShW7Qobs3JlaNvkXEFQWDKF + A28Xl9KpjW//5tdcUz2fF+XMT+pl65wbdkF/SO711S7e3uSs50dGRi5k6rqezWYhhKZpJpOJqi4X + q3I6KYpisVpW5XTnoZP/+Lu/e2dnp7+58ahDZ757JiLMHELY2NiYz+dmVtc1gGy09TgDxkZGRkZG + RkZGRkZGRkZGRkZGRtYZ5a0jIyMjByel1EqalPL1f/7hm776a4qHPr2l0TSLPExBDII90tT1Y8CT + CPjIydNfurnx2U/e8aFXvfJV73kXLj8GnfBka2kQ6t3FJkxQMchgGNz7Ca5P/AOAaedExhpSIFee + BipgKr7zCQu7iEvM5x985dd85ao5Ui9LMioKCyGpJVW3WM0AYiKlGehepe/40H/G0Q1oU26WiWBg + 77KfLR9Av8LGop1d2rphHK0Zyg4z/pQtGfcMeiHKPnGnYQQXZ7oeP6HY4Dl4Vl6xoesIgxscI/L5 + qpseefnD6hvYQAavgHY55ZQdDJEeV1TyY6Yzm4sAgzSgMMwqrBgbx3Zms93QXG5utYgJmHqXQhOg + Fa2v8hBhfna1WueObGCm7WR8371/8uqv/cob/qIB+9LFqM4YyoVHgkp2Ad0zCt9H+11Of1SAs7gz + 5h2FgkGYL5vZrJxhA8s5Frub853CWutUdprFoEOItYESIxETKQOiIIMTX4N2ZpMT0wqFoHQpqi+6 + IyKBAEi3pgfTBTCIFaxE2XOZctg5FKbZqHR9m6R+K3FvN2qExFpsODRz0BaKqlEVBpI5+UL0rRwZ + GRkZGRm5SMnJoLlksSiKlNKYLDgyMjIy8vgpiq78xsy4zz9ar5k/iyHWOtc/5wBdEcmjUs6+zUvI + jidPykqMjHyek4+yfIRmOyH0QdTD8/niMB96+12+9z6EkA9eEYkxElGMEUBe5oVgoTUyMjIyMjIy + MnLBcoZU46yfkswFiVg0YKWIUDWU+5vxtgIkUBK/SmmpdsJN3nDXbSg8pEJT02QDTUqeWciJAz2q + cY1zrnspJSSFc1bXVMhP33b8Dddff3ThKovRLAETQUo2hBQPjidDnHICAgMwSZAc2mdYBQiwwcVc + 2wlv3Kfx05fOfv7m46AZnKAw02AoGQRLhDPMNcgwTFwnMIil+34GKWXVQmXzzfLyld9iPRHShNE0 + YYvRPMqlOhHM9uIfs6eCY0EInmZ5hUzVzADmM5OPz1zQ2cocIgbw/7P35tGSXHed5/f3u0tEZL5X + rxaVLBsZ2ZJsS7ItbwJ7vODd0DD0DNB9zjAzh6XhNMxpppmGaXAzzGFoG2jjNtgc2sc0fdyNWYZp + 00xj6Ga1jZexjS1kS7YWy5asxbLWWt6SmRF3+f3mj5uZL0tVJekVWqrk+zl5UvVSmZFxI27ciIz7 + /X2/SaMhjM47pDnoDI0HZcS8Z+lM+V5uzLTPtqVplJx6N954oOHrdjbff/Q+eIdsoAaGACkuM6TF + NmJuT0MKzx00YQR041/42g3Ymv2Lp19+IbrGZkphqkJsLenx2bEEOANK82+XebSqKOZp0br0u1nE + OQNo2IQhw9J612HIcNraE3LteeGVc8oGLt+jiMTyU5c//yJxrW3CZGKIBGAVBorzUllUZAyGt1PE + xkEYjwi2TeN5rlEqWg5YKoKQRZLnMoyW6BE9GzD6HXhsmbxh2CVsDZEJorualkLRCC1zbXXeG2Qg + EMQbrBPyTv7Jy174jjtvM1bKUba33kD41Wuv+deXXX50c3MDmGUMGd5B1GjW4ntCi33ECiVYFUAE + lBZ7bK6rIRiwVXs06j37m1/78vXwFjmmxgbAGAZYJVLD8PY9d38R0/jjz3z2JdhYGyKHMIbpWZNo + g4Y1e2hGyuAIDq7Z98xv/Pm//hAaFzWiHc2QOUnrOyy65VlEBplFh1HSSb8xatO0X/V4WkUi9jsO + cQhJ3PoYRjHbys2+MsyYcjiIAkLMc6eWR9be8i6rQBQjGcxozJFxs9H3MfZFXZYlK4QAm0+zfk80 + EWADFWSFQkY82mR/+2x45+1fxKiBuqKWyyl643D6+btTn4+se8s1V//isy5hw9vT4IGcQKoN2bxY + 1Kq2kIAMHNw32tmaTnPf2c5yDjs7CAHdXEk13znz4aLyaLMYb5d6Ti3B4SoYenSj37/u2rdfeD6A + BFhj4p5u2ZFmyQ3DCwiIgDej4765I036A+v/5ovXoWvgPCKgaAjyECnhp8QAHW+v++mxncPkZjFa + wqBgnl+BLLWwGRCCEFKGgQrQOtdA+mFYb8d3bW5D0RlfRpUQeu9907VZ1FRJ3hOHguPioGeaX6wu + A5V3rxZW7EC70boCTTuWrATAIAMhps4xJjuAQRiwuf2zL395PnpsbM1Bza01eWd2wf6DaWvbEjXs + JrPZ0sTrdOEOZwNCmKbkPfdZQtCD6+Ojx7cuHG+cD3nrRc/n9fWvDbNfv+HzaBkj5zwP2mfyBq4B + EJVcUXFbtfPw73JsWywusVYgwKwa6q3+cKLd0YPP1m1VqVQqlUqlUqlUKpVKpVKpVCqVyhPIZDJp + mmZ7e/vw4cNHjx8/77xD73////OBP/nj/+vn3/KlW29RQCV564yzfd+LxKZphjCIqkout+SLQv6E + hf5dqjBXP0u7rzAzETliAH3oP/rJj/933/Xf/95vv++ySy/xbRtzdsZc+IyLdra2SKGq53qy72g0 + SimFEEph0TAMRGS9u/gbL/qxH/1ffvD7f+CjH//Yv/13v/nXH/u4KIzhkOcVRrPZBGDftCGEhy1L + 2NOOGkJ817ve9eu/+k5njQEPk+na2lrMteQWAFS1VJ0Mw8DMzrll4Zi11lpLRM4559wZZFoXiIiZ + S8FLztl7LyIppfJdJVhCRKy1pTj60WxepVKpnAuUcjxrbSnTq5nWlUfOsnC71IoyczmP1y50FjPP + wgQFUAZASiSOyBCrpgiKZGhIoq1IN3z26quP7DxgDkLMXHxYpEekpGoAVgSnbEPzNPfMH3n9m0fh + AMOAFULLEEeGefxjrQFAyQiTWkDEzIRjCaaFQd9s/ds/edcN91470wkMJGLU2VlKe9iQBBCIYMHE + +PJdN3z1+K0Xd8/SLJkTimknSckGJgXpnv0tK08sAjVExTmVSEUTiGHly3dff39/V3DJeFZRJjWG + sioRTpF5fHoSoApQQIZN+555/hVr4wMaWEmUQGqhu4axJy75hD8EGUwQ8+xnXv7Re/50JxCMJpLV + /nbyihUNN59ihYVUSAESowDlG26//oUX/jecWlKnUahhQ193XZl1biq7gBZ2ooIT9ocAyCQAZFFn + wCgxtCIkSiqQZe0U1AjsYmjINQ/1SYyqEowVJkCRwUkZ3qw978JvfuOVxz9w9fuPbd9D45BIAiex + RCuGuliePuamtYtqRBJoGS5AYhnCPO9CApvIKuudR7745596//kvP3zAP01SQ957687Wjnaq1VLC + YhxcnNkZQJbADWfHgdPt99145303kS++dgydl/IZWTlmA3jw43T4u1/9fc86/PwW60TWez/EGSCk + zAAtBk0Fitr6sbtKKW7RGc0ilzoRRaPiqEmRlNtAYYePfOaLH/rQZ/7fPh+Z+WnkMvAQSFfKFVc2 + 367qnnPOzhCxJGTLcAyEvD3dvPqLn/zWKw/bvI5IykgSkMXb5lTngnMGUm6JyTrNUOGQJOYASOOt + 5rAz2eKD9sb7b/y9T7x3s73bd37SwzZInEzPI3Yp5STJNnAO2KZG17/3TT/2jaPnHWg28lT6GNju + 6dxeqVTODYwxMcYyL5CiGGNGo1FS6fvQNqPptP+hH/qhr3zlTgBQWd4r2/0pvYL3PoSQc845N02z + s7MDoJjdlS9avrM4Yj1OLaxUKpVKpVKpVCqVSqVSqTx5Obe13ZVKpfIEIgoud+6txfq+660fs99A + ynMjVwExxJyxdCNrNoJO1U0nh5r21rvu+v++7Vtf8ed/hvUNC2ttp9bs5GitZWjWaErW8Dzhdq4Q + OnEmQaCyMIgUZ22ANLAeQAaGBOqRe0y2/vRbXnPZ/UcvyMgpgLE9oCHyvrU59zm2DtM+WkBdu2U9 + mrEwc+MU2OnRtWVxCXB7sX8sHqYMMCtBQLtGkoKFUAbYdbct864KkZW8ZFJ2izfy6iz44zalsnRk + XEJl5SGPphkms9Kq/7Vb5CsDCxu4x7jJpCBV5ARrYwpkne1G2O7f8MG//PTLvmkcZH+LFCnG6K2z + i+QY1qUWAeXPB9lAL9UGktCSaaB33vs1TKZt282G7Nf3IRU/QV7YPKaFbfJeYtRX5REKRyJYJFyz + KHg8bghI02DFYnPn2dY2OYNk7tS8uxFW3fMIYIEQoehQtq39auvf9JEPoWlhrXEcE9x8HS0vxDp0 + xh58SkpQQiYwFg7LACDY9febMxf2AbJixEtIcbaFziGKdg2xBWANQRbik0qlUqlUKpWznqWaalnp + jSqrqlQqlcrfGREp+dMppaIP3tjYmE6np3t/SqmIg5ciYAA55xBCcRgpEdcisiycfvwaU6k8SSm+ + A+XgGoahbdsY43g8XlpaMHOMkYhKKPVel1+8ilatiFS1aZqUUkqp7/uyDsMweO/rcV2pVCqVSqVS + WUXBAsEi8nYpWlACWUboLRtGBGANnGlijMXL4BFCgGPtJUVAu43j3r/l5uvhCOMxesW4gypIjKGY + o6TcNM1DLG0Ig2Vjij8sE3UtZlOM7d1e296spTyyOiSs+H0pSJYz7goISMF57owjQqo6n5UeOUwi + eonWrt1NdP/a+J03fwGWYA1igG+VrAItNQa8W3xPu181lxTQXAuzUBjwXGTS0K9e/cl/88zLdbbj + CaZrppPB70WkQ0QGBLaISVnZGDATECQbnsfyreSgYi5LKDmZJ6VeGzKw5p995IO/cNHTDjFCABPY + EPZoC5sURDja57WWdqKKQW7ar8Tp22+5DeMWXTMkbZoGwhABEsxSLCG6KpxIGZ7F2Fkcxl0HoV+6 + 846fe85zNzfvP+x9MWlVJAAbnQuzWBpUth8t+uQixvrBabSkMIAFjJIN8cde/NJfv+56uJzZmBVF + Kp8i3J130woVUAECQlzbnnax90gJCNCZxtG8H813fvnSSGbaNr983bUwDm1T2pt6tZ6WYggu6qml + XmhFH/Lwz2Ufj1qE/h1fuOZfP/0SIsqqQuB5brQocdaiZNpdtzz/rKiaouUYEgAc8m7SZ2zuwDWw + RiC8p5xVYhBtpbgxGoXpVABmKJBSNnTC71Ca50Tu7rh5JDnN92kQjJrmnmEmG+f/2pevg2cQ4H0G + AbDGqqoaA6JJnK21HoR33XbzT176vM2U96FtDE01MHMfNCCsmbWIrJ2/N0x+6abr0HhYA2fZuAHi + YIw1mmVvmbKPAwRYSC4J8Io+d1lTHBab8sFKGwYyEJMkwBEONB4pYaNDirAOJ6l9zmRqKilKHvww + w6h513Wf/ZVnPqdTmeUAIHOGklFm5YycifQsS/n0FlEQFZ0znJt7JM72HXjnDV/GqBEoM8M4NWyh + GhOZh9Krn+J8NNlBY2LbHN88ftg3SYeUMAHWTu/XTcDm1tQDAGZp1rSjNcOg+feaXce1R6f5lVOz + EuIrgCGGAboWCkiSkndLmOa8V3PuxhInVaCBzWjuzHm2b+Mdn/8SWoEGtKN+yG3jodAQ2Rso72Ff + M8Hqr930hXdd+ty0edwynIMMEBGCMSvCxKWAMAPeWS/YiWHNcFLt+52NQ4cRI7UynU27buS9hypz + vXN4VlDGjnLKJsAUm78HjyhcrvEY6GNonSdDRbNqYuiIsDNFSP/4imcfTGm0s30BU5uiI7cFDdO4 + 0XTD1hYkRYBB5qSAAcXZaBiaCVOFTZIUTKAhXNCOppPtBnYNNs4G59y/vOJ5O2P3y5/+BMaucQae + YATCMCalkImts0v1dF5eue96KS4E7atb5KTrf1pawj0e7a5UKpVKpVKpVCqVSqVSqVQqlUrlHKNp + GhFpfTObzdZGIwH66fSNr3/Da17zut963/v+1dt/+fjWVpaYU3TWxZyGYZiLCZiggGrMaTV/Gis3 + 5+WkeY0zpsQKCnIRjVi2N3/ly9/xnf/tb777PW94/Wtj34d+5lPIOa+NxiklfbAm5RyjZFQzMzMb + Y6y1qqqqpLDGqm/e9JrXveE1r736mmt+4zf/3R/9lz9xQAa60XhnOgFkNkwBZobIozZF0nj3B3/w + h//nm3/mgvPO897lFPuc2dT4ZABg5pIzXTR1pbuqaqkIK1XJy265EiPxSCnh8cuysmU8dnmdmVNK + pRamvPhot69SqVTOdpYhxGUkLLV7xpgaS1x5JCzPsFh0njM4WVceRxggKAMKyoREAIu1xiExJILE + WBYmSSlTeqC/5/O3/W2z5rfTtmtIVfsI55AzFKLsVMmwmMyjuPGSS1+1v79gPBxYusosHWaekN8X + pGyFoaTEyjGaVjkbgRBiTn5/e/lTX3LbfbfB5uyGnNAPCXsRFSogCmdhsiEjPY5/5vqPXfotl4at + YNiwiFVShXASUlPk7Xq2abgrD4W1NkpOmn3TMFzOUS0ll6750t/M7I5fbxJFickylCkl3dMPCSFk + gXHIWRpuaad7wSUvtWiiZMsKgMQALNjV3NKJ/YcWkatDjKO2zWm46IJnNHnU2lGy0/RIRJGnOy5J + QGBIKdO49Z6bJrS95tY4es5khDOJIu/FzPbJwMKedLXVJTtcSqmanpBrzstnIWEFSLQMG1Rse8v/ + QslPf5zaUHnimGdbkuOsyiFTFlIWo1vtKy5707HJ0Q9+/gPH8nR0HvUzYQuWxApasXfWuV0wK81z + 1aEiDACsMGohSVSEAIXCJjLZYHS+/9xtnzjPHP7uV31fnKyZlmNOpMw6V26fPexJyJ012M5th6mM + 82eu+Zi4HeGkAiEWAus8d55VMgOAtc6n0QH71Oc+/Zv2DU/VLWY4QW7cRilRIiXSMs4mAFD3mJ6y + hQRgIStzp+rEEFKWyA4NWc86GR0YXXXpN3/y43+cwYMFGErlNqWAdq+0l51k9xWIARFpXlSdGoZj + Qz59+qaPvfolr+36tTE1mSWmRKre+xTP7d99cTaM2jZCs4rCkHGOwEgJ0a7lm47d8L4//42t0XGz + 4Y5vz6yHczyb5I7EgnKMzoESaOr248K/d9X3vPAbX6pbbjYL3lgFlEzNta5Unnw454ZhICLnXAzz + RGqJ4pwbhvgjP/IjN910MwACmrYtNlano0w0lNsgZZnL22vF9a7YXsUY67xDpVKpVCqVSqVSqVQq + lUrlUaHGWlcqlcoZIsgGZCAwDmv2H378Uze/6AX9rFcrAGyGkYUH2RlNEBqDLLkxACRMpucB3Z33 + f+nlb7xn/4FX/cf/iAufQqNm3RnEAGcVClIYgVhQcUmGWTFpzQQGUxEHcAKQoCQ6JsXOBLDoB0x2 + /vNrXnvR9s6Vs8n5bDgPPeA8rxkz62MKvSduG8qDjjwG8L0wk7WDQMd2JKBJzF1rAOxI3zIZuD2V + zSh4rhE8aXKdIaQEUj5xSyohk8oixdkIAOJiiCgrsj16fE3Olsa4S29lMC1Tl4VR9AR7R2iR+qwk + xIm4qIncQvUhzAox9Ng3V4Gk7AjCYLXkpyFY57C+HxKPXvQNd197bKTwjiTrLEXjiJd5y7S7ieZW + xQvL1VUpGzkzjdE5/w1d+19f+OJv/8znukPnQ1d1VgyVYplN5c+9wcsnymxYlJChQBSAojFkbdNi + 5/jH3/Qdz7r37jEiiiXlMj1aeWGgDSMgAiuEkQkKttoMbffVA+sv3TeGdSlnsmALXZg77/pmztPI + 97DqNDdAZwVnYiFa6VKLA+B0TT7h72xjjxjAG1HL0KFzuc1J2pFKpVKpVCqVsxNmxiK28JFULda4 + 60qlUqk8Esr5pch2AeScHyLTGgt7ESIqOdYlFXtV+1sWCKAkWz/2LahUnvwQ0bLCvG3bcpk3r7Vb + 5McvVfhn4FBgjAkheO9TSsXsAMAwDCW6vm1bLIKuy5sfvZZVKpVKpVKpVJ408GLelqFQRgYU6kaj + ciU7AySj0UF0T65EUCBI0YG4e435l7fchPUxrJvMet91DJXpxDkPIaeqTZMV5jTClazSNM38ipcp + 9jPXdWgdoO++5aa3PfO502P3a8K+zh6dpcZAsiznnXeDlIkTESt3kgUYDBIwyjBAiGhAZMZHjL11 + zb771i/CGXQe4OANERE459wal/rB+gaY538XSOfJ1sawlpbzygQ7M7xB281mUUDeu83p4IBIMACf + qr0PcqlShaqqJMQItMyUUzDGg1Dm4KXkHT4o0/pED4vVhFQRBQBN7YEDpt/UWSoCHqV8yvU5JUIw + FtOI9YZzEpsx9c1dY/+Om29A06DpdJCmXYtA1NQaZua5+GJlrTJABO6cqKiYsduAZrQOJv/8rTf+ + 1GXPdTvT0Wx6oFvbDjveYjKLbu5QTEXXYeZbfJ7sK4tWL4UrDHD5wUXYsO1d9x/DkOB0nlpLp+gq + 5S9d7YwKpIw+/Milz77Sko8CqAUSg5hyFAIy7e47r8TKO22DtQ6MmDOsMsiOCUAmZAgvj7virDTf + LHtwvghBGMl5RmPUuT4Gy1BapFgDmSQTA1KkSkYJ0GJBQgIDhUIU1mESYcLQZfrpV7z2bddejVGj + xu5NjkGAYe2a48eOjYGNsRmGPIkYO6tRF/nqq+Hd8xjrEjm/6lc0ZruTQIee8uZPfBRtg9YhAoAH + ZiHDm5jEO6+S1qiDMBgY8zu+eiOCIqR/dMmzLmj25Vn0G60dXXDbZDOvud/4/GfgGdaDLEwLIUq6 + ZrisRGC1ZPgsk58olC1BANWfeMlLDycmyZaQFAzICYcqZcC1PoQwMg4EbO9gsoOu8SObUEZ1JhKY + xeGy0AKdfMSfciMoIFaZSIZgugakaHwgnqVgYVSzMIRUVIR4AGaW81nlykUICV2L2COzOx71+Nq+ + t375OliCWvZtkSwOUAtyBosR5RSc+nzkPSSsX/KM/prrpmFgAlv4uLTiYkWWhY6LoAxiRhQo0LJL + 4Hv7aeM9UobIoiuursNp16dyxpTOv7S817kGTeYHmLNCCAApusalIT7yJbMiJ228CSEn3x4JdnNt + 4203fQFe4C2Yd2J0zUYAHIEsoBmMPexiA4w8JB2VTJBG4JWm0H3W5SR8qgUZxiwmQ9yAVPXAqNvu + 03ZMCBlCo26UJRtDqpqzGMtn1WD49QYBXueR5HnRRRVCEDPXcM59/ZSQCQSYjM54KCABShh6xIzt + 6f/xohf7re0XNB7TbaO58ywkk37w1nLKJgdbToreTS+BtfIAACAASURBVELvDQ8rAoYyfJ2FQ48C + zT4/7UPnbZgkCTEhNoCzDEOzEBCm6+g2dtK7nnE5Ea1fdNEP/vWH0DmMLZyx1hMYC80pAR7AIkHc + rPy7pGbsXp7QSesBkJYr273E0lcqlUqlUqlUKpVKpVKpVCqVSqXy9YHkKKoAyLAqp5wZMNYw8EM/ + 8P3/4Hu+6+1vf/t/+K3fJqIhRQaM9wJNMaoIjEHOUJ3/YzHTuphIZQbkQXPmD8cJAdkrnyxZlePR + eDKddL4ZwgDg3mNHf/Af//Dv/97vfvNVV7E1Krq+tm9ne9t7v2q9Mje6OKemCYiopBTHGEtUZykg + yimGoW+aBsbEnF79ile85EUv+ombf/yn/8WbP3H1386mE+9MiNm3LsY8D1fe0xef/t1DiAT81m+/ + 72f+93+esxKzIdrb3n3yUiqR5/so51IJoqol07pUh51xEQqAGONqymZKqeu6vu9L/DkRWWtjjKXa + peReP9pNrFQqlbOacp1AREUqFkJQ1ZRSLc2rPBKIiIiMMcwcQiCilFI5fT/Rq1Y5CWVABEwEJgES + KJMyQCQekoHMLChp955zK5+7+W8eGO6idcm9sGFSzREOMEBOMM7kHMnCRjlIT/umi19lg0WKWImy + 1tJJnojmkjKEAWQjkbIgQoWzMWrbZmOr37rq+a/+6I0f2U7HIsE3bc6qiHvStxMjRpTwSOlm197+ + 6TdO/t7h5hspJhZjxAAajCoJYFhZ6Mx8TytPDMwWKRElRSZiMKuL90/u+tI9N6a2N872s+gVRBjS + ngNBlcCeQGBRk3HYXXj5hS82sVUgkwDMYkvasZKWypFSMCIkzCBhKLOwMMhQztmI3e8OXbT/4u3j + D+SWo2RHIH2Q4u/B61miX1fLakoQLCsEQgqhfO/snntmd17izzcRCmPElKjUs01y+dgjtCJqnb8E + AZW7QrIo6CGAy00VLhnDEKGSS0wgPjHEWnYTamvs/ZMaRWYYFkMERVBVESF1Y4wnm5vf/uLvuve+ + Oz5/7FOzftt46gfpiovvipqayrihy8NYdGH1DABqGGBN5Y6igJRYOR6TiR/jM1/56OED57/+Jd+1 + PdkRVVU6247gxTElclKpILAsgZgXIQiJtTyN05npjw33fOlrn4t8XGCVWDGvcyxDGUGKR3HM0Vpc + +byXaG6HLazJRmNd308xD34mLYctZYUCyno60+BHBwMWEkYCQUnm1YECg6bxowSSKHmY7F/rrnjO + xZ+7476yiZQSlIu9NZVm6kIpDpRNRxCArbWZotD8UiwFsAzGmPv6r15/19++bOONIsk4AzaSEYa0 + tF06NxFjkTTOJLPrrPWcotEcwhTr6Sjf+94/e+e9dLuO7Pastw2YETNU4BpNMXgDx266LQfpad/6 + gu997fO/k46j46YXZjZLD/VHXn9aqVTOXnRRZgyklNq2HfqoQk3TTafTYYhN18aQ/+k//fFPfOIz + 1s7rcE7MtD71qaFYaRWvrZzz0hbPWjsMQ9M0IlJc787x8bZSqVQqlUqlUqlUKpVKpXJWUO8xVSqV + yhli2IQYDMwwZIxatO1tanfGG8Gs5ChTnk+z7l3sNmQYhyyYJjjGvq57atTD9z3w9Nvv/Js3vOEP + X/UK3Ps1HDuOaY97j9AgUFZYEAF8yimIkmgNIoUF2ELd0GNzG5MZjtz3Oy97yUde+fIrdyaXD/kp + fcjTWYoyMhQHGfrIBGtNVslBjUUfMG2aO8878Lo/+xMwaxICjZzhCFZ4tgP2pvXUheRo1ahMV7ab + 0Xmm9YO25FI8xwooz+dvVCErVri0skceHxhgZELxMC27gxRmkTjMCtprn6DlOZuUWAjJCFjmpsLF + ABucwUtfyMcQAiiBBJZCiAQe+1FWABn7xt/2h/85n3c4ORuiWAdj5rpPmrvO7e6KpevxySs8xOgI + wxDWQr5iZ/jQa16Lfgez2fzbURrJc2veM2swIS8dscWWZHBBciDrHOeMEBGGQ5PtA3nwksv7ZS6G + WzQBqlCCmqKdEWZFItNbf6xpv+cv/wxdC98Z225NNnU5PbjcSSTLBe1t3RVQJsWD6vTyaqNWKPoX + UvAit5oAK+mwMxAFeRBmQ2TQyspVKpVKpVKpnAOISAiBmYdhiDEyczECoNPwRK9vpVKpVM4NSkmz + tbaUx5eq+IfwCokxWmtVtXykfKrYyhQFcHlPcag5A1uTSqVyMuWWY9HcLy/zlkeu9754/ZQXz+C4 + K4dwjFEWuSOqqqrOuZJ4nVIqJhrV+KBSqVQqlUql8jCsTunCICV4n2E6b70BAKa96feEYDwdB7YP + 7f+Xt9yE1k+dy8xNNxJQBrtRCwtNAcXl7fTLZ2IFjDExRTC7UQcCrJmRoHHHcm6adQEmszRyNM1z + /4FV4YbMDQrmlg1m7l8ABRIQCHHc3aP5dsR33/pFjBp0oz5jCghZASeR1jTIYtkvBR4ZC5emudhj + nmWthAxk8FwPQhAisJ536cUZLiRpvQXB+If3tlveKlYAoiBFVvSDMRYpS4h2sdFOnjtf6D5O8bph + A2aM/LE0TGapcyCiWFJa90LO6DxClEnCxDf3u+YdN98Ey+hGmR1sAwUT2FphzkU7sRsdOvenUWAA + MrFlJ0EhDajpncPG2i/fdNM91kZucogxY2vAeftGABSUqXhqFRXEbnuL0GPFtkMIYNCIjSYJW9tP + b9cxTZActrfK5plLZU5S68juBhRIQgjY6p+pLs62EnJjwEDOyFEzIRGEIITE5ds5k/3Va6+FZTQN + W0+glOep3rpUH2Hx3Vh2Wll8+cM8K9h6a/1IWUG0M8R9fk0ELDCAKfolQElKP18eDEWtUY4CAxio + CNYdCDIC4pH70Q/QLDnseaJCZVDlpnWeNyd5SFjzRlI+vRBKARWwEpcdxwpW2pR0nHF7w3jq+TAu + walrYJiA1hhSOGckKcGCGyTANvCNtg4bDTrz3rvv+MUv3vi2W25+yxeu+7nPXfPuO275jes/h67B + qEveZucHqFrLhqDQDM1q6aw0miRSIGtGTmZnWBNKGVlPfVALsNUPauw0BZPzKIWfedGLIQmhp6XS + rRxzJVd5z1owgFg0mcaLYgZGM7qPkJu1DGNARmGl9DrJDDnb5rkUhrDVgx3dOfRfW3Nv/coX0dg0 + WkfTAggJCWCQAsSsOZxuSac+HxmCMz/xgQ806xutW5spmJkIUeb9f3nGAYosStnAMxyIIBMZDo/W + 7JB/8oVXLVZYVvb0Y+j+83XLg06aAkbZ0ExQAROG3rTeEEDo95JpDYAAB2yF3Dv/ldDfPW7edsvN + 6Fzo1pM6UefdmgLTlDKKj9Re9as8QODdpiVpR8bZPuiGsyHFRXOAhRaUFUZhDHmmoNJ6H0SPTmci + iUKAsZj1kCwiOSViZlOLNc4CdK7gdfMztSzCrbGoppnvpsXtYGA2YDrDLGJz8wcvvuSnLn3WLz3v + uU/d3LyYtN05flDyGiCDxISDa41J6UA3hsasUZCH0DMwy6ceas7CAWhzKyBDZ2nMaAAHrLsmpD4N + E9ZwwDsfJgdSuJBkf5h0d9759mc/759dchm2BxzfxnRqUtR+wothoOhay0XRKU5dS3s7Oknyurj0 + rFQqlUqlUqlUKpVKpVKpVCqVSqVyMszceM/MDIIoqRqiYdaTYn083r+2/gtveetf/tmfvvqVr2LA + AjGElBIX+VDOsGYu8ymcaPHxKM5Gi4gxZjKdEGgWBhA76xQ4ur31P/zP/+M1110L5lIN2jTNkyDD + slQrlBKGrutKbZGIGOJxN4JoHIIFp35w4CuveO5//cAf/+6/f++F5z9FYjaMMEQVMfbRnFIkQ8bw + e97znhgjmEuSwaO4/HOavJBylY5ash+WNSml8ISZjTEpnWHWzrLUZW1tTVVns1kpN1v+36ZphmFg + 5rpfKpXK1yFl+C1DJYBlTe7pfCEqlVVKmWep4F52nlraeXYiD5LUUgYESqRWkhgypMKGkuQIMa2Z + pOOfvvFjGA1bsy3jTRIJAmOhCkNgAjNUMwm8dhcfuvyijUstGmMMs2Xm8myMK8/GmMf/mSzBqXgh + l+GMYW9MY6mdbca8zQfdU15w8TfJjjamCeFMUnqtRYwgIjI6o51NeeBzX/msNCmzgMSo0qlLHCrn + AAqOWdka72yOMUcxzgy0/YVbPzeh7dxIn0IGrGVLnDMWvy32gqEhqVWYwV7xDVdu8GHHDQAhVjAp + 0+7NAlkN1BSax9mWlGXvfR+CIWejf9GzvskMnSbeQ0Ty0rdzMT4Q5iacpBCWidm54e4vBJ4KJ0tO + E9PZJp5/XFhuFiUGWMBCizxZkhPKepShlpUBZi3et8utbAAL9VAP2LminyIo7hqfVp6EiOasWTJU + VAFLMAaGlRCx4Q+Zne4fvPb7nrH2bDNp40Tahpf3BHVencGl4wFYJi7T4h1CPC8OWFjaAlCWzGmm + kBH6ZuvPr/7AdXd8SsZTdYmtkbPyIH6ka6WsqhnBbNDf3vyp48M9PdCOXc55ealDi4JHAApYcSPe + d9WVL0NvRmatgeeIUdN6ss4Yx2YOWzaOrSVrHtPrE8vkiTwbz+SZPLNjY42RFGeznb6fMoQiS8+v + ePHrEDyLXWwiKdV8WBQqnrwVASl3QRUgC0NghaqKTbyWPnrth9BGdTmkoKrGFKvmcxvXmWyzWDbO + 5hD7vmcntJbulTve/Z9+Zac9omuxzwMRawIpaYD3DIYSmBC34sF8weuv/J5XPPfb5ajf3xyIk9nI + d54ayczka0RIpfLkg4jKBAQzxxjbtl1fX9/a3HnrL/7iX/3VXxumFNG2vtwn896fbjnl1lnOWRcQ + kbVWRHLOIYSmaR6/VlUqlUqlUqlUKpVKpVKpVL4+qPesK5VK5QzJcWicB7hpR1mBpnnTJ/7mztFG + YLaCTEhGCMKYT8rudSK1YUhCUnhjiLs4G6LmNYMLEJ49Pf7C226/6ZWv+4urXoav3oOpYGtANBkO + sMWSmCGgtHxwEZwRAzbDQQ12Jtjcxn1HPvLCb/7sS6565ebxyzeP7t98QKbHDWzr9lm3FrI6a1NG + a8gbZkJSQNE6vt/5V3ziQ7jwPIwbspYiTIBNsBkMatDudXuuGITOLXLn243nwgWCSPG7XcRXC0FJ + ygeMFItQAAAJVItfr/Kuf+Vjyu7uZYA4gSMQgEVRC0roNDLPI7f3unzFIsOYMiEyBgOwQLVkWpct + mB8nSzeBpVmYDiDTdEiMqMRZO0LTol2/d3zB/XZ9xpAEZ4jSfCcuHwSUPXy6ZOvGO9d4q9Dt7W+A + rt3/VczuB82WjS1+dgo37w97avaucbJkQA3UAGAD9nBQBFUw4b773v/qb9lAdKykc3PuFRGJgERY + hEVpbrxMECOciY94c8+4wb59GI/7lAW8Ph6j+D8/aEuS7FnjtTBjtkIusxMihdB82EmseZHwDoCU + WdgImxM7HiuarGvTGSazoiQcN86UJYcq165UKpVKpXLOQETe+5RS0zTOuZJrKCJ6Gp7o9a1UKpXK + uYH3viiDixFJefGhy5uLWUlKSURKjTQzl1OPqvZ975wrr9gzqResVCoPphxo3nvnHBG1bQtARFJK + 4/E4hIBF6HUJnj+D5S+fVbUMBcW0CIC1tngfGGOcc8vo60qlUqlUKpVKZcnu1PBKrm+KEbbZzukI + +llI1nISiO7telKBo0GfdtUL3nz9p7HPYjTyxAEYEjxgck45JUnknRK2JtOHXtrOZEcB51wqn8s5 + g9WMYd0Omx2QZSNAztpaCKmSEop0Ybd9VsSqKEShVmAUGZgZHHP2vrbBFZe+667b0XgFKwybzqAh + sAEb5TCd7XqnzIOr5+KHhEVE80rCHQEMnvs+wMD7H/jwXxxpTRDRIW10o9nw8DHSy1vFBBiVH37B + i5ACnE39DJbYuTAMqjLPSGY8yLt3kYA4t58gMJY2Df0AwxMkNoBglrU9fTnxKWEFCZAQBLFd/9r+ + /b90261o19EdQGKjTNYCoEFbRZ80EWewggVMRSskMDoPEUxQAMwO0wTlDJ9Ng9a94ytfGrpmkof9 + ng432NqaYiFsKauxXMJpDSgAAm1LNkQja9327J+/4EUIg1/rlhIIWgZuA4sM9NWPA5IR8luvfIl7 + 4IHWm2SxndEDByyPAABFHhO5qEoognpr0LZoR0K2j5kAbzgMUXZVRwxwkU4Vg64T5SoP80AJ1QYC + OXjfHDg4DbkBNwoLuPIQtaJG1cpcALNUhVmohcwDjzNmEfutQ56NRw5GkMSB9mwZZqwYSpJTklFL + BkghQ3W1Y65OfuzmbSsBzGps2RXteHP/+NdvuBYjL8oGfmcYIjQBWYUIQx8WqhKGbUBGYTJ1QW0e + jdBYrDfY53B4HRsdHKMboRkDjUEbRInbARgIiUEGBmQEJgudTTMzCsziTCDGEEAbMDykjdYFYLlf + VldY57HVZIERo8upDQNygqF50roAmaFWYQdwOL1wS09+XcsoJoZYRcCGYWDtr3zlS3c4nVkWqFFY + hQFY1QiRruiBzg5E0XTN1HbD4UNvu+tm7HcCI0KZMI3iHCxAQMwhAerMQ1ihn3w+giF4i3G3FVLI + 0jH3g4jC2aWt84P7V0pQxQAVkQ52mE46JZrOoLKwZ5e5WOtRtWWvFGjx4AedPGhxPuna2XRIuvva + I0eBDPiu2XRu6/xDv3zXzdjfDOCssGwpMQIMMLIWQEoRds/295YaCN550w3b3sxiagGK2RfJ52IF + MpBpfs7Yjuq71gAhhJHjDW/GrmUF+gjjtR+cc8ZaAKqotw+feObaYyERI2IBD5hy2XCilR8AA8Gw + DZlhOv3RC572tsuuvOLIziXbk0Pbx33qmQZv0ANkuW0bx+b+nYGAPJtaYM24fc2oByxRY04YppZ/ + nF1DOWAUFzT2ELFm7GM/BllA49ABDcEC0GAMhPVI6H1rj/Xb3bBz4aR/+0VXvOU5V2G7x7Gj1qno + LCPNL8oUlIshLZOwwYkp1wQFEhCBBKQitD7llU2lUqlUKpVKpVKpVCqVSqVSqVQqlQUhhJRSipGZ + VXOOofXNqG0NIfS9ZWbolc977u//3u/87nvf++xnXuLJkGhjnDUWWMxb58XElc6zkXRV3LF3aOVx + wuvMYGJjssqQooKI6YHN7f/p+3/gtjvuDCmSNSHGvu+B+QTcEqVi33FuUGoKSnHBMAx935fcYmKd + TLdJ83jUkihEvTF5GFjkO771Wz979Wd+9If/EQRdY41BTie2l056nAY6cY5lvpOzpiw7ffjgX3+Y + iJqmO3Z867HbAucWzrlSHsLMIQRjTKlMKbEQAEoUhIgw8xnUiZRwTe+9qu7s7AAoCy8Vasswzq7r + ar1zpVL5uiXnDMAYA6A4QpRXKpWHhZmX+dalxrOUdVfOVnhhuSmLCEyGMqkYMz/8BQaGA6Y33fH5 + uzdvT82QJJYUTVVYyyIggSVkmRELJ+zzh176nJe7OFbhqEig8rz8RxB9/B+D6qA6Qx4Qe80xI2bK + CZJorRnvb/fzln/FZa88xIe64DlGRtrz5lQyXP4riZN2wzW3/M394UjmDKBYjPJcZsxnn0Kt8jAI + VEQc2IJEKCIdCXd/9pZPqZfMyABbCClAjmHAe9XnZxUAlOiAP/+qS1/KUwtRJRGaVySwAqQy11fL + iUHXYICUWDirMDOJMcle/rTnP2X0NBKz1Ag/spbuwgooUwljVhZCaKafv+OzE91OEqwxEJxtyvnH + i92UetktD2FasaIlJVJLalgNqTHCRpl06fXKrJalYWlYHYthBSMxRaZYNZpPYhhlgJBEOTOghqUx + YkghSCKyRgcO4cJ/+KofPD89/aA9aLKyzs11heelULJyqLLu1pUVlCEky/IYpgiKwsk6JIMJ72zx + A3/44fd9befLO7KtBnuun3qMERI51V1HVmZlUqbFsCNgAJJhWnMs3HXNlz6Z7GAcYCC6GtAsSiIk + SuBsu3Dg+U+96pA5v83NiKyEfhi2NE8lTyVPs06zTKP2Af2AMGh6TK9PomjSlCXlHHNSSSpJJGXN + YTxiY6JzeeSa1q6bsPG0jRd+w/4XmrRGwsDcwXvOrgn24oVlnayKQMvPOSIYA7JQE6MLt9335duO + fTnamWrOUXJW5xzOZTLrZt6eci+sqsgxWUvS5q+F2//vj7/nPn/rPTsPuG7UEjVZWjY6kENj4WcC + 8mDBAT746ku/83XP+e4urFt10+nMNT72M0nZGSe5VjhVKuc08uBTnjKUmWyKomyUzRAzGfe1e+57 + 3/ve9x/+/e84a4xxAEJI1tqSe326pZcZjZJdXWYZVLXMYhhjigUrAGaeTCb1VkmlUqlUKpVKpVKp + VCqVSuVRod5mqlQqlTNDrHV56MuEOzNgGPvWbh2Ne25L5u7f1ftQAYW3TjKFlDLIea85NSnsmw3P + 6MPT7z9y2dGta7/lNZ981Wt+96qX4simPbqDIzs4tmOmO9RPMZtiNsV0itmU+qmZ7uDYDo7s2KM7 + OLKJza33f8urP/uKV11y5Mjls/6pRzcPDv0+lRYASYrDEAdHXqKsMeug/RCdtQ0jGNyrdNdojP0H + 0sjDAJLmlmMKKKwaA0t7OcUQxKA4B+9OWWdCXiqbSEpF1CmEACTLqW6j8FmQS6S36Io84vEQ0ei8 + 7ictTAzLly7lCSe9+wxgAQuxElgX4calp60kQz8Ok9IZ2TetgjIWyhKkiCDGYP3g6z/04a8eODjz + ZB2GoN6ZUki23FPL0jJSnDLZehYiVL2xDjDT2UXO/tGrX4mto5DZ3JpX5xsZVDrf3i5pSjGbQgBZ + 9JCyXEtwKglDj5Qv3t5uhmmWE7Rtp0glX8mtJyAYc1fDb/zgX8L6SN42awv5fKZdf+sHL2EPzO0/ + 5/2awKxQIDPyIusdqyHcoBNq1hbr77NsxPBXf/87EYa5cChH5Ai/Z1vPSqVSqVQqlScKIgohlJzC + YRiMMTHGZU3ayTzR61upVCqVc4lyfimWIsz8ELLdcj4qJ5qSXV0gopKqWwJ3z8zTpFKpnJJyyVc8 + CEp4fDlOjTGTyaQcj8VyaDWf/pEjIuWDxSqoHNrFcCqEUA7n4ppRDvZHvYGVSqVSqVQqlXOXE3Mc + 5w8BCBi5BpPZBZde7Mf7wJSjEOB4b/Ozgc0Dhr/3jz6A/euhdYmKFxEaC4owZKxxZGwGCXg8XnsI + aYJC18ZrABRgZuMsW5MlJwDwv37jjdsqibhhmglE5vPTtBBvyNyhBgZKC4mEEbAAoIH90VHzszdc + 99Mf+TAsgy1ZlxemFgbMIMfWtx0UsFQ2lO6u2+KZVl+RpQtPURxABN4cZRDbsWkm0+m6daeY0y97 + 46QrdyJYYi8CUQy9bVqkJDE2TVP8deZLmkfbPuTEviKHjK6DJh63yoyMsbGzEFa8HB4RhjCA03jf + fa1/x003oGnhWnALbqCMmACwIQhaO19JAc89hnWR2aowgAWlrGCgs8hoS7Zi16JrbgnT3K31QTEg + Abnc1VCwrGZan5rSwzPyGCRQiDYp+RhAghyw4rj6UJZJKsgJMTaTfh/IEoJACJ1BSNKCjbISA8RK + RkhhZtZueQtv1FiBaVxjgGE6eO9KpjdBTnP7humRPsMToCBYCLYJO0RhkWmaVxQfrDAKc1IDi1LD + AMzogO0UnSGe7iAEqOw5xxUAkWQ41yQBkhqgMXzi4QIsdz6BtKyEKIGUCETgQOaI53/1hc+h88F4 + 8p0qumYMsKoU37e29bCkC+2TgoekAqvkM7fJjpJh7cbqXGTSdgQ3UjEqhuBabg1gAQOkpFgebnS2 + 6ZOlcc1cwiXKfVwHzfpYjN5WR29d6Lxa63IMFhhS7oAup39y5ZXoe5LsimSL5iPgGUkFBSqQTGQV + lAVgg8Y/MG4DMy/PIQqeG8GcXdtTQDD+gUHv7tpfvOl6dI0aw77zbBJgGgaQQrJAa6xA9PSD4SnP + R6IKIlg3GDMAbA0TmJFSWiZUL5mnKTMAeCBCjSVDzCGPjd8NtK42XI8hJ1z+LP9xQq9VhWHDcNbI + 3veFZRzth3tH9h03X4+uyY7JW0dABjG8BZfrDKh1bu/1ESwArIPlLUlt2wEgcIAoKC8udIpZUBn/ + 1wjT6YxBCk1JZiEPceaJ/8nLXo4QqPGASI4oPfPsOny/DpFFevI82B7FD/EEB0+BCKXkQ8Jshjj9 + X6949psvvegS0gObx56SwzjMxooxox9kUJCnaZRJP1g1B03bwBT/rpzzkWHaAQLtswJLDef8+Szs + C6QIQ+Ik+0FbMWSCALlsNYUhxKiksBaGsdWntkGneSOFQ5PJxv1H33rpZf/bc6/E5rbtex+nNg+Q + CF2Y1ilAK8rVE0TiK+EKRQzMD3vNXalUKpVKpVKpVCqVSqVSqVQqlcrXL9Za51zTNCXZummayWSS + Y2JQjtEZwyCkbJm/7fVv/PAH//Jn3/zTTzl4Xhx6TYkUjhglbXoFfQycWIwxOecSDFyU9goINIiy + 5bvuuefvf/d3bW5vC9R7771/VL/8CaBUCZW6BiLqus45F0JQoG1bZRr6XlUdGyLy1hliCdERv+Xn + fv4v/ssfHz5wEAmGTpwh+TvsEmZWQAnOmD/4T384G/qQ4v79+/+OzXzSEGMkImZOKZXuV7IfSuWI + cy6lVELKReQM6kRKNyjfAqAsqrxYyk9KIUwpTql1KJVK5euQZYnu6j9KxHWl8rAsC7dL/1kt666c + xRSl5//P3rsHS3Zd533fWnvvc0533zszAIYAQQAECJGgCBAkwJdgQaRIShalpBLJJceOK47lVOQ8 + FFFKVLHKkVRJXLFkKlKUii3ZJVU5rpLKeVTkf6wXKfEpwCIp8GmRIkiADxEUiOdg5t7uPo+911r5 + Y5/Tt+8AA+IOMcAMsX/V1XVv377du/c5Z5/dZ3/r+0aZFgMM84wkUQ3wgSre11Of/fLHrY6ddrO5 + 06TeOyOYgRWaQARRc56c1serq2658bWyViIHSZzbIQAAIABJREFU543J2ClBKYdRuufpnsCOKDiQ + h/NaeW0INYE1SWojL+m6Yy+75ZrX2tIWs7lqPGrM5zBYVbGIJEuoMHD75Se+9MCZrwgnJVNSJQGI + jGD8HPltFp498lcSqNYc4LnV9aPdg1955N5eB1U4B+fQR0smgXzqjmxVoYrGsetnL9m9/qaXfDt6 + IzUhgZHBMxQkSmel2U6QYqwb4q7rmqaxBK/1ydlV1112fdDG2dPWjDyZgyczproMAEYaffryo19s + bS+iIwczA9iI9QU10o/OorYJygUwRhUbcQ5FVHbGOYUXYFYmy/HkjGz0ml/JDrKJgTFb8YWaFP4C + whMTw0gEAvNkudAHvuZhWLP4hV52/fzb//pb/858vcMr73JtzZieDmVVVuMp+5km093pSBdOyuO+ + RVAgEQY2qMAADbDZ8Fj62v/33t+0Wb+SpR1tgLioUCMYkyJ+/oFPPbT8CgLIY2+vDZXLR+jG7Dpr + oYOEY8MVb7/5B9yeb1IVu9Z5mS9Cko5YHYmHeqgjITJjMyZcyPkJ2IgMbETkiAiOyDkiIhviCtYG + EhkGXWkjx/36sjte/X0+7Tqp8kbTyceY7eBetyyXQco8frPTCE0AoECvGqUTP9zzhY8s6XRoQvCz + GMX40h7NDVAmrmpP3kRcReE4/WV//+998rc//dDHTunj9bGwv7eSPlbkKnJewWImSAksXPWL117/ + XT/wHX99ZzhWpap2lZgoaQiBzDQmJjpXPWyhULh06ft+Pp8z8zAMTdP0ff/e9773l3/5/2DmlHQY + BgBElFcQzJ5uFGDmvu/zskW+GJJH4I3tVb5fLBbPyScrFAqFQqFQKBQKhUKhUCh861OWFQuFQuF8 + MbipSIYMqIBjsx/+4w8t68uiEgxVAANi2cnUHXVV3cyROUvqYR5awWLq4CCTXqRKckXXXr9cvfzx + h9/8xGP33n7zx2664VOvvOmuW1+Lrz+AU4/gsT089AQeegKP7eHUI/j6A3fd+tpPvfKmj910w2dv + vfnzt95+x9cfu35/7wpLru/dJjKaFDYwoiMRJCWoEsA1GGqmWLrq/p1jb/vju1DvJGpABMbAGBzQ + AKSQQBqOqlwhE0gUicZGTGAMCeSQ5USb3ttYdj4JBuAMddsjRZAk0sFscj17DhZpR/nFxsc229R6 + gJIgTV54TuFMAh/RtRhEiAaazRQaJVbsZjEiRtgAlzaJ3wz2AOSCu3C6SRBmADzgDUgViI3gPU4e + u/NDH1g2l8uAAJAJM5lhzBI0kCEaPDFICfrk3mjAaZCIRA7Kuli2tz+2/zt3fif01ArLlAPLBQQY + MYiP5GRnUIF2iLnPXEJW1XQgWLCExjGG9q43f/cNe/vHYDIdHF7hhTcusWQwhXdQHWUWCiJfLZm/ + fmKOnQr1HAi95cxsc2p55zAaPS7zrilHlIEakKDwEmUAQ5DYuS7BB2waBkBpOyycNpuLRq9beMN8 + iPMUwSmOm9Lh3BldhUKhUCgUChcnG/OCuq4BhBCe9umFQqFQeDbZJMVm/46NQDZrXjHVCW9+3vxp + Uyp80dYMb4t9QwjZUufpn5zvN8Yl+f6sovpSY18onIt8iGXLns2v23/KtlYAQghPqcjPx+m25j7G + iK0RKfv+ZGl+vs+HZA6r3rzOZlxKKWVHrc3j+WXz/JOZ83/lS57Pbm8UCoVCoVAoXBLY1lc+IlAJ + H9uCsFVqP1Xsu5yF2Oz82B/8zikkIYaiJlKVI734Krh/9ODXcPwYqKlQJ0CACvA6KQHNOwQG55je + s76IbisutrcaZUtTILBbgCGAo74JPSyqzRiqMILhIMo3b30HJQhvu8sYOYTI1S/e/3nsztAEiOWc + 3yENBATAG3izns3jTaYWMsZY5dFTafK/2IThZd8HgMGM4Nfegckk1WCTc/bnkwt7zQAzHxPUEDxI + wYFDPRpyjA3YuiAwNYM2OhY7uHnvYEBwWehigIkFuCOtwStIqVqH+Rc83vXlz2Neo65AfnxFBZzH + 2J2jKAVTvx3EBOb2GAIAR4lhHvBwET5ByKGuf+OhrzzQgIkFoJoGBwdUQADc1P922JforA9iQAtT + gnPkVXeYMQwHwdo4aAlIQQcbgHNoshr64ade/zqHRIAkYYYxomAGOFgFNpGZr1isMlLDmbl/1xc+ + Dc85J94DUDR1DVNYclAHc1Aak70PeiZ3zjO6n7qOQaiqf/xnnzpVc9eEfWDpsPToGIlhBLaxozjv + 8wq2MTAzwDwAQgsMDGO9rJf/7lW3gkyPbDLBMGI4G9KMiAQOMFE35kUrMB6YilFhxYAjsPeDCTMb + KMG62j+6W6MGQgP4KCAag88DMUGZIUAiqIPxODrUPrgxhJ4J7LkmYzIE9mQMY6KKqIIxKTuFV3hF + w0QGjK5HehGeHCx3mEnDDFgdaNCzn5H3GjIgJQ8Y4BkGNEmuSIZeMCSSZJAOqQcGWGWoBGybXc4O + v+P4wmfvATaeRAHU2bKvaX793s8nUAVyQD6ofIDlsPKLyaZEyUWen57v/OJX7sXxGupJ6sMnBNSV + ZygBFdjBb//pG56PyLnkAzwP3olzQ1KzPDQdDCY43Ku51/P5MKVEphXA6bAyiw7+t3BBMGSp3KH4 + Xva55x2IDCoSjjg6UNal1uFXvvhZ7AY458D5nLV5G2Z4wE8n6qO+vofHqgc5ClXfRwIU5tllpd+Y + uTvNUty0vzGYQbo5I6ig7TBdLdw48F5Mx+4LEgPUEBMIIE4wmxSn+aRg1sMiYov9fZxe/sQ1N/yj + l77sZacef/HQNnHf0EWWLCKNxmBW4kGImQO8N3UyZM0uAQkagAREgGk8O+fjYmO/eFEN5gAYqAAD + epgS9thWHnserUPMXwsAVtgAb/AMiyCLbFFdX/t4eb+68czql6955f949Svw9dPYXyO26FcghcMq + imx1gvbDdrj15kA1IAEDkBjmSrJ1oVAoFAqFQqFQKBQKhUKhUCgUCk9BzsrNEbymCrPgmcjMzLPT + JAw4YhNxTPNQ/+SP/fiffPCD/8Xf/c/y8pbGSAYGca5qYWNPAHwI5P2zEnuWV+lkS7ozlgBMK3gx + qQIP/OXXfvwnfrIbUs4yUTVXVVElK9GyRP/p7fsvKpg5tzbHFYuIiBCRwpKpmsExPBKSJWGDiToj + D9TOfcftr/vwh/74u+94U1B4GvvJuXEdy4ct2dczXgJV07xMOYj8wXve3Q1RzKKkC/DRj4CZnRWu + 8Hy1JAdX52KQXCpSVdVmYTfHSGxq5c6vnZvEze2XyhBRLjrLcdf5wbzPbP/7ebzps8WmeCeXz2yq + bzb7eQhh8/jFyaVSt1govGDZ1N7GGPOo+Ky/RYzRzDYD2vZbb37OA37hkmNTuL0pRMXzfeosfEOM + VAmjqhgMaKiwWp9eLBZRTYlX2j/ePvjnf/GJiJYImsSDNYoDTEDkQ6hTRBVAyWjYueM136MdAjs2 + mImZAEpkRFkGJpOO/Tm9sbGTEFKoY77NqjRzEgBOGh1htzoWn5A33/b2EJshdhaOpo8iQx18Siqm + +Xtcr+pP8Ic+8f7khDz1sg6112QaGexwicdGvvDQZKmqqtSKiVIlMu/ff8+7aUeZyWeHSgUHKEAJ + DSo+h7/rptrdDAeGnwQPcOQmnXjLbd/nY2jYq0TvPeAxupcmIBkp4RzlDsZkVNd1N/R1XVsCtf5N + t9zp+4pjIGMYTBEHMHMI4alqeqaqIUxiQuRIZrAiu3r2nHrXf+ZLn0p130nP3pF/4fpybNmHZpgE + FXlOTIkChwBnoo44xsjeOQ4pKRtZ0lkVTDumSNQSIiECDAuwBlbDXri9+kJAQEZKLMQKqIEVbOBk + HZwys/bw693XXv0dP/Smvznb262siRHM5Bx3SckTHBLUCLqR6I/zaDaCkgiJ0Vgz4qYaQ2+skY14 + cCk2q79cfvk9d/8eNVM89kXMlBAP7/0wDPmKR74qYibJ1Gr7yKffa2HfmFJCVUEkGhI5JIMLLkLJ + O1UEbV774tdfTdfuxN1KmMj6tDKv5skIZMwKr3BmzoyNJzfqCzdFGb2Ic5UdG7OBTAmJKXknpl1g + rakOcVYNJ2678c7j4cpaZl5riWBGShAB5bhlmkoFc7WIgQ1mBmMHMIEIkuvaHMxg3j75F3/6qD2s + LDJEMNtU9Xbxky8ubS5/5StjAPlqN7aoqbaYUMuj+Mt/8+/+1Ye/9r6+HswRTAJN/5WihxFDU9px + M78+/uqrv/+H3vxfLmRWqbDGZAN5J4RkakSOiex5vn5bKBS+Gbz32Xlv+7q6qnKoBtFcZAvQH/7h + H/3Mz/xcCE5VbXLhz2POZo0gTAtDdR22H9l22dp4rmJyzcq2q+VieKFQKBQKhUKhUCgUCoVC4Vnk + 4hWJFgqFwkWNZVMzHldtKcEDDWPRfKWePz4/bgHdGqIIRNw0R5c/Tv6MmGzx6GAdVsEKR4Z5kuND + f7JbXrs6/bKHH3nN44+/5tRjr3r04T+9883vuePO99/5XR9469s/9La3v//O73rPHXf+6Z1vftWj + D7/m1GOvefzxlz/0yCtO712zWp4Y2lrEK3ijHSCAQKRMaqxGqpRLhbAU0aY+VTWPvuRa7JyY3PcY + GytbTnA6uhIerT8VfQ+R4NFJN6iZIQSK8eAp38jKTQEEkRcR/e6/9wNolwMiEamh6/oL7qA9mqwd + KCeyf24AnADkwAyCuBxrjU76oy6ri6KqMLQrAi12d9NydYUxYgJS2y9N1VzedAbR5+b87sAecEAi + yKQasQgEYBZwxWX37xx/ePfE4AiEKOaIAOTwGSKqm6aXpzHsJWTvXQKARuTqZX/j6RUe/fpOWrmY + MDqQolN0Z6uvvjEKYzCDYzeAkFoZUnJwMQkBeOI0lssr29Wx2EuX6tn4X6zstt+JEGaUFMbwlY8G + rerHmR7ZaX7ove/GvMEQGVMJmRHxaCi9vfXPo8ZPoT16SFfPa5WeAJCvHZZruKzzADA5F2e2LFwn + RQjgFCFGP3Rw0QE67sAMKXLtQqFQKBQKhUKhUCg8I7z3IrJer7OhRi4GHoZhE968EcUul8v8c37m + RomLyXSmUCi8wGHmvu+zQU8u+lLVnEttZn3fZx8c59z5OVY0TSMim5EqD0ciku9TSt777CQVQsgG + FgDyg9vJ1s/eJy4UCoVCoVAofEtjyNZLBrXtRWFlgOB57dnOd37ZO4/ZDM0CVAOUnSJGicDBKzLA + m3DoI61JU9Y5CMNx56F0sKq/Cc0lwAhKY2IlH/53gBU+UoCr4AOSYmcXQ4rDUHnPGJODD/6BIYQ0 + PRZy7vUUD7kVeqoMnSxsIDk009dwTuuGyHn2YOrsaF8ZyJRNsfmiMaXuHd46W2oQO/sj5+eP91tZ + q3RWouczI7E7HZpTi91f+9KXUDF8lYiNJyUIbzWSlJCznOE2bRzdfhSk2Oq97V9EoI4xc++6/7N7 + VfDOr3ojGncYngI7ORtYMDaf5aAT8v5Nrgm+V0hMHuJi/yO33oyUMG2Cw59d1ZIkRXZGASH2UKv7 + zpmALIcBK0GmzHKBzhD6rp/5MKNqQGo9gyybWfCmw23TKvB2M0kP2mDP+D5vhWQehmGAh+zU65Sq + 2UyYNtHRTs/qkNGSQ6fcUwF6gQHMcIZ5SrMkgA52PoIMZ2BjMriDpNhpUKGDFPGDo0rRDpG9U9UE + G7h+TNOvfvrjWCw0qgOcAwySVFKa9g7lLTHLpl836a3Txb7DLdukVJ71b6OQTJ8V2+tnHQKJCsxi + jDOq9qPlMn+eDvDNp2RgxlXtnBAGQw8c844feeLv33I79jsMQqoBwlCfByYDGWI/xH5IKeXXWbfr + b6Bbs1ElOA4cPsDVzEFgRqgrsMcQwUBTzZ7udZ5zhPhRsV+49z4EF10FX8NGrzEHTO43ifL+cw4D + tadBwQIHdr/86U8tNUbTOlBUNGG8nkxTiPW4u9rBIxmenJLGZzzJ5rtc6Hn2Md5E1R6csg5v/Txg + nhck5BEq4QDHyLZKpuOb0TTnUQD+KU/XT9dwQARY7ECp64bZfIfgB+igomSYZj78JBXrwciZTxCm + XrMK7yIc/17A5MM/+JTEwMQuGQQQiWyCbk0pYr3E3pl33vSK/+nlN750tXrxur28092oM4EzGEEY + kfhgwmVMdjDRsC3nLyEI4SzvrYs20xqTddk4h6FDt83cbGz1ZEPmDAwFqbPUSDw+tFd17bX7q1+6 + 9bX/9HvegSf2AINEiM4bh5yZPbRg5boCTPpEgLNx2r85XLMDZyzHT6FQKBQKhUKhUCgUCoVCoVAo + FArPmEPqha3FCILVji/bPfau/+XnP/RH73vH294+hluLWBIYYKaqddPEGFNK7sLX9YTKj34ohve8 + 772/9Vu/FWM0wAWfgy1zvUDf9ymlTVHSJUpePVQaHT+21z4q5733ZCBR6Ydjs8W7/83v/t3/9D/J + ioXApGLeEYA0CLvzWdZWUzUAiFE++clPErE8VaTYc0YuzcjblIiGYXh+6zIOIiWYc8XKWeHTF4KN + 5VSuT8nvmHvDOeecW61W+Ql931/oxjwNuXO2WwtAVTc1Nds/PL/71VNS6hYLhULf9yGEPK9IKeVB + dVOfCEBEhmGoqqpkIRcKzylGkzgdMfUhuG7oXV0tY4tGPvmFj7R8WlzCJASlLM0yJsPQp92dmUQg + 4uqdG1557W3egp1XifGFRCf5mHk1r0zGRlBWNEaVakpz2rn2xI3XXv5yh/o8plESlZGjRkUVAuzF + U1879RePrx5axX3MaS0dwTVV45yL28adhYseJZiJaqqqapBhTXv3PfTZr5768uAjSJ1yli1OWv8D + 4eJTvJSOM2FmIoKZqZoJXEKd5lfPr3/5Va8aVkMTKli+OMBkBChIQLJVmHFwiCmpktKYTA8iGlKE + 0sLv3HDyxmN0+QLHPCoAznFds6oOQ2TGuc+0W/nWB+JuVoADddZ+8r6PSz30WJundds6Dt9kD1+K + GAFQkFouCzKwceAgEcE1nkO77AAjtmRrXuhSnljbkioksvnuzmNPnPLEoMgUQT0o5UxbWAWriwX9 + tzC5ogXGBGMkkCinLIEGE6BQc+QbNz/OJ191xe3ff/sP6hl3otml6IZOd+ahj5JUXTVe0VIaB4ZN + 9rMBSnrIi9fAiqDeqQcgrIPTwQ+9W4vr7aKPtc6YWUophJAvFhnEeTJvNLc//9KnTw8PD66Pao7J + DBRABCaYISVxgddrqbnmrnrdK+64orrKiwPANVnDPckAFWIjBpgNrHm2c8EvjikgxPlmxMJQsBAb + 2IjGcWYcYRxLCFK/8dv/CrV1RY1TkCIEeIftaZdNlz0Pdx9vCkU33tHqZY9Of+y+j3S0gldfXUrz + k/ylUidERERMoCsEqwFI6FbVIx/4zO988HPviYvWGhqSOeLGh3zpr+vMBQqOG7dwy8VNJ9/wN97+ + o2F5gmKg6bSb88GFYLla0XSrFqpQKFxirNfr3d1d732+fp7vQwjOua7rmDmEcPfdd//0T/+0CGKU + c83HQnAxivcMoO9j01QAYpS6rodhAEBE2SCr67rn7uMVCoVCoVAoFAqFQqFQKBRekJQ1xUKhUDgv + CEacl2MJkmAJagw01Q/827vuP3nFHvHMITg3mHVtN0CPtHZspMImLEY65kxnp0UFq4OFRD6Rz56u + xgChdqgcM+xkcNc8/Pjtp/ZufvSRmx975FWPPnLzo4/cfmrvmocfPxkcwyrHtYPygfksQATH6liZ + piXhg0/KOdna7SxOPND3D80XP/Se96KeIVQ6RIBB7JE8ImBG6BkdQY60Vi6GPmKI2rezee0cCZEK + 6qqansFAXoOfmvUUpzCrVI8t2yv6hIodQKbOsGhqSf0FX7rfUlg4wAOVwQmgQBSQxjQkBiiBUjU/ + us0oQRMCOQYv90+/qG4uO9P9/lu/B0hN46ka3Xmr7B58RJvm84JgcAKvUCDCeniBJw8okgdm1fd/ + 9KMfv+KK5c7uOiJUAeSiWQS4rpOZdF19UE6mRqqsG/VJLpcjO3DBdoaTrfzbt74DX/s6SScAG7wH + MXDkRfi8+wsiz6oKBD93M+9NhxAIqxVOt+++9Q07GlGRAKkFG1h5zKeeDhAj7Le2LwgesFChfnzA + V47N3vAnH8AVxxE8ZnNncBFhU5/DAEajYQC59UfdOcfGe3T9ipk9Vyn23nBiXnnhILypOdwYCAtD + +Cm0IB40Z0Nc1thnaAKDHdwLUcZXKBQKhUKhUCgUCoXzwzk3n89jjMzsnOv7vqqq7K/Rti0mD4ud + nR1VzcXeKSWeyAUez+9HKBQKFwl1XefanqqqAOSE6WxKVde19z77y5xfrHXXdc45InLOZe+JHGWd + 7/MPOUh7uVzmX7OsX0Ry+VCxqygUCoVCoVAoPFMOe5EeSnXNk1nPvSehnId35JdPzAh1zwHsoVxD + AyIQjdNBYOeUKHkQePukIM+nXKce/yVLHQiREfnQR9j8o9Ch1WedYvDyzz0hMf+dm2/DwGgWUMW8 + 9lXVxx6Qg/YQjJCAdPCeIANNbaCcjmmAwm2SAQmC8b+QFOo0SjI9oz18qJzTo6zB85h4qget2jL5 + fUpt5ZZ25UldaWOu7Xmz9v7zjn7+vntRZaMLEFMCxGEgJIYxjDebKgKRRHOnZsHIKA+YfA081ENd + bqoHPIKDwaXZHPPw9WPNg4TQ1BRz6LoevPwUmZx7YvzUBNDYLfuW2pjmjSNC8K4iOSaCFPFU39oM + YOdc4L5NjuCgEEGMbn/pVUdxiAEGIfSgPn942IJ9SrG1vq7nZgZ2o8VRDi5VgxnMshPwaGVmm3uz + bEX4jG8G9JJ8JUBExSBt+84ptO2aiFnCTFDrmL+4OVYABEOwMfZyxWgZ3vsKCAl1QpRYV4whBjq6 + TeomP/JJWe82eYJsjD/YkH2GGgdHtkaqFovTAavdBeoZ+sSu0sGQQID3PNm2KlRJ1Yk6VZpGjnHw + sIMoegMSYSCknHaZ333aLc3BHGwU/4yB6xdVbDABMIWp4wAXzHNncebQP8kdhaYY3lb7XmRl0IBF + AIb0smbn8idWP/Vtt2C/x7p1/VBZ5NhDxrTzUFWhqoIPwzAQ0DRNknNc/6RRASdThxtUwECAeUY4 + bYhM6wQXkIDlenXhOuc8GBy3xxdoKviZGcO5oe8AQMxbqsaAVIPp2SeSLZ7ufAQAjD6hqaTJR495 + oI1jf+ad07ZzrA08PZJf2U2nWjv0uocM0QrPPvlsuL1xKYdbT4o5O5/BYTwzi3PwAjYGVKECTsaT + OleBMZ336JMsB4DRxct2jrd910MEgM/6RjUyI5DBjY0h5F1u+u/N9MOPpycYzp4WFp4vDNyKCXkf + 6rxFhhQFcA5YnYEmnFn+2ve84xde/sqb2tWx/SdexINXOEUlCAKvYIMSlFVZAQ2itWowBbQnbQmR + D0VB51vmYJ4zzjAvOs8pI0RCJBjBGYJwnbgS9soAK3F0PDBH8gZP5smYDaCDyaExIiemfr7cGz77 + uf/5ltux12N/HxopCg1RkKgOrfaJxNhc7WGgqKTwikpRGaqLb+ZQKBQKhUKhUCgUCoVCoVAoFAqF + wkXFJB84+zapJc6+mWrjHUl6zc2v+r9/6zf/n9/8zVtecZMHHOAY3nmYiUZfObAlGY7enrNvmbMX + Cqdf4pB8CGYQgJn/wc/9zD0f+0SfJIkoLNSVmIqI974OlaaLLi73/FCMoTUGzktIfYq5AkuTHNvZ + TV3Par/0C+/6qZ94Zx1Y1RgQMecIgMlZy438tOvdDDCmxOgsynrPH/2hr8JzYT9zDnIRR06CyeVj + ucrs+SJXhZhZjimaz+fM3DTNc/DWOWNVVXPCd1VVmxoZM1ssFgBUtWma5zEuOsa4aSGmwO/8a77P + bWPmXJvzfLXzXJS6xUKhsIn5UVXv/Ww2E5EQAgDn3DAMua4wpZRzgJ7v9hYK39oomeZwXIWH8aj8 + NiHvxMycWZ1O9Q9/6osfHcLKKLGBjJ2OX3XIkKe4qrABtdSvf8V3nqyvTYO6wErn/Ir03N+UVFwv + rjPujQfj3rgTFwcfB9+2tB40Oq7n9cmbX/4mSg2BjigsZElGcOSgBiZUFRIPp4dHPv65j6RZJ7UJ + KcA6qKpyOLpevfC84j1HjS74nrqhPvPRz33g1HBKnLIpGVgrjArG/PSn9F8FcHaSdP7VG1dShb65 + 45bvPhZONj4k6Z1zUGIjMiiZ5vjkrfRZe5KWj42hYGaBKMxTffn8qtfc8Hq3CiweAhEjcqpQhfdP + /rKg2Wh0lPsabxJVx3fMjzt89fEvfuXxL6Qqio++cudnKXBJM3YF2XZVBxlInSXK/exrR8Ei2iGs + VtVD3fwxOtGvaBlJTy2Xu8cud1UNAEhMAygCQoax3qPwrcyYWOxMnYnyYBQTqwFswVswRFDKTsHX + Ni9/yyt/4JZr3shtk87Y8fpYGsQUoaJhmK7pGQA+CLa3SR1NagzLeekGp8zKXpmUAQgjOSSfEvfG + F+NX8u2zeBZ9M1mKPXsCm0LAZqQD+hhWH/v8h88Mj5uDKTM1YiACEVJSZihBjRyzH+qXnLjuhpfe + CGivw8BD74dYa8spBpfIRQoGp3DAOPxmLuQUxSfUCXUil4gSUWJOFCLVEXVEHSkIsRCUBJRY8bpX + vnHHToRUB8By9SexTV+b9PDJZ/MRpj2EN5eIGR6MNe997EsfOaOntE6gi3FPeBry9bp8+StfYqqo + nslihkWb1nG3fe/nfvv3Pv6vZKH7KXVDWiwqGdJ62dchVJVHhV41xui6+qbd1/+9d/zUfH18h3c9 + LwY10MAYsgm8sShHXCIB8IVC4Vxki6q2bb33IQRmHiS1Q49cWKry0Xvu+bH/5p3rNhqwWcR58lpP + jBKCS0lzzW9KSkQA+r43s77vATjnvPdN0xQLrEKhUCgUCoVCoVAoFAqFwgWl2HIVCoXC+TBpPRiA + QQ+MSivCiZ23vf99DzXzVcWDkKOKvAvg4PmZAAAgAElEQVSzcCTbXAAg1UNus7mah7M97GgTzBCG + 5mxtAVR7pNVq75q6vrIfrujaF3XLk/3yiq69sh+uqevVaq9HgmqSyfj3SfK2Q8G30w9GaqBTUR+7 + /LLv+tAHEDwWMzBmVciegw7moAZEcDoP0zUFkv2L737blTuLvXWfzFIy53zXD6znKqqhHMK9EVgZ + qbN0gjjs7SPGGolSNAEBlX9OYnqnrszGrKM5Z5/gCKa+9goBE0St7Y7qm0gEUUQTP5vN4YZ2eRL0 + omRoO+r2YaoKj9H/+cLDQLakza6+qqMRqAMMAdGAusKJ4//B3Xd91QdeLE4PsdMUmrkDpO890aal + fG6fyqyJ0HHVHceGdOOp7oNv/l48capanvEGi/CAIR5pQkOAh6a29W70MW3bPdK+ThGrFU6fvvu7 + 3nobV6Fd9yktZtjeffJRowRhCGE2w/E5A/Xpvl3zrLvyxZ9bNDixAwJmCxDZIN6BopmR5exxHj+O + kcrUm0caHsgwM6Bt5zWtNW00Z8N6GPcrAx3IZYA8XpEpbx2ao7WrHfMO7dLFzkMGS6JSrAELhUKh + UCgUCoXCtwBWeE4QESKq67qqqrqumXlnZycn0VZVdeLEiVzanUvBs1h/GIYQgqqKiJllEf/zvb8U + CoWLAjMDkJ16ABCRmXnv67reWEXk50yxW0fAubF4VVWzCQWmyOocaL0ZlLJXhfe+qqoY48aCh4hi + fFLOVaFQKBQKhUKh8I1RbEQUxPkmxEYHy7dHWqE1IpBPOUOaGGYOCqjCjHTzWvRk19ijN3uMy53a + yIcDm22r8UajfGVasgYbjnONQREj6qBECdSE+kDysv1OB84FkyJnO6f0wOLWzvo4SQXOxeA7TXN2 + /dCZ6FH1GFvPz+6uB3mcW0Ywh1bbMX3qp+jgKWZ1u3+eOb3jX//qVzCfgR2qmUgCdLMlBYiEOGpD + 7KBh05sJDm6HG6UCFVKZrCXWIDSzX/z85x5uwjrZzDeWQzjPyul+qg+QH5v5IECMIoYu9db3x9QQ + 09l+SKOwygDt+34280hAFAA/ffvrrgyhUnVbfhZZejQACjCIs52x833f+jZi1WO99uslrVZYL9Gu + 0O5jvcJ6hdWKly2vWlq3tF7ResXrFa9WtMp/bZ/JPa1W9dDjzB6WLfbW6OOu0bFmHkABcE/qhLN8 + mRmjniQyDUkANEADLIAZMThois+WImNMQd5qBxlNHQgVMGPHV4+szvSz6lf+7NNQRbMAO2ZyDIvo + +3iwqQ43K0u1HEB68CFta7+i6WmbxuS9LkJl+2ixi+26HztiR75drwG0ElsYmNzWx896mw0OXNfN + rMZqgBgGYNmevpL9dUY/+203/ciVL8GqxbL1InAKE5hBbVitYVaFyswc8TltfDf+PgCPxykMgOMT + N9ywRPAh7He2u0AbcWKx49zFJegRwp4JyLL0ScDVzhzZp2dEgSnTms4dYX0ORj1V3cCxNqEXayNm + Fe/4bG44PkEJkqVlh0PfMe2ihwzTDx4u6vkLwzPb0Hx0vdwB6rOl2iiYY50EfdNL06FJxBFeGEAc + MG9Wse+hCQam4JwzuGlYGHWqh15fGabTlICgzvTJs6+jh2wXnmVcqNqkAhDBhrhwrupWaDsIYb/9 + 76+5vv30Z65YrXZW3TUzaCcuG7FN6tZRh0nTDk7IsepGSIzE0xyYDt0yG5fVg9nOxTWcH8rhJoOb + bmQgYxhvrGKVcgew0HgU5H1bCcYgYME669cn9lc//+pbf/y2N6BtsdxjSiQ9wzw7wAYdjBQqcHww + hxCQIgdplPG5UCgUCoVCoVAoFAqFQqFQKBQKhWcFTampa8dMopT0+7/3r37wvX/0D3/m5150/AQp + LCVPLg1RVYnIHV2ff1SIOcUIwLFLqga887/9ya7vjckAMc21Azku91KPraKzVhhpvDdCLrli5rqu + zzxxejGbmyir/dzP/Ozf+ht/k4HKOQaYiJ6USfY0PMUTjQDcffefADCm52uJKpeBpJRSSt77HDI6 + DMPzVQcHgJm7rlPVEEJKyTnXdd2F7ocQQq7Cy30SQhiGIaVUVdWmUGUYBiLKTXq++ifvnLnNOYcb + U91NLiHMf8pxsCml56ud56LULRYKBTOrqgpALhgUkU05Yd/3uWawUCg8N9BTTmVJnQtJDN63qfU7 + 8TNfuuex/iGrUhYvMZBVWxkD2FO7jjXh8vDiN950h5wxj4qINjmUF8MNQE4FnhStCow5wYkkyuAD + M7zs8WtvfMPl4epGdtmO9hXMERHnKQ8AMLPzgmq4594/6fxyLSt15JwTkZSSC2X+cynBUCIixpm4 + dMfpi49/9nMPfjrVUTiBdFLbsm3J/M5FlppvdhQiMJOnyvfNNTs3vvEVf0X3yTmnmkzJu4on2fzW + F0Y+sKU1hrGRjkrxLCkkQjBz0Giyxlte+/ZZ2nXJOxdUxu8OzjHR0zRUc6Z1LoXIbbAc5ykGp6nu + P3rvn2CeVmlZN0HtEktC/WYxHqXYRsipwaQMBZCShlCr2JD6MPdr2U9Vr4v20w9++P/8/V/9zMP3 + DDtL2Ympkh79qu/k8A5jpOclry5ccjAZcy7kIVEe63tYvKMAQJGSpdgm38+uql/6Q2/5j+fx8itn + 16Q9q7RZVCzRcGhfOWQEzVt/Ucr7KAPsTNly6jEbIIel1Bc/+YKkmYnGUDk4ipqE+6+d+eIXH/vz + yJ06gJwZmUIVTLCI4BCYY5Tj88v8qvmOm++suWn7wQDiMIiKYlDAByGfc6YBz+rJPBtPTtoXcH4C + YDyNkIEsD7a5JQY/eSIrIzH1zuyqxdU3XX0Lt67meR4zTLBdD7UZvQkA6cFtGwOAZEnq9Mj6wfse + /dxQrbthXVfVpVJSoar5i2R2mNmcWCsf+rRKi+WH7/+j37/nX/fz1ip13iWFqopgZ6dhlf296CvU + vuahuXr+sv/8B3+8Wu7u2sIlt9xbzeaLfNSw5cKXfLA8qRsLhcIlhfe+7/t8NlmtVqraNM1isVit + Vkz+q1994J3vfOdyuXaOmNmeduEnxnFJJd/ni9tmpqp1Xecf8tXvp51yFwqFQqFQKBQKhUKhUCgU + Ct8sF1xWXigUCt/ajBIvEAAHBTOaCieOP3LVtdVXH3hpRTsqyzQ4keYoF/yzNRgIwjDAaw7BzS5p + ylBWCJsQQHAKMjBBCcyYe8S+dww4xFGOBNUkKc1rpAQ1MCGOS8IMApsBotPyf3ZhG416NxHa4P1m + cd/l9RuONTix26rCgUAB5AAYEXEPMiCch7OYKNrhaiNa7R+bU7u22jMMO2GusbfDsgQjhTkCA8rT + NrAscyAxWR/DLs50CNHPduABqIqwu/BKu+2M8I1zbe3Bakw9EoOxajHYiQh/xEoqUYSGh067dq+p + Z4thSN16pwKWA2bH4UWNHLvscgp5DhbtJyvn0ZKPa2MYhJKBPZCUfPBY7D6wc2LWP3b9ZZfr3ukh + DlVV90Pv2FeMFKPbCNpoUyylkyGgZdfRbDzJjKAyP9Pe4hZ3veZ1b777j/ES5xcN2qVvahAdYVZj + QEwzP0cEAoTQzCtoRN/i8dXdb/u+l+2fmS/3KscqGBSkcATKnslZUTHtkZoQo86ZCLunjx3/LOFv + 3/NRHJ8hHBsQbFjXoYECRM6HDhaIPBSiIAixgitM5q3PHDGsOwxiq9V8wWmVPHtYquoqDYLJ9/OQ + tPfQLzz1MwDgzOl//T1/9Yc/fBeOzZ2v2FHsU6jLFLFQKBQKhUKhUChc2pwzKaTwbJMLvJ1zG/uM + /Hj29ci+G977rJRV1aqqcsp1CCHGmC05CoVCAYCI5LxqVWXmGGNW1QOo67rvewBEtIm4PuqL56qh + PPjkBzdyfxHJD2bbmvx413VN0wDIDkrDMGRji0KhUCgUCoVC4RtAh350UxCsEBwDzEAOh5syGI+a + wayAan5ZJiUlGBODN/XrNLrGfMNXfupl6hyvygZoXmfWSQQyBdmZPvm1DUYwhhnIpLY0SwnrFQjw + DkAviZzPqdE5OXnz7jytXo+eO9spwTkwm3JPGnJOpKEiMKE3bWYNVst/9mefeNe33dSt2jl7U3mK + 5j19P5huv92mDYyzXBy2/moAj1uWoI744C11yjY+r8DO6BgEVDmzU53nzhIR5d0HQL7ykuU8Dgxj + 5Dhz2lbF8EYzYGPWtQJjUqAh92FjIKrlf/3SF/+3l7+63Xui3kqeBSBZvHS4edtZ3V2KoXaapHJw + CiNcYYz1gIVOPTFqn3T8jevK5wRDKGGITdfacq+GI6jZ2NtKSAQyeKBHrAxN4GWMVe2vJP+/v/q2 + VqKQThHSwCZPEWNavFFOYx637GjIMm7Pb3BPRki+qqpV6ppAvu0ui3GA1JOoRXjUdPHhPWRbA0QG + BnxVy9CtgAUQgLDu0aewmB95n5g8RbZizMcIyCxf4UncxQCBeljeg7peBFLP69OeECq4OeCXfVs1 + M6cgWFWHZOon8dhkKDMNIJt0+bxPuFHn5ACfpUJbhyoTDh93F7MbGsPA5EHSHD+uj3VRzPF0kGye + ZOMmJ3Zt3/mAGSMBi52aVsliO4vd1aCrHP3Sjd/+9+/5U7zoMszFmrl1kUNTzeZQhSMTTaYhhHMO + SsYwOAIIw9SH3uNH3/eeX77lZiwfqxl9Cw+sV0vv6gvdO0dE68ojdhByvtnv17v13DnCkDDnbDo2 + jts0jkXuHAPjuR4MyOOtnY7tZbXzraRBIxA2qbK50whm2AjQst4sR9ICajiIZieaYuDpaGeKwjNh + +xyx/SBtts0365hhgIIYxhttLfJ+lcWjdHB2Po8lIgZQN0j9E9pfM6/dUoPn/X5YgACkSVgLQKYz + B02aR9uSFLIBpiBVkJtsoMr+9ryTolaBV3HYCc47oG/RRrT9P3zVqxft+qUpHa+8q3jZSWV5ruIB + J1AjARQEr9N0ERBG2jrxHZz2trY0TVOUsxxaL0KPto1H2NTUcW7OgJrSwRM4/yCE6ABCkIPPnr+K + EGNRVRQH29+/at3++Iuv/dUH7sM6uvkcaa0hEAdiLzAjCzx9U9qaVzjib3qsKBQKhUKhUCgUCoVC + oVAoFAqFQuGFxblWH5LKar3vXDCzncV8tVp5wjv/6//qP/rhv/ZPfvVXf+Nf/ktTabzrk7AjiUeP + iTpkAXOuxh080wQAO4KoBHaicv9Xv/JP/vmv/ez/8A+g2nbdrK6D4/V6DbOmadJzYZlyASHjLMDY + ZFpncr3bmf29pmmOnzy5On06sAPBGX7x5//x/V+4756Pf4wATcoMG9e8vyFbOo0xNUgNZsD9X/zi + qdOnj80XR9aoPUvkaOT8qbcryzY1Hc8xNiVbbx6JMeb8vwv6vjHGXE+XK+xSSrPZrOu67fCJ/ITc + mOcrkWJTYrPJ7MktyTU+qpqbl9O4nXMXWwJ9jrMtdYuFwguZPN7mn3OVYq53Zua6HtV3m2G2FKEX + Cs8FtjVTpaQAoxpS8pUTXq/s4Y/d+0GZDx3HKXJxmkWz5kcU7OAr2XnlNbddVV/tu1lTzWIbHSM8 + bRjYc4mOyncvCGwwYhicMuCJlNl5cohaa/XSE9e/4do3ffDex9pFHPwz/xam3nuBmFmu5YiixIAb + Ho9f+8zXPnnb1XeomHPElUuUYoy+aLAuKTQl9tZqN/gz7/vkH+y7x1OIRDJaXmZFn2Wpqhrx4dqF + A5hZRFTBPE7pVQ2J/HrnzW98244dn/E89rGqKo1auZDn/0ak8ICSsYHJoKwAwlTfAVJo3qdY8w7u + bZCERDe86Ntuvf72D3/tfX5ewVg1cWBVHYZEh2XD24er0XSY63SkUzJihjOzFnv3/uW/e6x/6IR/ + STusK9eYXFzfOy40pH6qiJEsWQXAxgo458R4kCiWlthrjoUvn773t+/+zeT6+37n8295wzvufM07 + ju+eHHoQHJtzFnQzVJICUYnZXlj9+YKCjWHMYJgqwygZMRnIHCKcD0KqADnLUmBO86sXN/7oD77z + 1/+vf3rimHuifdg7GgRVPe19YEW+hqJKCigrNlcsDFAwE5ON4etQBrMQ8jBFF8uJ+oBN+dVZmIn3 + bBAV5eDFkpH6mX3ic3efsgeTJwHY+agCB1VUBEf5U5oj1o5fXF3z+pd9hzdPxpWfkZIOxt4RjByz + 5lzpMcramcLUyEDDhesmhRJ8rurjg5IvgjHAUzGa0qiL10pVY3PHq77z81//RJSlC1CCqlYuWBIl + PSiDNYaBoYaDC0P54icr2GAmCgPTwO2f3n/3K6+7paIT7tLJbTazTdJ5jo8lInBseW8dHvvUw+// + f+/6DTlm5MIwrFzDzdy3faoaIpZuP+42Ifj53sPDrSdv+9vf+/dm7e7cFsGcSJrN62FI0AWQwD0D + YiGXuV18h0uhUDgC+VpTVVV5ESSEsGw7AFdc/qL77rvvR37kR06desI5EjHi7YtRZ4+LzDADQMys + CiIS0Txp3ywZbK9uFAqFQqFQKBQKhUKhUCgUCheOch2qUCgUzofRxXdyAx7FM6YgoAmoqu/7g3d/ + 6eTJrzptG3aO68rxeSwVbry6bFuflz17haCbxVk2kENSqEI1ywDgPUuCJHjP2VA0/zVlCYAxGbPB + SJVVWY1G+1ObPJRHo15DIl7uzB9cVH/r/R/CscsEkQMz2HKwNJA7w4F5irU+mqhNFYMca/taEgZz + BCYaUmzjessAcPzwG7dWAGQHb5Tra4zTXOLvvuMHsZ8wSEoKgPk5sqLceLqOTSYgRTgMpASGJqBC + h8t7C0esfQg1DZ0G8o2r+r7tTUKF40S//fbvxzJhbxW8gyIOaXSFvpCqwlHlRFljBgb7bAmpYEKf + 2kBwjlIgePfX7rrrwStOfmm5h6ZZSmqHofaVSISdXSK3dYwcuN3q5h0JAI67uj71xM3d8NG3fy/O + nMLjj8KAKOdQ2Z0LhqsARkAfMcDICGf2cXrvfXe86YYnHp6tT+/UzqkEcqYI1ai52Ox1m3xrBnnH + ydftics/4/Hvf+Qu7O60brZvLEBdV4CgX2H0F6Y0GuHmKrDpswFH2z+TYm2/+33/4WXed2sFI2kS + Q+wHIRZifdLWZwOb8mTSatMY5l0Iq+6mdsDpNdohB6L7kmldKBQKhUKhUCgULn2s8JwAIKvws8tD + CCGLX5m56zpMpd0iMp/Ps4fFJhc2W5AQUa4PLxQKL3ByprWZ5R9EJLtFOOeIqO/7/NdsfGNHLzjP + hUPe++xPkUctZu77Pg9oIYRsrJNSMjMims1mRLRcLvNQ9nwZAxUKhUKhUCgULkkOTx6zrMKQtRQM + IwKM+HwdEw2mfhMCPb7fQRj1oWawYjJ1OgI5xdrM2agcyWvQDgdVszplNwJQOJ1carwiGBzEWVxU + Dn0LU0CDCwQikIjJRlaB0cOJt3Umm/BLHmNQdfrcwCTWMXjDPAc5L2rMQke6aKqo6fwL+WnMpBzf + yyYvrFG7syVKmFbes0+MbL1C/oMzBVTPS6iiAJqQmMBsSQAXqDIV3t7M41sxEEAMBlhBalCaOnOz + pSb1Cm/2AmKkmDxYzaGZo672RKtmNzHi1OERJCBnYN184gNyA5x3QxQTmKAKzkT0iTM/+4Y3PZV+ + wwwqGkHEBCQgJRD7rt8lR2Mo7fheU5uNYA4QwmBaVYh9qrphvrf3kvX6pavuuvX6mnX3kul2zbq7 + ftW9dLm+brW+brW+drW+drW+btVdv+quW62vWa+vWy2fyf11q9XLY3d8/+EXt6vLl8uTMR5nzOuK + 3EF2qY6aLUxx6GyAgISQXYbzbRg6gqs9OiAA1vcwj4EPlFbPkNwXW/uiTPmpRtjIpiYNG0dgvjPL + dtNVRUOMfR+hWdpDoZkZYDHCEQAiHgUwo3nI+JEObexxGNHpuNcxX5u22zjueGEaKA4dzhcTJmqq + ddMA9uje6UhEjHMZ2BJAag7oIxpPQ8LpZQ8TZ0Njw8Lay1N7bP/0P7vjjl95y1vRDjT07D36AQYk + QUzsXHDf6OInAaqwxONvCgIqftASN83Mc2DUjOC94OJy2mX8/+y9e5Asx3Xm951zMqu6e2buA0+B + LwAEQBBcEIAIPkBRIEWKFCWHHJbttVe70nodXq9XYfoPh73h9XrltSWtHNqwZctyWJJX2l0rbMuh + FUO2LNmiRBEkSEKk+AQokiIJASABEI/7mMedflRVZp7jP7Kqp2fuBYm5wMW9APIXHT09NT3VWa+s + 6srvfB8qVliEwKCTepIMYGDkDGzIuaS5jzqksCtj0ABAYFof26DBRfRI5XRoABvZSme7POEOyqi9 + P2nfaRfN/IXChpW8PI/vk74OAeRnq9qe/fwTEFlXL4D20sytz8HNPaQcXr9KgACpa1HTP/vLr5wJ + TdQYu+5K513fE+8tyzmXYpioBC2uQpcaBEw8c4xHHHNo0bbY3P7ld7/nv735Da9YtJfF5pp6RF03 + b9KRNWk6tJqv8TRR795H+fyue5dGttzipmy6vIDF8prNsgFZf/HWd1C07yr6UoZMyVQMYio29OW0 + 1Jn3aloaDnU2sKFNYLKx976bX9Z1t0zG/8UNr/3AG96A3QXUcSRqO7IUU0fCcbl2nofY+0KhUCgU + CoVCoVAoFAqFQqFQKBQKB6lGfjQZmyXvZXfnjBe3Vo/F7DXXvPK/+dmf+9RHP/6ed75LY2JAk41H + 1eHmfl739olITYXFzJzzCvzTX//1P//Kl8V7V/lkFlIfPPkSkO6TYUis2RdPs2ibpmuPXXbczGZn + dpg5mtZ1HdvOi/z6//JPj24cyRoMKETkfAYfpR8/JaAJ3UMPPXQRcw5ysUYOcnbO5VzkrusuQgmc + mZkBqKpqGUjjvQfwwiQf509PKa2vr5vZYrGwIWM7h63mMObcmIu1flYLAwF47/N0IsoJ1vnY7Lou + B+ZdrHY+E6VusVAoVFUVQshR1iEEIjp27BjtZ2NjI9cM2iUTiFsovPTpRUcGQJM4GauRjPHgYw88 + ufOgVTEwUq+vynIiBWCkSkoCmJNu/c233O3nckQmqVGiSyuWng1kDBODU2IlBcWsp4KycBVjDCGs + VWvU+DtvfPs4HJN0uEsUswQ1AkRAWQSuII7YaD/5pY9YBSPqYmumEKg++8DswiWAsZkli/Xl/rMP + /tnXvv2lWC24Uu11emwUl8UsSpo4PpME0czMQIQhjBOqQHSvOn7dm256O6ZUmXfOtTF5P06dSU6i + NbYsxDUC2IiXDeOlNjhrto1SSp21KkZE42o97dC7bn+3kO+62LdWycxihMh3+B6qRmqkysP35aza + jzauRy1mW91TX/zqZ6t1jtaaXVr6+QsPA0ImbFlZqb3bMKn3vulahXLNyQfesJPNEx/61P9zmk8+ + TU9OR6c//MXf/xf/9y9/+fHPNrJtVZc4JYLBw7zl2wykQOkfXuJ4JTJS4uxVDWiWAZOBwTCXhdEk + rEIWqe6OXnfk5n/rfX8jbKUJT6yDdXAEZKW0MXpxPIw01yw57Z1481kvDRbWeV8lA2kf3oxLMtn6 + nKSURIQICUmRYuxEaB6nDzzy6cbvdIIIAZtZEmFmWMLYQSNCsFE17na7266984r6GlJHECRHwVc6 + qqz2xJQiKICCkSZWIyixvSCFLWx5B1AyE1OCLr27D1ijkzGp+G70ule94Zrjr9AGZCwgNk7JaKUe + aMU/mpclizaUiGaHc7BxRWaWOHzlyQcemz3iR6wxvYhu+OY7YNnWRkScc1bHnfrEg7P7/8+P/LO0 + MT0TNyMFdi4lEwgRxOHMmeAcrfmNxUm7/tit//rbf+JquXaNN1gRU+edG7sqtJGNAAdzMEfmyDj3 + 8xd7oQuFwnMi30JPKa2treU75+Px+PHHH//ABz7w+ONPpQjVfiwAzzz+pQrnhIjyiSk7+sWoZlje + nzezEEK5qVUoFAqFQqFQKBQKhUKhULjQFIuuQqFQOE/IsmMnExjL/lShTcRohKuu+tFP3fvkq449 + lGYyqa1NhxpWz868MIiyjyzKnD3Rsi8Yw7gf13eKrBVIER6oRWKAr6oQKXU0kWoiVeooRPJVFQNq + EQ+kaKLwqbcWzlanIBD6uSnBIICQClRmvn5wzd7+0d/H2hHISGqvukCKY0iWCxqxwTnlSrHn3frs + UUWX1ruELnYRlYfFVMGN66PnWDl9sjcrOEv3coNz8PBCcayqLnviDKYKAzlOMT6j/+jzCPVujGlp + W5yrhUY+wBpEwGoSBPuT7/vBY7upPmSLYmsVA2Yw1IzKYZFiXMyubxmbDaSGagqdr10kTENzQYeY + FAjQFppjrStFlbB0qVtzlXYBGgWKscPR9fd95I/16isWSGtH11pYtKQAVG2fNOHghyirEox657tI + CMxJjJFG0a6ZLe6583bsbGNzhuaQexwBIonMGFxBQFgwTjSffNvdb+im680Z8rodWhLxLterIDIi + Q1kJmg/GvLASSa06I+4bkt5//ydx1TqqdeaJkDCApLCIUQWyeZdocLXO7oZ7/qmH3VpRsRvXHj01 + bgMZuBL2AkC8D8xBVm0T+3nL0FEAUCIl5Aq4lOI1o8kVj5+6950/hEA1MYCmK0OUhUKhUCgUCoVC + oVB4VmQJflbhE1HXdasuFcycVbBmtlgsmDn/den3kUNqc5V4oVB4mZP7jWwPkXuVqqrMLOv1q6qK + MebMaRHJLjPn/UFd143H4/l8HmPMPkTLKOsQgnOOmfMUAOvr6zlX23tf+qtCoVAoFAqFwiFYhh/2 + fg1IQMyRiQafeJlKe1gYAJJAHRQKgEEMy9nQfSjj4O2iiTSSGvTgELA948MIHQGigHrV3nrAeJmO + bKC0b04cSJRElCrDBKiBhBQlptDAcR8xqckBUGIRBSwLS0yhClUHFSiglvNcpc+07oCYxRcGQEHa + MdpsXGWgACxiyt4ahFnTEeDc+X9fOITuwJYJqdpnMi83pSlZn1l4HjAUag4KAtU1wEhUc5V3Jkas + ECuLlakYJ7gIH4EIi1AFBHAG2WPwHQoAACAASURBVJ+BLIpKURkzOAFKVlXOOkN0MA/201pOo+sk + i4UQCAtGYAhQ799JbTC9ZcDMomJUkSec6dK6kytcJdMF9OCK7HULy1R0BZhgyYeushShEZa3cn5U + pjXgQQbIhOYRqcNxz2xhzD5rSPK+kwzJEA1qsMEMw5QsMVLv6mAGPOtnhU51Ma5IXfQjBVKnKXGc + JhPALR1YjBLyAwlIhCBQgjM4gyjIeCRjZtmN8BXmgBvXf+fmN2J+yGDinNJKyxDrYasOQddYSVfl + /q98YrqIwGSMpIaoR+t1QCDcwlp0AR3VAtbpbNrvucb5sHP5WFtGyDskp1EQGamXQVkOKc/tSQzj + Pu476+goMaWV3e/SU58QMzHHEGD6utveGBlBcwz8OaTUDETEY5OjNRA7W3NyRGQNuVuLEbqQZGLY + OY2HvvX3b3gjdhS7DaoKbQvv4RwGo+FnaA0iI/Wh7uaAClxl56lRfZqoFuc77SJaxdRirMn4EnIq + IdNmexMVI7YOiYbeL/RxsDlsnbLLWW+6ffZO8cznIxiEgBBRuac2Ty3arvYwYNrFZbJw3v0PZMQa + 0WrPtXxfWnrvXHp75kuDs4973f8AYMTnd35UQhR0TiGI+QrK4IxlkNLmn1heCNkhs6UNpJC6Rm1Y + l1iJZ96A0xhW/S8TrfbixMPp32jvQfmiJa+KYY28WDy5XrIY0mLhzLBosTXDzvSnb78jPPot35zp + 4q54Ot3OFZh4PjNLUBpNxq2PrQ/KEZSjnTkHNytYjXMIAdtw6s/qbuNsxbX3AsxgAh+4mjrvC8UL + iq7sxv11P8DQ/EzWH8pKCtI6oU7MxgYmY9I+vHssft4G01g7qShg89TNya4/Nf3Pbr4Tmx3misQc + UYtvEVtox0j9NVb/FSARYumnC4VCoVAoFAqFQqFQKBQKhUKhUDg3dODRJyYTzvloQteEhoTbtvWV + VCO/tbVVOZ+6rha58bpr/4/f/F//t3/xz29+7XUCdE33/A5frEppMlVV5fG1pCmahhgM2J5Nf+XX + fvXU5ulcXtR1XV3XOZDyeW3OCw0Z2CCDGc4SBVxV1ePxdD4fTcYkzE7AFGNk5tpXr7zmmp//uX9M + gAFOOIRhfHI5IPqsPj7re4iZAPz5V756HhK15wtmzhVnAHI5xkXM2M6fntOjvfcppRBCrii50Djn + Qgi5RmY6nWKIW/be54zttm3zxIsb6x5CUNUcWY0hEXY16HpZU9MncFxilLrFQqFgZt77LNgjorqu + m6YBkEsRc9+7WCxCCCJSEoAKhRcU6lWEyWqRSTIKOrv/a/dpPV3ownwvqVo+D18nlIhI+dqrbnnt + 1a+vOu+ChjaRjAxVgr9EHgrHiL1pKKlRUA6gDoDBRVAHDYwI0Vhfc+zGG1/1RrLDXYWqmRlyv0VE + IuQYTCn42cMnvvHE048DUIvBWrXgvSvJiC8uhJySPb71rU/ef28apzla8hGAgXW4B0AKGCtr4r2U + 6wMMLh/9azM4R+N67a473jXh4xM31jawr2JSptoikSlZVGKD6486I9iKDNu4v/cAAHCUS4JUKRCz + M6GFv/aqG2667nUppZQSEWmMAiLC8nvNdykpoN4FF4AFcwyqEHj+hb/4zObuKak4pWdc3pckZGBl + 7jWZGCTYuXZEk8VICTWiC1Pd/vSff+KBR+6fVl08gvlkPhudfnjrgd/+0K9+7PO/N8OJJK0RFJKT + rWFuuJP0MlqfLzfI4BKLIhFHZiMmwCmqpMKkqkZM5C2SqkYJAZEXVb04etu1d9595w9syDpanYwQ + 2oNzXpaxZMm0qINWCpeIE2kSEOcGaBYekzlJTpK71CIPVu9YZhfrJbnwh5lVI6CK9NUHHzg5fzT4 + NpAkeCM1jkbZQKkvdSQDEi7buOJ7b34LNyOLRMIagFR5rTjAIzE3kKnKvPPzzrWdi0FicCFITOQu + 3PWJwZFxvj/JQJa4A/09Rs2qeOJEPmGcbAxdozia8Podt97pUHESS5bzU/tV1JfBMpCl8v0t0FVE + HRubwMhgkArbdupzD35anCFeeD/wC0C+twngjG5/afdPf/1j/0NcX8zDTJzOu64eT1R92yYyJLX1 + DVSjevvE9Krqup94979/8/odV6SrtdNIrR9VTTNHp2vsBQmA2kRtwircG0SX/rlQeBGT7+pns6y2 + bZumOX78+NbW1n/4H33gy1/9BoDRqDKYmqbvdrM6jwrle+9dG/N1NRFEJN/jUlXvfXbHuvBLVigU + CoVCoVAoFAqFQqFQePlyaY3xFAqFwouGfRaKeWgW/SCrczDDuMbG+Ac/8oezqy/fnIWKxgbeC5pd + 9f86OOPsfSaAACJKPNgNE5RsX/lOtnMl9A5iREgx1U7arvPiYKrJNJkanFRt19VSpZiIhAHCnvtw + 9ttdwsvfzEWqpq4+OR4/csVluPwYRmO4Kmmq2NXiUujfqaurBctpCsTBP3YwIIbuczCMEfMFducf + e+8PHmuaiZPKIXQwg7AL7XRfu/KayLMmTTkbePhEJSRC5THf2rzZVx9913uwuS2LmSBAAPROhavJ + 03kMF9lwzZabVG3ppjj8S28yuD+xe29BepFCbxLtwQw2RmJ0BIONwFWYYbbA7vxadscS/Fk2vt8Z + IcAQkUAUFCGBHdbFXX6muec978fuHIuFEABVaFWNsH9V722W/ZOeq7yaenda2IpSIyp7T8SxayCE + 9TVcecUdH73nwaMbjyay0YTEZZNht2L6eE7rxuUBQkBevV1oa6Y6xcmZ7Vu65oF33v3Bd96NnV1M + G7QBFg2DBO3Atlv51cAJTpyj0PpuUe0s8OSJP33v+67b3jk2XawlOMB7SiFY13oCu9Wjtd8P2bIR + oW+q8cMb43d++uM4MsFkraXe49ct1zgDbJNKaO/Ci0HgfX6Fq7vWipnnvu2nUEWIWIQPv+e9N5KM + ImqPeZNSSiKcQqS9g3ffijzLvxWJkdjE+flifo0fvWoesLWDxYJSGFdGK2vs2R0vhUKhUCgUDke+ + yN9/tVMoFAqFwouPpVFFtlBZnZgzrVNKk8kkT8+1cM65/C9EdCk4khQKhUuE3HUQ0Wg0yt1FjDGX + rdZ1nZ2DABBRSmn567NnaYSUi8p2dnbG43EuozKz7JSUUsrWFTHGPCXr+HOudn7b87jIhUKhUCgU + CoWXBTR8WQawMiRMBtqvPTgMClNazo44D0DvfdCqq8IBlcOzo1dBAHSWHWoe68eefYMplk054Eib + 0+8SVAGC7s3rnDcCaFUUQliuoJV5co5+3Wdj4EcGhvfMzjMI1MXu0EKIvvKfcyjy6qdynjgEp642 + 14Y203KV7xcVHPyXZ92WHLCcR+S7VoUJhuzyQQDM9gKN++17Vs6trbTHhv1gqa5RBeAdiTAMEPeL + X/v6ZlV1vPwXMoIOv/HKTkSDkEABITbAoplhwggxcUwT5vxhPAyF9KomsIFD/jbnAA0/+fqbjoxG + MUsQaGUH6FesGsKYuJlZ5VEzLKjAoGG5SYj6hwAEomGL5FR06V/0z/TsngkQQtuZELpWHTACQqdj + 32/afm8gA5mRGRko7Wks0Ou9yLRJCxLzhHmHQGi7bkN4EF0cmqwZy0ovzRNI8y7bG1gM+584VzEm + NeYLCGEyGp3ZmfZrlHyFSvKWIayvrdNyt1hVpwxjWEu5iA6yoOUKWLVHsrPnMHARbZHPTe7CFK7y + 8PJTv/u7WtUson1spzF6R7ylxqaGm877/G9L2qWk0BHADAXIQQBvWOsWr2ja//TVr/k7t9+B7W2o + omvRNkiRaG//HHLEAQCkK2qcrCbkflciBsXf/OZDD8X4xGh8+tjRJ4+sPXHkyOOj0el6DOex74bq + Xt95YOILcNd1fW0Mzf08e2LHMICH2ycJLmWzm9XFPyxmiOmK45cZIQQwkJ38lsqms61whv0z9y7G + BihxfxLhpd3hpbZ7vgTY28RnrVzevz+en9RMex1ev2kBQBkq+YSTtzvvffjhjwCDxpDgADJCUqVB + MIrhDLj30XtNgmLVtQ82vCIwiAebrkM3p/Bd2C+CtQPno0HWOOguo5hi6zSm81/64R/+mZtvOT6d + T5rZSOORsetCWq8oAWY0Emegpmn6DU17PcyQZN37efEQQrA0Nctb/uw9b/X0eAmmWWdyw5ZnwHNc + Tq+0PGcwyCCeHxaas66UACKjlCymy8bOZu2VMV5+Zucf3XbrB657LWYt2khtNwILKF/bL4O0D1xK + 2P7HOaae4+qjUCgUCoVCoVAoFAqFQqFQKBQKhUuRIYD63JYaF4jJZGJGMcbJZELMm6dPX3b11YvF + Iuv2x6NR7asffu/7Pn7Px37uv/zp42vrveDhwNjuM431HliQ7zwIYoCh6zo1HdUjAMKy1KX81r/8 + 4Ncf/MZs0Yh3zKyqMUY9pBnLpc/qps9bIScQgElViUhTZEPswr/xYz/2jrfe5UAxKT/r0aW9Nxqg + fS6Cqinw8MMPp5QuloYjb1AMBR3MnJOSL0pjgKzJ2teMZQTyBSVXxyw/dBm3vJxS1/WBRl4Uconf + Mg42P6uqmS23mogAuGSP01K3WCi8zOn1sd6HEJxzbdsCYOau63JvkDvkfMlR+odC4cLB0HwFTMYw + hjEZ5zDFlEJCl6Q9vTjx5ce+pBNddCr7tUlZapsd/0IbJ7zx1te9Y9QecVxF1fF4rKpZy6fgS+G5 + v9am3tJTSY0013swCAm+rqpRvVgsJtVaHdduv/FOl3zOfQT6JV3q0JZWhzmHsv9Veu1wCkhJQWaG + VnWBNlbN/d/4bENn/ESc49RFHhIrz4b2P3KzX+AMxVW5XUZJ9SWU40iAGIs6Mgewkion5TQsIy+t + SvOvSrqQqR3p7vvyR7+59RCtsTm0HcjlfQlAVipyX8qRN2+/z/Rz6/cT7sV4qooESdUGHb+8uuat + t7wj7tjIr5nRYt6Mx2tN0zi/Z4o7RJP2IdZ7W4eyJLDXFjIzQzx7GJvZYtEenRzFwr319Xcf5Stc + EieSK/EdI2ky9EH1y6U4x15nezcYnOMzZ3ZdBartqe3HvvqtB1peWJ2LNfo2aK6f2nuAoEM10N7E + FzUr1VQwUuNEiGQauzAej6niQHErnP7G01+/98v30DGNklpNCwvVUe7q3YbPfPHLn+u6hg2s3Mfu + grXfPw7L/vWZLZeH2azKZvl8v8ha3hlIMZSfnDWnF65/OLuDOsA5//QM2uCL9NU+r0n09SmsfWmH + aoy6/I7MUCMyZq5kzI2s67H3v/lfvfbozWu43FlNtHcbk3s1vfbHcr9YTP0pW/t6yaELWgrvyVx/ + GXAJ0l+cgKx/LVxHTUEDCQCG5zltf+HBP7M6qEBJmdkQmKDJSEGEJsJ7V1GVdnHTVa+/7vLXUiuW + SERExHkRoZi6lAKTAQpSIzXSxEk5GemydukCXZ+sLDDDyIaSyeV1y97aABsEEFLSOb3pprcdkcsd + alU451y+pLGh+DL3AxzPef0wLBFCQv/vtfvCVz67i+2GZ2wq1ruIJ87HDve1q8OpgeyF6snzJ1Ia + Pnrf5xIRU38GbLg9bU8/1n7jtz72G0+mR2eYurFEw8aG29w+U1UVGaqqShEwzDfDq4+97id/8G/f + tPGGcbuuCyMl733bttm+hpYVKsaw5+hds9JmUiUdzpXnfmc+h5Lt3XKW/JoUpPlyvb9u6S9lC4XC + syWPOJiZiJjReLy2tbnzD/7zf/j5zz8AAIam6UBEzPiO96uJ4Byrqqo2TSOOzCBCeTRhNBoBEJGu + 68zMe/9CLV+hUCgUCoVCoVAoFAqFQuHlyCU5xlMoFAqXPktNVu+JxQmcsv+hAiRJO4wqrK0/wiNa + uyoYK5ExyAOCxhAMPKqj9fKg3pOLoESJvMFlB1QlW44O5uH8Z6xZIhjABEupYkADkymHIMnETEMF + sZQYYoNvrBESk0FIa0keJomQh3jJ1FTFj2bgpyf1I0c3fvyj92HjClQeBOGKIQDEc255bwjIAA+j + m5ZgnSEkBEVKSAZVqEGDhWwjmCyia/DkiY+/7a7X7mxeGRvXmkU4gMGmwWVj1hUHMlKwMsOMg3FS + GixHbTBRTbhqbSzbT7x2tvmh77sLp59Gt4V4BkmzeWGXEIAWOf1YYQGxQQ5KMbRAgCYEIMD6+pPe + E9YUqmoxh/jm+WXz1giLoPzuSkEtqEEHNIABAtS2oGaOzc0/+f53jHY3BV1vgPxsH/0yOrCmSCQg + 0SR1sCvb7jXb2x9+213YPI1ux2whYOk1SRrUYjaZzcottd5L1ADrF8GgOhQmPUsY8GCX28YrNsAM + EMP5/IuvRsjmjGtjvOqau77wxW9uXN6MjiySMZDYFmk4iAbDPxhIOLeGbal1Axu8qletATHl2Gww + NubNK3ZOv+3U4595y+04eQq7ZxDnASFZhJoFwPoN1H8K+k2WgJhftQucPvnRt7zpgVtvvun041em + xieQwgf2gYXISJMgovdBZgOJW0Bj72UsLOOnWO76zCdwxRHURyx5IlJYnTebOEgFEoAFqAAPeDBI + wEPKNWXpXkJoAU0pZNNmWward3kJ1DQiJcwDprNrZluXtdMqmAVMCKJsCQKqk9ZJRZlWdD9KiKKR + cwfCWTXViUXWkLrJeNx0zUbb3vPOu3H6SbQnELeg8TDHSyrJ1oVCoVAonAMiBbPxUDbDABkRrUZH + DCrA8xZnF5aVzxexVLtQKBRezuR+ONs9LI088sRsWgFgPp9jpaPOlhCrU76rjQURZf+L1Y8rFAqX + PiklM1v2CRiO39Urt+VrIsqh0TlJevnvALKFxOqUJdlIAkD+XxHJv1ZVtbQ9yi+W/5iblAX6+c1E + lN+T7XWWLQGQ30YDz+/6KRQKhUKhUCi85Mlj3TkBVgCXkziNAE6sYn1J/+HJtg4HgxKXubwrJkMs + YA9mnOVxSs/4IMABMIERnDclIiJNBErQCM1fy53C9dIDZUtsCTAFGqDLn2BsxICDq0GOyZGBGQQV + QDAsAjMGZxkC04qakQGHLCDJS+QB76F1tr0YhApiDqmylK03zsNnRYEIGMAM19/AF4Vb2gY5G1qB + XiLUrygBaqBarnagz641FuXhzv/KqMCzwPqccskbpKo5J+IO2pysN+BsTMWDCMFD8tR+LqvbdJnt + PLTZMeUQZNUEx/AVfL115LJAzAkMOGZvBDVIlgoYYEsJBwwRiDBNcY16BUpSEJAQowWEBqakQAcQ + kiHv/gb4qgIBiAizo6nLkecMSHY7AgxIhAjrA5VNBRgRC9ACPid+DzoQRh+pmL1rDEmRgJTFULSS + NnooyOAIPsEbDEiAN3CCAXH43KVSRtCv2FUP52zzQIJAwQzeozNI5c1moMUgL3r2MBnElGE5ahoA + GZMZm1UJTnvvt7z4FhMZQocRwwJCCG4yAgMs+YCq4Kg/4voe6pm6gqU6yINlRcVEwy4oB7qd1UnD + TC5BiDHTAGE433DVqDHgYA7oNTaMyL3iKPXqKhiQzCirjYCkcATqwAoHOE2jdnp9Wtz89FP/1bWv + +U+ufRV2t9BOERvMZzCFAsEQ8kwToAFRkWAmAMwh8tBbMAiYjLDm//uTT/yDk0/8/cce/0fffvpn + Hnvqlx47+c8ffhST9Sw6ItmT6/WL1u+H/fZ9AQiaQIxqYvCWQNb34/3RATA4v17Gjj7781HfZ3kG + ic6DI6cAUc4Cz0moBkAMTsG2r6u1HAUPE8CZIlWU+iYlaCI9ZxB74blAQO4Zhk2sgC57y35XVyKD + We6cDz24KwpRhiJrE/vehnn4dOUDDjyHOgwIEHDlBWPouFISwhwp9OdBsNHyso0NDAPMYPmvonAJ + ZFBwymHuxgxWlTxzeglZLl4S7B3CikEU2nfWXT8tQSNSq50BmHc4s4XZ7s9c++rua1+9IrRrsbWm + XXdOF3EEWGeOkFJSi8zK6M+wrBjmrwo1UpDmnW3ZkBV1tw5a42zfporlA4ree3Spib2kYNt7ZGx1 + YVb6S+6FuKq9F2RvspbXj2qsCJoSExyjbaIAsHSlnnnl7MTrZjs/f8ON2JyiMYlGlly+SjXAFCmR + qaWOALK9BqRh+xqG7b6quoGmZX55oVAoFAqFQqFQKBQKhUKhUCgUChcVRlYrEEBRE7gXukNNQKTm + WSwmS+q9P684WDvw6McoDOd8xDYKxLFv22BGk7WNZjZ1lc/amhA6aKqY16vqp/69v33/Zz/zt/7a + X8/qJgFqN4xzeQaQFT99xi0gdK4hadrXuGHaqqBCDbpoGwOSJgyjAAb8/D/5BRPqUpTKA7AYaudf + yAjw550cS5OGgaQMGRggs8o5IRJiBllS55yqikiKYeScJ/rFf/ILBhux5OgpGA+rsh+g4YOj3cOo + Ts4gIQNgZjkU5pFHHmH3HCNSnitmlgs68p5/EWuBz27GC5bNbANYqa1bNiNXzSwLcC4Wq2vmwPpZ + VvNd4mV951G3WCgUXkrk2sDVaJ9lVfKy3nm1Qy4UChcOsSi96lkMHlpLqsXYySLJlo13P3H/x9oN + PdEu1sfCEURIBmFFihzJMSdCMjj4y9zlb331W6rdkbLvvLVhUTljKJteIs+AZpdMNiUDm4d5G3w4 + BWbBNNrIu9h2dai+94Y3XVVfVXXOq7eYdecQpt5lk2BggF1ip9kmFKYMY+oLHmCGxEgeQWDj9Plv + fuxUfBhVVAUZO/M5mHA16bDXehuzsSiL9nGk/Xe4Cy5xzDrMrIHv85iXX/pytOfqV6cXNWzsonfJ + s3qAlVOUVrkzTimZl5qMY5c8eyhZQnThzMbpL5357L1f+xNbC7PFFAYmmME4KkUyiIJNxbQvnMgV + ALloRZ0RJ0YaXECToQsY+Y01PUZPr/21u//d0Xx9whttCOa4kiqF6EUVMTESM5sSlPvoWc3ZpWx9 + 3Dgbs5GRGqWUAoM4iEvezKSSLiSfJndc/fYb6jfWodauHVX1fN6OR7AEIyTiRKzog+1FIcP2zRs8 + ERIY6mBOalFBSkhItNZ+9At/uEMnu2rh17gJjYHVKCmS0ajeCB1yMCcjkRkZk3qYrErrX6RYaOvK + Axw0BR8jL4ijIx2RpDbNu7arwsl44g8+/X9t1ie2edObTUzYMG1m5g3Cd3/fDx4fXe3juI7OaRC0 + hABSg8t70+EbtaIQz31JH48KYJk13v/tfBZ5L4R1WYuSDZ1VSY32qoLswm/cXiRMCpgNOcT737DX + 7NW+6zsUsxGU7IX4ZmqkUVLiRFCnzCqAJJLIrB4QmCXSJCCBQIlhQeeT8Qjb/rL46h9/99991egW + W0yEqr50MEZK0QsBiIQIBOZEzkgZkdE57Usj1ZAMiZbJ1nnVvQALfViy3lwAyfVoOdE5qVR+ErV1 + jjWRij105st/cerzASoKgRkamAmhUjAQGY0iItUYrc+Pv/sN7+e28lTXqC2oUtdh2vEMtYGEkuc0 + 5jTm5MmYTfMFAxny6wt3fWJ7OcecH4Mf88F3gpJRgqUao+PhmrtueI+2Xo0sRSDkqkZRJ2lEOYmZ + ciB4f/CK5lMVjGKUaMhey9DEEtxisfjCk5/eGT1VVbA2GCfUFFmNheFIiaBk1tfc9uHKfOFjlY0p + gjpQyLY0lgAlJqort5hPSamux412U7/7l+n+//lDv3AqPV4f4Q4hGMTRfBbXJhLCtKq5aTonjtsj + x+U1/9pdf/32o28+OjtGhsTJs0MQRwKSgBglJ0YrU2BqQQlQGLPRIWPgmdSTeoBBqmRGfa+Vo6uJ + DaRmZkYAK8TMgz0pkZKXKnZpRBV1iSURAVFSC/ZY6MwqwJ0zHrtQePlwMBSg/4aVu1TqHzDLtXFt + t/CjukuxCZFd1UX72Z/9+T/6o3tstRRHzZKCVDUQGaBLgywM5ldmiFFFRFVFiKgvnF51wcKKoVah + UCgUCoVCoVAoFAqFQqFw4Xhxj7kWCoXCxYSWnaja0uZ2mCjs4Byc//GP3/uXk2rz6NHW+ZDQBsBo + 7MU5XixazX6sfLYWQdnQe5/1tSU9B4uNkIU7ew/DAYWYHvhXg/UyCMoTeXADBhuI0ClkLAqbxbh7 + ZOPhYxs/8vGPYn0d9RiSHU1XrIRXfUiXRUXG2ZY5ghK4683jOMUQY1cZZB5lFmQ6x8mTn3jP3ded + furyZnccg1ivqMv6Ousb3Lc+C1moz2xewoCQMXIlD+PMbHGsqq5sZ7fsTj/ytnfg5A52F5hPsb2D + LtSx40FTk7KbGTGMU8SQz22UjXlXVtxy/TFxsASAGVFBACd1MALFFJ1BW8v7hszSWkLdBdmdYmuG + rd0/uPN7b+pmV1dRMUV27h1m/p2f929NgzEbs8Kp+jh9lYSb29kfvOlN2D5DO1PZPSNtiy5htqiI + GGiiKSGmCM7uidlUGEJgQFNiXt1Pvju0Zz/Ke8VkK9nmw57Qv07iF6MjWDv6vvvu+4b3276SybhT + jCaIBl4fG8Ai0RABVfW15Hj17EGMQT8nunfdIoY64XiLV87CDTvb973trb/zrnfh1Olq67ScmWJ3 + Rs0CJ067ppFmjln/kHnjFp2bNvXuFKdOYWvz99/+9ltPnLw9pWOL1kcVBSuLChkb0TI3XRSIaA1g + 9Y7qtdEMeAL2pbH/9g3XYX2CIxvwDoIYuwrWO8cSg/ZMtqV3it5LKx+8rdmCwtVRE0SyZ3cTtDfA + BKGJ2JnTdIYz29g88Yfv+r7L291xavPxQra6WkzUCKvH0V6PoEs7YrOshSXBmcViVI3WY7xhtvjI + 29+Bk9s4M8PsEMeLIZYhzUKhUCgUDnLg7Gi8esG/WkSRnYsL5w0z5ypoIppOpyXutFAoFF56eO/N + bFlPvnwuFAqXOE3TiMgydpqIYoz5WM60bbv0gDgPxXzW3GcLJwCqmq8Mc2R113VmNgj3Lfckmfwe + 7HcCKhQKhUKhUCgUnmeWVf7DhH5E3dDbjAI4ZK35OTgQpXvgznQ/Opyzog+HLJ1vB2cNBjgnDQ83 + uGX4OKVsRGAY3GbjaluIeMMWPwAAIABJREFUQAfuguteq89ahANLsAxGHWQbOR61Ty3s9SpGUOLn + sjpzBmEvTliZcpBhCi1Xbx/zvNdCLFO6s9PT834Tg1dVGSvZrysbenWtHgiIzZiZKgB2koAuBUzW + f+0zn7GqUsA5FzQl1bVR1SSw7EsxzNsyewrzORIZjaFwAoswkENSMEMVZiBISDHfxEfXHhdazOcM + nwVIeU5Z1aCEBHMQB1eL2+q0VVw2GS8A72mZa2gG7MWj7uUd5v0jv44r0YfP/tkMrCDt57l8Hfd/ + bn4mHby8tJ9DVmMlzbZigKKGS12ChmXs5iHoTY2V9jIchwxX29uwyyL37AqSN00+fNNgEyRD6vR3 + gfZ+0nCwr2hd+sjkg4fvOXe2Sw8NgMGzBwN1tTseRT+OS/3OSq7nch8ftnZPWq7qlfhPhlUa12N3 + VTe7UcP1of2H11//H/+VW7EzhRG6gNCBCIIUOgVCigxHIEeUklncS1leTOdgMSbzDpMx1taxPsH6 + Wlobp0ltdQ3nQQc247m36oXeDr2BFDHMrbQh0qAjWmlWnzt7OJb9WA4zzivbCH06rAGwlfPR2c3r + Z2OA9bekuG+MAueQ5RWeH4YDZk/haXuny+dyviYjVoZhz7ts31WQEtLyl/M4APo2GkOdKDOQGGFv + MXg55L/vpArY0qFtee10jk8vNtkXCLYDJ1cBEmKXDGDQiInmDUL3G+/9of/pltdfZfFYDNwsjlT1 + OlEMcbUPWT2BLt21sGc8t5ryvIc9c3eydKxb9a071xXUJcrZjT/wp2FVKIBV277VU2TKbmURGyle + TumKrv3Z17/h715/I7Z366DOYtfOQflikWDw4lIM/XwArFzA9GfrPZn6srcpFAqFQqFQKBQKhUKh + UCgUCoVC4ZJgKVM3oK7rlFKMMavcAcBssVhkr/mmaZZRixeOHI5yNmaWRf4Myu+pxa2PRv/jL/53 + f3rPvbe9/g0MxJi847ryFlWqCqoAcpuFBXa+Q67nuq0vXj7xifsefPghYm4WXRb/vwRiaM85zrKa + O34AJtMUcsnDTa+94W/81b8adKVIdqXgggB7prV/QKSlALC5tRVCOO8FKRQKhUKhUCgUzpfeOXPI + VxYAxNF4tt098ZXH79+N83rdqWpsh39QdcSkpFEBMLkqTd70urcec8dGWsek5IU9d12zqly6FJ5X + 9fZ9zOPw6z69sUFUqli/7Y3vkLZiCDMxOVWktBTJ5nfuuRRm50tdhvVm38icUglEClOc/uKDn2qw + S2KVH8U27tPw0qq+a/lV62J6UB/wXFp9/WLPtAYAy6F3AEWlqJzLC5iMa1/FGGKMa2trTVpAkngE + bs7w6Q/e81sz2uGRQoiBqpK23ZshjMWG6pWVFajUb98seU8KA1yFybiKC027ePdtP/Ka9Rt9HIsK + jJca+KyEXKofVzSNewpJI11W8SzFk2xYeoHmv0ry1WL9h+78kbV05Kg71syaqkYX4epl+x3MYSXI + vFeI75XhMJkDuAmdeARFshjrbrN76lNf/UQcd9P5VETEmVLIjgXT6bxyvo89zsUWBLvg6ewvBAyt + Ktc0804D6grkYRUSYozKiaqIUVi46e9+5F9+e/eb0U3riVXOdbNUCSa+ouBfc81Nr7v2jaITUemt + jCntrZznVMd29m2dfXZqz1Gcb0vf2v2Tn495P2eoLy95Jvb+0q/qZ3ARvvAkUiMdTj0MYwNne2rb + XyjHBga8k7bpjq9dgUXlm/Wf/NH/YD1d4ZtJzVUMWBtXo3GVQkwBlSO1Vf2w9pHMtie3VoLu881W + XJIHpi7tsodfiWi2aMfjtUXbGKVUhc8+9KmF283FBYSYXcFzuigbQOIq1mTa2M3fc+urNq53WpOC + VdgYUKOYOBhFADBh9flPy71ov578wl2lHPQtP7Amhuf+4b3ELvmwdsdNd9W6sVYdMaTlbVqyZfC8 + Dpsb2fV4+Csr9X9KCSTcdZ0QKcc/++rH00YzD1Mv7BwHDV2KyYiZaW9V7FVCsp2zrvN5xnLqswrM + MwmLIyJA28V8fTzpYpyGXVwWH9x+4H//k9+YVZuoNah2hkgJWeJOYEaM0SImdrxqj/7b7/53Xn/F + beNujLb/iOwcnve3lQ2hQMSq5/nh++c+xBpQMGzv9rGlqBrNDMZEtHTyYeYYI5x2qWlSy7Wfh0U9 + rroYo6mXkZeRwFdSqaLcTy4Uzkk20VJVVc2WVhnnHDOPx2ve1W3b/dIv/fJv//bvUG8qwGdf4eRx + ujyqmL2z8pwBrK+vN02jqjFGIlItZqqFQqFQKBQKhUKhUCgUCoWLQ4m1LhQKhfOhHxEcxggOupS1 + yeAiHI5s4Gj9zi985FMT3fQy8hOPseqIUk0RFWE0RuvQChI4S5EYSdAKAiGRgbFUBvQGxwrW3jNN + 1HyCD/CBfMu+ZR/JJ3iDwAQmrNLLSoZhZCNV1ixHg0FMCTHLcchMAFJM1rFYJK6r3ZF/dK1+/5/e + i8uPoaoOt4KUEVyHukOtqBSewZV4L4TZDLtTPHkKj5/6/Hvf94oTj12h88qCsubmfddnAE7hFKtj + 804hBvYYM1JopFtcMV+8cSv8xW3vu+/OH8LTJxF2MD2JMK1SOzKQgllgHlSBmF1vxupDEnXoB86B + wWC6fwUMhszQpGIgTQhJoEIEgEeEShFnLjZ4+gSeeAInTt/3vd//l2+6+03z6dGdkxx2ATN+Vkua + n1drlghgJEHwlgQhcUDcPrJz4s2z+cO3f/99t38fTp7EiSewMwMqzBoxjBwZokkHBFDKdp4paW8Z + SXqhhS8MVGDUE1yx9q7PffzpY0dPR4hgPgc5bE4X8C6qCLmqGqlg2qac0U4G1hzb3NdnqflEEkgS + MSln7+D1kG4NzVu++a2v3/H2L9z6jnte/yZ8/SGceBrdDFsnsHUK26ewvYmtLWxu4dQWnnrqk2+8 + 9Us3v+6hO+6848Sp0c6mhs6PqqAwsBJlnUQkF8mTio/iUlWxHxFAkZPtLOa7a2uPXfvq277+ufff + +//iyHEzZwRDmjhCaOhQSggCqjoRN+AOnMBdYvZVi+yyHLHd4PQuvvXtP37zHX/29ttvnp5Yi4tL + 53jx6i624KtQKBQKhcLLlyzPApBSWl9fZ2ZVXY1LLBQKhcKLmqqqQgje+5QShgzarMctFAqXOKPR + KKWUY6S7riMi51w+inOUdV3XAESEmc/j+i3GOBqNQghEJCJZ958NtrKJVdb959dt2y5twkRkGYn9 + vC5xoVAoFAqFQqFQKLxIGCwJQopdClVdI3QYj2cxJsZujJU4L9R1HQ/+toeYtwFNQNBuOgWDCGSo + CA6WNJDIYPsBzOZH19cC4qrcYE/VAESkDlFVL6tqz3xqvgAwj2bEICa4S+GZiZngACHH5Bk1o2Z4 + hhtVQkoEWBKH2tNozU3QpueeaF94LrADFB4KIlT2iC7OwCIkDnnVYvAKr8QgPWRQZo5WXTRNNZ1d + H/HKJ3f+6+tu/ns33YLpDKHB9BQ4WM3REUkV2iTgBKgQVQzPCAbDeH09gRM4LXM7E2CIQCi5uN+N + 1ThtAxJBc6Kq0yjAkI0qy3eXKNRC4UVKtmJkBSENfk4ChSlgEDjnfXSiwKLDzvZPX//a0w8+WIuz + pKo6qmR7MVeYd27PoqsIIC8YiUAjORmxnWJCt7bYuSnFv/f6N2D7DFI7qr2ZgQTEIG7b4J036jtp + MaWklJRU89mwI0Te03eLsYBLd14oFAqFQqFQKBQKhUKhUCgUCoVLAedcVrCHEFRVAXaShe5N6Jz3 + zjkeJO5ZUX9RyB+dAy1yS0Qk53Bfe92rP/zhP/qFf/xzlx85qlG7NkCR2g6AsHRdB0BhvqrPmunB + 0ZZzBaWcmxiTGn71V381q1m890RyEdfPRaSqqrZtq6oajUY/9VM/tV6vMYgwuITQ4YZElm8/ceLE + SyAmvFAoFAqFQqHw4qJ3zSQ1SozIpolTIpXKR4r3P/q5E4vHhExUumRSAQARkgLOE5EqiMDqjvHV + t732zaaSWCkCEcyO6MXtJ0Pqbr/xrRM+ztn3M4FNwGAHADTYjRo4ESPrpIZY0N6JdBDAeiYzixb/ + /ME/32pPhaohyV/6FBRBEZSULD+MNBFy3mTqv2kwTBR0UfTt9oxfcV7cYnslREaUGNxcZQFj1prT + WJJnNUuteAQOqG2OWeQGVfzU5z728OPfqMaYt2dYIhFCSLkCfi/0dDA+pWEfSKJRonIkg4tVFZ1n + hAAmpx1Tx6+57DV3f+8PTOwI6wWspifAJb7lur/yxmvv6rZlXPmkUEY0EOBUXWKXY7D3kpX7RWDL + e/IyURXkYAL1CNzObfdzf/Gpb596lF3NXKktnAvEiZk0tc4TKBohkURySlE5O/e+EEmoFxJmSEoJ + NYxJF2PujjLWXTXSutvWJ3Fk/sE//s1vbf+FrAdOHZqoGpkhhjq49XD53bf+8JXr11KqDEgcI3Mi + AikQsyzzO2QzF152GFOkSqqddltqXh8d+Z71V/74u//WZd1VbjEasWs1zEMTgm1Mxoupjb0AUNIc + X61DsHH2td534FE+81yk5XomSI3MSBnJyKIgMgPKSCbReaROhOvWzTfjE1/6+hdRkw45yzQYNufM + 5mgQrkUrDv72W+5cq4+R8kvg/luMcVyNY7TvuexVt776Dp67tgV8vtXLiWA03PS14fZvv5UZ6AOq + CXDLxHAOyoF9fPDRr3371KPBdepJFaTkwWJqptkPHSCYMzglAAZShl3gWHQCGFZRmpCOFS6xJQmG + OHKVJU3O2rX5V7c++Tsf/7Wt9tvzZkbBIRAL2Pch5wZVhYHXq2O2Vf+b7/iJ2658y1X+GnIUpTWO + F671SjG5eZJGSWGOrWKtnbJTDBna2X/aKUGpMzQxNIaO6lAflZYXoUq6xjtxQSMfk8ZkKUJbdrH2 + gUdUlfNF4eWNHnjkIHkjPftaSlWZ3Hw+XywWRPTBD37wV37l19D3lGdhgIGIlqNg2TIrhJDNVLe3 + tzH4YsUY8yDjhV3WQqFQKBQKhUKhUCgUCoVC4VwUx/ZCoVB4bvSDnZqFUJp9DysJrXJdRZirOlzm + f+wL933oLe+YbS+uEDdu2soCw4TQNnmklhViQI6yzvouozxYeXa9DgEYRGBnqa9srzU5WJfNFEis + WHFiNAIMiSAGRkrUAWBTMiRDmsGN+dtmT1y+/s57Poyjl6Ee4VDJIgp0QLS1tkE3BzuYoQtwDGvQ + ht/7gR+9crcZz7Y35luvGYFa8GFygPcJrQbEYEBoUdfoGniH2tQvZhuLdHTefur737l5tN6M8W/e + 81GM5mBfiQcBMYEFfkyucmNpOxVf2WJGkzGon3kOVc7bOiUTcWogoPJsixkpEDp0jXMOixYjD7RQ + YDf+3vt/eBSbVyiuffTElYiV13mAG6EJOExI+B4G1l7XkBPVoYo1gXV2zJq0GUebW196z7u/6Xin + 3vib/9+H4B2mm24krm1ReYSI8QR+BA0yHucBLWEfNTi+gEpNAmKTpBasjdHO7/zEvfe+5a03Jrqy + jqRhpEbMIYQE8yEqoaqRNOe4g6C2TBMHQKr90SEwAJIAUZ1Md8cAz6ct1XG88cgPve9k7Xa8tQIg + Jzr3hwwpH2/bG7dOfY/3oWs8M+qqa1s0nXdQVQOMDET9oWeOgGjmuSIO0ZA8Fuv1l5z/Vz72YRxZ + i+vHHIQMAf8/e28eLNt1nfd9a+29zzndfSe8h4eRBCAMxECCAMQJEAeQlCjRNKXIViwlliLFiST/ + 4UhKLEa2FVmR5Sq54lSqUrajqDQmsWRJ1JyYFElxAkAS4gCAIAmDAEiCIkiM7wHvDt1n2HutlT92 + d78LEABxH/GGS+xf3erq2/f26TPuPuesb31fYgAi3u9x8xoAuISVrkOKEO9AkAhPSAP69Bdv/ttr + Tzx2yNrLh+nE+tDZ17X6PRsn+njRbsaj8d4WuVAoFAqFQuF5IhsoZIVWdnyoqoqZn8m54IXpaFAo + FAr7l6ysVVXv/TAMOQR3Op1OJpNTPWuFQuEbICLMDCCnVotITrDOr3RdNxqN8n/m6Ou9Tt8513Xd + 8u1VVQ3DkGX6+aN3R2gPw5A9wvJ7U0o503r5pFAoFAqFQqFQKBReQBhSSkzwiyhHqiu0U5qsKHaa + aF1MTeVTSrIMf33OONMff+Wrf/Pz91Qr46SAgjkbSih5J5ZADsnQSyOUNDLUL9U5AJA9zACAQB7c + mkBkUPXEaNwwJAgIUCjs1D/yQqZlZgSS/BwQaIqW1FbAgatWVYcobQ/2JTXz1GKiFDi1XWgCxvUf + 3v/Ff/qiK9RC7HcC5ncnHGCLnRfY2y0LBTZq3/WJhu4shD6lo5ub/+ySS46Mwq/fczfamYehnoDU + V0FEyLGBZm3fVDXXpAIiiIGJCQwoLAvXsqpuf9u0nRx47g8DJWj2yiHAS4IPeLIUs4SgFgr7miyj + BnBMLK0gzL9qe0AjNGFr85dfetVZfUdJHHOXbFIFho09S1JLib9ubGWDlvHh+WbWy/pk1KYBms6e + NA9vHb7wwMGfu+qKf/2Fe6kWVCNTIV+lKHVdi6mjxZfgbl35fKNzPuMKhKU32vKvhUKhUCgUCoVC + oVAoFAqFQqFQKJxCYozk2HnvqpBUvfcgisMwbkbWmjFx8LN2Ro5za8wpx8zMTFWZGWaeeLy61vbd + P/ix//rtb/++f/bzP/+ev3pfF6MCdaj7oQ8h5P7Nbuidc6J7bgF4htnAynj0h3/4R//kH7/jwnPP + ExE2Izrtgm9ONDlfnIigttPuvPzlL//O73rTn73rPwKAzo1XQJQbJJ5LYYQIZiDg8ccfd84VvUqh + UCgUCoVC4eTCOZWQkQAGiRGEFSxbuvXx+z6K1Uim0g3kQB6qcIRk6tmZETOTmR/CVedfe87kon5H + xo4Dc4oKZvYOso+Ta536dXfoqhdf86lHbyGaipn3QVWIGaIAnCnAQjnWOnHOQ2N1qqBjiqmsfBMR + BBxuH/vCI/esveiAtX4cVhKGbKNohMWKYiUDlI2FdPHuvJn4JK/MZ7qoIcO3gFjaSJOPQDJKgLEx + qWd1bEjSh+AGkyjaW4daOrd1+xdv/ejtH1o7sxHXx9h7AjGGhCYACQur0UUmdE6DVijPhY5kcAqn + zoiTpjqgm6U1Wqnj6ne/+vtW3UEePJ3I2HIyrrnpuvT6q7/zni/eNaPU6hNw6CNqgtOsgidhKM23 + 7jzT+tg05mGBzkMMHEBAO8xG4/BY9+BH7vzwt91wFSU/xL5pmhRTxcGNnKYuK0cNbARAFgHw+3sX + UmAw4cp7pj4pWx24spR61870CT4zvffOv/zsg389VDtJt0eVGwZJlJrG+yHFLXnVJTe87EWvpGnA + wAAkh6ETlIwNMCXjZ06UP775XXKyR5LCNw8Ze/Kz2YwnrpPeg23LXX/x65949PC7P/0no1H90PZj + o1XUI55O27Vx6LvIz/0IO7GBxMfDwozb2BTzUSOPSGqQEKpumrhmHfWfuPOWbT0Kr/OuOJt/kxqg + UGGYGQu76M5eO/+Ki14mndVwMN7fYmZSEmNmiLfBf8dL33Dnvbc2GwBny2pvYN29WY/dbGTsUuDn + Qd4zYsKo5tT2vnIMvvWOm698w3XDLPV98qGuqib2Q9LknIPlIGY3nyopmRnp3psa94gFgiMKpqQQ + JSUyM1EKHQ+ynu4/etfv/9WvP5q+arVV7KMYQD4YGVTBDAczI5fGNGu+9/r//FUXvWEyW3ep6ofp + aDyKw4mceTKjBAJJzQBZPqVjAMSkyzhdUkANClLlYbIxevCJ++rVECdeQSv1ZDadTtzYjSoH44Gh + ROqTDL6qbI/9dIXCCwERIaKlwem8ymZG3jF776o///O/+MVf/OW6Dn0fvfNRlsPmk74Wl/WglFKM + EUAOsVZVEckuWH3f52Ii7+Hbt1AoFAqFQqFQKBQKhUKhUHjeKF7thUKhcDzMS8ZzSyxlmj81AA5Q + VJ6HXrmupeJh8/Bofe2tn/00tnY+dM0rrlttusd2NhzMqGbPSXN5IYdMg6CELB1bpCnPPyvrwxg5 + m3ZRkzA421WgIF1E2Nqx6jlBGEagrEAykCExYEwGhoJkuTghhKnETeKHzjrjOz72QawfgFuBMfak + 1ZGI6ezX3/Smc448fkFw1nckqQ7N0M3M4krwV2+1B0ZjY60mYww7jmE6X97n8jhfwrlJGRGYoKwM + 0uAxbRECUGFn2tY+NJbOJBe2p9ubT1RN/YVrX9k7H826oZuMx8z8hA9fXt34kQ/fDB3XK6M+DX6y + mhVQefXT0hyNwBwG0YrZDEMaRoGxNfu1N934kq2j6zubG5PVzSeOhFHjRJpBXt4PrLZe1Uy9gWPC + ZDTq27YJlaZhr8srjCzMUmI2DQpnmNRIHUYjaGeEuAGMDz+xEjtxuPfay8x5YTcduvUzDm1vzaaT + yf2rKz/24Q9ibW2IQ/CVMqLCc/0cm5eOm7p2KfVqVm0cQtPe+Lk733vdqy7vuvHs6FmrzdHtbs0v + jIkFWYk638oL8VyOXWcCAAEDauQFnMiB4qiS2MPXlNpeZ/16COPeiSPjXGLXuY7NACAInzFaGWbb + Hg4g7fvKo02oAmKEEfIh5U3IwGADBQSJ/QBojalv7ub6bZ+9A6sbqNb8PG87ZfmWcU35YNsLpMB0 + 549fdvWlsxmDkkrTNEkGkr42vqbDRlUh9TQMIziTFBa6jdPieBmvHPeOUSgUCoVCofDNk8MInXM5 + LnGZcl0oFAqFbwFSStn4BkBVVW3b1nVdMq0LhX2Bcy6HTMcYQwj5xazOZ+bdYdIhhJTSXk/hchJ2 + CIGZ+74fhiEnW6sqACICYGaz2Ww8HudMaxHpum4ymeRP12wWVigUCoVCoVAoFAovNIy8r7PLrcHt + tO1qGKOq/8UnP/UrL7lkNWLNh+kQG0c1Q3VvF2vOEHpBArxSYHZAnOsUDMrkcgT0L7zyNQeUWLXy + lUhU2Ne7ERtMIONmst1NK/LblnRQIlpx8KeRvYki6zHIYGaqBBjMGOazvZKKdgJbGW9MgyK2QLm1 + deogUAUghaqR2ZQnFdXYdOEgwXFgVcIx1d1CvrenyaMmbPapAZhhlmKK54aJ39re6JpfvuCyx4P7 + 3+/+PGILJKxMnHOSuuBDNaqTWGKKgEQ0AW4uGmRjVcCg1VzZVZwIvjFkzICwKAMCIwUlRQ3k5Hme + b91CobC/mY+HhJRjHwTMxFAlY0iHIf3GW992+NO3HdBuHLgajZ6Yzg6ujGY7bQ94RnAMQHXXyc5C + RMtFbvD8YkxmsU8+CTG6dnbm2G1vHjlI/IvnX/LL/+lzOMjUhJR6V9UGOOK5Jj4P1wuPMph6AgEC + NiDuTrYuo3qhUCgUCoVCoVAoFAqFQqFQKBROA+pRk1Ofvfezrg0hOGZzbqdrveO277z3YPLem0lS + 4RMdy/EM5O7LrN4nomO/ErqujcNQj5rzzjr0O7/5G3/53vf97Dve8ciRw2noGUgx5imEUMcYlzY4 + z8I8O+Mb/XU6awn4s7/483f89M90s7b2zhHtrWC//zGg7brxeNy2LTOb6E/++E+8693v7k0Fiygg + O5Zs/RwhYHt7m5khL7AVWigUCoVCoVA4hRgrKSxrYU05wVgJwtbz7EuP3vfA1hdnzVEblAi+cu0g + gcCOIyFClcDktdeJrl1/xetXcUBhStZQkJQEZmpE+1jlxRrqYeX6q9702Udvb9HmS6YoAM8tQ9kA + w8BklFWvC+k8HbMTzE9MzAzirYvtp+776BUXXjVGbTxhUZ1fic3fa6QwBpnu9hI13nXldcIF+kqw + ZxZnL0K49z1KSVmU1alnZc6Oltkx0nnvuZ91jlzV1EftyOH45Xfe/Nsz/wRYZsN0tMKJVA2VgyZ4 + A9mxoFCj+SrSXY9ewMYEMUCFyGFM5Ft67ZXffcWhVzRxpbL6hK5ZNmJxHP1FG1e97urvftdd/3e9 + 4WYqYJDCGdg0q/yEcusF5nugKS1iUBnJANH5MipA3hzPCOkzX771M+de9+0X3OCpsRRYEqH3nlvp + mRoDg5SghATAKOz3mwlGGsnYAd0QwFXjoa7vTIn5YPWpL9/yrk//freyFfsOAmVxIfsJG3f1oXDh + W1/xveNuw8WRCasDKBkBMEICwalDVtM/PzO7O6ZxOao8P5MunDRMtKoq5WTJtOP18Rnt4Z23XPP2 + zekTH/r8uw8cWuvdNN84rLnqY+QKyk+znelJfS7LfeO0a35RAhnyaJQzur0qQYDUD1pXa9N0dIcO + f+Su9/MaphieNHwaw1h4MCAwcSLXja654tWHJmfTE57UOfK6z2OA67rens3CaKJEF61ddvHGSx7w + 0y2dVcRqnIdoQiIDKYN0121fBpgNCrBpSvNvnijCJMm1Fdefu/+Oh1754PnVhDWwOqec1IzECGYM + ysnWWJh+6177ufaMsZknsLKZJejgIJ7ZuNqRwc4YPr/9iT/48G8+Jg8NroM3AEwVmbc0JIMygocp + vFSjfv11l3/nd139vdX2eIVXZRBHPsU9ubcfx/wTzU2wDRhgno3zhiCAYAYhEyIjIoCFVZvh/p37 + 33fbn85ou1lbmbY7MfYTPwk74dsvu/7Sc6+pbSVYU1eNV09kJ/7csFDYf6iqcw6LshotMq77Pk7G + qx/4wAd+9md/jhl9H533MT19pnUmW2bVdd33PXCs7LN8Utd1SomInDs1xcRCoVAoFAqFQqFQKBQK + hcILnGLXXigUCsfLrjqnAwCVhSgsDfAVqsCSkIxG62cDggYQfdMdH7/pda+/cG1Ut30Db3HwCAZE + hpK6J7trKR1LpX1SVXspMlvUG/hY+LXOg7EBtl2p2E+Z8cWLObeZFmacQujUNlfP+MJKdeMHP4AD + B9CsIDISUO1l5WhC2n5Re/Tafrr2yOEVFwBEiQ6Ba99vbdah7rYOdwCYDFA7phHMy/vsj8v/NBB2 + eYnCkCImEzbRo1OA+3wDAAAgAElEQVSsjahvoyGRuEMW1lOqkgKyLbq6OoKz7rEjtcMjlZ9ZwrCN + STBUFKpWZcQOx0rBCuhybTrHkgBCEzxmM3TdOdvTl+7MJod3wpGdC4AQpWsFQFWBmdpp19RsSiLU + t4OAY1QHzpqG5768eUn1yRtUB6hBWpADHEbwNN25ONRIfWwTgNBAO+jmAz3QGbdm6FqMareyIQIV + eHcSNB8KqPecKETAGq344Pd88vb3v/GNL6rq4fHHzm68dimvX8+I8qQi/O6df55sbWpgMrX5hte2 + BxR+sCbAIlaZh753zpmmxTvnR4TNLYRDxU3SONM49hDDyCG1YA/BPNI8Z8AbKQAztqp+gnh7bfI1 + rt78sY9i/UBXj91AgSCxc7UjSN+nURWAPTrlERSRU/vSYXrl0SOmSt63R9PIAYAoosFaCo53RCtm + UDAT7HH/OXHHS6cyZvctoQgtFAqFQqGw/8hGD33f13UNQESyBisHGRYKhULhW4AYYx7VVXU0Gu01 + +LZQKJwqsgQ/h9MPw5CTrUVkGSm9+z+P79DOOdb5uXMuP8+y/+XQMR6Pl+eKZjaZTFQ1pVRVVX78 + phe0UCgUCoVCoVAoFPYZJgJmcjzEgUI1Go2hgHdo6jiaOCd92+deZ9E9OxixIiSDGgiDSOMcPMnW + 1K3WDqQwqEAUs36kHFNyjpcCLFtKHQAADo6Ypt1MAGNeadae0L73vC1ZRsIL+5tT/JiFKEIMkBdm + QEkTW3KpqeqHj24H55vRyuFh2HFjjEfg086Z5YWERhkspYpq10w6iQ2H//Pee3/+kstG0TeIgGQn + LMDYdK/7vwEKAiyMmq2287AxEFJ7FmEYuii2EqpfuOTSJ+rwf9x9F2YtgKoOmE4xGieDIjhGYARb + CH4IChboUhlYkjv3gC0fFBY91O02zKKyLguFfc78GFY3/yWLqBUAYo/Zzj++8qWHHj98UT3uk8ZO + t5EmxLHrCRiP6u22Z1IzUDbY2q1efsFFJJwMxs2o61oCRoHbqN1MJhVqwdmOfuWKl94/rn7j/vt8 + M5K+o6oBgXZZkeWvVweAAIMjxSLZWkorVKFQKBQKhUKhUCgUCoVCoVAoFE4nhmEQVYExlL1PMFHx + 3pNjz86caJK6rs1s1nVVVZ2qmkSW2c8N93fFWqtqVVWOyPuwszMdjUZv/c63XH/zLT/1Mz/9gQ9+ + kEOVVLo4OO9jjMRstsdci2cu0CrggHe+853v+OmfqaoKKsnm9YEXDsycUso9DqKWUrr++usvu+yy + u+699/gyXDQrGIBBkqqWCnmhUCgUCoVC4eTCgLIRYEYCUsAbpVmYfvyej3Q8bUVWRz6lFJPwUk7O + JJYEGsyFVF985mUXH7gsdCNxSQYVS5Xzg8OQ+sD7+PyW1VWycvHZVx6anL8922Yvg3ai8ESAsQKA + khod8yAVUsauK4NF1jUZh+CSJfPd3Q9+9sHtL182PiT9kPNFFSDL4vZsPwgARrawLsyqWnq6fNIT + zp5V2vsHI1OOAJEGpx7ZQpNECbUPW1ubqysj1PpofCytTn/9d//N9uiIhjhIyzWiKjkQzS/onrxl + eFdI+fwKj22+HY2SEkDOeqqG5sXjS77rmretyIERj+a+kyd0kRON3IpEuuFlb/jE/R98sB+YO8dL + 889hsbOxzbX2TIZFcCmWj2bwATHCDOMRhmGAG6b6+F/d8efnn33eueOXyI413pukbFaQjAEmSyBh + LI6e/Zx5n1FnzMCQal+RyCwOPG4w4XsO3/3/fvzPttzj0yGtjmGCrkNVk6ohBdeuvemGt7947eJ4 + BLWvBNC5SXECKZsutsI3gy0e9ZgTckk93deQJjUffNe1o6YRAQ+hSSv1UL31ld97ZOfwnUduTbUm + spW1aufotKlCoriXDzjBsb57R0FMlndjpRwJrDAwkFRdRVzrnV/85OPpkRiG5BIZGx0bRZVg8IAS + 1Imt8plXX/xq63gSRjI1zPvj9vFBEVWIiI2DViuy/rqr3/g7t37KH4AQK6kS5qbcAOBhDKSnnQ4z + kqKq0M0wqiEad4aBfPXp+2/buPKcg5NzdRMpRkdMgZKl5dA9Pz0gDfNGoBN6jsJQAwNOTMWZOoIj + P7ioq8M9j9/5H276rQf7+wcfzZuageDIRIxBgHkPJMQZ1nTltZe+8T+74Yfc0XrFb1hUFayMV7Zn + O86fQJ07G0PDYpdjUFJimAcIRmpipsS5BKAAi4ub9uhf3PIHd3711qGe2cOgwCnGNV5baw9+x6te + PzlUy7bpNBLVYBuGVJJ0C4Wvh5mXNTUzY2ZmBrh2fMstH/nJn/yH3lOM5tiJWP7Pp51O/lO2TgXg + vc9FuvyiiKhqCCHbc+Vy3kldzkKhUCgUCoVCoVAoFAqFQqF4uRQKhcLzAi2SrQEWAtfzAp8zOKrQ + KpjAhrUDcHzjX9966xvfyg8/stptrzoywTG1ECkZsnpsoR56mmLqUo81l9AYsCjaKyALjZgzOAMp + GPCLArcQEgOAV1D23zSwOcCItPc4Eqr7Nzbe+LGPYWMNdWVg8goH0J5kAQLr3bDpZDoiADHPEwfW + oY1A7c0iVhrPzBSjxrmocC8fkeVDS5HL3DHWQeNUE3DGCDKYA9iBmTQODIWZqK5W3G63BDQVNIKd + gQWs8Oh1YDeq2NniM+Zud2Y5CniQ5JxnXrhekkFkNWralrEfq8e0m9WDucZ3SElRRRs5SNJB4IHa + VyBOsSfQXpZXkQVkBKdwRmTMBiM1Q1URzLqIVlBVaNxIYlQH8vAM6yFAqODVHW23abWGY1QuAZ4Q + AABJBnInOsFFoKLORbD3NQyYpO/664//0Y1vuNT7lZ3NVew4hygIRgywcN7PFYbc0mbzjT1PtoaC + FXbMUtBXAcliTKNmPOtmI/Kk0IXNa5bWGUMIACjFpNGY61Ezm3VNVXX90DinIsowB1msnIW2Tw5b + +pszD/ynyei//eitmKzBNwHkAmDiaoIJkxtVDWaGQPB7aOwyILlQSRdpKqZEgKRRBY0gQjTUPsRk + RLqxPkmbUwM8+PQ5XmoumoNCoVAoFAqnDGZW1bquVVVElsGEJfS0UCgUvmXw3qeUsBjz8whf5O+F + wn4ha/Grqmrb9uDBg13XZXn9Mta6ruu+75dH+p4YhiGPDDnKWlW998Mw5EnFGEMIMcZ8rpj/GmME + kE8aq6oSkTKeFAqFQqFQKBQKhRca5HzbdqNJ40PVaSQ1EwQHOD8L1fb21jlVDYtDlAqsezTUYKAx + YEiYIDjXxTQK3k0m0KGXoQ412IEwYacprY9H01nrswnRYu6yboqADuKMq1BRkijW9/077v4saofV + dYRwOgRaAwzTeZImYRmmCQBsYMHQw1VQxmzAyioqQvB71F8VnmeCc3AOytJLU9eIEaPwSOMPtc6J + E4gSlLJgT+YqvueMghIcBXe0GwgIdRj62Ec1oAJIetbUUL1q6V9efvmW2v969+fQB4wbxGldTyRL + EHtAAX9MFuR2Z6EXF4JnZXd1cLmqvAKDVHUE6mN/K2uyUNjX2PyHmAGF5a9hQBXdDNP2l15+7YWz + nTWmod/xgUl0vR61bQulyvF2248dC0zVsrvL3J5zoa2kbPtYeJ5g6NDN1kKlqrM+EaEOYKIk2m4f + PbNuJlP80iUv/aV7P+uqgLrqwJ7gsnFcVsUDAricYq5wrAAS+Emi2EKhUCgUCoVCoVAoFAqFQqFQ + KBRONUlkMpl89ZGHbrvjjtHqpO97ETGzyofYDze+9rV1CEOKqsrMVVXFfs/6+eeFrOdfmuDnJh0i + 8kTdbLq2tjHr2so7hjnTtfHonb/3+7/1f/3O//BP/kdmDyClFOoqxvjcb9F//T/ak5+PR3Xf9vfd + 98W7Pn/3y698aWzFkXuhVQBy20XXDZPJJLZdCEHJfvS/+uH/6X/+JYPJrljqZ8lCeJrJAgSovuBi + wguFQqFQKBQKpwHz5FphA4xVxcX7Hr/rcw/daY0xwVe+j0kEoSEdTFWZHdhEtFJeo4Ovufx1VT/G + QGACTJLVtTMbnKN9LRli4zBUTZi88vLXP/DJBzp+3FyqKobqk/StJADTIsF6/l5AjbMcnUyJyDk3 + aNQam8Pjn7j31ouue5nvRwEeRstAa14EWWMhjVvaNrKdDEmt0TFJ3jfcdGz7O/SaADYCmM2zZcmb + GCfAui7Wo4kajm4f1jN3fv2P/7fH/cN90/dtWzeAQ9/BO5jBBFWAKQOsdCzQGtmBlgDAKZwyAyAd + WI0A1RV3xkE99+2v+KEzcfaa32j7zswc+RPYwGAMRXBB+v7g6lk3XvO2d9/5J0P8KqpkgOT9l5SM + SVlzhuxcs/mk5Zob+SoxG4CkIAdRaJh94fBnbvr8e990xepZ/nxKDHOqxt7DQFBnxqYAK5yRNwKg + +zrZ2kyInCME5r5tlZNM2vu27v6DW/6fw/aIeR3X6AWSUAWAg0tDGOqrL3j9a658SzyKMQWkxOzV + fA46XR7viy6J5w/SfT0aFwwII7e1tbm2vp5iMjNS8lTNdoZzDlzwX7zpR776p38jKl29OeuH2gco + gRfj+bFNz/i6Lxc85Qg/XVjaddsyDFgBByINjaNOd6by+F9/5iZMUketMrGBoPPlogSrDIHUCJ1X + ftmF1523+m02hQ8+STLe910qwzDUTd3FRIIJrVx94bUHbj9r0x5tOQpl72p9duU2Yx4VzQAR2AOM + ZCYEG+ltX/jElRdce8bKITNH5gisnCSpg1Oa3/00MgJgjmAnuP9OiRgYzAQwR+zID2rbtvnlnc/8 + 3od/44H+K31I7MzMGCBm0WRsIDDBMWmLg/7Qt7/otW9/xd9Za88IGKc2Kil5Ggat/VjMjE7UcUDG + TgJgxoOwCMNgMCZzbI4UREoQgho0qXQ2veehT9/16KfSgU4b3dyZ1nVFFW9udeetnr26em43mMVY + k48S4ZgDn4bHcKFw0shNwl/fVUSUz38YALMn4qg6DO2X73/gH/2jn1KFiLFzBpg+w1iZz8fMnHMp + pRxoDeDo0aO59JOdspY1oJJpXSgUCoVCoVAoFAqFQqFQOFWUWOtCoVD45sjFTlMCPKmAc1TsvFQ9 + AAEIcx0NzGzjIDWTG/7qA+95w41nD/WZXXtgluqk84BkgxGQNVXGwLxyy7YoXZAqPbW2YfSkKv5u + FGACGZzN32VZlAaQwtkxO9XW82bNj41GD4/XvudDN+GMM7pRTQBMaqL5jDx3r04DVGoikuQcYkLw + cIYUe8e04q1vBwdApe8TG+rgLIqRks0X5xs+zle/zVfYUoVGTCw28rAOjpAAP663t7saqEKdYu8Y + UdQxKo80gAE4p/BIEUDtgkF0vtqeUjRXgL0LAMzADrlEjhQbtZqcptilOB5PUte3XQqTOknvnWuj + jIKvJDmimAYGcd5ie1xeJWTtlNPFNjcmQAYVgL1jMnJ1O7QT14hqqELf954QRuhbBBJuGlBOeGaF + OmIIwBrc0nX3xMFgYiiDFRgC3JmrrpW/9/4PYmfnE699zaHUn9UEm85SQuMDkmRxoREpmPMBBZ3L + VuaFPCjDKYzA3s+GGAAPZ/0w5hA1evh81GShgi0NhA3OwTtuVYdhILi2H1ab1dRtO8AZlhXARNw7 + mnnfeffgZHTDLTffsL6B0UTGIwacQWRwnmLXhXoEOEnq6j2vxuy7h7pJaXAVYgQzdIB3MCB4mIFB + MN3ZnK4ENjGoPsc95yQcL3mYOe49o1AoFAqFQuF5gZmZueu6pmn21JlfKBQKhdOcHE+bB/asyj3V + c1QoFJ4TWRwfQgCQn8QYiWh5quacE5G+77Pa/jg+wnuf47GJKMY4DENW53vvAeTnIYQs5Wfmvu/r + ugaQX8mPz+cyFwqFQqFQKBQKhcK+wDAaN/mpZ++YvAeGAXU98+GAD8MwADqu3HSQBkGQnntaIBks + JjjGMMC7KvghxYoDQA0vAmXNdIhjoJu13i38QBZvX/p4BHK9icXeVY1GjYbf/rt/5795/3swGltd + P+2nn3wIi/knBi1sVwggJIgbT6wf2Dwmayoy1E6Aumh2TzWx7UOz4hqHDvABbL91z+f+5fkvWY29 + W1ihKcHl/XLv5aYhJgKqumn7DkDtkW97eMCZWDs74EM7na44+l8uv/JIPfrXd9yO9RFx7xHhGjg+ + dhgY3FyPw/M5KfKcb8RCDUlY+CJ5VfQDqogQ4HhpbVPWZaGwvzlmpulhChWkiBTRt++47uVnPnZk + DexUHNBF9Yydtm3YdSoMW63CMEQOTkS+3uAlS2l3qy4L3zyBMcSBgAogdjFKD6s8TOClb1L0Yv/d + eRf8uwe/Ajerm2YAC7gmQJkZBkj+TiYACoOjLPLl+W5QNlahUCgUCoVCoVAoFAqFQqFQKBROA0II + XRze+973/sw73pEABRyDCSrwwJ/90R99x/XXO+eIqKp827aewymZz+yAnyX9qppl/0TEzHVd931v + os45E2XDSjNq+/5Hf/hHLr/iqr//Iz+8OdsZJElMeCZH/uOan1nbN95R0ltu/shLvu0ST8TMYi+s + 3AwR8d6HENq29T6o6qzvf/RHf/Sf/4tfZkB0D2tj2VnLT8q/KxQKhUKhUCgUTh7zTFljENhUyZQl + +entX7x1hx4nNjZMu06AKiANxgY1EAszk8JrOODOuu7CV/CmC/CqUvvaEpJKtL4eBR32sZ8MAQ7O + DeE1V77h/Z987yw+Bo+mrqfTtnYAsu+iAomRz+mfmg06T6omtmQpxAjhCrzib//8X/+ta/6e5xVv + DuaQnRtzwCSpAruyFRU5chKM00kst6/TiDNsxOJzrPvS6dHl6zTnzOuO7sh6/4fv/52vbH++W522 + 2vkKidBOsbpKfTRNCA6S4BZrI0c1Lz8iG0uSMRnDIKzKIEOQELrqdVe+5erzXr2W1naObk/OWN/Z + 2XEnuHvBcQ2DJeMYbnjpm+/+8t2bjx72wXq0wpjvxfM9TnUeaq26SD/FYpcGU99Z1Thmnm7HlVWY + YCbdZN3d9Jn3XXDm5esXrGKnrlA78impIwWUATJvIMDbCXVRPTksgqLNDGzkpZrIw/S199z+zgf7 + Lx4ZHh6tshmSYjTmfqaUdILVMKy/5TXfv0pnY+jrJvRDBBPMAXDKIBA8AAMrg+2biBt+0l2Gcsfh + W4Eow9raSt8O3vvK89ANlW9WqtXZ0a0Da+f+8Fv/wW+/71dTGixEEfGheg6T3LVjPLNF9imC2ZhM + gYh565AasRmckHmNvPM3R+554PEvtZOdsF7tTIfg5n7dc1dkKKwG1AtGVl1z2asm2PAmFpODy94p + p89X6p4x9lUQEce+ckGSrYYD117ymg9/6S8x7o3hRDE/S0He0Lv19krKNndQJ1UoYo+qQopQRTNx + O9Odr2x96eHpA+dXF67wwcpVScQ0qZljgyUQDAxKMMxbqE7wyiQ2MyVJBDVueh62efMIffXfv+vX + HuMHW+r8qFLpIcRMKSoFovylnBhDaPrxFede933X/+Baf2CEiYiRwQefUhKQAwPHY6HzHOE8wgOJ + GTCbS+vzGQIYjswACKWIvqNuhx5/1y1/vENP9C5O+6FawRAHl/zEj6+65FVnrV3UbnYVqsloZZj2 + kiTUI1M5cfNfKOxrclmNmQH0fT/daX/iJ/7h5uYWMwEQEQAh1CmlZ/FBVVUiSillv6zsp0dE+Yn3 + Pk/HOVeSrQuFQqFQKBQKhUKhUCgUCqeEb4HSa6FQKJwajOY/x+qdBgdlQICBkBxkDAswD/NQgjkP + MNjh7LPeeucd1912+x1nnLk5GQ+I7AyiBB8V1DSDwTkPUGdizhmzGhgMY/gQFd5VMKggOM8gUcDA + RlDUcEiowCq5GuuInIFgLMSDouEQhJxVQCByCeCJfxDxtrPPuea2T3/PbZ/DWeeicQRNgJGDAcrY + m1qHoE0cvPdrakGBpBBjhwA1S6gInoFkgRAIFsVoXqV+jo/KUM4iOSUISIQlOY1kySF35ZihIui0 + GzGIkaRnggACpxRiDCAHBEtjlQBegTpKYCGv9ExLm4tCzPOSLWIEkUg09IyhIaO2C2Zjcr5NjTCi + 1WCNyoCZ+VwkJ10mlD/HR2EYgQBnStBsi5tfT46J2RS1Oh6GmoKIeRunPjiMFXU3OHMuUp0sDEog + hz767PQGQBUnvKeLjQLIe2CE1ADZlxjBYeMADh549e2fvPPFL7p3NHmsqvu66i0phCtRk6TC5Ew9 + V2uCOmIUMSKu1dwAJIIR2CHBiODJEQGmqhIBYxJA4UCVmk9KUIeEAFYBKnUKl+CNxzTWrndw+QB1 + CSN2UNda88j44N1nHLz5vIPX3/YxHNzAeAI3ZoAEkOQ8AwjNqlDVg1NgeMDrnmQQDDgBJJhWKSER + EiqFN6sjkIxVPINJMWaoqJAZn0bHC4sdh41voVAoFAovBBahfYtfi5PuiSGruzJN02DhqlAoFL7F + SClV1bzJIYekFk4T8jicv/WWLxKR9z6/svzTsydS7367c2738J4ltvmzyiBfKJxazCwnSecg6qyD + X9J1HQBVzQJ67BLN53ct3777vU+ZyNeTh5Tl86fMTPb26vt+GaG9+40Alu+tF7Fn+ZWSaV0oFAqF + QqFQODUQwWzeTQqoWbl1XDjZHNvj1C1/YYYPcTRR7xUaCP0gYWmKsxecY6jBBZdttHzYldFri08j + A4ieLTNYTZhAgEgyI2J/3wNfAQcQCHya/AAMYjBL1lcwhCGEBCicgLluUHkwuHaYB17vmXm9af68 + 1Ju+OZRCPUaW/GUBU/Cowz+/+9Oba6MeCAYHJEHFe/bQYSCYjUEVSIZITMToFLbw5mFgBNQprpqt + qq5OZy/anP7KZVf/3IVX4GiLPkLbLm5ZSGABFFlyp9BeIJjrxV5o7P7eJNJntc5bCuDIwDbfgrXg + 33zX96Ab8v2pXWrP4qV1ElncssvlgtN/FCuWH/sANRDawQQAA5KwvY3NzV+89LJDm5sTz5HMwAp4 + AgwBEJUAEBBjJIKIcGmjOVkkQCgL2pnEArgiqIIM3rkWMsJwccIvXHol+il1mwYZgCyMpwSvYIOC + 83RAgMEDPrs3luO1UCgUCoVCoVAoFAqFQqFQKBQKpw2qGuo6V3cViIpc7E3A7Z/+tAJipqoppVPY + GJWjrPPz7Lyfq2Pz1028IzJAzRNLTCQpeL7u2pd/6IPvv/LylzBAi2Lrbp1/bgLivRdgyM3fEmF/ + 9hd/7qsq6X4OgDlenAspKRk8OzMFWVPVlQ9vesONECUgeLf8z2fzi8tqHAKArDZZHU9OyhI8Pbmd + JLdyMPNx7ycnYn6waCo5hfOzezaWw8KpnZ/d7TZP2V7LrI7812fvEzxV5Hlbjk7LdtRCofCC4int + h0/pVvbelyblQuEkEMhrMjAZ2FNtCgndY7Ov3fb5W62OqurhDWAHE7gskCbAoBIDO3TudS97c9Ov + V+zUBg/SZORYYN6TpGG/S0DJ4LUOs9VXvOR1Y98wY2enrX2V5a/ZM5BIsyb9mcYsNnjvUxLyUEoz + 66bY+uRdHxtCSxV3Q2LyBGfKgSsTDhzImBby2qyzdXpMcHviMJtfKJuZKr6RQnN/C/vIeOxWh+1o + BK7Rpjap1KiCehVJVf8wPfAnt/2H2x7+6FZ1hJ06BQBRVDX6wUjhGKYMLH/y3n5sn3cOfY9A8Azz + bJ6TgUGha6578fVveOnbVvTQ0MXV1fHOzo4P9UlY6pRSVTtKGPXr3/+G//K8+kI3C1BEIDuFsjOG + ihiRA3SZdL0bFqrZI5L2NqohEUyAw4yGbjz901t/5+4jH2ubmTbB0FB0NRHFZMmIa0UlBjJ2z2gf + ezqiqvmaJaW09FFhgIijhk6NV/mx4W/+8uP//q6vfWgLD9XrpOZMas+u75QYFdXpCP/dN//wwfp8 + G6rVyXrbzgyDWstIztQpO3WsDuayjFP3fstleeOIiECqlp4SXby4E3acKyGfns2bBfQUD++0S/QO + wDmXUsoplU+LquVTzaW3xr471YyScrOGiHBgRUoqTZj4tr7y7Gvefv0PTPp1mnlmL6wAoCADYe5e + u/tM20jn9/RO1zt7zjyZy/PHpqymZkrsuFEVbaY33fFuVMk8YozGAFQEnqAKMzhPACixH8LFZ136 + 4o0LaeDa1alPzj9rg9z+IcZYMcV+cHA1xjdc9Uae1mSQfE9YKUU4x85TEt3VmDNPvM4jjCnnpjuN + AIEdBhF40qb90G3v0VGKPAwqxi6Keu8BBQmQQMNiagzs1f/8GRGR5U2tZRgtsTEjDX1DVe1H09h3 + k+4BvutX/79fOWIPD+iJVZM434ArNWUHg82twAc3iWdec/Zrf+A1P3YufVutI4kJEHZKCZ4qIQzL + w+GEIRqJjRCSuiRQEJORpZGvrBdPI8fNVjejdW4nR3/3L39jh54QPyRoNfKxx9iNQh/G/dp3XPFG + eTSMZX2kY2slINQ0QeT9fkpWKDx/2PInf10mU19Xg6RZN8D4B3/wh7724MNqSHLsJCDGPl8EEVk+ + 38t9MMHNTyuWw1F2zVpmYC+/WJ1zy6zrU7DQhUKhUCgUCoVCoVAo7FtyoSHftM8X4Dje6+ulr35m + GIbnayYLhX1BuUdcKBQKx0+ueUq2KMU8aJiRGGpAAhIwAAMQAWOoEYEtNDZurK6wtvF9N918x2T0 + 8HnnfNnzbHXUsQNCPwiz69MgJpNRkyRCxbOH5wiVIcIwDIMjX1fNdEgiFpxXgxl5F1KSxlea1DGc + c4MJmHPqbAhhFEIaejPpMEgz2qzDw6ujO7zee9F533vLTTh4FtYnMvFCngAPcFb/8F5FHgzV8fqB + ndnQSqybhuCCD7YQncyjmgkGB3PH/3209NfN1ZtF7Vl3VX6eWuI3pmWJ2ljBUXR1dQ2qiAZ4JEOS + p55XEuZSn4XKL0YjZoSAQdxoZGQEcSbOjM3Y4BRO50aYtHs6x2VSm+v0Wf9nBFkkFi//CsCrep0r + EQHlXW6my5Tzq80AACAASURBVP8cVTXaHj4EYkTDPNz6xJ4P2HyLMIxhICSGOgAOaBijCgc2vv8j + N193y81fOnjgodXVh4M72mBbYQ6e4Ig8u+lwFOABisrPdGBmZldV1aCICf0ggQkkamJQYh2Pm06i + 9741IVcZqAoTH8YezSBKDts7UEXtXPDBTAAzWKtIAj8Jj/Ty0HjlSwfPuOuMM2786Md+5OabsLEO + 59GMtQIZoAoGYAJK4CyeEwBQWNbMPVcICA6YzkbNqikIznFwXA2adm0aztLPuSzs+LbEiTpevhVk + NIVCoVAoFAqFQuE0xzm3ubmZBZcxxhDC6el98AJkGVWbf63rOvs+5Mjbuq7N5q04z55cu/QEmUwm + IrLswlpZWem6Lhv3nA6dSIXCC5Z8UOfGSABLcbyI5KO7bdumafLzbG7VNA0WydbfMLv6mcjDSP70 + paEMM4/H4zzNGON0Oq2qSlVLpb9QKBQKhUKhUCgU9srCc4VB/tc+/smYxBGxQ3BwziWkPU1tl+eC + ZhdjBdJu7xsCFkYVz6qdMVtMjQ2AGkGIwTgNJa+263H5hAHKOpmFTIjnQqzCqcPmSeRZeCOUhTMM + 77DefHXER4lnQKj8Ro2UUO9R4UUwhjASQ9iUDGREOjdqsl07CQHOUKuMhulZEs9r4z+94NKfuvJq + bE0bgIYeMqDbQeqyNokrd9o6+5w+ZCndsZVsc32UV9voI3qBWh6Y5vquQqGwfyEo05AsVKT9gH5A + 2/7bt771X1162aFZt9FHr1kIyQBzzrlfDOmMY6acT0seHV6ASQknjjw+5xGY5udICyNUwlafVqqa + VFfSsDbd/O8vuxSxa/pp0MExoJazPgJABgFLPpkigypMF+LkQqFQKBQKhUKhUCgUCoVCoVAoFE47 + lu4N2ZTms3d9Livwc07Pad4aw3asmDIej2PXN6E656yzf/93f++al70Mikld5XJK1vl773MK0XEs + l4p47/sknvmuu+7uug5WWofmJW8Gvue7vzs3bJkZgbDorXgu5FYv7/0pbMHLTR993+d5yM1i2TXy + lCAiVVX1fZ/7XHKDzCnc3/JqSSlVVRVjzC+ewvWDRdNQSimEsOwZXGZd7/b9zJlApxV5/nMcV16Q + YRiWeeGFQuEFQu4uzGlAVVUtUwaXsffLEWM58BYKhecdAkyUASWF49msD43bxpE7vvTx5KfKA7DQ + 9O66+gjBQ+CNgob16uCV5768kQmMjRcOicZGRt9EeOppBJszGsvGtRe9gnrvEsZ1YyK8sJo0moeG + PoVd8rZjmvQQXBdBAeLTHfd9ssXmTtyuJnUyTUnq0AxdZHWawMbzZGsYSLO/KGFvfonHh4iYGaDP + 4sBJhm8Frb3xbLs768yzAW3b6cpKU1U+JR1SzxPZDkduuu8977/rPx6tNt2qb9uh2h3DbIsoa2NY + viicZ1orzdccG2Y7OHRgpe9VVaOIJKuZMQ2XHHjZ377+B9bdgZ0nWu9DHwfH4STEPIslX7moYgLu + wtnh/Le95vubdmXMYzOAERpEMVjCPABYc3vIbhvVubATcOoXP4tbE4G2bWunfvhPP/a7T4RHt3gz + OXEuyBAr572volhMQs57dhbTM6lDTzfMLMbYdR2Auq7z5SGTr0Kzsz2bnLE+o+4R/dpH7nnfJz7/ + AYx2qjH6ZOpMCCaoXO2EbYdefdmNlx28ei2sSZf6TlxVhdqL9kS9MyEDawV4g7f5Ct/b8b60kaFF + ckMOdHy+1oOq5ttluz/llCdD53t3i4HrG7Pfb2QZKUiN1EiVVAlxEKeVbflXXnzDm1/+t8Zxo6KJ + iCHfsFIQKDgmoqdZS8tB/jQ7GNlASrw4A/GgQGBmEBnQo31g5wtfeOQuHlG+UHAOnokdzOAcFEgp + MllFvtHVay959RnNhhevUb33+RbEftef6zxOVRlqZk7Chjt0+TlXszjP2XKdqooFNiSp68X3C0FJ + 7di5RD7Byw7GTMbZwVhYteof3fnKZ798x1ANGqwb2qqqdO5jrKDsspzvhD5vmdYA8sXg7jvzIiLJ + +r6fjFdEbSY9HYx3H7n9t979q4+5h2Y8Q8AoeIpxmE01DZQbkQSxg4vNOp114fiqv/+WHz8nXKSb + 3knFAEOXM20EZdUTeX6lgK/DIHGQVDfNaLLK7E3UEffdDNAkQ4euORAO60N/+tF33v//s/fmUZYd + 933f9/erqru897pnwWAhNgIgiIUwuEciJZISJR9RNBdJpB1HsuyYiZQoOdkkJbLkRLJinzg6Pklo + ++jEh0kcK0ekNksWxSQKRYIkAAIkDXABRIIkQBAgQQIgtpnp6X7v3Xur6vfLH3Xf7TfdM+D0YGa6 + h1OfM6en+/Xr++pudeve+v6+3+mDc7tuxuQRmjaMSoqzZkKjGy67fqKrI4wLFEaMqqqSCp3B7Z/J + fI/hnCOi+bwlmKIo3/Wud3/72088z5tVteu6NNKrqiIEUe3nBYwxbRrTi2xJycpkMplMJpPJZDKZ + TCbzAmHm4Xng8HWnzGYz7/3ghl2W5fk+HZDJ7Ij8mDiTyWROE1Iw+lDgPtl6YbpqIAaBILr4l/5E + RSCAJxJQOYKxuPjit33xgevuuffzl73oy9ZOK8uWEQ0pG4NyRO28qR0AjRIkdKWFBUbjUoEQQ9M1 + 46qwBIZYazwkRm8ZMXSWYQ17H2HQimcDQELbBu+dAzuYuvq2nz+6Ov7ModVXPXDf2+7/PA4egNHo + EAFWFMKloFABVEl3JjtjQcVHug1bmLGpfNMEiai5QVTTW3h6Rsuu5SKiBEpSkzKbT/HrUgxv2h3s + orFCNjgWp+oiGU/Ok/HkFIbUsDApGOIkWvUGntmraSM1PszAi7BgZ2ANluOniZd1cPN5S4BzJFFA + FpPR4RAiS3InVRJhEdKkDkzfKIn2v90UUZ36+gKLyXVlBUfiSBSJBEQKk/YMRVBkREZkaoVnwjOm + uUFrNTqJRoNB6JoNFA6iCB4FgdE0/mwb59LgBqgM2AAWCKmHeGiDscW4xqjCpRf96L+9+95J+cRl + l35n/761UUFFJQr1Psh8TGTLgLJD2VlSH32h3M46w2ysG5fOQr2gA7iiKAizZuQQQ7cyqkPYALz3 + G9Nu3aNxznCBlRKlQVA/p9mUWi4RIKPaNcBTgZ+6+NC9LzrwyvvueucX7salF2H/ZeAVjA9Egk8b + PAiEFeTBc6ADBDBYBNqfxg3FuGznrbO2NGajm9qxUUSjYlSUQsqAF+UAF8hAeQ+dLy4nyWUymUwm + k8lkMpmzTgihqirvfYyRmdM3u92oDACkiPGUO5usPVL+dIqpbtsWQMq+fZ6FGGOapkkl4tPpNFWG + p+UcPXo0vcFam6wl8jReJrMrWGubpkmi+dQVp6n6ZPgCoK5r73062YkoCegBpNzrJKBPp/mOSAsx + xhhjuq4bljCbzdJk/9DhYCn3OpPJZDKZTCaTyWQy3w1hCEE4eXsR4ByKsqhGQTUGTCNijLxzMUlk + gGTTSgJL5mGL/MIhr5oGVQwAEINp8cY+CZtAkKQ5UUrx21gWQezuv9RWUhjAAgb9N+mf6d/H6d0F + 4HYYk5w5wxCUOdLxIdMCEGNi3/vlzx++9MD6aDQNtN5iDLgkBDplFIiESCDAQUvVUlECFoZhBCbA + dKCOyDMCQQjGkO82zHz9auCqxw//5otu+LXLb8Az61g7Bgu4qNR20ngJatCEmA+g7w4BoGXLO6My + 9gG+S+mne8wcKZPJnA4KBAM48rF1xuPY2q+99Eb/tQdXu3YUohU2Sqx9V8ALaez203+Ld2ES9yqx + nn0bxwsNBQsxAIUIRCEMsELY1K7SQCJRK65MvPzIxt+78lo0sWo8ugaW4AAGPJz21+VhDDaMNjOZ + TCaTyWQymUwmk8lkMplMJpPZE9BxE9FA71dCBAXu+ey9AqgqMxti2osPuDejswanFEDn85mxDI37 + VycX7d/3x3/w+6+85ea27UZlBVUAKRsYzxPhk6ZtTjBf28/kpD8MIuvz2UMPP1zUlezF7XN2WY69 + 6Q8PUlL9qz/yZkdgIAQB+m1Iz1OXoZtf0w6p63oXY61TbExZljHGlGmd0kZPwybyjFDXdSpLUVVr + bUpuTo3cFWKMae90XTeZTIZ6nN3aPqkkR0Scc957a20qGBzqOlOtUDL63MV2nozhYANQVdWwIru1 + fzOZzLknxlgUhaqmYuTUcaVCyBBC6tO898xcFEWOvc9kziqqWhQ2ahBE51wT58fckU999fZoPCtA + IiQkKekwvR8aIgQWBnN70xW3XL56tY1VeicAKAv3mvRk/nn+ooAXD2Xni5dcfMPVB69Di9IUIfTW + Gb3S/jhTSAb6DOAhNlLAUZWYSNUADIiRh5998IHH79M6CsfIIW1ggmEYluMXuSnzPxe+DTHGFGN8 + orA2Jt0q5zuvKcfV4bWjMcbSudi2Kl6Mxjo+w0+8/+Pv++gD/6Y7sNEYvzELVckU0813ShxnEiZZ + hFsn59J0FiSHWwUBk5KOHd4oi6L1Yq2VNtZh5erRS9/+6p++Zvwy6mJRqrDpBFVZqu/O9rYVkqCB + mAtbVloV8+q11/zA973kTf6IXykMAroWxiBG1HUtPtBwyClkU7qJ9ByCFKREYkmYBKyIMdar1ePz + tSflm7/70X9x1D3W2KNkozMVoZi2Xau+2jcmQ+10wxGfL3J7a+1oNEpDlxBC13UxRiLTtrEcT460 + a91K++EvffD2h/6/DV2LUaSFJWghs9CWhqnRFdp3SXnVD7/87S8avZiDOKNquRPyCmuZ0RK1pAR1 + 6DsJnF7Gqqom+5f0DYAYI87Qs60tmdZ7J9YaQAghffNdYyYHJ41db/mOUQtl4Rg5LntNRxZXVkWo + x82Bv/aan7r1stfUMuZgOTpW1ghEAAwhVWXGcVcTPeFjwD1B6mREFSBWJPfwQLGhWajbTz3wiTU8 + Nw+zokAMaokhyoAo2ACACCy0iO6AufzmK15dqGOJIlBiISHSc3NJPXuoEjOzIq2IRhx0l776pa+z + bWkjDEhjYEBVvWBLhrcsktGVZPk5M/occVYSsd00rn3mgU/qpF3XNS0gIoYM90O74Shioa3Lf4EM + z7KMMcxMRExU2rr12lpuJ+0DRz/9+3f+iyfCt4/otDMaQuCotcqYZFyAC0SGK2GVx7PVa6ub3/PX + /pOV5pIx7Tcoj68UFEDiWc60BqAkjXRUEVU0a9fX1o50zQwRJFQYW46cFPPGra2ZZ/7s7n/9mW/e + dbR4bmqmwQYxEAWxWkLh6VUvvXXEXDAMRZAPFDx7YcF5cznNZM4SnG5SSLGY4iKAgqALAnBRFD6G + //Dnf+7Bhx4J8aTXvPRgqrBOowBoms6wYeI05klzJclEKz/EzmQymUwmk8lkMplM5kwxGFYTkSw4 + jQiDEEIy306LSq7ap+Gnncmcv+yarDaTyWTOexRE/RRiBAA2BKik1xdTzwCQTFcNEEQFYIfgvbUW + de3nc1dXsPw3P30v1o994s0/fKht61mzolSouBjrCvMGlUPjURbkvRqCn7YWYIs2AiRzRS0iBGaQ + AoZFhBTkxTDUGgBtGyvnOMbO4ojDM5ANltlll77hox9+5eoKDuyfkRmZGsppPNVPiy8sHmWHNp0g + RsGHHR1dGRsFwJ14ab3bV7J0ShCYQEWgksSMghoNSvYFz8SnMRwnlZJQekUAZk1WvJEUgCgMSIEg + LJF4raofb+ewBsYMc9rL+jsF02LnqsbxqExqCWZGELjicFU+OxoZilCWpFLoDfF6jEjaptTPl7PC + nvpsuRAUNmUMo5+27w18WQGoEBhiBEmtpSSgCABqSBeWcGqOVNWGs7CM4FHVChChXKlDVGvO7szx + svqIwL0tMgsMR+hcYr2y38QOgr9zz704tgYJH/yBN7ykqia2qo2UnSfvQ+vVYbre7rMwRL7zdem6 + LsYojY8OKOoizLvYqGW0AgsEwAYPwNoCxpjgxWgrkTxYoAJhtIZ9QR1xU44OEzZWV/3kwA9/+MMv + H1kc2IeiagUStK5HovAhOmtiVKMK5bSbDRB7e2LpVRQ7Q0IMztBzZfXtecuMWBXHYlMeHKnvoAyp + AWYUkdAZVpIyinmhIV5n9HzJwoNMJpPJZDKZTCZzlhkmclQ1xmittdbmZOtdJ1VxI5UeLb4aY2KM + QxLt8q9OVrGT9mkqGu+6zjnXdV3Kx02/AtC2bUquzdN4mcyuEGOsqgqLyOqu64qiSFP11loRYWbn + HBEVRTFkXScLmHQuDw5EOyLJ8ZeLEgGEEIa+JTlNNE1TVVUIYRcdhTKZTCaTyWQymUzm/GDp8UxS + t0AFYDCj9UoYGUsxlAYBJsaduomIDB+xJKxKPwJQQkqKTK+zLuKrt9GbEwmIJC0mpSFC91Kwr277 + ZvuvNl8R6rUZmd1BgbhQhWGQxSlgTKDCHlz9J1/50i++5OaLmnCpqaCiEvQ0xGxDNjtSCDsBSEIu + BVJUqkAAMFSi7q/KWdNSMx3DSeDZxux/uPHm6sZrf/n/+RPsXyXnClOCOAC2NJqT0U8BBgQMTWo/ + ENSJh0Tolv5G8vmYyZy/RIXxXU0B89kvXnfdVTFOghhRQ33XS5uem0Ay4CTwolsnXVh/7knTtO89 + pNcMAwurOiEIOEYNsWOgNIX3LaJeMeKqi//l1S/5Z199EAcm8I3AsHVQIMAU+TqYyWQymUwmk8lk + MplMJpPJZDKZ84fFQ20FGHjs208cOXLk0P79zKwxENH5EnNTVdXGxkZVj5rZvHRucujQH7z/997x + E+/82mPfSr9tmgZACo8caohOHWNtDMFaqyEQ8Pn77n/FrbfCsKrsyfDvs4jSpivLItkal19++Ytf + fPUjj31LRIeSilMJSSLqpQurq6sisluz4ynyvG1bZhaRFCmdvt+V9szn85RpnVqFhenkrjQmkbaG + qm5sbKSKOedc2m7nnq7rUrpPytsIIaTzGkA601NdZ1mWbduq6l4r8RORtm1Tg9P+9d7nZOtM5oJi + GIoYY5K/sLV2eDH1DKPRaDabpZrE75pQmMlkTps0TlBVQTCOY9F+8bHPfWf+OMYRkF7NqczKfVI1 + oeu0LIhDUbST19/8Rp1bjiYyRwKoN1pEUnyd/+duVHFEJsA29vUv+6FHPvGgVpokVicc6x+31gSo + CFgJCiXW2GjloB6CWB7Anfd/7NXXvX59zhM7IcasndVlFYMSk2hkbKr3hdSck9uuNLZMve6i690U + 8dL3xD4dUJImtlyasiqaZi4STMVTbWbu6B/d9X998Zl71sxRNjDEzMSiPqIPVt1KqsvYmjuekq1L + a9omVtVEJUxoNJodeOeP/LuvvOr7N57o6sqWo3LWeOtKxHC2N60QmOCjL4pCulCy7TpTtKs/8pq3 + PrL2pW8c+5p1RZQWRpRgrZ3N5lykwxhKTAqBpHNcgSHxuleDQwAYRuNn4wM41qw/+OwX/vTu3/1b + r/+PS8dtS1UxLsauk3BsesyB67I0xHKexMo2TVPXNQARISJrLTMHDep4zrN2PL3t/j+766sfXYtP + FavOiyeBKCzJqMJ8zV9aHdKj7i1v+onr9t9UtKPQzaxzpDaosBAT9e7IfYK9FQSclmh2ebyUhk/M + HOOZvGld/ohFsvWuPRIahoip40rfiJ7UMji1fctd/PkzzmTSdDWJIBGkPGMoiSlMCFJhHGfR6fhn + 3vqe//n3/nFEbPQYG42IXewAVVIGmPl8sX5iBCEoG5HYh5UaFQ5S+Sdnj9370GfcPp77aK01EjSI + KiwhRqjrC5BYhDxufvGrD7oraEONAMZGAUFcYcN5sh2eB4KJUCJSVlWqMLnpylv233/Rs11rnGli + R16MY+OkDbL5WIgARQpyXozuGP0TTgaEAZBERSzabx7+2qNHHr66vqnwLngtjdW4eB8x9WebCEHP + UDL0kGmdAq2HH9XwPGyEcfvw0b/8wG3veyp+O9ZkXUmKbjazCJYUEbHTxiMISilGYeXq0Uvf87Zf + OBgu43kRHREZQKGSqiWFopDwjs2oTwePoGyUFEbroqhcoR5dM6cizvzGlI9q3f7pXb//uW/9Wz+a + bsQpG2gUU4AIvsXETiY4+NIrbiExqqqqESoMAimUIXp+XE4zmXNKXddN08QYmOxv/MY/uOP2u40x + W+ywtqCqPngATCwqqioqGkRVRSQ9s2LmwbbrHK5NJpPJZDKZTCaTyWQy38vEGJMK7rSXkHytB+dt + AG3bpseMZ6yVmczeJnu7ZzKZzGmRpgwEhnvzs5jiqwl97nDqYZOhqjAUiGqt8awdCIWZ+fmqG7lR + DQCughmjnLz5i/ehWfuTH/2hy45NL24MP7txWVkbIx0YhbRMMN6REd/Zqoy+JcJ0HsYlIaqPIIvO + I3hZHTkNGrpAakJgH+OqXQm+A3ju6i+N5s9esv+n/uIjqCcoJggKjEZkEQCGG1QQ/XDIAmFnmdYA + mOHcs/tWvtTNLr1kImyCRIEyqw9TgpISwAJLkDJ6Agc2espOkaS9MkYoJfIOc9gwwhhkc8rKQsIg + UQogNb1KyyqBVECiho4Yu37xpbAVXN0GYcec7HCVkRJ/F9nkgPAg/4qqhqisIfyd1ZUvi3+yLIyQ + gCMDykYYoMgA4GKyyQMpjIoSAks85QEnKVhtyhgGCakoITIiJXM9NQojaZuIsAiJ6e1PrVLfJCE+ + VpjvrIxROdRFJA2DmM/AnFVd38LlOK2yUYBsJAXEABJ8bStObsm1RdFiZQwvP/nVr2Nj+v53vL1Y + O3xV2770yPwApC5s0M4ATadliXnrS8ttiKN61c9n2kTrTOejMSgLzFpUI/vcLFy0MmnXNzggAuLY + A9ZjZImqata2rSmPVtUzbJ85sPruO29DXSMw6gkKKwCzKxnqAEDmXVUVCigTCoegIC5IChMWdWHc + J6LvcGtaY2HsNw5cpNV4Yl0b2uiwPpuWYzYCFwoGhII36JI4L/Kp60HPwfnyvaULzWQymUwmk8lk + MnsR51yM0XvPzEVRtG1bFEUq0dntpl3QxBj37ds3n8/TjkhK2TR7F2NM6dRpBi5pZ08mw00mIMvT + dQPDn5RlmUoWk7tEJpM5x6RTz3svImVZpozqoijStHqq4QGQTvzhr7z3ybCGmZMD0U7th1S1LMvk + gDN43wCw1qZ6oRShnWb9c6Z1JpPJZDKZTCaTyZwyx8e7EgJgJ5ONWaMxREAjAoIHdpQeKL2Opcdo + 79LCykk4EgELJgUvtCSMTc8ZOlHGbBI8LDQSfbL1HuIENhm8tYW09DWzq8gi3NoARhaHILHAddBi + xb73kYd/9cZb7drsWLMxJnJK23bnSaF0uOrmB0lvuYXhqE3Hcy/agRRA07QFANYAP5NmpZ746Zp+ + 5cF/cNMr/vuvfhnOowqoKthSkB+MnioMREIkGIECYgLIA8KDPDGfj5nM+QwBFQkh4ujGL11xxUtG + VdF26tW5ootKpIVEguimcBVCi24Z/UtDJMAwdJGlYUguJjuzsPbDvOQ1GBkhCVkDTerJfD4tmMXr + PibfiVNc7+jvv+yv/OOvfxWjkiXAOY1Kjkhghn6cOHlY5h49k8lkMplMJpPJZDKZTCaTyWQyexxd + 5Ah95cGvvuH7vj+Fwlpzdu09TgsCoNuipNu2nUwmUaWdzlb372/a2aUXXfS//2/ve9ff/OnDx9aj + DwS4okhFBFuLBU66ipuzMTEIlEMIlo1IfOihh+bzeemKk/3lhQD3OX/MQGHNv/Oa137zW4+LkRC1 + D0TfSSj6xRdfHEKwtGuKA2ttjDHVAKaIlN3KbAYwJBynEpihDm632mOtTcWSqTAnxmitXS7JOffE + GNMGSXU6IYRh+6SUta7r2rZNW3K34smfn6HBaavmTOtM5kJjKIF0zqVS9PR6WZbee1WdzWYAqqrK + xemZzNmFtW07sjBWp/HYOp75+OduozECC9CHFBIAZYAFwkwCNVxoa689+NKbLn0ZnlIyJDAKVfCS + Rt3gnCQFnj2EwNYKoTDwLW698vsOmD8/4p/ggiJUkzi9j4cEhvsq7SVwnN6hDCCyINlaBkBMjNGt + 0tee+MoDj91/62Xf18msoKoLsbQOBOkjJnlLTPJJw1rPHGTYx5AG4bSwjlDVPXdbfCYQQiRYpxvz + taI0c+/FhWfxxB987F/d/8TdxSWm0mLWdIUVy6aLku7VSGUz+LMPexdAdOkYWAokVhGpq/3wcDHU + 3eSvv/Hff8Wlb5LDXBlXVdX6bEooCuua9WPjqvSyk3vI01hjJgZr1NBFsWFS1dNWrli59id+4Kd/ + 58/ftyFzHZfz9qitaDZdd5YoHc0KKCul1RPpA+wFGqBW0ron49cQXUHzRivnIs++8NgnV+zKj73y + J6665KZnn3qu4qKuxn59prBlvdI0zfkiAGXmEIKqGmPSAEZE2tDa1fKYPHvPI7d95IE/2ohPuzHP + vTdFSUoOXZxK6eBqNz/SvfXmn/zBa/+qm9YOlsjF6CHR2tJw9L5zBkqiJkrazgCrADsOW00PItK4 + KRk7EG17/vMCSD1CGpipqkJ3O9gaqT3pcUGK2daTx1ojxVV6T7ztmdr5Bi/1yjFGIhO8jtyKotZG + f/Yt73n/X/yr77SNms6Qc0xRvSGK0BjiifrzPXgqikKJmJmjKoQIyhBY39XHPnnvbRu87tGY0oQQ + jOEYhQTGcRARIWU1ZHQutYy/76Yfqrp9Nm0xY6KCRONefEayM1ihqlFVkzG0gKM9VF96w6W3Hv3W + ETGdrSARLEyWYhehoGEgsSnIl75sCpuvkPbndIDvitknPv8Xf+fHr/FrXMVaghqQgJF2CJgVSjKM + ds7Aei2srlKIbOrBIqPVJuz3Xzn66T+8/V8+E59sTadKMQDkYJlZxCsUlmCIKrefj+Ka1Rv/o3f8 + 5wf8pa6rVlcPrm+sMbNVCwg0CGlk0T4hnvls5kILwboyaqfaWYZEv9FNYYybmOf8U8VlvDZ/8gN/ + 9i+/9qxFmwAAIABJREFUfuxrc7fRwnMFAFFACiJIoNjW11/+/SvuxXFWeyVRo6yRFYCNnhH23vxF + JnPu2RybJ0IIIYR6NPnN3/yHf/yvP5imGNDXSZ/w72mpC0olNQxANSafPSweZGExHMpkMplMJpPJ + ZDKZTCbzAklykeSKb4xJVtjOudNYVJomSH87m81Go9GZbmwms6fJ9u6ZTCZzuiwMzgz1TlsyJFsf + N6HA/RxEskIDzWVuubSuEhGECOOIQaVBNQY7jM27b78d8wbr4TN/42eeeu6obfxqWZoY2+n6Sr1S + BF9Z6+ezi1bG3HXSepDxIXABBQqLalR/czq3o8qwU3KBrVTVt0TnQSajle+Y8MN33IH9Y9QTlCOx + paTw3tjPmFCSrHFqtFWATuNiwQy2v3TbxzAXHFnHygEAiBHaoAQARAslkAUFoAEEcIspFvnuX3Ux + g03a+8OS9Js9TT8rHzcNmmamIRAGLTKK+zhygWO4EeoRRExtAczb6aQs+923KBWz/c+qEInEREQ2 + NjNjzN/7+MfRzOEjFuHGmx54vHQMpIalLWwi6BTWdFhfWawvBCq9hx4tVjNFp6ePSftO0kawIFrY + 4yosobSwDvOOV2ulfr3o3MwZ0/KZIQApnECZmJQ7L6U1cMYXzGB2oMKjKH/2zjvhpzi6/pkf+8nx + kcPEHUQPliVLnPvOrtiKrRU8t37s4noynW/UHoXjzotjEDCfhfF4/ETT8ngMIJB2iLA2Costjs3n + dOiSdWvf/NGP3Gwr7JvgQNW6GjDzLhTGqWKsQFQPscbYsgAw823pSmb0MiswdLB7XuyRHW5RRaTS + /dydd6JpMZthVKKdY1T3PUtyVaQIFhgBAWKh2Dvny7gc72yFM5lMJpPJZDKZTGaHpPDUoSCnKIo0 + UbTb7brQsdamKu4hZXY52Tr5PqSYahFJVVUnW1T6VVEUTdMURZHenCwwkn2Jcy4F1qZ6p3OzgplM + ZkBVu64r+6emiDGms7tt27Is01mZYqexMH9JmdPplRdy2g7dwmw2S0seQu6HCqLUP6SLxQtc00wm + k8lkMplMJpO5YEgSFEAUjEiwEXVdk5+OHNY9Sussmejb01k2AWBSmOF2UIEkv1mYK53ctEUFUIIQ + HJD8iEiXnZj2IkPrCEurR4NaJglLZE+as1woEOB67QsIslD4sIJjVGdG0Ygp8Vtf/vJ/eu31B+v9 + 3Xx2wHflzs2LBYi0UOuQAkIK0hPsezbGxDCqyiNNC8AC0m6MAZrPyuB+/Yprrnjd9//Ch/4UVq2R + RgJM+YI3w/c4yQ5qkEoJITI892JIHk5MQNNhkE/JTOZ8RDzNjsH7X7/h5huKWtY2SgYZDiEQMakQ + BIPoUjdtN5f6gE2W35A5SzD6ZAIFIm1KYhUqobXgw352EayKtKKjkufrRy+rV/6zK6/87W8/htEI + oaWq1E0rWyBdx4m3eqxmMplMJpPJZDKZTCaTyWQymUwmsweQNCuxrJcgMsA999zzptf9AKnSIhNo + L6PUT7+mjFuBVlW1cexo6YrCmlff+orf+I3f+C9++ZclCpYiiPS01oqcVd9FiQZ49NFH9bvFBV0I + sCKJZ0IIr3rVK3//T/6NMhgkqgCB6HlkN1tEOVddddUuxsWlmC4Ag1Pk7gYhe+9TVHNqWGrVLrZn + SPhOsTpElIplTu9UeuEsx/wsN4+IlqNhUxr3rrTw+WHmlAue9myKFdn1vZzJZM4l6awPITjnRKTr + OgDMzMzLFY7OuaZphgChTCZzxlGgjaGonHadqmClu/PzH39u/kwYhwhRHtw7RaiXA6mKYfgA19lX + X/e6Yl6XRR2DghhJhE0pIlFIe0/I8xtjvW9LU1S2mMSD1xy8eW39O2CIAoARhkJIesFbv7asJIMV + JZLsjUkFhUGco3JFi3C4faZc2ffJL9x+8ztu4agM2KrqYluYUpYGRX1CNuic3XqlAeR3vTdJcj5e + RHqfpzhjp7Nj44mbhmPYxw8/95UPfOz/eLJ9hA/J0+vTqqT9q5P5sY0mxKokNeh3vMpmuQTJ8q5Z + aOcWhqMAM3ddV/qy6CbveMPfeN21b3LTFfVmPJ40vpVIVWElRsdGQgSfRbE0p92qGjqZ1JOuaQ2o + tGWcdzdf/Oq3v+6v//Fdf9hyx0UBiiHEUYX+plBZkopbN9d3YZ8a0kYAgRXWAKIF83zqi9WyK9o7 + v3KbEN762mLfgYsQom+n++px8Lox37CmUJwf4/+yLNOtSrrD8t7XdV3U9snmW1948u4P3fP+qX1W + yxDYBJCIAak1BYXGr2PE1UsuvfVHX/XOcXfIRCKFsUbJKLNEiQJnR6JTAJFD6ldIYRYy+53S36KS + 6CKi1Xt/psSbMcZFWjap9CUe9PzPPc4mQ2MWQZWnZJHhvceizmPvP/RbZmFPaxZa6n4XOHZN09Vl + XRTu8LFnJgcOXLtyw9te/xN/9KnfOdw+BYrGMZFVigRto5rk/LS54nu0RiPCGzIKB7IgJUNMHtR8 + a+2hex6+i/bRLAopCmd8F4sUtqwGpKKsFJkIgS+/6KoXH7oeG0XB1IQOLGSNgQ1tZ8z5fPUCkJ5Q + KYGZFUQkQZ2tbn3xa//ykfvW2qftxDilrglUERlANqOrB6vjVAzImw8A+6ubEFQBi2k89sBjn//G + Uw/dfOBWDmIiLSp8GP0VS0CxPxrPRLJ1OqNFJJ3Uxhgi6qxvio0Hn/nCH93xfz7ZfkPKYEvThU4D + BGoZYtR3qAoUZdVskAnlTftv/rtv/fkDeolpbV2Mp+vrVTUKXdevJ3HkqAQoTL9lWOlsJltrICZD + TtUTKzv13MzMbF6u33HvRz/9wMdnZm1q12hEoQsGMAAIsQMrSq7QuNfe9EbTTUihnNynIeoYwhJJ + BWTPn84skzl3lGX9z//5b3/gA78HQFWNcQBiPOnJHmUYmnJZlG3XikQspk7SbEV6pp3NsjKZTCaT + yWQymUwmkzkjOOe898aYpFRMBtrpBnxHy1mWlHjvU6b1dDodj3MuW+ZCIcdaZzKZzGlB6FVPyiQw + BKUleQX105/99Go/q0i+6WztVrgOEIIyGNaBoAq1STNkoSPsG6NqcUBed/edUMAHNPF33/LWejS+ + pC5pPh1JqKtqNp1ewiUQpk0YF+Wsa4nA6g638emLJ2vOOZSkVafmqcL+rU/fBsug6qYIVAVsqYFh + jAA+gBjGJSlAXP6PIAzWPut6J9uHDaoJJMBG7NsPYkSBCmgE04EIoYSWIAsWmDkQEQ2Q4nXlFL6i + v4RpyniOAPq83pQrrEtJzbQ0H6oEMJC0DxEaQAFtg/EKyKizndfCyaQsAF0ouYY9y4AoFBA2NrbB + sDXjVUiAdShHUPSB0wKobNpkEhbZ0rwU3S3AqaypHDebzgJSqCRHN4ChBGIo98cZLRKLJQAMGkKr + FQigCAYMg0oS0hhKZwlCUMLZlFkvkp4NgkCVhMBGXWqWGihgC5YAZlAbTWnBUCaqK6iiA1b2ve7z + n0HbQltEef/rf/Qg1I2c72bcdqPgLxsXz82aF/FKM103qgGwwVoVRbXWmidWVjYm1RxSuoLnPhT1 + s6Pxv/fBP8P+EaxFJLgSbMFQWyjIAfsKN53OJ+MaCjAVhqARAJgMOw8Nna/KIhAEKPQFDajSzowa + zEqNUYmLVkACWoUSxAAAMVQQh0B0QPfQ+TIuy2zzmslkMplMJpPJZM42g/JymArKmdZ7ga7rlp0y + RERE0g4aKsCTm8bzT+CpaloOM1dVlV5MXiEpv3z4lJxpncnsImVZikjyqUmOOTHGyWQynJXJ32HZ + 8yXGmOb1Y4zj8Xg6nZ7G56Zs7LZtU6Z16haw6GeW1flZpp/JZDKZTCaTyWQyO2NJILA+j6UzTdMA + 6Dws0AXv4csX4murfYBw/5V642ZWJDlCXMqgPRmsAJSTeCdpb/bSw6Hn82hYROcu9Bl7quEXJAqK + sAywKCSQJutwUq5hIGg9m2IC8v/r449gtv5Lt9xSrfvylI2tFBRhABZAVZQUEFZlVcKmMCsuQj2V + MI/BEbqmBTAq0HQggSMUBsb7K7h45t7P/9d/5TX/05e/iDZWq6OcjP48DB0LLcLLlUERkdFahRVg + YVPGgOk3ZT4rM5nzktChm/+3N910oBXqZJ+pNfrIMao6EgIiAYuediANOXihCh78HwVY7loHb0R+ + vmt8ZgfwwgwxRVkLWBcOZcaAKUTIFXW9MW8aKJGJAasEjc11an/1JTf/1kMPYnU8C020VQEUlKSv + PAj1c2eeyWQymUwmk8lkMplMJpPJZDKZPQLp8bO5OhjNCBFB9Z577mFmDcEYo7pTA5dzxJAwJgCT + AqhGZQgBoiKyOlnp2pYgoet+7j3/wSc+edeHPvQhAMF7QxxVNuN4T33liDRGa20MAcCj3/hGWdbq + uz25ec4tJKRQ4MYbbwSwiHY6hb9LFkYKJkBx9dVXG2N2Kw5KRFJ1WNu2ZVkmy0g+m5lq35Wmaaqq + ijGmlNOyLIe05nNPqrwbNk6qlEllO7vSnsGOcygdGsqFUvN2sW2nSNq/RMTMMcbd3b+ZTObcY4zx + 3qeeSlVTn5ayEtMbVLUoiq7rmDlnWmcyZw8hRBZypW1jF5snN77x6S/f4UZuGoIWItyriZKNJ8Ck + rCpQiNKkvuimK2+hqXPOdBqgJQAhJRUsPD/T356pRNVdIYIEqtSwaBFWX3nd67943yejqgCsbMQC + iEYUwroZBrkNVu2YYSIU4MjMvB5RjuI3v/P1Lz78hZdf/yoHawvRNhCVRKRQ6WV11Mv8CVDWs7wx + 032B0p69FT6TsLK2cf9k/9FwNE7oU4987EOf+sN194xfmfvYjkaEoM36RuGMtepVUmonL06KHtqs + RMBC/UgAixUSD6kcFepNU7zrTX/3B67/UXPE1MZxVc4633mpq1WOHm1bFLZpGlOU29t5BiFRRHWw + hSk9NV3oHDmHwh+bvPnlb/v6kw997onPqJEuzosCpJp6gGToCaU+6n7hUwpJyZoMQAlKKBniMTJk + iKZrft++/Ueao3d8/bYjG0ff/cafvnnfLRuPNySzshpFomhV4/mh/0zeC+nOK8ZorbXWPtt857Pf + /NiHPvv7fnS4o2ALdBKFSyJWdKHrRpWlzuyXK3/2Lb/gNlYtF5YkSKfBUWGJyXuvAcW4lBAiR6Eo + pBA2CwvbyDt7QqBKqiCmdHuoGkWkW8S4vnDatk2eFYvlp5z0M7X40yS533jv9dSaEmMkot1u9Y6R + ReCuEcsQoQCoUgQIQrWpDbu19WPjlcn62trq5NBrrnndI+tf+ew37n7m8NMpx1pE2BEPW4mOT7bW + we12b0BCHJSgahUGbJSihjDH2qe++LEj9LSqkmMfpWBChLMQgkQQClKjNBMJBa287PpX2lhSZ8UQ + QYJGECw7AZu+fO58hWEEUGYAETDsRDxH85JLbjpUX77Oz/nYjsixwkcla3o/ZArpz4UWom4FaNPS + WBfSfSGwM/PpBhv36fvuePEbrypQMFTVsHKk5KoNkAgFkLCcmWdQqZNJ57UxJoXIboQjX16/5w9u + /50j7ePRRjVRNFpmQ0osItoRWoYQt3PCnK/Zd83fftPPH2qvrEeTUMj69OjIjf16W1WV186zKIlS + GsiZ/kp3Nsc8rOhCsNaSGiG1pWnMsccOP/iVp+//2H0fbuvpYXomkBZjmk714L7CzztGaSFBvCG2 + EVceuvzaS15cNDDULTKtrVHD6lzoGBLN2V2FTGZP0991bu3SY4wf/OCH3vve3wZARMw2RgUWkzfb + hgIKrcoqBFHVKDFlWvsuuNISUZo6Sd56RVEMEwSZTCaTyWQymUwmk8lkXggikm6xk091CCE9Fdzp + cpL+JJlpD/fsOdM6c0GRY60zmUzmdFAc72yoINrmGblwNzOc3CjhqgKAAbSXdRFCBJnkWxnEWzWw + VdPGciVpgDwpEALm4W/f9Ul0Ed0cGiARXfvhH3/bk42vJyuFYe89l6brglWzPire+In/G7VDLOEZ + tkbtsMqhqhnM6hAAQCrMPaoCzqbVUU55yYu5YFny01wOvT0liGGsMlFRA+i6UFQ1JAABxgAMLaGs + hEgmYEIQCz51qa8mZ9vUQl2IkAZN0mJXpDmdwRx2+H/xiiMIokc1BpkopIBzZEASI7NdXufhzwki + UAnBlFYFImIsw9hI/ceZpABT6aegJFlmUgQzeBEpDLdzcZ32nptiUtaxok+zVmARrD7suDSZnV5M + TnyEAgi9VMeLGq6c7WIoFlKhcwMPYos03S4gAxC8R2WhAmsdFEpKrui6zhWFd/sFUhZCmEAjuvCz + n/0svIBaiAcRfAPffOQtb31s6kejWh01TVObktUx1d+R7kc+dRtqgxDgKgSBMib7MKpgFFUhgZhJ + O6WSYjqRoxLTZFT3Z2iMUIElgAI0pZRXVSGCMGw5XQjjTldVxEWlrVBdhtgaVxJIVBgFqD9mTDqu + eDiiT1VpcdbPFwlmb5ceZTKZTCazC2wZEpCQbo52dWnMQElweSaFybz5NYk6szowk8l8r5AmhIZy + FCIaIq4zu8WyKDZZVwyVOclZY4i4xvPaaqQagLRzkxmHqqbFpuJwa21aSPqInGydyZx7Uq872Do4 + 55xzIYTht0Q0ZF0zs4gs51sT0XQ63bSpOmWG2PuyLIePHqqG0pIBiIiqMnPuHzKZTCaTyWR61EIZ + EIJob+7AgCRtw9KYjJHjMTOZCxnaVDtMaoMjs9K5MpbT2NYObQCrSaqB9MbBD4sVy3KJFFLYyxBS + F8MCFkTu30EpwzrZCwmWAq+PR5Yze5dnT1jD2Af4AKVFLq2QctJUpE9f9r/A6cs3dsa2ZNyFqRRv + tmTRGFn6i8wu0YesC5FGEMAGvTOTdLAOyiADWAe78r889LVfeclLW/Z1DGWQUuJg8xxp02yGF6Gp + AtZezyMAWKXf8wToprDILGQ8ClhrgkSjGDnqOgXgGF1ACLAAxF9sR+Hw4f/qhhv/6VcfwJxRONhU + 7cBYVjD2h91Soy7gQy3tTwZE+58VBGLQFgXkDsRXmUzmHKFLg5PjL+W9HFsEPmLe/Hc33rRyeDq2 + jmJUFAIlImeVYm961Qt6T2SCqYurAU7eC2yGXm+7xm/x2Drhe7ZDuuXTjjO42R6/Pbw46EkGsbpu + W6PhbUviE168vvlBm8tJkuOlxm93Pj2DIpbtg0aASYUAJjSdlMDR+TwCzromqkZVQDp/0BCa5hdv + uvG9X39otFrO0RqUAEDcjyMVoOO07plMJpPJZDKZTCaTyWQymUwmk8nsMlue4yvSpICIBOBrX39Y + IKrimGKMTHzCx/57jbZti6KIEowxMQQRiVFHo9HaxrH/8R/9wzs+dtvR9XUDRBVaFAedYCnPM/VA + BJEQY2FdDP7o0aMiwvQCbDvOZ3Qp8SVhrb3qqqsLa30MQRUn2cj9z8cfUZZIVC8+dKiwFj6evWY/ + D0OhWVmWKaYrpTXsVjyDqlZVhUVlIoDdzTxOSWCpWGaLCeauMFQMpdqcVBmUvlprRWT4MUVu7GJT + T0bavwCMMSLStm1q8O62KpPJnDNCCENHmgqcU1l6eiV1/kOd8q61MpM5j2Fgiz1OEplvXmqVAGVW + gOvZrCsdQjm/90t3TfnI0elhXjF+EXxI6PMOFVBiQxyjrJjJFZPLLxtfXrUTH6HGKi1c8UhImJWl + zw0dGiGyLeKaASin0XFSVZ39u4vjtGHbdVzDr5CSelWLotAQ2qZZ3X/RjS++2X2uMEpCJLTYyCQg + wcksiVL6oKCwHILUZdE1AQUKh5nfGJfTO+776PXX31DacTvvRmYcQkNkegsitQvhFRRL8mMSYOst + iZ5IZLesOiNdGBj2grdt2W+sHl0rjQAkDE67DJTU1VvfLMOiqH8/AIks2mvwDKdjLHmmHt+kgdPQ + vy0voFcyktAWwZ5u2Rq8fOz1Kj72wu06+TUc+cid/+9dD364nayFcj7zrTEo2SpCjBCjyiQCIhjp + dX2DaPC4ZimU0rHAQgDsqhlND89Hxehtb3jXa6/9wXF3sLQVBepiF0FVVREQfGugIsa58myPhlXV + simcPbZ+lC2Nx+P5vDXkJuaijbW1t7/h3Yc/evjB5x60lRAJsSzWlBcRgDKs69Iqb7baB0iEYy2K + AkLHpmv1Rbadr//lk5+R27u3vfxdt17+atmQJszNaNQ23jD3zqvbRZvDYpUH1eUWTnjwDMrWJIA8 + bpnLn0En0O/z5mHTH/xp7SwbNtAI0UBl5JE+sfHoR+//89sf+dC8fE64G49wrAEbWGtEIjTWtgzr + /iK+7Cff8DOr8UUH3aXUcgiNqxzg5vPGFKasXGxi13mwhQIUAeGlFVUSOa5VQ+NPslLJdpWIiKKq + knTUNXEOMCtYMXTKKYacdGs38vz4MJfYqkZSKAQQJY0MUiKFUSJVA1EwYBfbd7nn72Nv04em9ZJB + hLw9U/n4FSfl498j6bqUCNpGdEJx2K+sAoCxeZNJClYNaCNHEpAKGKKpbiH1YH2rCMIKoRNqiU90 + 3KWGbdtTZxYlodSpgkG8qNYCojDbzjdVVTWz2b7x/m7aOhm97TXvmk6nzdF7RbtGZhGijozp14SW + rn3SL39nx8NO2f5sdft1YWnjqjARCSSSEMgo6RTTI/HwPQ/eXRyk9W5uDNf1yvr6+srYdm2wQAwe + VPfXAqGa9t945S0UyLGJIq4aRW1811lrLS9/6OaO235lPKNOg6fGtjYsfj7uAGMIpXMPoMjOmChR + oj1UXXb56pXPhG883bZVwaasAiL6/bt5rdyyXotRx3GtCCFyRaFrvvTo5x572Q+urOyPvmKYNP7p + O6hF6yTVAS1OvROul/TXYgZksdm3jr6S7w0RCQkZmvPGmj77ePP1D3zkfdPySOdaV/JMVSMKw96L + M4gC58gW0FjEYK694uqfevM7D5oD1GIaj0YTq4N149fJGo+ZGBEOQgKIETbKRhhA5J0/kCLB1n4J + 2Hz2uzzqVhjpCE3TPfPMU498+6GHn/zKt4597TCejpP5hqzrGEyIqisrtLHRVcyIpMqu5IJKWecb + r7u5jHVBZaQpEKFKaizYwDBbIMhZPXszmT3O5ukmy9fij3/sjr//a7+OPplAB0Ot56Ft21SHzcSi + 4rvgCpueVtV1nUz29sLUQCaTyWQymUwmk8lkMt9jiEjKtE5u9qchERlc8dNXVe26Lsn8MpkLhL0o + D81kMpm9T1IKbCpglv+nLW9bfGf6bwiwg4mWTcEbAGDZpQnDqh7GNAYEWMLIoVSIQEeAQBUiP/6X + 9yMKtk+yMsMxDKCmt19kRkkmNZj6vp8J42K5qdR/6T84NZG3z9afKmQW1tjo1b3MQLFpB0f9VqHF + 73aw7C02ZMzbTCf7tw0/0LZfp8+FcQCgbBbOowQmU6QXUyPd8X/KABwDIANj+lTpof2Lw4A3P77/ + JKYlO8zT2LDU7xfe/Dl9v9h16RdmqR29ae/mhy1a5Ti9WBh7jord+vYs+YGmw970moPSAQCZXkCT + SoDSkeMAgIm5n9IrDPYVUAGN+lo+VcTwY/d9ceFVvMj0BqD2ZQawDLM4vAUpdh0mJcozW0BBJYH6 + I5/McCak8yC9LCA2S/uOeXFs0LavO9s23B8mhQNgXX83wgvt0ebZsalG2sEZc7bPF8Mu27xmMplM + JnMCVEljRNQ0YCRRCOtCodSLoYEULHPmkq37GL9Ul06Uvjkf/A1On+WQ1KZpqqo6jbjETCZzXpBu + EpdDTPem/cGFxnKZN44v5x52UHrxZJnWieG3aZZuCKYdXh+WnDNrM5mzyjDpPrg2DK8sB8zj+PN9 + CJ9OX5PnS3qz9354PX1NknosDJW2eMQQkbV2+a+Wz/r0PfW5jMCiF3r+HiaTyWQymUzmQoOUNQCB + ECXIBpUjgI1qmgFfinbjlHKdyWQuLEigqSswyduIyUsycSgcw8xi6wAfIZr8qpQWlksKitSn6RaL + SMgIBFYFTJr7EIUIYqfOkSrIRICZSCxUCkSotNQFBklSuwwP9HVhPAYFOBkHpTRsaKnh4vn8V2+4 + 5bcef7pTWOoMIjqFq1owM2zq20T6FG3aJug6CyzZm/BiqwKMCHQqDuwUBGESgUaNZlOP8sI/e8nB + eYs/W+Zk9AIaSceagV02weISMe0eQ1CGK8HlP3nsccxm/80tL79koynaqVFvAQVagldYAybT+Tiy + 9TTMDShyBLwVGGiKVY6MsJgKtAKrSSxHrBwVIkIEInS+P9G6AKAXQIHVUjgQ/Wi9+60brvvV++/D + xQdhgUgCYuPiIiG7ACACk6yLAELsz6ELSNAjIIZGIHUbrMrJ7FBhY4HoAKu8SMYdxFqZTGavIP3F + FP0VTakfZgjgknwzdhwCnj78m694xaF5N2KYEIkQtQOg0ptsJhPSTbfBxfVxWZIxqCikN9JKNnOp + HcdlWqeOBAApBDBLJp2iorTtPcfbSBmQSP9pFiYgEowBFOKsaUNUwDKCwlpuvThmUbHEQQUKU7q2 + 9ZbgBkkJDXZ7BCAIBFqXVdO1qmBjQgjWWImx4MJD5iKVJWOMSAgeBUMFClggKAKSDR0k9tt8uFlc + 3g7LNnP9Btzu9Hdy9HhvuGETsUIVTAiLH2P0BsxgwEX4LnYHK+LZ2q9ee+VvPfpoXY3g3OYwrwMs + ZuQtO97mpnocS929bn0hk8lkMplMJpPJZDKZTCaTyWQymTMGKQGkdFxUCgBmVhUwHnns21/75qPX + X30NgXl7btLuc1wh6vD43bKToEQGQIhKbAkIXSxAVx469I9+/dd/+Vd+Jc1ch0UdweZStj3AX0zg + LE1oaz9D3gXvCBsbG0F8aSxOIQ/ge5YhJoFASldefsW4qo9srJ/gjf3/PPxMzCoBADNHkZL4umuu + jTHuVnRnjHFL3RmWKtHOPanyJWU2i0jKN91S2JJ+G2M8g3XK1toQApaqaYwxMcYthTC7Xh0zFOwT + HpCRAAAgAElEQVSkLZM2yFAftFwWtGeLOofAcu99WpGcaZ3JXFBs752GTGsserkcaH3h8P+z96bB + tlzXfd9/rbV3d59z7vDew0RMBAGKsziTgkZLpUiyRjOSbNJ2pMSukqWKo1BK2XEclyofUq6UZSUW + 4yhlq+ySZVtRFEdDSZZDUTIJDiIpTjJBCARAkAAHgAAe8IZ77xm6e++9Vj7s7nPPGwjige8CeML+ + FerivnPP6dO9e/fu3d1rrd/6pGBmIrJOgC08XRhgBRmgY6QVA0wJqnXtu66LUX1dqylTg76W2i+r + Rx63hz5853vS1pw5RY1MIIPYxrWBsYFBXqJNVs2bXv5GDt6Ug7Jrqt4WDHWJnYqoh7EJlLK2UJUS + CEYRlLK+dFAsK2d7aP5PCZGP1CDJZDKEwZOBDiOmBpXpObpiBWAWyLyFeuInoe9mlX/xdS+56+yZ + VIXEGhliKqawIbZZx2UD6wsuzfHDGlUYrfbknUFdAgGL6vRDK/vkZz/5jbd9+/FmJyxTjkwDPKsA + jgygAIpGSWkMgIPRpv7W2Ih1sMny2mKezbUAFCzKo0Jy8PSGflU1dTQ1M88SYyRHc+yf6U67iQdN + gq7IqypUIeu4OGOMTtzEqgxRuMiizgyJY5BIBolerBFUZExqQgRiNVNSO/ciWzei3c7Tb2MsTpmh + cy6rBkvuIDweo8HXlwZENmjTlcwM+SJCGEBKiZhZKMjcju999L73f+jOD37+9IOh2TMJmrpKQIQU + IgPiOBrUkq3Lvl7s8tkM3qEPUAUkp3+Z65xb7F4fbvruN/9n3/HK73b7s7SCb5oudCzsSFVbAOKZ + jM1ozPk4Mmy4gOlTyzUBaEMkqaECY0nTa6qXvPXb/9avveuXH109uJcWfpuTKokAyt66kBioPfoV + xEHpUO+NMaNECeTRqQIdCOKRQmKBHJP/9PAHn9h7/C3f/tbX3fwNOq9dYMcVMWlKBvbsYKQpAcYs + oJhMzQzCRGYgBYNyds3YEwblc94Fh+3Go2BeiWEXxFJmzTxgZDb+nj/HxgQRoxgDGFxLryFa9F66 + ttueTNuDZaSE3fi5g/t/7yO/+WePffJgso8KpIgdpoAqEnqYeQKt7Fi4+vtu/8/f8MJv8gc76JnJ + parqTA2xqhygGnoSzpGbbDweDFmoDGMomQE5gSjLjPPQZMPPjdYAAITYzSZbfdsnDVLzAcLKtY+v + TnEDn9jlC0ZCZERmBTwuQYRKiIv5Ke96SdEQVKM5MxeTQZVERRKcDjlHEXUelEBxuLNEETCYEiDG + ZNl9yzqovHOMcNzYjTwoY8cxYT1KYxirFRKIWbQm4ifOfhl1r9Ln216DOXvQscPgYNoQLC5PrR67 + eXdRJSfmmGsijhAYM4EVYsxQQiIDGRsxmeYg5aFWLlSJc4SyEtgUlHcUjPTozNZsOVp4FK7nfQLA + 2NgiOjBUtRIXu8giVdy66vRNP/7mn1qcau967ONup4mIzNb2SQRkEAWME8MIxpGIcJS3QAlgyyfe + Yf2HuO51Itwo2B5K/IGikxTiDCpIfeqii6ud8Nt/9PtdE7q0qASIpiFOpelDSwI2aIQ49Brg4OFu + 2nnJdZMXSmsmfaQqJmOrJuwkBSWLJAYmO+c0tDas571JgGI9LznaWxZj6yvMxqFVgaGVxrMc53GA + DWqR2AyRTLw6iuyYEb11zatf9NpPfuqOZoolUkw8aSZ93zESSHHuUb8ORM/welKkICWCaWPLbjGt + F+/52Lte84Ov71edgM0Yno0Q+1AJV9K0bUvO4fC42Gix9UhLZlDAjJTMiMwSfFV3bZ+S1bXXFIdS + 3GbGRoIgy2V99s6zH/q1P/gXoVn23IG1N2WCEaKmrGmfeNct46RuVqv+qt2db7j99XM7dd/8Thcn + ecAkA8Cch878BflXO2fS+dSPALY8lR0U3ck0pRQ0mFnfdwpT1Zj6tu/btu37vrflw3sPnW3PzOfL + GHtiU06pCUl65UgMXkvqk3kPUxCZc7LSjlI6zte/8SXf7FOdElS8MQRwRi6qQnvfJTIy4iMbfwqF + Z5gxh2XzGuH87n04lJGKUNe1rvIxpknTdF0wko997GM//dM/owoCxlvOOugAbLijnn1XIYR8/98A + g1WV7/teYWoaQgAd3q1aq7CK07pQKBQKhUKhUCgUCoXLRQ69yz9zDMnTixI5r/Q9ERWndeH5xnM0 + QrRQKBSe+9A5//tqbzv3nV/xs+e/kqXFDHcZBuzz1uQrrPg5gtuvmXOf0wxG3tHEPH7R0wv1vaBh + v+Ijz6/WzIfrQxd7Eefvrwu+6ML23HznuQv5Ght2HWp13r8v9q+Lvnj+B5/xdLeN1vtK63DBOp2z + XwgQfpqd5kl4SivDuKDHysXf+TS+f7O3XPCA85z/PZ2n+8/E8VIoFAqFQuFSyBGH6+STy6W0HjP5 + n4NFDY4cVU0pNU2DMS+6UCgUCoVCofA0yA/dQwhVVXVdB6Cu65y0nysKEVHTNF3XZd11uvRyUXnh + fd+bWa7Ok4XZ+cm9qoYQSpmAQqFQKBQKha8FAyscSAC4ysXhBqRo33M9pDvq+lmnPQuPjQuFwrPH + UGsJNhSesCF/Gh5ACkGwAgiYDgOF4YKnGLlGQn4mYaOFWmnQWgOACLxLUAcBkAgGuKEgkmJ0QDJy + aTI97xvyP9Z1HLLaUgzTGGehR9c108mw4pUD4Aidwg/1Rs5PMn+mRrjDYjFkIIIQ9xo8eYRIXoSg + xGp2+bzWhafD4UnPaAzWQVasr2vWCAAwRMAM52H0C/fd/zMvfVkkOxY7Ca0DdOyfXUg1YRlXjWtU + EyzRBXWDaHSg6uirXtemY9imN/TwIwQwhWToOzJcW7uDMwc//7rX/w+fvQ9qaKacK/C2vTTV8HV8 + ziaef1w9XxgqwQAQO6zfLbkKF9golxjTEuxUKDxHWUfZbkTKMtD1YeqElyvE+L/c/o3HF8tZNGcY + Snyee25dj35PpRDphbEVYwnL4dsTnfNOG8t3nVdFbF3S7ryZx0rtxPbO/GDfiJmlgkCttY6BGFMl + lGBBEQ0pqTD1qrVIUo2AEFZdqISYYdHS2tU9jG8GwPsqpbTsWicUkzG0qlzXx5mbdLED0/HZbNku + Qoid4ljjQhunlQAwQwxJge1pc+qgnfKw/jQ2wrpW5roI6bpMz9MISNncFRful+GFXLMMSgBRQ6Y7 + E9lfdDPgRtDP3nTrOz7/BexUkcWJA4CUIFKLRKSnG4deKBQKhUKhUCgUCoVCoVAoFAqFwmXFzn+G + MChVVImQDAR85OMff/GLbu1WnRA/i+bap8JXVRBVzi1Xqx99y1t+8R3/5LGTjy9jarxbhXjhO9dq + mYuzkTVghrZtY4ysVj232+fy8iTPX7ILYTqdnp4fAGCQPmmehZlCPGJgIgOi6ete9zqNSYSfn2EE + 58HMMUZmzokzqppzW4hIRFR17Z++jE5rAOtlhhDW/uycsFMoFAqFQqFwRUBD5CwP1k+AzJQgRH1K + 1BOzaxo24r7viZIIR7StW7znT94Z3SLoApKq2segG5Geg4HSgIA4rSa+rW8+8aKaG2aHmCwl5khQ + MScqZGxgpWjIZuscx7VxFWZr3exQe8eeuXhaGxS4pLl9bLiq4g1b6hgABiUGkRGJRoBRufqGq27+ + zOm7O91XiqOocdiUscEPvypDNrrUhri7uH5b9O0y7X/krg+89rY31WG643fjKtR1E1NeleyvHWsR + Wo6sZUbOFs/XDmwEBRlYN2qH8uCSHeKVjaBQHjXSSYOIEJGFpBrVOaSUtJv3Z5MEM7OE5GxoDR5M + 6euPA5pY1wJIsSEYWKHKgKESSUFTCswgQQyDgnfdzuexjlUjDArMi+y5C8TSShs/ASMkMjVFUmZx + DCIhIBp5lggoJxKDD5FTr/0+n/y9P/q1zzzxqZNnHtHGeGpdaqPBO+iwneukA2YajwXKxwN0fSls + YMJyjtnUQbjvIgunZC7W2+nYX/muH/v6217Le26KbXHVYn/hXQ0zkBKytJj4KG2455Kt5GO1AQOB + ACbjmie2StdVN/7V7/nxX/ndX+IqLvt9EgkWE0w7zKaiqst929mRvk+jevb8HBDLhw2Ux0BKJez1 + y53rZmfaR//57/zT733zW37gW39U96ziY3GllWvI0IfeoomIYyEyAxgMAREnM6gZjIg2YxqzSPv8 + Wq9ZAbsOKCVVMF8go813hUhhNOYj5EMyKjnnKh80pJSEiUysVS/+YHngZhSq+efO3vNbH/iNB+b3 + Lep99Slp9AwAKUAEXowiSydVt/Wdr/3+b3jxX+Cll+DEUeh6OIzNdri+h2u1KeEef1kPkWQM8Jjp + oKNu2YZGMAJZXdeqikhCHmwkvEjLntpNke2orGUbLOFPFSOYWGepgXoSxxSsI01EBtRszOpYlSgk + iqPAXmmw2F4kV2htRldCIgZUzGEclvN3DmLLYUxW5I4xrg+yqFJJoYt+HtFGBRhszKYXVoru+zit + m9OrffWKgNrVXR+M3dhFFASYkDFoOOUpwJRH4+FLFYAxiA9XdIyRPuqjmId1GPuMrZviImOmqLh+ + Wofwl7/jv1j8x+Vnz97NU9f1beVZk7LljzObJlIACcZkX/Ue49NmGBnoPDWpAgrKw2oiy+cwJmMG + zEzEW6chJL9dH2D/i3v33/PYXWHSJYYo2JgMZpQElm9b5s8z1OCSu/HELRNsswGUjBQmNASQZyW8 + DiPgem0IwwBPo49Zc1Vx3ljho2sipiF3ZlMzDeT1NRqOo6y2NiVjIIHU1rcrjQF2NrnhqpsrnXDc + M++Io6XWUVxPRfCVbybnozLjnGv7QAlVQ6v5/pfOPPgnn/nQ7bd9O/cUWlhKMcZmUmlMXRtnk+1V + iIdZkOdsGGPYKiWwkZIxmTJJFztDT07qWphJLTl2Qn7Vr8CIvp37U3d++aO/fsev6G7Xa2cUlc7J + RzACg2JUS/Bw5mx/78x7P/Du+ellpTOyI7R4HAbw81DdJ5qama/duNFQJFVV1Ug9ttDZMtVKDcZn + Dbq+pbmeSa7RLOI2kPmbjr/omulNvPIikogNDgZSEksgJLLEcJdcRqhQ+PNDSlEc5ccHbds7V919 + z70/+ZP/tQ35iXZ+NrTZbDZbLBYbS0gAmNnM8gMIVe26Lsu0CoVCoVAoFAqFQqFQKBQKhec+5U5W + oVAoFAqFQqFQKBQKhULhikRVmbnrurqumbnv+6qqAGQnYqFQKBQKhULhUsmy6py4Utd1jpXPIur1 + 6ymlXB3paTitvfchhBACABGJMRJRrtGTl2lmuUZPoVAoFAqFQuFpowSeTqEKNZBbF2pgXwEArWv3 + jAnwxWxdKDzfGMserQtUDBZc2KIRcsfcfL/rdbtqVn2bS2hheNta3Qxb+6gJBIiBDWyQukJIMHZg + sAAgQkxwfP5Qo1C6RAchwcCgVYAA4tq+95OagYY3igYRwNk4+UyMbQlsUEcAK4hhQIIQFto2vkkh + iqugUDMjY5Ei030OwOcWJhts6GM3H0qXwRgEEGOrQtv975+/D3386Ve8cmdxcDXSLAbXR0RMHfoI + Ahz1neoUlMvCBbJcq1sUBAhgQCCErOuEiT1ZEfBkyKVEKi9CaNt+1riDs/v//cve8Av3/BmqCOcA + ndRVXAU38ZGAHA5uBGIbv7FQKBSuFAw8lPUc4WwqNoAUPqFfodO/88Kvm60Wxyp/pDW8cqFMAGoQ + IEEHpzUhYZj5HK6nAYCYIhfeUgwF0IyV4Bl7i4MW2Gpkf9UaMCF4ApOYcwd9p4Rq2iwW7UxcH2Lj + ZRGSALPZ5GCxqhleeN4nL2Sb2zzOyFah364rDtolOzZr9hdthNYVLfoVEwhYLRaOAMK05r6LBsz7 + FAABtqoq9n1cxOOuCqkHILapFB9q7aSxICNIaWOTjw4jLK31Tg5WvQMckYt0a+Bf+t4f+On3/vu0 + dczBoY3wDiExk2NsFFn9KpQL30KhUCgUCoVCoVAoFAqFQqFQKDwrMJMmM8K73vWuH3/rX0vonXNX + esy8qk4mk62trbe//e1/9+//A4zi3qdDTgU1AxBNLallxVlhzJ/d3d196ORjWKvOnpwxy4OAW268 + 6cSJY7Et+uSBGGP2RuRMZGbe39/f3t7OSS7ZwJffuU5vuSzfm+XZzMzMOZsmKzEuy8ILhUKhUCgU + jhqC8mh0HVTHlG2nCAZ2zsglVVImccZwtW/jXOvF5x+/95P3fCxu9YmjElSDjfOtPM3KpkMl7ZGa + htBPr7r2Rg0iBgeV1GUJpUtCxkBMAuWkBKc5CleHWCYTBQAGGMbZO5qGWCjjiwoRLydqHLPLd+2Y + zqbYLLYk43GTVQyJLVoyJmJOMQHO0/SWF7xMPv1e7+bGENNB9vx0o524dsvF8tGDL370rvf+wOvf + Gg9SxTMKTBQTR+WolBWtkBwbR2yEBCETgxtW3FTJjHSMiMuW2/x7DsSKSpHJ0pigZEm9c5ySS4mZ + aqaIpBb252fAyUiNdD3HJoIS5HDGPdi7BWCDS3CqojGximl+m+MI6pT6aG0f64odCVuC13O01msb + qx5GjJ87sacszx62K198pjHIP2u8bZ1fAVYhqcQTNKbUBaTguG6kWq06aUQRF2mfpyG6xafu/cS7 + P/HOR+nLCzkI20ldUGfqYIZO4QgK5M7qsyA8y5I5Jz5oTscYAvYAIt6a+TDvJnXdUL086I7Njm3X + V//1v/hjLz7+Ku4c9eKqxoIh8XRruugX6w0EeN0QRx5SngMaSbPlmKGwxICTahHaygtk9oKt6//6 + D/yNX/v3v9Jpisf6gOAUaQlXcd9hUrtVG4mZTcUGJ3QiTTwMNfnQZjAZjDTnAjiHPnbLrr32+us/ + fNe7v/CF+3/0e/7qtdUNx9wLEPsIA8NPPRghhL7tGl8xsagQEczIogFsKulQuztqTbPWfZQcE2zj + 95x9MMpHh6OVDGxwRhuS9fVtDYohJMfEbBolYWpTNu5Dz9vVmebRO+5553v+7PfP4lQrrVSgFIng + q2p/2UMwrRCW6RhPq27nNTd+43e/4Uem8bi1tL2zdeaJ07PpdkqXMrgZi2WxKWfj8LjVQjTqrUfY + WDnCW+ijt8ZxpSkw02o1B6UkIYqaZTszA5w99JfkME7EC3KnY6qpquAaIglJvJGpmUjynBwQjaJy + UlkkgtOsayWMo6tC2BD5UAKtxEqsABuxgfKwQzH3Uss2Yowy6ezGNSZjwHlmTcnEAvpV16JiwPgw + 6UoxJlgR1MAdXKhnJ+eLDjyRCSUv0ZxAETetwInYWHKnssHMDUI2gQPgIckrdyuTw3QQ0iMNmb4k + jBQVRP2LJi/94W9427+84xdPp0fEVaqDkz6xAmCDKEdSItA5QuXLjBIiOSMiYzZmzUddgEVGACkN + vd3BmFSMRPpYVU2KNtlpTq4e0hPLP3zv/9vSySBBCYADMSMpDS7maABzMuQezmovvvHWyiqvRorE + gxE5UT4xmiCxhmzRBmA4nPboaDF/BiOZGWBbj0W5Q+W493GnjHOkmP8kWiXmYKqsQUJiBjQxweTa + q2+Z+eP78bQwyGLOn0t6CecYJQSOkeAC6qoOrKfbxz/4mTtuu/Ulx+kGT1tTmbWhtQACE6AhegvD + qdw4H/Q6TvbyVokCILacGm3M4oRAFqwNSa1XjTStmxCS99Jh2fqDDz/0nn/3nn9bT/3qoOMp0sX2 + R74lSIQQQr5DuDffa7WNk/A0dsNTh9TlmXL+OcyaSOchEJGSERGxERGIQNr1gRjMlEsA5ccNX6nm + opIaKykcIB2//JWvnvotaklTGqYmJvltyjpMUEr+Y+HPFRf2Zz3/rxvZpst2tbOzE2Pq+77y0wce + ePCnfuqn5vNlHsXtvLHPwMyLxUJEUkq5PFeuyrV+Dph/qevazNYPKQqFQqFQKBQKhUKhUCgUCoXn + MuUeVqFQKBQKhUKhUCgUCoVC4YqEmWOMdV3nf4oMAozLlS5eKBQKhUKh8HyDmc1MRMys67qmaUII + s9ksF5nKRZFCCESUpdSXuvwcgt/3/foVM6vrOsYYY2zbNq9D13U5Un89wSsUCoVCoVAoPHWMMLvq + GKY1nOu7ILUHOHVRqsM4sawoI9rIviyCr0LhecBhhauNm+gEhuXySO4XPvWJv3vDTbcIaiCkCDDM + lCyXgsqlRobyXwCwrkF1+HPed6jrPMpYCHCeAMk1VeiwEAYNMuFc5uyp4lTRLVHtQBmhr2fby2RT + IY0gN2xMXjd9RssnMBBxWNwKACphICaLQg4EBsE81EpVh2cdy31+PO/RoZ9dRz36UBVorJrGmEzI + FNz/0ufux3z+37361ccXix2ns6SUUHsI0AWtxtIdANgo1zfJTuvcMXOhscQAkOsmPUnZptp51rTo + 08SzKg7aeNVsGk7P/95r3vSP7/oItidwjJRc7UMXtXYAu3HzUMqHFAqFK4q1sHms04jDun4hAtG5 + HqvF//iSV720msSYnCY6yhptRoe1w4b1MazN1mTrsRZjXcJz6oeSIZ9QyKBESW1ScQiBPWYN9wtV + QpsS9eq9i7C+72ez6dnF8sT29qJreVotQtwzs1kz79q6qqyhoEM1rvUcLldCrJjOpBhC2Jo2qwQ4 + mdT1vO/dlPsQK5LYBw8iNXTqCUpkhNl0slqt2j5UJFEjK4OYTAeV+GizTrkp6Jy52lC36igvHpXU + DGrmQLWvLFqKaRv00Kc/DdW6n0MIdQUFvCAGV/kjXJtCoVAoFAqFQqFQKBQKhUKhUCgULguU7W/4 + yEc+slgsZuKI6Ejvtz8DEFFKqeu6t771rf/kF9/xpcdOikiI6WtbJmAQEeGSRDBgZsyys7OT/0lE + Xz1xNpuENNXMb3rTm0LXMT0VG/bzgmx56boupySvVqvJZJI90865GGNKKf9uZil9Tf15k77v18vP + CTVd1+X0nMv1FYVCoVAoFApHhxFgCihoHX3LZAAxknnfqKIPScREQMKtLcOk27Mvf+iuOxbYa22h + AmOEaJ4H8/Pa3WuUJbVQjcePXV3V29pyiuaFmHIglwAOYCMDReVkpFAxYoISGMYwliFylgw8LlmR + hZYA2yjAPgpIN4LgkH/hwQV48Tg3Q0pqYGZHamTRX3/VzaKelZwCUF63/KVjhJAi10IcPvTJO17z + wjfeuvVKjdCg7GHQyCkxTAXKYsw2OB1zaykoNzigRmG0O68nrgpAbG2AhpJmKyuZMguZWeydUSUV + myUTVTx+6lF2SM6MQTI0S16ondNG2Ug+xFezMQCG8vj1fdfXPGsmlasd9+zJGWjVtl5qjKs0GJ3H + LIfxF7Kn4NpdxwHaEDXHwzYqUoq9mphW7CpHZtTbqjlen12dSk3QZnnPQ598/3969wOP3Nv65cKt + qGYV64KGCO8hDoiwBDKAlI2HvZwb33gUnepotGYDYrLVqjs+qdBZXOAEX3Pbzsu++5v+0m27r5Jl + 09DU+bpf9BXqrel0tVrl+L/1dirApGRHfdHBowR6yElRQEgBdO1ie2d6ZnEKSbe2jt28fdvbvutv + /uZ7/68H9z/dnHDWxt2dyZknVtvHJok4dH1FfrAL51BS0nWaG5lyPtgBMmZVJU2m0eLW7vT0wclZ + Pfvi3vKf/T8//z23/9C3vvy7KtsiEuG610BBhPzW1k5cdQCUlIgIICIaEgb0vHw6G9MMcDieMKA6 + 9Cgd/rrRp9iYbOy6w2tKBiOw45QSSJmYQJ6cAIuwb7P0BB79d+/51TtPfmyPHtUqmBEiKkEiHLT9 + 7FgzX7aLFjfvXLP4QvfGW27/kW/7sWl7la1oVk/290/X0yoiEAGXvJeHTbDR1nzR/Zo3JwaFwnMj + oDb2ENvbPwUXE8coUAUrKI/DFu0Sg3uNdGWL0+3etVOLgUyFzbNR0p7NwZwNUausFJQjwYjARoCD + 8YZ1Ne8dGKsRYNlqnwcPQbahwykikAb1NSuMsXYOE0BgY1MxhVV60B60/cJqYiNTAfTQdA4AIFMj + SOX60J+Zn4oUwL5fwUsNSkCv0KyJBWAghbNxwLRxVNLN0XWtwV6v1XMP9k4XRGfllde85ge/8Yd/ + 60P/9wIHiYJpGicJmoPAxUjpaNNaDGyERCBSZIG15e8c9vuY4TV0cjI07Ltl30x29/sDd5zef+97 + Pv3QR/nqYBwVDHKAGsVhFDIkOBaXIsRABFG58bqbrTdOFRsxw6Agg1Eizh1lM1+PRhuzAjxEnPMz + mO6mml3Ph43Ao3teGIc9ekx3IjIahdyIPCQJKrEZat9cu3vd46c+b2kwvauCL+WYN0KEiYckIBiJ + xaa//+w9H7z/vd/10h+S4DXUU5ku27lUzlWuXc6rinNMvgLDiLo+UebTgQHIZ3gDKPZJamcUoIkZ + JA6OwRDieTqbdpZ/8rk7fuP9v8rHdBmCm0iki9/663sVQdV4TUpCxGyw2e50FZeJ8xiDy/4TBnLD + mS7brNedRmrJm635PEvDvnRMZJwl3KqaVdxP0v5wGqNW0e3wzqtufS11joiidkP+ANgIRtHyLP/I + 5w+FwnOaY8eOt21LRDB+7LHH/5u//dMPP/xYVbm+f7ISW7nqaV3XXdflV5xzKSVVVVUiUlURKU7r + QqFQKBQKhUKhUCgUCoXCFUG5jVUoFAqFQqFQKBQKhUKhULhSySniOsI8RFs+2+tVKBQKhUKhcEWy + OZVqmoaI8osARCR7pnNaC8bCPZe0fBHp+76qqnXFHwBd1/V9n1Jqmgaj6Dq/+fJtWaFQKBQKhcLz + iET4n979R3CMGKppk8CWzFUOinWZEh1Fm4VC4flGAgRMYBjIwDSK7hUIERX8tVPdX1VmqxgnXPUW + yIbyyoJc4QU41E8CuYSL5SGFMWmgij7BeXIOax/kULiHAZaxZI8RdPRhPxUq1f/qRS/6119+FClh + dycBzEQRwoc230NNMTZePDKGqmG5nEduSQYYjngRllvVtFfrjRqBy0U/6MhXqfCVOPSdG5vviLIA + ACAASURBVAMqh8WkcneWoaNiqA6mQNRemPuotato4qgPdOLEL372vr/xkpdeH/yJ+fx4UgRL2QBK + WD+eIpgb6p/xRqUTZbMqDVbUJ8EBqz54poYAtWNbk9VqpX24jvz+2fl/+4rX/h+f+TRcj9k2TH3l + +mELGaTjCuSCR6WSSKFQuGIwaB67OBdhyuOkB2LC3sH/+n3fd/zgwFLc8rM2dvQkVZe+ZpSgnKsf + DpptwkXGbbFBa50IgaEEMTiFmObrLAWi6pb3weIiYuJxcKAJ2K3ReDlo05YZkplpSr139Zne5ibm + Jml78uhq7q469s/+9GOoGERIuU4obUybFAokhSqi/ewb3xzP7F29MzvV9korDstK9erpzAJg1Ihr + 05KNa/FnUtfOl5VzK8QpUyV123cgJrDLhgMgAkE0V48lA40CbzFI3i47QrM1G5yACQGWQlohNtVU + Pc1C//evveUfffEL2F2hJsCjVW78er534am1zLkKhUKhUCgUCoVCoVAoFAqFQqHwXIBAqmCGGR49 + eeqe++5909e/uo/hStfZElHo+8lkUjv+/u///l/+V7+qemnuovMXmAV0GLXW9jUt7c8NREREOb0C + Q2bHhU9F1sI2AOoqH3tlwBG//vWv996HrmcuT06AMTVm3Z6TyQSAmW3m0WxqJy4XzLyZehNCKO6K + QqFQKBQKVxaJGABI1y5PNkdGDE+RLSbHIo6NekW/0v00af/0nj++60t/GidtRykQ2MExkHiQyI4o + KUEbA3fxhutuoCgElwAhidBEno3NBFBQAHVMQQnGtSrYcqSZY8MYQsRKYKiSGamSGic1cDpKteYQ + vstjbNcg2AaQHdubX20EhTI5s2RQZq/GsbOd3asnbrvVR/O09GuMhG8Ddrer/f3T0eK7PvJ7b/vO + a66uJ6LZAsugAECJBYMNV0wNCVAgjSLYvGsikAACmMyBFBTY1KkAnBhsnChLLQ0AO0YyM2PiFKk3 + wCZKzcMnHw3UKqLRoARONiQmrL9uaDuDEhJg5IQ8kRkhUQRABkdESrEPseu5DxEB7Lyvk2FQ3wIY + dI9PtrsZxLYOO1u/k3lMlNhoeWUD1Bx7ZsrJ9V2KkWJHyxi+HLaWDz5x3/s/9e67H7ozTlpcYwft + EkTaGwTOM0FThEb48XsMWbh7aBMlUxiPvQV5Y0GqgmpG876fYrYrJ77xlm/9S7f/5WNy7f4T3bTZ + 8jTRXitUjn225a0zxNYpHgDWseVHxxhMOMhbaTjGtan92Scee8EN1z1y+lHvJsfd9a9+wdXxW9Kv + f/D/7PqDNugq0fZVuwfLPV+hbpxFwLyC2TT3UtFR3gkAkeDYeLAXE8Sxctprl02FlaknIVr9zof/ + 7cfv+ci3vu473/Cq26e225+hKk4c1dpFL5WZqvVKAwBUY++wHpRGi+fYaDZaXYHRRJ6F0JZN14Np + G1ACD02hkcYPA0ZqHENKU3gP6QNWurSJtlftf+Kh9//WH//GYnK2na5CSEiYeA5tspx0Ipgv28nE + kUp7kt9wy3e85Zt//ITdXKcalLTvGZpSErnEkY00kRkpmY03Xnjc9nPemAASNVIoRJ1ALCkTWHDm + 7ElFnyQmRiIIgROzHe6vS1mfZLQ4u/9F2X0NdaoqDE9gU1USEFMWB3MeqZKRMlhscKiT0vo44kFl + bYASBcl3mYxB3ohHyT1jOHEMY8b562swc8likv6Rx7/YowdgKtlqaaRKMILoOk9DmUOMe3vzh6QJ + fReMZ0IC6nW4y8WAW482NDja83dt7LthzcO4TrmT06ULy48Y49imWqaesFqmb3vx9+7tLX7vT3+9 + Ou57U4WCEuXTHJhN2JCO8v4eQcVAeaAmzdlABlZjWA2sj18DFBLYICqeeREXYbp6pH3gDz/xu7Tb + LrRPDmZOSUGaR/7h3EacQIokIA/U1ly1fQ094Vi9GItGlcSmSmykAJuynTMZGGA777bp4Ga2Ix2i + SRkJBIWAsi9ZgVEUbQwog0atcgLAsAQFWMEMN4TRIwKWwuoFJ47df4pjSgYGqV36LIUI7MGJQwgq + qmJn2kff9+k/esVNr3pxM1k+bldNrq+ssZBAypVPcLmhCAmkZApYPkEAysgO+JyxRTAHFlWN1olT + J06BpNrH6GvpmsUf3vvb7/zE79RbtOhWMvPL2Prx/JUXuF5P8VlqnlTVsWeg67pIDo6JlQzIGWGX + 9yeQ89LWtyhzRhoRoiYbZ5dmeaSCAh5YC62H5r3wDvB6bCck1ko8H9BLbn7FjTu30J4nInMKMjIm + E5glNqO8bN5sk0LhzxMXS2TOOZiHrJadcz6ltFq1P/GTP3nPZz7nvfR93BzeiczM8sfUtKqqvu9F + pOs6730IIT8UyA/OiCilVB4KFAqFQqFQKBQKhUKhUCgUriDKzaxCoVAoFAqFQqFQKBQKhcIViZmZ + WS7i4L0HkOXWz/Z6FQqFQqFQKFyp5KyVrK9eq0GISERijOtI+pQSEYUQLnX5eaoWQjjMqLHD8P6+ + 75nZOZdzaPMEr1AoFAqFQqFwqSRiCMExvO9j8s6REDrADebVcvusUHg+M1jt1/LdbGLOFQ6qCvOg + XtSsi6iJk6Z1mYn8fzlXaJ3rztCG1vGgj/CCqkavqJwRuj7VlWwuJdf4SPjqct/zcBpvqBt0K+wc + AyNFVA4wgxkYBtC5a/sMQBs/h98IMLi+3fUSY8tu6vPfU5ErPsscVqQjwHjoyrnuzzkVVXh9rvQs + BnOOc3d1tRcDbPqr994PTX/nZS83sslqJavlrOLUae7ORoCBYATKlTzG6mAspmaW6xk9CcxcmbVq + U+/mIS7nKw8gBYfVJIYbbYpFhxM1tE9wasLixuXl2ljlVF8oFK48aPA2A8hl5fKrCaFF23d33fvC + 2tsyIoVaPDQ+yaK+RgxIBCV4HSc543Rls/7dJkrnVLHRcRxWIIQQgB3PyiJVaqrqVNv2rG53a9Gn + jrWezuYxXXfrbT/zH/4DhOEcNGEyQcVg60l9NSWV3DZK+buMADElM6w6eHrH3XcjKlYdpIL1QPcT + L37RAcuxE8efOH22Sv2N29ceHJyx1G/Vsz50IrJFHELvUmIg36SPufAYDUUzN6GLl+85KjQhwHb9 + ZBG67Wpnrz9Y9Tab+JnyP3zpK3/uwc8gERioPcZTaplkFQqFQqFQKBQKhUKhUCgUCoVC4dmH9CK6 + 4ayZUGNHSAbg/X/8gTe++rUitBlFfyWSUtqaTpdtq5He9ra3/fK/+tWkJkIpPa3t0kF7tT2ZXuYV + vXJQQMaQg03MbG1EHiUl9CTdJ4XIIIL1KX7bt3xz7EMlzjQd4apfOWR99TpTpus6EXHO5ewV51yM + seu6/Mum6/prRHXwponI/v7+evki8tU/XCgUCoVCofCsY4MQVCkBCorZjGgkBIoxAWiapo+rPqzq + ibOG73zs0++/8w9bv9/Lwm+hC7BBOQjkSKSs+CRkeWRl8L3s1Ltk7MiByCgFDcSVAkQ59F2BQROK + tR/aztX3kTJMoQw1KMPUlA3AEc+7voJ5dDC8kuaY+RzpZGBHDGVNJqQAVLWuqlkzPdNfHoNp7dCF + lh36pr3n8Ts/dM97vu8Nf8VsykEAiDJYYQoiIwclNlbW0X+sQDKATUEKU0CGixQDKBJAOfTaWBlp + 2J/KUE1KZizERH0MCvLTKlX28MkvRXRqSe2cCxMj1rVMcmNHJoYpgpgojFXzVZJB2DOzEbiWympd + wUwr38QQslV00NZCATbSdZufx7ozkjEDhwHmxrmn5euyQahszCAzNUsJ0aqkPnRuucTZex++60/u + ev+9D3/KJn3aCfPUpoDJFigywIqU1AQkBFVYb8QOBiVlU9DGJpOKQsGDGZp0WIcEpzV31TG6/i3f + 8iPffOtfqE43td+5pnFdCN2qc+R9ValqSqlq6j51523pMx1WZ9kwqkYAzBCnk2Z+dv/E7Ph8tWqa + mXXxDS/8lmor/ovf/Kc7u5P9NJ8v535aEaUQokMFmJEmMqyzVDAkaJABFGFuLf1NSGbgGiysmjrE + iK7arR9YffoL73vwg/d84Nte952vveVNtbl+Oa/chHRU1eYUGiYzpM0I0TFwdTNaMvc+Nj38O9lg + rLa1GZ3Hv6lRHi0BKMiMYJQmW5JiWHb7bsvZVP/sy3d+4GN3fPTz78WxdpnmJOSd9CuVhrm22Ct5 + NA57B7BoW2H31p1X/eh3/JcvcLdWqya1/WRatzFawmxrNp8vvKuf+i5a5zVY3hAgZ7mQQYnPCxM1 + AMaViCVS1aQBVUrSPfLEQ9F6IyQGJYB41K1m8/clya0jS/fY4w/YC1eQoGDHTjXB2CTBYoIalEgB + JhOAYaRgBlN2ituFYnXOEbYMhUHzEQ+FMYMvMihQDlnOY6BjZjCiWz148jPwSgKnYja2Bw1ZmQwm + UzFAjbXfO3i417MubU+a3W7VOTEbFus3v5FtHSbtNryY2XOfRyYdm/45JrQeYDN4cbAgsaoPdv7i + a3/osb0vfuTzf8yTxrhjS8itSeqOfgRig1hEtv+aKg22cQOAPA6PamcoKCmg0erZdC8+gZ3ud3/v + N06lR2LTI5czITVAEWk4iyCfSpSUiMngSDzXnj1AMJ9PTKxDAHw+XhScJfeZ9ejCGDzZIB0PNL1k + DfzTQfM3KhTEMDd8rzFYAbWsTiYw8rwgD+CUh8ahNQks3Ia0Nd2uqI7WwVyiPhFobO6niBmQYAQS + mCBq5CkeW37pDz7x+3/tW666fvc2DR2DzLiLrTQuRQDMpkZ8bpKUAkO4u5HCOE8VKqlCCGTw5Lq2 + DSnVk6nW8ZH0pff92bv+4O7fDpM5GZyn/W5ZT0ij8cWmcMykailpMpBFYpJKXOW62EGH8eDy/xzj + +dfQ+n+KoXPzMOgZYAa14bxLRETGzGamanSxrmWElIxIJmnyulvfLJ33VidNLPl7hznr0OcP7ewl + N63w/EUVKdnb3/6zd911L4AYlIl14zjdfHbAzH3fZ3c1gHUlrvxcoOu6uq6z07rv+6qqnskNKRQK + hUKhUCgUCoVCoVAoFJ4eRWtdKBQKhUKhUCgUCoVCoVC4IiGibEYUkfl8Pp1OmTlbGJ/tVSsUCoVC + oVC4IskFeqqqYmYiquu6bVtVVdXZbLZYLACsnda5mM6lLj+XATIzM8tB+SklM3POOedywoyIiIiq + Mj838+4KhUKhUCgUntMoA7nwCXl2AmxkEI9pybZOG7dzXi8UCn++yaUMhoIDBlwolna+X65yTYSq + qlZdNxRRMQAQIOVqGoTEwFhlY11dJRH/63vuhq/QBzTTrm2TiK/8sPCxmAIZxBBxaQUsAFRqV6WI + 0IFtEXUqTAlgCv2KJ40BAiaAc6EYO1dVfGQwciUtAyNma3iK6IGudzNR6xgTM6DCWCqr8KyRe2yk + dYU7gFyCMgFgGqWeCvjhzdZ1q6ppurQSZYGDElBj6oH0v33p82gXf+sVr7hle3b2scdvkMpSrwwl + KCAGMmNLMtQ6Oix8Rrn/I5d+uchhsFQ91jRd2/YhbnmnBCJquyCkxx3vrNp//JJX/737P44XHAO7 + BKo3u3ouY0R6iYdXoVAoPLsoA2QM5Y3pQcRygdj/z7e+/BajmFYGqPbeeTviGknrSmFiEAOPZZnM + kGiYC7EhF+QywKVcKkoNiBun+okAEAkpBT6LUE9PPLKY49g1Zxw90Xf/5gufgyM4RtdhugVixAjv + 4ao8o0ldV1Vbcdm7eijvRoS1xDmR9CFMZ7PUteIc1DBzUENQ+OpfnnwMIQKMlH7+B3/ozvs+N92e + XiXV42f3rplMl6v9KagBapgHgmnPWDoowyU4Q5MAIBESwQgJQz2sfJa8aK3PywUBjlED+2HlIfN+ + v6ka5tB2wQMzs5+76ev+4ecewJRQ+5agQPUV6s5e5GK3XP8WCoVCoVAoFAqFQqFQKBQKhULhaHmy + RxhGUMMf/NEf/u2f+MlZ1Vi6tDj85xyaEwIsduHNb3zTNcd3T57Z+6oO4Iv/OWuGzQDs7u4yYHak + jyOuGLKkRE2XXZsbRHWMPNmI5xkZup+ZiRAn3HDtda96xSvCqqtn0yK1zuRklhCC9x5AXdcAcj7y + 2bNnjx8/LiJEFGMUkZztclnIBusYYwghZ0BniUWhUCgUCoXCFYKT5JXACEo9oEaahthxNiEhB2gM + HZGJ2d7BEx/+1B1f3Pvs1lXSJ3gHNsQEUsgQrMubjmpWrmLdxN3VfufBaqFyPmkAJVAwEgNMAXIw + kPLo3UsGVigj6mD6Q14sQxXmTM0A0q/knL6M0PAVwxfl6xkltezIPFdrTcYcnINnjcYEUUiI2kUE + ADDYWng8mn0vFmv8JCsDL27VxaZxyzSvquaO+/6/q6+77hVXv2HLTlSxFhVRBkUdBLGODaykbCA1 + UkDXIWKUlb3mYAKCWXZceiNJBAWPe4EAJg1kRqQkliSgdp0PD+09eGpxEhNTSrkpjMAK4mxlvNje + IahERcy2xtwgDHQxTZzvLOx3c+It37iu7WPSCkQY3OeGvNOhUB7+fbhTABhZGpINQJoDFyGjlzQ3 + NR1e3DMbiDhS6P0qVvMDOfPgmc98/HMfv/uLd54JZwK3dALskTR4oYrIWrWYvDc2i50xw9c1i+tT + LypKgKkiy0Q172JREFQMRpxIE6sRRDHFDKfqN974bT/0TW+9obqZz7jt2TGL1neRTbanW1Drul5E + XOVX/YpdlijnrrjeYN7cniPgcOGEoYcAqkAyrapqtVphRbVM+tYqmtI+Xt7c/g9+7B+949d/fueY + kY+LNAczMSn6w4WZY/CQpLE+HAzKETZcTKU+UQUi7K906iENVnNMm8CeCP3987u/cMcDH7jmfd/w + stu//ubXX1tfp3vc0KxiT0bBVGFJlA2sTg7tsud2SON1zxnfkveaIqcGGIOyKTl/MDvg82aYQYHI + lA7as2hS2G7vP3XP+z52x90PfXLFC7pKDYETU7AU4ondyZn9lQjECxH1+/GW3ePhtLtt5+v/5ve/ + /Vq6Sefo+262Vc8XB8y8s33V8mBZuRqXkpTDxgqFDRGX60Ep/+miQw2ZN1OTlKRNsjzbnvzS459X + SaPo+cIPPOW1AUAqkh5+6LOrV52Z0TFiAbHGjp0qrZQ7MCEPVSacvBIPjQsQqYzi1UEubAKAzMRU + LIoZSBWwMYvHjAb97bD5OZUjKicgghSWAKcSO9l/4NF71PWgRGxQhtkgJjYYMYzZlAAyOELsD770 + 8H0vPX612ko5GBuoB6DwgPCgWdah5xgrBMZGw/ECJMpZXnmMJYKxwm186rlC5aqua33NqdcmTly4 + 9odv/7GDxepzB3e3lMzILBgpGKrJOIeHHxVi6tRyIHciSYxEbFlwToksjEerktngqhbe0zNx5+z7 + 7nrnXV/+qO6EHhDG2seN9UncGIByNIMTJmWoU/hl13rhlAB1MJARg2EKQiIoD/poAICSgXK/WbeF + 8aaS+ajzywgKAxAZnE3FBjZK4/eqQkG5fSA0uNvJIIDTmNtWCQTzVRVWUK0gFCglGjIEn/oRTwZO + MEBZmckM4mAAT+zjD3zg5mtu/p6XbrOSlylDzGmwnphoTAscxxsCBBDAdP36ODB0ccVg5yoxuOR8 + VUnDj7QP/ccv/P477/oddcumcW1YrHpMtyjF9RB2/iHWdeY92JFzrKoh9gBiG51cchbkJaEmtKmk + znOS/FCBiQxmIIPmzE5LxtlmTWaH2ZNmuKjWGgAI2qUbdl/0dde9gubiyXe2ggw5bshdFryOteej + 3NhC4RlmdNhvHO9PMkM2nm7vPPrIyZ/7uZ/74If/JL/mnAsx2Dnj9uEycxHUXCkLwP/P3r0Hy3Zf + 9YH/rvX7/fbe3X3OuS+9H5atp2ULOX5gwDYgEjBjQoaBSphhJlUpwhAMDClSU0UgKUhVJpBMTdUU + MQYyeCAwCQ/j8eAH+IHB2BaWbdmyhdDD1vttSVe699xzuns/fr+11vzx2933SJZsXVnHsqzfp1RX + 9/Y5p8/u7r137957rfUVkTyqC8Du7u7m5ma+LuCcK5nWRVEURVEURVEURVEUxfNFqXAtiqIoiqIo + iqIoiqIonpdUNRdymdnGxka+sWRaF0VRFEVRPGM5VRqruvmu63KwNBEtFoscRx1CiDHmqTqnev/5 + +C3GmJtq1iHWAIZhqKoqR2h77/PAoGf54RVFURRFUbwwKADv0NQCJiAlBAf4/W2cLori+cK+dOIC + 8ThMwgy9bJlnSRuBl33H4L37Dlr9hz2ZgCczrXOOoyc4hgup76ppI0Cb4sSHx/1CAAADZqc2hMOr + VsvFb37/9//kx68Osw1SYAACwqTKIYv0uCFM++7k+CpjsOaMSwdFim++9GUs3W/cfiM3mseoocJg + Eqiikmz93KLVGkIAIICBJU83Wa06J18h1bppoqbaeVgCGczBAM9gBgfU1dvuvBNt/69feuUX20Wl + 6i05AxtYxiT3PJXGIf+SHC1PeercyYV5vBlo6Lpc3p1SSgYCKiZjncf5aagPdPzPX/Utb7n9b92G + S2BFvlqGMcudxi29rGpFUTzfrCaL5jliEiH2v156xcVCIfUCRIYoyCLRPk5KIsApAPAq09qtFi7P + rdec9LyaGcoGNw6SQ57GaKvd+zxCScTXKUxOGC3Z44zz/sN112LSIDA88ic2bG4BEFWeTPNsrSRa + O3b1BBG+qk4ega1HugIGVFXozVxdC0wxeOcBQzMlMJKgIaQI03/5wQ8gJZig63/hm197fHc+aSoh + X/VD0rR3xFYeoLae0WmWZ73BCMIwGycz7veYKlEAaIjV4MFMtujkwJQxaJBUyfCbV33XT37qY+iX + 1kxKSHVRFEVRFEVRFEVRFEVRFEXxvJBL9A349LXXnThxojroHD+/T3ET0dD1G9PpcugXi8XrXve6 + d/3Z++2ry9khYDabUaYvoFq3nG+3vsQ/hrKsY5bM+r5/+gHLRAQxBb73e79HVTe3Nvq2Ky232boj + RlWZOaXkvc8tMxsbG13XhRByJ0tuqHm2mJmqrnPfS6dMURRFURTPO5qjN3N2Yz50NQYcGTM5hfU6 + WKVhxseXRz9541//7V3XV4fcwhbqsDNHM4UKgvNIJyvPeSzEUoDNGOwf237YQt/pThUOaoTjYAo2 + kOVqXAY8KyspSMY6WbJ1crHQmNjIOQfUGMCY1Uz7W/GUH8U6EXadYI2cac0ncyJh5JRtIO8rhRqJ + BlXfL+T4ctgdIznH2n7FqvL4VMUuzabVznKYTf3xxaPR4ns/8Y5zfug8TzWAStgZYDkmGIYc8joW + xSvZKrJXCQICK+VU2FxcZljnhqqSgIQBZ2BFzY1KVBnUqbqIajgetz9/33W97XLID8SQAxfHg/OT + H4NOpgY/VX2agT31Q/v5B27kT4bTm7MuOv+yAwcObdSbMQWnDsaE8fVnY7L8qjMZw5hy2vOYaKvr + lZByP31+jSwip3rnaGJSBoRUvewOO/c+esdN917/hS/e9Ej/wMIvUhjSlAYd8p1aUiZzRKTwgUxU + gemEzRD7QZC892bgsQaPV8//6lHnbcFABp+8U1+l5oCc/t3f+ve/7eK/dwBnTNKGn1Rd35lZ5SsZ + pOs655wLnDQhRRdYxgpHkBEZ2DgH+Ol+N5NRgnF+bskYBAUcVEw0DlVVEYe+k6aeRiUvs5rOrkP1 + Uz/8L/6fD75td3tndmCztyFZrwysY+s1KfLyjx/NxrJMy5sbyFDXQWBRUhUggESECSLMuDPpwmRC + jd56/G/u/ugXrj30sUvOvuz1V37Hpj805U2ntSQyeFAAORb3hHj1kxGFpLR69njdhWM0VpWOoe+k + q3BiIwBmlJQUZEaq3FuYtzS/+d6br7npY7dt37Lwx4fJUp2aQSNm3jNQse7stJMZDWImDokOudnw + IF7zktf94Hf+j2e684ZtmwVvQfq+rZupiO3utE1Vm4mc4svL5pF3TWPi7+O+uN76Vt+AFBUEDTHx + csnHHpnf/9DxB+zQmCDPNqZig9IYBkynsMIpkDjdf+y+48PRrfq04EKAl0FcCILESDnUGsawAAsA + G6mRwcDjvndVUju2OeV1xsEYpoAai5Hh5Bkr4/y6jj+RjJRN83prpNHajnd36dH7jt8a63ZQQKKp + Z96TfmmsYJdLiD1rInXxtvtuvuycb20X88YHhuUmIzJvYFCOzRZADU4A5B2vreuNiXOCN7AKt/5a + 9kWdAjGpmrod2tlkS5bRWThresE/esM/fst7/ndlGaiz/AatkLxZjG/N+4htrOhed8+QgZByjDSt + A9iNhaFV6sLOHds3vPeat8dZi0pI3NBK5fJ3jx05sHFNyQ11jqCqCZxEdvrtqTucHBupENOYB8+O + FYBAwdDxpVSmvLbAPf5JWG1ftt+tvuP2Pva+kZ6Moc7R2gA0P19sEIBYx7dRVWcgg7AyLOrADZ1o + F4OqBCSYEJz7cpmwT8opwbGRiFlKmEx4vlCq+uqg/+Bn33v25rlXnvbNR2ZnaWSurItDjXr1IpJR + 3sCfeOJ07z/MxHlioSSJHPsZ3dfe+afXveMv73pfOF1TG08s+9Cg2UCMxk9dD980bGYxmnNCBmNU + VYgxmu3vZplDvPPZy/EmtXEtkfEmA4gYAIFS0hwqomr5x4mIn6KLjAxVYDvBF7zostPqs6uhccY+ + eDEZd+MwQIUIxPjSAOCieIHZPr7zW7/1tve//y/W11Viio6dGE5uoV/COSci+XJD13X5QsPm5mZK + KYQAIKXEzPxUG2pRFEVRFEVRFEVRFEVRfD0psdZFURRFURRFURRFURTF89K6Qqs0chdFUTwncjUt + EcUYcwUtgDxoI088UdU8T+Y5XcyieEHL03bMTES89/mfe7+03k5DCE8aU713HE+usI8xAhAZG1yd + c/lO1neYq+2dc0S0vs91C01KaW+1fb6diKqqArD39n17VoqiKIqiKL7BGTGYE8bRUQNplAAAIABJ + REFUp96vmoif2O2oBqZTGRhRFMU3gJOBvgYbZwYhD2Fh2M+87JXnL6RWRFGHPIFqHFeTdyG8ynQ0 + g3PQNN5OQA9E7xA8HMHYT5o8MKxeZVpLn1yoMAi7YOgVqIjFnv7cXRCsAR669y5AvCqMQdg7CcPA + Cj2Z74t9nuVioAh4SJ5gouJJkQTzxdnLnheLX7zsFf/b5z6DCVAHxBRCRSVr+LlFCpwMH12/GGYw + ggCiUrEzUXI519QD6tkDIO8BA61+iGAAuYBmBl/98j23IvY/+fJLDyz705Zax2HmK039ADDDFBsM + qA1AgnKo2tg3LuApVv8Ew3rltbHOW9WiWgUI4CweOLaLpYE7N/UCBcYZc3nZdJVhX9a24pn5MoM2 + imIfqEEUjrD6DGMARcT2X1700vMXfSWad2jOkDwSwe/nnEU21DoOhHN7DiXGKaEEMZihaaq+H1QR + iB1UoM67IcIRvOVRY6xVdcwsHjx0lPktN94EcnAe3iOEkztoxjhDNb+9GJzCGSOfWQ/AGKGtWO3V + 92YO+NV8MXZex1lqDLDzTAo4D1NMKlACCaj+93dfDzUM8ScufNl5G1vT7XZDNbBITJvk2GAqRFCg + B4iRFEngAqnAYJ6YQQrZvzmv+S0w5QNRAhus77cc0Kkfp6j1xx+8B7FF5SZGkcCGfD3BbPW3PeP+ + vgaHhEVRFEVRFEVRFEVRFEVRFEWB1TXoJ5Vr7AF4zynpO//k3f/sn/6oqTRVLSIppaqqRCTX4T9f + LtU5YiPLjyuEcOWVV77nz96/Cpv6Cqfm9xasEbPlLiSAgMsuuZSZVbRc7AaggJiFpr7rrrsA1FXd + x8FycMJTPcMGYsrP55u+978hszREz+75sVZ9TeRGldy04r3Pt+RemHWade6vyY0ze7Zfr6pPJ+66 + qqphGADkO8n9NXljz/0yeRlyH80+PtSiKIqiKIpnjaobzAw6OELFtQwRyQcXDH5QSdynqteN4YTb + ve6+a/7ilr/oJ+1gnbCBUAWkCAeYwFkOVhyL1DkHEVLqiLlq73rsxlsf+tzlp7+q211M3UFNrDF6 + do6cwlQBRwoGksW+aaquTb4KBhBbFAk+mCTkPhlzOc+Y1IEAllOOXnz6SOFINZIiuEoGUdVQ10NK + 5M1ZXnSQMRmREhlP6s1u2cKZm1D08109eucXb3qse0Q3kNiPoas0AGB7JomCHKjvhzogpYQKXdp9 + FA/81rt/7Z/9wL84kA4fdJshBlNU7BJx23eBnbESExybkaoQjNhg0TETTAyqFdhHcd57YjJSox4c + OSeHi/fqHYfYWmimgh5hMbjd4/M7P33Th1ANUTqj8aMiG0BQVQIbreM/R05BxjDvNNd7q7gEUyVE + Tqh2jkn81L0P8UC4gaqmnlSb55112cHZmWecduYZh08/OD088dPKaifBpRC0ClpxciTE4hjsicwI + bIAZm3ESJEUUJ8JDQm9BuFKhtL177MGHHnxw+4GbH/jbR5cPL/tdcwmsQ+iN1LFDBFNtmsPLGVDl + RIxEluNDkyoA9gDMIGZE3nnjGKOZ+cAOXiFCkk8peNY6VrzbnIbzX7x52Q9/9z/ecAcbnZFy1Dba + QFyTIamQAxxyjnUOLc2JvEa2DvAm+5p8sCYlW6XLmwcI5vKaSwyDCQwaXeVFI+DJMOFJN68uO/LK + H3/jz77z6v96y2PXTw67Y0MPhhJykCoICjVHzE5FCODHxb8qCCoAEMBq40plymO2qYOoDGauRgrD + XcMN99x188fueO/5Z138TRe+6pKzX35k+qKJHvJDDalALpKSgYg8MwCVpKouZyGT5QBnWsVbkzXr + Kk4bg3NVIcZiLBG9UqSgRmnRzbe7Rz/22Q/effSOhx57JLlBm5hoEFH2QE6P9wpD12mooc5kQO1q + akPVb3zzxa//B9/yj85tLuy2h8axWgsHsiBCsMr5kKwHxB5XUvoVMRkrDMTOUUpCjhxz3w6TaiIi + ScHMRJZjuYmcqZrT3i26antRHf3zD72HtyAEGDvNa1qONlc99Q+4wtqxDX754c996O+/5uBFW4eH + nZapwUCeyDgRK8gAAbFZUmWhRGShqiQqsZlZSoMLwTmfREAMcimZgcGVckquN5J83o4MbDAjMjg3 + fsZnYufqlJRUKWCBXWy17/vLdy5wPExo2VtwUEBJ97yPjCW/SuhNXfCtpetu/9wbXnns9LBB6q3T + imvAiQZRFZc4JHODaAQq4koBhZgRjAnqFIo0hmGP582+Hk+MKalRgrH3PkZxHmSuWW5c0Fz6T9/4 + 5rd94K06RWs9KULFraox/H6ekFJiZYOjPg5V3fR9b0STuo79QGqenRmJCMAueCOK1PbN4sH2jj/+ + q9/b5aPUUJ+EUpiFiaUlTIUhOch6fInVM/oBRMbwRi45+eA17/nR73tzdyKFOFMJHnVDtcSU0uC8 + EqtJJHfybBKBTQAOeWMxgtJ4GtnoiXHXzzLz0LH1CXn9J4VBSQEYWSAWUSJywcd+8KHSFJm9Uybz + QUk1RUArizyciI/dePeNUqcePQdEAuTUVlM2JrBpPvZDcEiDNgFmRnXc3jn6nk+9w73OXX7aK2fh + QEwaQm2tetTkGICYGUFgakZEkvIoG5eGWNfT2PXOM3E0kajGTFTj/uXdf3Dtb9/4yHV+qrFvNSgR + EsCCL820zltffhMRUQA5yzYv7ZAiCM9gL3dKDDo2gdOe3s8nkxeePDCeYFzdgxkAZhZRMzDn056r + +vqIKW284uJvqfUAqzOoisHx+GsoWW5LzznoVjKtixeEYRgOHDiwWCyIyHHoum5jY2MY0lve8h9/ + 53d+F0C+Xuedj6LkvMW4PqVvZt77PFCLyPJQr3zdYT2SK1+AyH/u/UtRFEVRFEVRFEVRFPtnPROb + mVNK3vs8Gfupvv8JJXxmNgxDXddfk4Utiq9r5WRWURRFURRFURRFURRFURRFURSnbH1lzszypbic + b51SMrOU0jrrGsDe6OuiKL5mmLnv+7quvffDMFRVpaoiEkIws/wlVX3G5e9N03Rdh1WXy7ryfl1/ + n2f3mFkIYbFY7C27z2HYKAnWRVEURVEUz7bc9Z6jahnjRI81gjLWc18U4BLwVRQvHHnYwGrGwOpW + UuTdgWEj0uYAD4whgiD9kjEZeQfiKkrJmkAxmgfMeSMdvAN7Ic5R2as5WkyAqrqqQlSEqo0Jjmfw + nQx7RxU/HY7hhxZDYh4QGnikofdNIIBX0duP2+19pVnJXy2G9pac+oo9K4aEtvupy694yWJ+mPmR + hx/9N5ddfszXv3bb5zElcgIKZa/73FGDEAhqMKgnhZE6Xo38OL44sTXbhIFMJSUjOFcBvAqHzm+a + J0evjOu38+SA2iO53/z8HVj2/+rKV290/dD2NatDVMVGRcNgAzDxWCbz0m/W1TAMpzTGhYDGA8CJ + 1Fd+errxL7z05f/+3lutXfBky/Ib+snNac+7fVEUxfOECEzhxMCC+e5Pv+qVFy37Q31i2Ho+JwNq + 4+iofUJ2Ms16vSc1QAgGtIpZ42LSeTt4YKOZDF1XuRBVhijwbiAWx0K8IDom+D/uvB2O4TxmEzgH + ePgxHvvkvedhnXj84yKAYAwZP97p6uY97x7j6DSAGCc/6LEACgQCMWAMZiMXIQPIV75Goqr6v+6+ + C8v+Z694zVZMk35+Wl1182UNOFBvFpxjR2lITVMZoLBOkhqmk43j853ZPh/MSB7qiXFqWJ4rqwRy + IEJDxIudn7/08v9w+x2g3tc1AbEfAIAphGDAsl1OJ9P9XcqiKIqiKIqiKIqiKIqiKIqieNpyTjOA + JMqE9/7Zn/7Ej//YxDeL+W4IIdf5N03Ttm0IQUSe6+V9WpgZKrl7yAd/9tlnMxHMnmYdyPrbchuC + Z2cqDJx//vlmFtiZPj+eh31iq8sx3vt777237XsidEMPwDn/FVYSUwCHD2592+u+ta5rEpWYcgBM + 8VRy2gSAHDCfW+RyHPU6BtvMVHUymbRt+1T3k38kt9JgFV+x/mfpoymKoiiK4nlKSZNGcuCczRuV + 1Ts4B9elwW+EDjHOurbZvubmj/zVjR98mO8n3wuvitAtV0YxGdMT67yRIx7R6LLbnW65d3zod/+X + //6sTX9Gu9Ct6ohvPAwqSZIpAGPHBDggUHQO8FyJwpgsDUMS5rFGXgikzGBQDv7bx88XCqhGAJ58 + npDunHNEFbtBIhgMJiJWsDGUYGiHRWi8elrgxIJOxGrn/R97d73FO8h5xQxSl8ubFQzWU8zkVpgR + GGAGDMI6txOID/zOu9/6Q9/+w7PTXz5sa82TKNrFdrqxKXEwguSUZGMiJgJEyJRMJRnIJBkRO65g + ZGYwJTZnYhqJyIM8h9j3s63NLrUtuiUvW975/z7yR4+mL0oTdfWJJL/iY6Vcflzrz5CrPNec/Xmy + EtoYUCOAkUhbWgy8IA9SLD3mrn7owYcRKyix8dTNtiYHjmyctlEdOG122qzaPDw9/eDs0Ga9MfHT + 4Cpm532lmpKmKEMvbZvaQbvBup1ue7s99sjxhx4+/sXj82Ntv4gydG5hW3Hh58oWGMysydjYUW64 + ICUwTNePi2C5g2G9nlP+mKbCgEZHHCaeDRJVUxLWRGCPCVW2IN5pLj748jde+d+99rLvkG2qrSZn + AhEomKBqxAoF7e0LGz9CGq0ycY3JmAFSNt73enIG8iMcE+uJAVUwiDlnTxNoTzrmctlv1Af77e6C + jZf+6Bt/4j2f+OOP3vz+g0dmPfeDJVUQgT0coxdLvTR+jPrcG0E6VpSSAjzmwhpjbKBLAEAQxwJd + 73Oc8s5jN3zhods27OBZs5dcfObll533inNOf9G0mbIxhMjMlJjIe8cgYmdmUBgUaqtUA4UNKqYw + IpgHOQhL4j6iRxDhYafbfuChe+64+9a77r3z4d0H9FBcYEemwp6MVRHzpk0Gx0i9miIEGKOP8M7p + Lk2Gze9+5Q9832t/YNpu7ty3M51MQu1S6hUOxutTBjKuhIqnHWFOBgcmgkEkSYwR0aimug5x6BmO + 2RGZkQpEVBSxbqaLYYcmi6468eHrPvC3913vTw8RicwzwAqGAtDVcp1Sfa8RBmeoh0/f8Ymap7PX + zg7WZ/QLnHX6eVDrumWS5ByISM0YVNWVgGKMQ9eTknPeMZN3RNp2uyEEXwVTJLEIFWGAE4/bJpsS + oAY2zo8/xuh9ANB1AxF5V3U2rw7iQ5/70C0PXJ+qTtWYQaykYsRj0KyNK1sOJx6ASeOWsXe681fX + /eVVV3zvBbPzmVy76LxVzNO6riOhT51J5xyZqqkYmYHygpGxmjheJafDAAXS2Lfy9ZUsq2NGuGHs + sjHvhSb95sVbL//+b/6hd13/9hS6IbVUsSadzJD6fVwaAzoRUhIzJuPgSE1TsiTB16pKxL7iRLLU + hZCmpr2/vf333v9b9y3vrI+ElhKIEEmGFGi8RzMIAGNbvdyO4JiTwMx6W9577NaP3vC+v/Pi18wm + R1gO9F2SwQVl8uac0xR9nrzklAiOgydvRJaMVmuOERSqbACUlJ/29vsM6Mm3IsYqlDnvM5mciTn2 + KSUQkYU0SPAOMDPHICXTAHGyyycwbd//8XftumML3nHBJ0Q2uGd0WouMGbx601BlELC76JuZ+2J/ + zx9+5Pf+29ctX3HhawJNnLqqbiSRxKiq5Nh774kN5r1PHGLfEyOwZ0Nd16KJAbAMktSnbTn6ux/8 + zbvtph08HDis34bGuvRn1Imwrynk2HNC+CuS9e7oyaSkAJjHE575rKdDoOjO3jzv/CMXShsCeWZS + VfYeRqutm2BVvo+v9sEUxfPE1tbW8ePHZ7NZu+wReHPzwGKx+MO3v+O3f/s/p6RVNU7NUlWsYqpT + SlVVDcMAIKWUryOoJjNjZmbOY7XKkL2iKIqiKIqiKIqieG4xcx6+nVL6MpnWANaD9POfRFQyrYsi + K7HWRVEURVEURVEURVEURVEURVGcMlXN4bVVVQHYm4+rqrm+tuu6pmny9bznenmL4gUqb5gA8mZI + RDl+3nvvnMsbLFYDoU5V13XOuXWv9TAM60zrPHMnl+mnlPLOIf+lqioRySN7mLmM4ymKoiiKonj2 + 0RP+vwpOW895geYJAl+u6LIoim9ELs8vopOR9gYAeZ4SNqLNzJTy8CDYnlBoW81HQZ6jIdYJKjEP + BPIdaAlbQsEkYKa9vxEMEDMk/2roJOxsd4dIPUhOcbCDOWg//PNLLn/L7XcCrU4q72pTdcx58fKf + bh0Vuf+fODlQzV76ARUhDmjjme0wIYXG05uwvbN7uKZ/c+Hlt1X2B7fdgo267HmfQ3RyVBgYEVAm + B7ClRMEdmNWM2J/o6o0N5zwIApZVQDSDydaDPxQMhmqO3gQT2FGAqzCb/MrNN4LsZy+/Ymt3fi6a + qu/6GBMwbdB2mBKgSP1Q8eOmDI3z2b7s8scEJSSCpLayamPJ6CVs1oDJuIzjO34ZR10UxfNLnq0U + xr4WQ9u9+Yorz99dbAyDhzjAwAMgpKzw2P+5UHuOfIRhq2Fx3nOIGntx4A3iylVD1ztQKwMTvKt2 + U1w21Xw6uVeH37v7doQafY/ZQbCDELwTAJyPx9QYCsYYaM1YPy4HAWTPW0PA+tiKn/yx27jvd3lS + IaBAJDgajzvIuCIEa4g0DhTCBI0iTH/1zluh6c2XXtQu+zOamYvwhtpkIb2KBWDohggwMG2aRdfJ + cnnYVYMMT39y1qlScGIG4E1p9QHWAckwB5KiUT3IqI63//rCy3/5gduJGObC6ipkviLZNE2S5F1p + lSqKoiiKoiiKoiiKoiiKoiieewaWPZeGvQuf+PS1t9566yUvvnAymYiImeWheLm6/rlb0lOTOwhE + x0CUc84556n6Eb7ChZ09P6XAy1/+ck1izywX5RsREd12220GMJOKYRWKvPdZXSXvAMCkqbpuIOCq + q66aVLXEyKbsTilV6gUqr9JYRVzn9Xkdd52bbnKmdV3Xff/kuUx5E84tdbnnDsAwDMxc+miKoiiK + onhec4GdcyQmMSYV7xycJJLo4258uDrLHW3vfe9H/9/r7722q3Y6P/e0ity1fLzKpMzrElw8LqTT + CPOU6gon9JHI7dv+5K0/+SM/19TTedyxXip2VXDeB28VwNCkqo4mBFc5QnIpJmVjrkPlYmoNIgTA + iJPAP7PYwlNlOc+XnCawEDuXkhrDsc8Rr6RwxiyO4Q3QibRh3tvugo8N1c7vv+d3d+TRuR3XqrZc + TEd8Mvt5LAp+usmmRjBPIkYKNjjjBIoSd+1YjN3vfuCtV13xPd9xxd+FOvTSbEzSsEseDG/KKkTk + HTlWIRWGr4yMNVT1gmBMgkZSasiYzMAmXs3MjIkMSo3fTju76QRtStssf/2P3vrIcP88tMpjOOI6 + gnGMM4cCj4vCzbHQyokNMjY58LoTCgQxmEEZzDCDGSA9W0+eGc7MBuOdjr7Ye2ZvgzI7h4oBCEEM + SkZwoQYToGYmMIWYmUKNTZ0pJYUkS1YrOcdBO+3BYI9IMFP1YBNHAjcuNhs455VqBVKlBD75Ydiw + qvtjmCGRig4kIIP3qLwXkJeGjlcvmVzyPd/2pisveM2MtmyHQghGZlBTIwMBRGKWABiZ7g0EH59D + Qk4MXX/hFAPRn6l124YCjlfJ1rlnLX+VSUGaS+ep4mQ68ZO46A/x2f/T6378snMve9fH/uhRetBv + kFY0qKVkSuqD1ZWTnqEMQPPeg8Y0WDYoPTH0l02dAQQhCKkQhABjNu8QvPMAz2V+9/Km++665WN3 + vIsRTjt01mlbZ5x71rlnn3ne4c3D0/pA46YBIS6U4dlqb+zJA0zGgCgtgWTOyFGiuIyLnXZ7d9i5 + 5+G7H3zs3gcevf9491i0LmJQFjqsu9081I4aSTBRkAMTkZkzmCBQcKEWol56owGJTq/O/Ydv/Cev + OPu1etyxudMOHmmHRYw9kWPzY60pklDu+iEYn1rjQGKowsFX3k+maqKqSdSz8znaW9UocWBylEjm + dsIODEfj/Vd/9s8/cctfbp492bXWkpBVbHCWt2LFnhXy6X/WNUJkUCWytfzcfdcsFrtv+pYfvOTi + V97/xQcrmzj4OkyYoCnpkBzAZCoyccHALgRJJlGI1JA2Kk+Q1HVJzbmJrxsDQITkyJgMRC7H2YLA + BBGZzSYxxihDmFaq2qWFTdLVf/sXV//Nnx+XozKzOKCqIGZKMu6bbRWpDhhYSUFYSl9PfR/7D1z3 + Hg78XVdcdVp1+ka1lTq2YRhiYqfBTTwCmZJ6MxKCOgORspECxNCT4cZKoiR5u1Z1+xp7fKqUAEps + gMEQlEBkTt1BPeuqy9/0wPG7P3nXR5uN6ni3XdeQ/cy0BgBwCDM4Iu1V1UxSjByaup7EwZyrhLVH + p5OU6vaxxUP3H7vjg9f+yV3bN87OnR5dHm8TNibOB+K0KlfWvKfNO1EFYJqz2z2bJhrg9MH5rR+5 + sT1yZnPm1kWzBs5NxDUelaiIxMY1ZBBLKSVAjBAhlixws3fLMIKSIleU7yNdF3uTgcffmUvWmZRi + jD5UksjDe/ZJY9IhqRCpMkcstZJ+2i75satveN/Vt/2pHNkdRB2SM/YWVJXInv7xiZIyQAanPv/T + 8j6cMKtcSqqzeKx/+A8+9p9vuPP6H/z2H05mS9XGzbxnD+fFO4NFqGi7O9/c3Kx8rbDB4rxrq0nj + gkoagmdf293dPf/3h996lO/daR+paic8GIF1vbdcndH+kjeRvCZ8aZH86riFbR9btR53hDzuUb/M + /tSe8otEY1enqlo+NiAiYb8MV175ysOTs3xfm4mROnZqY4Y7oEq8fpwE3c8HWxTPlSd+sBojqAeZ + TqcC2pkvP/jBP/93/+6XU4IPoR8iACIygmMSSaocQhiGgYhms9l8PjezlBIwTtMahiEHZYUQzKxc + AiiKoiiKoiiKoiiKrz1mzrW4VVXlCdgA1sOxv5SI5OH562RrAIvFYjabfS0Xuyi+DpVZLUVRFEVR + FEVRFEVRFEVRFEVRnDJmzim5+ZJbXdcAzGwYBgBVVQ3D0DRN/nsevfHcLnBRvDCt5+nkOTtEZGbe + +7qu89aabwGQC+VP6c5zpjUAVV1P2MlDdlJKzJz/nr8z/woA6wv2+cb1P4uiKIqiKIpnTZ6NsvrL + yS50AAZHKnvbm8vQyqJ4wcihgLZnu2dgHBUEQBGMPBBPhipCxuELWIdGr2Mdt5gwyNRP56ldiMlG + tSuKVZIixntQzhm7hiFKVQVE7hiJMaiEU9wHGRAFU8fniKFtESqGHwbxHPJMnr1Z3V+bvm/petfU + MDhXIfVI+osvv+K0oSekCBnaeKYP1i+lj4cPzIAKSiXW+jkkKTnn4BhtS2wu9iA/riydsTMY1bMp + iKzrqK54T8aoYs9LRwozIs23WK7EZiAEaMCkhsRf/fzN6IZfetk3HSTmfjFlW0ZhQjRs1pj3Y1r2 + 098A8jiz4LEcMKv9zCZL0Z++4spfv+0msHPe7Y063Tu0uiiK4uscgRlIkkiIPWF5AorzzbbmiwnM + QRkc8+hPg1vNItzXXVy+88hQgjDy7Co2xEEn3mmU2lWddDElAzlfMdtuGlJwO5P6WFP9xy/chEmN + OoB9mm4QPAPRzDFyRPSTHQvoyRRrYJxyefKG1bHV3sO4Jz2MIjBAe94IZP3rlMmgUUI1gwgcwRlq + Qoz/6ZabkeSnLrjsjLqql+2Rehr74VA11WEAcGBSL7o2df2UKOmpXUF4ZsaXOL/KhvXMM2bUHlNi + WerpzreLJfoWMmByAExD21bTSRUqM3PEVOIuiqIoiqIoiqIoiqIoiqIoiq8bpgoCmEAcJTng9//w + 7b/8S7+Uy/tjjN77XFGfK/OfF1JKYwsAQUQ2Nzc1pyk9g6s4BrUxTOTyyy8nIlXlF/yZ/lyuk1K6 + 4YYbHFMUy80gIsbMT/U8d92Qrxb92I/9mIgEH9gAUdCTJLIUa3tb3nLURG6Uy20y0+k0Z1S3bUtE + T5VpDcA5l3tzlstl3q6JKHfeofTRFEVRFEXxfBZjTCaekEKiSrVyCd1y2HUb7ujyoWuu/uhnbv/k + 3B9v/YmdtKwnsKgnI5mNKcfikcJUeRVGu8eBA35nJ0WP+bB73/KOX3nbL33z5d/1+ld952xrkuAH + cTQ4TlVA7dk7JiFbDC2bJ1e5qg7ODamPMQEMUpBgjJqOidw6iHTfMDOJCCgfqLMqkibnnfkkNigE + Zs55R95pipx23dxt0nb38MPz+977vnfcP79jGXbEGyiBPGyVa5hLh58BGrvGTcDmGKTOhOLO8mg1 + sw9c967rbrju7736+15+0ZXBTrjKcyTHU+JG4NjAY3KweT9JMUqSaF2XDJWSZ2Pr+mUgJQd2TOYN + mkwSJaHWZlpv2vV3Xff2D/2XOF0cs6PUSE5+Xa0SexKsnyw5EgZjyMlK6JyqyGQ5RdYMZusPOAZS + kAeROoIxqQ5JMWgPwM2ggBlUAQUznAN7WnSWc23HBgTmHFdpZpafPE9EBEJMgyqcAzuQISlM8488 + rnZPARDYFBiQl1bHVU4pB0OOP+I82KAKRwjOs3pdUGOTw/VZr3/1Va+/7Kpz/ItcGwI8ex4sqiaF + AeyMKGd5m8m6vv1kljDvzbReP6n2NYm1VoxBoWTIz/cqcJptrGVUtZykKgqqJ832sRMI1aRq+t4c + hVef/YaDbzzy7mve/nB84LH+OKoYgjcWiX3sk6MJGQMKGpMINSdb057t2rB6HZ5YWckGBef02IQk + iJHEkRIZSMl42R9/4OHbrn+ATJjVTfzGrN6aVptnHjrHc1O5pva15xpGZGaWBjsxxMWiXS66xaKf + L7rd+TDvpQ2bVY8uok8+JUqCqA6BqQqB2JQsJYDBBGdkCczOTMRgphKZJByZHjxz8/x/+O3/5Lzq + orCcOvUEN3S9kYWqTpEwxhsLSEGq5AHmp50pmzVNNQxDgiTpwCAysQSCwStYfkz8AAAgAElEQVR5 + gyUMSkaEaMNcFjpNj7YPvf/qP77l/s8ueBtJl6LNtJJBV3HL4wKsYl9PTWCKZNG3O3L0k3d/5I4v + 3vmGK9/4LVdctRVOa2g6JOs7CZ4nkxmSWy47xsC+6tU8IynYofIVE0M6QJ0SiNhRtNim3sxq550a + jIGkqz1qJI0Y2qFFZb1r2enkUPPgg/f+9Uc+fN091xyjB+iIDTYG2LYDqpqigY2dKRnYVImV1IDg + 3JBktx94OkzOqf7yhvfe/+AX3vS6f3DBwZdMN47QMB1a88az0Kj4YdFOQjAzMyE2hamaGbGxt5yb + np9DAknefr/euo/yRmeA5iYxIyPAvE+E7eoHX/c/nJgfv+mxv5lWU0qSLKk7hdjjZ0AVElMig0sh + MDUusQwaeco7w1J5cFs4lh694dbPfPYL19776K276WhzWthut4eEza1ahyjaV+xMMWbGW96P5L2r + kjmIGBGgxkZeoywe3L3jN/7oV19zxRtedcXfPW/rIky2huTNzFtIbaq5TpzEEjkASsbEbJL3kDym + Za9+xZNXlD+Lzw8lUN4XI+9A8iGZiioTmELjJdlgCSSROgTl4I1t3m6bm/stvfPEHR+/5a8+dfOH + uuZEdACBE9jA6sjYXDzVJQJA5sfUdhqPdlIU51ziLvlWKN5y9PoH/uDBN1zxXVe+7LWzanPqpi4x + d+yEa57UPjBXZiIq0dQ1PniXeOilrzgOPNzX3fdb7/nN+8NtQ72LkKhySADglMdVmJKe+vsjGQz7 + ecb62WvxZh4PBFVhZjnimoSnuvWKC18zLGwjTIY4F0lhEvo4PPFBjft2A+nq70XxDct7z+SJqO8j + uXDttZ/++Z//hZT2dF4ze+/3DOYaLx845+bzORE1TdN1HRHnUV1ElK812DO5VFYURVEURVEURVEU + xbNgXZKXP6T3fc/MX6ZILw/QJiIiCiEsl8vpdFoyrYsCJda6KIqiKIqiKIqiKIqiKIqiKIpnQERi + jE3TTKdTMzOzGGNd13m+xjAMefRGvqpXMq2L4rkiIjlMej33qq7rXARf13UeqZOvo4vIM7jz3NYS + QohxbD1aV9jnvUS+ZV1533VdDrxPKeUK/vWYnqIoiqIoiuJZY3B5JoiNgWayirPNLZWOkMY5JsD6 + z6IovuHlIVCEnG2/52SNkQGmSiowoTETEQDDdJVpvXdIQtepAUe42knL2jV15R5L/dvu+AJWY4kA + 0JhpDZjGIVVNBQVEdFrFjrxOrGtP9REE7/peDnL3ry658FceuhvSVdUMYAgA8PjQGMizz/AMh3k9 + bW4aYAYiJGBI6ONW204t7bJC7XDN3EcHbHBdi8MATPZ1cYovj52vAFUYM7/53JecFRXAIInUmqo2 + 1Yc8/ca9d2CjAXuYI4ED8nBnISQC0erNdM+AHYICnNd4CjBl8o4ObMDP/+09n//hM8552Wwr7i43 + jT2DQjrWm8f45vuE2SNfZmiBEkQhAw4GkkEetu1q43AzDOgTXACrMOfsUsZ4APDsDTYpiqLYX2Qc + 2AOCbgHRn7nokrO35weCC3FgIEITxoOTcQqh7eNHGCMIVAmJIfloZjVDMwDByOB66RUsPsCHR7tW + Qjjm6+1p/eu3fx4Tj1DBVUPSwHU7X25s+EHgAhngAVUBOYBp78EYaZ7emGOcPaInAJoPcBRuPKbK + e/b16LR18PXqv3xDhTzYlFffxZS/QcGhggLkIIAAHkjAdAup+41j9yHaT7/0FfPj80s3zhrmj014 + sqM7XRtr9mJWEbwPbYz7GhjNACuUoIy0CujOc2ynRl1rCcrACVmyr372ggt+9Z570HaYbVaTaZ73 + aaLJNIRQ3gSLoiiKoiiKoiiKoiiKoiiKryPsYAaCmRHone985y/+3M85Judcnp0XQkgp1XW9rsl/ + XiAiwMgsp3Q94zsxMwYObmy++PwXhRA0pmdxIZ931ilQRiDmj338r3X19BIRPUUhwPoCjnf80ssu + ec2rXj0LlQ49237GB32jWLe8DcOQkypms5mZ5ZVzPp+r6mQyCSHkBIunkjtovPe5d2Y9ENPMSh9N + URRFURTPZ7oxnS7ivOVOG5E6zvX4vY/eefsDt9xy143H5g8v0o76JDpAdNNj6EAOyCG2jwvDUyPN + Rbe2LgoyALz7WDp0cNbuLqJh6wi17dEP3/qOj97yrovOu/isI2dfeO4l5x158aHNM0xnaXCalILH + hANN++XgJIYUNEld+VxvpavfZQSlxOrJaF9LytmCCBMxsyOQOWFyEmJHy4G6xINzVDnPcBrR6/Lh + 9Mgnrrn6ke17b7//85GW2qTEcTqrFmOyoAf0q6kANlXkmn6FGTHYOzFWt4Hj8dGm2Uiib//4fzl0 + 3WkvvejCS86/9MVnXzJ15tmpmKTezHklzz5pZezDdDpYx3UfXdtjIMO08UOMIqr5mNmb8CCu73h+ + w13XXfeFT93+8C0LPjHEfuPM5vhOF2jV3ISTOcRKX1rqzDkO2SxHXTJovJGN94RhK0xJc9I1GMzk + VVVURdUA58h7cs51QzQGMYihgADJgGRVM95VboU3VTaIURVCippi0gHOMTN7eDOCOCIQUq5oYx4/ + f5mNC58fS06gJVMSZq3IAFJnAJKRjgHbEaTwyi5VXquapjM9+J2veNOrX/r6wxtnLE/EIXITXNt2 + pBZCQF51KQdUgi1Hb7NwTpBmrArSaXyWVus5ad4QsO6t2CfGQP5dnNs3CDAauzkoL+RYG5m/yXa2 + dzc3N4msGzpy5NRv2BnfdPrhM9/0kj+/7s+uvuMv5nbMVbrse0phUk+Tyip/dNyHYFVSCIDzV/bc + uB6U4IQd1knYnRHgYAGSX7u8AqgNceHg2DM5hvGAdle2uXWfP34DETvnmDl/NjQzs+TqaJZUNZkC + QDDXOOdpe95yIPMwgprB5exYOEuWTBwcgwhs5BKgrMK+qRdxAZED1aFqvvHK2Wt/6Lt+5GB1pixp + Qo2rXGyXIYTGb8wXXfBTAKAICCiB2Klf7dlO4XP/spuLRjdlUIoUwaJeg/Nd3waunHNECk4D4nZ3 + /LH2kY988sN/c+dnJCx1JnXTUGPHH1lMNyCUdAzVHoNpx/bEU9l3kXF3Qjc2qjgMidoD527sDg++ + 78b/+olbP/hNF7/20vNfdvHZl06bA21L8zQE1DwjNrMq7S7aqkpchwC/s2grcDBfcV2ZCmlMqpRC + 47x31uYcSicwgJU0kQknmplWseV5j/kjOw98+iMfv/HWG3p0vV+kydDToEDtWcUAY+8smhJI4QwC + ZajkpODOaq6qqRu0i7ZwG3R7O//tD3z+pee/8ooLX3nZi//OZOtwXLh+GBqehFkVAZGonMypOlNV + Uh9QS2JngdSDAE4wgaWvt14QBmAMYwOMFKZGHIkA86oznrn2jB/5zv/5//yTf7stj0AN6BMl5S93 + IuWrQcbOHLsQgkUeWuvUQ1wraF0lJ9zDt95/8w3XfOaeY3fM6UTHbR+6eoPU8XzXNg4FT+hUnccQ + JRATWCnHTuvJbcq8mqkmOBiZ+uQmSD6GSfXZu6/97K03HZ6cefk5l1/8oktOO/PMA5MjDW1O/MxI + kwkAiHH0FTcBcFrx2JwHMrDue1qwkhpHQBXszK8LyxVAoISUSAc5noJG66liJVWyeTt3jbet7o57 + PvPZT3zi9mN3PjY8YnXrZjjRIgRUzmlSlT6EIHZyb/y0FonBqkaq+XDAGAQ2VMElS7u7duh0l/p+ + d3nMHL37U7//4Zvfff6557/swisuPOuS0zbPaWxDY+xj8Nx0KYJgjGgCJ8oaGhq0v3v31v/0/l87 + Vh/lSrtFnG7yMkk+DmFD3gkYxtOlT7W/Wt3+xB0sYT9PWRv4KTKkn/pJ1pNnhPc8FlsdLRCBmZgZ + gNdw4ZFLz9u6ACdIg6kjNfWqRARTHg/Lc7OCUjmdXHzDGbdrysfGexiLioDA/sYbb/rpn/6ZGIVA + BotR8kHg+oqAmYXgh6EDkNIAwPvQtgsARIzVoK385zrf+mv3IIuiKIqiKIqiKIqiALAq2Ft/MK/r + +iv+yN4R+tPpNFfzMu/7Wdyi+DpXYq2LoiiKoiiKoiiKoiiKoiiKojhleYBOTq02M2au6zqlxMzM + nOdriEge21HGbRTFcyJnWpuZ/v/svXuwLdld3/f9/dZa3b33Pufcx2g075E0YqSB0UgKSKLKFLbB + gFMhckwZRwQsE0d2YpFAIWO7krKTopw4BIwN5cSYUC4ngO2k7EoiHIqiUhWUONhRBSGs94xeI4Tm + cWfu85z96O61fr9f/ljdffY598GcYe7cOzPrU7f23Wfv3t2rV69ea3X37/f9qnrvRSQ/WXfOqWrX + dd77LIuT0otJpMmpmN77GGNVVTkin5nbts09w9Q/TJ3DbDYDsFwuF4sFBimrQqFQKBQKhcJLCUMB + OOikAZTz6V1+OzrA0eiDVmZkhcJrCwUYRkO3QIBl4QEFDEroB2kIYEvxVglsg41vFkSoHBrfaCcN + fC+y7tVONVk6asq3zjotMIVxqKoYhZ1zoJ/+7Y/9xFsfvnh5fXpQeXihYisESC+nfNW2yzt2Fogt + mmCaVTYAG1QjsirRaA+JmyhDRhq7VWhmMA8Ahr/5nd95RqJL/WJe7a97hUouNil25qhrUAlbv5Uo + SES9Y6R4d5/uXi4DO9GogG9bhVWnzgCAKvmApPDD8aJx3MxCVy4L1qgDAGNkr1GGAus+LSpv8Ab4 + 3V0k+acXzmPZ/xePvWN96fKZQNoeBGCndptO/IlEpAADAgPRHGxBfhm7igPEoJZlDyZ700KhUHgl + YUAyENCusNn8pbc9evd69ToGxR5ZSpIgBDYFoFkVjV4+sSQyEOAUzlAbbVLa8TNVjZU/MF3Kxs7s + XjT6mccfR6VY1KjcBo7gvXdk2F3MTVAzOlEzCd4FduOVWN7AINep0CxjCFiWegQN06pxqjROdGi8 + 1pt6/OGNDm9NYTbOhXhaQ4opBD9M0QQUEKOGuoZ6EKNiVOnvfepjEPdXH/y6B+a7l9cHZ5vd1G2c + czuknahSVMrSijevwpUBMiSwEYgGMS429MnO1NWm68mFuQ9i8T7RD73lLT/zlafR9agqJIEqB389 + Ya9CoVAoFAqFQqFQKBQKhUKhUCgUbhmWnZ0UBDV79sLzv/SP/9GPfOhDB+fPV1VFRKpqZiLy+6/q + 9qByXlQVZgTn/f7+PmGwBDspZpbtzN75znfu7OzkT17jMW2Ts/VqtfrkJz+ZY/xyIyGwZsuwa9VQ + XYfYxQ9+8INm2rZtIHRdv1gsuviKaVq3hFy3ZlZVlarGGLN1GQDvB3U+M7uxp3VeOKftZLHLbQfr + kkdTKBQKhULhlYtxvBCfO7d65vmDZ598/sknnvrcc+tnY7WObo0qbfwVePhAZAYFm28YYmm0rAaw + ZRJJUAKP4egGgBjgJtBqf7WYzcX3z+9fmNWu3gurVf/pcxefOFf/i0//hpN6z5+5c/feu07dvbt7 + ygfs7Z6958wbKpnfvfegd6fjgUDJGWDsyJQgUOC6BoEvKUzGjqCqPrhkURDF988fPLWkgwO9eLm/ + tGqvLJfLy5cvXzl/6dL68nPdc81pt+zPWxM5eIFUTX35clvPQUgGwJQIRlBSzkbOJyLCMQgEYiWY + xWz4zTWU0NIyBvO+X8dLTz/xxX/5uY/suTO78zvPnr7/zN7rTu8szu7svW5+x1515xx3O6sXdfjy + U1+y+TJVcSU9eUIShgvsYX7Td5euXDx38amL63Nfef6LSz2/whXsCPnOVK4sl9vXidtRZ3ztveJs + jsuH3ysZ56Ay0xxRZ6qmZgA5OBC1KRERs2PniUxVRSSmCJcdHUFEDBhUDQByMn1uGS6HCBJ5sCXx + TFVTmZnA8pUXwZMyExmEiNgZEVShCiYosYEJaqO1pB3mMuRd1uy87AS1ryyRl1DJvE7ze07d902P + fvM3f/23zDdnbeM5Vad5t+9Tj7SYLQLxkLCPwdMaMFMDwEY2hFMeViaMyQ719Udz98F3GzfzRDD4 + 7OENgJEAKDgXSSkHNPJYFUZGO/Ui9SKIzjOIyEgjxeTu3nv4T37rn3nTmx/6yCd/7fFnP1XVla+b + LnbklCEgVVKMsaNDzHx2NofCkC1iDTACGcg4n5tsmg3UxYY0GQVSvoNhcEQ8Y2QVBhMYi/WmLQB3 + yqtJhJmJmRkrGcAWEzjAe2RDbxFAIxJmZ5yZ9VFV4AKFEFLUPiXPyL8mYoiIGMN8CBJps+ln9Y5L + 9Z24549/65/4tq/7t3g1i5d0t57FPirFxc5et+n2r2x2dvZiEpDJWAlseMFZOIeIi24XX332i/FK + Jz5Fal2gGKNjDhTSJm3W6ysHl58/OP/c5eefvfj0c8un+YyuZ5fUKZxsVq22OH3GrVd9CKN7+tjV + 5yLpSeJ7yXB2sdO266pmntHFzZIU80V4rl3+5uPnfvtzHznd3HXvHW+853VvOLv3+nmz0/hgolXl + 277zGki9l0Ab/8j9j9g6xI7YgpEqeni90l14/rlnfDClNLj5Gtloa33x4Py5K0+fu/K1Zy599bn9 + Z2mW/Cnsbw7qWd1J1wPsEDvyxrOZrbtornKmbHAGI5Xx1k1N6tj2Ny3VDo0Bdunyfkerjz35r/71 + 5z82q/buPvvAg3c9cvfpB05VZ2quZyGIJeUeDCWFoNJZjZ17994YEjt4NoZ5Vgw+7jfVlv6EkHFQ + KKkMI1Qy4twDqGjta+1wdnb3B977F3/un/2dDa0t3OxbH8pkUTei0rr1M8unDvTSF55+/GvnvvDU + uS+v0uVEK5pLz/1aN+ow23PrK9I4OX3KEWF/v2sqiCF4iDCIbZifHLZhIzAzSImNgJhgBHZorUVl + YHk+tQdPPvPRL/yfAte4xX2n33BqcUczm+3u7p45dWZR7Zyu7nj9zt27dMqSeQlsTAanLIfB3Tcb + xpjvZMR5ahHRwyep0++e/0rv1pfbC8tuuY6r1ergwqWL5y6cv7B8pkvneFfT3LXULubuYKOzGWIE + wRyxOlFEPZGPfYYgnBSczyDKwxOz9HbnneHSlUiM+VxiPODaXeqf2X/qmc/83scbnp9u7rzn9AN3 + nb7/VP26u0/fN3e7O9XeXa+7J7VJxJzwCuvPX/zEP/mNf3gpnNNZ366Xe6eadWx9gCYwcvj9JKfD + gObx4qTlZ83+3C/x61QwsmEgG+8PKxsrDa8vpIx56kW59QIiYmYQeufD3+S72U6zu1ptmspDLMbo + vcdguM4YBtahUshepjZaKNxCUkoh1Cnps88++4M/+OfaTZ+vABaLndVqNTyIAUIIIpIfHBARM+dH + AFllS1VTSvkBn3Ou67r81K94WhcKhUKhUCgUCoVCoXALyRfm09W9mV3vUj0H+IlIvszP2trF07pQ + QLG1LhQKhUKhUCgUCoVCoVAoFAqFQqHwIsiaHSGEruuyUS4A59wkqTM9t8tmt8XZulB4+cliN0SU + o95Vta7rruuy4lU+N51zKaVsVP/76uzcYEN9389mswsXLjRNk7ebH9ITUYwxhJA/yb1EFpzKvUde + 7CXb50KhUCgUCoUCBis06OCyaaODNZBzsPObW1i+QqFwa1EFDKNyT9bdUIVqx9J5dQRvW1/m3xzV + a1DFumvnII9gXCml3gBmGLa0U2zqgFSF2IGBpkJvl/t01+6uHaxPpHLARgxySe6q66+2qz//8Fv+ + wVe+QoudQV5oWmy068ZN7uoM6puqlZ7M1wyQPfPUV++tPPewdX9nCClG75AEz6H9249/DAt3O6nK + vOYwwEDOhewfyi4G7jwQgCrwMsbG+dheQddiNgMIwWf9otxIHdTACiSwgB2x41G7gyAEg4r2u5VX + iUQEYXgPEGqGc3/jC59B2/7YNzx6p+Om7zddG66lQ6M3bLKBUTGRmgHMJBob9YgJGNo6Ae5wlL95 + lqOFQqHwkkKAIySD8I+8/R33Llf3GLmUIqAEGScs20M9AydW6nzhxTE4wAxsUBqUoYKCgQpck9tP + m1TVl1ie057vOv3Tv/NxNKfhA0BghtKMnSpUkxmIHakBXKvAO0Dbtm3qOQggyJFdy7MEdjRMZYZx + wkDAMMHKSnAYXjEpjeUBxLaGESLQsMJpSV/7QWqT2SpRENVoU6x9IJupgjngjId0P3Xhi9hf/fg3 + vmd9/tIDTbPaLJt8fclwdPPqfiw7dJBRMzKwM/WmALiiC12/8E2bOhapCZVo5yPWLc4u0HVo6kEb + 1AzZ3qFQKBQKhUKhUCgUCoVCoVAoFAqFW8kYIkAEGJghyXmPmAj4+Z//+R943/tms5mq5jD+LIL/ + SlG6JyJTZceqYmZPPfXUi7wvPz7YJtB3fdd3MbPGxOUm/8hHPvKRCxevAHCONamZMdEN/MO7Lt55 + 9sx73/te55zEfjGf9Sm+jOV9pZKVKM0MQIyxrutJ1DLnvNhY5865G9jPp5QA5JNaRLz3m81mNpuV + PJpCoVAoFAqvaPqw+if/4u8/8fwnLq0OeMYaSOYxWVx3+5YwX7CZdtGYQIoo8J7BW5arBhCURtPf + MfwJlqPZGVDnzRSbTVeHxgVlxrprw4xS7MFELL21a7v4XP/k5855PGOz4OM60maxa6/77m/5U+95 + 67c2fkGpYWU2BlhJmaEEIbbBT/dmwaawVHkXu2gwQasudm75uWd/53//lx9u6/2+Wlud4MwgAkm1 + 8F7YTyssZD6nzaozdam33YUTFQMUyQ5NwU/mEQuADMEAhZEXgrlEUFbAsL6CZgepwjqtqp2WlLRX + cbOD7ryPX/UXn/CXmNKaExbYW9B9P/qBn+jWcqHf/8cf+aUL8fG+ubKUfdc4sJOkJh4KxzUzzKlw + 6mm9wWa2YFfz6kCaABKEqmpjb4RDu0TacsLFGCwHwBjGDJDp4Oc6eF4OJr7DUgQhgIZkATGlig1I + pkQEUjAgzmBMMDPth6k+8ZBr7x3MjAxm2ciRBgdZM2aowQyqIAIcgksgUA7QYweoisKG2DQ2zQ7u + Tnk6Xsqq3JPBGdTAxs6YLdDaoQ1nm7ve/tC73vXwH3rD2a+bpV27QEFDHWrpYjI9Xc9i0vWVtXOh + qiqYMUAgMqiqkCMiNjhVBXhsGzQ6uJMyKFvhqtHg5st2U+8zMMCDMyglkAHKMEX2gOYpMJJMQcoG + 6dvFzmITsWk7X1fJVGCLxWz/4r6bNe+69488eOah3/ry//P/PvF/fW39ZbeIhpgjOTEc97HhGBtB + 8yZIbYxrHDsfVQJIJZ+kBM+AwdLhJbWRI7KUImi8g0NMZka5vYrByIygRjCCIxiTb4Kp05RE1CBM + 5BnMvNqXqkLlnLKmzvq2d87N6gCoqjoh6oeQ0VjBfKrqmdt3/uL8mx/6ln/7PX/qvtkb43mbMc8p + QOAIfdLlOgZqFjVrb8QilJRFCU49QF5BpsnpC08ZiH7zNH/tw5/7n7/wu4+3tuldG2oWic45MiYl + MzO25KJ4ifNeFj0oide6akQszGrvudtsdmbciQ41TSBjUiZjQPkkvRabalw5s64FBfgqkJqIupkS + rZbr/WX73LlzX/rk83VMmtB7YlbPjE42xNCIHTpz7+LrPnDfD50J9/s0a2jBDA19y6vHn/z4r330 + w8/7Z2NYwZgAMpqu7kX6qgmJUrLOFpa0ixv1FVYSOzOuoEopUvAVEEWEHAx5JSAoCMJwBsegFOdV + lZy/eDHdcZbPnF2kAyFmreLSPfOl9tznv/Rx7dRLFXwjzNESXGIyUuLeLezMrr3uL/8Hf71pT9dR + fapzEohTKF0j3+RWYszKDMAlgSZnRkIKZ5xAFq12wbrq4VOP/dnv+As/96s/2+xVK7mZd6VIN92B + 3+E2XP7UV/+/f/Ybv3yFzqdm5Rfod3ojzZJHxL6umyhpeTHNm6ZvW3KAl50Z1NCuERY+GcMYlPII + BRoMfc2MPUFzAg9MIYAACgh6c5sqOCKvqtG4c/788itV3+gFdeAKM1u7XZx9cO9Nf/57/iJox9kM + WjtlI0dmua++edXDxqoBYDY2cO6WlUxdwgxX4qWvPv/F/+lX/8crdLH3++J7c70zU0CpplPOkfQc + W/LqfbuJ1mOxWy3bXs2cY3hEtZN6c+fIfGVVUlYmYzZP0OW6qxsslzE4LJpqf9XHuifn5n4OcQpZ + Yn/F+08fPKmXzHryfbNDZ3btzPf9m3/moTveciqc4b7+ytOf/6WP/sPz9VPSrWklVUXLVdssKEbj + HHXPSpY7TIZ5AKBkdMSbOw+mx0qd/8sx+gZV4pvxOm4u96Lgw+QyBWCkCtjR3vV6N9CZSdUAEJGI + pGTe07zZfeTBR6tUk4eRCnl4hxRt6mmMAAaMb3aeQKFwO7FYLA4OVs+dv/j+979/f3/JxN5VIrJa + rQCAwM6ZaIwRADPnkUVVmJEfE2SFLjPLX5lZ0zQiUryvCoVCoVAoFAqFQqFQuFWo6hQK+EKu0L33 + U9TupKI/raRQeC1TYlsLhUKhUCgUCoVCoVAoFG4lL1GS/Sh3TLdXTHKhUCgUXsUQETM753J87fZX + 2Tc3P5NTVVUtntaFwi2BmbMaTgghn6cpJSIys3ye5sVyUsoN5HWuR15VFt8xs2xfrar58+xpLSIh + BDPLJQGQFzOzqqryYi/pThcKhUKhUCjcDPSIE3ROUR7v600+ZNeV+tj68aGCxrUXHTQ7spWsDotx + 3oIS2IYf2vgnDlVcSIh7po0Lg0TKuI1RvmNrKzm9eWtbJ8CAnIHPkOyjZmBo9ktTQsi5zEZKQ0Lz + 9KP83hkgiVTAAIbc8HHHJlVSxbFUcMKRo3C42um4AADRWEBSkNlUCbZVCYM00lgYkMvHmGAEmX4A + wI4o5pz0Xm6JTi2cnNzkNJ+e2afwSEMf37/oZglgNIPMzXw0OiTNp0CWwaJR12dLT2x4dQYzBB9i + ihV8ixiNxIduZxehAblxUR0lxxjEmKwVUwcXqp35xecOXs+V6KGa0r4AossAACAASURBVMT2c45j + nZ4DE3TddTsV7hRBn1BFx/XVWhij2+N2DzF9xceXv6qHGfvGq+UYRndNgIh7SHDBKbDusNmcVdlf + Lu9n7pTXMdYOawEB4dQeKt+zBOIivXwLsTwEwgAEMzfInSFGrYAkqZnXf/bd7/qlz34OYbbVKnRs + QWKjbfQhw9ihgDhmwJyjYUBPgHdRiBr2tUfNf/sLj//ww48oh1mkPZiznjHcjnFjEYUhBDawEgNZ + JSWP+AnYJDvLYaVRRSr2avYjj73t7/7ekyAQlA/371ZoGG1NKeiWFOBoWQAoGZk6YygDCZTvQfEk + J3fy9WZFIkd2eC4zoEPXCbHDD6+Gth/kEgwQgiNQdk/PnrUvHBpMb4/vCgEsIBWG0uBwblsOuS98 + /QNX/+zYJk88mzwxPKnNlSfh12GqmOl6AQAwSRyZYZTdo63ZBQ4vUo6Pl+OkelwbX2dk5GOrOlKg + qxpGvnTamoeM/YUqug3W7Z3r/vQmwdQziNASLE8AxmuQPL1XO8HZQuPCQkf2h2xcGw1/AjDi8YRW + Z0NdscEDBAhsZTE2O+cdnqvp737+C2BgNkc1Mw40TZuisHfsGFCYgQFNYAdNcL5pGphOo4yOu8Lj + gZBpEoitI2I8HQDZ+lgAd031KDpSQ3z4cS5NYrAgMdj7kAAmOCAJwQX1UnlG4B//5Mf/6jvepRcP + dpxn5yh1iODRLdq2VpxVCHPzy2PWsdo+EcPFo7HSUA/TsJKAqFK7OkofTL1ir4//8Te98+998lNY + LICERPCO3LRNnQQnSwdSKBQKhUKhUCgUCoVCoVAoFAqFlxXbeopCZGYghkFSCs6rpN975un/5Vc+ + /IPvf39MKXVpd7EjIlNizvQg47YlmQIIIUivKaVnnnnmhftdHLtnzyCCMeOb3/0eYtOkVQiqJ0tt + 2K6uF5Jfux1UdjtwdTEUUND/9isfBsCElBSDcULi4y5whwSmD3zgz82q2juXHNq2rUPYbDbsS0rX + jej7vqoqIur7vq5rAEQEIOfdTItNZtU5g+aa5PSZvLCZzWaznD6DkkdTKBQKhULhFUtysu8vXq7O + rXVTL2a9SrLkAje1M9M+KRFCgAqMnKuC5ewbGgL/mHSyODU6+jqZy6qFQClSnm6tu34+57bTUFGP + XkwFRgznAYUqloZ6gaZC6meoE4JYr8wEyYFGPBj+sm6ZAt7MKkp9FWaRlIiNiWun3s7tPxubZVdf + acNB56ICxHAOROjSJswAh8srazzYzKKJ2hBARn/QS0JmgnGEqRlIHYMIZJgvEAWJgICeBQwmLG0V + FuhTR7J0xOSSMSK1hMVBv7+o7lws6iXOL8MF2121sqEZzGAKVgKYjM3ISAUCtiqgSyobbRqQwsNv + Vj1ddTli1/yDVEdHSR7TKoY9wmFugOYANgIzm5DBDKKUk4coR5xRTngwB7OcOJFn+GSmBkkGsuwA + nb/Kle2cMxODESHP1s2QknpLOdrbjHKKETN750RExzSo8ZUZySwR4BROKqfBS/BaO6m//o2PPvrm + dz7ywNt2cQevQ7ParW2HhMiMEpw5GFmvlXd+visGMcvpHpO7KhiO3Rh7DrJhF6bcK+OrIjxvPjko + 1GhIgsOQ6Wagw6Sw7WveqqpWq5WRa5q5EjzBBe26bnexF1On++mu5o3f8diZh+5/+Dc/93/89hd+ + U5pOuDdSYQgP0a1K4Jx0RsPKs6cyDk1JD3seZbBBtwxkiUBEJqZQcofhm2Y5opOIqJdElN3QAQCG + ZLBkLEpETI6IibO3QYpRFnOfUkpJnOMQXFZsUFVlMQLBGNRwBXIpStpo6vCm1731O7/1ve968A/V + 6z0chJ260WQq8MzGzjkwBYIzg9mQIgcAYMDBODu+nwhh5QUu4/xlfw4LjdytWWKMwXlSApTYjC1B + EqAOzsM6NDOs27byTpKReMfoe71+CuXJUDXy5GEKFjFSM1MjS0l8A2bdaCdG5i37szsjVVNG1VDq + La42TZz5HbIl2AcYtX3bW8en2ObxMp5dzs9v6lUOEibjIXjYzBF1qnAgIoWphyOIgT1pZyQgQ1VV + atisYz3jaNnCHkIwGtMjc3oJQyQqcOY0d53G9mDmqwTAq1ArACqEBqa0FogLCeY4OmIT5SqwSoMm + ur4mNVJjESPKHYrdfs7WAAA2yBQhDAUhhDr1PQemLvh9euye93zPt37fP//oP/U7dU8KpMGcHgA0 + /5xBRpaPC8wDEcB2v/FCqCpvHJNtxK82/JztXtn4VhwQIAmOEIhVoZ2CadY0MGb2obYYRRPEsLPL + XZeYqtHQ+ojJsZlQYOmhCmIwD2bDxuwdxCxqWlkCIxKchzio30gEKZIt1bvKAYv7EmuYUobH/A4F + jPjmOVsrAfAG1rGTQ95DcEwt1VSd5n17vm2u2E7fuk0Sqx1I0UtnRJ4tCnoV7ysYLxZutewp3w3W + 2Cd4n8PhX0zBjKZjrUbaLHwfkxnmtd+s+6rCWlB52XSdJxddVErCAIMq0AwuLb1I9/yS5xIqlk2M + m/5Lv/fEFTu/8VdmXFVUt1hXDSQNuVV54JhmpzestOHUO/aKYQbLN+116OOVDl9HH2ud3h+5p52N + xQnAcCTI8hjGgBgpyNisAe9Vd9xXPXjH7J5Zv7NarmbzvRgjM7z3SaLfSjrY8rSmk6RrFAq3PXTV + qW8MYH9/2UX54Ad/6KtffZqJ1VQlZYWCqq77fqMisOFSQlWJYPlUVTAzM6eUspt1/tPMMD5HyCZY + hUKhUCgUCoVCoVAoFG4J2ZeaiHLs7hDBex2891k2H4CZdV23HTFYKLxmKeGthUKhUCgUCoVCoVAo + FAovHzcrmHSbFynE/ookZ4wDyDd8pxzvwmuQ/JAgZ3fkqL78CGH6KjeSKRDwFhf3JYKZVTWEEGPM + +3XMWvjYwiKy/TSFXhXdRd4jACmlIuhwS1DVq5/P9X0/vSeicmgKhZcQEcnh7Lnbz917lryZOvbp + /fRJjHH6eX4zeVpf7WadH8Crahbcmdzrq6qaRhwbmbaYhyQAeZydNp3H5e2uIC/26hiGCoVCoVAo + vGo5YsOmgObpVAAkRk8V4OCgCnJQIAFdkl3vSAHKSYnTGsZLdeNsmayAu7Y6CA/2asQKAQZ/WTLo + kKdtsEH5oc/ZxzACPCEZFBS5uuDdf/2ZJ8Ae4GkbjK23PKRc0rZZ2onrxwAkj5RQKTzQcFhpb1ke + BlkOBs7IwRxg4A6646lNSsCMGMslKo+6NkKW0jCy4c6mMSgNFW+HBbdRhubQUPTQtFY4q3wYNJtU + Q6A9SI3NhSqlzQ65aAJ4Qcwm1sY5L509QHAESUAimAMbvB5mq8p4MI94DOPQBXCbbZPL378iX2iN + F15LWK9oOjCAYBjud5sMprc2GPOOAlvKOIlNMiGLufhsQqkKUqJRv0ujI1+Lg6adBhcFVfAqCcj9 + EWdzegYARjIAFLSP1lmXFjs/84nPolkg+NxxjQ6Zg5NgMhvsdh3BQoxOwOYZfS4XTT2SwQSHxvOD + D+UoAcNmM/JL613E3Zv+P3v4rT/x5a/AG6hBAATkEAHTrmZADGCw5u4in8sMuKl7zoVi2PitH8Um + dJBjGHVg1MA0GnpOztbMrpa4dqZY7f+Ntz5873pTAStVhmOwKAxqoBQNClKjlyohnbYEsG2sucIN + IcBhkHwjIBhVgIAEMJgxosKldKpbo98gBCOP4ZAzoAbE0dXVA06PWPkGqAICzlMAByYaztrgOQEb + 62dNgMN/++QXsda//Ja3QW13dT5AmNEpdh3WAgWU0THYaKZMAJsC5kadLxDWmhhUwygJaDWv5jAA + xFAFLG/6ZTeWpm1j45tvcnw1o0wZ7OiuMxASQ3JHmhIC5bnEqP33glFAoQIJrA1k6Qli5ijrLw4k + BgCvRBjUmtgoFyz3cs5AojADIY59nVeFKjwfr7nrH8JpVonc0GywKwbUIMQRpIkYqD3IEGdwLa66 + CXhj8uRscMPOxtt89Ptxm9sydC8X48TxiI/7ERvg19itR4UDlM3c5BMNAphhuWEJeJzNKlRgARhn + szRcoQym0xjODoESxEGzK7GCGUpDR4pxmMcxZ+ujzVi3voEBMXdTABmCAWIWiFIHXSFufvyxd5w6 + aBfmGvIH2msepRWVHsodCqlNZvAvDAbqfA4bKw9DvNNB+HIQmAOCAWAxVkKEGVA5Ryakw/WUEWlo + LvXd86wHp0/93Gc+hboBBzgPYpp2kgDvDjeeu5pBGtNvXyXQkSu1I0yVe3VvzpNk5+En42yQji14 + uJWjJwR7ZOnPw4d3+WT3lC9BG0DhA/aan3r8s+jlhx568/3J7hA9C2VIbxCCGCJ0Fubr2JqDGQJQ + KSpAwZE063+RjW3ohWG5kRHYdPyVJgAEizZjkImYMEENPdAkfcOF83/lsUf+1ic+jlOnwXNEaMj9 + og7qWsaHTZP0OrVeKBQKhUKhUCgUCoVCoVAoFAqFwh8IwxDoRYc3+BUEGJsqSBH7vFyU5IAe+K9+ + 6if/5Pd+7+5iUYcQJfXtpgphMmHSyexteHRgk6vK1fZmV39yUrfmI5YtdO3324ZJotI0zXq9ViYK + 4bOPf+54dVzrkd3hRo4+BAnM999z7zve9hjU6qqyEz/bPPr0ZLv8YxqdmTnnnHMiMcboQp0zIBwR + M2sSM9Shujq3YtzESR+H3mjvr04yDt63bUvsq6pqu96YlOlrTz39K7/6awClnAYIWBZPhBHA5NVS + 9loA4AlEdPb0mQ/+hf/QgzUmB6rrOsVoTLe5S/otJ2cob785lo+T3+fmcQNPa4zpM9srmfKdX1l5 + NMfUPLMPd973EMKU1l0ovOrJKXs5fe/3Fbot3CZsp1Ve85BNc4NbUbpC4ZUKB99KrOZN1KiWiE0t + IdvEEgxIQ5S2qvY5C8MAgxqZGWyK8zt+5uVgXDODwIhFIVFBAZukcOhV81TW5YujPBEjaI0rK7CP + rm3FPHTGNEuJPZsZzJyyGgkoYnBEphPZZJ4U51wS8a7qo9T13rI90Kaumh2xKLRWjsRDhB4LAQgM + S4aEimECgxBTMhsi+nItbcVGnpRoBogN6TlQGdcjOREBLMiSJDlASwgweFYYkocRNpLOOlenxQLz + Xi7PnMBp7yQxYkSgfHRzAL6OgYgGg/QYUpwEZEhICINB9eR3PmBH9m68iDYbgvon/83jezfVj4iO + UcM2RASPvbtNu3v050OyExzgaEpfGKPU8ugwBe4Nr0RqbPk6k4jIM1Ou5HydaDYEJRMbIKyoOvYW + vAQfm1P+9W+655G3v/kbH7r3rbvutJPg141TR+rJSC0RA8aSg0DBAoiKQYagw7xXOVLcATCxSASQ + HmkbdFxiJieHcE4duZmNH4f2vmrINttuuKFhh6GONubWKUGhHLwBkJRzTYzgjFLsvHNgl3pq9NTX + L9794Nsf/vZH3vu/fvSXn17/7v7mEnkNjSbE1lSARKi8kWnq1SvqUJFZ10VmMjMQnINzzshEVATO + QcfLNVaYGYiMGIBtR6QOwdiAP9ppEUBghTP1TABEk5oNmTCMThIIRBCYmdoQ7ClrQch+ttGs11qq + PZzZc3f80W/57kff+G+c9femy+zcwgVebTZVCAyXLJnAwcFEIcRDLgMbwdxU4WlMMHrhOPV+M2vi + zryuV7jU6ibU3pSVQZbAMt098ABHIMII0sMzVHNPlVsgtj21h95+PHlfeJEMEM6zN8CU8v9kYoCH + GaCmNNy0yutldmaIXQpVZa4zZ4Hn1PnQhZnUJloxOW7WcZ3Q8szMhHTocA57IYJM+ZlmQzXm/jBq + 7aAJbJasA+ACTNSbAegJTFDADCyAIQFpMJ+PGs0BXKFHZBIlnfqYqIhmYAI5J8rReSZ1TiAtH6i1 + TmY+7bCykioD0KDCwGgcf1tgpGkoC7PBC4w02+im2FaV71MfQi3mT9vi2x74E/vPtP/3M7++0XU1 + rzrtE6HykAPMFm6VLMGI4GGcPKdKQ1IkwJ9ob9mC9OqahsSJRNGoCnboBWCYIqqyAkygKBqVCA5d + tDx7YULslAFYHHdyaAxDMyZNSbbb/GAybEnTNLQCBMqxzgTpUXPoY6TKwhxte0mpJa1d2oMGNRiL + kvLJbelPDmu2zaakMKcO5tmCE1anmvZjjFwReeksKpGwdTqkBRlZUoARoJBWCb0M2X2t9ACYoJIr + 7ATk8Ww4MwwGEcq32iz3n22fwFBFRaAEOEkQJTGCU4IO470AiTZ79Z5LjNZZtLCj6g7YeoZF9KJQ + ggmwdRPapjKQDjbq0+l/yDSJvca/m52gdY3VX/3R9IAABA0wUjYlZSQArASwGjnvOumYzBMqqfi5 + 2R/+9j/u4kx6NKGS1DMBplD4McuRh9lCroHbpdspFK7Ltk310Hq3261etQxU1QXfdymEYEZJ4b1X + 2Ac+8IHPfOYJdk5Vh0sSA4C+2xz++lBWa9g8YNnsKj8ymO75H1PZKhQKhUKhUCgUCoVCofDyk6Ww + jwX53Dg+ZDsacDu0b9Lcruv64OCgSOsXXmuU5l4oFAqFQqFQKBQKhUKh8HJwzdxvtsl84iVBD19H + lfFXMWZWVVU2sq3rWkRCCKp6vdTuV0rKd+HFkR8V5JC+3CpEhIhSSjnnv65rjA8Sto0/X6Hkvcvv + JyvrG3haZ+mEKQs3Pxp5dfhAT/Uw7UvOFb91JSoUCoWbSNu2TdNgSyXnWGfedV1VVXmkexGDXRaa + 8d5nG+zJNhuj9AzGR/VmFkLILtcARCSPL6+OwaVQKBQKhUJhYDS+MjCPUio+BCjBLCb1wQHoekHF + jXfdJpFovajYIUYJIV+c8pAAaSDAW5ZBGdZ99P7deKV/rdRfnhLds8zK4HhNjaf9pDUQXDgAb2Yz + 1DWYt+VX6Mi7w69e/M0Rohgjj4ViwFQ9fE8yFG6UQcFws5LnoE0SBjygq/Zn/vT7PvSr/xyhHmQg + aMwQNwDZqW6rgsac80MxhiPOeWqw1Wq1O9sDoAozZc43B1RVYy+n4J1CAGGNWe+FjvjATnIpSlu+ + 1FuHZ7D6O4b9QSqxULgG2WmVYs+BeyBgsk+mwYHvDyBQlX+YT0l37AGCEVTAFszY1AH7LeaVX/Yp + OJBN4sWsh2aKBuAgigsgdpe6Fr5W48GiFcMJkiWaAAQiAH3ceMdQe9Pb3rH/0Y/1feRDv+6pDzyE + tyUkaJBlumz9Kc/rpIveTmHzow+/9Wef+AIaLy0w8waoas0BEnF4XcyTuoSCKaub5a79WmcxDT/g + SfQHRN1qXS/mAFKKZsQGV3uGeWN08Yff/thDy81cYnJIBtXYcCOIjkOE3yiDmF/hN6VfBRAAqMK5 + oXWRwWXZqZRS7XynOluvIQIzVQyyT4Sp8WNwrT622qxcQJy177bH8XEgY673rV2E2pGC9Ke/+Pkf + fejND4DvrRerblU7bAQM7NV8oVNUw9NDHg3XkU9TAowkC0UB3rQS8ybT3vG4Tbr9RqiXQddkGs3t + yBzL2BhKeY5wZC5x0iqSPitRUQhgT0aebKNwW52VXsvbOWvmKeCnKc+WOkaeNr0I8ioOPXHHTnTT + bebOAczworRB3AM2g8v2yRh1NXTLDnZ4tWssd9Mp6jjXY5A9A9NhnzEdI85tzA3e7HCDEiiAYZqN + Y07L4yttXTkQeNsaeWp+J5U7ZHACDPAECMBEBljCevXDjz5695X29c0ObQ6WFkPTtNpD1NnQvx02 + w5MreQ3qp+POkg3Xd/lPnaQ5x13zniWJwpKCAcdurbI220dcv/7MT37602gqeA8OwhW7YxdcN6yA + o4u9oLPnKmFNXPPaZHv9N1rB9ifXWt4AYzAbeWHyCw9d/txXnvzQWx/xzvFy402aUZGH2B/EdeWr + hN6PHeBw4WbHeuMTMB4pPfYJhmu0baVLeNM7YoflAQAkRbfEzg4zVl3b1H7co+vXRaFQKBQKhUKh + UCgUCoVCoVAoFAovBbYdcTQ9592+L321Pwbw/KXLf/Mnf+I//2t/fRZC7Pp5XQfvU9ff9OK+FFRV + td5s5ju7m67rYvz1X/91ZKu46zwd4O2AkK2amc1m7WZjhh/4/u9nB6fU911wL1k+gnPORmKMKSXv + uWmatk/MnHMunHMMkih93x/LR8tW5TfbE5qAbr1p5rO+S32KHDw5jmL//S/8AkB2rScuBKemVaj6 + 2BOIYGogs7/yYx86c+o0iagoE/V9T0DTNH08mVN44TVLzsokopymysw5q0hVs4InEcUYq6qKMU6O + 3YXCq5htfVvnXNYxyDl3hUKh8Joim/2aCZF5xwIZQsimeCgDQGRTYBPZGISGwe3xBlw/vula3wkh + qbmAQK7yTERmlEVmFJIDNg3IfqKjbeTN1Z1gB4MApKopCYiNWFXJK3khD84BcOZypDEoGV0dQ0bH + P/iDcc0gtekPd/j3YBLpQUJmRMZkBDBVPtimBzZGbSJpTRLQNKxR6arLpBsc5et9ccM9PdHa6Hpf + XHNRVYGxQYYISVI1ZkBViRhkPKQtDKglOCY4IqgpRFVgRp4ds/PkyVhjjlPmYG4m9QOvf+Nb3/QN + b7rnLa9f3L+gM5Usgjauq8i8G2yg1UhBKtcNjr1mnKTiOtJV1/LCfNmibo/bCh71/jyyI8fKyVte + o2aDW7dT56P35me2V4e9/+Tf+Wsf//K/+q1PfvQrz39pEw9cE7lqI/fmkZIprKqYjPqud0RNU/V9 + Dw8iSmpdK0RwFaoZx3hYku14762o+yNFzXcDjr0CgFcCwNnoFsYQQBUgeA8Yp6QAQnCmFJMuakqd + QVxtizrNT9PZdz70zd/y9m+f2Zk7+F7bEPfJ1Y7InHPMDFM7PHb5iI8FM94+qC8qPJMaVztjTb35 + aASFAqyqwQEE4yFYlxUOjoFIeo3T/UYn3IvJmblWnOxhQuH2pzGmEHwTmtSlFmgIDEfGDs40qSUj + ARkzK9mm37iKHP1+o9BVu5OzDuEVU+c29tB2GHx9GFFP293QkB+l0yfbK1cWhgVVl23KWY16ssTG + pD7HegsPoyoMoNsrfH6rMTCbHvYzbrAGYXZ9Gze93rV44Dve+d7fbb/4+YNVvzwIc9+n1Al2K2xW + og2UKSiIiOEcsTIPZvInKA2LaW8iasrsquCryvEm2lBtBBBl53kwoAyG2Xi4rjPoXndOctUX1xhe + jQA1MjiCkgBiLOYSGbM6ACAVToe9zU3uqMnICARh5LGHoawMB6587RmQBKiZ5Eh7yoH9RxNHppVt + //mi5yrXnOHRtSqTkM8mJQYBLB6AcgKZEcRiFDVy3tcQF/1mgw0zHBFc7seu2xfRNd9ea5mrX28f + yJgJygS2MR/EmMDKAkqakqICyNC4nV2+4+H73sapyk3uiKv6yzdbKBRuFZMjtYYQVEDkRJL39Waz + +dCHfuzjH/8dA7KmFgAwee9Tf6Nbf1mDK7+mlF6ctFehUCgUCoVCoVAoFAqF25xsepKtLrquK2Fy + hdcgRVa+UCgUCoVCoVAoFAqFQqHwiiSrCVRV1XUdM4cQspnirS5X4daw7VRtZjk9ODtuZjvnvu+b + pnnVtJAsq5FFAXJkZE6Kvt7yORQyW7/nHxLRq8B2NEsk5FTDLB3SdV22MC8UCoVXJU3T5AD33P9X + VTUZUeehsK7r/PA7d4w3GBquSUqpaZq2bYloMrTOYfS5y81jR9aj6brOzLJIzaTf9CoYXAqFQqFQ + KBQw6WIQAAgYgDcGoCLwbt0u6/ncHC1ltePqeWWAisZqFmCM1CJULrCMUi82mFwCAgiIFI6JAQMd + MfoabWOhPPqr0Wi6jMkHcesXAotqFRACr2Mn3tNOA+3Bi5tYPwCiLurGXV7uOlK1hrHWlJD30gQg + 0GQLxwZmW6l44PRO3S67YPjC458HubyAI4YpTVogxLmqHA/SDgKkqxU3DIA6QoIKMFvMYbDeXEMK + ZlQQQS8z38D1JgIIAysnWiN2cMc1XjRv3hlI4Qxes8EwZy/AybHyaomE4ymnL9pwuFDI0gBd4hn5 + GEOoHbLjo2YDVMNoi3xo9TdZ374gCHDGIFhWaLDRNlsUXfrBRx97JPUAOsA7pD6dcs3GWiCrOmj2 + BIxgAjwQ2KlJq2iZeL4DE65cyqUZTzEde1On6NdtvdNAO1T0H/2j/+FvPfpujXHSHZlMUw2kZADY + Ds3saRQGEq8BLMYCDaHaU+NLq7/0pjf9na896WaVeA+gIdbE5CEqjgNG//pJIllyqQhwh3XnAJo2 + aCAanK3zb0XB8z0D+thWlVeYQQ3CBojgymqxv3GMXpEMUASgqdzzbescxaa6ohFty/N5cWW99Ri7 + PL4TEiz7HHdJANQmMwvVskNMkEiBYQ5g2zrN8l2PQSDIxn802qAe2dDhGyYTE0dsACyhZoB/9snP + /pff8A1Pnj93BsTAEuYB3+kOsEmDu2p2BgUBNgypeY2TVoyzq0c0jF++rNj15J5yWenlGB6v53Or + dK0KeRFV5B26Fk2zj3jGJKop0HjEdLiIs8HFGrkTG6dDymCDMwJ48pcOABREAE3qUycopJu2mE2N + CQZW+Hm9g06QrLvSVrOGtF1LqhnppEbAWSTuUFRyGIlkLNq2yXGZ/txWGKCjSfMgci8ghaN8QHlo + poSeAKAaB2EjCDGGMRHOGGCQz2sk5LbK47QECnZ0jXNPxj7BDfptQ+MBmA2a1AUeWnxKgMAMSvNN + fN1s0R8sK9gMdSvSR60dlGA2+RgPElNX+8ffACEIWAElJkOlYKiCNftZGxxghMTQ3K+aWlLK5tYw + In8pxdTM1zvzpxr33332E3AOTQUw2DsgRgnh5sqevkxs9TmW/TZ8laT18wYx/cwTn/33H3jD/Xuz + ezDnK/tz2IqMalLBft+frTz6REAirB0ArRNqQaIj+o83reBmq/V/+ti7/pvPfBqLXdHkyC/qZuiG + CYBOcpl61KO9UCgUCoVCoVAoFAqFQqFQKBQKhVuCAUYQ07//1rLl2gAAIABJREFUC//ge7/3e7/x + He9gZlWsV23lGYDyYBIHjEFj28/vbl7BrnZoOXKf/zDmoY9C5Pq+71P8nX/9CVMC4NgnScdXAeCa + z3NJAWw2m8YHSul9f/rfJQMzK5GZnehuPhmYjvw5ITERkXeOfci+vKkXZfOOvfeqqkk0CRmIQGMw + 31QPL1GFX/2wJMcTHtZKzn8E0DRNF9P+crlcb37xF39x29N6ey0Ga5qma9cYTZQI+IaH3/ID/973 + kw1ZliH42PVTJkih8ELImUEikg38aPTzU9Vt0/ectHvLSlkovIxMaXTbntZVVfV9f6uLVigUCi8n + aiZmIkLGRgTN3pWHXrAwA5mRARC9ye5/BHCEMyD16HtvyVPynJi8qcAM8Fv2kjky/GbGb5MC0CTE + 5phAnfOJnYCialJVVUi+1DAxCDCGH98e5OtTykdUx3KJKnoNbYyCJqqLRgZRMwjpEE/6ysQAZhp8 + greut8woJc1pFs6Q2zgRmzMjM1JATeAUDMy8D6icBOsYG0+pOjW74/573vjQg2++544H7jv9YLCZ + o8pb5WPlrQrqGQGa/d7zVacqaT5nFMrFVPIo+fqdmXf4zPrZ5o/d831/+MHvfuKZT/7mZ3/jk1/9 + rcur58IOpdSyhxmiKRTkAIOk3moAIDJieIMKRJFadW4M8FZg6KLUbflqD2wHUto1zK0TIY1htNOp + rAQVmIBMDWAYqRC4BtUtN1hwt9gL9777bX/0PW/7I6+f3Zuu2KKacQfte88uwFQURizQm9sYrJVN + bxul5Bw5AKaevSNG6kAwQ26kahjuJpjdVs0zOLAmx0iWZh6VsUov6CJtnGMKliyKiZKGUIVQr3Xf + 9Hbpr4wg1hvBEUDZTxhGZkigCOoBgxHl+G3jKQb79uN4qSblkxBCCKHveyK6++zd3/Nt7/vlX790 + uX9q065mda2UTLvQoCfkRB41UuqNWjKD4USdobDCueRdrDgS9cabaLGirrd8A4MMZAZLgCptBaPf + HAzI1tXJogCkpgkBAKlxr66FZcP0mM8yMjbSmzdF4SGxhZmYoKSA6f/P3psG25JddX7/tdbemWe4 + 9001l6pKVXpVqlJJIAk3k2TojnZ0mwYbgwlPH2za7nYzWCAmqZHVtBgCDSCIICwzBHYbh8PhDuMw + YGhou7HdHzrCJgAL0ISEpKIkKFBJNbx377nnZO691vKHnZn33DcU9Up137h/8eLc886QZ2fmnjL3 + Wv+/IYOs6w+s7d3VoUFEBjNruF4vjQXDYKlOcAcc7gawK4zBDPPGQsjMa4J7CoRMnN2un/Z+3HhJ + U/fh+JQOHKbmIG7IuQ1gEs2Wszz4yofnixM4X8PnK7cClxk3meF+sNqoatsKEeWcf+RHfuS3f/v/ + dEeMscw8VRXuOV3W07oIeeWc27bd29sr98yrp3WlUqlUKpVKpVKpVCo3JSUuqAQLTWYW2+YXlcpN + T1WWr1QqlUqlUqlUKpVKpVKp3JAwc9M0ZlYsbKeQ+Mvd3q23fW9uiKgkzIcQSlZ88fjEmE4/m836 + vm+aRlWLJ/Q1LvEXh6oWH9Py37L7Jdb/cp+fov+ZuTh/A7jRfb7LvpQTvdlsRKR6WlcqlZubaVW7 + OEmXQW16ZbPZzOfz8smpq78iRGSz2UxfL0IbZX5VfroMPWUY7fs+hDANJdPIOz2pVCqVSqVSuVEp + rlej4evkswoHk7h7u1io9w15kxNccdChbSUnNAlOIIaSwSGzcvdBiy3csNlR/WRykx0Ucy5RkMlt + azJHpMlAs9i7AsmwM48H6wQQmvD0eoVZOF6TLgKacND3rORuBiSDAVFC58MU1Ed9TweMoKY782Z/ + 3T+7350EtdScmC9BDCcGjz7iW9IgGI88bSt9GIF5ODVDSYqogEIJAlWKYoquT4u5wAmh7fus6goP + YIVpGiQ2BuUdR3HqneR3xMAE8WLCN5yByVX3wtN08XG+sW84Va49Yvi7r33tLz3xRGijp2wKbgLc + S0MxZKEweloXcdsrrHNbzckBIdDgjm3IvthsFgxziy0YlNWTdnT4c67FFpMAMjVXgwEuvI7t+/6/ + DyLGrMaBj7YM1uK9mtG0s7Q+iC1j2QJITcuSzfbFh75iaJw4FMfapqhlJUNvrrAAzjn3ZvfOG+/W + //F99/4PT/2ZUOyNwaLZVQJxyKP3amnIMqanH0oIXWBaP/TSh3dNh6MsIMAdTWwcetDtx7YVGA56 + 9PhHb/iyUznvzubr1DcL6ddKhr3Nain8rObzln/2009guexT38bZlZ2yysvNoQsvDQbnAOaNBKFu + ndH39+6e/K6HH/2vnn6K3UrlncTCD2sljc7oGMf0w+dHB+/ii+7UUBSIQzUGcaDfYBF+6I8/+IOP + PHq6gx2spIGYcwYBwQB2J1NwaQ022tPicKtlywh2+HMEc7CNhsdXCbroyfXEIAFHAJgnYSd6qUP2 + rAHgyzn2OBCI0Wf4NFW4SFl9cnJVghGiXqR7NkwT2eF0Jbb3xTAYDvhgSHwoeZ4ICch+Zvd02n8m + qpapI13JXtM4AzOaxg0AcJRVKB7q2CCdz4YrKX3l5YYvEl9xghNs6qlse8ADMJhY+1Bxhm+Pzw6d + rQEowLQ9UOJCU2na1icdNqVgAmSraDT+YSIB+j7FICBD3yOn73nsdXcl2HoFJII42UFKbSumyqNU + f3l8CQbJRsgEFMvq4WJiMIIQHwtMpbaXywIrMwfrc3aYcL97+ukg7/ng7+P0ElAsll3OTWjh3qWu + bW6KpclDmc4jsyCWBmIwRwy/9NRncXDwfY+/4bH5KVnvZ6S+SybYnYP6zICBlZDZALSDOXogNy/X + wseH4XQ723zhuf/sgVf9k6f/XGat5+wmHC5sHFe8ZFKpVCqVSqVSqVQqlUqlUqlUKpXKseHjauG3 + fed3/Nav/vpdd9zO5u5ul46XuB4JTSSRmcg//af/c3GzzppfoLCXeMtBADO++k1vuv/+V7iaam5C + zDnjCvNAy1Eiv9CIWkSKGxYAZg4hlAzTlJLTuAyqZu4AmqaZMvWAwxgWHP9ZcCYRMbfz5883i8XJ + kye/9wfetkm9bwW6HN0v2mw2QmxuTBwl5Nz/wPd//8ndE+vVqglBmGEuIgR0XSehOd4dqNwUFPtq + IioNp+QKlewhEUkphRBUlUaudXkrlavBarVaLpdt25YcupK+fbkk7kqlUrlZYec5L3bkRM7Z3YhI + LBMRCUzNaIjpIh+zLnxw7S1+jcfwiBCCZITOQoqsJGZumm0jBFBw5K0gKDpeT2sAzgR390hsAUpO + 7JYTGQfMGlu6rRgGBDiLDxcXTji243PFj+UktiA1F4iDG6MojaYNwwnmTsiyXJxqvbdJ3uQ6KPlL + e9yOKXMfzTxBMxFydnNV1exEFFkoiHoePqokzpGaBS1aWT5w5wN3nbz3/rseuvv0K3bb0+ItMnFu + 2nxGNAIsEHGCupt51hAC4EYoptpbV681AnegqBlM/rhmJtSclDv7Z3uV9OrTb3z4bz/61P7X/e4n + /t8Pffp3/3L/KQ19L32iDFGK5K5JVQjZYAoiCEOEIQRzM8DBbtjK0bNLJq/4EBk75AT44SMcLlCU + fg7MYAYRgiGEkPpMilaCZ05dFqET4bTs8YO3nX3da7/q8bNfeefiwbwf+y/Ek+3SDjrVHJhiEFdD + NiZxE6LjNWVl5kYaSoF6CZ6gkawRiFAAJffeCfAAMHuJVXe366LlknOJCE4bJZaGgmkvmxgkNKEx + skyZyCFwEzdGH+xAmt0F2fXSXylnRRZCA5AxU1TzBhwwJyeGsw8h+VyieYfklBvg6mO4h6CHGiNd + 13WbdPbOR775zf/e//S//SJmRMw9r4DOufg7DzhlwGBOV57gkU2HHtWErUXfLOJsxp5UGUYOdpAH + wMCdUyYPDj7O8VRVNfosAuIMt7kso8+pdD407CK5AeEqTFHEeEgBBAADEWAMb2PDEsgo5Ki9oOfQ + BneNIsC1bylTewfYycwzENhaOBtnmJpn7kK0GVuMsTU1EgqhbXyutrnmJb+a/SFoUFsEABBbA4+a + 2TxQG9AZr1l89qYv/xvaEx33lLhSuU4hwIs4oRs1TVMEB37uF37ul3/5V4jQNKHrE4Byx9tfcDAq + El4hhPPnzxd9S0yDYKVSqVQqlUqlUqlUKpWbi7ZtmXm9XgNIKaF6WlduPaqmfKVSqVQqlUqlUqlU + KpVK5YakRHSVoK7i6Yh6h/fWptSBruuKsXGpCcX+ubhyNk2TUhKRnPONXk+YeXIbLbamL+BpDYCI + UkoxxikOUkSKR+nVKvKxMNmThxDKMk/f9zHGG/38ViqVyuUQkTLbKb16eZGZ3b2oLE2fjDHmnKd+ + 8kVSxoUyXnRdV0bPvu/LEFN6V3c/ODhYLBbF01pVN5vNcrksv25m1dO6UqlUKpXKjY6PZldhcIg8 + 8h45QNqQYr9DUmgCCF2PEKAZmtDOITm0bWcb8MxGRQsBIHDxS7gjH/6Mgbx4Ig6PF33k0FLTMW+C + pfzcOgkQpFlbSvMWzTHbWpdMzhibEENSA5qZrDfaa2amixU8jaCAMU270DTNc88+W0x6R2kPBhhk + oEOjunKgCAhkNPgqQnDo3QiCopiSykZTYxEMdixmETDkjJwphkU7Swfd8wwQZorYj6d4PErT/ZRi + HB68qMIQvJjhvjhZ0utVQLZyYyFus2zIQFrT7pKI4XBLJGIXOjFfuad1YVTCKh0dAUKAAauD+2O0 + Z59xQBX72c9QA3f3ZDS0OQYUUIYRGmAZGU5/mfWZljFv0acwa3VoNhfaIpZCx9kClNQgUfaIWQ9u + p0HyZ9AgIQeIHOI0SXSUXWU4HO6Yz5rNpqcYTNMiIOXVTsKXZnnL7a/4wBf+opktQBJaUsJks7rd + 8Q76J6PkyfDW8C6DbLAr9gsVkpNqJAQSN9tpdxNA3QqGb7333jfE5dxsf/8cA6sDnc9oDrEub9Sa + 5XIV2uIKGmLrOOYeuvJXsmUzrDR4qW+SNooINODV3vqOE7vIPTwCwwnzo7WICKWqT5WEi3XraGs9 + CY1NFSxvNnE+22gikUTmy7YhReD3fvpj77njsftp0fWrIvPWAH0pKQ3yPARqzEdLYgcO2390yLUb + gHxrJD0yabnWtfyCgVvpkkW6YiPm7B6EEehnf+//eff9DzCHXjMftUwVP+xRtj3Ui+pZ6XiP/KoB + BA/owc1ljtxlpo2GYsdLABjGmAzWiUAEpqwbynkZI6FP+aKtvBhG02MMhshHFqHoor2pXGsMY320 + UvMBH0T37LDKOzt5GSXDdArJAQgIMAcMbABvtXEZNPwGt2yMEwPf6gm3a/BkiS009lhuAG+yNZFJ + sYhRdS1s0P47HnnsrlV/G2gOZgSGJNKG0fUaZWhB7LBDKU8Al10SvRgfNQeDQYB+vGQJzjweNBvb + L2BG0AikotTZfM74CyG875Mfxe4MMTrEe23DshxSbighx+Ha8UbFMQo1HorfDec/gYDATcOxRbfG + 6dM//clPvP3eh++VZtGwbDY7DNugyCgq2IGgAJkyYMwghpirH9sBKheQ0vV3oQFFrFYITNyOs71y + mVk6zNHO/LjKUqlUKpVKpVKpVCqVSqVSqVQqlcoV4ONCyyc++cT3v+3t/+S/+cUAmBuR0OhYQ8M9 + +GFJ5/jut19y4y8QMeWEGOO624Blf7P+9X/2G/lSK5vbK6eH7x6upIKANkjfp+/+ru+CWSDu8yYw + 0yVi0P4KyhfKotI25jYln6qbO9zcsjKzpgxARCRISqmYZl285SteWh52DjgMTrmwnCMlSK2471CG + J82habLZv/qX//ev/uqv2lZ4wAXLyQYHmTpmTZv7TnP/7/ydb/iWb/ymvOnmoTFXOHpVEWGhK/UI + r9yyFEPr8rw0nMmTozj7ApjShaYc3krl5ma5XJpZ13Xz+TznDCDGWBKZK5VK5daBLOrz0h6c4JSM + LERO2kOYipnzYAdLKJE8zmWKe5xWfnD3xkPc0C7f1uSWMjFz4OCaAQNNiht8lbI8KNIQPWyuCidn + WsqpuD6h5p4WgRUQchaz4z8+V3w8jQC31skMmQRgceMoizhHxnqdF3xyttnXlYuuVbOIGOE6KPlL + eQQcroAREcAEYYDAAKekQswciEg4xti0sWnD/Mzy3kWze+LEqdO7Z07unjm1PHVidnoe5pw4OLMF + ceYVExAoCBpsZu7i7u7KNIYVBwLUybYu7moI7hGIyMzKVUlRwgFg7Pv5XJiHhlrribpwvzx+76sf + +Tcf+Xef+NynP/KZP/zoZz/0hf4vuvb8WvZSUI7IPSIDzO6kSbM5EZjDVhS0lU6rRKUqwcFb180G + Knah4wkafUDZ2chIiKEwd3c4W28wEmM1NJjPwyzkkNc6N7779F0P3f7o1z7+dfcsH5zLbrc2PCdL + aolID1ZtLHoRrNlyBiGEOFO4e398NsbkRH1Y0sl5PhE6FlkEniNHTw5XUO+cjIYUPzYBALpuTK2d + ABcRzboIJ7P22m04NTvz2+0gNjx3iGpiDsKsppIWO34bHTjHcM1LPj0qXEhiJngoClGRnWgZ8pxc + COYwHmK0j/dO4Evl0pWz6HqFEMws51xuJsxktv+F7ite+ebnv/zZf/47v0Ghd/QGytkhIAYDxE5E + YJIj+TovlkZYWTYbxF5O4QzW2bIn5FYiu413CxmUQdHInIKBj+/8gl3VgzWESETI2vDcQhPznDwW + m213N2K4OPiK4uFfCmMYvx+9P2sG78hWvEOnY46wBghG0C6VTINr3lKG9m4EciODM1sLsFF2ziEI + dfFEPun7xjuOjLzqYhfa9dJMr3nJr9LxISXPIHeykqzEzrAWHsGNQRmuXZpjcdfylXfvPmQrYasS + QJWbm4t61PHKFCA3IpY+pdkscuBf/G9/4QMf+FkA7khjup2qFnmuIuR4yd9gZhHp+77vS+oncs5V + X6tSqVQqlUqlUqlUKpWbkq7rMOpvE1HRAJ9C6SqVW4F626tSqVQqlUqlUqlUKpVKpXJDUnx8AZhZ + iQZz9xrmdctS7uznnEs+fDGuZmYiKt6fAPq+L595gfDBG4VS/0uM45CTY1bWOS75+dI6Ukolk0dV + b/QjsE1JBQ8hTBGilUqlcrNS1rNzzjHGvu+Ls3XJ9QJgZtuffGldYvGxLs9LSD2AMqqWcQTAYrGY + NGjcvYh05JybpimPX/SOViqVSqVSqVxLJjnI4kUtk76mA32PQNIfYNO9/U1fw88/r+s9Alji7MTO + 584/1+7urlV/4Q8+iFlu53Nw7hEEoNHIsLhgBhodILc5+l+evCsvKh5Ge9eDPjfAgqEGAL37L33o + j5A3aOcv80G5oJyRz1vmEHPaMHBuowa0bZP7fjKOFIc5nMCABOyvulawE6Nu8kGflrftlrz4ozvJ + uq1nOjheGxzCk0PfVjHANJ6mKC2Ykdwc7LD1iokBbvvcHezvxGY/9Q7c2SJ3KHpyRZ7A4NsyAEX7 + gae/k5XpBUegUjkeyHFqNoMa5i02PdoZCF2XZnEyrt0Wy31JxnwEEGhLZ8cJZA5ze/rzO5EoOQMn + 25i6oa2wT821tBwDkA1JbS+Dd3b6206hDZCIQ3fMoVXxZHYrAEq3QM4tkN/zoT983yOP6vr5MO3b + oKQwFLPYsxp8W+aYAUuZRZ5O/W2NmGqXsQw46TyTiGeex0nDfBccnabfHp1f/VBUaNrg8Gd8VDBg + wzF1CMA0DAoiHECaMrlTFOoz0GD13ENtK3vno+kizijI+W6N7OdTNmAGdOZ/aT2WOxDKrg1VI8Xr + EXNkxW1tc67rG+S269ArmowQnQejdmCsRQT44PZ5aIIODOMJlYo0EIaKhygt1GbSdp5A7MQrWNsu + A7rFo48887FPnQqLRe57TzrWXD8yBNG2MJGPfQFdRkn86hmo06WfX+vb9DQVwQmZGcxf/BFhKsp1 + ijZCRFxYczKEoefT8scumt9gtNQdCjc8Gbsmmp5dIaQoTsSlAD5JWBnyGpvVLvREkL5fBx4se18a + jNIKbOpACSbjj760sldebhxbJ8iLPCbYQEZTTTucQvjQTZlg6i8GRX0ZlfVtq58ZBfmmL4LGGuXl + 81ReHGbsPM6iy4eHX3GAMIuMYTahEoCDA3T5Hs33xJmef44RGNYhd4bY0DySpUFTzMaCvQSNNhoq + MAsMYCMoDYckOBcf61IqGuch6x7NjPOGuvni3Lx930c/hOU8ESsig5sQYEBOaIWJN9ZH5htXYnI6 + 4zzMxYCt864ZCDBqFBbmOwSDy0985om3veqhu4DTbeg3uVx8JsBorBsOL97qYz05VgiYg6Kl0ym9 + 5Q1v/MDHP4Y2kvCRPqrMM4+3IJVKpVKpVCqVSqVSqVQqlUqlUqlcCQSHO7CzWP7ab/3mj7/nve94 + 2w/sLBap68b3h8drvfx6aZJmDmG+XP7ET//Uc3vn52170HVBQtJ82e9sLxmM65s569d+9Zu+9l9/ + s6oGQdu2nhRM5Fe2xMCXXDonuPmwHSY3U/cQQtM0DFLVlFI2BRMJk3tJzbtwy1dQipeOwc1stlh0 + qV93m+/9/u9zJrcxIeSiQ+FmsWk05a7vAnDm5Kn3vfs9cCfzdt6sVvsS45RvOBkVVyovzJSfG0Io + uUvl9ZI0tK3OmVKqntaVWwcims/n0xhRPa0rlcotSNDmr3/J3358/aUONSA20qUNBTHT7fkzOwHY + mskf16WMEQzehCi9xc38lXe+WrDQ3pidiR181NBsCu08PtidHJLNiAlGIcZl2D1756Pf+DX/fhfX + OSQjY2dyiJeSXEfXeUbkTDCfu5squHUS13Qingmrxjtftmf+xhu+/jytk2iAhkAvdN13I8CkxE4Q + IiISpiBggBeLHRFp4yzGGMMsxhhjbGhm+yH6nCmwszuTM3UhbJidYcTm5EMWEjlGM3UXKpaqSuyA + gd1ch4BOApzJhwtZ9mO2Nb1BKOJR7l6EdEr34rAQjSi5whNg0khLQVo+9fp773nsvi/7N/TpJ5/9 + 5If/7IN/8tSHPr//VLdZx7bJWbOpuwo7kRvc3UAwMvhhoCQPUd9DyGthzLK5wNP68DF3CYAQBwqC + ACex2HgTLGLjksOZ3dtf/fBjrzn7mnvvfsXJcAc/f3q2OmmaxKyNTRRyGAUwQ51K9SEmETE2zelY + 003YwtJOfsXDX/uq+x60psukDvYcIzXiDsrGycms1GgXADyFxl8HGCHnnjnMeKfrOgk5Yr7Ity/9 + jOcoFIiI3E2JPT54+8Nf9+Xf6IuN8vUygTciB4hEsgCkHNVz4LRLp5s0FwsMNSqB03ZjRbyWmwkO + nxqvmbVhFi08/xfPfMObv+WZvef+5R//X1gSNY2HzjCkirm7whUuAPthuPiL+lGHdUrmLTevuv2R + r/+qb0pxbeLZDGB2lM4ZAGCgztgcYTun7OXFCGB1F2ggBACedS7xtuaekOdiga3E8xucDQEgLmU7 + NpR9SNQjcHnmMBCbtLL7itMP/a2v+Aad5Z46kBgR0aVvsV4ryIkx2lp7hLNxdlJCpiyz/rYHTr5q + 7ousumj49Q++4cTtyxQ217rUVwsycis1arQtZ7LGSZISCYizJl1gcefivvnqxOnmNl1fL51hpXL1 + GJ2tiaiocnVd9yu/9usf+MAHJmkuZiLwtrLWC0gyFlktAE3TFPWtEELf91Viq1KpVCqVSqVSqVQq + lZuPor+tqiVkyMxijNXWunJLUW1+KpVKpVKpVCqVSqVSqVQqNyQxxpKTMEV3qerF2gET1ez25qbc + 6w8hFENrAKdOnXL34voJYLlcrlYrM5vNZpvNDR+IzMzMXOxLS7wjM79wJS9Bk+5uZkVHoBhdX50C + HxPlIJSccFWNMTJz13XF57VSqVRuVoplddM06/X6tttu22w2RFR6+PKBtm27rivD4pVuvO/70ruW + pXQzK3OtsqmUUoyxaNCUHngaTUqofZmSVeWjSqVSqVQqNzQMFC2bwXxtcobkjOBY9287+6V39rq7 + 94V5oBDRhHhwbo397rZ5s/nc8zG2P/bAY+v58t2f+BhOIUaQBRAywcAyuMcdCU8czbYMZDaawxnB + HHJojjiUwif7TGC3iV2fsmEW4+dTt3P7vYBjuTxed0EB2vBLf/In77vjnl3QHnw+44POUte3BHHI + lqCqOhTQjN0557WtNC243bM10YnDxHsbbNOUBp9OmdQXfMtmbdt6FlyOoQBCGGRxmBGJCZYOuGGc + P3jHqx+/Y9Wdms/OrVen5gLXZzeYDZ56xO5FjsRGF1L2Q7WNciKwbQM5FuCC+y/VybHyMsJAv+mw + 2sO8RRSYgXm23FXvicgvrG5XHui8JcEzPBm6uPz2Rx557WLRrfYiAxmmuoYvQus5E/TQ79AhPvg+ + tvPWDuxTq/4Df/4HCIQQoUbCOPSTBgGHadkBTkhmwkCco03PN2HZc2sKDIohk68kg0c7YCu6LKV7 + CSAyXnu+c6fxrs+KlqCKjOT76edf8/rPLRfv+pOP0Gwew1wNLABssuw87J78sJBKpsPLTIAUhaKx + QyBnGeRDHExSnCxXXYwtzj3/zte98bbNQctZGCltNGF3tnhuc3CSESN65ZXjv3/iT2E9wk7tLq4D + +OjQMjzuzptu3Z/r+jlgJNKt3/LoYx/49BMQMwwpBcW3lcYqRDAfpayERid3YpBlHNpaEyA0jeWc + 1htpI4gUCGgIDsZb/9W/+IGHX5Of3Tvp6Yw0WfvN6HzMBDIGrOgSyVjiotdjDoDIi5dqUdY6XuG9 + yzGZ2uJyjtqOyy/fvcwloeEEAaO2UebRm/fw70sZvxnc9V3btFhvkqmmrMBuG9bdxUszboToAKCD + pzVx6cTJvKgRGeDwAGM4hunTBYfvcks+xeQXMCIevk8ADVaysBVo847XP3bP3kost6Dz5m0jlvQl + yAyN9/gOR5ww1bLR5Z0MQsduW1t5YS43J2DAySBWRmFcPswSAAAgAElEQVQbzuk45CoAuLABYtMs + GUSZh2rJwwkngKDgsgWZulI6vFqR4QUGWHzwwM7btchBQN97EwlmOH8ORD/42KN37a1zznfE+SZt + DGDmhm3TexBnPyKPVeS0rnQCxI5GAZiWiY+DSi/KlszKVJ9HBbjocGDezJ7OaX3Hyc/CfvFjf4Dl + AtJGDtGAPHYlTTRLgC6lufGrP28NIAaUS04m9bkQHAYQsQGbLi9DxC795BOf/IGHHmwoLikHRlZE + IMHMy9UTK8EJmZyu/JRdEQREpqS6oLC/We08n7FO8A2WO8Pbh90mj7W0UqlUKpVKpVKpVCqVSqVS + qVQqlWsNAeDZcrlZ7e0frBqWn/mvP3Dnnbf/p9/6d1sRJozuVoZhQeavWCShlzVp8lJbI+BwTdCB + pNouF09+9rM/9ws/H4jXXYcxc+1itteUx/8PzCR87/d8T2CGubtLCJq0JEe8hJKP3lTk4xqBhNjl + IdlBiPqcNn3PzN16s7tYSgwppT4nESE5YmR1mePwoilf9gtfuATOTmjn7XPnzmWgmc3+i7//D/7s + s08lN2KB6SXWNghwxCip6xsmmL/33e+57xX3dAdrJtKUhZgcIQQASXsRuTbBBJUbjSK7OXla+wgR + lfTtyda3Zm5Wbh3K0FYy7MrY9NKS9SqVSuWGJmj7+jvelKxngbmHyJu0kRCK9ez0MZpyZKhcwhwX + yrahngJJJu55QScazIxUiA1jSPgwA75KquLZHExwhjsBwSVg54HTZ++/+5VJspMDICcxiI+Bd8dm + q3mlOEGJ4Tozo+wIc5DktJ7LUvYWDGHuv/KBM72wehZXYnV+ea9Bry5k6lrCyagALsHY7uW/AgAm + vnEcOBm1iGwoCfUAnGiybQUxBA52Rx6mz5lYiZxgTu6uIHN38zLfZoCLBzwXD+yBes0GAJMb7qQc + 5VBXZZEggpm4kcLMPbungyRNezLc+9rbzzx+zxsV688/+9SfPfvZD37mj54++Nyz555Z9+coZG40 + 8yZb78EYQ9g1wPCQiUEG6rcvu6fKfeiGe9i/sTiaMHcnGHMWTsS5mWG5pJ0H73r47N0Pv/q+19yx + e/eCdhprbR+541ZOEaJTh5CIctd17h5j26cMBAJDmKJlJPPOxAK1x9c/BG1xPn7pma+kxZd5SOo5 + K2BxFhfWJ5A6ZSP1YtGOAICuJ9t1J+ulJw5i0dXaGZNy3pemW7pKDFHJ4UYKMr5/9/67v+SOXvP1 + 0185QeFExCpurI2oJ6eDBebxYB5UQMQMkDuZDxmm19HxfwFEpLh7sggTgznnnDqdyWxpJ8997vmv + /5vf/Mnzn/r0+Y8hNuodQrGddiJShrkz3NUQrqDyk3Nwih4p072L+089dJKiJQcRERpy5jEHz8lA + CTByOcbxl9SQiEi9IcRMCssNUeML2SxFIyHDmYhB8GEUOUYbaSNzwMmIDGWkK5lHzjn1DTenm3u/ + 4tV/kxsgIKsTIuvWdO46wIvx9xDZHgCAsrEyOkaQfqexE7QfJacGdPbM4/ff8bDeIE3mi4cA9hL/ + bwCsJMB7ANgJHByUXC1onNOp9ed9trvT+Z5TvZtRufVwBpAdRBQk/ov/47d/9Ed/bL3ORCCCGrI6 + oOUGYIzxhW/6lTvkIlKMrMqtwuppXalUKpVKpVKpVCqVyk3J5GexXq9FRETW6/V8Pr/W5apUrh7V + 1rpSqVQqlUqlUqlUKpVKpXJDUlwVmTnnXKK7zGwy+q3cgpTE4JI5H2Ms8YLFm5OIVqtV+VjXdde0 + mC8PU+KTiEyp0S9Q+YuUQDlEk4LAje5pDcDMinUrgMlXtSojVCqVm5iiCFM6uvKkZHlNyk1lXOi6 + TkRemkxGCKEMKESUUur7vsTTF1Wj8ryE44cQmLnrurZtAZRXyuPLuc+VSqVSqVQqVx0CggOEHjBY + Q4Ap1JAT+v4tZ1/z6hWW3UrAcOvWnWNzZ7Oz6lftynaZ0sHekkKP+M6zr/7xJz9Bi+L8FmgwpOTD + nwFwxEa5vG7biiS29cgYZEp5FMhY9ykQxHGQUhsWf3GwhjlIcLwwiu/rbGbrg8i6vzEQmhiQMw8W + 1SgenALAwRH92iLQsHSWdtvl86mHKiiDBDTYMToO9+6I5eQRi0cGAc6DLakDhMihfMA0MYPdcW7v + rV/yhvs23Ymc+tzfMW/21n0GljPuOotOABOM3Q3mo1mwDSUnA/vRwz6dJ986PddRpn7lpsKxnCN3 + aHdAnA2BQRAaNH4Zh77vo3H0FW19/JJMbcuApGcCp/1zwiAapDEaDl1OsXxkaowAGxhgwvm9rpeW + bz+DprG2ZfPRK3ByuhxbKwEBqbQybiIytEcTn5/HM2uZZy3u2jRIcjiOGOCyHzpmAsDGcxCs9vsW + aBlmcIAYC0e7On9bt/n2h17180/8KdxlsQBPShYjNHlaT63ZRy9HFQjDBqUS33qfQeQKE00wATGe + P3jrG/7a3ef3lpbNkYFdDsn8YLM+GaNYOt/BI/fzFszY3U2XNvutXG2chuolPtQtAOt1H4E5kAB4 + WjbNyS6hT5ipIJQKM2lvDzbVGF1RS4OaVOamH5pGj2KgHgiG2M5AUDcmYgR2hysW9v6P/+GPPfDq + O9POKu2XVsQOJZAPLu82/M5hQ3CCE9Rgh83l2o9L26I6dnULdNmfc1Z+OYriDKBt2g7ahkAc4ClC + 111mHE69yiwoHZlXgBzsIB+OTzSHGtycrCcuX+Er7iK4eK4TMQAlcOnVtEPf/72HXvmarDvIDMxC + c5BTTmCno6foBXeXxjnZ5F6MSRWIx/6Th32+fuS+KkcZPaENAfnorIFgg7+1D5VwOJUOWBZWwJDL + jH74AoSDBC+D+uF2jnD4XweN/eTwxrj9pqGcNJBCmr939uGzm/4UUwNRTQY3IJs3jXDWQMO83LZ+ + prhlyxXWukNVMkCc4XDACMooNszb1ss9hT1pn13M3/PRD2HRom2zkRATgDTsvSokgln4sHHfqJQz + w4dtfMSNmZHgAEeYAoKmbRQQJmD+/j/903ecffierNEMyASQm4Ani2wnkGcf55bHhaNXnwc+yLkF + 3R/atz7yyM88+QTMUKTfDq/sjMrU9NoPmJVKpVKpVCqVSqVSqVQqlUqlUqkAzJuDFcfoqlmN4D/0 + j3/Y3b/97//n5s5lced6WoXwrSUbI7Tz2brb/OB/+Y4+p97NgRCbLvUvcms0Roi9+U1vevNXf5Wr + NRIIvjlYxxiJyK5wCWZaUZoMrcsrn/vC07/7+7//O7/zO0899VTOeTab3XHXnXffffdX/LUvP/vQ + Q3fccTvBc5/gzszkxW1uq5x+ZLPHx8FmM1vMOcSf/fmf+43f+k0FHKSX87QGiHGwvybA3b/53/q3 + v+VbvmW1Ws1CZFDOeUgzAReXQWY2u54qU+U6pphTElHJDBKRYs1X0rdLjSpp3SW56VqXt1I5dkQE + o7l1qfbV07pSqdyCsEVPcSFLdk6p4yyUZ6FtSvd4+LHDUEOz45wmGFuMZKqkFJxFA0HIyc1BjKtu + Z2gEh4sImRKcVLVLyDzjmfUeCQ6iMUqt2Fo7kV03MUxOMBaozTx7dtEFEW9yDNKItyGE9TrFeMIz + zUQiLKcNiI71FB8zBh7SCUpWvg+XkSzMbuXFkrUv7s5u4iB3AYgdMCeoq6mCy9klI1fAiZkYpEBW + yjB3MmICMzmEqMRjkg8BxlftevNGIaUkIuWiA+PVh7lHETPLOYPJQMUTXCAcFq7uqsEDdUa8szs7 + c98rH/6y13zNOX1+7+C5Z89//vPP/sVTn3/yqS985vnVM33XKauTWYkqJIYXW+sEynyJWwE8WY/T + WEnIgyduebaz2Dlz6o67Tt917x2vvP+OB+46da/0bdA29E3TzyQ1pBIRWmpy74gKqFpiuIi4k4Eg + AgoOAG7eq22IczNrvDvG63dybqkNstttVr2t2yhzDqnj0An5shyN0U0ZXmyti1nq9YGTCWciIovs + QNfnXueyE6gxwNWybpqmaZq260xXiTm0NIcfd5bli8cACMSVHeQWE3UZIXqIKmIwObwdZnTdjBMv + AlUVkaL4VG5MxRhd0a/zYrmbtA85/Cd/5x/8j7/x333u/Gd2mzuK0FVIeTefmWNextDhfuiVQARh + ot44y1JOaJ/F0cSZJYcHciYHyJwMUAxt+biOK0PdehHJPgOJk7mrsCNbMCIYwZ3yFGR9FRLMlOE0 + /AwDNBxiXrS77knXtpQz+SCJiGYKIbq+4OauOj6l+RZDbtjQTWFDzgFz7a1loZZz6oML6c71M786 + fphNphvhGKYTbCVjos+gRJqDt+zh5GyZu8yRtE45KrcqZtY0ze/93u+9/e1vX616AEQwG8Qqyx0/ + HymqWZfcTtd15SqYmctNcoxCW1dzdyqVSqVSqVQqlUqlUqlcBfq+F5HidVIu/+fzeY2Xq9xS1Hte + lUqlUqlUKpVKpVKpVCo3CSVrYvrP8PfalOVqUOK6mHnKTIgxVk/rWxkiKsHu5cn0+gW14khLufGZ + 9vSC3L8LKHs9HYqb6SBMPuVTSOjk7VqiRbcVE65VISuVSuWFmfqrsmJdhrPp3c1mM5vNJqvp8jgk + ZJpNXy8ffpHjQtnIZH099Zxla2ZWCtB13WShvf1FAFNgffG0nl6pAfeVSqVSqVRuBgzIgMNaKCwg + sSr2N2993RvPnF+f7fsmK5AZCrUGUKIu9YGie1JzJjjlpt+/0+K7XvnIj3zq49hNfVg2HuEAc8oW + y6RpzEC/wIgxxpiSBoFlRJGkWjxZt29wlPlZANyRAKZgTbs2RZyZ+XS77FggQAQixtxBzcEMB1LK + zWWiLi1BBotrN7im7uRigZTgfY8QCEyDBggDgBJkNLqeJI2GXSJw7jWE0XWvA1q4ozcEgVjGpkOv + //BVr32oy/PUBaQZYOt+BvSEPTUECmmw3j20tB2lYIWIIJ1noZiQ4aY5BxlnuXRorkuAuTEN3rcp + b915eIH7Lu4gUlUickCY1G4JFZrpksJfXtUddxAVYVmzlyEU8rjK+aKxopfBjjY4swI2WO8JkEvJ + gNIF8GgPyS9ey8KBBFhOM2aQZbAoOGf0fdOnBkhAdjQgBxnUGe4Mhzmc0DCygeENBfecCPuz9sf+ + 6A8hISEGykI2OmMetYUGdGw+AsAY3KDRn/vwh374/gd2qBfHMsZNn0QAUBq9gBVko1RU2ZrBBXDF + rDRDAyABZuazRs732lI6u4d3vurRH//4x7EBFrNsHqPA0XepaSMA83KJzYCp5iACGFJGCMXK1d2I + uZSguLr2XsyDVYJi3WGd3vn4G+87f/6U9tHRBbij10yQBuLJMjCL+IKEH/3whzBrlJjoJYqODOnx + 5Wx7qZy3QrdxLDjGw+eDpWsZCBoMbcyBjmCeTqXm+x99/Kf+9FMQAcPUXWKCR1A2DXRE24hxWNtt + tI2fhhgF5NBGHnBIcYAvKtph1ummXTb7QTYpN0BL1LvbcJqdYQAYTLBiqG2AO2IT97ueOCSRbSP4 + 0Uy0KFL/1fOBL2axILCUGQCFlodG7/TyKqtvj5vuDNIXsfK5vdtOOMg9GBhVdsjhZvQS5koGuEuI + SAdFN21t2CHu/aJPlZ8+dD1nmDkhswN+MgPJgH6NNoA1QwIuSF3xI88PW72blWleNiWWcwcHO4sW + NPjywhIOVm87+8jjB+lEn4MBwH7uBMH8yhR6ivdvBqxLTYxTZzj41RoQ0OckIQJgICeE5vKbK+P1 + 0KG9xMXrS6b3uL+cHeJ0qL+Ycm5zdecVh+UlLxUPgLMbsUOsgzFYHGRgpgBjImUwQGW4jSACegU7 + +g4ANhmmsB4xwANMsbsgJghQ5PxyRhN4uJwxcga26yvi4eSZDGACKUAIjWOTsZ/uev7gNDmlZEBv + yDwcqZS0IZAO9tXsMJSrg+EDV2qTXD5rBDETWDBkghKUAAc5ApABIk4SnmN5anfxU3/4B1jOEEVJ + EBsFE8DDvXCwbNeQG35cHndgcrb24aw6IMO4WZQ8pViVs6CdwfN7nvzUux58rOk6oTXntAAbTMHE + obd+HmNOxjheqVgnuOMg2ywA6nLuubtPnkFWaII0KTuPFwkpp4baoWu+4U9apVKpVCqVSqVSqVQq + lUqlUqlUbnzMQLCkGCIBkODvfNcPnzu39z3f/V27y0W33gRmd2c4EcGhqiV3UlVL0L6ZvVwyeVP0 + 1EUUuxNiIjOTEPq+98BJ9bf+93/+a//sNwHE2GxS36eeiMYgj8ssDxDBvKyql38/+sPvIvd2NuvW + B21s2radUhiuCIlxb2/v5KlTm9T3fS8xPPHkk+9///t/+Vd+xSdzj6PszJrXvva13/RN3/Qf/Qf/ + 4emTpzabTROCqwZmBqmqEAfinDMzO40mVu4lm1VVU0ovnD1x8VHNOS93drq+m3JGVFVCcDNi+V/+ + 11/5R//4XTZExjkzm1uIMad0uKdEqi6BFRaZXvPoY+9994+Ta2QhIjcTwLMyswNFV1FVbygvpMq1 + ZEplmur2xZ3MxUlG1w9TqSb3ke1sqcpL4/o811eZqWnU6lSp3EBMitJmVhpvHRS+CMwDZyhcPcKg + iJQ8XTDHLFNuchTf32PEOfQB3hR3RnY4ypXRaO13tZ2tjRjmqUQfSsijZzKzihGzMwAxFINkJesC + jK8XVRwDO5zYk0MCJxjcEKhH4qgdoK06ZUVrnt0VTE7wq24f/nJBDrIhJaNEO9L4BplSydEo4YGu + pVbZFG92uNeDxlGxkGRnOBmBnJzYBhvrIejRDKUODL/lYAcNUZdwgg1R37c6ZcY16eGMTzjBiiAC + gFCu8Etm1xBEHIwcZu4CaGMcU1qiNbpLTzzmJ03PQlmN87n958+tn3323NPn9p5ZdefW/Wq93lvn + fn/TmZOpqmoZO4SIiGKMgaVpZvPZYmexu1wuF4udpcwfOPXKE+2p5WzOLKZwJ4ZgT8iZPcDFwAhu + YvBMyBxyqVDMgItRBLiMS14yAygDyoEInLMdqwOzsmVOHSVwZgkKU1MEqDNg5A6CE5zcCMWBnRx8 + PbX3aKEcanISZ5SCUwa5UQ7RzbuUc2AQ2N0SXUf9LRsaczElJwXnZGAO3IiD3UE61m/AGc5jtun1 + Uv4XoEy6cs5FvWRov8RoQ6e54Znvhfv4sX/4t95N6J01sykbYEGbxeYEmWU2C2AvBsYvCudsrGs/ + QKNKQ48QQZ569gC3IdTcB09rG6r3sR1Po9YbTsSIDjbOALEaIAQFJcBAyjAnZAKK6/Zxwm4GA/HR + WmSemcCBImcJaGCILpaQWZ0v7eR69aHp+DjG8hvcABMikLv3HGPyfZCRdE6u4Bt3fnLFODuDnAEd + p8DsICMHZZAyFIHMNFmXyAFyus58yyuVL4bLDBYhhPV6HUITQui6jpkXi8Xe3l47W3zsYx/7tm/7 + 9vW6BxCj9EkB+FElxnI/uQxn5ZVt3+syuk03CadlrCqxValUKpVKpVKpVCqVys1KEfSepLlRY6gq + txj1tlelUqlUKpVKpVKpVCqVSqVSueEp9/ons09sJZ9XbkGKMguNNgnYCgatVCqV64riY51zLt0U + M5d16zKuich6vZ7P58W8p6S5zmYzjKbUf6V39eUoek9loGyaJqVUfn02mx0cHABIKfV93zSNmeWc + m+YFfGkqlUqlUqlUbkasGF7CkZgUucOmO/3sM3f11HqvpE5ePlAc47ZlRIqRW3A9kWyH2rfdc/9P + PvfnTejQJzQLECjwYG1J2PJyLl/2QJxSmmPwis6aJxfCYhS5be8KgAgzDufMz1n6mY98GKFhPvbJ + myVl4oOcmRuixORJEYSLcAiNTmiTHugsEjtS9uyWgJ0Q95579vv+tTf+9Gc+srHVkk+kjMBQtSDM + ILiVg5O2PXzBuevapg1Rco8Q4QSaIysCoSVAO5ih0++678HHEi3yPgEM59G2jB1wmY799imbDubG + PMKEYu+JqIFrEMANxIOR3tZxYGI3MzURiSECMDjVANTKFwE75rMGqQPmRTlDAQG0z03Dh1Vw6Af4 + 8PmVEEOEqnYdz+cMRdd959lHHreMcfPTpSbDO8+37yyf218JgQQNCRl1niPgzeIpcswjJKxzvxMa + 9QxivpTM8fQCATAGAAHaZrOYbzS3mz4lbZjXaotIgRjZpt5xejb1KgIYaOweiYAAHPS6E9Gpn7BE + 5/bf9vDZn/yTT8A4CqPPECrXtut+07QzBkxdOAQOMMubBPIgMa3XcbEsB1UdErA+SPNlbAB4Rl4j + Jxz03/vQw6+iWcznWzgA8SP9AwENy+eT7u/O0DaD/SzQ9WnR1LuU1wwfh6dSb8TAxQR6y5HagCwA + fDflbpOQeux3WO6wyOTsKcXLlAajajnaCvnQvXqorj5YpUIIhxWlPFE4geIc+ZzGuLe3Ogms3Iti + jthQKgBxHF4BzFg6s4Ouj03bkx+Qgga/UQwe2FeJ1WoFIhRdtrIvTFlzkGsRmk4XuhAX9R0n+stn + n4HZdBJokBo34is8VF6mf4ymOX+w7i3fNpvvbdYEmaY90xadYIAcTuM4kykBZO3B5ode/2U/9tmP + OHpBaASpdye6nJAFgfZX+zvLHQLUzNWIKITY57SzOFGmXg0M3QabzXc8/PDDq/WZLpeN6Sitx1c6 + WpChX9t80cwi8mjVXhqMKlrp+16aWdm+Z7S1b7vO4C2T+Z1Zi36dm/kMgRzMMFUOtFmv4/xEr+AD + iwtWIKvPAmFvH0zf+uijOwfdTpAwl9Vqvbs4+dTB+pmT81/944+CEiSCgDjUWin90zhL8S0JR2F2 + mI2zCxHAMjQh5Xc+9rr7OM5t0zvaot5IUAY5ZHTmJlzQsoee8Eo7OqPhsGA8LFTkKRlNI9EgLgep + d+K0u/hz1Z/+8Eew3IEnSOPECs5uBDTER9sSj93+DW4DcGSiNj251MF2gKCAM8tih2TzIx//6Dse + feyErc8EXmXbDVGzEVEg0ZzcJl/748IIFBBFzm90Dpyezc+dP/+dj7/uZ//4w9hhtcxoHW5wEYFi + qFX1kq1SqVQqlUqlUqlUKpVKpVKpVCrXD8MK5rDm+P6f/qknn3zyJ977np3FvOuTiMzm7d7e3u7y + xHq9LnkHxR6mOBYftzOfu4Mpp6RmMcbNZr1YLDY5/dFHPvTW7/vesgqfUu/AoWnNpWhns26zgXvT + NNr3ZZHlu9/yllc9+OByvtDUW1YTA+j/Z+/No2/Jrvq+7977nKq6v+m91y21hKSWWnpSq9VqCUsI + vEJiwHESbLKSLPAgPLCCQUYDiEmi0UQCGIOQmWQCIphFjGSbRVjIsZ0sQvxHBhu8YkEgmpCEhJYR + RFN3v+H3u/dW1Tl77/xxqu7vvqFb/R796/e63/msevXur27dqlNnrqq993dyB7vG6+qHYf/g4MLR + YbezoBh+8d2/9Na3vrW8pZplTyY2Qi4X+vF9v/t7v/P//t7f/eG/9zde+fWv+ZZXP++u54IZzOVC + ihEYE7m7mZMwADNLKRWBq67rrtV1sW3bh849tLu7S0QknHMmYWMikt/8t7/13W94A4mYqhPc4WYc + JKfEIiKSxrGUCBM8GwOn9g9+5p3/4Dl33pmGsc+5a9s8jteUnkrlScYwDG3bbnRHuq7r+/5GJ+oJ + TPFxK466AFR1E6e1UqlUKrcqxxN+cnayy9abHa767WO1BkBFJ9gDzYqwKFs3xk7k8Mdz2LLJBots + Nkw29mAQdqYtF6DJgchhJ5xLj37NgE4JpCnnJvs/NVIvpn2QjdEV+7T/DU/5ddcfgPkqd5zTvePl + lmV0iRHjJDe7/ef8W3EAygDczDHVRmeZZKxn0clJnrOcLpMD9AS3PzxpvJiqbt/cF3cUGBglo30q + FwJJKjbN7AQlNoIRjPJB87Qvir0eDM7JJRFnp5zdSBYZtK0tLnOMGncnJzdiFKlriSphFVvvpGd1 + c3ebynVDqRRF9bwUdJquwmXj4kbuTkqlJhQxXg80XemJWjeakxmBAXKiKfNsbiOTbW25ACXGseXt + TdJ+TRzks3yrM7spwcidsrHOzhRsIHEGuDh53vCUb42Shkl5l7lUOy/14fiZ2pNGmNcITkXCXcRi + SK0YBzdAlWFsRgZAjEu1vMwd49FQvDOmP1yKEDvA7ATHlOdk8GPXUZzY+MXHdtdmNA005Ayyorw+ + W9obADrpR7rlVIA4w3XycyKD2zSR8rl1lw3OYJssrG90S9kar7EZrzfDMzuTCwAldnJnIy9zPjrR + 8r3Z1vPAYzypfQfDZfW8DGbsBCBvPRSvVJ7M9H3fdR1zKELUMcZz586dOXP7x//wD7/pm7753LnD + 4keYkgKIMZZAW1fi7iWc1+ZVkaqWYz6OV1OpVCqVSqVSqVQqlUqlUqncSKqsdaVSqVQqlUqlUqlU + KpVKpVJ5wiMixfhv4yZdNa1vZUIIRYdVRDb1ITycKESlUqncOEIIxTLe3YugNWYb9zKQLRaLlFKM + sQxwPMdIKoLWJdjKZuOjZxgGAOV04zhuFLJXq9XGDapt2/KhalpXKpVKpVK5RYlg+C4IQ0I/vvm5 + zzvbdJqWSpPeawLYj8Usi/qs0bGsGcN1vHBvd+Z7n/q8H/3UJ3GwyD4EtJSBsAlaMXncT1KUqjAP + LFF0NQJADNHysVhqCRIxQ8S8MlXNa5Ae7ONgD6seXXeyYU2cObQYNLaL5bBsgCZwdmODA07QIp84 + aUmCgJw8AiOwEDntcRzTsxa7R4dHyKntokE5MAwNmGCmiVjgbJOMNAOQssQ2LVPcjdLi3JCaNg5A + x2BN7IZxjfPLt97zxS/1ps0XI3wAlKCzqGQAtZmcMAcoKvEf5tx0uOHUzu5yPQyemBBIMQ7IDSRg + jo+wgQE3YxCEAV+tjpq2pRBGHTtpTzD/K09qyNFfuAARwB2KSdYWTQxwwA0AaJZ2vlLp8VGwXi/3 + FrtQl6ZRGGzA0erZJMFVaWoRCgBG7gAWhMOjZXEMsAUAACAASURBVAa6QMvRCRoBhhjCRQ4//ck/ + QBAo7cfGgZE8ltQZw2e93zmW0yQwP10qgxlB3v7B9//AC+7Z6/MOB9OhAcxsUHQg34pJYvNBtoKN + iSI4GbkFeAQ6Qq9QA4ewy/mpF879wJ3Pvrg4/eO///toGC1Bk4pI2yxTv6AuMiEDGYgcup2RsQJk + d3cEIiElSEQCZE+AhGGNMWPZv+nue2533A3P/UMuUIP4VE6JSp/lAK3Mc7vT3fU8tC3ars9pP8Sq + aX3D2WoxttFFd2JyA9wBJWQGOTrLB2P+1hfe8zOf+Ag06bjmnYNkGNfD3u5ia1AwwSWSwVN8EJ8k + lJ2QtpSspYzjPjeNBqOhBYOa5TDIzo4N6wZ52AqMlRniYLeNuHs2BbDf7j44rC/C/PYzW+M+zx3D + iQc4I8fp/QP0A/YOCM5MbiDgxmhaA9iWrQW2Q3oNmhEYQVAibRFoVuO+RmQueN49c2b9wKebNAYg + l7g+c2SvbRzT5hLkywTk3rnRQ+dh2IUhDzCJ8QtkWtG0TinFMHcjjoZjP6TYinjCOGC9vv/ZZ18q + kVPaREAsOsHsG431a6ERRgaCgXgT7jEQJIAoNLHPI4XooBDRZzThia7r+yRhDtUHcjAsAsuLh5DQ + QWUOfsgigHeLnTFpE8UX7Aw1xEBARitvOHvf8w9TK+SrZbPUO6k5Ov/Z/dNP/diosLk6EVSVRaZu + zaYaT4CiTJDLDRKXex8GAzb42MKxTn/jac/8Ul7weunwRYRmKEMZRigh54qm9ZX4rOvw6DGaRL4J + YEee/2TAM1LSHjiQuNud/lTqH1jIT3z4I2j2oI52x0dFIBIEYgIEJWIX26Yv30SofOIGqPGt7mEK + sFjCjhY56u0YcXNAPbAqhBhxB7fLj3zqY2941nP3QQ3scxcPDyiSObmmjL0G6jC9nlnro2edALLd + AM1Y9avb9w4uLFdIiqML3el9hxlEACGGOdwhtbuqVCqVSqVSqVQqlUqlUqlUKpXKzYBhS+YKgMEY + cJJfe+97P/axj/zcz77rxffdO6zWD52/eObMmfVqFZrIzKqqqsxcIunz9bz6fJQQAIkyjuPu3t6o + mUhWh4fJ8bkHHnzVt7zm/IUjIla3RbdY9WtVf4RXJkPfc4yWc8657PTCs2e/93u+Z9G2rrn4fBVh + bCeoX5tzhBNC2/Sadvf3Hjx37gd/6O/+wrvfU7668jXFLDeHxaJdrwdyJBv/4T969y//yq9+9X/6 + F978vW967nPuCiAiJqIx5y42qlrsBIgohFB81ralXq/GRsnmEvpxODg4UNWmay8cHi52d9zRD+P/ + +a//zTf87W8cUpoMDBxN04xpNFUA7p5SgiMEdrNiURcZP/6jb/+yV3zJ6miZh3F/b2+9XkeRy0TY + yOubkcotRNu2RW6k9CrVn/RPT3HZLoLWVdO6UqlUbmWkiMeCZ9nqSSSPLl2X7Q/37WO1Bhn7OMtG + OmgAZZ88eIDy32QTxY+Dhh877FgmmWzSDoQXm3+Hc7HlzCBnn6Rkg/IJ5c91rNkBcDSm4hEEd550 + g91hxASGEzmiG2Y1zJsh5de3npVur27T5qXCXKIqu2VgZ+ESVeyiW0yKSWHdASIrAsZwYjgbxIkB + GBk7imEvACD7dKBrFXK9pWBygbOTgdQBIwO5Fh8+n2zoyTcG5OwIRgT4rCptBGeYDr0wIrfknefZ + R49pzCkSFSnr+R6/dB+TCDoANnZ3gGGIoXP3rOZOAIQZwLZA7SU1i8AIpUqRM8BMAHSqLdPTDyaL + s+41nNOJ9lrsxg52FmfyonLNk7jy5qpJlYroNckszXvDWy45OxugTmpk7kwGJSghixUl6NK4MpVm + yGwQMna78Smf058ZWXIZpIpw+Gysm4vG8OwQcbP2CVcqbj9SUs0nO2QogaBO5u7k0xA5PQQrV/3w + 3fLDHh3sHuAtKANMFgDiY+9PdhBo4+lDbLM324nNT4wtk2WCo+itg50J5jR5dTAYzgQmyElPUdhB + VrxAZJJKdwAKUgBOpmTARqKVUdxvb6b5yeZSjCb/YgLDmT0aAWAnVhDByaO4hWNZ7if/GlOJmniJ + rWcO1tKaiEEu1jBAJk58meJ1pfIkJsaoquOYzazrdoZhODg4/Ud/9Eff8i2v/tznH3RADTs7O6vV + CkBKacsB6xLKg+USyEtElstlFbSuVCqVSqVSqVQqlUqlUqncatysL6sqlUqlUqlUKpVKpVKpVCqV + SuVacPf1es3M7u7uMVaNkFuX4vkTQihK52ZWgobc6HRVKpXK5ahq13UAiEhExnEsn7c7rhgjES0W + i00EkDLGiYi7N01zHf3bRiG7qFkXcs4ppZKqIqrd9z0zl8+VSqVSqVQqtxAERJiCLJM7cn7ty1/+ + lKbtjy4GL57bcCre7SgRJ7yItpEXzULyKY5AAKg/f5fSu/6Tv4g0hshjyhwmNbDN2QgGNxhAHIXy + OI6KUwtpBUc5bUeC2/bQd2Aw3e12ws7uEai78+kgx/4px8lHjhsT1NZuTbsTRNjBQMOCOe5nkQU1 + nvJKgNhIDHxR1SwpxuX6/G3MWK5a9wgzzTRnJbTEbJ0iShQR3HLofDTGNgIYFIs2GmDIDYw1Y71G + r/d/2Z+9Y8zcn2vgk8Y2zdprAIEFCJfmYVkV5bxI9NBqmTxLkLYLlBLcsFpfqZs3qfMSwwn9AMfO + zm4IIVuOUh9JVa4fht/W7WC1guYAL/U/DVs3fZPW4PUrA+4tdjUnhACQ5B4pv+2lL9k5OiQ3penQ + PkkZggAiOLATOCVvhQ52F0Y0Cl8kOhcbLDrsdiAp0Wrkiv7HZ6VLmvXpaT60EyABIXyOiU+fKeGN + A5AVi4b8CwtWsoMN7OQOZKB3ZMNCAmnWcXxGG5+Whqcenv++s3d929lnY3WIYS3rZaPDAXMkgwGz + +/mQkB3lOMshkaNhyDDEPDT9IdaHWK3ecM+Lvv+us89LdnB0GI/WjQEOIxgmAU4HjM1gmbCU5kK7 + 8+Zf/w00LWLsQiTAtT6fvGm4XHuYraiv0iR6GuCLrAerHv2AcZAmECwy7+0s8nissc6bo9FWdG7b + Unidv7/khDStzdAIxmGA87t+/4NreDYd8zQwXRHwwBPghAgOwDgMsWvlzM47fuffFYXmSzVJHw8s + KxzIyqBhOZbASmMaH6/zPxLbEqzUthAG2OGqIC/y39cOwd2EgZRGgXLoVTfRlIDjCR5tzdl8Fogl + hxjEsde1+41gOeLcEQBEjMujR4hJZG4EqGoMEWZptYYD5gA6ERkHrJb4zKfffM8L7xhW4eL5hanM + dbCo+ZbZ6zVdazTDmIIr3AwKBgUU+XcFZUsM2gmhUVuUqVqNAn3zwXPP1DiwSm0mWy0FSGl6ILxe + DzEKSu/hiATxjOUh+uHg/MXTy9XBenUmpdsHDf3q6YjNam3rNXKGajZzMPE87vu89ks2bKVlmtU3 + TLCE8xfvWRx0fX/AzSJKTjCaVNhRQmLNv586ZwBX7xgfXVb41CQdUJ5uEEqzjYRTOzv71J3T8TNJ + l2dO/fjv/g4WCzjQNSAQSRByzTmtZRr2jWACkyefH86Uv0U2AwbOQJ7Wx5IPBHPNjSAlT4QhtFh0 + P/6JP/hDHf+kX8dFp8LqtuC4L6SKnE421Q40rSxHL1egQFodndb03S96EYTR96QGcFbLpgiE8GQr + t0qlUqlUKpVKpVKpVCqVSqVSqTwhudrru/KOL7uObh/80O//Z1/zF9/zj/+JEhYHe0erpROp6jAM + zFw8CB4H1zknMDOIzl28MKRxuVqduu3M5x984JVf//Uf/+QnFchuTduu+jUzx6Z55KNZSkLsZoFo + 0cZ3/uRPNiGmYRjWPYOapnF3M7s+oe6cczZbrtf/3c/+zHv+yT8OgRQwnnN6653+Zsu6H8DEIagB + jFW/fu+/+J+/6s//hdd+6+v+8I/+fbPo1uMoMazHIbsZPOc8jmPOuahZM/O1JtUJOzs7Fw4vgqkf + x3bRKXxI6dd/43/9r7/5m7Kpz++dJYRsCoCYJQQ3K++INZv7pHtz/3e/8a9+7detl8vie+LuJ6lx + Xqk8MSjqy1ok2IAQQnGSqlwHxT+3OLiJSHFA8y9o01epVCqVJyk8TVSNYQwrW+iKNTALXtJVvn2s + 1uxGlAgJpKAMGp0TaCMEe9ms+PEw3i6y0OQR3sDFEZzYiB3sBCdzMmM1zpktTzKmJ5U/1742dpMi + r+tMXoqvCC6XjUTO4s7Fmo+0+FLdBCm/rvVsT3jpurgvTfaBIKMi8+sOuBGmBXNpXrYARpO8rgEE + E6i4sRk7xE3MyE1sI1kLYLZHrEqTjxKaCsI3HzCVH3kRKnd2J7fy1WTaPfn4GcEiSUATtOEUue9o + veB+V/qdzg4Wutvqbqu7Td5p8k5MXUyd9I30TRjaOHYhdTEvQmpE25xcM7KRQZgikQDsTuRcHNMY + 0zJZw/rkf4aSopJ8nyoDOZMTW2ATtgYIdLJ6xlacHsW5tIW5pdvs0Qdy2xi9kzvdVO3dSyaakxuZ + kzlB2ebHKQQXuBiCkiiJQXAz9bcAjDhTyEyZoQxleDFzJmjZw7f1NZ/w/kdUrqk0Bc7GSdmymBEw + e3QYNorFlw/hX4jibyrkgSywtWwNmZTGONfqjW/P7CJ3kuVb3GkzWxJTViczsBIrmzKcyCDkgScj + dD5R/fKSn2LMDjEmZ4KBMigbZWM1UiNTVmV1Sk6Km6m90NbCvu2KzWSBLcDFQAYGhE3YAjvf6DQ/ + jv0JGSgzpWkC4rblamHsECNWIQ+X9iqVypMcM2uahoiaphnHseu6ixcvvv47vvOjH/2EGUSobeNq + tQohLBaLRzjOJsQWEQ3D0LatmdXnzJVKpVKpVCqVSqVSqVQqlVuKao5cqVQqlUqlUqlUKpVKpVKp + VJ7wLJdLZl4sFuM4hhBEJKUUQo2jX6lUKpWbmqJQmFIahgFAMY4HUCIKMTMREVGMcRxHVS0K1iml + tm1VlZnHcbyO8ENFD7vEEymHcnciKvGMNh/KSFrH00qlUqlUKrcmHBGYMA5FONCAHQqNozEYAUAE + WgBAAgbWxA5ADMHAhkwYCB5ohO9E/9RHPoickcem4eU4XiI86ZvF4Gb9uNctIrBaqxl2JSRNJVpE + ib/AG/FUwIDDsf/caklnDt74v/0v2O3Aga5yNY81sYVE7HTn87hSdbUdiGkG4FtxVmwW+Y6E9agK + b4gMfjp2e6AwrL7zvj+Diz3GHMEYMtwB4xhBAJjBAjRA4yAFDGG3gSC7BjFCir6+HR6GJc5fvP9F + 973trufvfebzgpSIsmAEdJJyBAMEYhjNee0wPdZ6nCJuqPvtzW7gYGb9OkfV73jJF4Nk23GbZnXe + uQwcEuGAOoDIUq3xKn8agiEeLu//ki9DVmSXjIbQNTxpvRNQWtn1hhMggKBUJHhzwjCiX59ar3Zs + ZEAJAJFTiexTgumYYdEGQhwdBDm/XB+6j11zbn/xwx/7CIgzIqTEEVFHoo1w9dwYSlIFEIf4LABc + gnaQYGfxMx//gweSDmBCCEQAjkZXwOboIUWNli6/kCmgBsiMkIB20QjQUQjup5g1jaz61JBPLc+9 + kOytz3nOG8/ejYtLHB6hXyGvgQFdRgODtw3i4LuOBjjdCixhPMSwwrnzeOjwLc+46+3POfuU/+9z + T6fsaSmEthUodnlSAScQg5yoRLoaBZ+P/IMf/TD2dkt+j/0AGCxfvyB55TFkkuU024r3Mw+ziIpg + cBgjP5PlLfe+GOsVUsY4sHsaLcSpMh53+DSvaetPTGrGvBUBZ7P/NPo4/CjHtkE0tJKECNwAjUHm + qiLuBM/wEZCWVw4BOZCRR/NDMrQCOtbXPlZRPnnSOCJGiFjWdqeBw1Tb2Dw+Z3/0rNM4hS0DbcRM + y0O2ayKPiZoIADFeSH0vvtjZ8Vnqdbtxsx/r8iYgAQ4I0CqC43P9Gnn4gTvvxToiZ5A2ixZm8Ksn + SYiHYSgxymEeuwUcSCM84eg8zq/e9Ky73/mK/+jO1RDMuaVpogJRSCbS47nQNRDVXnXfn8H5Q+gY + Ao/ZjcAMdzjDzOCK5UrUKZm4q9bwHDeY7Rn4ZpvDB+BU27z2+S/GUWpCa1ljkJR6gBeL3WmMLj1g + WiP36PU7n/Gc/dwvKDdpXKg18KfGfYMcjuMvfvSjEIY7cVR1oinWFxhgmwKSbhJCtt33SQl1Zxkp + 3f+Slx0crVx7ZRuSRpoGerF5mVWoE6MEbsMUWgsyBSS8BsgRDeLIjJGnHriEj8yGh1arlWNoDj5/ + eveHPvohnDkDFsQIRxqyCwAE0G5s2fJxLitIpytWusYGdrNx+SgFAjPYwQbeKIv7Vu2KzOSILWUF + AZAW+91P/PG/P1rsLY1XnlfIaokcg6Jp6ETnPwSMg3bCbhyEAqEVnIbvrVYYhxIRkoEgwcBgg9f+ + qlKpVCqVSqVSqVQqlUqlUqlUKjcH0/PzSzQ5HBzalkPsTfsxv/b13/63v/mbP/PZzxkoxth1nbuP + 4+juIlJcCa488MbM7JogN7r0lWV5q3J0dNR1XdftEOTg9jMf+8THv/brvu6Dv//htlsUvdNhGIgE + JGkc5RH9DmLTqKoA5P6m+7/3S172coZHCVFCYHG1lJK7c5BZ1+YaCE1MKX3oQx/68Z9656Ce8lYW + HB9soy3FRAHOMNKcQVCDS4DQ0Ti891/8y//wK77y77z2NZ9+4HPctYkAEQAxxrZti29FUeB+FO+d + j8u35OcwDAcHBwb045DNHfTD73j7q1776tUwjGrEEkNk4pyzZScKrjCFsBDAhC6GUrjf+qpXfdfr + v31Y913TCiE2oe/Xbds4YbNMV39d9aFSeSJiZsW7SkREpLTTGKNXrosiaI0tBzcRKXrhlUqlUrnl + IFOeVA9n7UM1Kusi8Xu8ZV4m6d+TWBurF0HrKXmTZVPRyAS4GMMDACbV4ZPPI4YLPMDF0cAjPMKl + 2M0BfCzMWMxLTzJ/rn2toOSkSsiCLKqcyzI7BzEAIzPKafpWb3Sar39dHBCIEiMTMmMkjPNnJSjD + yZ3hNIm4m4OseEIQlE05Z5kXtsScWAZuB94d6CDRwsFABhUrwxGUiAamxJQALRZ5TuZgJ3IinKyM + 8RMdc1KVwSg75SJjDGDy63IpXi9kVBSaCQ6Ykc9t3zAJTgcygZIrsUpEbLltqWupFYhAuPzcnGzy + owmRQ2QRKod2MrATg5nL3FhEnJHN3H0q02Ox82yUnZORGfHkdkDFsNbYwc5skS2yR7YGIJCBe9Bw + 0sqjxtk3HSOZkzsnlZQ5ZynJdp8kb0uG3xQtd157KRzl0j6DFWNXYicGAnkga+ACMDw4sRNudJq3 + xi/APZq35o0hTBXYI7wxsM19Anl5LpZx/a5jNwPMXvToQV702stsAbblH0YwJ742u/DNCRzkLu7i + VrzVxMEwRtH6TYRMSISRkMnLzOBk66cRO1iJL3ku6aWDYfN5hlBK//GyPqfLR5nyrNDmR4ibviuX + KdwNbylzH2Uo4u9ux/6DW0LXDGd4EYgvfcEJl+/NtlblpDyaJOfknFSykvtUFc3ZneAgI2TJWdQe + jylxpXIjmKdYIKPpjRWVZ5hm9trXvO63f/v32jYCAHgYEpHkbOv1EGP7cIeMMQJw95yziJTQXmVj + pVKpVCqVSqVSqVQqlUqlcotQ32FXKpVKpVKpVCqVSqVSqVQqlSc8u7u7AIZhaJom57xxlr7R6arc + GIp1ac7Z3Ytr0KZWVCqVyk2Fuw/DUCIcAVDVpmkAFJXr0pu1bZtSAo59HZum2d7h+uj7vmmaGONq + tXJ3ADlnVd0c02dZ66uGu6pUKpVKpVJ5kmMOQj+sEQKMF6NjPYrTJGlsIJ+iSijYeFsFjBwCCJwc + GLNHYFgfnmJ86733YTUgD10zhe88nszZvDjtNHG9XkcgACW6ZuQwB7EAY4oyWdTFYtdZkG5v/6Il + tAwx8OMimUqAjudyT7tdOWNg8fnMRYC2fBCnomIrwDp7aGIPeyj1St56PnNhjZXjqIcaYgATCKvV + EsSgST2aNrLfBDCSZ2IK0NZyl4yWaxyOb733voPPfPbZak/RLDqamwmtj9U96ThYEcww6ZgWTesS + +6CU4AJxGIcQgpoT8JSdnXC4Rr5cZnJL0xrICmJkBRHUGKSmj0MJVJ6sBLOdMS2O1hgUOQtDgL7P + s9ygFSHeS5r5tbZ58xijOcCCjO+858WLcdXCAHcQXMildEGFDPRDXudhwQvmEIVP7S3Oaf/AQQdW + LHYUSKkoWlJb4vjicn1E3qTTp7+ncELMaCME6yY0B6dWyL17bJloUqHH3PuVXrf8yACHA0pIDGV3 + ACPQZyXQ53MfIO7eK7oAHsZ9oD134U6nZxyu/t69X/y9Z+957V1nv/Hs87E8wvIijs5xf4jz52Na + 4sKFuLxID30WFx7C+ug77rnnLXe/4EfPPv8Zh6s7VsPTAetzdAwOVW2BEk8FjiLbTbOK7SA4PLOL + LsLgiwbAXtfqeqDAqGEgbjRTbfRJIR4lKMv8mR3REAwKZygdnT8z5O982ZciKYhzGmLD82+mCunA + RrpVy5izqazzECPzsgkVbfMgxYsAOLJiPYhjtEyQLV34SR3ZgUw4HIyAKE0LWaCjIOvACACI/PEO + WcRAGyKI4VOuDque5ZL5wM2AExcV3vLn5lnaNctaE8IiZrWcDW4//f7fxU77wGplmKYTpVsrp5FL + JWJ97rgEEEfXYUF8x2D/9Kv/K/QZY4bbI6uRt00rISAr1GDu6x7MWK8xjm9+7nPvHJI88AAv+50A + yyUhVKY6Snx9KueN4fQ6AwFubilGGgaDgxkOj0GgBjOMipTQrxqhm6TEb2XsCu1gIxBjWI7PzAFr + IBm7ARqbxpPBoY7s5cZjYM1YDm+86+zzRNo0BOiCSQAHfTYdXmDX2/bhGU1Au1CjwJRH+Bxk8Xiu + MjV/A6yIAhw3NnOMivPL02Z7sN22yTnpNAUBOcQQDDLXWgWMkHlqYjTfDfG1Tn6KTrPPN1UOAFKS + RYymfbCVC0/d/9EP/A4WHahB3IUAjLgbIEgpiRAcRJt7MmC+71K6XNj+icgkbLA1SyEwXdGTM0oE + MEAz3IYhxYCc3CBodgB++0c+ctgI7y1KxQDQEvXjCWeP817sIsXerFcnAGrjen1H13zbC+7FmLEa + aL4vzKYIVOdjlUqlUqlUKpVKpVKpVCqVSqVSuVm42muGYRjGnNpusRoGMP3LX/+Nl7/iS/7Ru3/p + 3LlzKSUiijESUfEv6LrupNPYtG2fRgcg/Ju/9Vv/+X/5X3z4ox9RYNWvQwghBGZ2wFRDjJrzwx7I + kYaRgMWi+0t/6atf95rXmmkIgUFE5O5mRkQSA4D8CMd5GPq+P3Xq1Jvf+hYHujZwAACzhxWIcTMA + IQQ4EwUQcs5ZPcRG3dc5/co/+2f/wVf+uW/6lr/zx5/5tDJImIhUdRzHnDMRlWu/1nSqm7qv+vX+ + 6VOf+pM//it/7a/+zM+9KykkSoyipmNO6razs0PMJZFuJsQMROaUMgOv/Mt/5fve+rb9xU4Xoo4p + 52xmIYTq91G5xSndSEqp+FsVlev1ek2V62KTsRuPM1yHvU2lUqlUnizYRkVwHiImm8kr1o9mnz/t + 2osdWbE1Cxu7M/i8FEPeyUbo8dEENZACtm1yxcXY2JlmrU0xFgtcRBhPLn+ufT1fAxvICU4+bfci + 110kugFyJ9O5iG+GlF/H2gmlVhTJTJvrLeaKcrx2nowgHwmelimvBAhKkjkosU3Wj+ZkQLYiyVlU + 9yqPmlnctFiS+iRiXNz6fMp8JzYiZRgRyBiZt73AnODELAQhiJOAydyzjsMwuFpBVc3M3TcT4ELZ + DoCIiJy4tHd3ZFgmV8BC4K2uxjb/OU1+L5Nj3HGtKykP8CJ7r0ZqnECjn3D1mIyNfdJULm4UymZk + xczSrtgfN0HLnddEGyXeYz9LsJsYxCDG4sXA1wCjuRrcBCmHE9iZnMUnFW5xL64iGx8TzAMEgCdF + X8HsXJxwp9GGrAirz4MmADYqAt7FOeuaHrXZxmSdkcmN3Ag6HZxsS7kZgJT+AydZP9mJXMSZ5mmA + OLgMPDR1CCXlIAX0+vS8HyUOGJmyOcFKVzn75wEAmEzIhDyQh3k4o8c6T/6U4/XspYPNxlLcxg5C + BorWdS6jw2a3W2E9uUXPsxSnMhpusq7sOm1R9qppXblFKE81VRVA27avec1rfvO3/u+ua/ohMXP5 + VkQAxBjLW7arUr4qj5cxx/V6hP0rlUqlUqlUKpVKpVKpVCqVJx/hC+9SqVQqlUqlUqlUKpVKpVKp + VCo3NznnEg4DgIiklGKMNRzDLUsRtA4hbByHSt2oVCqVm5C2bYs5+yYYk6ru7e1tgoCUiCoiUqzn + AahqsZJX1d3d3eVyeR3nFZFhGIZhKJb0ZegsBxeRcRyLwDaAzYdKpVKpVCqVWwWCRwJy1zYYEg7z + 6RX2NDCyQwBrzDHrt40MhrcGAhKgxAph5+BZ4DKJefkp0FMv9DjMkDGrxnZvOpdjkkx2wPDql738 + juX6dLcz9KuFIDIfpgwgQlD882nyNi7CmUNOa1OP4R0f/jACaYwA3CcxxZPLn5w0dN3PfviD33/3 + CxphMxtsFJC5GyBFeNvAQAAIGIGD3d1huRxS6prgyJmRejyL8CPPftGb/+RTWPbY34PAgcXubhHF + vuQSGM4YAAg7VHzEKmOt97/gJU9J6Vnrw9ZzWl3c75plP+4A45gXEpJNLukKlJAgWpy33QDfCgcA + BgRQJAWGMTdCrfnFi6tT+6cxZOwc7zZRYoqogQIMIMGQ0EYA1xGutFLZEBxnENTkm1744l/8w49D + FkTouoApFo8rzAH/AtGRHgkmAjBoXjhwNNy2GiI2WuwMsAAt1IAMKGPBgJPnmM176/fa5sGjtd62 + +w/e/z4c7JszCNSQAzaMEgUO8BR5h7Yj5MMoqwAAIABJREFUWwBwgwPEPkftUmAkLHYXP/H+D/zY + 3S/aA+02zZ8Mw34HH2Gl34MdR2mZSQSQix/HaWEQQVbQM/s76/U6ZRdAMzF8AcTYPLQebm+6C+fP + n2k6Y1mdX/7Uc55/1K8lhiEPO7u7R0dHi8XC3VW1a+P6aPmUZKcWnffDbrfIfd+w7ALM3AgdpfH0 + YufietUAACmCA+RKgDhGwTs//HvYayGLbIgEOKSLNo7cnnhE6cojMFdFAFCaKioAB4EYruIIgAED + wWGnXLBaLcQxJrQWQ+sE17kFMkBIMAYHAoASV7shbAR+i9j0NC4XLWsCCA4ksERAXdPYZPuBL/+P + T/cGIAWiPCXTaBpVleCEpqOofDiuF4gOH9XGEMBb59tc4EkGuNkwDAMcSBmxgaPd6eB5O6zt48zW + dW9CgzGA0EQIl+/MUAbqa31l4MDoLoEFgAY0YWnpdBdp0EnT2qc1z71fiYdUTpxLr1jEdHsshAz4 + 7Ec+irXCDQeACMpM6ApyzuSQECACFoyJug7Lo29/wdm7zJ42rBchOKENnJOZY+rA5zhi5JvcuAZl + 2ajWnTtEn5BX9JTb3HXRCgY4wYMRO/oRLhgz8ki37ZBmEv7TDE+Vx5zS5QyGhvHUQX72q77mde/7 + 38EB8DTkGBqUaF2R1rZeMHCUXv+0Z97dRlod7Xcy9qruASBu+sCfbuhHPvI7OFgoFAhFij0GjHP1 + UqARK9HEaO7vdGu+rUVJemVv+OJX3GF5OR51wA6zmQlHWCqNiBwM5vl+JzGMQAqbDlvCZV1bVjix + OxvAVrTtjR3R4Y4xhHPkn72t/ckP/TssdmAAdzBkQUIic3KEGBRYrY72dvcIgPMczms7vtgTGJ/6 + K4slk4twNUEAJ9Dcu0q5Ayoh4FwBbRtWoInkBgyC9gDpwsW9HX7ws8/cC77OF9V3uWsZapua8tjD + AKWckKM0DGPLbji9E/7k/IWn3n4bBsV+O6xHWjQgGi05JNbOqlKpVCqVSqVSqVQqlUqlUqlUKjcB + tHnp4ZisH5wBsEQCVv2agEbEPa/G/La3fd8v/9K773/jG7/ma76maZrVakVEIYSjo6O2bY+P+Ri9 + utiSC0HTNOcuXoiLnf/pn//zb3v96wfNBkiIbpo1A5AQkCzEmFPaKDHPZlaXvCBgZoK98AV3/8P/ + /udd9WB//8K5c20QAARhZmZywNQvScGjo23b3/7t337f//O7APohK8AMvdoLCgIIRCTqptkANDHm + TKoKsiGNAHZ3utWqH9f6T3/tvb/ya+/9m6/8a9/1rd/2jDuedubMmaIevVG6euRX5HOJHOdJjDGr + xti862d/7ofe/sPnj1YOhIbHUcu+ImJm66F3g4TARCmllJOAVE2Av/y1X/dj7/j7AQSzPI5gOtjb + zzlnU3PnrfQ8VvWhUnmiEEIwsxijqrp7cYwq9mA3OmlPVDYdXXHURbWPrVQqlVuWYjF1rMQITDbe + 2HawmNTyfP7JySUHZt7CA6wDwSgDCS7wBl5coRPIyOFk87TYTjBJZEYJk3UqkxvI4A5YNJ8yjECT + wZk42Fj98RDbfpRYMXWHRyc2jLMesMEje4R3AEC9cXZiAORyokV80jx85l/loqwo45JSuXhnKjfv + 29KzKPWwHByZGgdRqXtkNGur8/S9bR2cr37WyhZFu5pgcGM4APLpuYEXc1XiRHBwEYQONpmblvLC + bFCdiyMOKZEZEQRkDnfiQMf65VODBRAnBz13dwNsFkgmVXcrsonw+SED4tbTga0idRRN2XJTQj51 + FJNhN1sR3zVywJyMnK9d2ffaYAvkwhbJpr7dyeBwCuZsMEEGApyAeGwRf3MwaZnTJEhfPoubFZcN + t8a4qHQTQaBMMIffNFH3ydEYQOoEI5s72wBA6fhzKZTt52tPNI5TLRZARpQdZk5GbOTMyRxsQh6c + zHkAICZwufZzZZABanDmjKl6mJPNcr8EBIDZ5/rsJ1WfyRGMA0ACJwQkMSZzI1iZGyCCGJ5B6sRO + ILuOS74GlKwY58+FwpOjTelknIvkNshvnma+jc8DpZIpmZPJ3POjlDK7sRGK3DVP7se3EOaTgxTD + 2qLdbmSMvJUbXOq8kzld3V+pUnkyUZ4D7+3tXbhw4R3v+LF/9a/+jyDc92OMMeVkagDKA+SU0nYI + r8sIIazXa8zRt5g551wmh5VKpVKpVCqVSqVSqVQqlcotwi31wL1SqVQqlUqlUqlUKpVKpVKpPDkp + YSDKWlWJKKV0oxNVuWEwc3GYL57ztT5UKpWbFiLKefZWdY8xtm3bNE3OOaVERCXkR9G6Lp9FZPvn + y+XyOuSC3L10jCWOVTl10dUuxy/HNLMSz+UxuNRKpVKpVCqVJw7FFTu5Ahk2fs/LXtYdrvYQGCiO + 2jTHFXWAHeSTeKERlFD0mAkgWJG+FiCO495q/d++/BVw6prIyOVEIEyyYXC4L0Y9oLjsVwcxrBSH + yRahCxCGMbyEMykBIYqAYnAOzeJCE9FFSJMQytTtpENSSiNgwHKKgZiZEEAlLgj7sZKmAQQXoAOW + y6UAbJ5z7kcMGXsRneuZ7N999gWvfOHdWB+hX9P6iHIinS/BgZJDDAAdcudDszrCUY/D1VvvfuGz + x3xmtTzlvkMSgHU/3h6lONln07msCIDC9TjWiAM0FZwT+RQnhiAtmo4lq7eMXUa3XL3ppfehX6Jf + 0ThQGigPSAOGNdYrrNffcM+LvuGee7FcITLY4MZOcAPsWGwXXIKVgABPSLqTjMoZna91Nk9uMIPZ + tie5T/ltl8UR8G3Vv+M/Los1cMmvHKUaAwA7kzPNYXsELg4jGCHNosXiVLZgVvGkSw7n8C1NYt+I + a9rx+bbTcEmKL7vyUpIMBJqaosENmpFV3PgRf/1wkCPYLPy4aZVb59zaMDXtE/XhZziQdvJ4uu+R + DSkhGYCk5mAH8VY+X1niE/6IeeEMtwUz1us3vPSl+6YBKA/OyIuW5HTQ8mdOGLM7DEEahMPR/MzB + 93/g/djZdQlqU4iXZCptwOzLvR3TYspAsrkQL4HQglsE6s4+R/dOPTgOuxE2P8kz8qLmO4dwBm0d + gmb7VweIqE9jIBwdrXL2thECE1hACuiY9gGM/R2hbcf+oF89y2z/wvk7h+GuMT2rz087f+HZgz79 + 8OjpF5bPWvanHjy8Y7C7mmZn1e8Aqe+FJJmPpqZYpnGXw3q96ojmBEyhcDLRxUYe6hZoWg9RCaEM + BiVuTtPMff4j4nMOKuBGbkqcaRO02Rg2B4O7/GC2lfkMBEOjJUjLVnFsNbjtE06/3G4OV6bqSQXT + NIj73E1NXfWMG3SX/VQ/vuFFL0E/ICdKmWW7R2JGMHDRBMUsDurH48+saX1JBnIJ6JcBEDXcQHn4 + 7OdD6k/v7vTzkyIDzQeaEzT6elQCIM0AGkN41wc+iNCWlnBsDk7A1FuX+COb+UagzXgEg2aoBjPx + 61FmZfPbpHv1vfchAOSwcoAABJ1zg3xrFC/XtN3/+3Flu+LsNo1HVMLlsBgTpkvirRDmOuutsk8S + 4NtncgDOeUzICssEY8EcGuxq9vMPnwsGOE0dEVQRw1GIqyGRQ1zJzWhSItdNY5oGR98Ezym61wEI + 7sDQanrb3Xe//uWvwMWL6Hv0A3KGZ527lFJFQ2AJQEpYHmG5xDDg3EOvvu/eZxytdh586LQCQ++O + PlkkCKCAkhsbYOLGMALbNEe7Wkd8BQQ05l8UuzedfT5ixDDSakV9go6kQzP2WK7R9z/wlV/57c9/ + /uu+7Euhmecnlr5pHXN9c5pkcGfp70lU/hoh9lLyGZjjOfo0Y5wmwJtL275KB2CP3INtvqSyM6wU + mDjEp2/nyc7x/ra9aT5hNHTZkBXItlXbycIl5/qC/S1AYHJ2AKRwJxg5w6edGb6JKuRzZdsMDduT + g6YBGRYpLT/5SRweol+h76Mr+hH92FgOQ7/IGevVd9151wtit5dyB9ioDLQEEEYfV8HP7y+wWIBE + 4p6aNgEQ+Bzxy6ZLuyR66VVy3Ub0w23LdRzW+7u7AA1mbduuLKFMb/ySA85D/3bGXHK7gbngNsuV + kINm8YRSpjQfTcGH8OXu3k9+4APoOjQL7XbBSIYRSqDAYROSe2d3z+FzGC+UHvqyFD6Rsenfcdgy + YC4RubLHJAFgngm2TgoGGgIJdnZ+4kMfWp0+/ek+Q+KpENfWm2kpmtL2txuOzcHQNmV3Wct6NJAb + EwgkIqPm7HDANJ9q0B0t33DvS9Cv29gIoG6NNFz9pyqVSqVSqVQqlUqlUqlUKpVKpXICXJ+x1tbL + 4OONprqJpD+mXAz5FXj/H/zBN7761V/+VV/1P7zn3RmgGFbj2O3tOsFni4JrF4PeZqPhNB2wvLRd + jv0D58/99b/1N1/1utf2mkMMDhpzMrPylrCkNqckIbjZVQ9d3uCx2bOe9kW/8PM/F1jatj26eHGn + WxBzUQUAU/FxMLNtre5HyZjz//Vv/rUDQtPFmCGE2Uhj+91VcZTwPO9JwzCYGTEDQsLMvFz1IQoY + BsS2ec+v/I9/9iu+4v63vOXfvu99fc7cNE4wB8mVL6/mkxTVkGIeQGWBEinRL//qr375V/y5+9/2 + tourtRGcMYzmG88OgsPdTCKrjiml8tJdCAL8rVf+9Z/+qXfuL7qmicMwiEgT4jAMKaUqq1CpmFmR + GBERIhqGoWma4mhcuQ4AlJzMORORuz+c0EulUqlUbg34YZbNt3jE7Y/tejPTns/iAoTpHosuuSvh + 67pZuy5mb445AZu7EYKRg5yKE8QV2rc3fL1xUAD5xgidyIvhGJNjtpUr3iUnXb4nvp5srImvWIqh + Mm8vU86U/5xpqnwluzaLiUHMGEowgIxYiTY37EURe2NaXLk+2JmMNq0JwGT6SOZgZSjzJZa9lzZ/ + IgITkRvULKsnI6NA7pfMcicda7Occ87ZzMqW+XtjJiJiIDCLMAAzy3mcf8+XLFdg8wMcL1Ec5ta1 + ebBzwtKyTE4lYT7ZwTI5s/PGgnc21d+q+WXjTbHm+Spmn7KtL6YOn4zLwxXKWybVNzzl85qM3MiN + 3abuwQ2w63u0eJOzdVGbQYTY5z/JjiWVJ9eJqzSZL3QO2zzyM3JjNbLiQlLO6FSM7uVS++QTrJ80 + 26vzlIaplZMzFT8FdiPQVOgn2OJt64nxpVb9DGw0rcvITuQyP8x89Nd70msGeOotjx+0Fr8eOJmR + sxs72KfOc8uT99ZYeyAPcDEI5nGEi+uub/Xf5Cg18MnYz1Qql+AMQNVT0p/7+V94z7t/OTRhVAuB + U0oSJq+uommN+d3WVRnHMYQAgJnLbiGEGnerUqlUKpVKpVKpVCqVSqVySxFudAIqlUqlUqlUKpVK + pVKpVCqVSuWxgZk3SsbbG82sfCCi4ot+rZaCIlKsDDcfQggbIdLKdVD8282saZpxHMuWay2XzU9E + pDgFYZZi3ZyifK6xOU6O0u7s0ugzTdMMw1A+l7AFIdT3EZVbGlUtctHjODZNs70lhLAZYnCpavXG + 17GsS0MrOxdD+e1vN9bzpW/cDH8FIgohbP9qe6wsn6/sNjeiHZVKpVKpVCq3IIEC0EP7vWH5FHHV + 9UavbSQAaByhqPxCHDoCmQFH41ngBHVgZEgARuy6G+TBc+dgA9QoLBxI4Aimov2WB3g+1af93jrI + UcpGIFDOJiCGK5Acbbfo+3XDzMye856EhxQ//PGPYb/TsJMhsQRiOMl5XFGYEwDdTp9NnLKjETfd + nFYUSAJAo4IBAiLAIIXDwIApACgs8HgnZGe1+p6zd/399/8eBIiKJiIyBM6TjDeQGySsevT5/nvu + 212vo+Y73NH3UdreWd1EiNQDlIpGr5CQWEoMioJeERmkYCCDABY398mbXQnuEChBxbAHqCIAt1EK + h+f+m7PP+cEPfQCxhQQwoIpx+J4Xv+Rg8C/O7IPc//x73vHpDytF6Q2xAeCeERaKSUYSDLPEkqFH + 33/PfU/vdZ1Vutb6IRStwked/8GAlJAyEBEpJ4QGo+VGQEXqsMjsOjBHPJFNsRFA5nMoBgfGNIbA + TKzKItBsLmwEQQZkXA0RwTDIXOgbnU4lBEPnAJAhSlaaQynuIunqaoAjDYgCEgNxAoKAMoBtlVO/ + PALFHA7J4QQCsqmwYBPVIgOePRhBMSSsD5ukMUiviu1wJI8CcTTJkYAEilCGGiIzwYpO6nRGYmCW + pzzJWEIln0X7ZyZ609kXvv0Tn0DXIDYqgQAyFjIBcl6F2MDds1Jsjn/vx6U/Cb0SkqI8lXEFM8CE + YcTR/8/euwfbkt31fd/f77dWd++9zzn33JfmIQ3SjObOjF4jjQQBkZhyiE2qknIlFcd2lSshqVKA + UGCeEg8BCURIiCCQFQS2gKSwU4CMIxw7KYdEoRKqqPAwYKORRpqRRoNGr3nee8/Zr+5ea/1++WN1 + 77PvY0ZzrnTnpfWpmX3P2Wfv3t3rvXut9fusv+v1rz/TLioKChCBDWwK0GZDtgCiUEAEvcak5Pxk + LvSwrzHbg0wA72WwlAqLIZLPn8SSCx6uCLx1qQGdgdoAeJw88d3/z+++7dxdN03qet3NGKZQQnIU + 1JBQC/fJmhzjI2fx6EfUIfiC5ueHO1C9yqANzhLUoUy0MZdn6zXkIt32vQCaIFlHzzDA56xv+xqU + wENIG2Y2CtAKZBo9YGZKiGaM3gu3yeaufmR37z2fvB/1boDzORNkEx/DDTVxTArbJJEaiDQZ8/iH + AESgXTuLvZd5pB3xlvqKhCylLa/qqEUlwBSmBgiEQYpK6TTV6BNiRO0BIAERCBE7nAAB5+cot906 + ZoxANyJagLBVtF7I4bRyojEz4EhdLqhmquDsOU5QzfGgx1bUkp6x6A7nb7313Hs++QBmDZwmrhTg + CICdoFP0BGJs7umko3LOMEANSSECQuw719S5+PWGGkAHdLpfs49xvYwNgzUXbM7/A5pgbKhNQFhZ + 6tEvxa/qCdwU3ORPIht6NAYICaYgSZqUfa7OY19gAb13QLtE6Oo2JKD2FMwQniLhrkalOLVOh4hI + axBDmjF90REATG0cOtEVzTtyaR3+lAjJrKIsfWYAajGSJdST0JGxrZMYC6g3EwINsmHK1Z8MFYyG + i8vNtgIwsIIANLmliD28i5bANWNILkCH4r0VEiifw3bQFiPNyl4D+qS1d0A9rxtF2wBsFoGekHgQ + KCfadB9mpgAk344bP2mtCUDl2pNtmjwyf9cdd739T/81mhOoPU74CAZQwyPktjhAA9oei/bXv+lb + Lnzu4bULL0/9qQABPLgHEcACTWZAJCjDs1kAA56RkByahDhczUanPAavGTJmsCmDAWfK3eLGuvrR + 17z6Z/7sz8FTUAt0gCLgrXfddUbdtGtP1v7zhyskWBJIApDAhGHElYtcqqAwTx2FNbsmYuEca4yg + 48aLFAdBXAMeqMkYLeBhDgkQuCH1t2K6yVDScugrHh3SRkRmKd8INbNElMwY4kFAD6SQjImdqQAt + QYHKDCAzSmQpx3Ebz3/7KnzCyVVEHxDn5kHsoW7TUeWR7TNqbxUwmIFYQQHote8dvCIPdSwH4NSt + KId5GHaUtcNACujhgYj1RON/c+7WA7H33f8JgOAbpAQBLKHv3vm1X/dKTXW3Micd4AEv6BMYcGyq + /WMJ4BkMUKls6MDIgYa9KEybFg8AkMyYiGNiEQN6RS0Bqf3JV5+7uW/FYOsOUinSKnR+EL8jR//f + GMEZqNJRsbwsZkzOgstC5uklhUqBTQ1XZu40Tp1vk+YhX+/dspq94977ITX8bgyIlMwZnFUQAvEY + AGvMna1OkLY/+gXdN+bBFctlT42PdNmTgs11C0kCxEsAWEDEsCmI3n3fg29/3RsOv/g40E4rSRoo + IgGRoYQqDW/PkeOU4A0EJEPisRcgfebtgxECIhNC6JhYSRnQgArY1a6lFTQidmIy8bwlXi8UCoVC + oVAoFAqFQqFQKBQKhULhK8/VZSROYIZxYT8xA3lRDQjERGZmMDMQNE/85lfmW+qblTIK9MBHHnzw + 27//B971C+/9z/7zv/t3/ubfuenGl8DMMUNNQJJ3EKjmHQp5W0HeZJd/zhuvskZ6s1Vh2H3gJMZk + gIgYIZmuun6+XPzce97zwX/624v1GgxVmJoOM26mMQGAZZUQUuq3JlNIRFLMu/kEKTng5tOn/5ff + /M3bXnaLF7EQxt1/nG0zeabHsQBI8Tiz14AC7OSBTz+k4+R5nhXQpJRPcFxLlc83p2oyBdjGJ4f5 + xHF+KoZhemrV9QwE4Dd+50O/9Tsfeu1rXvut3/qtf+M//A/OnjrbhpaMaydElJXeQmxmUZOImCqY + Y4zkpJ40937sY//sn/+L/+kf/6OLhwerrrdhw2OCAUxE1HUBYI0Ggm+a0LYsZMmYQQkwe9v3fM8P + fv8PTJ1LMSoRmMarADMzWGO6ct7qy5OdF766yFro7R+eZifU5jXPH/L5bDYwZlX89hatwrHY7C/L + SUpEJTELz39yG0VEIYS8NXKzxXI7+MD2nsrCi5tNjpd8//Jh+5Laxada8KPX49EAkILW47nx8Cfu + ABh0XKoK5JVI12DKfOYYMzZRLPISNgapbpSrtLV6lhKQ8vrVp7/GZ/MxLz9kCuOTCuPhOxQFk/zl + SNmQ1+UeSUlfmI9jWf2SpRoAsClgNi6M3ajTh4V2m+NwTkxCckem5HE95Og33S6Nz6/x9POXvMIU + SuO+nqOl6bBcMglO1cb123Zp0m5aJ80ZQTlHlfO6XuAys3W+qbD5AFySU2xQIgYh5X1gJESbF26X + h4Ftk+iVZY8tH17Vhi04fD3bKx639CkP9d1I2QgGzmtuLS/szNvg0rh093lRc7FZBE/I646PLMWW + l57mdaqcq7mBlXKPgOf8zMfz160bN2PPNZSfvAZ7uExc55Jw7TyjsxrSfFjST5qvjgEFkykgPBxK + AWUTNYGxXVF9ntH5kBoERx3rcIZ505kepeR1L89GiDxcOKCa15pLrlmiNvSeCjIIX3Ju14WxvmNz + hpcP1fKdxcvHyM99Tbmyg2ZsGnlmQ2IAzKYwyZJ7JVZ5ftX36/3Ipkq50R7W3oOUwASFgY2VdPSA + wyUC5HnaqhQK14SmICLiHRF1rRKRVD5G1QTn3f/8G7/5vv/hHxAQ+wggJgOQwhAlsuvWlx0tx5DM + 02oi0nXddujCzR3RclehUCgUCoVCoVAoFAqFQqHwVUXRSBQKhUKhUCgUCoVCoVAoFAqFFzwikrfH + bwfdwLg6UFU3FuprcCdjdIhOp9PVapWPXJzWXyY5m5xz2Wk9m81Wq9U1HCTnbF4Ymt3J6/Xl60cL + 14nsq2bmrutyrAeMG303lvGcNcy8CWBRKHx1kpeqhxA20ve6rnNLmFLy3hNR0zRd120atON+RD54 + 3/ebtnETjgqAqoYQNsGnCoVCoVAoFApPAwGx17qiHOWyFunS4clmd9nOa5BlXy8NGjga1a2j3E0J + zNBsNGwNLqEBxLRCumF372/c/NL/7fwjSIFEchRS7aN4RiXo+zqlxiKg6ci2pTRGO6md69q1B7NS + shTZPeHlydqjqc1VPVh7Fc8Ws5H1OieTE4g8qfoy0NmqXvWdDeE/yYEIEDXQoHUchWg5BCmw0YUC + qqFehJdOJ/PDw3ecOxdr/4VV96ufeRSuhmMSdcMLA2L4ttvvfEmXbjxsT5BBlGFE0NSpm/RRJ2YV + cBjggbp2h300CzW7qIHU2GEVMQN0K2oRZYng6HneWEhtjFVQme31fSP2M7efO3R1qieRQW2313cv + M2v60CSuMcV6jcNDObsPX0MBAYnr+s5XdY6XEiOcpz4tK1K+eGGPPLtq2Xan2Ac9XhhWb/G777nn + /fd9HLWDkavQdvCNU+uz2Do/bGMA0SbUhY6BcDjEUPkK0LbrK+8swQkb4bBf1uyw7mfsPaknNqgX + WPaBGzRrIAkwYxgZ+FLVYA5q4L0HFDCNrfodALELlXhcjh6V9y3HL3IeGdRU8skbNEIEqsaVAwX0 + PWL4gTe+6RbjRUyeoXq8wi9mddf/0Jvu+e8/+anQ96grMPp+7VjEOWxC1pIihzcZosZcRwKghpOG + 1Hb/xUtf9o8e+zyUSZwCnOOrtOt6UuVzyxppu+yi8y8MNZjBOcTeVLWuBQZYhEbEdHa+OGMm6wgZ + jsAwgemYl7KpETnKA+NRS/PdE//wgfswrUF+S+yI0eypBM7BN64CXf5jjhxiBhJgb/Zzn77vR+54 + 1csAbmOO89MHq2tfNb5dribsTBNGM2+OTHRZBI1twWSWYh7rOzDbVmgbAMhGUM22ztxw0aVx2RKB + gJmXZZ/UV+vJ7D33fRTTiYlDjkpkGNW6fEVWjWcKgBFjEPEgxADHgAeigVFpImY4H/o4lcpSv2nH + rohGTI4ZbAFqSgk2c9XjB0+89e7Xv+czDyB2QcWxYwJqtwnYkXP8spijtvHNb+I6vUhuJ+Qsye7N + I7d4bjwS2IjJ1GACIpgxQsLEVPvALn3/ba9874MPwCYyIYOwYzJYQO0RCcHgtwzB+YchYZlAkkIv + VeWa2oA2RO+dJyAC5+c/9rVvPN13tYcSNEKyEtvIwAkKMFsu/CkB+9PJo123mu6862MfhZvA1xh6 + YBzV39x8mTmmHogAByNHSZUbJgjSGtC3vfFrb0zpRLVzEBZLw+w4mmEx9HG9KxOwRfTCNRkhIiZg + cxs4l/nNf5cUo0vCBhERkMyoS6l2kmKsvD8Iy2ld4/zBhL1LkYjUrBJkO3OuOYkgULLcfVMegBmB + x2wgoCGBGWJAWKPa7RCn5DSA/VHYshwoaRNiIlf7TRAxAghqpkauFoYB4n7lo5/4uRte3qa1bDmw + yYiOgvltUuGSqu+dKCHEZCmJ4kbn5k8cvv9Vrz5w0y8I/dJnPlHXAnVIAYmgCXVEt/6+u9946rHD + u7hy3Tx2gAMnOOLOwqTZaVPbhpAi7/udAAAgAElEQVQ/xQkvoy4T9qfi2qQKhthWittRRzEGUBzb + 8U0rmkOjzfqw/2T3s3e/YdGlYKinsrxwcRb5jp0TtjwQKGvYgyAEqmowFqvDyWwfOdR77pE9IsAI + lHqQxcP5fr37eHdQuyscxV8CjuCmniIpNAUN7JzzQLx0J4RthUzMjRvpUVj6PLrP2UVkZilG533f + h6qqCUBUdO3fvv32uxVszFChsaW1sSAbGZnSMBI6+uScbqaTiB973d3vfOQTQK+JSEHssm3+WO1t + 6OFrhNR7RFTA/s7ykeWMq956zVH189sJGyF8PtNNR5aVzzkfhM1beybyJOi77jgXxEdXT3Zm88Pz + EuK+YrJeV4AHGbMAHtKlxDnAFqGvqg898GlUNQAkhSmYQ9/7utoO1YfxMgAwuT70tWsAqMFbQuyx + WjWpr1NkcAJHgYGGsetYLi/r2WWr2bCtS9PNSGIsuptc2D7CUShAJ2Y2IQ4heEEgLAjddPJYVaOu + MNntWqumRJBVWtQiDkJPM+Sjy/59wXOVkeTTv854EwCON60LwRjkaxC/68/+7J3nXj3rqNN1iJiO + 72Y7ypQcS2778GxXjm2eKbo1HsX4PX1HHNf1d9x+xwf+8kHMmuVysTPbudRIXigUCoVCoVAoFAqF + QqFQKBQKhcJ1Y3PTOyUALGJmpmqj3zovSDEzAkl2OWvadlrrpY9G8JULfUyGhz732f/uXT/799/3 + i9/4DW/+T//j/+jffvM33nnHHavl8nCxnNaNeFmt1957wjDTSYAwMzFDhi0Mpqo66K5hatqug6sr + EYmmi9XqEw/c/1sf/O3f/CcfTKbrPjjHISqAvEPhS2xJYIZqihGG3d3dxXwuwE2nTv3zD33o3Llz + RNR3nfe+qeoY47YJgK42N/QMUaMvPPLFyaRZrVsAahCmoJdP1x5lzVNozK76sQoQKMEYuPf++7/v + h3/o+3/4h15zx51//a/9tVfdedett956x+23nTyxT2pt6JmZmRPh/PzgwvmD++7/xIc//OE//ld/ + 8vkvfGHerr2v2tDn07LNVOao087bQIg5tC2AoUQkCPCL733v3/5P/mbFkkKoqiqksqux8JUnm1/z + Pty8DVBEshq2qqq8R2nzTN5R+FyfcqFQKFxCbpdyMxVjrOu66zpVzTsuiSh3tWaWww6UdqxQ+Mpx + +bj60uevk+lQr/jcK5+5zkLrp/mUvJL0SkPnJc88907ES1Psqt8yLknVS4WIz4cz/3Ku96m42mu2 + LvzqOTvAeHoNfDFKfhlsFoRe+achzb/UMni27cdrzYtjvvEZLc63Z29QwsMOqa36fvTp2/escGld + eD7UXMWR8nn7PMfr2Oyvsc0Ggeff+V9yyi/+BsGuWCd86VXnmvvllf+jKqlXPLNdAZ+N8rx9P9O2 + r3TrGkeF+bOR/U/b/jxNh/jc15QrT4/tqP4M/+ZUvUpr8Hw482fjcXu8kesaQy9JpbECFqF14cXH + ZDJZLpchRTPzro4xep6Efl3Xze///u//+E/8VF37rgsAQBDvYv90W/hzDMk8d7ZYLIq+ulAoFAqF + QqFQKBQKhUKhUEDRWhcKhUKhUCgUCoVCoVAoFAqFFwdVVW32kW4CZJhZCEFENksGs3P3GqSezLzx + LpuZ9z6E42mHCtvUdd33vaoCaJpmuVxew0FyLuSgCVkBWzLl2SSHsAkhZDtvrmU5LMVlO7qL07pQ + 2CjeAdR1vWmycpyX/HwO8ERE1+C0zu1hbgNFJAd42qyezx2fqn6pwxQKhUKhUCgUAACG2nFWzDH5 + eUp73DzeznfYQ2P+tqNAZJgO2ldkb5Yd7QzO/4mHI8yYumAMa88/eeuN++gMtROwZONx7RADYgCM + oAZVMhDEQGZANFAAPGgZ45QxUQvQgOoJz5/akV976H6IoySNo6aSbr6up5PrmjyErBZ2mPlff/hT + v3Db3U+cf3wKGKFnAJBkDnCmZohAPzoylZCl4ASIDjKxCbukWK7W+9Od88tVQ3Iru3e+4uZEvHYE + YBLYKcRUzO5xHFbzk1wlDSnCAE9QIGiooDPn2j5KhXVA18XZ5MQh0iKlM646XC0mgoqAAAECzKAK + MCyfhjMASKBIFhmJQQYxOAUBVZdOsjRt0JUCzDFOAUFMjI610wWl6rv/nW96/x//IXanPUxMBTKt + vAFtgjHEQ2GVOMTV/t6eHh6kGL1D0MjHtDr6pM3F8+hXaJBkkpR9AwBCbmOsTshu4bw0UCMUgLAD + kGAAFMyAdz5n6KSeQgFCv1xVs+pEVWGxAkuTEvo2QY0RIjyBDAJAQaO61YBqjKFqY8nPrFYrEFCJ + er9CEshsx0NhYAUPulACwFk/zAQ6cnIbQYewPgTVqBSZnTixGLV2h2nlBTMvWHSxj4kr70jjemfS + dOvVM09Pp+mGxl248Ciw8vW0R+y136mq4do2FkxogikCEzvg+oWPyB/oQTH2O66+1Xus15C2mk0T + PMGZKVUT9IYUUbOqKQ/ePiiQQxIIDAgY/J0hdU3lYQZT9GtoQhd/7JV33rjsZlhXQAskARII5HL7 + BqQxA8jgFbuonqDqwpnZe+/9czgCj8Z5Por+QODRcXgcGAQYeUoBe/W7P/GRd7z0rolhF1VAvwtY + io924TSLU/QwQAI0MrJVVAyiEBs+NtFQodiG2q3HNVsrAVCyLPLMpniy5MaYIgoYKEHykckh9Omg + TzM/PZ/sCTLsNqhzuw2k7FDmmDXhRx5uBUCDlHO0eTrqrGM4eLQWPTnBCrF3Xeja/uRs1/poKXpI + vMwib0c9EWkypQgIWQV0cXl2b3+RVghr1Kgq7oEUYu2cgglKCIAmsAHOEZRBmt2rGDo4po2pFE8R + v/mFw7ZbOV+jAQbL2WqUY3mYAlmTrAmOsFYE6ImkZu6tt975noc+BY3OMeqpErMTKMxQy3BwGT/M + cgtPSGqOSOoKQDINKYn3CvVQpAAi9+gTe5WkGKIM1lsBeSAg961aKQTogVkzOVite5EvOmDqARgc + oKPHnTm3UCpD0ddoLimEGhejasMHqXWCPSYkRqt1feJCtyB2+w2Htn/mialA42YX5gsYVFVZQVwJ + 3JG1N+bKmQWj27elGCCyjVccANTUjAjeuRhRSaOr5f60waqD0ETI2hBMnUNQ+MtPZGO7NyXkftwR + RFVMXAKpIUbUE1R1DyI4S2ACFMSb9wJgGY+Y844IMpQSFcKEXBcDiQczYoTjWDWu55BWOda4KGqD + A+VCFa/ingeAdUxZaF473qs4xLg/q1fL7gbqb2ianzr1Et7ZCb3Wrg4hmYAa0dSfXbcnSebt4S44 + QH1EAIgQnPtiO7+hFscIikaQotR+0tX6ZN+eUOwCHVlAyIFpzEAwGS3IQ2bZdlOZCzI78s76l3P1 + 2OMXd+tqbZR6nKn3RdzicD7x0xAW+w6+TW+57Wv+x4c+g2mzM90BNPbJOQ/AOLdWoUotFu333nbH + a5ysuoOTFSWyeJwBUK6kbdsDFYJVtV+kOBWXJyIklzcYSAEmJALFcRRBg+x2GFckoOu7uqrNknM1 + DFNXd13HlRdKiHS2xRlXO4QO6AyJkd+1KdtxUPMajdJlw6DUNYKrKlJDNOfVRJK4RbCJpwoRSM+8 + vaUJEuCtAhKa7uf/4k/e9TVv6FdrYxjQEdjgDWQwAojUjK/W5dXkgkWoKSIpndrbfXx+eHKvtvki + Xrjoa9dUzpars7v78/mhCnV9OFnXseumEEa1gM2FD/0UxGm1kukURBAHwFeVmeEpIrYQUPtKVUNU + VzlWw/nD733j191s6BzqmL89aY4cRnaVM3962I6c1hj/1XEEeRSDaRxptzHksfHMSRdTXdUXGveQ + 2S8/+DHUHl1b101K1iPuSIMXfHd3/aGjxtNBBZyT3BLIMZix4/+yUXLVqVWcUMi9YZWOXBTbgdsS + HfXOdK2R2rISO3+JIyAyFOhTPLz45I0nzyJExG4224HxOMb9shKgUCgUCoVCoVAoFAqFQqFQKBQK + hWMgBFVNg1KCmYnMzJhdXuGvZqrD5JmI5K0EejQNOk5oGjQaE6upCKvqYrn68O/93od/7/d2m8nt + r7z1m7/5m//9v/4td911187OTr27E/sw7LAjSqoxpbzlh5kNUDMQOeeyb1tDmJ6YPnH+/L33fuz/ + +D9/9//68IcffOjTaZyFYQaM8zxM6HoCxLm8VQG4yl13AvKmPQIW8zkDL7vhxg998LduuummGON0 + Ol2tVrPZLHT9ZXqAPINAx506yknHfObU6fW69cwk3IeYjLxzIQa77Dzt0l9xyTTblX/MTxoDoGSW + UmAnmvQjD9z/0Qfud8IpKQO186dOntzd3VXVdduuuvaJCxc2h9p8Qh/60VbOloYEzhOaLBJjD0DI + RVNmMJElu+Hs2V/55V9689d/Q+UrC3Eync4PD31dtmgVvvJs9j3lvU55T673HqMjdvNM/vW5Pt9C + oVC4nLzZOQ8wzKzruqZp2rbt+z4/E2OcTCYxxs3LCoVCoVAoFAqFQqFQKBQKLyCW65V4x+Tquu66 + 4L2EPnnv/+AP/uAtb/l2ZmSntYgYIT51KMIckTLGuJmb896X+0WFQqFQKBQKhUKhUCgUCoUCita6 + UCgUCoVCoVAoFAqFQqFQKLxoYOau6+q6jjGaWdM0Xdc552KMee2gc87MrkEXmsXJeTGiqmZbdlmG + eM2ISNd1GGWrbdtemyY8v2UTWiVHRSmREZ41UkohhKZpsideVbPiOv81q6xFJAezeE7PtFB47mFm + MxORTUyEEMJsNssNl6rmyC9ElKXUxz1+rmg5yELGzHKHGGNs2xZjL1lVVY418xW8ukKhUCgUCoUX + IQkQMNfgGKez5TrOyBFDdTTXZVclERkESqP8lTcOy2xxNiTFKtquVPPUn5BZUsayA01RA4yu7+tJ + BSYQf/vr77kzKZAiA4Q6QYbIpMaQBOyJxaQ9LAEdSTud/doD92I6gXqYJU1mWk8nx5bCHRMDnEMC + mIREHoV9Tb277OY7zkVEVgNUxlTMr09EwCAFBMCGLKMlIGr04ArgdXfz3v6jB+dPVL5OAUDnQMaz + nuvRTbvo49m6OejaCQBPIVgYnZ0eWPVxh+mwt7VwW02+GNbv+Pgn3n3PGx5fHJ72zpD6aApU4MEd + ntXjli2nm5s8tNFv54CsrMQJTULjKaUgCk9MFgKMGEZolDpL1aMXYDUM7EVGUacpKoYxItTCEtoj + cbuYR6SK2Tnp22DAse5iVGo3EmG9xBTsWE1Iq77vpa4Go7CNNkTkS0wETRhiy+brzFmRUhY9Glki + FRJUtYMmWISln3jTPdN2sVtPONqhRnLQNHjcs+NTybISzsEICEBi6Kijc4qzsykC0PbEVolPsJCI + yTaiOqOj89n2YY9neGS9Y3bDjThDVE1QFqoBtD0S7SXirieCQefr1bHuQYghrbubTk3Rr1F7YWvY + p9QL10MiKrJyUscwwXKdLXhCBBZLqW0vnpYTP/6qO376U/cSm6smXVjX9S4MCITKQ5BgWQwruCT8 + rhIUCTCCOSHENYjRRcDQLr7vzte9POoudAdVj37wlBsxQFAGGJYAZUCx42QdE+CXVfPej92LvSlM + hsYOCqgCAPNGcG3HTCCCAV0KTmoguZ3ZI5P6JdMTqwuP78K1iDHamZpjlyppNKU0nKkCxAY2iGH0 + 92ITUjkRxI7ttM4FXAGYgRRmRIPeWMaLs42n1giA9tExe6kvmlyY+fd98gFo6NBIlssPFmXGlkQZ + Y6+xjQ1ia+lT54VrgloL0R/72n/rdLL9vf3u8NBBPVgIwa4SQHmTAhOpvaRVH1wt6y4dHF7Y3Zl8 + 57k7/sG9H8GZU2adVDsBEECTioDHkzGASEFsQ1XGkbechpx6Qd8QH5LdNpc7ZOgQB5xsECQP+WsK + AiwaCNhxddetTvhZjOkHbnnFLzz8ALxAjb2Hee2jn06TGoRAehTQmgajKjOFpLWwqgqDnSRExJZC + jzb9yO23v6JunK7XClN4j2SAsQACjYNxGwqIxzx0CYT9k+9/4D54gfix8cy5xjTE+M4m3gDHAkdA + AiISwF5IACTDYbsfKXbrKTtFv1r3/pgZrBZPTD1WbVXvAn2nMSTvnZABpCADNAEG3orTTaNcXEEA + VMGqJqB8tyoBZgZlZo/FEgk/8JrX7C8uNnVlXZfvmQmGcjv2KGajs5wvLaYCiMHa9vu//hvf+4l/ + g1oZdtgtptUO+uHNm4aCxrfKqLW2Tb0Y/OehcbJeribTClWN1fyg8o+13R68IOSyIzABGeSSSn8p + DmjqKmhahtQAIWIVu1M1U6e0Xt8mSIcrNSg6IjbHXRe7mKaVVBYJ6KC7ftKGNQNcSwzxzLSKXV8R + KsYyYU6yFv7xj3z0J+88d1NdWbcKppHADN5yAOslDRFderoMoLe2Btp1exqIiTzxKvQU1h4Nw8cU + vOO+1xMeN7Q9ugivcIxozntE9H0nszohKDrA0OmZDq4Pu5CLfZLja2sNSbvFt95yyz9+7AugVVVN + FcitNEgtXxNhc2V0NLLYDPQ013wRYQAsMCAahGonRhHdCm26WV1cnO+QKkHITZ8hEXjoDiwPcfMY + krcGMLn1iLFPUREMlVhoUUvlOQIVjtHeJqDTVLMgGUiim7gdJBKGC+iTgBUAJQOBWYdTuKzM5fG2 + meXRyy7XgW1+eHhmNp0fHJwkSmYaYSkx9Pz84kQkpTRxOOy6PDrtEZY2+bxzv3D/J0Ek0wmQEx19 + 11VNTU/lFDBOGoiI4WrPBkW3glUnFl2VhpaWTAkMqOhwtsfqsjcWZFyaBXqpL1lpeD4l7O3v9AeL + Nqb9eueBbrF4yZlfvvcv4B28AxFiL941EKgS8/FL6FcjOQccjlpSZoAQUvAT/6uf+uh33H5n5aoG + jNhl4TQAg+qYvJfII7LdHap01bbz6Ri/AymDx28aMMbuziSsOlqtvu+uV//9hx8w7ckmT+ViLxQK + hUKhUCgUCoVCoVAoFAqFQuF6oQqzcbqUzMzUDFAdtgxszIsAhv1uNEyC2rhKDUBd1XkflhuV0k1T + 9W0P4LBd/8V9933k4/f9/C++nwm33/qKV7/61a97zWvPnj5zyy233HzzzWfOnNnZ2XHiAIApJW37 + 7uLFi4899thnPvOZhx566JHHHv3//ugPH3744cNVC0CEjUnNzCBCZhZTBFD5qg89AEuaz/MqGCwp + AZOqTiE6xzecOfvB3/qNc7e9cmc6NbODg4PpdLperzWmpmmuYYvflTDQh/iau15VEfeqpnnph/Ux + POV5HhczCCMNm6fABDMQdUmJwE5WKawefwyPP4bN5MnWXAjRsMSHQI5Z8kFgNM50MHFMiUGTyWS1 + XtW+iqEH7Ju+4c2/8oEPvOzmm5bzedvH/f39xfywbJorXFfyxkwi2nisU0pE5Jzb7P28hk1PhUKh + 8CyQdzTnn733eRfnZr9zJo89ys7KQqFQKBQKhUKhUCgUCoUXInVdO+e6Njz55JOnTp1ZLBYKfvDB + B9/2trch71RkMDtVVVUW0Xj1eajN/FSOwZXDc6mqc0XZUygUCoVCoVAoFAqFQqFQ+Gqn3CMrFAqF + QqFQKBQKhUKhUCgUCi94Yow5MEfeYpr11QcHBxvhLoC6HkJ4XAMppfwRANq23T5s4RrIUVdykmaH + 67Wlp/c+pZTXhoYQsiS7hOd41hCRvH97tVrNZjMiqus65wiAbNitqirnSNHoFr7KySGo8s9N0+Rm + MD/mIC8ioqr5NZtQU88cEck1Lsa4WTrfdV3f9ymlpmkwiq5RIi8UCoVCoVAofEmytlcBCNT/7J/+ + +X97xx0nehdCyzSo0diIjMmy9dBcfpMh5aiUxIAqmSoESECX+inqlPrqyYs/etfdP/PAp0FsPsnE + AwEpYr7aO1g1KQEaBUaotnSskb1q55PNGBcV2rh5oEeWHSa7vXLlfDJNBAG0bZk8rvOQLxjatt+d + OHD9sx+/7yfvevUNwLqbk8+6PmOgH7TWRAajQS+X43XqKKLzwlWCwaZgMywOzp+SSkOCwQgcQTZI + c1NWjQse79oTNS87lWAAPIMICoSE08xR1YF6mX76RPPLH70frSm7U/VUu1UCaqEuIRHcxu48asrz + bww4A0UYqREAUjIQi7mAMCVEjRFoPEIPB6SEqBByO+zOLhWriGkix0iGlNQ5zsFMzRLWtTDm9vY7 + 7j6hvYpoSutWHdA4ivEYd0W8ptNt92vf8u/9V//q/yWI5xkUja+0i1wNSwGdZWN0Trk4miNpFF1z + 1nO67HzLTkdGWq1lyujWMMVySfPDXXbr7rAR6Qw+W0ltcxzDpb65xIgMMrDBGSYRy/Pzn3jtm95x + 378RT8IpEcG5I8cvRhEi5TrH6XKBnQIRcCAyEjKEmDzM1dVhmu+Kcxqw6r/3ltvvkqay2JgFglQS + u2OEuyVgh9HO19997u73P/RpmVAXO6maHiwA56iyhARniAwvAF1nzSEJX4xxr6aTQLc8uLmjd599 + +aPTyXs//5d17QHtjN0ECqwTKhm8fHEU6G5M4Q6yCMupr1zW/IWESOjad7zu9TdeOJAEJXfe4plm + Z9UuGnKGNDoELathc/TcLiaTycMpPD7bRVUZO+I6pOgFucFjQC+zox6HGDrnfS0+WKpoCtf/0mcf + /C9fcsvXUXOCaZEWThBVDZinNocbFINkZ/B4sRuVp2Svac617He3I43rl0QH/yWzqTMWJBpbiWyz + zi8Y9Jk0lHbAnU/0xLR650MPoCKI1KCs7DXH+RwckAgJOY+Yt8XDGGpB160mdeOkQoyAiin6VHd9 + nTQcHs585ULsEMXgtkS8l8Iz13SxU40JOOzSbAbpETXVEd/xinMf+OLn62kT2jmamYJFXJaa87ay + 2qDEQ47akad8I8F9QasgCYzx/ozRkdN6C9v+J4KFuCHpY2+wGBc7XqaueedNt+rJ/Z/4kz/EyT00 + xhMPBBZJSEdJpJxzNje2pgbOqaqkERYdFN3qrbff9RKL1sdIJMDObHK4XCuTAWLmANOUi7QCq4il + qZs1X0CCBUz2AZ+rgGZPLiC2sTQzfJ3PQWMCm3d+3i12ak+6Rq9vfe3rzx4e7oGcxh7JyZYb9hnA + SJaWu6F6+z1vetef/hH292tfw3EXu8o3Y28vw2vBua0YCv+maLECXA3aaw0hRVdXnvRwxV5gQNdN + +/XpSWNd651ETY6BrdtmuZLmwpk/LCmIckNhBBPDrm8evzhHNERrnLp6CgDVEP89H0AAsqF/hIEY + wnn4oQSVXGOZkOJk1sTQORJMZu9+4OM//sq7pot1BWWLY+GxBErDwPAqOKJ112eBPdRO7kxWq3WX + NBImk2a+aidEQuzMegsU4D2ZwIuFXh3BIPOwjgAT1usgAFvPhHXECTe5ADx8ava+j92HdZt4YiEx + wB4gSMTGH5wHtDGPyGije99KWCRxYIb0SMYxcWt9M61i27EmgbGaI2OgiTjnmrfddtfPPfaFVbCK + xBmQUNU1gJDiRAghocNOJCJZW5gC5hDjMcS1jFTXfjeF23dOoFuhmQq6Dl2FyuWMJEoQAAZOYAEq + 06FojJUdUAaEWISR1HKoEsex61wtZD269Y+ee91Ll90UtFdN5/0q8jh+IgRDvdVF0tgkMo7s1wBm + dTNpV3/vVW/4xfs/xnXNSG3fN1VD6kDuGba3BtRshghxICIQkjrzhC5/UxAjMk4gAjyYoNnFrle0 + 0QRtwC2CKFSx75vFcrXvRGNqJjxfx6auRWpJKmoBiBHew3m/WulETh4y/fznPglRNFXqO2amyqcY + q6ZWVSMwXW0AQGARAiMCBnIJjLe96q4bF/0UEGMlBcFIsywcWTn+jDsYsqNhxzAC2BJa2xXHMaCa + uCcuLk4AjfNP9CHsnfqpf/3nmNXwTQ84StwwkMhy9eAj0X3haox1alTJb6TsQIyRqgoI2Kk/8NAn + f/rONz35xUdOMqqxi1GCQbEp+eOTGL+dPfOR2/YJ5coophvZvAEXF+uZd3vMfUroVlzvlnwtFAqF + QqFQKBQKhUKhUCgUCoXCc4Dl1TbEIIOawREgzOJDCKoKgJ3oKGMeoK1HAEDXdcPEakwEMljb9pWv + YuoBBAUMItQn+/in//KTDz/8v/7v/xIAb80DCxERRb1kYjgvPElm+f6/c0xEXRjmOokopiNBcww9 + A0I+2NUmQw0AhAFFJRL7joC7X/2af/pPfntvZweqXdetVquTJ0+mlEIIec/RlVsbrpzueSYI6K/+ + lW/6KdNZVS/7LgF1U7dde+mht+e2rkjtrUmKy+cr8uSRKoTBDDPEBCCZgcmAfivFKC+Xc2RmiAbA + VT7GmN3lBgs6vHhYQAUy2GazSbteOSCFXoAf++Ef+a7v/K+hGtvu9ImTMfZPPP7YmbNn16tVmfMo + XA+YGcBG2pEl1nmDEhGFEDYbo/IPRKUkFgqF5xfbW8tjjESUWzZVzWOPvJk9b9ss+50LhUKhUCgU + CoVCoVAoFF5wxKDr1XIyme3s7PUpVpPmsw9//i1vectjj10AwAxVqEZ8qeBdOdgXMxPR4eEhxpCV + z9qFFAqFQqFQKBQKhUKhUCgUCs9brm+MxUKhUCgUCoVCoVAoFAqFQqFQeBbIG+a3t81neWcO8JGf + 77ou/3ANe+bzAsS8TrFpmryjFWO8icJxMTMzy05rjNbwa9BR5xguWVhOY7Ye1wVbuGZUte97VZ3N + ZgCyNp6IqqrKda2qqrZtAVw11kyh8FVFXrmeUsrNYH6SiJxzKaWqqkIIuZrQ2N0ci9zfbSJb5U80 + M+99Nl7nnitHgPqKXVWhUCgUCoXCixc1QABykApNtWbx3nvACImz2ZTJaONS3Wi8CGakGASBVAm8 + oGYkIKITxNPEp7vw/m/5FqyWhOBsjfkBLlx8+5vefHod6hQFliWsZEPAzATRbK8DLip0Qhe9PNL4 + f/i5h5GY3SSBlcRgCnDjIOnbVykAACAASURBVNd926QQZpOK4OA8JvXnhQ6NiBoyGnSJWUSd5WRg + ssEsK3akmDXgMGkHzV5wRaiBibhoyRGE4AEPMDi/wwDvneZ4oAAELCBwn6AJM0GnugQOaPJoXf3y + J++DMIjmMcSgU/YExGR7eyfUhjHzxpeWgIhBSc5ABdQGr+D8QaQMVJCDPlQelcdBDw8IwAoHrJGW + qdudNN/z2tfBIiMACZ7UelhEu0Lo6pRwsPjhc6+9qbf9qo6anICAZuovRrtSQPh0iW+wPnSffwTz + Fl3CosVyCQLXboigms/66B0MOIIADnBQ3pa4muUbKarLtdQ1YoIBbfdD99yzz1RBCWhTmnjUnjcG + wfzubP3TS6WwObAsAd70JW4yuXD4g3e+DucXmK+k7yW1HNcMze9Kg+9cs7+RbbgCAdNgpgOAZMih + X70TOLJ+fVpctV7hYvsdt93xClfx6qCBBgRiLLt0rPQE0Cko2I2JsUhYpjo5Z+Y3Hz84jJUAD0iW + nl5PljGdmVXzzkzREPai3ebcjYvFW+98DVYRq65OmuY9A5UMxlTZ5Pgl1277vq5SREjoIlbxu+66 + +wdffk4evXBTxTsML1aDz7cLBpImHVSsQ+BhAshgoBZy2NTzm06//+N/gapWYzM4cRFZVanZEjp+ + 5jFdgQbnfOp6Ans4jQyq0Ux+/ZHPPjzzD1lQ5+BdG9DUXBEzJPtYHdRDHXS01LMCChoMo5ZP/lry + igxkyoOs9MjVmehI3yhmPLRzVlNlvv6co59+8AFMJpjuwE/RZ0u7Jmga8yU7dwUQKG2HQiYkIASb + VFMEw2GPZY9Fh8cvfu8r75i1fW3wQAytMBpgCVRX3GfbBFiexzYAneHExDFjvgQCaNVPDi6+vtn9 + nltuxaL1xlXoqvVKYsp1ODcQQ30eD3VVwfGLKRjt4EAFcCSCtdz50tgcARBxK+0YmHnnoHUK1WJ+ + Q0o7Tzzx7nve9LN/5d/FukPboesodALTjVpzk1gKGLwTixGWsO4RyQXBxfX33PKKWyztpF4sEZsB + q+U6n5uREpSRZOywlOCbuj61/0jtfuGTH8OJE4frFjaYdDcFbCj6ohANhB5goHbimahf7zvvlitZ + Ky4sbkj60mpSI9VsQjnm9jEgoGZQ2588XH/3Ha/FKmDdQlPtPY2jIwMznIBzVWLw0ar13B8BgCbT + HC3Ce98QqDOuG6zXWK/f9tpX7alK10btFzERNlWbANBYm2wsvwQ4hVOI5i5RCVr1/ZlgP3jXPbjQ + YtG5fo3UG6lBgUgIDoksIXupx+pER7kHA42+XDOo5LkMrtD4J3YmrdSAy9kUgR7ogfjUlnAzY2Cd + tHauT3awWENBSnXdLFbtTj2BQUAMakgEaIOdaDis1RIaL2rJQLO6IUHtMXViCY1KDflsDJ9r3Ps+ + 9QlMKkxm5quFdsTuMEDkaGy5KSZGVzitSYfunZAUT3ZIBiZWix4c1m0lJogNWQWtBAIsDb0aiwe4 + roQ8VA0WoMmWi4ZAfUCHn3rV3U2wYMnI9UB7HKd1pu9axHSi6//e19yORSshTBXOFDkngQROcAoW + wBmQGMlBGcYKHqzPsJTSkKnOgTmuV05qLNdYrWBpOl/uGSrYvF+JwBRiYEMi2pRZAWRrUHQk9yUj + 2Hx1/kzV7B2ssUyYr7DudlRdjPnjn2F7OzqazRRQpnwt1bSHS8QAxExMbZRkX9Y4bwL9G7CGiYgA + Hs4DiMEDjfDEI6zVAbHrNEWFrSw2XgB0AfNViLV8gfon9mo0Hns7cCSVp8rDLObOjfnqTmsAQLA0 + ZHFMaDv0YS+lPWidzG2GViM8thLH4qg8E5Qud1pvF3gAsYuNgyM+iPrFit9+/0exOwu+WRkDzkQA + hUakbEUvfGk468uRWxMFKxiq5pxbd12Ei65GU//4H/0x9s8qHIBEiFtD6fwlcXtQdNxh/Iac72Kb + JpwVDPDEgS3G9WE9P/zON30dgsLQd/qiGtAUCoVCoVAoFAqFQqFQKBQKhULh+Q8RDDBT07z2Rg0a + te86UyXAzFKIlhQGGbfFXZWqrgFongByzoAu9AakvEaCoXn5GiGaprzAQsgYCUhAb9appjxDKGQM + YwRYb5YACBkQovYxgUDC4p3BxDvnHGUlJIDN3r3L56iG5xyxI0opOeDv/q2/9S9+55/tTqaOhQFm + ns1mq9VqvV5771NKTdN8pZJZmN/wuru/8eu+ft13DAbQtq07/j61q2PjkpikCBExgch5DyKYwYyd + sBMiMpiqwsyCYjNjFkK2m7vKbw5mw3w0RZhCmbl2nmCVeE/8xte+7v/+l7/7o2/9od3J9MTuHqkd + XryYQtzb2+vaNmuGC4WvOHnP0faveZeTiKhqVnrkZ5i5lMNCofA8hIj6vgeQWy0RyVprZq7ruuyp + LBQKhUKhUCgUCoVCoVB4oZMnm2KMIrJcLp988slv+7Zve+yxCyIQgSq8H7YFbQJwXZV8i1tVY4zT + 6TTHzSv3vQuFQqFQKBQKhUKhUCgUCgUUrXWhUCgUCoVCoVAoFAqFQqFQeBGQUjKzvExQVYmImbPq + +OLFiwBExDmX1yNmt+ixMLP9/X2MAuZsIaXj67ELmZx62WMtIlVVAbi2XcFEtF6vN7uLU0ruacO4 + FL6CMHNVVczc930WyYcQmDnbc3OG5igzWdn7XJ9vofBckm3WVVV574koV43cbc1msxwxIbeNIYRr + aMdyl5QfzSyLsXOfBcA5t4kg471/+pX3hUKhUCgUCgUAzIhJ+0FLydzUB+tlBXEKMSSmyGDAATKa + APO9Bt54uYwZsB6hRxxcezAYx/XpFFf334dugfUcTzyOVffON71573OPnO5Cg+SAScQkwBkAJIhB + SCQgRXGRaQ7/RfDPffZ+TB3cxEWELhFIwCF2vaXkALqOQz4yiEIXAQqIRyW/+qkHVpMmkhNlNiiw + +QaYRbACVAavg2kyG0MBnJlNCOgAZTKA/n/23j1Ytuw86Pt931pr7+4+575GY2lGGknXmodGsmSb + YGEISYWiKg4JpCohgqRMFQ4PWxiDA9iSwUZ2LD/0MpbsYGwZB4qqOJV/EiDYgG0eSVUqIUhESGLe + mpEsgdHMaGbuPY/u3nuv9X35Y+3ue0YzGs8ZNJLHXr86dW7f7j67117v3nut74ecjOuDvs+uZkoJ + bmEiDMiIFvRkm29KaRx90WkRtoVsdIiCFdZwnA4+/fIL7/3XD9Et6Q9ZLMKFw8lytmnRaYHjo2sd + uveNVjumCVnJs97SAhbxiM/CQnFniEwXEmNmyKxicGKGJbGL6Qm1pw7k0bBdpzK72ELJ5TSGgm/Q + zLDh0et/7vY33XJ9feF43Y1DcFKKwNF6Olicb/6vsCKkU/veN/xOjhSP9P3Wpu0ZpzVVK14lp95D + KsQaoBYPuCC4MkyGEqNSinZLJjidGOwvvOHNB9ePwvZYKaL0fbCJaWtVFOc7Z/n++lq1++7rhjoB + j/gyD1fKdNtmetfXvoXTkaeOWW+lZKFUI3LeVxWDrGKEQshIAY/4zmythIB5hgnfqBrHW47z9912 + 19dN6fD0eIVDVtgWVsvz1eci6FIcbjrNf/mVV//Cq+7ieOTasUxb8SxSveY5MHUUqZn4ImutO+X4 + dDxI5EwRTuEkDzd3/W2PHf/oq17/nbd8NddOunET8mDDUWSK5IgFI5S56buYYZHM+oShcDTwueMf + ev3X3/L49bu65cuWIWcTI+dy0HcRKSq5k0l9VLLMsYyBaGKejrvVpyI/dt+/5PIKCcGTTIhjaEEL + CjrXPnmaGvV5YtMUUufDJC6qAQ94pOve+28ffPCWC4918bQQFCWcuGWK7UysSnWMV3W0ldlXesZs + fX4Hs+KRHClCKViGEZmQESkIkJzeWDgL84ArxbxcM/+xz32Km3o04IksiCI4HrEAA4wyNxDxAQYY + 8AnMhREm0CoC3hpZOck/9Q3//vtee9fXbfPlaTQvyxA7OLVscACbUs728vvzBSb84OKlAMMm98JB + ok90cBlWR9deb/F7v/ourq05HRgz44hlnOCEnfGVM5LgvRwUR7DwRS29L0HkxgmaoH6jztQw4tUS + vUQ95xTTGp+mHBwt9IIu6DpJm/X0yUfe8erbeWrD8ZZhomR2VuOi+G6wMWPMhRQBPHJqPDa+8/bf + frcu5KntlRAilFyWfe+w7DrBxWtVn1NlgEcb0uMn04/dfy+HPSFdXF6gwMakjlfo3u2cmbZMJmZi + k0/uI75RMU42HOc/+1Wvfffrv64/PSrj6ZpsYqLs/dnPE4fRWIle3vgrTuXP3fn1DIGTgc2AOVAd + p+KIzT845ozVPru7vVLARV0gFyaTEUWYDNfv+tqvXx0drfKYsE641Mds5Lwvwxsr4E0owgR5N+sI + 88EdynIabxvkjsfW7736Zq4PbAZkLGxgEIZQm6QN+ISa17LXL/wIRDElpAIZx5UQOFz+zAP3HnVp + JAYnwBA5jWxDGfVMC3o6E1w4OIgwTfkghoMUUlQ39+32EIlDSYh5Nibx0gk3B2xjETrwsQQI+GbY + amaY6DwuUbFwSnjkqw7e87mHWHZghMjqwLvlNcsvO0zTBvjCJImjRnSSk9x6t968d+/dO6MXuagk + ZfQ8MF047Hr3MLlCcEvC6ejek/v0cNJHL62w7HmELDGzMMqpdLBesynf9to7Lm3z5dSDn/ioXfcC + bq9luGmxWByNd3D4PXd9I08aT01sYKod1yxNTxDz7sl9MPi5QpJBQ0AwHHf3HLuOrTEqm/yOr3nz + TQHjRKDXkAsHUTpDTUyYlElme3rYmanPdovBCdgBoR+2Vz3+4FffxZQ5OWWzZb2hnKO/rQZtcRdg + QC1h6S999KPXYnBCLEQn4EJByu6rxjwczIeRWfachG2ZDJzShZi9HKR0OkzTRIJbDi+leu26U4fj + qXTKQeCwi0d5+rUr+oGHPwoZAzNE8jSh0vd9trLZbp6jvFQiMI0ZESb71quvu+BZy5QgovOMYT5r + 3Rffedj/VdWWaz1rcRO36rTeW5PV6ZVgWOqv9d1nLq847A0xYidVwOCOohERBPfzzyF+iyG7hrD7 + GkwRRpAopfiF7gBjIpJ6Ll/6VbEhhDpfH5WimOxHW1VUXG8MQC8o5w11mVO1m8qqOJZZppTgUvAr + J1s2mdNNWrT9U41Go9FoNBqNRqPRaDQajUaj0XhRecaF6N0WtrC7vRGELoSI7P6risxLL+qyiGdF + GMbBIaQ4lZxLRggpmoNqXdNjhqaEiBsEdSSX+ZAOoqohiKqj9flimCOqolrMEVAJXUcIblbqloSc + c8lmiMYQO9G0mQaocuzdye6c1jqfgh+k9O4f+qGf/PEPrBaLZd95ySlELzYNoyIXLl6ahjHGuF6v + vySZLk4ZpzxN73rXuxQMWy1X7I0Fz3MFiZz5eQZdDFJQ6FOMEsQ8jxPmQROult2y4yIEJeCqolGj + itbcDzGKar3dhooElaCuYuIu5kKxkvOU4HDRf//3/eV/9A9+6Xe95RuOn3py2mxPrx8F1boDJaW0 + 3W7rLrxG40Viv2F2vwO3lFI1IYCZhRBe2L6nRqPReLEZhqGOknWP82azqV0WUErpuq6UMo7jfpfl + Vzq9jUaj0Wg0Go1Go9FoNBqNcxLi8XqDRkOt8C1/9I89+OCn+j7kQjFi1FKKqopIjUL5xQ6zj9C1 + j5tnZu26d6PRaDQajUaj0Wg0Go1GowG0y2SNRqPRaDQajUaj0Wg0Go1G4yVP3UFalwa6ezVY930P + HB4ebrfblJK71+WGL+wjTk5OFovFNE31INWj3HhhxBjrys5aUnUxqLu/AON4tcBWqbmqhhBa6XzZ + 2K/irQBVl1v/e/Zxfekrnd5G4ytJ7eXYhUbabre1pxKR09PT2o+llKZpCiHkvZ/nebMPDVNbXP24 + OjiO49h1nZntR8nnWHbfaDQajUaj0ahYKSGGAqTAIj2ax9u6Qx9PAhTDdSeYxPRpvrwbCOCaxDVQ + sgVh4xyGMJXCtD2cePfrX//kxfT+f/Ev/vs77ryS5ZCSmKoxNPpszi2IEVzwPDqcBj0O3ee78GMP + P8SFJaGrWuB+GTbjtOpSiv2IzXFIX9QMKqRFIkMI9B05P9mlVR8vb0PyUgQgODhZYBeSdXYu+w0r + 8OdPN8tAKGyt9CkdT1MKMgyDiIjXeKtVMicCil0UtWkCNqN5EFWNHvEc8DVsV4ef7dOPP3gvfU/o + qm35qePjWxfJt3k92uEyWnU6+tOKaxaRS7U+O2dyr/rVAhTYTISASTzKuaeDxTH5BI5eceXz4/an + P/4JQmIzMAwEojrrEYfs3/Kaq7ctD285HW4qpoxAVNlucy+UIOM2p/OUlkGmkP3yafi+u+5+1OTn + HnlosQpsTyHNFVEJOyk7IRJSvVIiBcYNpSAqSO8wOmUEZcsf/fe+oZNpsT2+ZTtcMl+Yibso66H0 + nZTJz+pO9/LF2cwoiM8u9rCLZmlsr4SDx7fXL5TxXXfdfdzJicpP3/cJxtLHiAcAmTAwRROpJ+xy + P0+UXKWPgYIKU0YdMab8p+9+48sHv220VTkNuFMmCAEK47BPwPPlZPAEB2a99Ne24zvvuPPxw/Az + 936MMCL1i5sFMYi4oYnuBZgXz0EnYUtZhThOGQgQgkzjcBm9yfo0bN95x+uOD/sPfvyjqz6QJyTM + fufaNwkiBDe2WzR8+5u+ZnW8eUXoLq+35FO5biOmgQgR1sO2VpmpuArBqXZcYzZvnqbu8weLD37y + Pg5TCRJc9p2dgs35YHs5pe0a+/NFQCOiknRu6iKIkhKh/Mwn7/0zr3ujnmwvjuPRMF6JMec8e4h9 + 13JxB0Pq88VxpDpzw/kvA+8Utm5gUgXaIq5AmL3Rtu/COqPARrvDu+5g2a9FVn03u0m1duSGBwH2 + GvgqXjWbq7cj0IsCZHBjk7/jzjtfLXp53Lw8F/LgsIjxNI8JDlM8mXInVRmMCTDbOmvKC4jqteNr + S0hAYSwUSFJ987nfrl+1WH3f7Xfmi4fXxuFD999LSlUbjlfJpJI0pKBRp73Xd068zuL0lzguyJle + omYj1Tu+e35no8YwgTHbQR+GoaSaz8J2zAQW7gvnoMh77rr7etTrq/TX7rsnpBBUEUUCO3WrinVu + bCcctvYdd9z9SukvnVzvbX0Aw5A7RGEcBgUbxyiw62DrfGCSsI6L4+7gRx+6h4tCShBnp26ntRu+ + oYm1HG2InpkUItNEEDDG/Gfu/Jqbx3J19EsyxRByGS536XScRkgdjOfLTIOEx2m4mdiP5btvv+uo + 63723o8zZoKGoDgUwRSUGKSLJBTEYDvgE0E7UYqhyjigCUvk8i1veuPlMty8PTnIZemilkVYDzlE + osA010sBxYvMebV3+u6rrQlQekL2dW+9rMf33HX355b6wYf/VYwgaY7sDrgSEn0k3OjhFbP9yFr7 + WAqoAG5EPfVysIifX8XDHC5PBGOIZEGLhy9+s6OH9elpTaSZZXOBpaqYRU2jTQXvQ8rFDVfHCgqr + uY0zGQZBwbkc9XQalNWRhMcvpp94+F76QBehY5pOtpuMd7A9nfoIpaqS2eceXg3udmZUn/8x8Ozs + MvamPp6enAIxRC22xraQD9KjXobl8n0fvYe0YJqiFCajTCBY4Wj7tre85WA9vrbYBeV4ejzG3tFh + HMP5p6qLTo+320t0aTPcnO1773rD5sLhBz7yz+gSQSVoV/XuRZkUlF6IkQBOmAg+4QOeccVUXTAX + zzh/5HVvuCIc6mZ5fLSiW2p3ZGPvomDZ58bss4vXdtJ0B5O5yumN3sMdiz7K1l4h6Qfuuut00d16 + y6u+65d+hcVEjM+7vyX72Es3f5KDCgfp8cvLm0+nRZ7CrL13nweFGxlaS7YOiy5zBU9CdreSO2Sc + pouiwXUiH51cP9Duuo3FsUgEzxgMpZSLBz/x4CdYUadDBAWJXSqloBI0PPeduIiYWVpG1mvW29uM + uF1HNbcgu28Ktuvr7Blfpp4PeyP4/mRl70uoD3yfI6izNq45T1668LP338PBgUtfjxMAwpSHLiRE + d7rmxvNgn8NS5z1WEK1iBg+FNJVt6rqffPCBH/nq10Sf9qNu9ZDL7hgudVa5qwVy4wvj88HOvN+h + oEVwRFy76Meb6QK4l8OjUzYTVy7mQmo7qBqNRqPRaDQajUaj0Wg0Go1Go/HlRVWTUIo5vOzipTe9 + +Y2vf/3rr187fuSRTz/wyYeOTo4EgoTi5mbz7Z6zfz/f1xbcEUrO1PUVUM3T8+oqd9zr2ipNqT64 + cR9JxPyMM7suOdiF9cddVN0M9zKO+z+ZndyqSrBS6hYhhxBCKWVeo3bGaV3vtHzDb/ttP/nBD77u + 6lcvujRstoiKz5saFovFOI4n16/XnQ5fwn1GXUyGv/mNX/MD7/z+H/ihd20366dtIXzm3YdzLrAr + U1HAyWNdzyOoFis2r5VDmP0HjgruRrEMBNHiVuoqoBRrkfm+yAAIUaPhxf7EH/vj3/P2d1y5eMnN + yjBePDhU1Y1tUohmNg5jznm1WuWc25aQxotB3YW033MkIvttm/t9T0Appe4ZbPs3G43GbzT6vp+m + aR9koHZTdb5Re7YQQgihTku+wmltNBqNRqPRaDQajUaj0Wicn1LKcnFQSjk9Pf32b//2++57SIRh + KPVydc4GiHi93F1K+WLH2Yc6rCG59hfAG41Go9FoNBqNRqPRaDQajUYLytJoNBqNRqPRaDQajUaj + 0XhJUjdI70XF1dT4lU5U4ytMjWqx9yUD7l5KiTFW3yc7nyigqvVx9fLut9k/65FFpHpGVfULoj/s + N+HXVYxnd+839lmx9x/XJ/dhC/bq1ufWje+Lplqr6+OzEUb27mRqvJTGl4Xapnh6KJmz+d/KovGb + mNr5nx1l9hW+Pj6reH9WTfXZfq92a9M0sRtN2PV4ta+rB6wr5kMIIrI/5r6HzDnXcWovzK6dcI2z + cPb5Fy1XGo1Go9FoNH4TERWgGEFZyt/8zEPvuenqLfSZ7eguky9W/ZjXC4nqGRigKMF2SlSvalcE + 9+wuTILAupQOwJbg0xCvT3/l9jfcMkpvLpjgBgIjaGLj5EKvKsWcrDGehMXji+79n36ApITeRDQZ + SQ2WXSIDsYu5vNhOa6DavBWMEkJYdP/DPR/5i2/8+kvT6HmUyGbiJhih4BpFzsyI99IxE5KTd3tC + N3kKirlLjQBK2Yvoaq4Gx6qPOjIUFn3K69FmZXY86sMDK/3ZRx6gX6IdEiDjuuoX08n1CfrAsM3d + rC69YaY1QUB8Nm6PAQmMIwc948ChYAWHASToFkSF1erR9VYPDrfx4ueT/NT996Cwte+46/UvR2Wz + Velq7iimbl9rMR6dJMuZEqoS1XwF5uSMciNE6fOhCEUQsUM/XazXL5P0nle/chu1iCRHzY2iGq34 + pkufX4a/ft/9rA5FAsUYt2973WtvHqeQLSDJAEOyuIp1b3JMx2Rlma0vXvWutRHsVMLsK5f4DbO1 + CbgoyKyqhllP6FM5WUrIZZO2w4VRTeL7XnN7VgA1BVxyVoaQHl/2H/rUw8SEghXy5lvufMMr17oY + zZRodjCawBDNxa+69SWnUluNGaJQCl394HN58CAJouSyDgwXjX7DhcwPv/o17klcUykEHbBNv7i2 + WP30vfcS+rPS0y8tASjlAmolBvHoAoVJEpLxIT+1CrLcnt6yCX/11ldPqkPQbRc24oa+/2Mfw/Uv + fe3XdYUODaUEtzu9BHK0o2gWxdURxQoFRCS7qyCCFzrFjRjwqEejeYxFu8dSfO9D97Oqp6wEkJrf + RK8yeDU0OGD7i3PP/3wdPIbMrNOO9SkNiBNWEP7qQw/8yat33mF6cSwZIeCQCzmgyjix7HUaLKp7 + TY+ijpqE6nXGDb7o1vNnS49VJaljYIGcPVJ6UceWsnjKt4uUJOjpdngZsiakO6/+sX/yDwipC8sh + 0wWkUydXh2YNghz3lVKAxKSM2z9+990v226XebsQ8zIIQSCY3m5Ewwpb1eBBKWPO9QjrKStMZ5zW + z8AQDU5CIu4QYRA2wgC4CKUbTm8NOj01vQJ939WrY/RJPSMqUbJsU3pykX7u/ntl1XWxgwB6ZlB5 + yV/rm4Np722vQLXVQg+KbPClko1Q+7FIzpZAh9KBwSi4EKgDiUEWKd2gh2O8ZbN9/1fdKn0/iAxJ + h9Xyvf/8/6ZPAJO947f/jnS0XuVyQeSuMmQvSb2mwIEQu2JKkTNJKorBZEwa82L1WJfe8+kHOUhE + ChpM8d0a8OLIfIPMAzJMb3vNHa8YplA8GbFgQg4G/iq3vtiBWXBzPBCGMQdQIWdUbth5nxdKcU/k + A4qe5gOJLvqBV756CgyBISJuq4loAI+tlu//zGdD7MEZ1n/2jjtu2myV+bqWOIGsDp7A75axK2VR + rDeSZ6mnKLhT7NdZ+J7PTITq6WxldJGtDCZhNa1fm/WvvPzOSTWHVHAlFyFrfHzZf+jTnxTVWWnr + iFQnskptCAKEqukmRYQoiZh/4qFPvOPq1c5YFbqOvCVmOvHyRcajMmcegNs8dGYzU7YyioKztclV + xCU4HYx4kpC9nBqaYhDZjFMP02SRxROa7r+oH/rMgyyV2EHEC2IaBfMAqiGXsh+j9+HZFa8TsyhM + ThCykAUHM3oXkIIqrpMGGIRJcpJw4ml98fKvJX7q4Xso5fte/cabjLUyBoqYYn3xaAZ2l9kil2jm + 7qpMPij0ID5b258/ZbKVYD6qT5en0HtcTyc/dOfV+qo4iBUhOH1mHdOvHS4/9NADxAUinA5/8s67 + bh02aRqmmHDpiiazZFMJ+e6g4rbMvjAowwZUmPC0K6Y6Ea0Ntn6EQxGp2adnzsPAsQBLiJ6HUZY2 + 8tBD77/96jaSA/v+dhPjU8vu5x64T5bpWfvbKIvZX76AAovIgf/Yp+75/lffdWWbjXEljE5xPMhU + 5tTurzjXqZo4RRCYC0qSzwAAIABJREFUfD52xoHsVk9oiQw2OhRlzKiQQSTlxcG1LrHssloMipW9 + vFt3N4b6rt8n9wsHJQdzFZ9sm9T+4p1vuG09XOxDLqUI6kRQY5p1yAri5/kCs5N53+jJXRA3IImW + YrUPX6ZuO43iOGjBuu6JKxfe87GPcnjBpRcngUv9eA1hWQu71szwZfhK9ZsD2f82QQXRAAUR7cHD + AoHl9PgqLeMqXTu9EEIKsi1T14U8FgGDIrigTjhjpz5nMmw/5Svsujaw4hE8YJNfYPqBr/+GH/zk + g6lvxdtoNBqNRqPRaDQajUaj0Wg0Go0vK6Jqpdqq+QO/75t+8oM/sVwul/3CjFLKMAyf/exn/+n/ + +X/84i/+4sc+/vH1sK13OkQQkWm+KYJzRm4N1WDNfl9AvetavdQigE1T3Wfl9Z2795xVKQNudsNz + 7Xrjlflttn9sPs0ubQGzst/MhaiIuMnuDsv3fs/3vO1bv/XSwUEeJ8vFi6UuDtt1TH3d/rnf1/Dc + +8jOi5mVUparxZ/6trfde++9/+vf/TvbkmW/nEWq/NuCBkWmUnXg5zh+zeszy9lwd0FE1Ny8LioD + txtlFRADc5N5xZpXuXVKoZRi7iFqyQYE0f/mD/+h73jbt33tm948brfBTVW8WLHiKl0INk0mpJRc + KKWgcv4bKo3Gr8/eZr3fuM1uu9Mzd922PYONRuM3Jmcl1l9sp3NzWjcajUaj0Wg0Go1Go9Fo/AYn + 57xarU5PT2OMNQCXqpohBDOfpvz2t7/jn/2zD7vjIMLZm071avZZp3W9xL0POFkjSe5frReO9pH0 + Go1Go9FoNBqNRqPRaLzUOessaDQaL4Bm+mk0Go1Go9FoNBqNRqPRaLwk2UsZz0Y0+GJO4sZvWUSk + 2kaBYRhWq1XVgu5/xxjrEsPncFoD7l5docBisViv1ymlqmquv3POe5F2M4buqeLVuja0qlhzzsvl + 8rzHcfdaUvUgVSK72WxejDQ3Go3G80FVh2Ho+z7GOI5j13U1EFJKyd3rS3WUeWHHXywW2+2W3dxm + 3w3uh606url7Sqmuwq9PAlWGTTNYNxqNRqPRaLxQHCabokbGsXRhDLLsw1PL9OSaUGQRk5ut1+sl + WigTJMGZfwRk5wqs4a8MqcFBq6qtzEZN+uzRirokQ3fiVYMuUjLbieVhn0+HqWwjQVWfcnu8j+9/ + 4F4Wq9J1jgfEd0bO+aAOpkF5cc2jglsGJEREHMnm8dLhe/7V//ee2+5ckKYyrToYudx1j47jkHOo + oTzPmPZqqNYv0LLuTcnis62afTBQBxggKkFYKdN67DSFuFgXP1J99MLiZx/5VywSMVlRlao1U8DE + gGSzp/ZsRNKaAPU584oiwnpkuSAXusiYqxkXQ9ahK8vl8XabQ8dtN/3Ih/85oadLrCJ5QnNnXDo5 + eVmheHHUMECr3xk3Ka5kECc5AhEpqGD11J9/CdRkLzPBHUZjLIgLEXcnV6Gc6cnUFenJO6umZ8p0 + ZRhesd2kic5Jtqs1oExAwU0Izg3zpWO72mu7QpnNnmfcukq1j88GuVkcXkWDUgLEwjKLMglWJZph + zlgm4TROWR2bmA82ksdLw/TytV8YikuObgcg+DQxYpMCRJydoXwUAYKrgN+wlj8vZoE6RbHePWUO + CgbqQ0ADBXQN191ziJRyLg35eTHoQhxK3k7bjgj0LMFO2OaICZ15MnqvnmMZ4Xjj3odR5MfvvF1d + bhPtTMi5n0PYmuP7WMJAcgjR8ONSVkGsuBQu9OLZB9gUrFhJ/XR48Ul474P3cdDTd1SdvSBhVxEc + cUSYTfQApvOHnM9sbWd+y5zOWMoUYs9m/LmHH3rH6+52K5MNjKwCLiBsJrrIerCDJJ53anrBBBGY + q7HYOeP4nhgvO+iOTscgpKhdkmhahmmBZh+v9IePDSfinS8Wj4528c7X/bf/+O+zjAw5RlCK4E7U + CHnOFyfsGtHcRByyXx7Gl2/Wl4b1AbXWliK7ftKDCUXEBPFnMVjf8Mz73IPprmEG37/9RnsswqSi + TrSiXg7zXEgFnyJZsFmpruvUufRMAyUQDAmzAfo3kf6xxin4gvM5TEr2I/eb0nLMg2O1G99kXyQ6 + QxwzChweLp883ix2BzMsCFCCTwEuxO766YlK2AR54tr1H3njG08V4NDCpeunt/bLadisIAtlgQGF + oMnx62W6CEtCpjhEZTKKM0AJaVgdfl7kPQ/cy8FyiEmwwBmTaJWCytxNF4hWbjkdXrveqLvitXM2 + mLAhAvReK8Bc5QypPbaefzCqWar40rJQarzPCSZ8iIizKgQokINhE3TIiE9XhuEVp5sOl+IugIe5 + Qx7AiwIEm+cD+2TtrNt+ZiC6MX/wp4/sNXtccKGIFylQ+iKdaUJAMznjgVxgHbusghUwl1APi9tO + gw67T1F0/4wIqNLJ+x65732339Fv1icnXFkQMoNbYCcqf37sm7bvIpA7ajDiy5DGMm2gS/31aegl + dIvFyXabujTI8skLhx965OP0EPvsEiXUEPOCBbeAumO7wb2+4LtCVMdhcDJ0IBGMDCFgJURSdhP8 + KRtF9PpSp+XidG3veeBBNNErBwuGsRe5aRwulcmlhuP3aKZ4UYLRg8GgZEX9Rum8MEqtb577qfSF + +hHBPToKk6CQnKM4nPRgE0QQpumrNpvb1usu5yEMRuiLdJQOn+A0UoQ+733VsxCas3XPbkgBattx + wVCdm99u/lOHIUMpERaFAIsJgTzNrvra357GhBamgU6ftb+VXWUQqvNaTwkHq/7BYX24WhwOts65 + S1iu0fBvNF71uYj3Zms/02BrIzIIeK+xWM6wWskTa18tsJEUlkeEx/vu/ffdQ+qKhkAUPX+hieCe + cKbx4jhdNt9uRgNVXIi1dfusNOYFfXupHVdt4+wyTRGHiGZsmMYo6ujgeURPFosf/fD/y+VLhL6A + FMK+Vez8Az7PJF9Yin7rUSutqNWBaf7uoze+CQuuSB8/+MC9f/7q1athEd3zuC0wTQXFzYpoVsSJ + ruoG1PnP8zed626yVHaNaK4Ibt0ibjd5KKw66Ur3+OOfp0xYRALSirjRaDQajUaj0Wg0Go1Go9Fo + NBpfJryYQNRw262v/IkPfPDlN3/VZrMp0xQkLvpFEn3tq277jm/7U9/93/35hx55+CMf+cjf+d// + 7oc//OFfe+xR3DuR4g4sFv3JdiBoDCFPEyCqqlpylv19oVIXRnnUIFGnafLdmpinybCfPZX4fr2B + iIgYs1sbs7o3b97XUB0ATghBESuZeR0H/9nv+09/8Ae+/1W33nrx8ML66EhVo4YSQtkOKSXOc/3/ + BSBYjLGMU3B+5q/99HYY/vYv/L3i7D3edRehlYKoIsD+Lpuq1iCGX7Al8OymjLPyg/n+4OwLn+Xf + detiTElE8jS5l3LjNtQNNbkqeSpa7xtOdulg9da3vvU7/vSfetXLbzlcHaxPTsTp+4WVEkU1qZXc + 9so2Go1Go9FoNBqNRqPRaDQajUaj0fgtRd/34ziq6mq1GoahBvWKsStmXde//e1v/4f/8FfcWSzi + Zpuf4zgisg8RWW/l9H2/Xq+/XOfRaDQajUaj0Wg0Go1Go9FovPRoWutGo9FoNBqNRqPRaDQajcZL + FXevcuJquq2r0F6wP7Lxm5VpmkIIdVlhrSTVYF2f38ebqLEnnsNsXT3WVaNe9aX1zfX5/R/WgzST + aGWappRSzbQQApBSegHHSSlN01QLsYYCmabpS5zWRqPROCdVXA10XQeISEqpmqdDCFU7zT5c0TnZ + brc1cFLtP8dx3Dut61Snyq1zzlWeXR90XVcnRXU9fRuMGo1Go9FoNF4wovF0c3qxvwCmODG+99MP + vP21t98hl4+On0yEK3Ex5m3X9ethGBTb6eLCLNE6a3CeqYE/M+DVlifBACZFdlJSnONMOpCTU+9O + h95ZST/i4yJeU3//r97P8pCwcMfcg7o4SFEoKIEI5J0u70WbDDqUpALBsVIyFlMahpP+4mL98pc9 + 9fhTYZr6ohP21DgaXOjSOE7wNDPrPmQnNxSVwBnx3s7/HXZmORMCJGU7cQCCHNv0xGSPxvCrKfz8 + w58iREogRq3+TFGE6sVM0DsZ1kqRqj8UAxw9Y5vtwI2o2HbWg67QjDlCt3hC5Dh0JxdX/+N998DI + wZKSWcRBrU8BnVIIUsx8ckIRiphCMK/JqRShBLKhRQLn1C/vc8lCcBKmeNk71N1FKEJWCMQRR10i + JLyfPa6Sd6rv2batO6VlBEGVsv8GE9iZF5289wW6h6pWdApUBV3wKhFm2pmta322ajqV+SOCefJC + lf3uQsbOyTBSCZRAToRq2S3i0TGnmJRCGXY+zriTbe8MyhRlVHehzxac82bqTl0o9ZiBuSGDG6WA + qY1KDtnFkC9s2l9aivD5ki8siRt6NFNGJqPE1G9lMKEz1MkwgaEF6wS1EgvFccG1WFDcJrM0lyxU + pywA4vSenrDNpdXhdn0i0CvHg0dQOEir65PpwRVuufm9/9c/ZhVYdIUQHBEbwGdBKCqIE55WiV9I + /OGaoXEuUJtrtBMkkY3+AMvv++R93/zKW19zsHz5IeV0iONI5mLQki1C56ydqo8NPvu2qR0jdt4C + uxzSuJkMFl0YtgVQisKIKboZTleyPAnd40l++NMf56CjS5iSDnDISEf2WpEUN3w2Ju503SBGGGAU + irrV2NK27w2cUMXEO69mdV0/S5f+LNXcFWKVyc8HDHtvsUNREzw4anNV1/qSE40guFn0HDyBoXZW + sDoLhMVmRfJvIqph93iyHg7QcZomzCGkxXpad0KZuA4Cq06n0bbHm4u7vs4h4xOYkIUCj+dhEbQE + LcVeceHC49ePb10smApluri8mLebZeqy50022VKcZQxP5ulSv7qgMU3TljKBwcIJsHbS4mBNeBTe + /6kHWfZo6kBcqfJOIWBAVpOqZ52NuBZ9Sj4VKDAJwemqH9qqhRrZ+Xdrz1A76nPj2K5vKSLBtXbU + BoaJoXMusQmsoyIJkoPI6Ii6COJS5r7DCLspTPkiTXcfdP1G69qlxAUT0aofxvdjxI1xrYZyx03m + sN8mFtwjJUApqCc0T9J5HQv2MczlaS1u77QGuvr/g4jEe7br337xcvz8tW5Lh66QkzOj6tmZz7Of + GnRlbq2GhLmUFHDx4zIJEjXkabypXx4Pm20Wvenw3+TyucjPffLj9L2Ju4cgcdbxFvri0QiYW4KS + EPD9XLTscrD+wWFKx9upL5RCFEQZhazi2VMMnRweHy4/o/LXHryPRYdnUsQNEYzTYWMukRK81DHa + 5cZHmM1ucnXSTnPOeUfrXbKpk4qaRcZkNUK/KRhepdTiZNVJYnU341L91rVHNZE62yyuhRLgIM/Z + 7VWrLohX4/KNEuvw+dT2PTOo7+y9u5lSPWuB0ebeOxqd3xBfP72/tS/W3wqEndN6zsxaVur/y+d/ + 7Vtfc/XCpAcwTqSAFTukGxnPCuDPmq3PVkHbvWGLu2UgdRyNvug41MWpTdPi8HNS3v2pBziIrn2B + bSGFEBB5tlJ7llpd32cTDtvxj1+9/S2LkMdxESnCVM4kx0FwMVDbZeb5ePrETJ1sJYFIiK6ZUpyB + LP3yKfHHFh1XLhM7HJ+Iaa7Cz3YmzWz96+GzBdzmhxr23ZyYVxH67jVC4KD/wCOf/PFXvikOBtPN + q8X19WmGoFhtNY6UFz7FqHW+ft/UKuwQXOzaxm6+0D15PJbRA9uXr678kddd/fnPPMLqkNDKt9Fo + NBqNRqPRaDQajUaj0Wg0Gl8m6l2WqZRv/bY/cenCxc3p6cFyWaYJM5/GKBwuF56n7Um+7ZZX3PYH + fv9b/+B/cXR09LFPfOIXfuEXfvkf/cqv/uqvZmfcDl0KYy65WOqj5VKKlWKq9Z6rg0cNs3naSrEz + d2VARQB7zn0NSYKImOfsuxudDoqG4O6ed0qAujpOoRSrNzpFf8db3vKO7/6u3/dN3/TUE08edIvN + 8fFyuVRkHEd3z5OFLj1dC/2FPHPFiZzzhqK7pyhHJycHh4dHJ0d/8+f++u/9+f/pO7/ru2MIYykG + VuoiEHDb39kIGuru1Fl9LWr4fgPI03aCyG7xx/5J1TO+cKvrCHIe6otx0ec81Pt8IrP8WkGMDqJw + 5+13fPM3f/MffutbX/nKVw7DsFgsxnHoYuxTKlPOeUqxMyvPmg3nzZxGo9FoNBqNRqPRaDQajUaj + 0Wg0Go2XEPUe0+Hh4bVr17quE5Gu66appLT4kR99z//2t/9ejJqzbba579MwfNFQhO7zfZ8awktV + j46OWjyuRqPRaDQajUaj0Wg0Go1G4zlojp9Go9FoNBqNRqPRaDQajcZLkqrLraLcEMI0TVUq2Wic + pco+gar5NDMzq8sKqwS0xsWIMbr7c8TIUNUqEN27QlW1LljcG0zrYxGpjxvsJNb7lZ21LKqj+lzH + qe+vBbcvi9oJfMnT3Gg0Gs+T2rmpal25LiLuHmPs+34cR6A+w27EOdfB65gFmNneVF0HoJyzqtbH + 9Z31IzjTMdYnWz/ZaDQajUaj8e/CwfKAISMeoqCJA3v/w/f/hde+/tX9hTCMYx5T1z8xDL1iBdkp + sfwZajffmd2qLbLa+BwRn9VfBavyPMACU8EGvxRJRba4p/jEuHki86OfeZhF7ymZMU10nbj57L1T + Yxa4Ep9VN/clxbCCjWVYeoqxUwMk9IdsT9710Y+84867b+0uH59ev6hRLB8o4ziZPC1R8mwCvFlx + DYY4OutpMWZNOOaExNHEBZAQr5Wc+8XRpYMfvedfsjok9eRC6n0ySTrbbMXEPdispCs7L6CK2E5A + fjYl2ehCKKUIAiEtlsdjHoTrTHbp8Ic/8Qk0sDggCt3KxdDFybjpwpJxzVi85C5JGGfr4l4c6LtT + q3JoExCm2Vt59i3PC3WBsEu27TKtvgTVIT3nZz2/OH+CBFyDSTTEnxneVJ/V5rd/arbE+dOeZFY/ + usKEmGIgLrF+XWL2jOpsD62G8Jly5kDBJZhiEQ94wGPVlcos4MaF7LOKdfbnCeazANV3HkgXN16A + mbV691SxSeZk76/uFSjKjTrsL7oAL0WON1wKeHVqo4bnaZA0W8Z3lSaUGl/XMxOdUmQnqS2muyyy + nZLRd/WsI4rRoafrU4VVSutpurRYHI95rTGHdJziO//FR7i04CDSp5GgcysEMUPrMQsEQfbCQtnp + N89JuPF7Z6bcl2BQpAfo4v/82Oc4PfnOr/naW0N3IWhnns2WIar4kKdupwvdBxYGyfOjc3WL4sUn + vBfNQ1kJqz6O2wxMqkdmIR5eV722XLz7oXu4kCzYUGy5OMDcphJTyKVeLphltHPlkhvVxufGRPBq + Zp0bo8+m+TkE8j4jfe5QvrAXrb/1TJvyXU7aTnjvUB/sAlejjji2G5iq8bS6wKtLOJhC/YlnhK31 + 6HaevuolxuUubccphv6kbAo4MuRh0S1K2Ypx6aDfbodxslVQKyaEmouGC3W0whScCNltGK3AeHTy + itRP22EpnYZ4fXOkIMTTbIddiEGGTY7EBT6WPOVxJepOWIRpWzZOCClreELCkzF+4KEHWPV0PbXR + GQgl7AXVVtiNDXNscQtuChnKvvnu6pvr7P2tParPA8a5m+/ZztZmoa9VXfSEmiggbuAFspI17pas + B9DgBHfBwR15+qgkitvevH6mB/uCRO6HPxOYNaq7QzzdRn0jb3bu4dpP1GwxiF6Sz5fvdDeEzV0I + 5vPpzBrXG5/jIAxOv+j/1uc+951X73xNXF3Pm0Ncz99gascx7iTEAooZ7koufmGR1tuxgzJsu5iu + qf/bnH/8wftZXaLrfSp6sJoc0P0QpruplGC7IhZ5RsJKIUSOtlMHU+FCv9gMw7aQV/1RLuny4fVx + uPXVr/1z/+SfooHDi97pSOkxsPF02xGXy6Udn3SUMFdPyi6vXKrmnCI3+hR/oSb1WtZlrklWo+Wb + o+hOOG0uIJ4lgOL1J+z6ZJuzxUVcnZJBa42syT4z4ZFdz8zu1VDb1JmGI/uRa3dScwVTss1PInNt + DLvO93n1twBaq5ztBvMIhRC68NcffvAvv/r2q31Xxu16yFeIdUq5n7z5M8zWc4J9lnabIIEYCM5m + xGEbOC3TuFieBH/3ww+z6ktKEKORwnzY8xECw8Qmf3VI07XHVwIwTvMs9hkzYEP+nUYZ2Q2IEaLG + 0aYMSpQU1e0JK48fHrz/3nsRqYNySoyjdZ2enfLW6io3nmj8OtjuS2jYf60RUKZaswW81rooKbFc + nnSLbR62peT1qQgxUgwTm1srJqjtJv/nQvZjAaquQBEDIjx1PF5YiA0evTsZtjengBWsENouqkaj + 0Wg0Go1Go9FoNBqNRqPRaHyZcCdADPwn//E3KeRilnOZcpCQ8yQiGoOourubO4ynm9Vi+bu/8Xf+ + rm/8xh945zs/86//9T/65V/++7/8S//Phz8yOQp5yEBQ1KkbDgQEMZuXt6ioiNgcqH/ez1UTU/c+ + PDORAsULjgqxrkWD4oa5WQFERFWDknNxR2y+g/Z7/6Pf87a3fet/+Lv/g2Xfnx6fHCyWJU/uXp3W + OefValVKGcfxxd5qJyLDMFy+fHkYhovLgymXP/Rf/sFX3nLrd739HY8+9tgmz7vY6i2pKIBmt9n/ + DYI4bjvj9f6Ys9hAxcQwx88u1DAcRGsxoIoqpQCSNI+z31qDeHYgwKqLly9e+s9//x/4r/+rt775 + zW8+XK7GYRiun8QuHl+/HkJYdF0pJeecUpIQNut1H5PtPvG891AajUaj0Wg0Go1Go9FoNBqNRqPR + aDReinRdl3M+PT09PDycpqmU0nXdWLYf+tCH/sbf+BvsQhECzxE0sr4txljjgNUQXuwihjUajUaj + 0Wg0Go1Go9FoNBqNZ6UFZGk0Go1Go9FoNBqNRqPRaLwkSSmN41gXn8UYY4ybzWaxWOxXmzUaQFV+ + shN8ikgpxd33qlGg7/thGJ77OPuwHfuFiSGEepB9lRuGoe/7F+MsXrpM0xRCqDbWlFLNsfM6rYGU + UvW5Vod9zfbmam00Gl9ZSilVJl211tM09X1fB5f9yFIjGT33CvgvdvDaZ6aU9t3mfuQqpdQn63hU + n99ut4vFAqhTozpN+tKcaqPRaDQajcZvPdx9zGXZdRjRDIJp0SuXfvxzn/7zd7zh9uyhjBvP3UEa + TqdlELNn7mB027kQcalqQ9+JBMVVXMJeh+lS3z8VLq7StJ4C2hEgPSY8fvHw3Y/cz2KB9oJa9kVX + 7Y+694yGnTLQw+xcfPFQcKwPvbkXQMNmGA77RDrg4vi+Tz/0jjvecHFc5Gm40i+ncTNW8fBO3S2/ + nnXYoRBA7Ybp1sAVE5IxblJ3YmaXbvpszB/45MfoYhGRkDT0uErEJ5MoRGdrwemKQtkII+CkagOX + atO8ocw0oThjKQe6miQ8WcYpy3R4+JiUv/qpzyKFFImBYEi0kiUtxiLL7sKUHV0SchJyGW2vc3Zc + yIpAMmzn5IwFkDF4MeIu0uk5pLs7S3EGx3dKYNmXTjIUEhb3UWhnk6fjlop3BQpBCDtR6O4bi8+q + 6Vmlya7QZnG1VF+j71Oyt5C6gYsXEVDBwmwNRx0tFCErWZjCTuXru4QJCsH2n6Q77bmpW/AimLqY + hCKGY3gRhogLXqDQQ3TIZJ1dnecyW6uLzidHER1DcSEawYgegCxldmujoVTf9ovYwIJz6DLgZmTK + gliYeg1qJUwUoSiIdB4gGgqWYCCnEPJUitDFMA6lD1S/ei1cR2ZzravBwGYR0+RZkONpWhCf2k4n + cXGyXD3exZ964F5SwAuasJh0dqKCBnRfA+uRI+zMuntz8znM37I3iIvtDjrXAaseUAEJLFZsT7ly + 008+/PCfvf3ur1LrtuNh8akMHXmunDUDd0cuzDZo8fMkCEbKMiywvHFT4fo2G1wIKZcii4uf6cO/ + WcS/9cj9dJ3HZIQU/3/23jXYsuO67/uv1Y+99znn3hm8IYKUSIGkQAAkRYqiWE5sl6NHFOdDKo6V + SsV2SRElSjLlSBZlPS1HiSyF1oMSKdGWVZFKTsofUnGqUonjklKW5Yek0oMQCQIgCAwBggBJkAAx + r3vvOfvRvVY+9N77njsYDOcCmAGGXL8Pd86ce+4+vXt3r+5zdvf6cQb6oW2quliNPVNOpWrKuRS9 + OEgZgJB3EKhncU7Ygd2U51jBGTQ5rAVQnY2pOnrDgYvH0jnn9Hh1SBWUCYCywgschMeBCYmRpvon + YacEqFMnALSCBiAArliSDxW+dMGbXJM8V+893w8e2MubDOIQEd3+QdcNw06M+13f73eN56TCcCHG + Td/q5DYubnJWlEzXjQ9tGq6rqrYflIjS4AHRLDk3oGq52HTrhkCiQ58bUJ+6k35xVvuBsWl470Cq + NkdQHRZPDu3BieseZ/1nj34CMcB7SUJxVKWXBOFTKC0NJgEeo1ye3Sj1pNJVWaFQAYQwMDLBKUJG + pZOLF+OxjlWZc0BUxUCqhJ5GtfBo5AWXV5IKiSuuZyKBSJU06Diq8DS0JEC3YoxOj3SSDWPL5n4k + 1bpSmVOVX81tdLZZlxOfO1GQSSCvRYqMAPEZEHgQybZGW9J0Fg6Hano3l0/hqQJlePnAw4+857V3 + faU/uTnz9G506DuHI1kuLlHBNI3IvYOSxpz9lAi+VPWm7XcdNKODbtJwcLJ536MPY7ECapCjqtIu + oyIBuRJGiTORjlWQLywAjWMxKWrvNynd4Jdd6hW86fIAT7E6H/Dpiv/pJ+9H1QABiOBQJgfctoih + 73osT2CzGdqDoEMepcnTOyicQAgdIxNlBgl7wJVoojpNwS6LefQRIDPWo3U8k4LG2RBlIJNLjMSa + mOskSDL2F4JS6QHwolB4MCBloEw6yqW3Qi7xVGtKOhdzO4aQzo1oS6CucIryt0qT8R2jVN6pO0a8 + 1dGuzmBS+DLFlbNjAAAgAElEQVSdBoNq0PAPHz31d+960w1tuskFCvW6PbstARg7go4BY6JMgsGK + zEiK/Q4ngRO+RsoHy53HvX7g4QfhKxBB2anPmxyjwwAQEDBFmMvm/PmfvOPuG8+drT2x5/UmL4LX + AQAyQcDlTMtYd3zN+VHdwmxQHm/pEsMhhPPDRr3rd3Z//uFT8A6ugVJ2yECsGLIVJorXHAjgEkiP + W6AvL0o/PrxuPPYELs9LBrvyWUJBhBaxxvAPHnzgva957asXtwx7zyil4h4H4AROhecJ0PMsUpHW + j8Ur7aqKpKp9p7kMELm7SWqs91EtYDeZDcMwDMMwDMMwDMMwDMMwjKuIY3r1q15121e8YlHXiVlE + vPeSclVFZi67pcqdtiw5xJBSEiElckSv+aqv/J7v/u7v+q53PnX69H/4wz/4f/+ff/nHf/onTz9z + ptwtIcARZVUiJaJcFl8URTaAaTvDvCfuuTZdKgCCqqbpDieBHAOAKogAUeQsebyz1jTVd33nO//r + v/5td95xR9tumhACUcpJVGOMnl3btgBCFZPkLLm875Ebii82RIgxbA4OvPcq2amu6vqvfsu3/IV3 + vON/+a3f+mf/2//6+ONPgJGBXNZxQabFUfPKKjh2s+i6PDeKwfVZb0YEEiigwmX1oIyXhBTaCxHY + gRQ5qQNe99rX/Off8q1/+S/+pXe8/e2rxcKDuq4b2rapa4oYclotFiJJJOWcnWPV3A0SqiiiZrM2 + DMMwDMMwDMMwDMMwDMMwDOPLChEhoqqq9vb2VqtVjPH06dO/+69/733v+5WUBMAw5HIXLCXx3qeU + LnocVS1puFJK82NLUmoYhmEYhmEYhmEYhmEYl8C01oZhGIZhGIZhGIZhGMa1Slkc5pzb399frVZN + 07zUJTJejmzrz1NKxW8tIiGE8kzXdeXBtuv62RSxaHml9744SovHtDxTVVV5UPymV+8MX8YU83TX + dcvlclaAX2IZ6HNR7K1VVR0cHMyXqdT2FSi1YRjGF6c4rVW1ZJXKOVdVBcA5JyLzyPI8Il6hxDrv + /TAMMca+7wEwc9u2IYSiu1ZVZk4pMTMzl4nQ/v7+crnEc+ecMgzDMAzDMC4HBvkQR18eMRgDLyh1 + 0fMvn3rgp155+w2to5xirwsfJeVRPEoqBKejY00n2RoDpMRAVs1UhMqyJTPeyjzp+Jn1UMNV1fKp + rt+Pbv+G5f9830fgPJoFyKU++xi6Ltex2CxHZ2rJsylgAfi4wrnjE8AAHLlhyBxcU1UAwFE5UVP/ + /EMf+5G73+j7rj1z5qbg45BKss9Sqll4PNcSpgd0WGOzVRAZglFVy+eHPi52Tms+F/wZz//4E4+i + Qo6LjIYAzpCh5xgocpLBUzHkje7XxFCZ/ktSJIQ8vt2ozAyLRZv1M13yJ3a+oPUZlX966uOoIxYV + PAFZkCRl77wiEpH0iDWoyPhUU+oTwJFSryiywy05pxBYQTqqoJODElS3VXqXC42O7CyTglpLMlmo + 00O/nM5mxCKKpgQSnqyBbnpXGaXjpEBmKIEFrMhTOxLAacnDClaM3m6UbLO87RScLNecSaBKs4RS + IQJhCJGAo+Y5A+78L6OkfN0yW1MiJIesU5kzgZSEtGgLS8Xl6a15EhMeS4tIozEdChYVjPp5UnAq + 7U6zE0TFMOutryQDk7/51rYf9s6crqDQ3PhwOg0RiIBTDLnUFstYGOmQdqrV+W4f5QJ1+YZFfbBu + /aSqVaKiki0V5Jzvc9pPQ3RIGdH7Vt0+h9PL5c89+CAWNZCxqMFAFuRMXITVXJzNpYpkNK1OzlUu + L+BDJedlQoJcDIjlTXhWySogkj07MIsKL3ehAgq/+tDDyOmH3nCXkF9sNsNmvVO5odtsfxtbdKCA + KIGgx+ljqsAgPVR22CuBco6x/my/aeHbRfVzD34UtcOi6lARuE/qAO8Qq1qRctf5qhkN39ObKjBA + eFyqe1jMI0pgIBMyEYOh0LmlKXiy+ephFzt0Ws+VPZ/idnJlTEZyBxQle94yBLMCIKc8RwsZi8Gl + jR0qKg+P+KWSKvlZTcIDzoUs2moKlX9m/6DZPZHScLbbnFgtse6C+gqpzUOfh27SuPspS/hcges0 + MHDQdQCyIgI7VX2+ax0oQ88eHBCwjH7dpwYAdAGX0gbQGND3abUIja/PrfvTjLMnTv7CQx+DZ0SP + qur7FGIlEEfj208KWyZkhhAyyE/ZtjnDKZhUi51VCb0SABYEaN4KZ8UG/YJMonNTm4+p5TkefwWJ + GUGn+gKgQhA3+Zbd9O5CkFLOreNlOgwts9P6KMRlZgIBINB5Ajaio2I583SQqQeVsVjGSgKESaeW + TwBJhgiYt45W6soxoFLKzeS7QarFSbj0Sw8//B23f/Urrzu53jt7I7Pbznh+SeY+zYpMKoTMcAIC + csaJGgctzmZQVZ1OKV938qcfuBeLHVQVhgBiiJB3qWtjVWv5XpA4E5ehSxlZxmgoh9dorM82JQad + S4P4+mzqqNrhneWTm/O/8MC92K2xWJ7PwyJWqsy5nDhCvYBobHbOpxxTPtks4tD1Wq4myTTB8UIC + pFFbW0znkzScRqH45dfPfFlFkbjMXuC0XHfOUyxzqqTwIqwyWsXHXiCgaVoyHpXzOFmC00t1gCJg + z4cvIVK4Z2WPL01rDtFzLyvNrDicLzPeAgokgKW07SMx32Gxg6r/5Yc+/kNveLPrhi+c+cKNLqr0 + TnXrZYeWd5kmXvNswAkGYFm5zUBnlPaq1bmq+cDD98MDTKgXIELOrnbopnBz3CFegKpZ9f0rFotN + d+5gk2uPYcgBVAZ+JQCeVKaZ2LGhrVFymzVk6Zue9NywCcvFRuWZnOE9lqvyrpu+DbE+bIEXOS+7 + yXtJptnaEeiCl4gAjsa2reRRrRD3Pr8I9cH+zT6uU4qMHgAQRJwwykSFWEnmfnS5JSKQIkyfDqT8 + OWHodQAcULu6y3m3aXLf/vDdd//ipz/3vM/eMAzDMAzDMAzDMAzDMAzDMC6X6faKc5Sz3nnnnavV + 6uzZs3WMDPLeuya2B+suDWV3m4qAqanqPg1ExMxEJMzjJriuu+2mW77tv/gv/5u/9tf39vY+9KEP + /ct/9a/+/R/84WOf+tQgGeWWkM43BA+3xpWdXMxczNaz3/rZyNFbVgpVGW/dOgUDCtx43fVvf/vb + v+2/+mv/6Td/MzMH5yGyiBVUh653xJ7d3rnzq9WqlJ+IysYKF7zmK7vqpuu6xWKhqjlnZobq+mB/ + sVququbv/p3//r/79m//gz/6o//j//wX//bf/7sz5/amolDZiFF2hYjK7LSe19+Ni04UzHzkBqGo + 6nwDXD3ATKoq00It7+irXvmqd7zjHd/4V/6Tt73tba+49SuCc5KSZ879MBBFH1R1vV6TKHnXt12o + YtmiwsSqOgypXjR5eD67UQzDMAzDMAzDMAzDMAzDMAzDMK5d5kyPdV2nlETkvvvu+9Ef/fG+B4By + E+qCu2AXhYhERETKHbdyI8ZSchmGYRiGYRiGYRiGYRjGJTDnh2EYhmEYhmEYhmEYhnFNklIKIXRd + V1XVarUCUFIPmObW2KbYQIdhKH7lsloRABGdPXv2uuuuc84RUdFdF1P1Rdl2WmNLzKyqJ0+ePHPm + TM65+EdzzmUJowGg+KebpikPyvrO52143Ww25TEzW2c3DOOlpaxQL8vci8q6qqqu68pQUkTUJeI5 + 55xzxUv9/N6o7/umaZ555pm6rsv7FmE2Ec0DXHkjIiqTojJBKi970c7ZMAzDMAzjywYCPLFkTUPv + Y9UzNkkaz85XYEY4+JlP3/9jd9z5irPgLk1yOC7iLsyiPhSbbHEbs5sUsKIgaNFO9jS+YFL2krCP + VZU4PpnTuVt2f+Xee7DbIES4ZZ8osPqIBHHVJPfzAHGGFB+lA9yVd7AVFet6f39Z7Qa4IWFgECES + XFjgYA+r+ucf/MjPfuM3glN3brMkgqpMQr7pSxNyW/rVIxtGST3SqKkDegcATQbgFn7x+SF/ZhE+ + 8MgpVBXA4JWT+ZjgymXShJ6YIcVohsScMzsR1XKlCGCQSLEhTieVic4N7Wnm7tbrfvEjH0KIWCzg + HIjbzME76jPHqF42QHROEpoAbOCioN8Hkqtj7oe9IXsGqTqFAwKQgQMHBS0zPFgBgbiMTKNfk45j + YlZoxqhuZEB4rCUSXmYKSB5QRQf0ToS06M4TM0PZiZBgdFEfKqcVrGCZpYeAgIRYRj2kzJa40cKI + YttlLupGygC8wqkCkokV3LNkqFN4BQFBwdklcqOK+ajWvJQSNB2SABIhVRKGeB2T7WaCMAA0iVy5 + akBLc0cb29ixJMYMeCgBGdkBVQZyMbxSAgtJUISMCsCQTzcZnF6A+PWLc6YK333fPYB/z+133rDp + SPtOelfDs5N19sACqpAekibFMRGf7fYdsHSOIX3Wbt3W2xZkVSBPV1zO55YJq4U/f5AqRkuyH6vm + 9V/zc7/7O9hdIFTCY8tAEIUIlJFH3XzRanowgVRAWVkEUDgCOxzfeQnAlUgggE8EITDgAO0HH51C + MpTIK5A6Cs7jJEO69z32ENabv3PnXbetds88/YVbvdOcneKorFfd8VMiOweFcEYnaQ3U9c5nu3a4 + 6cbPBfdr992LpkEI6MCQEDk4IoUqlJSgvvLQtOkQ6qiH8l0pYlWPor8VkAAJyEBSSCZkRWbNlFXV + lQqdmlnxymNL6YpJtjnZrkcZ8Jw9ee4LBD2UAW/Zl0nJKTslByWk4rrOLFnQe9d7D5dBApRwMV7W + EiX4+Ff45YXO/WL0oQJQIIZwfhiCi+zqM5t1OLHYz0NmjavF/vmDV4blQX9wEm4B9J7UoRNlhVOE + MqKABUgEeMokm15uaqIOqU2y7loFBmjlODCxIvUpAMvl8vTBQYMMYOXQD/DAkt1T670zdf0/PvEQ + 6hpwqFdgnxMQYw/4Iut0FRRO4MYrKg7CUKDCOM5Szz7BRQwQJCATWkdO0QhYXDcFc4WMg8HxI5sC + AwiA0tjcSRGLzRiagURZCRlwioWiSQNYekKAEmlJqy4M1XE4zijmYQ8S6OjfzUQ66d9Ji/J+KgAB + 49uNCKDFmD0103KZCPACDyBDQWM0BIScIul0LokYzELMmDrM+H7iRhtzUQyzAh3gCY4FwjRo5WPX + IgZPN5787aceR7f50Ttet3P2oDoahZ7t351hJQJ7EGVNAqWswEBjM+taaFiej/7zVfW+j92Pukbl + tarO98MJzxgAz6ChqcKQOvWNZwZRJoayAp1Hr/ByxLs8XXooOyxWnz3Y39Q41+z+2sfvBxOCh69A + buiG3cVO2+7X9RLMAPqsLhCImLDwDhzysGkVHsjAQKoEhRLgVUeDMeCQy8XKGEMLHafdCUGISBFV + HaAK1fH6eqhABoYAQdVnVMCeyhcaD5fgBEQg2T6UKvI41hFKHSly+dXYllmAMu8of7l1W4uccmkV + c8Mrf0NKDvAAl9PUaYjTKe4gb8Vb7v3wXPG2tP1Zzqs8xS5ARYgDyGER3nfqPhwc/NQb33r+7Nkl + IQrmgW/rI0Gx24sCBOJpuNglfz7Tk008u7v7gfsfQIgIEd7By3rovHfsvUC0pkoJA450tmez1bbH + Gch6QBKV4ZnNwXUOADSBiMrdQlYWQIhATCqsc+VfFnMAv/C2LoGUdmI8028SsNjdefpgnxeL933s + AYRF9g4qqkMdvSAlpchuPASXWDqrz6/xwe4qULoKje1i9KUfqtenhECEYm1vlLRVOln/8mP3/8xt + X71cb5YAAz2BFY2AgAGcSRIDYK/Hm8PJFC0BHgjCcAIWKLC7qA/WvbrY531pN0vOy2HY+txjGIZh + GIZhGIZhGIZhGIZhGFccVSXg697y1na9vuHGG7v1utusJQ+qWtd1HRrJOaXEDqLStuumadbrdYLG + GKHa9S0zR+/zMATnKOtus/ymv/xXvvkbv+nMubOf/uxn//hP/+xD99zzH/7oDx97/PEMVHUUkb5P + ZTOdqm5n51d9zi/Jy6+Y2TmX81C+rfeOGfQ1r33dX/qL/9E3f+M3ff3Xfd3J3RO5H4Zh8I6q4FNK + eUjOuXJHi4h2d3eZOaU0DAOrC1V07NMwjEsdytqeK3AzZrFquq4FSd3U/aat69qBZMhgIsLJ1epb + v+mb/rO/+q1t193/sQf+9J57/ugP//hTn3ri1KlTm26jgGNXuVD2BipUtm5eMYiIIEfu947ualCG + AgiEW2+55VW3vfL2229/85vffOcdd7z1a9/iiZ3nolUoi0ccUeoHZnjvAE3DQA6+CswcKi8imiX1 + gwZf17VA26H1xGMpcHjT0DAMwzAMwzAMwzAMwzAMwzAM40uYGGO5w5JSIqL77rvvXe961zAgBDek + XDV1u9lA4Zwrt8Oe6zghhJLyK6VUknF1XVfX9VU8FcMwDMMwDMMwDMMwDMO4xrBc9oZhGIZhGIZh + GIZhGMY1ifdeVWOMJb1C0Tqau9G4gKKjLsrPruuapgHQ932McbVatW0bQihNSORSCbLLQYZhAFBV + Vdu288H39/fruh6GoayDZL7i+qhrCCJi5lLDpZJzzmNKjmMy/6GIlDwp5cGVKLZhGMYXhZlzzt77 + EEIZQco6eFUtS9jLy4go51xc18diXlsPQFXLMCQi5fnitM45l1GslATTaDVPkGxeZBiGYRiG8bwh + gIhcrMBoU668kwTnoRwoBDT1ez/+sb//6rtvdLw3aEVUDxuvgEpJHjkKewlCyoCqzDrS0ZN2lEyU + yXXOHwR/TrUPeN8jpxAEO41qFl8LmAMI1PYbH8N4gEMLJeNQS3kVPGys0OVyF4kBOBo1fEngGGga + UAbqn/y3v4+9/Z9681sXewcLaMjqFE6hulXUSZDHpe4ISocGygwMjHWAwK2dE4obF8428QMP34+m + gq/gIkqtE1RBDiDXy8ax5yLrBAQYiDMoKIfZr6YQhTAl4kxITJ3za+83q8V77/0IqogqwLnEDBcE + nj1yRgxVTq36UWLoHNBNmu4YofJMrDc1dhdEORWttRfEjEzYq6CgVY+QASAzMmtiyQwFWMF6vK84 + SIsZV5TQsx/YkfIqaUgCHdTzBnxQxTNVBe/hxhNn559uGiaPrExUhIKlDE68kIjrCOLEC0gYmUY/ + bszF7ClFxa0EEgbICYNEaQCJE4Z6IVIgOQjAUFYJAlKGkhIP5JWEIHMvASWAIdXTTQXPiqIbZfhw + tqo/l92+E9BY/swQYlJUiZxCCInROVaCE3gpKt/iw77cmnQqXhJBMrEQhJgVpF7hOucB8dlFEkrY + VNWZOiD40Q55Zdh4j6ZCtfylTzyMLv3IG14bs0ZIlfOOp0WCm2XGh85kqZzPOSFLUYV6xiBHwgCP + 9lLq4dqG92UA1F/XPNPlM/3wyw8/hBCxu0QdyqfZWOyXKJbJidlnWaywChAEhzrIFwZjVNKO0nUf + AiCDZiaXRZkpVKRAIu8Bch4x/OonP4F28+N3v/nJzWaZhyDqVErvY4WfKmE76Ao9l0V+VJ5KViUo + O/VxP8vnoO3NN7z3wx/CbgPv4SMECHXA2H6FwH62SzOIYu2zwk3VRZikqHMFEhD86SY4NOcG55z2 + mgfnFJ6UXNEVa+kdgHoU8SdUQSAtInfSQ7+oEgRQngySiiAAIGAlAEIKr8KKTJyJMxHAIXtCgusz + BoGCfVI+iPF0XSE40EUvKb8Il/rlQNEVE4tSGbj3hyEwJ8Ha0cHJEz/z53+KsAABkn/k69/+2Nn1 + ji4yu5y7jpJAnCMv6glei7ETxbO7HlIMtAo4u+kXPGo+IwGElEUzPI9ZpvcO1o79vip516eBPLtQ + PZ7yzXe+8Qd/73exjGhqoFJ4UjiHtOU1BwuUR48oAWAaxeUyXiDnn1o0zBykJyQh7h0SsVNa9nCC + 3nHisXvzaPguGbiPET8BCEhRBhMliBMEEVYAnAm9K7nIxYs0SU5XzaGw3bnPL5oEEqeqGjOXP8lE + QhGAlx6k5bhKBCgpjb27jOZUlKSlQoujGQRVQqYyBwMrWMCAk9G/vV14JQg7RSIkABnh6aYBOyhN + BvhybcHg7eTg81EOn3OkGbFGL1CH2teg9I8eevDHbn/9Qd/HjJClyuoFTqUoji8w+NIUIQhwIKgm + UBlzOzCR31e0i+WTjv/xJz6ORY1YdQQAdaxK7AED5JBT8AEAVMo5JuY0NZ0SK6a5KGWgZdd5Xofq + mdwPt9z4/vs+isYjRkAQKiCocgg1VOpYIWdwgMKFUuvIRblM9IXFghlJMQ2mEIIXVAmMMmGEFwDI + hMTj3IPlGDd4BBAmEq6ygDSxCMEJGGBhIXQeQhIzvEiV5SD403WDEMagFfyZqorU1L5PXKkG0gCo + uEDITsYxqNQSKbHO11qnehMhsHJpkYDyOJ9hATKVpgInNE/2Eo/n7gSEzEhCMsfb/RAuGW8Pgzxt + /6Mg7wG0Xa6biEio/M88eP8P331X3e5VOYWMmBEFoTS56XAZLIRMVCZymfhAOJ088T/d9+dYrVBV + YAfyIAwgHxqGbHIXXCMkSo7iBYWah80LCl8+9bDLAkk/eOcbvlJlx5NkbRzOZwRmZME4g2B6YR9b + SsdhhUz9WwFhPdd3O1UciJ4+vxdPnHwqKOoKzmWAiZWYoQwQ+yxwNJ+OENhB9EtjpLvSEADmrakN + xv8U6TvTJGUfr3ACVQGOEMOZVbNKqU49iXgACgEzuIjkAYDkSIi8HA5fL9vN0jGGdsgQ1Vy5kHPH + GUtVDB1SgKsOg++FH6PlS2TOYxiGYRiGYRiGYRiGYRiGYVx1yp1YN68jAEgRGa/5qld7dntnz0Yf + YoyOWURSSmW/wAiR975tW+89e1f2T4UQiCj1Q3BRsoDARGkYkNLOcnXH61//2q/+6r/1N/7brHji + M4//2T0f/vOP3PPJT37qzJkzp06dWq+16weoQrXcOdq+4XNhyQmiYJWTq91XvuK2r7njdV//lq+/ + 4w2vf/vbvsERPLMj9sRD1xOwWi6Hoe/brmzvgig7p6pd14UQ2raNMdaLJucsqsMw0Hgn7grWfNu2 + zNwsFnt7e4vFomtbJl+2nknK5JiB1PbRube++WvfeNcbv+c73wWg67rTp09/+jOfefzxxz/3uc/t + 7e1tNpthGNZdu16vN5tN13UpJWSJMVYxNk1T1/VyubzuxO4NN9y0s7N89atefeNN13/FLbfu7OyU + DSCscM7llDw7ZlLVjHEbiIrE6EVkyElVQSi7P9brddM07WZTN01d193Qt20rIj6Gcn/NMAzDMAzD + MAzDMAzDMAzDMAzjywcRERFmT+SeeOKJ7/7e79vfz86hpPBqN5tYVX3b9X3PzCUJ2EWPU5zWJdth + jHH+aRiGYRiGYRiGYRiGYRjGc/F89CGGYRiGYRiGYRiGYRjGc7Le4Jlnfu8//gt3nX76pk2rICbS + JMxjhmaoA+BUlBSg55YoPCdlD/+YfV0ZJIB+flHfd8MN3/yHf4zrb0DTQHsASjED5jM0jGezLQF1 + zgGYtdbMXB4zc5GGXkLDTETOuZJGpAhNC+WARbpcljw654rd+Wqc3lVnPrWcc6m38uRxtdNzVZfU + IeXxF5WOGy8hc2cZhqF4dmeRPICU0rZq96Us6DG5oLceq+eO6Wa+JG6+HLce5i58jVZCiWAldpUY + nnO+IHrPj59fPC9jhIh471NK5eAiEmMchqG8dam6uTLLC44bTg3DMIwryvZwcIkPC4VrfXw0jC9b + Du1cR1N5ZsmeFUMLAdqEXr/jTV97wzDcdu789Zra3C1CzEM/jE5WpDwep7iB54MLQRUV4F3s8tAj + YOfkp/p27/rlB/78Huw2CB4QhABlwIG8HpZHULS6W/6tYuKjq2neOnTabT+cXNKaIYIkyIKu++HX + vu76rncphZR3q6rfHCxC7IcugCYpNjJQ9I2saASewjlNQ70443jfh/0QPvjAx+AjokP08ATmi1rC + BWAk0oxuwEH79+646+a9zW632WGXpGO4jLyg+qy26hdnCHt13Kur93/0XjQNQoD3YILjUQS8da7l + 29zJIz6dbLkKmiAZWZABFShAOv624Gbx7FZN0UXdmMdCgGKUo/F/80DDxXPq4Rw8a3GfS0afkDOe + rS0t3zOPXz2PRTzSB3QSPB6Webv0s/htenJqDVM90OS72/qSZ85WqwTnEQNcsbULJCMnJCl25a1j + lvPeepdnd9Rj16ZsWewmXTzRVFoFBMoggvdwDo7BXLY4Oy26Yi7XHL1g/9yP3/H6W86dPjGUUpam + cLk8vrP4Hz77aax20PVQQerR9++68+6TSZuze6+Idb0ZFG0T6vXQKm9d8EMB53hlmJAEdazWfRfg + HQVVeobp0zvVuUWtdfjNP/kTVAHk4AJ8gHOYP3vObkjI2Lx0qpmpknDYI8YiPN/vPQ+9mEdakj4r + vAA6WbQdQJqQM1JCP2AY3n3HG04K6rZtUtrxPKw3C4bTsYrKcYQOsyQ7hz7BAY5ALqzT0Ph6SDlB + fLU6R7offXjVbT/5734fwaEK8BHOaTnNScs+tkDaPhHoHCKm12QIAAeeIoYglYiRit8WwFj5Op/z + Bc1mli5e8PNoBc08u9EdqcpJyVqsxLN1GwwmOA/HYAfiCy7AC9OPvjxQZFHnEs7t/cNX33HL2T11 + STUDYPYq8Qur5idO3YudBRABBxqQB2RFUmzW7/6Gr3ddV0uu+uGkC7y3vxTUAEMjoKAOOuc/2HLC + wzkMpb0xEbn9nBpXreHW9epMGtzO6jzJ+++/Fx6IAcEh+kwMOJ561tQ7RhX19hkVGyswStBBQBb0 + w9TAthpqcSnrdrt9VgUdjzkabwf8ibmYZWR0DlWTHTsIckbfI+t4AJkPRtOfyThQYspuPv68dEm2 + QuHcRQ5/0kX+YruVO4/Kgf3h01OUIxx2hzKel/OjMkBMb1d+NZ5g7jHsI6XvvePNN7T5xPn2JnKa + D5bwPYXwUN4AACAASURBVFLCqP0mBSt8cddDFfAg5tjJMMDl1fJz7bpbNE+R/vYnH0XwqCKCz3Ba + CjqVKk8tg0qEGRL21z9819037+/v9OtKhDEKY5MgcOxEet88xbq54cQv3X8vqoDg4D3YQf1WqJ0q + qTiMlfEsITeyoN8g5/Habdf/4ZU9GoWex/yHtsTF8yRqvriHjXyaixLDO3gP76CMnJAGSIYIiKFb + LW1uVxeZ4eCwUR3G3YvMPLaeo8OzPtLi9HAKdBnx9uJjykUQSBlTBuQDaPobd7xx1aVbOCzWw7Lt + Gy13cVSC34f0Ie47lbrar+Kv3ffgOPWdy4DtkVcACBgY3dh65OOGzAZrARNAUsaxIUEJ0R+0OP3U + L7/5TX5vr8oSHSTN4va5mnnqSse+A0g6lwoyyexLu3AKUUQHcrzp+fOL5U888QiWNWKjNBe+MH2k + GqvYNMbHYaq3C1vu4UdUOZwcCpAFolo5OtjH0P3E67/mK04/c2OGAwZwSw6klQhBBkKmUVh+XMon + 7kwsJKzbU6Ujje1M7R89sfjVU4+ivi4Re4JIhmcFlSPoOLD6C07KMAzDMF62XHxdx7M+JOk4YxcA + TKwiDDjg53/uZ9/57d/hVK+oVsowDMMwDMMwDMMwvkwgxx/60If+9b/5N+fOna+aShWqkodcVfG7 + vvM7b7nlFlJ4758rsf5x2b6vJdM9rbJfFQA5d/78+SeffPKzn/3s2bNn27Zdr9dF1TwMQ9lSV9d1 + 0zSLxaKu61tvvvnWW2+97bbbdnZ2SCGSyzcNqoe3S6+hLxC2izpX1PxgXifxvGHokXvZz/HWL5Ar + 7QK/9Ftjvssy/TcTMtH3vPv7/sX/9X+PPnaa1hQ9912/L42F06patv9cziuvQnmuEPNWpnmH7Pbm + pu3/2kYnwzBensyhab57UjY4l/+W384x7SUuq/G8uJw9XDM/9APf+/3f/7fLpS8pCy7/bw3DMAzD + MAzDMAzDuDqQcknDRURJ8vzJnbxTVSg/8cQTf/Nv/q3PPfX5PIAYl0hDuJ2zC9MX+zlnVU0pFfv1 + 9tfgV+cEDcMwDMMwDMMwDMMwDOOaw6xGhmEYhmEYhmEYhmEYhmF82UFE3vti5O26brFYzPsSy88i + HAVw6W2K85pFAHVdr9frEEIRo5afs9b3S3stIxGVMy1LOYvqu2ma4x5HVUvNl4OUPCmbzeZKlNl4 + 4ZR9vLPKupiti756s9k0TVO2fA/DEGO0dBXGy5y2beu6xpSVYA5r8wu6rosxzvlZjnv8Ety898Mw + YMqSUDJShRD6vgcw500oHaqMPmV9/PaAYhiGYRiGYVxVdEoNWWSo7BSirmZW1AEVfvvUQ+j6H3j1 + K1NYppZPp2G5WkgachqCD5kOk5CyEsCkUBIXw6Ztq1APCXmxcz5jaOLPf+JjCIxFBClCpcXsmBIg + 5DFPQg/d1dv64KvvYKOLPpxEfcTgaWFaiL/4yCl03Q+88U0xyVmVOpw4vVk3sXIqToVG/RiUkIkB + nE1KPvbV4oygXS1/9aP3oq7BjCoWo/AFYkQ9qqEjMERBBMfnkJdVIJV26Jtq0ekgoKf7QeJy3/P+ + snnfxz6GGOADmLWuBgAAzybHWf0yugn5wpMd3Y0e7F/OC/HGRsKMOrzUZbkMiOEYLiC+1CW5KFc0 + oZkyOCR4rRBUwA6h+o1TjyAl9P177nxjQD7BO9T3VYyac/Cc9XAf9rYS2VfV3nrj2WF1Yt115DyF + eIbwvlOnUAUwIXo4BhTOb7q+ceGIkpaA0Wl9VFOK7Rc8b5X1Nofha9t2ua1W337OT+JYJU/eO+8Q + PFL44COPYrOB4O+96Y2fXx9cf/ONz+yfpzQs4d14UrJ98YhIgyqzsutFc2woxE3XL5rdvaH/uY8/ + AE9Y1AgeMSgHAetk3KVn18bWiTwrJMJdELeJERgBQHX86rq6XNQCfK3DOkgKdfz79z/wk7e/drGz + PGjXDsTsZHCPbTY4sZuriiQwo21zvdiBKkSwrD/48Y9DgJSxaX/wLW+pd/0OE3Xt0vm86SBp6/tP + uSBYUCRlFuJeNMWaQtxLeo7xTx57DJrhBLsLOAJzdmU4YZ5HIpKpdzxruC/D7nY/BeAYTfXybGDj + WThG8/Iej8bK3IpyW/Ouw0gFPqx2Am2foCMEQk6//tDDGPIP3P6GVtF0dC71xEFIdExBzqRgB1K4 + wO3QO19vcqbVzrmcUhN+8ZHHECJCQHBwDo57hRI5TL5wYqXRQ62ABwMZkhBoHfAUZWmaut00zidI + lzPFinzVu3ia8N6HHkTlsfCoYg+XwAx4guej7XdsZhePzHCMZvki1PmVgwDv8TL/ZvVyxpSLwGBG + 9EAAQjp/9p8/+hh6wXoDwY+98c18cLCsV0NKPbAH+dVTD8F5MME7LJfwF+mGNB/5SFMXKtNtnX5L + gsllnxSBIUCGOLAkAfQfvOnuk2f3dpbVwUHniZXFO0eimsd5C6mUDiZlnnqc+VVp8+VPWMfPAgoG + RBQVI2VssuRY7772dtQ1quqCU3v2CZvT+njQBf8++/mt+iQBMgiAQ7OC5s973FxX6aBzAANKEIJC + yqcr93wn23nSaJe2sXUY2b66XuTEkLBeI17nApCUvety8uP4C4IU3b1eU3IOwzAMwzAMwzAMwzAM + wzAM4yWn7/udnZ23vfXr7r7zrrqumbnve+dc3TRnTp++7vrr+64TkZRSSanvnPviB70k299ju/Gr + 8flLbgxDu6rr173mNa999auZuexESCnFGMumLUz7FwA4otQP3ntm1iwi4ogAVVG+NrfC6cVKPdeY + A16MBUBTbV+xGwp2q8K4yhBR2dFZ/js7PwAsl8uDg4PyvHOubCR8yQpqGIbxHJS5zayyLokCAMw7 + oDFlDEgpEdELn48ZhmEYhmEYhmEYhmEYLwQRWa1WzzzzjPc+VGNeL+dcn5Nk7O2df897fvjTn/k8 + psxdz3Uc59y20BpAVVVd15XH5TuikisPU24xwzAMwzAMwzAMwzAMwzAuyss7SZNhGIZhGIZhGIZh + GIZhGMaVYRgG5xwzi0jf98xc9qOW5+elh2W14iXM1sVjXQSlxd1bXlyen/+wHORLdUVj2dNbKqFs + 5X1+uQmKybVclJL1oPhfjZcnpdcAiDH2fV9U8XMzKFLe4rQ2Ha/x8qeu65zzHH9ijLOIukTvqqpK + Tpay/L3EqMsnpVTXddu2RDQLrYshW0QwrYAvC+jLsvjtoDq/wDAMwzAMw7iqPOvLAFHJyIHdqFFu + O/iALO9/8jHkBNF3ve1t/emzN6yu9ylhyCVhqBIrIMRFxQogd/3i1htO5+7XH7gf3iF6sAMIrkp9 + 5+NSOoFj8iQholhUr+6pv5gEQnSoV+//1CegipSxbt/9tm+IfQopM7Sovovz2yk6j/VO/OCH/wxM + cAHOwUUACAGTtRFjdlEZtdN0aI1lQMHkBOoRhnPLph3Overm6/pz50EiYbFG7pfNb977YTgPIsQA + F8Auk8/TEWjLaX1UmW0YVx6hLKjYQwEWDB0WNdIGu4tfevLjUMIg6OXdd7/pRlTnn3kmrBolkMIJ + CMIKIShhLYKbd/ck/dZHP4KmAgGOwQ45QBSB0a6xsyoSyljVekGcURBduby7zxcFZDwVJQxABjvH + zjlIh2YXB5tf+NQnIBlDh+iQ5HvvuHsxaAkvrMIKhgAQdl1OWDRnh1aXzW/d+xEwg4G+Q9NAMqoa + zu2v24biEcEwRn+4AIDwi+P2Nq4WhDQkFyrEjEX62ac/g9zDA8wQhjKcE0iCBFYFhXrRK1jhHfpe + YrOLnADCYvErj30S7RrMIEAy2H3nW9/S7G+qfPH21kvWpj7a3hgU0HZYreAYIhpcysoKd+iOLXBp + ddbYriXUQxiS0Ch07/2fexQ5YeiR9fvuelOTNOYiXmUhZAaAdNDu3HLTU0P36w/eh8iIDAjIIwOh + wmaAjwA7hRJShmceQxFQHklRZTtCjBA5t1ysNXWK669fPfrU04vFgqu46eXEK2/76f/vd7BopomW + hxIT/KQx7hkMOGty1xIsKfrVLRCFb3Fdg6F97+Onxhz6qlCC8xCBq0AMJvAx57eKefADA/BEqTQS + JtDYAp1oqpmQB6dy0+6i3WyqyJJlEBAyyYszqxaCEEgRZbQgk3ImoNjkBTXReeAL1y1++vd/Zyqx + zedfOghwlLvBadBhIEe/ef8DP3vbq2/woUtDsVATkAm5uBmucOhxijoTUgYExHCk/RCr0KXsnJs+ + YB6VRNgYbBiGYRiGYRiGYRiGYRiGYVwGdV33fZ9zrqqKmcuGGudcu9k0TXPu7FnnXF3XZc/Upfep + vSiEEMreh5SSiEhKADTnPAxQdUTlt2XbgoiU/RTDMIhI2W0373QwDOPLinkD7LwN1jl3cHBQ9liV + /VAhBNsqaBjGy5CUknNuVlnnnJ1zZYfmtvGaiCyCGYZhGIZhGIZhGIZhvBxIKZWUXN77Iady+2wY + Bh+qLnV/+/vffc+HP0oEVYhg2kJ3EeZEXuVbIO99Sd5VbpPFGMs3RSW32JdqEkjDMAzDMAzDMAzD + MAzDeFGwlVWGYRiGYRiGYRiGYRiGYXzZISJl3+m8MVVEynLDsse+rFMsNtNLuEuZuShI5zWLzFwW + R5YNrkVHmlIqGtSrc3ZXnyKxLic+121xVB/rOOX15ULMdVuc2S96mY0XTlH/9n3f9z2mdg6gdKjy + gtIqvPd938cYX9oCG8YlKDEcQDFJl9FhfqZt26ZpyisvPS48F865tm3nPy99p2R7KW9dIl7pMsUT + z5NZZM72YmlfDMMwDMMwriYX3ZLIxArOEElDBKOucLDBokHuUFdYb37jI/cCHiLIMjrkAJT9jcTj + cUcrKoMynGJVD8QZHmDN2tSromUGY0hoVapwXOncywzyWO4A0KEnVUQg1h988EFkhQoEoMmPV2x5 + DqgzvAIEH0AOonBVJ9nR+LmSgCNbT3U6iHL5nYLJK1D97w/cj6wYBuQE78ECR3CKEMAOzg2DEhOR + z5PQ+ohEnCw3q3GVIZD3DAi0V2JC3ay7ja9XCQonhKGpCGcPPvjgKWRAtvyQCqjMhwEzdEBTwTE0 + aQyJnFPlRFCCJKxWIFI4urZEj+UcR7kgC1iABHaNdwCdrKAARHVBDGzaXz/1CAYZA6+WBwoAovAM + x2DAe6QWiwYQrBbd0IWwErgeEpcnFN4VyeKcUJpQdOAwSea1hohUwWdwl1J18iQUOScXvIiQgojz + 0HPVOGSerrkS4JAAt2i6jBijCoYuV1WFpoLqmBTB0W89+BC6Aeli7U0BR2N7cw65w6KBKLzDMgCs + 4FYkwIHBxJAj7Q2E8lUUm1Xz2qIDYhQZ6MT1gkzoWSt0/T955BEkQRLkMiGcQokAzECCz1gtenAC + A+wDSZ/r5QoZOoAcAAQH6IUxqGTmcERgRl3/8/vuRUroWvQt6gpCKL/yDhVDFDGgCKyJHdhNE9UM + 5CKivfqVZjxfmCknOEeoGwUoBkDS0PsQoApiKDQJwaHcrDx2NNlqbTSOwgSQJMc+Zc2kibEkj/WA + bohZnt7f1MDOIm76blUFEl1Lql6k85USG5W9jm21SLczQYF9pW61/On7PozAqKuulyraiP0SwqLZ + NZUk5eAgFYa0CfF0u79iOIVTUSATAJRJl17J0c4Jqj696843/8anP5fgggiFkFIK3o+DrzBYynzP + wqBhGIZhGIZhGIZhGIZhGIZx+RQ/dBFFF6ViCKFYpZfLZfFGi0h52VXYFFDS9AMoP51zzrkY47xt + YS5t2cLQdR0zbxesbAjia3zlmmEYl4+qOudKTMDW5ql501PZAAVARL6E98kahnHtMs9kyp70sn9z + /lnmYGUuVPRFZjAyDMMwDMMwDMMwDMN4aVksFvv7+zFGVQ0hiEjOOYSw6bp3vvOd99zz5yVBA6Al + f91zHcc5V1TWOefZaY3p+6I5gWH5ZtvyGRqGYRiGYRiGYRiGYRjGJbDc94ZhGIZhGIZhGIZhGIZh + fNkxp9UoCw3LpvqiF5333ldV1XXdpY9TsnsAKHvyy+79cpB5R2vXdVX1YuVpf5kyDENJcVJWhZYa + OK7TGkAIIedcbLLDMJRqtDWgL1uIqFzlC7Zzz6730h0wCbBf2tIaxqUpuVdKq57DDjOXTEzb6ZlC + CCmlebC4TMowEUJg5q7r5pwIZRwpPUVV1+v1YrEoTuucc9u2y+WyvPvVSV9lGIZhGIZhFAiTaHn6 + f/khUCm6WB8USH0fVgsMCX4XKaNpRt9qSogCZrAeOY4CWuTWBBV4D4aCfFFeCxwAQb+RWHN5VeNZ + 9NqXWCq3ba7rGkmhCg9oj8qNOupydsTTAwCCnOAjAOkHjlVOUvmIqUIPGWumqEMZhzpGJsB5ggA0 + oFoAQM4IDgwQQ1SVCCEEhjJEnWy5fceSiGlEjasMK5CVBQAoEgh9L03d9Fk8aeBAqKCCRQQ7pAHs + ptapwFERuwp4iZwBRmgIbvqsmwBFiALN4M3Q16EWkepiaYhffi1fxnMkEJi3zL9DAnkMG61rp8SD + ehVUTYWUEPRIzdAUMboOvoJjwMEvxvgsEl2lAs/MIAalPqsozR/JaZRqfwnE5i9DmJGGwfvK+TgM + 8AFEvtugqhiErOAqUoZnB2RVkkwxUNvmGB0EkUEKIlRVDUAHIc/Fp5rXG1dXqALiZbS3sIQynCjJ + 6c35VbObIJ4bARxBEhxNjZuhjAHjvCSYX/PaomYdwDEMAmUGgiNxdQUFRCEC3YpiYDBAND4AApiL + Gl2pij5leAdyICANYC6tQRQoduF5upKEvXcQQQZ8QFVBdyAZ6gCFd5CEEDP4oNssqpUrcuKpJEQS + wRbirjEUANzsRx8UgUHsHY9jVsaQU4xxbnHHur6KUWVd7nyO+mFlIDEhbfZ9tfJELZhyQju85/bX + vYZc4ynGuFl3CuR+UMVOjJfIIHMsWCHgTAxFmeE4TQD2FaGqeopfCBVCxGql5DnYqP1SooA6N4U8 + AhGyngvxxGqn6/fqJEEhgBIL4FS++BFfGE7hNt1tJ25AHkJoIA7DQIEhW61E+HDEVSmf1w3DMAzD + MAzDMAzDMAzDMAzj0pT9AmXBf1FK55z7vm+apm3bYRiYeRj+f/bu5UeWZTsP+7dWRGRWdfd5XV6B + sjUyQEswKA1saGiPbOhvkAEbAiFLpiiaMAfSJS3Y8gv0gAYMS/YlJM1kQ+ZEE480IK0HPLBAWaQE + iqQEChRFk5fivefcfc/p7qrMjFjLg1WZnf3Y++zus3t3197fD3X61K7KysrKjIqMysyIb9psNq21 + dee1R5L10J0nJXV3ONpUJ7NSShy1d3OHi4hAAGgpAGLBIn4bq853RPQ+iLDqWmt0qiqlRI/R6HUb + /4wpmXlPRM9TtGFUtcwNm3WytapatIXcH9A5lIiIiIiIiIiI3rhqU+6SZtntLvt+W2vtT7bn5+f/ + 1X/5X//9v/8LrUEVZq6a54M8+zvnE4MWAjg7Ozs/P3f3ZTDJ/X6/2WwA1FojG5vj4BERERERERER + Eb0CLw8lIiIiIiIiIiKi91GMnB75u7XW6Esf8aIxjMgwDHFnCai+U3RwjSlzzjGHmFvMvO/7uLNk + YL97lqDWvu+X3rwPiF+dpsnMIlB8We2x9ugZihDrJdO67/vWWtzvui7ux3chpbTf331NMNEzERej + x/ArMU4BgNbaeu+wTPmwYQu6rpumaRgGACml2A2JSEppmqaY58nJyTAM8abufnp6ambrHRYRERER + PY25AagQgzW0BmlQJIXqdGgtJohCcxsnbDfYbsYkrZRaSi2lleKloDvcWs5TykMDoK3KeNmSXcVm + dScKxVQ9KxJQ5Lgz2BxogtQnAEiCWG+bvgqwSegP68RLqbkMuQypeOpRTqdJIMWkB3KSDBM4xCNT + 72rmHv8XP4R++uHZBq3IretxctJSQtdje3IxGtL2cu/IJ5K34whA4UBkWvvqJu/sYRx6zqKUu6EZ + TNAEnhWAj9YhicHOK5qilMEwbvqpy61kL7mV0kqpua+5xG3IuaU0lc67DpKm0VrkSKrux72LmGRH + 6ssGQD6WYUYF6ypAgDTfNhk+YbM5VKVJIUAFkPKhkulKi1sp3pXBDWdn6PtxNyApRH0wAKgqnhV5 + 3LuawJFz0i7fqIgVSFDl9bdHKJfitQJogiaQhL6HO1zgCYZDjLADquiKCLDdpKRICSLY74aYjztc + JQpka5ZOtoCi3F3eRvhd5U2B/MH2Y4NndM0thk5IaS7nCshhkdqcbE1HQ9CASdAEqrAJClTTilwl + 11Ra6b0r6MuhLdSnsaQh5z0Eopi0Xgy5Sjbx0QAgoQkGgwvMTBMc5nMtFCHXGneSOOCqKP3l2FD6 + CQllg9IjdSi996eOHiib/kODtnW4NgwONJNm4MCtR0fhzdpUpROvDYA3q8MEV0lqcAd24zB6M9x7 + 8zagAS5wOdw/7Bm95a6P9vM0DKiO/fT9o+FyZ9UvLock+PhkOzkA7N5QpjUAdSQ3B0xkbv7DBP1J + OVf7vT79D//4l7A9bVK++8XlK0/z0ttgcIdoFggMgtOzv/wrv/qZShW93sTSt9C5SQ2do+xHjANi + PKOSUkqJzToiIiIiIiIiIiIi+mr6vheRCH+N/lCllA8++CACFLfbbdd1qppSiiTFt7BIS0C1iET3 + H1UdxzFSt6P/wvJUhHBHAjcAVY3H38JyEtGzEvHV7n5xcRF9A919GIZxHMdxjFruHe4kS0RHbWlr + tdYiwTp6iY7jGH3/oyt0tMTiaj0iIiIiIiIiInpC0zTlWa315OTk4uLif/1ffuZv/s3/szWUksyg + ehjUMc5h3SnnHONARqZ1PGhmIrLdbkXk/Pw8pYQvG0ySiIiIiIiIiIiIOP4KERERERERERERvXem + aYp40YhenqYpLjcUkRcvXgBIKcWVjtGL9WXziY76S6xvzjkmdvePP/4YQPTezzkv2ajvpAh53W63 + 0ZXXzFJKDxtmRUR2u12sK1VtrT0gHpvejlrrEgMsIsMwdF0XT43jmFJS1bgaeL/fbzabJ11YotcS + tVnXdfv9/uTkJMo2VrHWfd9Hrf6AmS9p2cvYT6UUM4vQ9/iyTNPU972ZxbtM09Rai29WpMW/qU9K + RERERK92OBAgBiy3SDu2Ak0ogFYzTd1YW9luIlW1VYMinW7dDEDJZcnlcsCgDo2gyqQoWfui4+WQ + FX2X6vkIAIrmmMwms9IJxC7PP5cjD7I0oAKjoAmgaGLNm6tIlw4xig4YxJAdHZCALy7GJsh9NiCX + VKeGawdVYovoevUCS7Qe4MiOBDiwn1pFRt6MVVzyyekHDj3ZnrSKafTSZXMMtSLPAaJqUIMcohwj + tpZdVOmtcQF8ktSQrUmbrOaEOk2bTYbBzi+1z3BMu7HrDoVfV+nOGcjQDGQgNU9AER32+2Zeek0F + 5nDRsj1t0H2rF+MlgAY38+Mo566Q+TbHuMYHF0OGR/Wwe/EiWevEtE1R6zrUrqoLBbTve3cDvPvw + DII6TXKi0EN6sA3edQmCargcBl/eSQ9VjXisbRzHeiMAgAMjMLjXkqqgFExTrc0agAQI3GOaWlWa + pAo0DM32wHS5+97ULiHT5iRBKrRW30n2yUYT16JNrCV7WXnrus7d4BblrdUqJwoAw9RN2LbUO3qT + TUoKXO6nJdM6vpnLd5yOiANN0Tpv5gpsMvLkPdDO93muTgzqUU7EHIho3j7LcLGHoHS9Xexh6Dod + xkGAsU2SqsFKj2id2ioCVla3aawC1Akn25PaNJWNp67lPJWuQcVVBqSKzhGZ2RFXfHgxADM8IPeY + npDY5HvXybumG7hW6X2seymaNwVSHbXvUrXdttMsBh/v+xPDrt8OpcMd04g2YJogOOt7NPuf/71/ + 92utbgybvmyyZpHzy10CvvbBh29qp6mOYsgGARzqsVQCU3y+nz5P+p2zHh99AOnQ5KMPTrizfnKC + NLYJQGtVtaAJTrafbUpVUYcpXCCOdChYj3s+XYFPpOuGESlBxevgqmZ2OP8iiKamrKtXIiIiIiIi + IiIiIqLXECmwS1a0u4/jGN0BUkrR9SYeFJGl683jiZ4LkT4bRCSlVErpuq6UEksVuY/Re26JDYjX + xqseezmJ6PlIKUVvpgiCLaUsHQNLKfF4PBI9ap96eYmIbormls6ih3u0Z6KLaEwgItEueuLFJSIi + IiIiIiJ6722323EcLy4uUkq571588fn//r/9jW9+868BEME0teW8Vd9tXzEOZK01jlrHma84HxcH + iOJVZ2dnMT5eHO5+W5+PiIiIiIiIiIjo+LyzMSpERERERERERERELxOd50spAIZh2G63AMZxBHB2 + drbf76MTvoi8egyOmElcp9j3fUSTxmzPz883m42qRtb1O5xpDSA68UaH3vikrbXo6HtfywtjzceA + CG92aelNibz2SN4FoKpxRS+AlFJEucd3bbPZMI6Xnrm4Bj1yrEXko48+2u12mMcsABBDFQzDkFJ6 + 2OXpSxi2iEzTNAzDOI7unnOOiGt3j31K7DviUvhlLxNjRb2RD0tEREREr+MqKXn16zaJwiwBCVI0 + AyopDa3u3WqB9drEIHA0APOUSxbqHI8NA8ynEUC37SGAt/xBV61Wb8jQrMgGVLidnm5hDcecbB0H + RIrA4Q5oUU0y2nj1oQ4r2QCIIwGnp90wYTS4YHSkLrlcT1vE1UsBGKRdvdUhai85BOhLae4OpJJ2 + YxO/etvcSQMmR+pyBSapLob1u7geEiaJ3h6DwrwqTOFFFbCUxOsIMT3dABWoZRujG5vDrwWPOuAW + UfFZc9sNcNv0myQA0MxF0eAGMWhO+bQ7cSBDyrGktx+CBoF1kqvPq0AdVoF68uEppKLtNQEwhwFQ + 2BJOLQCaiSisAmhivimjYHCgAwDtpDY0hyR0236COYAbtZAz8/X4JGiSZEDzSVG3BTm3pBMwCWqW + YzQscQAAIABJREFUSTGlpAY0bwIkJBVvtZ5sT7ImAA6bbDJ4zgWAptzQGlqUTAfuLm/mIupW4W7q + vsmjYG9NUjlM25BEokRtt2XZ5UWmZgEKkHnB95Gx6mNCc0zwBnckgVl/sllaF3rVMgRgCW5oAPqT + HmLwSc86qLnXviuOVlJSwFDnQOKr006HmskBhzd0JdexxcHIqC6nhopDUDo8sq+Bhj6rAAa0CLc+ + lDJdzZSOgAM5JYM5sGuDAYPV1HUNqPAKAbS2WjQDnuJHzf2oXv2ouV40iiIn9OXQIhl2++/87rZN + G9VpmGo1zDXh9774/E0d3Y46UoFkEFgDmlgTNIFuSjs5+eYv/2MkQSpJRO3WMtNb5/CS+qlVTQkO + lA6if+VXf23UbEATmEBgySCP37gSN/dpY/4n/tAfRK1SShOIatK5hM7tPbn+TyIiIiIiIiIiIiKi + VzOzUkrOOfpART50pEpHp5taa6RZm9lbiIONLjyquo6vDkvQdfQCi0Dr1lr8092Xof+jfxwRvSfM + LHozmVnUV621qAfGcYwuUcsj7N9ERM9Q1FRLk8bdo7KKvpxLx2fMPUmJiIiIiIiIiOhpxUGbUkpE + UP/cz/3cT/3UT8dYX+6IQbdiHMhhHEopL+thGWMexjGfOMS9nLCLQ0PxbNd1MdLXW/uARERERERE + RERER4eHz4iIiIiIiIiI6Fi11qID4TiO0VM6ehs+9XLRcVgG1+j7Pu7ERYcR02tmUbqWTGVVjfuq + KiKRvuzuy2AiwzDEnbhWMnJP43LJCEmNZ6OHP+YhQpY06Lfzqb+iZVEjsTjur0O7o6/vcv9l84lV + hzm1Ou6vQ8TXa+zdTgR/B0RJXjb9cnXvMkFcyMvhKuhJRMmMqjjGWloXxf1+v9lsorhGnSMiUefE + g+s6bYlm/9KM9mUXgFV1F3Nbdi4RWb287/JCrNKvl91TPMJr4omIiIjemiX4WNdBVm4QwDVLWiYD + oKIt6QBMQJKInDRNAjcBDn9xIwfL4JCsQIXCoabSYMjqgMASUDAHpkaSqh9xkpYAPQyHFRurzXrV + ZU07YAKVw0cUVwhyAYAGQBFHXlQ8Aj8VcwCvAw5RdQCwayvJAEFWQJCitQ+clASbgyQzDmnhihqJ + 2sB0SCGN+c+HI4555dPRiSS/CNVLwOE7ooBqi5opAVFsgQ5o0Aaph6RJEznEusMNQCoFNoe1wzXB + 4AIVwOev0lU+ZXw7l9IuNx94PvxGz3OxqzsKwCEGGLIAFumxAlzVQMsxSzckcbEWaeFAVPBx5MAO + lb3NAdrmgEQOqD/r9UMvI47kh/2CiMAdMBGLvRAAcRWoijlUkGInIdCUFA4RRBpxmUsBDmUjih0E + CsTccLO8OQCXrC5egQqpQM4JDrQ5nRWAXLVAlteKA24J61h3OgICnIgADapAJLUqFIAB6pibiIda + GwLkQ8VTW5SAJHObFArLwLVy97L6R0xUAeSSYvKo9LvYcwAp5pGBfJhftMnqYRmQJFqidEwigTdB + HdKnrUNFc5ubsVE3lbSBmxwaCQq9R30SZSMa7yLIGrVa/EpyKMY6pVySN2So1MlHaYcYbJhHS+QN + DwYscEeGj966bnsxTqowoKp8r1Z0HXL2ZpLVJ2jHHfYTi9ImKQv0ULFtt/C2S3ln+PiDPO6qNWxy + Gps3b4+/uXyb5GQYUCds+ool0dogaFAsteVx/xYnIiIiIiIiIiIiordKVaOjQXQKWDopiEh0Llse + fDudpHS5ssHjfI0gei6IwBzzAXyYO3xZ7OWzxEJGnwgiek8stdNyZ+mBFX2314+wvycRPU9LX851 + k2zd8TlGBnjSZaS3xMxi1IIYESLGiODWJyIiIiIiInoScWQmzkDF6SdVdffJPOdSzdTxd/723/vz + f+4nVLEMXDdN7dB50x04pFOvZ5JzjjvL6F5mVkpZxngMt8f4IiIiIiIiIqI7LTEfwzD0fT9N05Lg + 8HzE0OLTNKWUlqtzeT3bDbHtlmMmPDBC98KvExERERERERERHaVxHKMX9DRNXdct4ZFPvVx03EQk + Mq3NbBiGKGMppcgoBRDPLpnWL5uPu8ewI6q62Wxi+tZaxGYDqLWmlI4r0xpADKeCOY4aQK11GZjg + 9bl75LNGX1AAOedxHN/08hLR+ysqq2UEqOh2nlJqrUU9vNvton6OZ0Vks9lgDqX+0uzql+n7PnYB + WI3boqonJycxz2maLi4uuq4zM9Z7RERERM+Zr2MGcT2KLdKUl9shLm6JPgWg126y/ucsXgnM2cqH + N5knUkDheu2xI3VYlXPM51Va52HsVIM6tEGXFZwcnaMA5ZC5azoHYC+zXP9DAIMa9BCat37rGwdv + 5kfED0l7Aov5yzrIHICsNj3R26Pw1W2uOuavCeJmQBTlBFvKrcVrZcm+VWjcMQgcZqtA1LS63VHG + 5U1nT745NxYsArvhUa/o/D1Oc2Tr8jhu1cZL/Rz3LM3TGZZoYYtaIsHWtUvUXrbsJui4+O0yf2Mn + q4eg90Oe5XrffVUOBEsD4PCdWrUB8LrlbbWT9znTemaAweOGwx06fj5X49HyiVbJUrYELqvJGiJd + dXVb7yP8VlNH7PD3cLuj0B4s9SWuWrPzEh52N6zfjsq6KlJZ/bi44stk+d6zX+/2HOpz4i8Emqap + ai5uDWbY73fn56f94/b3csAVDRBxgV+OlzlJAQxy3uQv/cqvYj+ilAYBoAWtGgv0E5KrXePVQ56A + kj6DjJvyrS+qOzbAZR3g1pf+sRfJgOHyi9/fd5gm1FatrZ6xqIGDs71HRERERERERERERERERERE + RERERERERET01fR9PwxDa20cx5xzJFKfnZ1FxtIv//Iv/5k/82fH0c3Q96+IyzIzi8EbSykxBwDu + vt/v484ypCERERERERER3Yu7LzEffd+31kopZubPTEQ5lFJU1d0xHxB44tX3bNRa3T3yyEVkHMcl + UYLoNd1/ZCIiIiIiIiIiIqJnoOu6OI4c15ZFRO4r4oH95QnERGvTNKWUIgB1HMfIOnX3eHwpY3EO + 4xXlqpTSWjMzVY340pg4Hl9eGDM5lmTruAY0PlTkfMcpivsqpUzTFCt5yXl9w8tKRO+3nPN+v99s + Nu4egdYA3D2lFCdTt9vtUqeJSNRIAKJSisp5efD1DcMAIN5uHMclIfvy8nKp+fv+kMqw5F4TERER + 0fNzu7+iQTAnSuo65ToBJ/Ggr8IvBW3OhbVVXnICHGpigKVItDTEnTtClBVzVuuRi9XimgRX63b+ + pAq91uyeo8NEALEkmEN5cdd2OczBYfG6hDm28RBHeojyFUBFJc2ZfGICJEGK0MfDG1+t/cNSCG7G + XRM9ulU8buSdCtLVvw7kULUgH4o6gEhD1ciQnye+lut8VZ5fcbD8Wurpc2R3p3UuS6tYrRMRLNmE + jkP9sF4PMidgH8iqQrqRIjyvWKYbHqs5MdgAhYoASFhnSYsidtOA6h0bOoqcArJ6QlblDaL3KG+R + j643Dz2tUz/n5sfqLeiIuMAVkEMFJRpFwgGDRsMmHWpmmzevAXq9zterdsrN+a/uH2Z79bAAKvNs + D/+bG5xy9aqQ5gf0xv/pmEShutp41ys4tNVvDV3K1etbvVwwB7ADQM4lNVi2it3+R/7oH/2DnV7u + 7dVlaPXyebnvaWwQxZTdHVKh5u7oysnlJqPrcHKC6jnLNHrZSOJgNE9t3hVe1UIGJNW//M/+6V/8 + gR/4ga9/4J99ZsCJpL3bbhrSI+/ykqKo5vNzjBVA0WQGsabJsQS4CwQw3O8MERERERERERERERHR + 8+E8xUxERERERERERERERETPQCnliy++ODs7q7VuNptaa6315OTk008//fiT7/vFX/zF/+g//hOp + pOYtqe6HO4ciPPTv6LpuHMfWWmut7/vz83MAMXoY5iG/whGN60hERERERET0HET+Qtd1wzCoaikl + xuV+6uW6SURiOPE4PpBzXh8QoAhqAXB+fn56etr3fayup10qOi7P7mtPRERERERERET0mpZc4ThU + ut/vn+FhbjouZpZzFpHWWkrJzMwsAlBzzq21SF+O0xWvyLRW1Vpr5Ke21lRVVWPOtdaUUhzKr7W2 + 1o7o2sdY7PjgEW6NByVbx2qMD76kxjLZmojeoNbaZrMBICIppXEc437U81HtlFJEZLvdLmego0KL + Gr7ruvtmWsdbRLUWadah1hpVXGst9inRaIn7RERERPQ8Lb/Vl1jKW4+u7hvQ5rxkPzy2PLxkoK5y + WBVQh8L1KgnbV5M6XOCCpmjHHqq8TlJ0hcdfjZUQYY0JSEsUdaR4wuCGQ6xYzGadznszWm95uctq + RR/iac1gbckkWx4XO9zx+ZU+L+H8LgyvpbfMBRC9PbKwY0l2j2+NIiqQKLF2NUXcbYebxl8DbC7V + sszOr5dvwZK36qvHnqE7Mq1xtfxXt+CHJMV1Ve3rpM/lieW5Q/2zPiagN97vxpvQcVEA0AZtUEde + bvEIXnJd9VWm9fKP9Tdo/hreq7wt7YQljDZdK1p2+Ed89eVmOaRnLZo6koAM1WXzLc2ePG9uALhW + q19rJt0975eXhChL877AGswPLVRTmC7/vLELcCRH8kOJS9eWjY6EQ27dYrOuS9L6B8c9rOui1W+X + Q73laWoNUFzsty++ONOU39zHepkE5KwX5hcNIigqBf355C+Swh0m0IKG0ssw1sYm/ZNzyLp9edin + Kvr+i37zrU+/p5IATN4Enh65BLmgAeLtI5H/7N/8Q5iqxFnvLOs9dtxTQGGsD4mIiIiIiIiIiIiI + iIiIiIiIiIiIiIiIiB6m1rrdbodhiHCsGIDxfLc/O/3wN3/zN3/4h394vx+GoSXVWm3JXrrFAMQY + YjHNMAwxBunyLgBiyK9pmo5oXEciIiIiIiKi50BVYyDuvu9LKWbm7vH3WTGzyLROKXVdp6rTND1g + /PB3lbvvdjt3Pzs7i+Mk/vIQDaI7vYWBg4iIiIiIiIiIiN68CAOO68ZqrTnnvu8jS/KpF42O2FJ+ + IuI08q19DlCPp/q+H4bh1fNZzmQsx+5TSjGT5WLHYRj6vn+MT/F4pmlKKUVWd8TBuvsD4qjj3E+c + /pmmKVbLA+KxiYheJqrxOLXc933XdeM4xvlmAKoatXEpJa5WD9M0RSWvquM4RkD1vd7X3fu+3+/3 + mPcXsfvIOUddF5nZcXH8yy+jJyIiIqKnJLciBOOIwJf0XryeeC1AkmtxgArInCCYcAindIEBPk+Z + 5mv/ImorbrEw6cGf5zmQa7G7sYoPd5dsXQEEJoAgZRwCZQXL1vDbyY5XqaJXSWNtXvm3RXT1sh2X + Gcp6ikP89mEyHmekt8wACKbIe1+Vcbv27UEC5BASf63OEocK7OU1xlWRvvEdkTviHp9nj+3bS3Wo + SOf0wXVmogJ5Thpe6uRDKrbPU/sqXnEO9oZcrSoDAF3WP5Zg4/VDdCQEBte4B8CB9aFtXcrCsrN+ + 1VY24HqBQyQZ36e8AQqVpVAts53zYu1WkWaJOxqCqDpM4HMzMgqVGNJSGLDarg64xpZuc+T51Xa/ + asDAAJU7OwDo/L8ljxU2//NaGnsc81wXqaZXhdPmj8ACdyx83qYAoOtKBnJoJ99qG9yjnXsjCTsJ + opQ4tFVTTZ0KhgGGPyDZd5PMJf91RA35irD2O18iEDO4QjOyyeXogE4nH/zVf/Yr2HToOgjgDpfU + 5RFtc+Q/p94ZDogYovyJoO9+1/z7u62Po6P1SXYiY61Z57bX4xgNmqH78ff/vo/RtHN41v3+ctMn + AGmugZ21IBERERERERERERERERERERERERERERER0Vejqufn52dnZznnFy9ebLdbdz89Pf30O9/9 + 43/8P/zd3/2OG1ThHgOK2vV+TzfH/orxD2Pww9ZaDEAKIOccgzpGupWZcTxSIiIiIiIiotcXP6Xj + 13SkBgBYhyk8H7VWEVmOCTDUYE1EttvtNE2llFg/XdfFP5960eho8JgaEREREREREREdpZRSRE4C + yDnXWgG01uwlnnp56WhEoYoSVWuNUylRhOJA/DAMcefV51TiSP1yvWPMIeYWM+/7Pu4cUfkspahq + XLu55Hw/IJZ1CZodhmFZjbE2iIjeCHcfhqGU0vc9gNZa13UAhmHAXIH3fT9NE4A4cZ5S6rpuPcHD + 7Pf7rutKKZeXl1FV1lrjavhl2aLmXCdqExEREdGzIh5haQBg8+3wM3jdVJRbt9UES9Ss3plD6YeZ + V6ABNR6Y8wbFkd6hDK1DWvSNFRWur7SYuAFN4AI/xJlphPzemoE5DGKxeXS9pa4lN0LnTTE/rA0w + aNwa1A9bSdfLkXC4vTMbgo6D1EMO7vLAOm335sQ37wuuiu7tm6xfdT3I2VY3LMm+jxko+EB+/Xbr + SczrSm+tnuVLLjemxlXl4oCtKm+DYpWruHrDeW09w1VEL3MoM4ej8boqFbr658yuxjtwu7rhag63 + mgHXguNfp7ytl2Qu1dci1eedIG7upOgo+OHP0t5r66dWlZjLnOl7vWCkVVW2LgFXQddiELvxkgQk + aNwUMfaGyurVjpuFEOvk9euLQUdD5spsTrNGtJOBudb6Kucibf0/QZW5ksxJVaAQmP0XP/iHNxcX + 4vfItH4oVaShWt9pzrgcvd9s9l33bTfkHl0PzS6AClr8EGGm9bMw56MbYBINrpL/xj//53todesU + l81FFelx93cm0AxVbMT98/OooMdh6jebWMrkhxJjy3KzvUdERERERERERERERERERERERERERERE + RPQgtdbNZlNKubi4+PDDD80s5/ytb33rh37oh377t/9VUk1J3KW1JpIiNGt2rT9UzllEWms+E5EY + 3bG1No5jjDNGRERERERERA/Q2mFcHDNLKbXWIj36aZfqTjnnlNKSibCkJBCAWus4jqWU2KBxIIWZ + 1nQv944bISIiIiIiIiIieiYiW9fMaq1d19VaU0pHlBBMz9A0TV3XTdMUh9qnaVoSrF+8ePHJJ5+k + lEQkCttyruW2Ukq8NqKalzvu/vHHH3/3u9+NA/o559ba9cson7U4SbPdbuNOnGR6WBy1iOx2u7iv + qq21B8RjExG9QjQSVNXdzSyuSj87O1tOikeC9bo+b61FBd5aOz09vbi4eMD7ppSGYRiGQVUBLPuU + qPDHcYyAbQDLHSIiIiJ6pvwQ6RdXLNpViGAcfboKOo1HBIDo+lU2/0W8Vm7OOV7lsMggvJraIYJO + UWAGTcecZBkx1QaDqsIEegjoXVbfKjoxOSDWAIcaoBFEfSNI7DC9OczWaaCC2CjX4scMSdWBeN94 + UIAkukT5Ytm4sn4ji60AVyY70luT3GAt3wie9Fs1gMAFJsBSddz4e5jM4Hr1d5kfIHL1F1cVD5b0 + 92uzelZfgXVo/Vz3prkiXZ6f10Z8fw/fd9xZIWOpUg5VwVXNg6sYTJf15JEVulTlR1xFv1/mjegw + gcocWnmtUCG+X3YtA/7mJla/48HVF+W1yxugadmLXS2DApBVCrFAr6Vi01GIJocj6yHQ2mEONEFK + h/YfojUi0VKC6qFCg6/qdizb3QCkpbjKVa0FIIo0cH0vcHj5tbIqgItWhV3FZkdhtOW96mH/oixx + x2JpFWBVJIBVTvmyQ3wQmXeIhz2fO2AiyYFxQkrQJBj33TSeadoDU4a/9AwqcO131AMlpE+6/tvn + FwZ8/bT7vYv95x+d/fSv/Rp2I85OB8Fk7SwlQFqrKfH847MwF4q59hKBO3KZoCbFvfbAzio0vbr8 + fHUGtAnF8WHuMDY0lJQBh9j8ExJj1IRzljsRERERERERERERERERERERERERERERERE9QN/30zRd + Xl72fb/b7WIQsG984xv/6B/9ymbT7fcjgBgQzN1X4zreHE00Bn7MOQ/DEGONRrh1jPEVYyHmnFX1 + 4uLi9PT0LX5EIiIiIiIioqNXSokf5jnnGDq7tfY8Y627rvvss8+2262IjOO4DD/+1Mv1LCwRD5HV + oqrPcyPSc8ZheoiIiIiIiIiI6CiZWRwvFpHItI6E4KdeLjpukWYa+aPDMGy3WwBxHuXs7Gy/38f5 + FRF5dYB6zGSaJgB93+/3+2Xm5+fnm81mmiYRWS6IPBYiEgGxIhIrIU4vRcr1vSwvjNMby3mOx1hs + InoPiUitNWoVdy+llFJqrcuzUYnFZe6qambrfGsRubi4eED9Fhe7A+j7fnnreDClhPkaejOLXQBP + 7hIREREdhXWjbUkxXVqKS6CgA4CJXHv2ZoPvVkCm4hDLKodwL4MrYHCFmwBJ7MZLjpABcFgDBOZA + Xn8cmVfdzVe99keWQ+6vrjbHetUvWdpXG8aBOTTU1u8k1/MgPXJzj3rl05smqzKyKjAuELGbkz6A + A243H8FVdnvM1YAG6CGj2q6mlNXfw4TzX79aojvDT68t7lfOm3y77Ponm4PtZa5XV65931evmSe6 + O9g7MqyPbK3Qq1z/Ulz7ll15xRa31U7Hrr6MuDFWwqvLm954w+WbK6tX3Pi68kjS0XGowK9KhgE6 + lwyLNGm7emKJDY6NvipOUbqilYir4nqtmXJnSb5RzudX+bqEXy9X8a7pgR+YnsYSc94AWaqXqFU8 + Iq4PTYhVQXh9CjfI8qp4BxegFMgE2AS3U28+7iO+XR65umrwy/Hi414b7LOLMX30wbc3HbYdTk5c + MNrYaTdNreTUpWysO58Bn8vPtVJUNhiG33P71zZFd7semCpKp4LHveSjNWw65AEyTX/qB/+tv/Yv + fkNVG5CQMRf0uWrV280DIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiek273a7v+xjIq+s2rbUf+ZE/ + +/f+7v+jGfv9mJIAaM1TSqo5hm18GVWNTOuIreq6Lgbvaq2llGLUL3dnpjURERERERHRfUWegqrW + WruuAxBhAa9OYXj74pjAJ598Mk1TjDr+3Jbwybl7DMkeQRLxCMc/p9fHMTeJiIiIiIiIiOgoxSHj + JQ8y5/zUS0TviMi0xpxICqDrujgWv0Qvr4NOlyTmyGbGHFm6XBw5DEPciSjryF9X1dbaOi11Of/R + Wotc1QdkRb8py1uvFzJOPyynIpYFfsVyLqcrUkrL/ZhJ/DNysuNxZlofndj0caIxLBsx8nrjW3Dj + G0H0Zi1B1OM43ngk5xylNKqgdWW1VGLxYNyPF0ZZXeo6rPYLUZhvVFYiskwQ06/P1N6u62Li2GXw + nC4RERHR8yWHWyS2KaBQhQpU5gfmSSI0TuXmsypQXd2Wpw7Xa81ZWQmaoHrtfeabKGT1kuMkQIIW + aIZmaIGm1RpYkjvX60cP62SOD5NbNwDzGhYcVpRAE1DWKYxXK/Als1rF/q3a8Yf5X9teRDO/ljKq + ERgpQAOgDjEXM4mYZb2dWvslMxcFEpDRMpqiAeYQiy9SVBU+B/0lIF3VJfe4ya3bqt5ZBWHKje/G + cyJzyPfNWzrcdK5cb1XLcrNCvrYS5MZb3KoxVis8sYo4Qoctfth2cr38iN6Y4HYpuL73v/ZXrr/F + Vypva694ip6z1VaLKrzcaPLNFYgCZb6ldQ18aBOtGoTL37nls2pk3iqdt4vNankKkF++EynQsi6f + 9OzNZeywF7zW+LhVEr5KXeJAA1wypMCBOFE4Gi6/+M9/4N9I+4vSCQA0CKD+0tu1eQr8ngvkYk0n + B0RkGJCAc+S/9Kv/FCdnKGrAByo9ai6pHb6A7IH2lCLp3ObG06HAeoYpGlDxV3/nN367971AgFMg + TU0f+wy5A9AJkGn4et1jOIdPCjiKS3aFCzKQI9BalftfIiIiIiIiIiIiIiIiek8sPUlrrfGIu9/o + OrrudUVE9KxE59ClBsPcD3TpExp3YhwAIiIiIiIiIiJ641JKcXBGRMyrqEPMvCJpdRubpa7fj/W/ + /e9/6m//3f/bHa0CQGvemgNorU3TABhgkXW9nnPMdpqm5cD1etzRmCDG9eKIXkREREREREQPED+0 + Iz3BzGqtpZQYr/vGcNxPGwUShwXiMjYze28zrZcBz5dB0ZdrY4i+Io6pR0RERERERERERPQllhMq + ZjYMQ1zCGImkcT+eba3dSE69IeKxVVVVN5tNTB8d/pcesymllNIS/PwkRCQuD40k71iwdXrxa3L3 + OM8USd4Acs5L9CwdOxERkWEYuq5bZ7rHRu+6rpQS4cHjOC6nuIjerCh70zR1XTcMQ1TRUfCmaYqK + erPZRGX7sEEHYuaY6zQzyzlHUrWquntc7/7enskmIiIieud9aZTkK369v/S1cucEekhplchjxjsT + qyxz2F66O0D6+qR3rrS7V+U6mvTWC780HPTlS8EAUfpS176ZDkTWtRz+4YLl+OC9C5Gs0kmvHjTM + WZLLj890e+byGn/vfM87S/0xfQXuCvCWq8ph8aU19h0P3f2ad6R+fq+tt+/9q/3X+W7dr7y9+jXH + 9H2k2a0gYQHkVr3xqhr45nM32odLbvorX3XXG8idO5E7FpyOxt0F6XG0Q3MHgLUGFMVnn/3rOX/U + q7v1GUked/9oAhM3YLdvmz6hdJ9ODbmfBCPgMLiBQ6g/Jw5EQjQMN1tQ2x6C7yapm4QsDVB3eeSt + 1xWY2Qh8eLL9WgJ2F/DqcAMa0K4v9H1j14mIiIiIiIiIiIiIiIiOV3TCis5TS3dXEYneoGbm7gwF + IaJnK6W03+9LKdM0mVlKKeoxd++6Lvp+llKiF/86/ZqIiIiIiIiIiN6I3W53dnYWw3wBiEEUN5tN + KWUcx5xzq/7Nb/7MX//r/8c4Ws4v7X+Uc44RC7fb7fLPmOF+v487y5CGRERERERERPRGLJeEmZmq + xvDykbNQSlHVuPRCVePSiydcVAoxAHskfKeU4qq/2GQ552WTgdf70T3xoBsRERERERERERHRl4sD + 9HEh4ziOEegbB+6jx35M9qVx1NF1P47pd123HOsvpUS+dUz26njsxzZNU0S3Yo6Mjc66951v3Eak + AAAgAElEQVRPvCpWWlwYGnN+08tLTyZ6dI/j2Frrui6S2uPkYlwBHF+HmOapF5beTVG3RD3T933U + MNM0qeoSuB6163LJ+71Epb2co621RiGPHOuowx8wWyIiIiIiIjpSAiggfj0z0qE4pN+J33r2tUU2 + dpM57y8BKjGvGwcKdX5fIiIiordDVn8hMmdoV2xPP//29/Z7M/PW4Gb6yE2UlHJfpBdUaztRnJ7C + W0nQw+Lp9XRv9pd5YoeSEtHWBgBN0BTugAElW9YvxD+tnlSzPvp5ZDMME05K/uL8i/PPXkASHLIU + 7dVyxwKyxU1ERERERERERERERETvg+hJGt2mohPW0n82+sCqanR3jT5WRETPzX6/32w2AEopZhZd + nuOpcRz7vo8BAWIMX/ZzJyIiIiIiIiJ642K8wd3+onSp6zoRGaZ2uR/dpe+3w3762Z/92W9+82cA + lJJqtZfNJ4Y6NLPdbgcgEqxFxMz6vgeQUlrSs55wnEYiIiIiIiKid0wMta2qMc72OI4x/vY4jvE4 + gBjrmwNxP7kYEV1EIhqjtRYbpdYaKQBxRCXGTn/qhaUjw2F6iIiIiIiIiIiIiL6EmeWc4wB9SsnM + zCyufcw5t9aiN37OOaX0iiP1qhonY+Lsi6qqasw5koAjP7XWGvHAb+0D3hCLER9kOWkUD95LrJb4 + IHEaAxy54J2zFPhpmvq+j9KyvvB3GcDiyRaR3mkxKkrUvfv9PqU0TdPp6WnUPFGDTdMU51kfMP9p + mmL4leURd+/7Pt7x8vIylmEYBswZ20RERERERPRuuzpm51cxd4esvq9+RaZYA5rARSF6PR/bdA68 + Xt6OiIiI6NHNDRK9HhktAozjT/2xP/b9H33YZZgBjiyP2z9FHbXW/eSTQzRflPzTv/gPUFJtNi+Y + xH/K5tIzEBsirf8NIDaNAAlQ/JV/8stSSt/Dcr6wyR//DHlJMEFW/QNf+/qf+7f/HVgb2nBtGR1g + pjURERERERERERERERG9T6JLYOS8rru1isjFxcXSPxRAKSV6URERPSubzSZ6d8aAACmlGKU37kfF + lXOOPv7R252IiIiIiIiIiN6gnPM4jqWUnPPl5WVrrZSy3W73+30p5ed//uf/wl/4i62h778k+0pV + N5tNHJeOZKYY8jGejYEfYw4xqtjjfzIiIiIiIiKid9967O4Y3LvruricDEApJX6D7/f7CGh4ymUl + oOu6iKxegqtba+6ec+66bpqmZVOKCPMg6F4Ya01ERERERERERET0JVRVROLMioioahymxyrTt+/7 + iKN+xXziKL+7x8vNLGaIVW//YRiil+wjf6ZXmaYplq21FqnbeFAcdSkl1lVKKfr64kHx2PQ8xQW+ + kSgcd4ZhiK9AZMBHT+8o8E+7qPQOW19ZHtejd10XRW45gYq5rl5Oh7++GL+g67qozeLBYRh2u11r + bbPZYA66fnByNhERERERER2TJcrarz34xi7EFDhQgQlohxkroHJtEibsERER0VvkCldgNZ6vAAJV + IMtn/9/v2OWlGtzxdg6Rq+TTks9yvpjsu2LYbjANOSsOfWMUrvBDgDIbTU9O/Cog2gUOJEd2QOEF + 2CbYhKY66b8ax3S2bY88oJBASi4XY82i0/c+T8MIaEkFcwg3Cw0RERERERERERERERG9h6IDYOSC + xF9VjZ6wp6en0zS5e3SeNbO+7596eYmIbhrHMXp3TtPUWlu6gtZab4QelVKWkQGIiIiIiIiIiOhN + qW3UhL7vx3EUpJL7cRwvLy/Pzs7+r5//Oz/xEz8JQBXD0JoBL4+jFpH9fh/j2i0Hrt09xhnLOQ/D + kFIys1LKcuSHiIiIiIiIiL6KUso4jpgvrsg573a7JTU5rh9T1bhyjEPNP7nYWADcvZQSI65HAMRu + t1v+GVMyD4LuhbHWRERERERERERERF9ufVql1qqqmLvox2H6iKPG9YzV2+IgfkyZc146+S8zj3js + ZeZPIuKoh2Ho+37pnfuAONiIx+77fhiGZbXEp6N3QM45zlR98cUXft2LFy8i6BeAquacud3pkUQd + 1VoTkaWeEZGcc2ut67ppmmI8AhF5QDmMqjhqs+Ud45RtJF7XWiPHfZqmN/apiIiIiIiI6Eg5xE0j + tA9Xf+8zB18iGBt0fXxQAIFdy7R+5Mw/IiIiojW5an0oAEFFnfpqZbQuJwdUAXv0YX9rq635d2tN + 2419/DG6jJIA00PwtkIihNtwladMT8SvbQBbpaM3YHKHKk5PB9cm6fs+2O6G/aMujjrgPo7TthN4 + kzZ8VHq0qvNSXrWvxfxaljsRERERERERERERERHRu0xEUkqq2lqLQGvM/WRba6WU6KuVUnp151ki + oqfSdV30Ho0KDXNPecy9TWMarAKwiYiIiIiIiIjozYqDzNM0nZycxGhgfb/9B7/wD3/0R3/08nIE + 4I6UBPOgYXeKw9R93y8RWZFpbWattXEcY1w7IiIiIiIiInqz4sKwlNL5+bmIbLdbEYlLL+KKMjMb + hmGz2Tz1khJSSicnJwBOT0+naaq1ttZyzsuGOz8/X8Zjf+qFpSPDWGsiIiIiIiIiIiKiLzFNU2Sj + Rs/VaZricLyIvHjxAkBKKYJ7U0qvuFyylBIzic6xkQoMwN0//vhjAK21WmukscY5mycRoa3b7TYu + 6zSzlNLDYolFZLfbLeef4vTGG15cejqxQbfbba01CnMEwG82m2EYAOz3ewDLd4fojYvr17uuixFS + 4ty2mdVaT09Po0BG6PXDyuFS2wNw96gJo64GkHOOmjylVEpZoq+JiIiIiIjo3TX/9JNVtOOtC3dd + HpKhqDCYlciuXh5zha+PExokbvecOxEREdFX54DPjZw6we1DzVtNaGZAcoVDHj9HetOfbDV/53L4 + n37pl+BAl91MAIHObSRjJPHzIgCQAAVc4AIRFJVoAreT08+b1cm0+mM3cs1gjpyzmZ0gpWkAmqBp + 9K1yBqETERERERERERERERHReyp6kkZwtarGneg5Fb234pHoovXUC0tEdJOZ5ZzHcSylAHD3733v + e6216BN6eXnp7ufn5zHIMvuBEhERERERERG9cYcRuiS5pP007sbh5OTs13/913/8x3/8/HxwwBzm + qM1F0qvzcVJKwzCklCI0C0CtNQ5cd10XA3+p6sXFxROO00hERERERET0Lqm1llKGYRCRs7MzzKNw + m1lrbZomd49LMvb7feQl0xNqrV1eXqaULi4uALh7HJlZMgLOzs5EZBiGUsrDciXovcXDbURERERE + RERERERfIuKo48TJMAzb7RZzfO/Z2dl+v48IVRF5dV/WmEkcx+/7PhJ/Y7bn5+ebzUZVIyH1aa+V + jFEG4mxELEmEwj5gVssLY82klNjd910SxcPdIy24tdZ1XWzivu/NbLPZRNz7Ey8ovbtUNereOG+6 + 3+9VNa5Bv7i4iPqnlBLJ0w84jWpm8cI4KRtXuqeUYowDVY3z61idwSUiIiIiIqJ32Zxj7TceCfGo + GOZk63tJDjQTs3Qt2XqdrncV0MjEPSIiInrrltaNwgE3tMl3l9l8ql4UzU0fv39K0vJi98UlUL7v + aygFmx4qsryt37pDz4Qcyk+0aBWo1RyC3P93v/gPa9e7e3n8pUhJNl3+4nLqO00wHScYYHPjfVVs + eEqbiIiIiIiIiIiIiIiI3ivRAXDp2Ro9BONv9ByMkUmXyFgiomdFVadp6rpORKIbaQwFME2TiOSc + o4O/mT15F34iIiIiIiIionfVNE1mlnMWkb7f/tZv/dZ/8if/9L/8l98qRQDkrABiiMVXj9PVWiul + tNZaazHq1zLAHYB4xN1PT0/fwociIiIiIiIieh/ED/au6+J393K5RQzxHRdaTNMUg37HL3R6QiIi + Iq212EZLBkQclpmmCcCyQeO4CtFr4mVVRERERERERER0lJaryjB3jSZ6VEtn+77v404clI/IXjOL + aNUok5jjfuNOdOmPI/txTB/AMAxxZzlJ01qLcwDLTLAq3nGFJeZi/0Yss1q/aVzxuXzFlgV4xfsu + V4imlJb7MZP45xKPjdWaoXfAsn0xp6HjeuEHECe3nm4Z6chEnRO1K67v4pfxUKI6KqWsa931ZOuo + acwV73LOO2qqKJbxN4puhFUv81lqs1pra21ZkuVNu66LOcSr4oTum10bRERERERE9Dw5YLeDpdsE + NBFpDlE0b5L0Xkeu1YBquLhMboqWAAVsqHeGMtq8DERERERv0dy6cWCq/+kf+cM9oDAAKck0H29/ + VCLSbU4uIN9uDTmjlHWbyGVePmFD6RmQ+QZgbkVr9GJylKwOQXNoZ7m0qW6y6iNvN3X9fKxn2+TV + Ejyboxlag+PqHI8A7GpFRERERERERERERERE76sbfbWWgFgAERn7FAtFRPTllnEA1r1E40ERiTsx + vPKTLB4RERERERER0bskjrHE0IWHRCuXzcnZNE0ppZy7b//ep3/6T/3wb//277hgnBxArQZorYfh + xWI+m80m7sTB5xi/y2bx1DJx9FpaDvi8xY9LRERERERE9O6TGVbXYMRo3rXW+HkeQQxvYVwRejV3 + d/fYHBcXFyml9VV/y8ETDpZOD8Arq4iIiIiIiIiI6CiJyDiOcUhUVdfhu0RvTcRRx+WPwzAswahL + vm8821q7M3t1EQGucWnmZrOJ6eN6zeXMTUopTg+8wTMBy5gCcQYi3ugBIwu4e/TyjWRuADnncRzf + 1HIS0ftDVYdhiNp1HEdVNbPIpXb3YRhip7/UWvcVdezSbIjqq7UWf2utEcQe4xRM0xRVdzy4TrZ+ + c5+YiIiIiIiIjo0cfh4arv8yFYVqnabWYAYRtDbda8bJDdWRCqaaIK1OrZqWDMOc/cccayIiInoa + DhwyraMt5I5hPJ2ajLuS0jbLfvIeMt2z/fMA+zZejNOw3f6P/+RXkcsEGca6WkiAh/Cfm3mL2Pwv + cQgwtepIKBtoGpHcMU2PfsmHiHxc8jQ0OAxNrf3JH/wjaIDf8dbsbUVERERERERERERERERERERE + RERERERERLSI0REjvnqz2ajqNE3TNJVSPv30067rWvMX3/38x37sx37jN/5FrS/tF31ycgJgv99v + t9sYU3TJxDo7O9vv92ZWaxURji9KRERERERE9FSWrAEA7j5NU4zg/dTLRYgNYWabzQbAbrd76iWi + dwQHWiEiIiIiIiIiomMVR7RrrWYmIhEJ/NQLRe+dCFuNshdR65FgHddcLtGnXxpHXUoBEFdPdl3n + 7pGlWkqJBNaY7NXx2A9Y+AjeBhAXdJZSIuj6XuJVsRLirFLM+U0tJxG9V/q+NzMz67oOQCRM11pT + Sn3f55xzzksu9X3t9/uonFNKUdlGlHX8jTsRpH1+fh7/HMcRQGstkq3fYCVMRERERERER8rmhGnD + IaVvqhPMUNvpZtsXEWB9bPA1Jcd/8+//BxgmiFgdSy4CRbszmvGQck1ERET01lwlW8f/DafjeNb1 + +zaN1TdFGsQh/sip0puUu7Oz76aErkBTBfq+H4cpGkjRToMADgGUIddPzWUpOdZi6xjQ4impUIhi + tO6DD1PX37P5/BBm1lozQ3Wc9FvYeAJHtVXm9mFKYeEhIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJa + MbOUkqq21iI8SVUjzfqTT76vVnOTn/zJn/yFX/h/L3fTrX7QttwuLy9TSiml3W4XI3rFAF8i8uLF + CwAppRj+K6XEZGsiIiIiIiKiJ7EehTvu11ojSYGekIhEioS7x8DpJycnjBunN4IxP0RERERERERE + dJQiNNfdc85xZRuAyLe+01MvL72bzCznLCKttbjw0czigH6UzAi9zjmnlF6RhKqqtVZ3TynFhZWq + GnOOSyrjVE2ttbX2BstzzDYWLK4NXR68l/iYsWAR+7o8SER0X1EpLZWJiMTuvu/7qG+XaXLO9535 + cpG6mY3jmFLCfEV7BFq31qI2jsow59x13TRNMWVkkrF+IyIiIiIiotmhI3SXCzRB0uX5RZscQBK1 + lx8PvJM4vvjOdwCFtQQBkBKgQDUsKdqBidZERET0dvj1hsdylrIJdvvuclfHfZe0Au6uqi549C4q + Yp9+8b2LvkfX1d2+QACUUnzOtDYALoBEsjU9IZ9HGwqHlHE/FKSkKQGQgg9P5etf27cJDnnkhm51 + q+Z9QZewm3aD1d+3PcPFDrcGOFLGohMREREREREREREREREREREREREREREREa3EUGAxWletNcYt + jNERx6F2ZfONb3zjb/2tnzeDCPSVfYxi+NAY6SvG9YqcbMwDiw3DEHf0/2fv3n8lWff7rn++z/NU + VfdaM2efizFgIiFQQBZObGJQ/h6jg50Tsi2BjBTHkJic5JdAgomsyAlRxE22/ANEViJFBiFLxEIC + mzgxR+hg5aJjywfbObfZe9Za3XV5ni8/fLtremb2zJlZe61ZM7PeL416V9eqrq6erqld67l8Py/f + EQAAAAAAuB0ppTVkISqES4r8BdyhaDCJr2ONq4ja6cCnRDMcAAAAAAAA3kld1y3LYmbjOMZwNEnu + Xl/gro8X76eUUuSmx4DI6GWJ1vw1xHoYhhhw+ZL9tNbcPU5gd2+txQ510isQwytvtm9gnud4r1pr + pGjrWnHUXdfFZ885Rz63rhWPDQA6hkxLiiHmESltZtM0DcMQ26yX3GvsXMfB8evKuALrONI9wq3X + 9bvdLjaOXvNpmri+AQAAAMA991S+XbQC1qalaZzOh81ZXzrTNM9dyq81QDOppXnW1WN5U0lTXcYm + mVSS7PmgPTL2AADAm/MknNhTPP+pP/bHv6cMm6Hf1bbt07Jo35bvUm/mhkzJ/9pX/x/lUh48UHUt + 1VLSkwDuJqXDceKu1cP30uQqMX8pSUnNW5ZylUzq9Wf+t/95OD8bbn+CU1HpS1bTVHXZdHY27L71 + beVOzQ8nkB3us03tk+7BAQAAAAAAAAAAAAAAAAAAAAAA7qkoMxglwqJuobvPczVls/SX/tJ//rf/ + 9t81k7vc1ZoO00hOmWQyszWBaZ7nqL54jfqNAAAAAADg9rh7zrnruqjXrZO4BNyhiJCI5pS1TPo1 + ciWA51GsBwAAAAAAAO+keZ5LKa21SLiMMWe1VnuBuz5evLemadIx63RZltMo1hgxGXHU+m49LtH6 + H1vGuS0p9hY7j+GV685vRMRRj+M4DMM6jvM06vUVRTz2MAzjOK4fM44WAF5LrbWU4u6xUGuN/9FH + snVcUaNLu7W2XrheXYyDL6XM89z3fdw/xJUwxrV3XWdmMXre3c1su92a2cXFRc5ZdJ8DAAAAwH3m + h1C++M3Q1vGXTaouS+r6Zb/XXJPkUn7NXyHNlVrVZpDaftzXpJyPsYyHN09Nkic5Qz8BAMCbtrbI + m0tNurgqu/00Trm3ZTlmXt9+DvC8tOHBQ3Ulbo9KstYkT+00e9skS08dNO5Ck3z9Utrxy4jc6OSK + c8mk7aAhfbS7nHXb50+atKiZqrqszZnt92NempbDqeJ2csr4k/t/AAAAAAAAAAAAAAAAAAAAAAAA + mNmyLGbW931rbZ7nrusePnyYUvr5n//5v/E3/lZKitnVa6jSJ3L3qNmYc47HWus8z1EcLJYllVJy + zteoMwYAAAAAAD69+JU8Mq0jK2G/3/N7+p07Lc8uqdZaa315UwzwiqhtCAAAAAAAgHfSGi3p7q21 + eBqPwBsTqagRsh5PI+7UzB49eiQp51xKWZbl5cMiu66LnUQUdPQKSHL3z372s5JqrcuyxFDL6L+5 + EZHhut1uI9g1/ildL47azHa7XRxbSinyaG/qOAHcH+tVNLKlSyl930fKtbv3fR9X1Hjs+/7TvNE0 + Tdvt9urqalmW6Hldo6zjmpxSijWSHjx4ELnaXddd7zoJAAAAAHgfrPnSUop861iTsqb5T/zRH8yW + 1Dw3nSm1Wl97/62pVqU0DH2yUqXZJdNTMY2SIgP7GLANAABwi1xy+SGh2GVNkpo+KH3xut30u8nH + pmHIfmzkv1XbTbmc9srZzwdJdT+n0ulJ+nCTmvykO5UJcXct6RgaHTe0TWqq8kVqSXPTWJtSqn3n + Jr/lM2ibB3efpNa0nz336eEwaJzkx/Tt9ZQh0xoAAAAAAAAAAAAAAAAAAAAAAOBEFOOKgp9r0cXH + jx//nb/zd7/85b+Ys7Wm2tT1/bK0Z3Nw7Mm86HhhBGNHKbCUUhQBm+c557zOUYqCYG/sAwIAAAAA + gFUEDeScu66rtY7j+GmKgeOmRGn0aZoi9iLnnFKKiGvgUyLWGgAAAAAAAO+kaCSNcWaRpNtao9kU + b1jEUcc4yHEct9utpGmaJD148GC/37fWlmUxs5efnLGT6AwYhmG/38fOJV1cXGw2m5RSZF3fYKa1 + pEiNjSGbseda6/WGb64vjE+ac+bfI4BriO5qM9tsNnEZiauopGEY4gIrycxqrevTVxe7irBqd//o + o4+2222MlXf3Ukrsues6d1+WJdbM8xwbRMZ2KeUGPzIAAAAA4O3T9HyQ9CHTLq0piU3JlaQmLVom + mT7fdf3s5nLXomZPUqftVR6zt/Na1RbNO9ckNZdSevLWSUpKp5mNBO0BAIBbl+JuJUlZympSldps + y5ikeZqGoiFpP9a+6+Z5PuRe36hmaiZJ1fSt2nb9oOgzNeVNJ7lLJqXD9JgkitW8HdKTckNJyUwt + 6VCEKKmM8+xJqSjnJLVd1+2S1Vv+7mqt1evW1Jm8yWpLV7uf+Hd+OGKt49w1Aq0BAAAAAAAAAAAA + AAAAAAAAAACeY2Zm5u7zPKeUzs/Pr672v/Gb/+infuqnWjuEXm+322maXjGOOudca12LK0ZdREml + lFpr1CKL3QIAAAAAgDcv8gtiYRgGSfyefufcvbUWZdLNLIq332x0Be4tTiMAAAAAAAC8q6KRdB2y + llKi2RRvXoRPS4o+FUnRmr8sS0Q7p5ROx0SuZ2lKKYZOxjkcmamSxnGMhXmezSwGVkbO62mHzRoa + HcMu9dLunPVHpzuJLoc1zHXd4Uv2s/5zyzmvy7GTeHrae8G/x3viNFf4NMs8lltrMf74Do4Mb424 + 8qynRKzR01ebdTmuezq5Kq4XrvXy+Mz1UCcXn3htzjme9n0fV6e4FJ++URxSXMPXO4rYZr04r/HV + sZkd3ezfDwAAAADgDh0S63xdbvGn+SK1cd67msfvsy6fJVetaq4mzVKVlKp8r/3HGi+3l1ebZX5g + /Sidd8UV2XjWlF7lsa/6/H786R/4I/IlqbpGk7JLs7Krk5alWsRaV1XTzOhuAABw26zJFllrSu5J + S9IiLTstjz01N2VXXmRNSap1vkb34BpZLYu8Y5lkHn+SlJpS3LOZNObyje0Hf/m3/qnUrM1uy853 + NXn1mqXiKp6yDonXT/7gjpjUSVnyCLh2mTe3Vk1JOi9dWpQl06xcpu3Di+7BksptHlEztSK5y5u6 + lratPKjz+TJGrLVihpVLLcniz20eDgAAAAAAAAAAAADcD+7+Jgva7vf7WFgnM1JO9/44nS9faz2d + 9RxTVt095rfiPmA6MAAAAAAA1/bUjBxr65+lTmfnm6txbyXnfrjY7b/6W//4T/zYn9zvF5dqk0u7 + 3U6S1NyrmUvtUBDMJVeyJD/UAUsprY2Hy7KsK+Ntc86ndRoBAAAAAMCdeP4X8/WX97V2N2EEt+S0 + nSQWTttP4qtZvwXg0+NfMgAAAAAAAADcsAhDba211sZxjBb/iIKO5fhprfU08fp5EY8dke2bzSa2 + jxnUMWk2wrNzzmuw9IuOZ1mWOIaYgrssS9/3r/u53D26KCJpOz7Iaaox7ht37/t+mqbTlOKY2j2O + Y5y9ZtZ13RpIjPtmv9/H1W/t7IwL17rBOI7ryPJrDB+Pi1JcVCW11lJKUW6g67ppmtw93trdu67z + o7UkQVweAQAAAAA4/aXULO2WqXT91CZTU3OZLEtNOcuTZimpFc26+ljTpGn+0//m9w+7XWl19rpN + +aM5ft/0JCW1V3ks7me1bj/eaayaaj9NRU2tKcvnJveudE0ec8GXRR2zsAEAwO1b6ry0OUmHaUxN + 2u9+/I//cNIiKfmTm6ibvDcxpZjq4kmSH3e9pHy5PVM3SNK0G+uUUtfkOeUobXP48+S/uGPmkhTZ + 5PGVVKlK7lKTpUPWteryX/yDf3Tp1m45R9ptrVedkqfcrG+1tOV4ujSpPTl7uN8GAAAAAAAAAAAA + gE9tHEczM7PTgOHbsyzLZrOJic8xgzve/Q28Ne5QzGuWFFNZ53mOaaSxrJNs9XWmPwAAAAAAAK6h + 7/vLy8vtdjtNS7Lyz/7p1z788MOLi/FFs3iigS6KfUWtsNNijDnncRyjWmMphXY8AAAAAADeCfM8 + p5SGYViWZc1UvkbeAV4u4iSiwWRtS6GUOm4VsdYAAAAAAAAAcPNimmtkqU7TFNOe3X2e5xhSGZu9 + PI5aUtd1kmK2dt/367zZrusi3zo2e3k89jzPa+ZrdD90XXeN7od4VXyoiDGOPb/ufvB+iJz1iEjP + OU/TtJ5dtdbtdrueddM0DcNw18eLu7HZbOJiVWuNi2EpJa4/cdWKcyPnnFK6RjmAKDMxz3MMVY8R + 6lF6IE6/Usq6PI7jmmadc14jsW/0EwMAAAAA3iUWWXXRPucyJSk1pVkpl96lPpX97jJlb/NOmpQW + pXnRvuoi6Uptp6Xp46s/969+/xc+2n1GrSvpSvUq1b6TUuzfX/FPVutVPqfhT/8bP6wL5VbyUpWl + JOs0z+OiOsu/s1zVosGUx0NMIAAAwO0puUupmOSuNrusKafl4iof70NO70dMEV/8GpIfX3LyQpcO + paytSU/uearp8TSpZDVXPwy5q1rsNHw4br+Oi3i7nHwlrUmmcVyWurhJXZGrP9v67X9tbiep5594 + P21PnUgAAAAAAAAAAAAAgGuISXy11mEYYrpfzK2+bV3XmVnUyV1ndr9k/jXeD13XRZzooGAAACAA + SURBVJb5fr+Pp+4ec5y7rots9b7vW2vTNL2ZhHUAAAAAAIB3muuTZ1U8/vjywflnlqWVUr7+9a9/ + 8Ytf/L3f+2Z6cdzNaXXEKBE2z3OUXjSzaNKJqoZRthEAAAAAALz9UkpXV1fjOEZggZnFkIy7Pq73 + TWRAxDioqOt+miMO3AZOLwAAAAAAAAC4Ya21aNyvtZ7GrEqKPNfoDyilRNTri/aTUorw15xzrTWl + lFKKAZrRfxARsNFz85I42MjGjheux9Z13esO4ozt44OsxzbPc+wf91xM7e66bo0QjlnfOiayvzzB + He+ruHZJisITcVVc1+z3++12G1u+/Hr4IjnnKDQQL+/7fpqmON/irU8jtKdpipTreO3aEUuPLAAA + AADgwBVNX1W1V8retNRN7jXNKUnzTuaalqHY4FXjrMU//MM/8L2zvqf5B9vtxe5RS9b3aTe1zpT9 + MEAzSe0VHiV3TbbU7Xfqf/L9f/T3h+5vfeX/Vkpyl1mfXD6ppKEz1Z2uTKVXS3phoyAAAMDNcHeT + LMk2pt2i2spSU5OkFp0/LrfXDrT+hDc6XbbDLVI6Rly7VC2lB+fKSV1SdSXLnl3HvORnMq1Jt367 + rN+kJOWsNmvYFJmaFilJ9WpZPqMXlzK6Cc2UPHLTW7OTc9YVh9ekbIcVcQpyBgEAAAAAAAAAAADA + NcQkvpyzu8e8Qj2dYXNL4r1qrTGZutYaU7lv9U1x52JuqaTNZjNNU9/36/R8ScMwSLq8vDw/P4/N + AAAAAAAAcD0PHjzY7/ellG998zs/8iM/8nu/988llVLqtHzi9tE8GKlLUcMwyjAuy+LurbW1vmIp + pbWWXhKRDQAAAAAA3g6ttWEYzGye5/hN/+HDh48fP77r43o/RV54hFOM4xjDYIBbQo1+AAAAAAAA + ALhh67DImO8a6aoRs7pOfh6GYRzHl+8nsoElxYTtdXTmaULwq3QkzPOcc46xm13XxR5eN9NaUtd1 + MZ075zzPcxwGmdb31unpHWfmeiq21p45MeZ5ZrL3/RSFJ6KbeT0rUkruHkPJ1y27roux5q+1/7g8 + Rp76OI5RcWCaprh+xqXS3a+urs7OziLTuta63+/Pz8/j3aM+xU19XgAAAADAu8WP0XqmJJdcMmXT + YCnVptk1N81V805t0lmRT1r8P/q3fuiDJW3HpYzL9+fUfFFdrpQ2/bBM4yZ1VdPZtttdzfFbbjum + 4n2XR9PktS/1X0/l8Td+/0Hp/vy//H3W9X3f14vLKetim/7Kb/6aHp5pXqRz1UHlXJnWOQAAcItc + SpaWRSmpmbou659//L3b83y50xpEbZJkLkn+miHA5klq66vaycuTt9htdrmpmZaU/uv/6/+US+7q + yrzMpQxJyZssSU8HYx9ClD2RS/wWmqY2dEnSfhq73tQWdX3tcvsu/ec3oJnM5XY8V61JLnc1KT91 + SgMAAAAAAAAAAAAArs3dl2WJmacxB3DNp7nV9621np2dxZRqSaWUCDO+1TfFnYuvOyaxxgzTdUbz + OI4xs/7s7EzSfr/vui62BwAAAAAAwAtZe3aNJ0lz86U2n+cvfelL/+Sf/HbOJvn0gkzrEM2Da9HF + qDDm7lG5Tse2HR3LhQEAAAAAgLdcjP/Z7/cPHz6cpsnMHj9+nFJa8xRwU2IYTAy7mqbptkdeAZTp + BwAAAAAAAICbF1Nel2UppcTUax2zfmPNOI6xcJp1/byu6+Z5ji1LKTE6M6U0z3OsGYYhFlprL+pU + iCjZcRzPz8/XSOzY52t9qEjCHobh8vJyPex499faD94PEUscp/Rutzv90Waz2e/30zStQcVkWt9b + cc1ZlqXrujglJNVa10jp0y1fN9M6RPdqLOecY9nM4lIZV7yzs7NxHCN53d3Pz89ba8uyxIWa8xMA + AAAA7qsWYYdNKUuHWGuXTCmZmms//vt/7N/dfvR4azU/6L/17T/4zLbrL6/+0NJvpvHz2praVKe9 + Whq6i3EsJXc5f3s/nZuWqS5SNklKrmav8Ch50W7RQy3/gnS+TKmZ1/Gjx48+I3X98J1d/fL3/8DH + Z13qh3RR/8Dzf/P7v6+OWGsAAHCLXC55l+Wmi2n3QUo6O/fHu+zSSQp1ckU/ZfPXTraW0uGuzOJe + 7CDukQ4/drlpytKw0aZTUq2ty72U7Jhm7YcgazEN6y0S98OHJ2l97PvUqmqrQz/Mvs+lU6mjWX0j + BYiOp2h7cnityVuSahyzcxIBAAAAAAAAAAAAwKdiZjnnlFJMRG2txeTB2y5fm1K6urrSMeG4tXZ+ + fr4G5OA9FvP647uOGaOttdbaOqvUzOZ53mw2d32kAAAAAAAA7zB3z6n70n/wpd/4ja/0fYlA65xt + qZ9cOixqFZZSItO6lOLu0UiYUlqLNJZSonnnTX4WAAAAAABwPfG7fBQbTynFr/9kWt8Gd49M6xh5 + FVkVUW4duA1kjQAAAAAAAADADZvnue/7mPOsY1u/JDN79OjR5z73uZxz5LxGl8CL9nOaaa2TIGp3 + /+xnP/ud73wnuhMi7volc6qjy2G73a6zvnPOr5tpHcxsDTBOKa3ZtLiHok9rnuc4S+NsjIDh/X4/ + DEP0b7l713Vrnjrup4is7vt+t9t94Qtf2O/3z/Q3D8MwjuN6lXst0YHdWoso69ZaDFKPXcWleJ7n + YRiiDEEpZZ5nSTGKfS1VcKOfGAAAAADwjnHJjpnWh3Druarqg8v9Zz66+KBovHr0vV3qHu0flGGe + Z1MaNTdVlw/WLeN0LvlSLeXvPX/w0eXFuZWmWS43bzLXKzyaK6lkedUsdcrelotW/8WuT65vTuPD + 1M27thnHlNrgZfPggV7YsggAAHAzTNZqU8qShn6reaelDdNSmrTGA3ts+SnexZObPHbozSQ32THT + OqKHl6Q5JZkrp2WZSxlkmqal7z6ps9KPYdd0T71VTCYlaVx8KGZKLs+WVWfl9O397g/Zm8uTtkME + e8ve1JqqW4kDBAAAAAAAAAAAAADcGDOLqX8xw/S255muU1kjICelRBzOffDMt1xrjdmm6/lmZuM4 + xiTT9WwEAAAAAADAK/EkqVmStEz1y1/+z37lV341Z4tMa5PVF2Ra61inLsKuogTio0eP1qbCKF4X + BcFOyzYCAAAAAIC3WfyaH4OCUkqS4jd6kq1v1na7jTCIs7OzNUr8rg8K7zniRgAAAAAAAADghkWE + ajTxj+O43W51nBP74MGD/X6/pvy+vKNlTWOVNAzDfr9fd35xcbHZbOZ5jmjY6Lx5kZh8G+8Ybxoz + cmOI52tZXxg9RjnntesI903kB8eptSxLnGZrwHBEFK//ECJM/a4PGXcgrjxxGsRCXLjWS1DOudY6 + jmOMPr/GW5RS4loa49SnaYprbClFUix3XRedrymlqD4gKdbE401+ZgAAAADAu8MOcYfp8CQeTVJV + alrG7Tx+wfxs2s+abdQgLXPNkmWb65KTeqXa5iI1qZS8X+rF5cVgaZ6nXmmRNz2175c8yrXM6nub + qiepqFX557rN5bxP0meS5nE+d3Ua5nFJamk3i1HcAADgdqXmLec8jy33yaxJ7Sd+8If+Ncv52M/o + kilJTZ86Qtpf8HpTktKSbMxZJVdLuRvc3Zr1XWnVUzHXkzsjCg+/VZ7cctuTm9eumLtyttqqJVPX + 6/Ly7AvfU7/xe7d6MCki2A+B1jJvMpk3ValKrnRy9iTOJQAAAAAAAAAAAAD4FGLq8bIsManw2vOa + X1dMM1yruPZ9v2Zd433V9/2yLDHtXVIpZRiGcRxPt4mU61rrGzgJAQAAAAAA3k+efuZn/vIv/uL/ + tDa25ZRrq8lS9RdOeG6tmVkUqZvnORpwoiTjdruNamCnZRsBAAAAAMDbb1mWnHOMDso5R73xuz6o + 981ut4ty7h999FFEiUvi7xm3iqwRAAAAAAAAvJOi/TRiLNdZhUwjxNtjHRwZ4ak6znyO7pYIAz6d + gL0mQ6+TZqN7IDKtdXKeRw9NKaXWmlI6nUDbWovlZ9asbxRx1PGjl/x7WXsmcs7rcuwknkaA8TNH + jnvIj3RydsUY4tggEoUlkWl9H5RSnunXXE8GM4tzIE6S02tRrfWZhReJS9+6vK6PvcX1ahzHNUL7 + 9IVxePF0vSzHGjKtAQAAAOBe80NSXZOUpCTPqkmeXMtORdXnWne9Wpa6TqNpTlpMS3OTrMlbW1vH + 5qWalCXz1ktJLcuLq7zaY3JlT/OUqnJVnpWa8uU8NWmRdk2LKynVuZlKU1qSkbMHAABuW7Ykpa5P + yWRqWmqZ5m5aLO6jXJLc1D7djUlSkid5ascg4dZUm1JWzhah2VPJc9er5OWQoW0RmJyy6STT+inc + LL1VPEkpsqKTFD05OUUfYtL2/K/9g193u+2u52SeJJkrqZlkruztSz/0Q6pV3g7ntGScPgAAAAAA + AAAAALg3TmcFns4hlbTWHo2ny7Lc6ZHinZRzXs+cN1MHwN1P82/ItL4nnpnfOk3TMxu01tZprQAA + AAAAAPiuzGyeasn93NxTzqn7uZ/7ub/5N/9bSe6q1V1aWnWl6jptWI7WubXonLtHO3OtdW1q7vs+ + frpWAyPTGgAAAACAd1Frrdaac17HBUWtbzu606N7ZzzTVLLWV3f3aZrWv9Lnx8MAN4u4EQAAAAAA + ALyTooU6IjCHYdjv93o6wxJ4C0Uma0x8HccxxlxGFGssx09j5OVLpmdHPHZKKaW02Wxi+zXlWicd + DCmla4zUdPfoqIh9xoHRYwHgJVJK8zzHCPK1HzSlZGabzUbHZOvvml39IsMwxKVPJ/2sKaWzs7PY + 5zzPl5eXfd+31rheAQAAAABeiR/SE9PJiiq5tKhq06uOpc+zmicvSeMkJVmWslJSSopc6YjlS6Zk + KiY7ScAzSab0ao/JlC0XK9mKWZKlCGu0lOJZsdQpdVIXb5ffRHlHAABwz0U9X2+qtSZfJG1km5yy + N91K7m+Sp+QaOus7jYtqdUnN8tIPP/Pr/4dS0po8/DQ/vP64xNiBt80nfyMtKUmmnJRSveVvzZ4+ + dZIrSdlVmqtK7eQYudcGAAAAAAAAAADAPZNScnd3b63FY2vt6uqq1rosS611nXYKAAAAAAAAAHi/ + RTnEruuiqljfbX7hF37hr/7Vn33R9u5+fn5+WjhxLTUWJcIuLy/XMqE0NQMAAAAA8K7LOaeUpmmK + JIVa6zAMpRQzi/rh7t51HUPOXkXEScRfVORWzPO8BkNESfZa6zzPUaH9ro8X7zP+uQIAAAAAAOCd + lFIax3EYhlprznmz2UzT1Pf9i1pUSbzGW2Ke5+hxieDVyHx191i/nqju/vJk667raq2ttUiQjUoB + Oo4ETSlFJ8T1zvwYSBoHuebFXufTArhn4uoxjqOk1tp6udNxlHlc2daVry72mXOWNE3TmpB9dXW1 + XiqHYYiFNfcaAAAAAIDvwtZIa/mTLOqWZJoX5XxRl3/pg89+56Nvf7DJ/VLbctimSSY1RQRfvF4u + NclNLk12WCmXSf4Kj3JlzcnVji9txz2nQ3h2M7VDXqMr1bRuAgAAcEvMsiQ35WSSaZ7SsozzxXBM + /TU/3P/4NYOk45ZKkpqZ5HHns5t86NQluVRsM9b5o3nSdnN4Ux1un+LJGmOdTo+BAQJvq/T8l2NJ + 1pST3/63tt5D2xqF7q2rTa3Js3QSaH3dcxoAAAAAAAAAAAB4t0QFTElmts75cvecc9d1MZU7ZrzO + 8xy1R+/uYAEAAAAAAAAAt+5qP/V9n3N3cXFxtn3wy7/8yz/9039+rRn2dG3EJimldHl5GWXB5nnu + +77W6u6ttaurK0lnZ2ettYi2Is4KAAAAAIB32m632263Ohl4FsPM1g0ePHhweXk5TVPUKr+bo3x3 + mFnXddM0ReTKsizrQL55ntcxezln2lVw2zi9AAAAAAAA8K6KidCS5nnuui5WMh0ab7PWWjT6Rxx7 + ay0GWUoqpSzLEimtpRR3j+VPlFJalkVSjOBMKaWUIhu767qUUqS8R072S7KxXyR6euLA1vc6/YcG + AM+IzuO1nzjnfFqjJLqQ45LV9/00Ta+7fzOL/tTTa9p62Ywh7KWU/X6/2WzoYQUAAAAAvBJ7dua0 + nqQhmlJW6erZ9v/7xkcf9Oe76ep8GJp5cjVTNcnakwBFlx2eeDV5bCDl9hrt1Sbldgh1bOYuNWuS + kpSbTLJ2GMPtJjftu0TMHgAAuG211kOTe22aR7ltu3I2nXm9bFLcGrkUi9d9k3jhsU66K0ldkpk8 + aV606KpsPlh6KSdZyrHp8UbOpXYMIDY9dYNHKvFb6Olv5OScSUkpHW+Hb1E7PQ6TpOTqmtTa4beB + T/o1AQAAAAAAAAAAAHjvxaTXtdKopNbasizDMEiKGa9d161TYgEAAAAAAAAA76vNZrMsbVnGs+2D + X/u1X/vwwx9PKb+kLmKI4mDDMKxBVtHmHFnXURSxlNJaO22LBgAAAAAA75btdrvf74dhiGok8zxP + 0xTFwzebzX6/v7i4iMAFRpq9itbaNE0ppWhRiWaTNdm66zp3X5Yl6r3TroJbRU1/AAAAAAAAvJNi + CnQpJeecc5bU972700iNt9na3B8nrZlFFOtp+PTpcMwXie4ESTHE093XBOv9fp9zjkzreItrxFp3 + XVdrjTIE8zzHEZJpDeAlxnGM3uLIrl7zp+Nxnue4uKWUopd0vY69IncfhmG/3+t4nYw9l1LiGpVz + jmTrWHnznxAAAAAA8F46Nien42KO501KRd4+SqU+eJhKGdLn/9m3v7l5sFVEJ5pqUiRYSypNuSm7 + zJukljQnuSm3Y9z1K3CTlCLK2q3FCw+Z1p7MJSWP2Ehrkr4zDMoMLwYAALer5OIuS1JLSln7qzZP + U913T4Ksk5R03Uxrt0MWtUnpeP9jkrmWRV2xXFJa+m/uL/vv+1c0znqgrOMtlknHTOvj7o6PJldq + J7d5uBMnX9TJk8PC8ZzxJMVXZf5Gvq3n3sWzN3kcWJPy4SA5dQAAAAAAAAAAAHA/zPPcdV1MCss5 + xxSwruvi0d0jyrqUMo5j3/d3fbwAAAAAAAAAgNu1242b4azV+atf/eqXvvQnp+kwB+RFc6Zba33f + T9MUjcxd183znFJKKUXRsKhhGEXDyF4CAAAAAOCdtt/vN5uNpGma1iiEqEy+2+2iWWBZltggnt7x + Eb/dolR7VGtfy7ZHrPU8zzFyr7UWFdeXZWEIH24PZf0BAAAAAADwToqG1Fi+vLwspQzD8JJhatdI + 9gVuQ3S0LMuy5r9Kaq11XRdr1mjYlydSR2dMbFlKiXzr9SWR7x51BGKb1zrI6OYZhuHy8nLdZ7zR + p/joAN5nETUtKS5HOee4aETVkhhrruPV6Xr2+33f9+5+dXUV/8ePS2UEWktaY63jSnsjnwsAAAAA + 8H5bW9/smVWtyYo26b/7zd+UspaqqyttByU9yamOX3Lt+Kr1T6Qy2kli36tbX2jtkNDoKYKsJcmi + AfwY3ViyMu11AADg1tXackmypmlRymfDxncX7Rhn7cdE62aHXOpriX3k9W4omyxrP7lUP7sZ8py+ + fXml8zPF7dgakGyHA4iDOezp+bhrvD1e8K1UKd9+VSI3Sa2td//HBXMdb+Wf/IhUawAAAAAAAAAA + ANwTMVk155xzbq0NwyApJqXG7LCYBltrjR8BAAAAAAAAAN5vOXWSvv71r//Ij/x7jx5dlJKWpfVd + P87TJ26fUpqmycyi/tiaVrXf76NWWBQie3llRQAAAAAA8E6ITGtJUQA8pRQFw8/OzqKJIJIRYjQa + mdbf1TNR1jlnM4u6613XSWqtRVX2eZ4puo5bRU1DAAAAAAAAvJPmeY7m1Frr+fm5pGhsjdFswNsp + Gv3XszdyqSWZ2aNHjz73uc+tHQYvP5lPM60lnQZXD8MwTVMpJUJkY8trHKqZ7Xa7WE4pRTz2NfYD + 4J4Yx3FNtt5sNjGafL001VrjchT/1768vLzGW8RlbRzHGKd+eieQcz6NsqZ7FQAAAADwWk7y6lo8 + 8ZQtJUl6eCY3NddnzjXP6k6i9jxJTydj28meDlGLrzO5OgIaU1M6ibVuSUpKpiRZkkve5C41WVLO + r/9xAQAAXoer5FSl7JLSF//ID/7hxxefL0Nd9vFzc5nJTe5qdmNJ0u4yU0pK0sX+Km22yxqjvcZa + q8mON01S1nG9pzXumrult4fbc3fHfnw8nDmp3f5hHM7S04Oxth6GjhHrkpJItgYAAAAAAAAAAMC9 + 0FqLWVoxPyvmt8Z80v1+v9lsaq0ppQi3dnczetIAAAAAAAAA4H02DMPv/M7v/OiP/tg3vvGdnG1Z + Wsllmicp5lk/O/8jspfcPVqSa63DMOz3+9MNotl5WZZSCu3MAAAAAAC809y9tebu8ft+JC+cliKP + qGb3m6pB8j6LBOuc87Isfd9HLnj81bl7/DSywwmJwG3jDAMAAAAAAMA7qeu6dSK0u8dEaDKt8ZaL + VNeY4T+O43a71XGq/4MHD/b7fdd1cTLHAM0XiZ1EXvU6cDPWTNMUUbLLsqSU5nk2s2t03tRa44Wt + tfiHFgvX/OQA3neROR2DxeOi5O5rP+j6P2gzu7y8vMZ1Kf5fL2kYhngaF8x1IHu8dXRXp5QYtg4A + AAAAeEWHXyD9mGYXUqneVLomr7IiZcn64pLUpGQ6CeE77shN7Tgh+xCS91q/nvox0s9a0zGxuiWZ + qqke36ooHdMZ13cDAAC4HRH0657M1Fx1ebjp+pLH8SomokQK8LNZxa+jmZKrKekQbdxcTZJlfTzp + g21eppZkVfbXv/IVpfzU7Y9JUnr+ruh4D8at0lvH9FTyuT0Jk5YkteyHG+l6/PLyMcXcTS2+8U9z + vj3z/HCGJ7d2/HUgceIAAAAAAAAAAADgfmqtRWnRUkqtNWZsbTYbSVExs5QSj3d9pAAAAAAAAACA + W9Qsfeeb3/zwwx//2td+W1KtPgzdOM5d6ablZaU+o9RY1Crc7/dRHyylVGstpUQZw6jBCAAAAAAA + 3mmttRhgFk0Bfd9LimyFaARorUV58OvlI9wrES0R7SfTNEmKUHAzM7OowR4r+ZvEbaPeCgAAAAAA + AN5VOee1VZoAS7wr1vGUkcwqqe97d1+WZY2OPu1oWZOkI1tax+jWyLSWNI5jLKxr1kjsWHhJT8P6 + r2b916RjN8/pP65njgQAnhfB1Wv4dCxHP2j8KK5R8dMYay4pekb1SVeY9Ufrq07/R//8NWrdz+kF + DQAAAACAl7OnltL6x6RsKUlFNkSm9XEri0xrPbUq/piUjs+O/3nNo7H4b0rrwRzDGjupSOWpQZ+0 + 1wEAgDfgGPfrLmu6uijWirJUmuSHW5aWvWVXOmYSv+quTW6qSdXkJtNiatW0mKZFG6ktzavPGstm + kJmGjVI+3HUlxX+yUqeUlUxJlpRS3Fblk7s43JXTm2I7XfXU7ffh+1JTWlqWumxj05LkWXL1UpHM + 1SwtlpqSebLXPNlCUksuk3JTciWXXM3M86K0xH23+eGw7LkUbAAAAAAAAAAAAOC9FPOz4jFSq2Mq + 66lYT6Y1AAAAAAAAALyLzJP5cVaytdM/KSv3+Wq8Uk7NpFz2u+nDD3/8//2tf7xUpZxlth9nS2la + ZqmZeYQq6blG42hbjipk8zyv9cFiPWUMAQAAAAB4b6wDzE4rgUcwc2Ra55yjovjzQ9HwjDVaYlkW + STnny8vL09SV5xeAW0L7HQAAAAAAAADcMTNbu1vGcYyOluiPieX4aa31NPH603P3GBJaa12LDkQM + LQDcKncfhiFGn/d931pLKXVdFyPRU0rxI3dvjcwAAAAAAMDdsNPQvVfb4tOO+X2SbP3UmlhNNCMA + ALhjrWVf0qGvMsKl1dJ6C5OuOT/l2PkZMcPN1Ew55WRpmn3ou890D77x6FvqN83SUzdgxxunJ7dj + z63H2+70Btjd3ZNsrt5nNcmKijQfT6wmuR3OsU8zFSrOYTtZdmtrSnYEZtvhDQEAAAAAAAAAAAAA + AAAAAAAAAN5PUebr6urqgw8+kDSO47IsP/mTP/kb//A3x3FeS4HpGEpdSnH3ruti5bIsUUExkpam + aTKzKKVIiDUAAAAAAPdNznm/37fWzs/P12CFiGrGyw3DEAt930/T1HXd3R4P7q1y1wcAAAAAAAAA + ANA8zznnlFJrbZqmGKAZwz0j3zo2c/cbTLbuum6e53jTWmscxo3sGQBezt1j6Pk6GF3HS9B6oSPQ + GgAAAAAAAACAu2SS5J6alKU15dclKUne7ElLfiz560RJJz+8KumYKWw6xmYf9jTP85XPn//C90lK + BFW/38zy2fZivJK06fIyV82SVKR6PCHMW8Sn04cEAAAAAAAAAAAAAAAAAAAAAADwKtw+eR5GREz1 + pa/Vx3E+P3/4U3/mP/17f+9/accyh8+UJVyWpeu6qBh2fn5+cXHh7qfxVFE0bBiGWF8KUTgAAAAA + ANwX0RQQpcUlbTab/X5PsvWrGMdRUs55miYdy7Pf9UHhPkp3fQAAAAAAAAAAcN+11kopZlZrzTm3 + 1lpr0ddSSqm1xrDOUkrO+aYyrXUcLRr9ExFuLZKtAbwpKSVJtdaUUoRYp5TiKnd1dRUbRJdqrfWO + jxUAAAAAAAAAgPvKTWv3ZHZv1po99aPj43WChpMr+SHTOknJD8nVZla9lZzMzNQ9+vgjmZqTZfxe + Mw3f83nfDJvt5nJfi5TNRqkrxaXGnDsAAAAAAAAAAAAAAAAAAAAAAICbU2tNVnLOV1f77Xb7X/6V + /+oXf/F/dFffFzOLsoQpJTNbA6iiaGHO+eLiwsy2262ZRRHFKCPm7rXWWHmXnw0AAAAAALxZEaYg + qe/7nPN+vz9tUsCLmFnOWZK7u/uyLGa22+3u+rhwHxFrDQAAAAAAAAB3LIZsRueBmaWUaq0RX72G + WA/DsCzLzWa7dl0X75VzXpYl3qvruht8CwB4kZxzDFuPftOu65Zl2e12tdbN/amb5gAAIABJREFU + ZiPJ3YdhWDtWAQAAAAAAAADAnXGptexKsSg1e+pR1022XjOtT9eklKqUc65yy/nhFz4nmYxk4/da + 0n/4K//r1Hff2e0fDhtz+ezbZPtlaZIrSUrHE0aSOB0AAAAAAAAAAAAAAAAAAAAAAAC+K2tP/gRP + 8jQtrVna76fzs4f/w3//8z/7s3/dXTlrmg41CVNKOedYzjl3XTdNk6SIpCql7Ha7yFuKAolmFlUN + 7+qDAgAAAACAO5RSkvTxxx9H1XHCDl5FpFlLaq25e9/3+/1+u93e9XHhPiLWGgAAAAAAAADu3ukw + zWVZovclul5KKZLGcYwFu7ly7fM8t9aGYRjHcd1tHAMA3Kqc8zzPMWZ9nuec8ziOkrquyzlP07Qs + S4xQn+f5rg8WAAAAAAAAAIB7KhKsJUlNTaU18yZznSRYu8mv24EZr1untbTjfmqtvaV5nuemvddv + Xn6s1kyZKTDvM0vq0mW2buivxn2n0lnaNXezak9976bGeQAAAAAAAAAAAAAAAAAAAAAAAPBp9P2m + 67qh3/7SL/3SX/gLfzFW1vpkg9baWpbQ3ed5NrNIrY7SYX3fl1Jaa2YWxQyjWGKUVQQAAAAAAPfH + NE1mFpEHkXcwTVM0FOAl4i+t6zp3j7+3zWYT4RTAG0YtFwAAAAAAAAC4YzEuc57n6GKJUZuSzOzR + o0eScs6llGVZcs7ufoNvbWa73S5StFNKtVa6eQC8AXEpi/7RGLkel74Ywl5KKaW4e8656zq6UQEA + AAAAAAAAuCPHKScu1dbXlk6irtPab/npOjBb/DlmWrtp8ZZKGV25K+XBdvPZz8q9nbwh3kNJSv6o + zrOrWKfW3JtLltManW6uJLqNAAAAAAAAAAAAAAAAAAAAAAAArsWTPDVLzVLJ/UePHv/9v/+rf/bP + /rl5dknb7SAp5xyVCSW5e0oppRR1wNy9tRYVCyVN07Qsi5k9fvx4GIZ5nmN93/d39gEBAAAAAMBd + 6Ps+Gg3cPdoHJK0LeJFIoxjHMdperq6ulmVZW2aAN4nTDgAAAAAAAADuWNd18zx3XSdpHMftditp + miZJDx482O/3kflqZjee7VprjU6L2HPOmfhYAG9Aay2Cq3e7XVyF4mkpZZqmGMIevc7uHhsAAAAA + AAAAAIA3L0nRm6jmXWumZi4dM62Ty1yfvh3fTa5DdLGklPNunrLJS/rW5eWX//df1TC0T5mejbec + SV3ys021lFM3qSWVzmw6TlNk+hMAAAAAAAAAAAAAAAAAAAAAAMBNGcfxa1/72k/8xE88fryT1Pdl + txtNVmttrZlZKSWKH0ZxwrUUWIRUlVIkubukhw8fLsvSdV3OeVkWihkCAAAAAHDfrJnWZubuOed4 + etfH9baLvzdJ8Xh2dlZKIQ4cd4K6LgAAAAAAAABw9yLTWtIwDLHQ9727L8sSUdMppeiMiZ9GB0Ms + 5Jx1MtbzeeuPcs7rcuwtnprZ6Q5v9qPhPeburTV/TqyMbQgkfncty7J+jzFGPAaRv0hcYU47QePq + dHpVWc8Hd5/nWSdXv7jKSer7Pp7G25kZZxEAAAAAAAAAAHfCpCRLklz/8Q/9231tuUnWkst0SLNO + rtOnryWirCPNuia1Y7K1u5eS3bVU9+1GXSeZ3UB8Nt5uKY2tudJS20Z9VXV3M0lNop4RAAAAAAAA + AAAAcFuiCGZMJYt5ZLG8LEv8aFmW2JIyowDeQmuoUsxa1fFiFdNXzSzmuro7ZX8BAAAAAMD9FLUK + a621VuXULC1z68rwu7/79R/90R/71rc+MpNL47RYKk2HyoSSaq3RqBL7iUgqd++6rrUWNcrWduN1 + s1IKxQwBAAAAALhvUkrTNJlZrdXM1iFn0ciwthWUUl5e5Pw9FiNYThckpZT2+338LS3LEsNg4hF4 + w2jRAwAAAAAAAIC3lJmVUlprrbVxHKOnIYJjYzl+Gp00LykHsA4JrbVG500pZZqmN/U5ALx73D1y + pqMD+HT5E202mxiAvhYuWaf3x9VpGIY1oJryJQAAAAAAAAAAvENMkmuo6ptnP2RaSyaXHZv8k0t6 + 8vR1tWPEdVgXatKSpSwZscbvO5Msuel0opPb8Sd++BOnSiPiHAAAAAAAAAAAALg5KSV3j8lfMYM1 + ZpOdnZ2VUrqu67rOzGKu610fLAA8K6U0jqNOav4OwyBpnueUUsx1jTURvHSHhwoAAAAAAHAnxnFs + rXVdN/Tb1g71wX77t3/7i1/84h/8wbdyNrND00o0ApdSIrs6aoUtyxIVESXVWvu+X+uM6ZhNBQAA + AAAA7rnWWt/3+/0+51xrHcdxLWyec26txbA0d1+W5X4mW7fWcs5r2fa+72NlxEZM0xSRE9Esc8fH + inuJWGsAAAAAAAAAeHvN8yxp7VSIugDuPs9zVAeIzaJkwIt20nXdsiyxk+iumOf5fnbbAHh1Oefo + 4p3n2d0j6PpFG0eHcRQu6fv+tHs4rk5RFKDW2lqLCxEAAAAAAAAAAHg3uNRaX1tXmymSq/0G56I0 + ya01e5JmfWqxpJSY/HLfuDziq92UXNklNVmTCDgHAAAAAAAAAAAAblIUDI0pYJFmHYVEJc3z3Pf9 + OhdsXQ8Ab5VIra61ppSWZXH3jz/+uNYa8/F3u527X15exrxX5rcCAAAAAIB7qBuGudZxrhe7q5yz + N7u4uPzwwx//2td+N2dbqtcmsxyTd8xsWZau66Ls4YMHDyRF00q0IU/TFG0vkuZ5joQqAAAAAACA + Wutms6m15pyHYYgxaVGQXFJKKSqTR9nzuz7YO+Dutdb1s0dORBR+r7X2fd9aK6WYWSRTAG8YlX0A + AAAAAAAA4C21diFER0trrbUWXQ6llFprdC2UUnLOMb7zE8Vma1mB6MKhWwLAS5jZbreLS03XdVGO + JK4enyiuUZJaa9M0RYGSmN7fWttsNu4eG0T09Zv6HAAAAAAAAAAA4FNYeyDdk7fibsc17emfN5P0 + ybnU39Xz01rMJU+xs5okk2TMfnnPudSUXHGONanp0AVuLnPF+eAviD8HAAAAAAAAAAAAcD3rtK+Y + wRpPa61m1vf9NE0xd7Xv+/1+f9cHCwCfIOa3xjz6Uoqkvu9TOoQwxRz8eFyWhfmtAAAAAADgHooi + YDnn7fZ8v5vM7Md+7Me+8pWv5mzRitJ1XZQ3NLNoZonHnPPFxYWZbbdbM1tLkLn75eVlvDAaZAAA + AAAAwD23plZHa0OMQ4uxZ7EmapXHQt/3d3iod2Kz2cRCfPaUkrvvdjt3j4yJ9a9OEuNbcCco7AMA + AAAAAAAAb6mUUozvzDlHpmytNbph1hDrYRiWZVn7Yz5R13Xx2pzzsizx2q7r3sBHAPDuGoYhrhuS + 3N3dY6D5J4qrUHSCrivdPfpHHz9+vK6c5/k2jxoAAAAAAAAAANyY0/zg7EqRN/xkZTp5vA47WUjH + 9OJ8zDBOkiJH257aGO8tV24yNam5qR4TrJOU9eT0a/YkTx0AAAAAAAAAAADAp7RGvUZNzL7vY/Jp + rDSzmC82TdNaWBMA3irzPKeUYjZrrXWddL/OqZcUuddkLAEAAAAAgPvJ3aZpaU3u3nXdn/pTH/76 + r//DzaZfqk9zzblLqUgtZzPz1pau66ZpkhRZ16WUyFjSsRZZrfX8/FzSOI4vL4EIAAAAAADuiWma + uq6LMAVJZrYO21gLm0fOwtrycK/s9/vNZmNm8dmj6nspJfK/W2uxprWmYxA48IZx2gEAAAAAAADA + 2+t0WOdaFyD6FWLq7DiOsfCSuNl5nltrwzCM47huFvsEgE80TVPM4Y8U6ujaXHuCnxf9xKWUeZ77 + vo+B5imldeF0y9s/fAAAAAAAAAAAcDPWVOnkbpJMbnLJJTe1iCA+rrkGk+zklekYaJ2bspQOKdpJ + nhLJ1u89b6fp6et5YSfnybXPNAAAAAAAAAAAAACfaJqmnHPMC8s5T9M0z3MpJeccKbAxF7Xrulpr + TG4FgLdK13UxE3Ycx5xzznm/30v/P3t3+yNJlt33/XfuvRGRWVU9s0vaJuA/wvC/Y/uFvLJkcsnl + gwmShmFSgmX5FQFCsg1BhEUIJgyIkGUYEEjBEAVQgPgAkSsINCkTpCGapklZXu5odqa7KjMi7r3H + L05VTPZM98z0bk9nVfX3g9pEZGRU1s2s3KieG/ecn0opKaUoro8NUV8PAAAAAADeSjnniKPuTT/+ + 4z/xq7/6q5Lmeck5x9xvzJwMwxDTwuu6mllkKeWco6tYdDt092iN2Fpz92ma4jAAAAAAAPCW23qS + 6255RvQkj9jmaGyeUoo+529hbPN+vz8ej9HCXdK6rjHHklKKlS3rus7znFJaloV1ejiLt+7/lgAA + AAAAAADwUMQ6zmgBEHcjDtbMvvWtb0mKZgG11lgw+ilPZWaHwyEu1UTQbDwnALzQdvKJ/iOxc5qm + z/xGM1uWZb/f39zc1Fq3C8bx6DzPcdb64kYOAAAAAAAAAABeC5e6tJU62Un9Sb8LtI5wa78Nn341 + 5kp3GcbJZX6XXizZdndL1X4+/RqPkEtd2T2i0t165Kabf/TZu/282XOh1wAAAAAAAAAAAAC+E+M4 + Suq9R3hJzjmirFtru90u9uv5TqMAcK+s6xpnsN1uJ2nbkLQsyzRNvffWWlTIUl8PAAAAAADeQrX2 + cdwlKz/90z/99//+Lx4O6zgWd7Xm69okpZRSSsfjUXeTxpE4FR0LJS3LEv3E5nkexzHnnFKKY7bA + KgAAAAAA8DaLoITWWu895yxpmzcws2ma4tFpmt7OyYTThIjYMwzD8XjsvZtZa20Yhmmaaq3jOEYU + BfCGsTwUAAAAAAAAAO6pYRiiklbSPM/7/V7SsiySrq6u4npDrdXMttDZl2mtxXWIOPI0pxYAPiku + ZEqqtaaUcs611sPh8LLj4wwT687d/YMPPtjv95FpHeKcE5eNKfsHAAAAAAAAAOAB6pJOwoS/01hh + /6iKqitSq10ueRS6WPdPHINHLcnS3a88QtVvU891+xHR6yq866Zmt7fJNfSuVuXq8ZGzu/EAAAAA + AAAAAAAAb4fWWkqp1lpKie3YHxEm67pGPEn0FT3rSAHgBYZhWJYlymDjZFVrldR7H8dxq6yf51kS + 5zEAAAAAAPD2SSWPh5v57/ydX/i5n/vb0X1wWWpOeZsNlhQRSsMwzPO8JSdFsnV0DHP3iJ6KJmNm + FodFThUAAAAAAHjLlVJi5UZKKUITcr6dfKi1zvPcWov1G9tyjrdNztnMImMiZlp2u11KaV3XbWVL + KWVZFmKtcRbEBgAAAAAAAODxOI3PBB6HiJWVNE1TbMQVl+3CjKRYBrquq5nFQk9J7h5XcXTyf41t + Daik07WkAPAx20rxcRxjI1aWL8syDMMwDHF6idOOpN57KWVd163CP/qYbE+4nXNYgw4AAAAAAAAA + wINgz+f6NlO09TVXuguZ7p5kz8UPv5Jmtz/IpOS3McPmyeRmaq4q927yJPlryzTGPZWkoachpSWp + SUomeZKrqsdvP/ltmnpy2asnq5vLTd3kUkvx7cnkV/Oi46J3VaVs6n1NPaW4pMWnDgAAAAAAAAAA + 4P6JIsqospznOUovo8QpKihTStET841ll76uJpIx8ngt67pu5aVftCj42t6x7X3base2GlVKwwDc + T1EJu9W0xkaUtW7FrfH3gvMYAAAAAAB4FE4rfrqkqO4ppRwOB1Pe7/fzvJrZOI7H49Lq+sv/6Ff+ + q7/yV1tTztaaS6r9oyn06Bvm7uu6xkbMGI/juCxLTFxvLcW2KfHTroYAAAAAAACf7EZuZpFmHav+ + YmVa5Dq/bSK+WncTOJJqrbGOJRYKnoZQnG+YeKsRWwIAAAAAAAAAD8xWQNtaW9c1loFuZbQ555yz + mX0s0xoAvnPDMMzzPM/zsizuHqcjd1+WZZ7ndV23VealFM4/AAAAAAAAAAA8Bn4X7mt3//Nu3uVp + q0gxl736ZYF+UtJid3vaXUObSLn+6Fg8biYpuSWpb7928ySlZrex6Xb39e3/EJckN7nUTd2UXBfe + ZdLcJC31mJOllF45NBsAAAAAAAAAAABvSpQsRYPLaZqOx6PuwjN2u11sv8lM69cosrqjfecwDK21 + h/gqAAAAAAAAAABnsSzLfr8fxzFagY3j+OGHH+4vL//ZP/vnP/iDPxxp1nFrZim9NKfmNGUq5zwM + w/X19WkwFQAAAAAAwOeUcz4cDu4esc1v7QxDLA7USWbEOI6900oF9wix1gAAAAAAAADw8MQViJxz + XINx91rruq7jONZanz592nvvvUeyNQC8RtM0mdkwDJKOx+OyLJKGYUgpRdsUSSmleZ7PPFAAAAAA + AAAAAADgecllLpOyK3eVLq3tJ/69f19lyNJURncK/wAAAAAAAAAAAO61KFyK1GdJu90u6ptyzlHQ + FGnQW5XTeUf7+W1DNbNSSry6nPNZBwUAAAAAAAAAuLe69FwFRLKyzHVrP7iu7fLyya/92q9/9atf + 1V1qVNzmnD89NmnLvW6tffDBB5eXl1vkEgAAAAAAwOfXWtvv92b2/vvvD8MQsxZvZ7i1u5vZ9fV1 + vHwzI9Ya98qDWW4LAAAAAAAAAAittdMOBZKur691145hXdfdbnc8Hs0sHgWA16W1VmuNc0uttZQy + DMO6rpKWZYk+KXEiivTrMw8XAAAAAAAAAAAAkCQlKemjTOvkKt6z99T9YpzUqkne12RJLl/buccL + AAAAAAAAAACAl5qmKfIzoqzp1DAMknLO7j6O48Nq+zgMQ+89XlrOeQvqBgAAAAAAAADgeS+Y/e69 + l1JSSsMwlTIuy/LHf/zH3/d93/f06bWkWus777wT2VG6a2D4Qikld48Jdnff7/frum7fCAAAAAAA + 8PlFx/IIt17XdWtsfu5xnYG7p5SmaWqtLcuyruvbGe+Ne4tYawAAAAAAAAB4YOIyjKRlWeLqy+Xl + pbubmbvHdYjdbheXKJ49e3bOsQJ4XKIfyrYt6Xg8RreXcRyXZZE0TVPsaY12/wAAAAAAAAAAALhf + kstcyZW7Utc+D+v1QWvNUk6pt6ZkNuRzDxMAAAAAAAAAAAAv5u61VjPLOW9lTVvAxrqu0fYxpbQs + S0oPps9e731d13hFkmLk0zSddVAAAAAAAAAAgHvoLtPa+u2XJ3nKeUip3Nwccx6WZfngWx/+h//B + f/Stbz0z0ziOZvbhhx9K2u12rTV3f9mzb63DtoipbUIeAAAAAADgVc3zHJ3M3T0yFLYO52+VlFJM + tuScx3EchiEWPQL3xINZbgsAAAAAAAAACL331lqtdRzHlNK6rr13M9sOiCsT0Yjh6urqfCMF8NhE + z5d5nud5NjN33+12cT1Y0jiO22r14/H4dl4eBgAAAAAAAAAAwD3U7/o23WZau5KUva/LcSpZZnKZ + LOIBvFL+BwAAAAAAAAAAcE+ZWSkltq+vr+d5lpRSSilFt8fYc1px+SCklKZpWtdVUtRtbXkhAAAA + AAAAAAB8nL2g8GFZlqurd47H47On11/5ylf+33/9Z0+eXHZXrdXdx3HMOR+Px0+fQs85l1LcPQ7b + Uq4BAAAAAAC+DdM0SYqm5eEtnG0opRyPx9heliUCrU/fE+DsiLUGAAAAAAAAgAcm2itEXuy6rsMw + xP6Im5WUc+69R7vtuDgBAK9FKaW1Nk3TNE1bsrWZmVnvvfeeczazdV13ux2dUwAAAAAAAAAAAHBP + uCW35CY3mZRcJiXparqo86Kc5ereW2tyWaHeCgAAAAAAAAAA4J6K4GdJrbXLy8tpmqKmqffeWluW + JSou49EHVF/Ze5/nOepGo49nbAMAAAAAAAAA8BzrH2Vae5LfVkAsy7LbXSy1Ndef+/P/ye/9wb/M + Q3769Hocx5gtX5altRYz6p8yBd1aOxwOtdaUUhyZUtom5wEAAAAAAD6/1lqEN0d6wrqub2GmtaRa + 6zAMrbV1XcdxTCm5O0sEca/QZgUAAAAAAAAAHphlWSSZ2bIs0Z4grseUUnQXbh2Z1tsGALwucd33 + 9Pzj7q21WIAuqfcep6O4BQAAAAAAAAAAAO4hk0yqy/G22M/k7rkU2d3DAAAAAAAAAAAAuH+it2O0 + dHT33ruZRcXTaZNHM7u+vo7SywchBh8v5Hg85py31wUAAAAAAAAAwGdJu93F4XBYluUHfuAHfud3 + /oWkdW0ll+hbOAxDzjnnHDPPnzL/7O4ppVJK7z0ai/Xeh2F4Y68EAAAAAAA8GjnnaFpea5VUSomU + 67dNvAlmts2xtNZiJ3BPEGcCAAAAAAAAAA/MOI4f2zjtrXCaI/uAei7ggWqtxdLk02uBsYL5VFwy + xOMQZ57t/CPJzKJtSpx/UkqcfAAAAAAAAAAAAHCv9PgydZNL/e5ylpttVzpTyi65UW4FAAAAAAAA + AABwr0XksyQzOy1likq3dV11V+8Wtykl3ZVbxm3sOYuU0vbTTwfTWtteyAuDur8g0Rkz0sEjqmQb + ZLx70Ug0xvZ2dhTFd8LMeu/+Zn1sAOd67XiT4syv58uZtz8HsRHxS2cZHgAAAAAAwOvl7jknSeu6 + 5pxrra35MAxLrXkYf+qn/vKv/Mo/kZRTllTb7YTJuq5by7jNNoG2TQ7HAdtdPT+tDQAAAAAA8Erc + Pefs7qWU3nut9WPLe7Y1cm9gsdwbkFI6DYnY1i5+cuFiKYUpF9wrfBwBAAAAAAAAAMC3I+dcSrm4 + uNjaf8TtxcVFKSWWJrfW1nU9vZAGAAAAAAAAAAAAAG9SN7nJt3blJkkfFTtal/XYGR2sacYPAAAA + AAAAAADwaGzxzHEb3SHPmGy65apuMdu99/PGbOvkDdnen23PFg1OIiyA+6n3PgzDsizRAVnS4XCQ + NAyDu9dah2FY1zUqoDmPAQAAAACAx+F4PJrZNE3uPgxDKeVwmMdx+qmf+qlf+qVfkmSyz3yS6Bq3 + bUtqrRGnBAAAAAAAXqOYc5AUy+RiaUesSRvHUVJrrZSSc34cizp679GZX1Ip5ezrFYHPj2lBAAAA + AAAAAADwylprW6X3dmkw55xSaq211mJnrHjeLqQBAAAAAAAAAAAAwFm4ySU39W1bz8ddAwAAAAAA + AAAA4NHpvUeNm6TofZlSirtnkXOOW3d396jCO2NMSJT+RbdQ3WWWxPsTozqtDYzBA8C9klKqtY7j + uK6rJDN79913c85mVkq5uLgws6urq0hpIpYJAAAAAAA8Aimlq6snrXpdu1m+uTmWcVfG8Wd+5md+ + 4Rf+7nFeLclNtTeXysvnw7c5at3NpddaI1YKAAAAAADgdXH3WLYXS9GWZam1llJipYekWmtr7XFM + SsTKwJTSOI7xuoZhqLWee1zAZyvnHgAAAAAAAAAAAHh4cs673e54PMbd6FUxz3PcHccxpbSu69bv + 42wDBQAAAAAAAAAAAADJvEuyk2LGbpL66THRvpqcawAAAAAAAAAAgEcjMjmiA2bvPW5771tWxxsW + rTnjdhzHZVlKKWdsWxmlf6dvSLw/KaXYGd1CI+462omea6gA8ELLsozjKMnd4+y6dT2OKKY4RtI4 + jq01Sp4BAAAAAMBDN8/zMAwRkrQsy5e//OX3P/jwF3/xl/7G3/ibvWscy7LUUnKtNee8TZV80jRN + 8VTrusbMMDPAAAAAAADg9Yoe9bFaI2YqYpnH4XAYhiFWqcU6OkmnHe8fqFhu13uPVyTpeDymlM46 + KOBzYWYQAAAAAAAAAAC8MnePK3wXFxc3NzfuPs9zzjnnbGaRbz0MQ1SAU+MNAAAAAAAAAAAA4FyS + q+tT0qr99M5t7jXR1gAAAAAAAAAAAI9CtIlMKbl7xFqf7n/zzMzMcs4ppWVZzKzWGqkhZxlPiL6Z + p++Ju5tZ5L9uKdckmgC4h7aw6mh2nHOO0uZSyhZ0XUqpta7rasZqAAAAAAAA8OBdXFy8//777zz5 + 0rquZul4XP7pP/3Nn/zJn6xVkpalSmqtSnfVFC+ZDo9Ocb33mDNpraWU5nmepukNvRIAAAAAAPDY + DcOwLMs4jrXWUkop5XA47Ha7WIoWi9NiHd00TQ8901pS730Yhlh611qrtZJpjYeCTyoAAAAAAAAA + AHhlZjaOY8755uZGUqxCdvdlWWqtZhZ9NE4rwAEAAAAAAAAAAADgLJKUXLkrfayYyvw2x9plEWbt + d18AAAAAAAAAAAB4+HLOknrvW2Zz7z2STc8iorWjZ2VErpZSzptpLanWiDlpktw93h93j3cvhp1S + Ovs4AeCTjsdjnKxSSrXW1to4jpJiO8qfozOymdEpGAAAAAAAPAKttXfeeae1JqX9/vLXf/3Xv/a1 + r9WqlGQmSWYWM+Kt9jLklz2PmZVSYr5a0jAMtVYyrQEAAAAAwOtlZpJyzs+ePTOz/X4fe5ZliQVp + sY7ueDzu9/szj/U7FqsBl2WJVSsppW3hInDPsawKAAAAAAAAAAC8srg21nsfhsHM5nkupfTec87u + PgxDKSXyrZdliYJwAAAAAAAAAAAAADgLc5krSRFibR8v/XNZP8OwAAAAAAAAAAAA8AWLNI5SynY3 + pTQMw7nGk1La8q0jTPq8GasR+B3vT865925m8f5sIdxbY80zvm8A8DK73e54PEpa1zWllHNeliUe + Gsdxnuc4rUXJc5x4AQAAAAAAHrS69nVpZnmapq9//es/9EM/FJMerSvlIsndU7H95U5SXdvLnsfd + a63uvk0CmxlJSwAAAAAA4DWqtQ7DEOs3rq6udDcjcTwet9VosYIupXQ4HM451tchFuBJKqVcX18z + 2YIHhFhrAAAAAACAR+P5qR63Mw0DAPBWiGt+fkdSrTWu//Xel2Uxs2hmMY7jeYcKAAAAAAAAAACA + b59J6m69S5JLcpNb6ta7lDwllywOe4H+KYsXrG+PuiRPbkqSybP35MpbcZaJOq1HziV1cyUvSZbc + zOXW3XqkTSePo5Ir3R7+7UhdSa4uNbv9cJqbuaQuRax1kn/7PwAAAABM9hpAAAAgAElEQVQAAAAA + AAD3zVbyFnejZeR2983bKvJiYGYWtXjnGk9K6bRv5vb+xM7oqplSOm/2NgB8itbabrdb13UYhpRS + ay3nrLsTbJy+1nUtpbTWovAZAAAAAADgQRuGycpQa//9//Nfft/3fvX9D27W1XO2lFLMfqeUevPD + zTGX9LJ6H0k559bax/accb4aAAAAAAA8PqUUdx/HMRakresajet3u11rLXbGCrpY7/HQ9d63hvzx + 6rYZG+CeY5EoAAAAAADAw+RJ/vK5nbc109pe7txDA4DHycxqrdvd3ntr7fSse9rSAgAAAAAAAAAA + AA+FfxTzu8pqt0izlqSu1Ew1qSeZpxzJ1s/rdvvlJ9vbVxwQT+iSK3UVtyQlqRcpu7JUPGdlmSlp + Veey06PW1VrpyppMU+4599SzH3s3U3fllJury3vKB9cwTJ/nSd23L1ulqpTyWFV6Los0u0rR2NLQ + XFa7alOZly5JlY8bAAAAAAAAAADAIxEdIXvvW0Kz7rKuzyKK78wsBhb1d+etwjt9N2J4KaVtnNRo + A7jnoqnxaV716Qm29x4bH4toAgAAAAAAuP/WdY3MJzNb1uO0G2pbeu/z2nPef/D05i/8xe/713/2 + b1xyqTbvvW9T4lGu09aurm2a9zS1OtrHnX3OHAAAAAAAPHqnWSHDMMROd885b8nWD3GV2hZfPU2T + Tl5adOxflqWU0ntf15W5FzwIfEwBAAAAAAAeATuZ52HCBwAAAAAAAAAAAAAAvC5d5lIyl7klV3bZ + Rz3Vze4WKphkdw8lV2Rdb6VjsSe+7O727itJt6HZ3dSk7enNk7rkym/mteKMkpY6z+vS5dnyOJbj + 6u+8O0kaTXNvT6Zdki11fXd/cbPML3saO5HS9qVpHFz92I5DypKGwVLSYdVB7cnlE61LfHjLWOqx + qjy8okcAAAAAAAAAAAAAAAAAAAAAAPBojOO4LEtkIF1cXDx9+nS321kZShm/+c1/8+f+4z//R3/0 + x7mUzwxGcvdSiqTWWhxcSlmW5U28BgAAAAAAgBdx93Vdc86SzKz33lo796BegZnFpI2keZ5zzhFf + PU1TZHXHASmleI3A/VfOPQAAAAAAAAAAAAAAAAAAAAAAAADcT0ndhpYGt9zkkknZlfrto03dTTLl + fvcdLkldyn76LB8xSS732/0uydXMu3WXlqRmWprWlCRTT1pSTknpJCUbj4x1pWo7lTT4dfuwHi9c + Jev9D+YvJZnriVmdj1367v1uOdxcprx68xc9U+snoesn+9dlvhhzWyz3dtPrUFSKzLVLT/7om++p + jO7eTZKGy9KrEh82AAAAAAAAAAAAAAAAAAAAAABwJhGAtNvtJD17ejMMQ29yd+/9+7//+3/v935P + UuQkffrzDMMQuUpbQNS6rm9g/AAAAAAAAC+TUpLUWotM65i4iEmMcw/tczGzYRiWZYkc61prvJB5 + nodhiGPiNaaUDofDfr8/63iBz0asNQAAAAAAAAAAAAAAAAAAAAAAAD5izwdR30lSi63svSu9rPNN + f8l+vSiZ2tSTkrv63fc1UzN1k29Hf0aPHTx81pvp/acfDqlMJa2tX1ykee62SlJ179JFKd86HK9y + PrbWc2omc/nzt0nmn9gv68l9qU3SYD65XFpXJdOH6+GdL31ZrRWzpbe5KQ3Zym2COwAAAAAAAAAA + AAAAAAAAAAAAwJvXWpum6Xg89t6fPHnSe3f3eZ5/4sd/7Ld/+7d775LigAhJij2fFHFQZiYppVRr + jZ1bxhIAAAAAAMAbFlHW7m5m67qO4zjP80PJtJbUe1+WJaU0z7PuEqwj2XpZlph4KaXEZA6Z1ngQ + iLUGAAAAAAAAAAAAAAAAAAAAAADAc8wll8zkqSWtUkty3WZZR2Bwd8mUJHN1k14eP721xolv76aa + JCl3mUvqstuHssu6qtQtrSkpJWUpEzL82B3XaZgurmz58NlunNZ6mJ/1IcmlLMsqXTZ3yXLaX908 + +6CWoaaUXN30yVvrfrpt6pPqu7sxH3vvXlJRtu7r0qzk8dnNQZbU65hSTnb0m2xlUnlxtjsAAAAA + AAAAAAAAAAAAAAAAAMAXbBimZamljK21Zak555ubw1/76//dP/gH/5tLpZTe+2dmWksahqG11lrL + Oa/rGnlRZFoDAAAAAIAzSik9ffr0yZMntdZhGA6HQ0oppfQpUxz3Sgw1RrsN28xKKZJyzpKWZRnH + cbfbHY/H3W533gEDn4lYawAAAAAAAAAAAAAAAAAAAAAAAJzwLYn6Nqi6W+pJ5nLJ1AdX9+7W3VLy + JHWXuslfFD5tLp0EWm87b3ebuuTWZcqufBubnVLEXaeuJLduZAw/YpZ0+eQby7rL4zTu11r3+yer + apOOc93tLj64ufFxWHbDnPwP52O/vPj/rm/ai6LO+90ndktYd8mkJ0nfsy/S/G9/13d96/rp0uvF + d3/3fFyKT+8lKQ9S6uvqKV3k4WFUOgIAAAAAAAAAAAAAAAAAAAAAgEeqtbaFIUla1/Vnf/Zn/9bf + +h/HaZjntffu7hGMFMnWL7Ouq6Rpmq6vr83M3SXVWrdnBgAAAAAAePOePHni7qWUdV2HYYhZjnMP + 6vM6jbLuveeczazWenNz4+4xVzOOYxxMpjUeBOYKAQAAAAAAAAAAAAAAAAAAAAAA8DyTPDKC+2la + tannriSZtJq61KUktZdkWktyk/ltoHW6Ta3W6JLUpGaquccx8QTJlaXkuk22VtVtpjXJ1o9Uyur+ + 9Mvf9Yd/9uzf/e5/y69vivqqofVe3rlapPaldz60+nP/x2/LqsbyPbt/p0r+UXT1Rz72GdyOsK73 + /vRPpaJ51jQqSW1WnlRNuWifahqKKc2rlHOdNQ4vSs0GAAAAAAAAAAAAAAAAAAAAAAD4QiVJ67pc + XV198PR6t9uZ2d/7n/+X//a//x/GMc3zGoFJko7H4zRNtdZPfzozOxwOt0+dUmuNTGsAAAAAAHBG + y7KUUlJKtdZhGOZ5vr6+HoYhZjzuv1qrmeWca63jOC7LknN2d0nLskSgdRyTUjKjdQUeAKYLAQAA + AAAAAAAAAAAAAAAAAAAA8DzrsiavkndzSdmVurIrSYO0Ss1STVJPsu5K/SW1VGnLq5a6yVxZMr8t + J3OTS3Klu0Br28KJzSWXNb0owBiPhyVdvfsLX//n6lmrazkqm5IpSW7KWd61H5S7xiTpG1lyyT93 + zHmSkuard4fa0sVlW48q2fOFec+tyPuSUpMXN5VBvakMX+CLBQAAAAAAAAAAAAAAAAAAAAAA+FSX + l5eHw+Hy8nJZll/+5V/+yZ/8y2Zali7J3XPOrTUza6211iI/6WVPFUe6e+89pZRzjo03+GoAAAAA + AAA+Mo5jJFiXUmqt0zRJWtc153zuoX0uwzCs69paK6XEnExKKeZbxnGMSZhSiqTW2kN5UXjLEWsN + AAAAAADwSJiZJLnHndh4Sb9oAABeAzOLvz7uHttx2SwuB0pa13UYBnHlDMDrFmebKJZIKc3zHIsP + 8JgcDof9fu/x3zVmuvtrEn9c4k/PuccIAAAAAAAAPGZuvauZlmSuvqbB3H3qJbkXydWzJOXV+zFJ + u2Gea37ZIgX3/cX+8Oxa0n7aLcsylFJrk5K5mslN5impl67iKtJBGsq49tXzTqmqdQ1FMomOOY9V + UkraZ61dg+tiUOpKkiV5kkyebn/5VXLtmqrU1D/ns3uXlExKKasr5/HukSKXLI3qLsmaksu6LEmd + zxsAAAAAAAAAAADeHlulxidLNmIPGScA7qcoN4sT17qup2XOp9vjOM7zTFXaoxcFiQAAAAAAPCw5 + 58PhME2Tu0sp8qdba0rF8rCu9Td/87d+7Md+POY5UlLrktRak+TutVZJW36Su5/O927p17XWlFIc + sLWPAwAAAAAAOJdtNVrEP5/ukRQzJHF7hsF9lliRss3MmNnxeNzGb2ZbQ3468+OhINYaAAAAAAAA + AAC8si1TVlIppbXWex/HMVY2T9NUax2GIarBaVcB4PVy9957zjmKKMi0fky2Jkf7/T6WaMSfm5xz + rMOIkhgzu76+vry8PO9oAQAAAAAAgMfNlWtPUyqa+v9TvV1cXJRxvr4pbl/68rvz4eZbdflXy3q9 + tHVev7RL9dhe+DxFdr1ef/e0W9e5HY7f9e67xYqpp1oldzM3l8zcS++la3TbXz75k/e/uV48+WYa + ZIPKrnYNXHJ6xKIbUk5SkrrMlLKbulJSMuk2wNo/uk139z6P5pJvH6B+G1nt6faJTFKy+BlmbrlL + lAYCAAAAAAAAAADgbRAlG1HNEW1AW2vRJ/TZs2dXV1enh0W14FnHCwAfNwzDsiylFDMbhmEcx+Px + WEqptUYlWhSmzfNMXBMAAAAAALifeu/RacfMevfW2jAMpZSn14cnT5781m99/Xu/93uPx5qSUlKt + L32eaMe0BVpLmqZpnufYjonf+Cm66+EDAAAAAABwr8Tkxm63W9e1tebuOedog3+vxIK6cRwPh0Ms + vdv6J597aMC3iVhrAAAAAAAAAADwyqI/RSx9PhwOsTMyrXe73fF4jHXMZkavCgCv17Is4zjGtplF + y4lElsljkVI6HA673c7MYu2IJDPrvbt7ay1++733y8vLLQMbAAAAAAAAwGtnSr6qlP3qGobhb/7J + N9RWJZNJJrVV2b7n6ktKdui6kPqxm7RN2PWTp0rySVrmY3zrn/zh/61xp7aqmMxlJrk8biXv6tKz + gy6vZEVKmgZJXG163Dw+M6bTGj3T7RSwS0offahS1vH2gM8v3YZgm5R67KiRbp2l25jrZJYk1dtv + eKXnBwAAAAAAAAAAAB6kqMuI2ygJDLXWq6urKNzoveecb25uLi4uzjZQAHiJqDfcApmOx2POOTKt + oxhtWZZt49yDBQAAAAAAeIHee601pZRSqrVeXFw8uzma2Ze+9OXf/d3f/Qv/6V+svbnUulrXp8xy + RMKTmcWUbyklMq1rrb33cRwjWqm1llIi1hoAAAAAANxP4zgej8fYaK3FVEbv/TO/8U2KEOvozN97 + j+ka5lvwoBFrDQAAAAAAAAAAXlmkjcYi5nzaXV6a53maptgupej5DFoA+A7F+aTWGjUS0XJi6zqB + h87d9/t9rXVLKz8ej7vdLh7NOZ/+lQEAAAAAAADwBXKVotrlWatp2E3q0jAd+uo5Je275m8UaZo0 + 16etlpxq7SfB1h8ZhqHWKvfdtFvmo770riRp7Om2biy+xzxShLu66epKLrnJrTXPZt5lL3huPB7R + IN+t20kqut091O8O6FKTlCSXR951ZFJ/xu1dmrVVWbt71uRSi6jrk5/lkkldeu4iKAAAAAAAAAAA + APAYRZ2gma3rOgyD7koCSykRcBKZ1pLItAZwP43jGOexuJtz3sKZSilbyJO7n2+MAAAAAAAAnyal + 5O4xK7vb7ZZlmaaplPL7v//7X/nKVz744GlKMpO7Ukovy7SWlHOOKOvW2pZprbtZ320SOKZ8t7sA + AAAAAAD3h7sfj8eUUuRGt9Zihdu5x/Vxvffdbnc8Hs0sIrd779FIGXigiLUGAAAAAAAAAACvLHpS + xEpoSe6+LMswDNsFv+0hSaxdBvB6HQ6H/X4f22a2NdA576jwWsRKkXEcl2Uppdzc3EQlTPyKa63T + NMXfIGpjAAAAAAAAgC+Um6rcs3nXkKU+q0i+7nLuktSzkpp0PKprcFntWerq8b2n1jpLGgc7zjeS + us8pZbknKUXksEv97ntShAm36+PNbnchWRrUu1JOL8zMxqMRn4At6dxuw6yT7mKnQ46j/C7m+vY7 + Pus2Saamaprz7TPnIhVlVzJJ3qUuk6ucpq0DAAAAAAAAAAAAj16UbAzD0HvvvW+B1lHHEWUdoda6 + 1QwCwP0RIdZmtoU2xc7WWhwQBdHiPAYAAAAAAO6ltc5Pnjy5uT7WWqVUSjku6ze+8Y0f+ZEfee+9 + 97yrNkVOUvcu2+opPm6bD7m6unr27Jm7x/RvxEHtdjvdTY8syzKO45t8jQAAAAAAAJ9TtJiOrOhY + 1batALk/Yq4m+idvvfrpj40HjTVVAAAAAAAAAADgO7Wu6zRNsZ1Sil4VvfeUEsuXAbxe67ru9/ta + 69XV1bquknLOtdboK4GHLufcWluWRVKt9d133z0cDlEMIymahsRfmWEYWK4BAAAAAAAAfJG6tCZZ + SaX3bknrugzD3pSSdJyP+2nIWb1qKkOv6zSkw9pjxs6eT7YehiRpWXrJkpRyrutqZjnl20xrP4kt + dnXvPWnYXc5ai8ri86gkDZLIGn6stk9Af8G+2zvfEZd6yopw9BeWLHa5y2Sqw12yNQAAAAAAAAAA + APCWiBrAnPMwDFuRTiklAk4i7prKHQD302lS9TRNpylNm8PhMAwDgdYAAAAAAOB+yjk/e/asVd/t + du42z7Mr/eiP/ujv/M7vSUrZzOx4POacu1yStxcXPZRSzGxd18i0jp3RCG6/30t69uzZ5eWl7tKh + AAAAAAAA7ptaazQcTim5e7SeTilFyvX9cTwezSzW2kXqdnRLBh4uGvoAAAAAAAAAAIBXlnN298gZ + ba2N4xgXz9Z1zTkfDodaa0pJ0jiO8zyfe7wAHo9hGMwszi2xpKDWSqXEo9Fau7q6khR/RA6Hg6T4 + mxJ/TVpr8RdHVMgAAAAAAAAAXySTBqUi09xTS1Iehr230qrcNU4XS0/NUyr7Y1Uexpu1N8ld3dVd + 3uVd7nLXsvRl6TKrTd2TVMqwz2XnGlyD2+CpKCWlJEuyZKlIQ5NljUk22pQTxS+Pn3kEWSepSEVK + 8viSPBovSXe3cfArfHkpfV9qyn0v30l7aXINVWmRFkmWZIqfZV25y+jJDwAAAAAAAAAAgLfAsiwp + pXEc13Utpazr6u7DMOiuQ2ittfc+DMOyLCTCAriH4twl6Xg8SprnOTKtl2WR5O611v1+X0rZqtIA + AAAAAADuFXff7/fTNLn74XAYx/GrX/3qb/zG11ORm1JKtXaZWm85Df7yGY5aa8yTRJzSsixmllKK + gCVJV1dXZjbPc8z9vrEXCAAAAAAA8DltsxYxm3GfxQiXZYle/TThx0NHZx8AAAAAAIA34YW9bvtr + i2BzqW/P+rqeFACAT2dmy7KM45hz1l22aHSsmKaplNJ7d/d1XadpOvNYATwiW5JxnHBKKXHx/qyD + wmuTUnr27Nm2LcnMcs5mFv1E4m4kmgMAAAAAAAD4gpm6lItSarW7Z0vKWSb1rpSyulqtJZfDWmXJ + lE3Z7KMvKUvZrMiTKUsp5vYi7lpSv/tqpmZyk0u9R6h2zsouJZk8eWce+C3g0keVTi+vePJXLoZK + 8XQeP6JIWSqfOMQkuw3SBgAAAAAAAAAAAN4O4zi21iK4utYaGScRfDIMQ2zknCP0+tyDBYAXyznX + Wne7Xe89Kpp773F+k1RKifLDqFYDAAAAAAC4b3LON8ejpOoax/E//y/+y3/8j3/NTN4lV63tdlrD + LKY7XiYOi5mQmB6JFj2lFDPbEq/HcXR3pnwBAAAAAMA91HsvpazrGlMcMYOxNaO+V4ZhmOd5HMe4 + SxN+PHRMFwIAAAAAALx2Ft2Z3SWTPJkkudzTawydtuj0/FHh3G2S3+28apdMeuSNdltrKSUzc3d3 + v59zygDwuG3XzPR8OXdsx23kzgLAt237l17vfTvVuHtUStRazzk4vG5bXvW24e5RURO//fivAILM + AQAAAAAAgC9eur0xScr20VUhk/Lt7i6p9S71tk3afWL27nY+z/3ksduJ3491C7bbn3X6HMXUZelF + h+Nxse3muT0fbdpHO191jrird80nRVRJJ5/k2w+WpduHTn4QAAAAAAAAAAAA8OjlnHVXvxMl27F/ + q9mJEg/iYAHcWyml07rmbSPOb7rrbkw/irfHx37X8QfuXIMBAAAAALyVYo6iS3ddQ++YWUppXdfo + ojkf14uLi2eHmyfvvvPB+x9ePXnyV//Kf/N3/97/mkuqtUfthHsU5iS5XF0v+U/dnHNrzd1rrSml + YRjcPbr0xH8pRy84ZkgAAAAAAMB9llLqvZ8uaZMUfYkllVJqrdv8xptZDLBNxZxumNn19XWkbsds + jJmt60o3fjxcrBAFAAAAAAD4Qn3G9Mtrnex8PjLbHnlV1bquOWcza63F+jx33woLAQAA8NBtKwPM + bFmW7bL9WQeFs4llJWY2DMM8zznn3vu2rAQAAAAAAADAFyPdRvx+YmrW5KZY9NA/vlzhVdjzXy/c + fzsM6l/wHXB1V/3kR+0ko13PfeC5HAEAAAAAAAAAAAAAAAAAAAAAAF6f1lpK6ebmJrpojuM4juNh + ma+urp4+fTpO08///P/0c3/7591Va5TqvLigxt2naZIUvTcjLan3Hg2aSinRqCcOpl8TAAAAAAB4 + QGL+JKW0rqukdKeUYma1VknuPgyDu0eq9BctYlAk5ZyHYYhw69577FyWpZQSMzNkWuNBo60PAAAA + AAAAHqRtZjbnfH19Pc9zSolYOwAAgEdj+6edu4/jWGtNKUUpBd5CUSpjZr33qKvRXWkNAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAD31jiOy7JcXV2t61rXfjwsvffdbvfs2U2y8g//4T/6S3/pv3ZX + StJtHHWX+t1395MvzfNsZtGgKdry1Fprrcuy6C7/aQu6BgAAAAAAeChyzsfjUVIEV6/r2nvvvdda + Y6Lj6urKzJZlGYYhUq6/aKWU+NG993VdY9vd3b21No5j7z1StyOKG3igiLUGAAAAAADAg+Tu22Tx + 5eVlJNvF4rkXOutgAQAA8MpKKfM8R9WEpGEYjsfjlnWNt9B+v9/+K2Bd15QSyzUAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAPfc8Xi8uLiItjm73c7Mdrvdhx8+e/Lkya/92m987Wv/WQRa9xaZ1p/B + 3XPOsSEppVRKGcdxXdfYn3MmTgkAAAAAADw4u90uWlK7e0pJUkrJzPb7vZk9e/Yspj4+z/zJd24c + x2VZ3H2Lr44Ea3cvpeScW2sxSEkxMOCBItYaAAAAAAAAD5KZlVLcvbUWq+WWZXkzM8gAAAB4A1pr + 0zTFuoGbmxtJu93u3IPC2QzDcDgccs7b2hF3H4bh3OMCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AOATPMlvE2EuLi4++OCDVv1if3V9fZBS73ry5Mmv/pNf/4Ef+Jqk3pVMZnL3CKuWJHWpnz5l5Cfp + rkFThDzF7eFwiIdqrZKWZaE/DwAAAAAAeEBaa5KiJbW7r+t63nmPyEAxs967mdVaa63bbEzvPfok + 994lbfnWwEPExxcAAAAAAAAP1TzPkWN9OmvsL3G+YQIAAODbEf/Sm+dZ0sXFRWttWZZzDwpns65r + zrm1lnPuvZdSzOz6+vrc4wIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4NOs63p5eTlN03vvvXd5 + eZlSWtf1d/73f/GDP/zDx2OdxkGucRxTsk/PQIr8pDhmnudIUUopmdl+vzezZ8+eRaJSdHACAAAA + AAB4KKL58PF4lGRmWwRJKWUcx+hOHIeZWWROf6HcvZTi7pGlvY0npmJiemee55TSsiwRbg08UOXc + AwAAAAAAAAC+Hb33aZrWdY0J3OPxuNvtxOI5AACAxyIuzE/T1HuvtQ7DkHMupcRVfLydopzG3ePf + /5eXl+ceEQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4RMJRp4k1VpNWdmu3v3SUnut7b333vvq + V7/6zT/71uXl/vr6IOl4XOIbhmH4lGSmCHCapunZs2eRaR3PH5FOV1dXkuZ5nqap1loKkTQAAAAA + AOBhaK3F/IYkM4uu1O6+5Y+01lJKvXd3fwPjMbNoi917jyDtZVnc3d1TSq21yEmptY7j+GaGBHxB + 0rkHAAAAAAAAAHw7Yvo4VtTN8xyZ1kzXAgAAPBq997gwn1JKKcU//8i0fpu5e+9dUs55t9vN83zu + EQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8BkuLi5678uyRPDSe++995WvfOVP//QbwzBEpvXW + ZylCkj7lqVprrbWnT5+WUiLJSVIpZftGd48sJTKtAQAAAADAA5JzXtc1pSQpoqOjPXVEkJzOhOgu + rOQL5e7TNK3r2lqLgO2tXfa6rjnnaI9cSlmW5Q2MB/jiEGsNAAAAAADw+rm7u28zhy6yll+/mJmN + aeVpmk53AgDemPiTJymu5MX2Fjobd7dHAeCVxL/0AtURj1X8lqOcJpZlfIrtz4ruVnV8sYMDAAAA + AAAAAAAAAAAAAAAAAAB47FprcZtzlhS3Oqnj2Mq340gAuG+WZZEUvYN1V+Ycpc2999iIJsKcxwAA + AAAAwBvQWhuGwd233muRt1Rd1WXKOQ3X1zdf+9oP/sEf/F+S1nWN1JiYytgau22iD1Mp0ajnds7W + 3WM6t/d+2qkpevjYnTfyigEAAAAAAF6brUFxzHhs8xtmVms97YT/ZszzHGOI7Gp3j7sxzq098jiO + b2xIwBeBWGsAAAAAAAAAAPDKWmtR1x2X0FJK67puV9daa3F1LY45XfEMAIDu1l6M45hzjnKadV1J + qgYAAAD+f/bup0eSZb3v+/NERGZWT8+5F/LKG70avwDtZRveSDRJQRcCKBr2kqblJX2FCwiCYVoW + TAk2TC2shTeEZBtcUqJAU6IpwbBhARREgLg+58x0d2VmRDxePFXR2VWV1d1zZvrP9Pdz5+apzs56 + MjIrK7s7KyN+AAAAAAAAAAAAAAAAAAA8Je/ZkVLKOftjn7/ZbESk67paq6q23GsAeFHmee77vpSS + UvKTVUpJREII0zR5B+dSivdc4zwGAAAAAACegEcciUiMMYTgI7Op6na7DSEMw/D999//6q/+6j/7 + Z38oIkHDWmSMqvR9EpFaJaWQc/XHZrbdbkXEzEopjPAGAAAAAP9ChkoAACAASURBVAC+erXWaZr6 + vlfVEILfHPJk4dZmllL67rvvvAE3NzdPs17gKaXnbgAAAAAAAAAAAHh9lj23c84pJb+zudYaQmhD + VKSU/L5n/5wPAJ6e9+vASzPPc4zRe8iEEGqtfd+P4/jc7QIAAAAAAAAAAAAAAAAAAAAAAHgrfKzP + EIJ39CilyL5XoCeszPPsc2TfefCZWwwAd3VdN89z13Xb7Xaz2Xiftc1mIyLeYc0Drf2Bd4h+7iYD + AAAAAIA3IaXkeUuqakE9cuni4uLb7z782q//+j/6x/+bxy6ZiuwCmPzqa20VzCTnLCIhBDP1YZRi + jF5T7g4EZ2aMswQAAAAAAL5WIYQQwjRNImJmtdbNZuPDGn9RqppSmuc55/zu3bucs5ldXFx86fUC + T497qgAAAAAAAAAAwKOZmX96J/ubp/1e577vfSoi3g/cP3h77vYCeLvM7LmbgNN8JCNV9f4zu044 + vF4AAAAAAAAAAAAAAAAAAAAAAABPou/7UoqZdV1XSun73sw8yjqEYGYppZyzh14/d2MB4ATvyywi + wzCUUkTEM61zziGEYRi8Q7SHW9PfGQAAAAAAPAG/LqGqtdau61JKY55jjGZ69fHmpz/9m//gH/zP + YtL3aZpyrXUfaH2CmYQQfKg3EYkx3tzctLWklEopMcZ2hQQAAAAAAOBr5ePhv3///vr6OqW03W6f + YBxjM8s5xxhzzqWUlJJHawNfn9VrlAAAAAAAAAAAAGtUNcZ4cXHx7t07VfX46m+++aZNRaTrOjMr + pbRbogEAaEIIpRTPtHZ0jwEAAAAAAAAAAAAAAAAAAAAAAHgypRRVTSl5H8BpmjzT2mf6oJwi0vf9 + drt95rYCwCld19Vaa63e8TnG6PNTSt7ZWURCCO2EBgAAAAAA8KX5NYppmjxzupQyz6VWUYk/+9nP + /s7f+e/8osU8F5GwyIupInfGagtBzKTWGkLoukEkTFMOYXfRI6U0jmOM0cOzGecNAAAAAAB8xfzG + D1W9vr4WEb8J5EtnWju/d86v85hZ3/dPs17giaXnbgAAAAAAAAAAAHh9Siki4p/kpZRyzimlaZpC + CNM0+S3OZuadwJ+7sQDeND7pf7G22+1ms+n7fp5nHyVkmqbnbhQAAAAAAAAAAAAAAAAAAAAAAMBb + oaohBBEJIXjsq6qqaillmibv9GFm0zRtNpvnbiwAnNDSoUSkdXZOKfk5rdbq340xppT8wXM3GQAA + AAAAfOX8KquZebh1rXUYNiLyW7/1W3/rb/3XpmJV/EqFqMr64Ei1SkrB46rneTYrYiIqtYpZKaUM + w/BkGwUAAAAAAPCMfBh8Eam1hhBSSmbmd4Z86VWP4+g314nINE3DMKjql14p8PTCczcAAAAAAAAA + AAC8PjHGdud0zllE/LM0/xgv5xz22gIAACxtNptxHD13XFXneea2DAAAAAAAAAAAAAAAAAAAAAAA + gCfjHQC9c0et1Qf69HTYruumaWr5r20+ALwoMcZxHGOMtdaUkqr+6Ec/8k7QXdddXFyo6uXlpY9u + TKY1AAAAAAB4AvM8l1JijH3fq+rFxcU8z7/zO7/za7/+61UkBBGRXLKJhRDk7Hg7OVcRqbVeXl6K + yc3NLCIhiBf3sd1CCFdXVx6tBAAAAAAA8FUqpajqNE1tSPxSit/29kWllHyw/WmaRKTve2/Ml14v + 8PS4vAgAAAAAAPBF1eduAAAAX4p/aOdduJdxpDFGH8nCP+ETkZTS8zYVAPDS1FpFZBiGnHPXdfPs + fWb4/BoAAAAAAAAAAAAAAAAAAAAAAODp1Fq9J6CZ+Vifnm99fX2dc57neZ5nMwsh0O8DwAtUax2G + QRZDBo/jKCJd19Vac86eey0iqsqwwgAAAAAA4An0fR9C8AutpZS52j/5vd//yV/9azmLqpQsIpK6 + TkRMiqzHL3Vd9Aeq+vHj99Xk4qLzOX6Vw0d4M7PLy8svvE0AAAAAAADPKcaYc/ZUab/hre/7J4i1 + 9jtPZDEOf63VHwNfGW4PBQAAAAAA+OxMpN4GWmsVMRETFdFnbddXx5Pw2iXjWqvPAQA8pYObm2V/ + fvaI6+UDAACaEEL7TX6eZ/9hwbAgAAAAAAAAAAAAAAAAAAAAAAAAT6kNuCkiHlztj1NK/q2Uki9J + V0EAL5CfuMys63apTn6ymufZ53ufNVVtAw0DAAAAAAA8Ujj4pxbUQgpdzVZy7rtORVKMJU/VcrWc + LWuKN9N48f6bf/Uv/6+/9Jf+8pxNTKyKj0qa/dpFraJVpLYE62EY/EHXdfO8u6yxv75xm9TkVzn8 + eghXbgEAAAAAwFev1uq3iPh1klrrNE1+VeT4OsknXy3xO+WWt9K1wZPbPSc+H/j6cGQDAAAAAADg + VfLLx8v81BACV3IBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwhYzj+P79+5TSOI7zPJdS + +r6/vLzMOfsgmRcXl//8D//oL/7Ffz8X8/EyRYJYELszZmbXdfM8e2zSOI6bzUZE5nkWkffv32+3 + 21przllVay3PsJ0AAAAAAADPLYTgcSQxRn/c971fgfGga2dml5eXLYv6UfVVNefsRfq+r7V+Qh3g + 9SLmBwAAAAAAAK+SXyM2M1UNIfiXywvHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwCep + B/9Mq2kNSac8zvMcY/QgpZzrd99+2AzvYuy22+3Pf/7zX/zlX/r/vvuQ87lBMud57rou5+zJ1p5g + LSKq+u2334pIjDGllHOOMXqAEwAAAAAAwJvSrpxM0yQiIYTvv/++67oQgl+ZmedZRGKMV1dXITw6 + n7fW6qW8iK/FU66BN4JYawAAAAAAALxKfu9dCGEcRxFp13ltxXO3FwAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAK9bjHG73XZd17KONpvNMAxzLWY6Tfk//A/+o3/9r/+NVYkxeVL1Gg9e8qgk + H2NTRDzB2hObxnH0B58QywQAAAAAAPDa+SWRcRz7vheRm5ubYRjmea61llKmaRqGQUQ+OZGk67pS + SilFRGqtnnjiV2OAN4LLjgAAAAAAAHiV5nlOKZmZXzX267yqGlc8d3sBAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAADwupmZqoYYb7bbEGM/DOM0TdMUY3d9tf2rP/lrf/gv/qWYyC6v+m4ujIns + U5ZCCKrqA2mqagihlOIhTC2KaRiGnLMPuQkAAAAAAPDWqKqIeHa1iFxcXIiImXncddd14zjGGGut + fd/XWh9bf55nf9B1nZn5RZg2E3gLiLUGAAAAAADAq9R1Xc5ZVXPOLbg6hGArnru9AAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAeN3MLKWUczazy8vLnPM4jhfvv7n6ePMrv/LXf+/3/omYmMlm + sxEJZ8bDrLWmlFS1lOLxS7VWL5tSKqV4hFJKKcbIuJoAAAAAAOANUtXlVZFxHHPO7Uu/eFJKUdVp + mjzr+lE86ET2+dm+rpTSD2w28IoQaw0AAAAAAIDXqtYqIiklT7P2O/Ceu1EAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAB43VSqShU9/FfqnLoQQui6bhzn6+vt5Y9+vL2Z/sbf+C//8f/6v4/b + 4k/fbqdhGDwS6ZCJmMg+e8kjl6ZpUlVffp7nGGN7rpmdrgMAAAAAAPBV86sifglFRIZhSCmVUkop + wzCISIwxxuhx1J+QV1JKeffunYhM0+RFcs5ch8GbQqw1AAAAAAAAXiUz6/u+XR1WVb8PDwAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPgSYoy11nmeVbWUcnl5eXNz89Of/vR/+B//p5x34Ul9 + v4kxjuN4JgZJVVNKtdZa63a79QQmT7OOMYpIS2lSVR9+EwAAAAAA4E3xqyJd13lkdc5ZREIIZrbd + bkXEzEopfi3l09zc3IjIZrOptZZSUkqfqe3A60DSDwAAAAAAAF4lvzPPpwRaA8BzMbPlp2shBL8f + us05cyM1AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA/nO21L5+3PQAAAACAr0U4meqiEqcxx36YqxVT + jd1v/fd//2//7f+m1vaUME1TKUVEaq0+IFuM2sbO9Adm5lFMZhZCCCF4TrYshtmMMXosE6O6AQAA + AACAt2kZSuKj4quqqs7zHGP0uGuf3lvHtTrOzGKMV1dXtVYfZp+7DvCmkPcDAAAAAAAAAAAebZ5n + OfoEzj+0CyH0fd/uk56m6XmbCgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACfharWWruuG/oL + M/t7f+/v/8Zv/Fd1Pe3IzDyvutbqmUkxxpZS7VFJPp7bNE0eYg0AAAAAAIDzuq6bpqnve/9yGIYz + C6eUzKzrOo+szjmragvJLqWEEFJKpZQ28D7wRhBrDQAAAAAAAAAAHq3rur7vQwjzPJvZMAw55xCC + f942z3PO2T+i6/u+lPLc7QUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAh/IQI7WgdifbJVvt + NsP19fXNzc3v/dN/+mv/+X9xdT3tn1NP1TGz0mrGGPNcZB+D7VlKIlJrbTlMAAAAAAAAOM8vqkzT + FGM0s3Eczyycc/YYbFV9//69Pz3nLCKq6qWurq5ijNfX14yrjzeFWGsAAAAAAAAAAPBoZjZNUynl + 3bt3IuKf1alq3/eeZt11nYjUWkspMcZnbi4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPJiZ + eaaRmVnVNj/GWIpdbC7/4A/+j1/6xb+ScxURVRVdrRNjTCmJyDzPpZQUk4gMw2BmpRRVHccxBBJk + AAAAAAAAHuTm5kZVc87tAk6MUXXl6oyI+NUbkRjjx48fVfXi4kJVU0qllJzzPM+Xl5ci8u7dO8bV + x5vCRUkAAAAAAAAAAPBoqrrZbETk+vpaVUMIwzCUUqZpGsex73sRmec5xshnbwAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAABeF6vq/8RzjyyIBZV4czOmlP7F//lHv/Krf/3jx+ucq2o028cmaRWt + Ist/4vFIwzCoiIp42NJ3331nZh53PQxDzllEfAoAAAAAAIAzLi4utttt3/dmVmsVkZZvfVLXddM0 + yf7aS0rp5ubGzNqXXde1p/uSwBtBrDUAAAAAAAAAAHi0Usp2u+26zr+stY7jmFIKIYjINE211q7r + /NO4UspzthUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHk9VVWL70sx+9KMf/T//9//7C7/w + H/+bP/m3qjGEYKZdGs5UEJGU0jiOIpJiEpFa6jAMZjbPsy/m+dY+BQAAAAAAwBm11s1mIyKqamZd + 19Va/SLMSfM8q2qMUURijPM8932fUjIzH0XfH3hIdt/3T7YhwLMj1hoAAAAAAAAAADxajDGE4J+6 + mZnPLKXUWmOMMUafqarzPPundAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwWqhG2edSe6xR + KeVP//RPf/KTn/zJn/zbEEKtNYYuaJzzfPeZIvscJTOLUUvOXUwxRBH59tvvRXUcxxCCqtZac861 + 1hakBAAAAAAAgDNCCDnn6+trEam1juMo+2s4a/zaTgjBL79M05RzFpFSSilFVVNKHpLdBt4H3oL0 + 3A0AAAAAAAB4W4JJVbH9xcxzFzVXmalWFbEQbqvUfc3QZqlIsE9cBwAA9/KP2UTEQ6zbZ3XTNPV9 + 74894jrnnBIfSQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPr/K+CoA7hFE6suYAgAA4DVRVdXdsJ6q + 0ayaVTP5hb/8i3/8x//KquRa+34zT9nEVPRM3lGtpqK5ZBWd5znEKCLDMPgobWaWUiqlhBBijE+1 + fQAAAAAAAK9VKSWl5KPfhxDMLIRQ6+rncZ5XLSKebO2p2B50nVIKIYjIPM8pJR91/8k2BHh2ZEgA + AAAAAAB8bqpVQrDgCdZiQcRMRau1NOvWFSo8+mqkiYqIFY1+Z1v0ClqKikgUi2JSNYnUIDWYin6d + /a78am+tVVVVlcBUAHgW7b5nXfy4aZnWzdd9io4x1lo92Fu/0h+7wHPxX/lExD/F93cZn+i/Tcub + Qtov/6UUeuDgKfkht/wL9N47lgAAAAAAAAAAAAAAAAAAAAAAePnM7GAgztaLxx/QowfAS9a693Ky + QlUxEVGpKrp/vHZYPH7IF+AWwwq8MhZEROTlTN8YfVn9cJd/4zzkt4jlcCLL5Vud9q2Dmge8P/JB + HRERC8v23DuiwsFajle3/Avu/CgoJxezx5/glnW81NlNOH08nH9d7rTw7H4+U+fkU6rlEy/KumXN + 5atWSjmu0w6PB7bz+Cn3/n57cMC0l8BHZlsW8WnQe4YAWjZJVWXRnlbn3L46OgCWh0R7fO8huraW + cGr/n9+iey3fxZ/w3ONtWV5jWe6KM6/m8V6S/VAGcvcVPFjXY+sclDp/fB7XfGydk2UP9s+d4zOc + /im5bMNyn8d4ePyff8uEtLreRx1Rvrw/Xj44PjMft2d53lir38avOHlyOK65VmrZzlbknrfwiuNT + n4io3Bl57N5TxHKr7z0PPOrsd/48vFbheJeGELzOyVZplGmaY4xd193c3Lx79/5mHH/pl3/593// + D2X/18E0bf2XPRNRUdv/ttB13TzPMUYvbiYaVGW3rpxzjFFFfRgTXzXD6QAAAAAAADxQrdXHh/dc + alXdbrebzca/zDn7mLH+WO5ecfXRZf2CzPL6ZNd1/oDR5vGmfM0xEgAAAAAAAM/g4OqiBVvcJKl2 + 29nJVOKn3m/fulFJDSLl7tqDiNT2SKpY/Cq7woQQxnEchqHWOs9z3/d+Wx5xYgCAp+S3jIuI3zXu + HTmIWQU+i2ma+r43s1JKyzAm1vrN8t/zu67zA8P/FnjuRuGN8sNvmib/NYA/QgEAAAAAAAAAAAAA + AAAAAAAAAAAAAIATXlimtaxHij4kAXrZrfgg/bcNg7DW9djHITnIgjUzq4cBtOfjXddiZdc25CGx + tQcb9ZCZy/YsS7VWLeN4H9KeZauW1Y7Hb2mxzWvtOVjyZFRtSzNNKd15OfZrXxs3psWmHuj7Xhab + /8Ds2Hb8tERzD9VoT2ybs/YqLI8HtwxQl6ND3VbekQfxybcRv3d33fksXjl1JC/Tgu1ugPSZUssN + X5bSEJY7rRXxaNhj7XU82Z5lm73gY4cOaC/cQYyxv45tGX+bnKnfjtWTYeRtn7Q6PtjRMa/fknTb + 9rb90w4238P3bu9BPHBb77LOme06OG7bq7l8vdpGnd+ugzV6G2qtIqUd8wcnomPjOOqR4zYfHBvH + pmk6LnK+AW2xZRLz8jhZOnn+ubdVx5bHw/I4P2jewVF37OCEdvv2rHL8xDNnieO4bj17Pj+/pQen + bhFpcekHTbr3/Hlw4jr4+dUezPN8efl+HMdSyjBcXF9f/yf/6X/2u7/7u8cbuku2Nru8vLy6umrf + 8CPfhymLMV5fX/u2+6hKAAAAAAAA+DRd1/m18ZSSD1nsOdabzWa73aaU/Fu1Vr/KurxE6TNLKYwn + Dwix1gAAAAAAAK+U+S1wWltQdjARqaLVJJiIShA5fSPdV8ODxPq+b5nWa/cWAwDwhXh3CFUtpVxe + Xuacx3HkM0jgs/Bue6qaUrq5uUkp+Y0Cz90uPI8QwsXFxdXVlffG6fueez7w9PyvTj87pZTmeb68 + vLy5uSHZGgAAAAAAAAAAAAAAAAAAAAAAAACAt4MOz6/MbbJyfTHTN+YlhVu3gE+TRWqsSl0ZyuBO + Aqju5rQ6PsfEbH9i0HA6hrNYPpEkui/okZpm1auJSFnJHw4alsHPd+NdRVVNRMMiXXVtP9yN095t + pt6Jgt5/S2Q9XrTWvGzJ4in15PprXY1NlaM81GVc7sHeOxM7fdCe84mzea6LtNcQ9i/f2lBmKfYH + RfzV2E7TMjVWVXeHywozaa2w3cTjVFObJYvdpXo65rzktt+C6u0OLKXs6tqJV/PYPM8nY4MPdlrL + f10b+iPvx+E5GRt8ENW8PJIPeIxxK9WaVEqRo7xnM1sLhR2P4od9T91pQ2vb48czWeZ/384U6fre + Y1R8gXx0WB7XaY+Xe9hHWdmVqtX2KchnYu+XGcbeMDNrdW6DpfeBx2sb1p6ui7D5fhhu2+MPSjmz + Xcstaqc5MfN2tp3TmhFjt7Jdu/rVzPb/F6kpJTO1XYTwohkrzdkMgyyOwyaEcOc9uX/+2u4Z+otl + hf3O2B2HB28WESmlqIruTrCtkRrD6e318/Py/bI8KtbeWSfqtJOAtLWL7E8st3Xu/IhbqWTmzwoh + +IJm5uHN+63R9kKvxXVLTLdvmd0PYhGRqifO2Kpq+fR5uJ2a2ibsjiWz5e492G/Hyu5bKvt49Tsn + pbuHk6pGte+//9h1nYhplN/46d/8h//wf1l5L4rXvLq68lFK5nn2cXLaO3SappyzByzN89x1pw8G + AAAAAAAA3MuvrnhwdbsI49dhYoz+QUP7rMEjrkWk67p5ns1smiZZ/9ABeFOItQYAAAAAAHjdbHdr + uqjs7omsKrXd63fPvc2vWClFVT1OLOecUjKzGOPqbbIAAHwB/vGk30x/dXXlM73vxLO2C/ja+Kf7 + ax3/8BbUWj3TehgGP+t655znbhfenHbgpZRSSu2nPwAAAAAAAAAAAAAAAAAAAAAAAAAAAF6wl5Bm + /SY7R7+kTOsDy+7qazGxBxmxso/J9NEPlvGo7Vtn6iznaMuLXtRvi62VOuhi78u3QU48DHgZhrq2 + XbJID70T5Lmy2Pmu/cetXbZhucz5/SyLFNKDmgd7+CGjTxxv1PHL5AOXiQTP+TXLIkGkxtjJbiC3 + O9NaRaSaqUhVjWbFnzsMFz7frPh3fXpcwachJNXqr57PMVPV25q+pGr1+mfq7Nfr0+zLp9Qv23mm + JT5dLl+riOy2IoTUtkgkqJrvAV/vcZ2uG/xx2zO+vLfheJ+s1Wnzfe2+dd7Og71xfm/3/aa1xOuI + BJHi2yUSRGzZ2rX2rE1Vo+8rs6oa/dVUrdOU99811eiv45nXwl/35evlc3Ku/jiEcLzVK626bc9+ + jm23k9fZt1DO7/+DtfiRKVLnuezraKvW3hdrdZbHgLenFNtvl7dnF5C8Hs9850ziCcSqWkpp6cby + gLPZNOX23ZZyHoLWWvcR3neyotfOV6UsA7DVm7NoqrdBfVWq2nVJFufSFoa9dp5P6TaG/DZb+SjK + erHM6Tpd17fVtSJtu/xxy+T2IRxP1un7oZTipVTDvgE1xrAPt65mWqsfe+Xk+bNqjZqq5FpEtIoF + CWZVTUoM3W6OVp/j0y6cPg+rxlpnMxUp/v4y02w5xX5ZR7T6ulRim7+cxtBV27XHqu7bU1WiBhML + JqVNq9m7zcZMLzbvrq+vf/azn/3mb/63Ici9w974zh+GYRxHn+N7stbqidchBI9cOvPzGgAAAAAA + AGe061ohhFKKf2lmm81mHMf2aUJKydNMcs7v37//+PFjmz/Pc0rpzEc8wBtBrDUAAAAAAMArZosE + 62RZLIvYG8n6izH6nXkiklISkXEc/Ua9524aAOBtyTl7uLWqzvPc9/00Tc/dKOBrEELoum6aJjMr + pfivfN4l47mbhmfgXXRaoPVzNwdvmh+BfjTK3f5jAAAAAAAAAAAAAAAAAAAAAAAAAIDnEqSaWBAR + qSrqj01OJ9s9flDql9W3cTWvb8Vjt/ex9YEX7QXHKuO5LDM+ZZ/uubbkMii65YP6AAgHRTxm9WSd + gz7yPlKWmanEg1V7nbX2LGNHl60KIRzEtR5s6YG2zHKjWvuPY7zX4hyW6zoe+6t96972LDdnH556 + er0Pac8yVLut8Thj28yW8bQe1mumIro+jJnud1VYhA1Hjx/e/7agIuqPVeP+8Z1prd4eVZV9tm70 + XegNUA0hJI/7NQul2L7snX8eMasa9o+DhwfnXEVsvz+C6m69a7HKtcqiza1arRLMg5BDCJo86lW0 + lmyiIhYOp/VgV+9q7vfnbr95U8+kpccYPZZd1duza+eUq6iJWYiqEjSoVRVVsXBymquIqpiat0RF + JYpqMRUNYkGDqsQQoofX1iKnt2tl2qW+Wq7ZDzUVMRUVVQlRg7UwXa9sIuov49E0hVjFSjETE6sa + fCoiQYOKRAnmwbpWxcSCxpPtSbEz05rNxKSKqP9PNSZvj+6DhO9pT0zVcq1iIlJN1NRfzEV7rKpZ + qaWalBT7k/vfY4OtyG7/qKoEUalVNASVoOp1ai3lTKyyxzy3hGZV8X/i+0FEPDHarNRiduf8fOe4 + CqHVqWb7MrrMjt6fGYqZpZXzTAjR6+zeObtC+vHjx+O06eXPhVprznkREX26/ocPH5Zn5vbmWURi + 11prKcVLrY3B4u05SLaW/c8j/1bZOzN+4/X1tS/pbd7nYdcY/eeX1lpKqaXkUmqtnjV+4tgaxynX + 7LtfNfipwqy2U3WtpVbLefY6Uk/XmedcSs651P071p+r6ucr9Y329pjVnMvJOqXUnOeDOma1LdPq + 1Gq11uuPV5eXl9vt9O7duz/7s5/HeOcn9d2fbVVEahUfiCzGOI5j13XzPHu0UktXasc8mUkAAAAA + AACfzK93+YUyzy7x+d9+++1ms/HLMm3Y2JxzjPHjx49+mcvMcs5d1z3nBgAvBrHWAAAAAAAAr14V + CSZ9qVKL7O/Cewv8mm8IIeecUtpsNmRaAwCemJm1TyXneW7zuVMc+OHMbBzHg6h43lxvlp9pv/nm + mw8fPrRf+1NKxJzjKcUYW5q17A9IMq0BAAAAAAAAAAAAAAAAAAAAAAAA4JmphDcy2AqAT2DhJSZb + e6uYPvlUJYpUq6rBWkyvSWkBtCeW30/b/H0obPE5QZNoNKGhqgAAIABJREFUtaqiZW29tcjdOqYS + 2/AJLSv03hEVvLPz8WIH6dRt5lqdWmtbne5zlT1+9SCL2ousDe3ly7clW6lWZ6Uxh7HKnh7uocsh + JJ+qWs7Vo5196kueDGZexCQXr+M1vY7P9zrtux6cvN8DyTOtVWWfTn1iGkKoNdRaRXS/v+t+t0cR + 8TqySyv3rPETdVKKZrt0jZYLK7v4jd1z/bu1zrXWrutO1tlX8DDyqp4SrJpSWgbriqjn4Kr6q1AP + pjHGtt62LaYpiJipiYmpidVsZtnjbMWCiBxMPed7udW+b5eHUAsLqdV8e0XkYOrjSPh2ifixVE01 + pbjbLlERsbrbrn2q7+F2haC2aKFK9FdHVMyCmUlVUavZap3NLKXk333g9OrqyoOKD1KK/f3lTS0e + wFuKz5RTxnH02GCvI/s3Tttpvpk557auk3XmeW5Rxwdng1bH23O+TmvwwYAey+z55XatnR/meT7Y + LncQq+x1Fus6jB+u1WotOZfbwGO5PTu1M0ApcynmL8jJ84OZ+ndznnxJn+/nnOUy/t1xnNfOM16n + lLkUaVvmO/t4p/rxuU+DPrmrHiTGFrfsAcz3LB+CLBdTvf8pa+ttLfcM71Z28VPsAYVUTgZeq4iG + 3WOrt8voSs2UwumDTnfVDuvI6fj2oOpR8UfzvT0qamL7ZUT6Lv7859+r6PX1NkYtxXy6trkhhGma + Wq75cmiyNnKmT6dp6vv+AXsQAAAAAAAAJ/i1077vx3EMIXRd51drh2EwsxhjCMG/1a7cikgLwM45 + +xXLsL/QDLxZxFoDAAAAAAC8Sip1dyPv7s437apJyWJV/HZLEZEgZnL6LtZXz2/CU9V5nruu8zvz + ztxGDwDAl+CfSnZd5zeOX1xc3NzcyNmeXQAeLsY4TVOMMaU0jqOqLgNl8dZ0XffhwwcR8d/8u67b + brfP3Si8LTnnzWYzz7Ofjj58+NB+BwAAAAAAAAAAAAAAAAAAAAAAAAAA4A17W8N81690PJ+vlMce + vrxDVAPTZ5lqiGZiqioWQqxWSqml5K4bxFRET049hFdERHbBtKnrPRK1xSSXYqWUGFfWG6NIreZ5 + CSqmqrtAZc8nbeHQHu+a0umh41M/HCdYawtT9WBd1WpWa63V1uqIBlE1kdv422ohaBXdZYDuQ3nd + Wp2YblOrrVYTCSFYCGb7vNNWR8TMdrEQFkTvTiWYVjE1kVpM1IJZTGoaJZhYkGBW1cTMPOb6qIIF + UQlhFzFuIruobAkhSK2ezhx8jqiUYrVa1wURrWa1PCimt+XvtgU8mtdzMmSfn+Glztdpcb+LcGtR + 1WUAcKt2pkv7QcBwq9MOkrbM3eTgwyItNXlZJ6VUrB7XWWuPldU6vqMeWMd3yMEr4sdhFWt7zJcp + pUzTdH7/HLwiKaXlfm51xvH0/lmTktQqa0HFHgC8X8Xt9BPqNOcHNIpRzD5DnYM45LVqB3HOJ9tT + 6/2lDp2KPT6IQ9bd7tV9hnoVCWb1vjbrwZBQqvq5holSlbpSp+zPFurtFlMNqraWiHzcTpd3yx9s + gpwObRYrR0fCcVFVXf4UO9mevJhvdqfK4hjYbZdHg59sz+02eST2rvVmJnb3nbd7XU62RmTO9e7C + 4uutVcRk/8NHg5os5t9u/35a2pbs26Nh/7rUg6VFROa5vLt4d32zFTGVKJKtnmtnO1PFGEWklDIM + g4+T44NnppRSSvM8933vY2muVAIAAAAAAMA5qtr3fc55GAYRKaV4ZLV/HrF8MAzDOI5m1vf9NE1+ + ZXiz2QiDyQMiQqw1AAAAAADA62MSRPT25rwgUtXkImfJWWoN+zv4bu+I+xp7wvR975eGu67ziGsR + Mb+rHgCAp9L6FInIMAyeab3WNwDAY/lbyXt/+Uf+z90iPBvvkyMiMUZPN/e+uCSd4ymllFqYeozR + O8rycx8AAAAAAAAAAAAAAAAAAAAAAAAAntmdYVg82NIWj+uJ6T4A72FTAK/Xy3sLW2tSYPr00xBi + tWBWRdSqlqLTNM9zvr46F4s7z/MyE9cjqD0W1x97sO48z2v9jn2ZFvfbFgshLKOIa61eZy0OuWX9 + Luv4oFvLVS+jhU/WCSF4qXmep2nyxdrgXa2g7eKxqw+ocnK71uq0oO5WxMyur8/V8V09z3Or04YU + awvs61zf2562+d6Stq98P3tY8vX1JCKqtzm+vhfXhjFLSUux9uIchBa3GON7c3xPxhgf5AR7qbWI + YncyfnhZJ4Tdl+frLJ+ybECpu1BajxEXlVrWInRFVKIetscb4NnGstvV/lI+uj0iYvt44we252Qc + sqhYvZ0T9P46a9M83w1aDrtUXZ9alZV433N1fOuW31229vy05NPt8QoPr7PcG8d1jlu1Vvlg//jj + 9v2D5e+86HfjhxehyrdzzNrXfjDV/SlnNYHmeP7JJdu5a+08vBzncBGOfnLZg9Xt2mx27g1w5ufI + 8XcX++F4etzmE3vAh/a5t/Ftq5c/d9qX+2ldaYkd1Nmv12T/82i5XQ8ZwcOziGynLussWnT2RHPU + Hq8TY7RFoeU6r298vJGQS1bRevZ1dD4yibd2u92amedYX1xcjOM4DEPXdWRaAwAAAAAA/BCq2mJK + /JLL8nqLf8rgn8WM45hSEhHPtBaRGKPsrzKRbwIQaw0AAAAAAPAqRb81c39relfDu5xlmsWsVlGV + tLv6+TVfA/WrvSLiF4vl7r2eAAB8mvbTpNYaQmgdk/wecb8RvHV8apm7IjKOoz+RbEvgc1n28CHT + +o1rp9Zlv1kyrfHElodcOxQf8nPff2fwP11zzn4nEwAAAAAAAAAAAAAAAAAAAAAAAADgc6lVgqZs + tRZLqZ/nMaVO1YqYmJipmIrspiqhVI+su5N1qqpmupzTpgf5bW2Ox6ctW/KQGLxlsqacHSl7bSgV + XbThIDf05LPOdIRr7W91fO76M05YBHzqsj0Hq7ht/8p2nWzMvf34WnidPyuEUEpZxqC2XDqPlHt4 + nYP9eVtqpU4bGF32x0DLTz25fDt+jhIK7Xg33juuzslSJ+uciUtca4+PvSCLw7Xtn5N1lk1qPNWv + 7Z9W5yHxjQdhh16nvSLn2+NBudM01Vpbuq0/bgVbTvBakc9Yp5TTddpO9uDelqO8tn88svcg/3h5 + Mnlge3xFbZm220/WWeYxH21XObldLR7SCy5Lre+iknP2bOOD02Nb+3IXnanjEcnLEGJGBDnPvvQI + Xi9y/5tJLYdzTprm40DZu18+bAPnUyMlLKs9sFQ5dfgv65xc4PxTDhtgIiJWT0QLH0/LUZ2DBphJ + PV7oge1Zfvmw9pyMQz5Ypq5EKT92aiJ2fFb7pGr3RAN/WnteQJ32epx87T7p5Hzn97FFhR90IltE + s6/9vrf86umGPWy/yj3xc+9W2BX55L193BL/7eOxdfY/2W+f+Gl1jttTdueow1J3j9Bg+2f5Xxv+ + a48PTebDlPly/th/EfI4pTZm5jAM/oBMawAAAADAs/BPJfyx/227nPN2tPzjUopnXrzN/fDaHVxy + addbWty1f4yoqn6tpr3E/rrP89wqAK+Ln7I+Vy47YyUDAAAAAAC8Lipiat7hSUSkqohoqPIu13/0 + F/7Cv/d7f9AFEb//fsrKnWoAADxSztl7MN7c3FxeXra7xpc94szs8vLy6urqGdsJAABevuUgCNM0 + jePYupYBAAAAAAAAAAAAAAAAAAAAAAAAAD6XkFKtMgwXOU85V9VYipUy9/3GrKgGsyISRFQkiHh8 + rLU5HnlsVs3Trw//1Rg7Ef/ubqoaVc1MRbxOFQlmHp5aQ0g+52AaY+fLmBUzNfP5phq9ZqtjpmZl + rU4IqS0jEkRKm39c52QFn6bUn2pPFQmqt626r470ffLw3ZZAvAwC95HBl5HJa6Mqp5Q80LdVkH2G + 8enXPQTPzW0pud6n7zgH16t9/PhxrU6t9SC49zhP2mcWs/NDDZiZJ/Ie5AQf+/7772Uf07uMCm7B + z3mvhUCfrOPbdVzH44R90zwh+GCs9pPtOSjyydt1XMfXe1xnLSb55HYtD6R9nV0k4dpg3TFKKefy + Mv2J9wZqxqhlPY314aHwXRdLORe0rLshpu4p1KWu1NnrqKioLZ91PGdNDLFaeXieaKt8ME3xtj3L + 7fIlls89v66gwaQeL3NQ5xO2S8+lumOP/QMAwIMdD0Tmw5T53ynTNHkq2DiOKREKAwAAAAB4KTzf + t33pn0C9wSznWmvXdcvPNFu4Nb4C2+12s9mISK01pbQcG7aUMk1TSinG6NHXnysVGHhiIYR2DPsF + yc1m88mnMq5gAgAAAAAAvEq6u/m7BgvVQgzSbSf78FFyLrmmFKyadklqEa6AAwDwYP4po38Sc3l5 + 6TO9W6aq+geNIhJjvLq6Wn4YCQAAcMB/VfDb1Pq+n6aJTGsAAAAAAAAAAAAAAAAAAAAAAAAA+BKu + r2/++R/98eXl+xBUNaQUS6ki9v33H8yqiJp5SKqJaJvWaqV4inEupZrVEOLBMj798OGjV2h1VIOq + +PK1Wq2llJrznHOptRxX8Ol2O9ZafPn9AOm33zUTb0mrE2M6WefmZrus4+1Z5v/WWnIuOc+l1FKy + f3etPaXUk232Ot4eX2Zl/8h2u637QsvB31t+rH/Xc6PbMsf8xfDE32VQ8efq1n9+NPITMbp6+8C/ + 25axU6VUd//Mdv+Wzz0WwmHZ47XfCeW9bzT1tTo/PMfXt0sWr8WZsmdSopf75yErPbOKO3V8jSt1 + aj5ddpGQ3b6l6ynbUj3Cef+O2wfb74adePhOnuYiIh4MrxpNqmjwGHsPqvc5LaJ+LVR+yrd1THyr + /F15ek4LvD+Ylt1uvA2zN1Ozcry8z6lVdpXvTpftEQlm9bYli1apiG/vcUt8WkVF1PfAcr7J7bNs + d445VydXW7Zn15Jqa8szfZLpS8OgMQDwyd7aKfT4p1gVkRDC1dVVjLGUMs9z3/c1FzMr+1+a/TfF + YRjMLOdMuDUAAAAA4CXwBGv/Q9XTT/3v1q7rnrtpTyqE4Jtfa/V94rviuduFz2Oz2fgg86rql2hS + SrVWf9z3vYiUUvq+zzm/wVh3fB1qrX4Mp5TMbLPZtED3T8C1SwAAAAAAgNfr9n6+aPLvbIY/l5LE + kGKY57nrOqlGpjUAAI/iHyf7x4o55/aZon/cWEoZhmEcRz5gBgAA9/K708wspTRNk4jknGOMeu+4 + EQAAAAAAAAAAAAAAAAAAAAAAAACAx/jN3/y7v/3bv/3x43Uptz3Bu05zPt013Lt5tfDde+OHfQSX + llXceok9tt/5vTG9rez5yp8lqFhEYhSzE6HRPnD3sj3ng6VjlBZEfWAt6fmklGKtpZTbOcu9fbK4 + 3N0bvq5lhaWH7zSv07b68In+Yh0FfFsVO5p/FKd+d/n17/p0GX1u9dySZ6a6eO5KxPk9azE5nH9v + y09OD57lW7e2XSqi4cR3fb8dz78bE7+f+kPVZdT6IrBcl+eI80NJ7PKrfSPs9nGM0Z/rTla+q42M + H2yRPG3mOdm3G7BYy4kdpKoiUURUkz82s1o9dMGrhcXqlus6ngYRaWs3O5xztz23NQ+mqt6L1tfl + I19FVd2/le4s2dZyMF1rp+dYtyX3bTvdEpEQQrBd/vzqupg++fSlCW8vlhUA8Pn5b30+NJn/8uGp + YJ6T5MlJMUYyrQEAAAAAL4r/oeqfcahq13VvbcDt9uGRiLR4bwYL/Zr4Ie2DzL97985HhTUzv2Kj + qiGEWquZEWuNV6oNeuyPa62bzeaTT2VcvgQAAAAAAHhlbHEVSHdX+EOsEsbp4/Rn3gnGu0BY62sB + AAAexsxijNfX1+/evUsp+d3h7SPGruvGcYwxevS1fxIJAABwknct845nfd+P4/jcLQIAAAAAAAAA + AAAAAAAAAAAAAACAr5OJfPvdlYjEqCEkVZumPM2mqqItpdWzbFXEqu2f5lmpaqpBd5mtJxJV892Y + 5PPR1Kq6TNc+88RlHrPZrj3tW2fUuynOrcJx/fPtWW5XC/kWkXIq5fNMlnb1uGJt6bOe0Vt3TWr7 + 2QOW2/4/Ms0n8qjPDKFvi9dxP2vZYL0vp/hsZdu3+eh4uH2x7k6X22hH3z0x1f1OPfXdB1UQEZMQ + gkg1uV17a4MHf+/2lE/qg2oe1xe9XcttZvTJ/bBb/kR7DrbLjvbbcnobpn60FhU53s+n2+MPfcHF + 0XAcO/3A0b39iftTiqpqOZWjfvbIq8uM7UXZwzfe+Sa15Q9WVU6+gdf5SP1mh/tukRZ/pxlnNu3U + WUhrfejTT/K1L6cH1dYK1npiP5yNG38uxCoDgHtscszjzp8Mx/i8HvvT97Gv1xf/6a5Hx5uJiNRa + fSCyGOM4jl3X5XkOGnLO/quI/65IoDUAAAAA4AXyZN9lmu9bS3Q2s67rSikhhJyzql5dXV1cXDx3 + u/DZDMPQrsy0TGuf+pHfwq2naer7/nlbC3wCv/yoe+1jX2KtAQAAAAAA3gS/Sz/s7p7z/wS1mqf8 + 5//8vyt5slr7YfB+D1Oe+9Q9V1MBAHh1/OOWYRj8S/8AJufsHyvO8ywi/jnNNE2edf2MrQUAAC/f + OI4hhO12KyLzPHcdf6QDAAAAAAAAAAAAAAAAAAAAAAAAwGfWdV2t1cxKqaXMMcYYo5nt+4PvB2rZ + x96GEFo27X706mXP8ZVo3KO06RBURGrdxaTuI13vT1XzwOkWrtp1u9a2UNgH5q4ehMimFHyLfLtb + e9ZGLV7E1t6ZH+Od7TpY+Ni+2/1uj5mJBw36q7Dcz7LLv75/GOVl7u+9MbQt8tblnB/yrDPV7sYV + rx4PB4630adnxyXwaOs7u6W1fLldInIyPnlR/0Q7Dw5IL/XYcRL2y+/Wsm+OrO2NM+05aMn55deO + k/aubaXkbFzxyUzr5fLHIdNnnFns4BU82/4TO+S4PZ+WAH1Q5972PPB4OC67tsCyPap6HI/9qO1q + C6896+HteZEYtAQAgFfMQ4/aHw7zPPvvJR4MNo7jMAwtOYlsJAAAAADAC1FKiTEuA61DCDFGH3n7 + 7UgpzfPc970HHndd9/79+5SSf4mvSdd1PpK85/7WWtvA8v4gpeSfLwOvi5/B/GNlP7f79NOqEWsN + AAAAAADwmlQVUxGReptsvdNtwrff/VzKrFHGklNMJhJTZyL39+MBAAB7tVbvHDuO42az8ZmllM1m + M46jfyTjN5GTaQ0AAO7l3c+2223f9z4wyvIGPgAAAAAAAAAAAAAAAAAAAAAAAADADzfPRURa761S + 2rAsJ/pzqWqtts/EVRGVfcLu6RhUve1XfvDtUlte6231cw1t+aztoYqIzHkRV6y3ucp1Jcb4xFrM + RCSXfVN1t9g9dYIeBV/bie26t47cjoy8S+c1k9sXQr2QhrCLi37AsOAtQldERFf75d2+cLdRxyYa + lyV8ufOrO6izK6UrIz6vxOX6EbgMUd4veLr9y5zlgy/vpnrL/tA7XWe53uXmLLerZYubrdY5Tob2 + px9s12KZRwxrtEySXrREzmzXWiqx6p3tXSTBn25PCw5vLTla0YmtXmnSYR0zW0trfnissqqY1bba + o/asNUYWT9n99+7yB8n0nxjz/MDtUj1+z7Uz7bKRbYG11+tEqvdxNvbiW6eH/jh+Px63AScwWhmW + HvuW+dLHD2/hrxxDOX3NvvTp4YvWX/nVR2Q/BJmZtXHJhn642W5F5cOHD9988808zx4MRqY1AAAA + AODl8D9jc84pJf+ylPIGx9nOOX/zzTcfPnwQkRCCp1mTaf3VUNWu6/zijEe2+yeVyzRrEam1bjab + 7Xb7zM0FPsk0TSmlaZr6vo8x+oNPrkasNQAAAAAAwCu16JkkVaTO29r/eCPDICIpplJLDLHUEsJK + 9xgAAHDEkya9e9tms/H4ak+53m637aNH/7j9uRsLAABeNO/iXmsdx3Gz2cj+N43nbhcAAAAAAAAA + AAAAAAAAAAAAAAAAfG3aeOveN7zWqqo+8ziBdTfHs1H3ibjnAl9NJdi5NNSW12tnF5PTua93wmlb + E+9NoD2oc1D5gXXaN9s+OWrJ4+ocRN4ud7KI1fq4PMr29PWVn2jXyq44v6qH1jnrYMT/45ToozWc + jpH2/a37tPXl/Ies93jJtqJdPPlKMsHam+VgdIV7t2ut+Fq29HpM8p2tPpke/ZA6BzUPco6X3/Jq + a/vnIFD5zOrOv17Lt8Xdt8jhYrqLS1xbz6H1IPBz320LnF/m3joH81vc+GNjv8+/vidfuJN1lq/j + px20AAAAO3YuOtv/8PThRG62W/8F6Jtvvsk5d10nIjnnEALjjQAAAAAAXggfFbP9oerXz1vK75vy + 4cMHVQ0h+J/2b3APfMVU1UPKSymeb90+LYox+uUazwPebreMNo/Xq9ba930pJcbY9/08z35N8hMQ + aw0AAAAAAPCaBJMqKmJhf5O8igTJVS3GkLMnWNcgwdOsQ4jrt8C9ess+Jz/kGhkA4NNo61t7NL/1 + J/Suks/Ruk/kH6i33oYx+s9WUVXvsdz3fa21lNL3vX8wCeDLiTHWWpdnEjqLvmWt/7bfDiJv9bYn + vEDtN6J2cMq+15mZtW5mZhZCeHW/HQEAAAAAAAAAAAAAAAAAAAAAvmKlFB+eteUmemeNlFLrvuER + ocSuAHiBcs4p3RlVdZqmvu/9sZ/ZfOjh1mUYX7FS5v2DXcdDM8n57NjTj+q0er47o5k9sNzJ3Nfj + +Z9c5wf69DorO+gHtuvTnv65uiP/4Dr37s7z6dtrj39IS+4NXn9UtS9d5CGH+WPrnOmu/oD09odu + +YNT4M+1/yEv1gNb9NnreNfag78gcs4rz/r0I+Yhz/1cy2Dn8+2qdlS0UJAzxwm+EuvHT0rJ34n+ + +zlh8zjmR4WfKL7EuCIccF8NPyraaaT9lPniK145htrh6qMkLa9UHD8AAAAAAOAl8FuA2s1Cfvnl + zQ7uamZ+YeHN7oGv1fIFbVdv/Mv2irfh5cm0xuvlB3a7LeqHXIrkIiYAAAAAAMArE47vadMiKmKx + 7pOxVMREVESkinydPYT9pr1xHIdhEJGu6/zSP/ftAQC+kHmeY4wfPnz48Y9/PM/zNE3EqQJflIfH + hxBijN410TNin7tdeB4+ksg0Td6ZZxiGcRzJBsYLYWbDMEzTNM9zCCGlNE2TZ1rL/pYO7xQn+4B2 + AAAAAAAAAAAAAAAAAAAAAACeXQt/nefZQ4PMzPtuzPPsD0opXdflnFtMLAC8HCkl79Hj3Q999IlS + yjIkr/VVpH8iAACfhf9s9Z+2Ztb3PUHFOCnnHEIIIeSc/TexnDNdrd8mVT04UXhwDr+iY8n/iKu1 + Xlxc3Nzc+EwGd8IBP3u0v/r9aHnG4yTG6KlI/rjW6ldZGQ8TAAAAAAAAwOvCNU0AAAAAAAC8St69 + cBgGM7u6unr//r2qcg8fAOALyTlvNhsPrby+vo4xqirdHoAvapomEam1eo+jeZ7pzvqW+fHgfVb9 + S4YRwcsRYxzHUfYd3nzgm2macs611r7vSyk+Mk4Igb7WAAAAAAAAAAAAAAAAAAAAAIAXou/7WqtH + VuecPb7ag1i8K3dKqdY6z7MvQFduAC9NKcXPXSKiqt7jrKUn+sxpmrqum+fZ+/g8c4sBAHj9pmny + ZOvNZrPdbud5Pg6sBVrf6nZsxBhjjD5uAN6mzWbjv5YPw+Dd8+l6j6XNZuP5xO3w8MtTz90uvCxt + yC//A//m5maZg/70SimeYy0iPvVk6+dqDwAAAAAAAAB8Gi5rAgAAAAAA4LUyMxEppbx//97Mttvt + ZrPhNmUAwJeQUrq+vn737p3fyO4/g2qtIYTnbhrwJpRSzCyl1AaYwBvk/Yh8DBHhJIyXxA9LVZ3n + ues6/+PUzPzvU58p+05x7UsAAAAAAAAAAAAAAAAAAAAAAF6Cvu9FpJTimSse+1prrbXGGOm7DeAl + 8w47HnXWdZ1HbPoZbBiGeZ69R/A8z5vNhkxrAAA+i5ZMvN1u/Yev95wlrhhLpRQPPvd8a5/jQdfP + 3TQ8Aw843263/qUHFbcgWMDd3Nx45Ln/HTcMgw/d4CnXgDOzi4uL6+trESmlqGop5SUM4lFr9cuq + /lOPn3cAAAAAAAAAXhdirQEAAAAAAPAqzfPsfaRTSiKSc764uHjuRgEAvlpm9u7dOxH5/9m7nx3X + lS3P72tFBCnlOafq3nbDAwNtA564UEMPymhPatboQT9BodHd8KDhR/LE6Kmfwc9geFK2B57YMxtG + uXB97s69UyIj1vJgSZFM/cmd+y+lvb8fnENoUxQZosigkoqIX4zHkVLqPesAfCNmFl/5VDXnHN3S + 6JP2M5vnWVWHYXD36ITWY4OBdY3jaGZRWZnZdrsVEVV19+gnKSK11lLKNE3xlywAAAAAAAAAAAAA + AAAAAAAAALcgumZEHGykrUTsq7uXUqK1fCwZfboB4NZEXlRP09xsNu7eWuvJZ7/88suHDx92ux39 + 0QAA+Cr6xXee5xgQgEBrnFPVyDDuUdbR+drM1i4aVhC3F4ZhiG/s+/0+58z4ITi33+/jspJz/v33 + 3/sYI2uXCzektVZKiQDpGOJjGIYYEGyV8rj7NE2llLinKscbFAAAAAAAAABwX2geCgAAAAAAgLsU + LU3NLOfcWov2yiklekQDAL6F6Bzl7uM4Rm/2yKSk2wPw7ajqZrMhAhZdVMVyHC9JVWutvVcPsKL4 + bpBSSinVWlNK0zRFD8mHhwcReXx8/PXXX+V49AIAAAAAAAAAAAAAAAAAAAAAcAt6wmukv6hqBMPU + WiPTOqUUITEfPnz45Zdf1i4vAJyKOir6nc3zHJ3OenRiBOZ9+PAhwq7o2gMAwNfSM0dba5FpnVIi + rhhL7h7Z53GcxEy+j/20ooqYpunh4eHp6SmSaOd5Zrw4nIjbU+6+jCim6sBS1Bv9pmUcHimltcYB + c/fNZhOPzczMSin7/b7PBAAAAAAAAIC7wG82AADebNjzAAAgAElEQVQAAAAAuFeqGo2VI8qOvEMA + wLfTB+BIKfWIa1Wl2wPwTUWEfGstHhAk/zPLOc/zHJ12WmvuXmvdbrdrlwsQEVFVM4s+b9E9Mv4+ + jeR1Vf3tt99EJDqexdheK5cYAAAAAAAAAAAAAAAAAAAAAACR6KYdveeW3eVKKY+Pj9EYPjrW/fLL + L/M8D8OwankB4FTOeZqmcRyjz84wDNGjp7UWOVKqWkqJrGvqMQAAvorItJZjzmjO2d3JtMa5nPPv + v//+8PAgIvGdLYZqWbtcWEH/Kv709BSHRPTNX7tcuC1xnPQ/8eIImeeZ0QWxFH/+u3scITHcR9zb + XKU8qrrb7bbb7TzPOedSipmRaQ0AAAAA+GmktQsAAHfqFn9aZaxkAAAAAAAA3KXeQj2Src2slPJK + m0JCEAEAXyIiKnsIZTyguxTwrcWpl3POOUccbOTKr10urKC1JiLzPPdI4BhMhHhg3ILliDaqGn1o + Y+yb/qy7R19rDloAAAAAAAAAAAAAAAAAAAAAwI2I9JeUUu8r1zOlItO6L2ZmZMECuE2RcBZ9diJi + M+ecUqq1iogfiQj1GAAAX8U0TRHZWGtV1VprDAWwdrlwc5ZR1qTS/uTiq3it9eHhIb6cM3IIzg3D + 0G9AlVJi3AZqD5yITOsYksjdzSzG9+h3Nb+zWut2u43vQjEeZpQNAAAAAAAAAO4LwyUDAAAAAAB8 + faqqqu4iKqoqoiK0oP3Komt0T7amDR8A4Psj0xr41pZnWXRkpWfaz0xVzSyGF4nOq8QD40YsR7RR + 1ejt1vu8xbN8bQAAAAAAAAAAAAAAAAAAAAAA3Kze6P289Xvvzf29ywQAbxbdzeKxqrbWls9G4iad + E+9Ra62UEgnlOWczc/dhGOZ5Xrto92ocx2maIvUt9m1KyczWLhduVEopKlWqUHwUmda4KMJol39m + 0uH6JxcDRMRhwGARuGh5A2qtlGLcvuUdgJPxPb6/qM3iuxB3UAEAAAAAP7D4e9zd46fGmIrc9++M + SQ43GZwMkUvud6dETMyt/Q5+az+RLH8Cjj0WM9f6afhk//w8v1DfVIsmfrkBAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAANyEnHOM3rvZbPb7/TAMrTUyrT+bqvZMa3eP4eaXqfCAiCwPif1+ + v9lsIlGe4wQAAAAAAAAAAODnlFLqCcGttZi+MYq1/8Z0O7mt3cU0axVdK+U6p8Pv4+5+y0nbtxY/ + nFKSl3nMK2Yzv+LWipRSej7ebmCPrV6AN7pW9X3G7+mxqlhbjxX/8hJ+CWKtAQAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAA3obVWa40HEcasqjEmNT5b5BO7e08NB5Zaa6UUEXH3zWYzz/Mw + DGsXCgAAAAAAAAAAAKuJTOuT1NWUUkRcn1vGvt7yL5Iqx8jtRYb0innSzU73p4qqqrmtUp7X3c4n + 2zPX5XiUqqqqXjs+1/IZscff1HK/yXGnyS19suHGy9P328n+/NRV3cjOJ9YaAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAHATcs611pxzBDATw/zlIhpcFsN511ojwxgIpZT9fj8MQ+QNDMOw + 2+2GYcg5r100AAAAAAAAAAAArKaHVQ/DMM9zD3N9feEbyWp9xYo51idyOvwg7u5RKo+HN+Y81jdm + rhXbvCxP34FyezHSt/ZRLvePH61YnjvVd9qnHm/LF95OPUnbEQAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAADArYjEZTPrw/i21ojX/Ty11mEY5DgacmtNjnsY6Fprm80mHr9///7XX3/dbrfr + FgkAAAAAAAAAAAArioxqM4t/xoNa61te6+6qoirucmMpwy9CZKOQIrJisGyzttq2v0DfjeuG8vaj + K0qhehMhwUu3fPwvrVXO8+Ksfkpec3Kwfd6RFvWqHDPFv1rhvgzNRwAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAN6HWWkrZ7/cRshsPUkprl+teRaZ1jDKvqjnn1pq7662Nn41VxfEQp9uv + v/5qZnEmcuoBAAAAAAAAAAAgfmQcx1FEap2uLbYMae2Pbya59aBkNfNjMO2tFO/8x9sbKVhXSjLr + KeeHzPIbcbMHm9xkkXrk/MUTdnW3U5ITPTp9+RN6PyPeqMdaL+fknGutX17Cz0asNQAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgJpRSRGSz2bTWVHWz2UzTNI6j3+zoxbdNVZ+enh4eHkTE + zMyslNJayzmvXTTckJTSPM+bzcbd53kexzFiCQAAAAAAAAAAAPBzcndVVdUeZfw3f/M3f/VXf5Wz + il6Icu1xre7u7mbWWovpdy33x8z7qbUWZYs5Udq0zKr9vmKPtaNa64rl8bOA7fDhw4f+aeoxhXvF + H/FTSn2/1VprrfGZ6nlC+KrevXu3dhFe6CdprXWe51q9pzWvVJ4XW7/9ViF+UshP2m8utsjBTilF + oPW6mdZCrDUAAAAAAMBXdnKTS009xbzD7dfjAnrzt8MAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + 4DuLxOX9fr/ZbCKGeRzHdYcRv3fb7TYeqGqkWZNpjRNmNgyDiEQ4gRyH7+dQAQAAAAAAAAAA+Gn1 + pOr4Dfdf/st/8Xd/93cq5urq4irn0yQqSdXFxK225jbkcnHJVaYiUkqJ9Gh3TynFr2MrxjP3+PBe + kmXw7TpFurT3SsrNzZvFp6w5ibnJ1SPhW0+tNs0pjjcxd5WYJtFbONJudurNYr/F4/5pxuPvXx4x + l6T9U4tjzMQ/PL5ffV+dH/91mudW21yb22fVP9qaj+MoItM855RSzu/evfvHf/zHf/fv/psVT3li + rQEAAAAAAL429yRmaqYiIi5N1EREVPrd33S8KW36/BgAgDvSWiul1FpFJOdsZu4+DMM8z/3H13me + o8si3YMBAAAAAAAAAAAAAAAAAAAAAAAAALg1y95/MTqqmS3HaY04QwC4Wb1fczxIKbXWohKLafSD + XrWM+EwRo7vZbESkX63otP4l+mWd6zuuWZ5iPd+aTGsAAAAAAAAAAICfQPxOtPh5PX5U9GQmh98Y + 3a01typek7qJJJGLU3UxE3URlZIkaUpuryz/naciYnVSkZLifbqIi8uaP6OqRBliIsdyruji3vNm + opI1MlbczJKL6mqf5pDV1JO7+XF+L9sNHGk3O81JTExdXF5+mmuVSuUQji6iLkklZTXVf/KHv4g0 + nx9pKiKRYu/eJCdp1sT/03/2n/z93/8vh0pX5LhjvitirQEAAAAAAFagLk4HHwDAPcs5R+/uzWaz + 3++HYWitzfPc58ixq/B+v4/ewgAAAAAAAAAAAAAAAAAAAAAAAAAA4EZEgnX/Z0TALlOuU0qRBUuG + KIDbVGvNOfcQa1VtrYmIuw/DEB2fI+WaEF8AAAAAAAAAAAAAAL5ccsnqIpLc4p93N8Vb3MInxZTp + jzcVEXVVURdLLiaWRUTtkGctIiuF2tNCFAAAAAAAAAAAfLLWWq3V3d+/f+/uT09P8zy7e2vtz3/+ + czxIKbXWNptN9AAHAAAAAAAAAAAAAAAAAAAAAAAAAAA3IqWkqrVWEYkuge4eKbCRaS0iPQg25gPA + TSmlqKodlVJEJKovd+8LuHv0fV65uAAAAAAAAAAAAAAA3DPV59ZEAIDPcLEWjZZOKyLWGgAAAAAA + AAAAfLKcc8Ra55xjGj+EpJTGcYwFRCS6gsdjAAAAAAAAAAAAAAAAAAAAAAAAAABwUyIFtncJHIbB + 3VU18l8j39rMhmFYuaAAcMbMWmuqmnNOKc3zPI6jmY3jWGtNKdVaSynLig4AAAAAAAAAAAAAAAAA + VhfJDu6+eqx1WXfzAAAAAAAAAADgTkUXbjPrP3u01mJmDFeRUkopicg8z4xYAQAAAAAAAAAAAAAA + AAAAAAAAAADArYlOgtEZMESOtar2oRLdPaJhVyojAFzW667oy+zu0zSN4xhTM4tk61jS3aNPNAAA + AAAAAAAAAAAAAADciNVjrdPHFwEAAAAAAAAAAHgpunDv9/uUkqrGgxiTYrfb5Zxzzvv9Xsi0BgAA + AAAAAAAAAAAAAAAAAAAAAADg9rTWRCQ6CcaclNIwDBEE28dJzDmbGZnWAG5QrTUqq+jL3NOsx3Fs + rUWmdSmllLKs6wAAAAAAAAAAAAAAwGfj93cA+GznVai7E2sNAAAAAAAAAADuT4xAsdlsWmtmttls + pmmKp7bbbQxmEQ+GYZjnec2yAgAAAAAAAAAAAAAAAAAAAAAAAACAl3LOIlJr7f80s+gPaGZ9gRDd + BgHgppRSVDXqMXePWiulJMd6LDpEu3utlXoMAAAAAAAAAAAAAIAvRKY1AHx1xFoDAAAAAAAAAID7 + Ez239/t9dPA2s3Eco4N3rTXnHB28c87TNA3DsHJxAQAAAAAAAAAAAAAAAAAAAAAAAADAQnQJjPxX + OQ6MmFLqc2IUWjNbzgSA29Gzq91dVXPOPeJ6GIZ4Niq3Ukr0iQYAAAAAAAAAAAAAAACA27F6rHVZ + d/MAAAAAAAD4WqKXnYi4mf4EnYLneY5uhGZWSok5KaXoWAgA+Nai5/Zms5HFoBXxIKplVY0H4ziu + VkoAwA2bpmmz2ajqyYghANbi7jnn1Ruy3KBSStx/iNsO0zQNw7B2ofB1xMdaay2lxHSaJv6EuTv9 + 9FTVww3S451S3B0zi+uRHNtW8lHeqaenp4eHBz7E++Xu7h73+qJSjcp27XLhgtZaH2QzPqzlHAAA + AAAAAAAAAAAAAOB10S4opdRbCskxIzZ6fNRa44HQHgzATertG3sd1bs592epvgAAAAAAAAAAAAAA + +BKq6m5JlEHeAOALxZBuKouRM4+tNFdErDUAAAAAAADu0jAM7h6Z1hE4RKY1AAAAztHY5WallGJg + o0gSNbNxHKdpWrtcAHCq1tozHYdh2Gw2pZR5ntcuF76CuBLlnFtr7t5aG8eR2M6704dTjGxyEYnb + hiR63pcIYV2efXyTv0e9Cn14eIjb9SklEnbvzqG5s2qPJ2+tlVJWb/GMi/o31Xmex3EUEc44AAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4Pa5++qDvBFrDQAAAAAAgLs0z/MwDKWUSL+L8KHVb7cB + AAAAeIuUUqTciUh8jSfTGsDN6hVUBOVGZODahcJXo6qttXgQKddrlwifKb5dtNZaa8MwrF0cfLKc + c5yAqjrPcyklTk/CWe9LSunp6Wm73UaNGh8ftevdiW87wzA8PDyISEqpn5VrFw0XmFl8jRnHsT+e + pikirgEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADcmtsZ241YawAAAAAAANylHmeSUurpJu5+ + O7feAAAAAFwTmdbjOM7zHNmTZFoDuFnTNA3DkFLa7/ettZ5yjR9AzrnWGo8jAzJuNK1bKnyqYRjm + eTazUkrOOT7K+LKBO1JKqbXG1N3jli9xyHfH3R8eHuKjTCmJyG632263a5cLnyZ+cDGzyCl/eHjY + bDYiwvl4m+Jcix/I+t/XZFoDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAt2/18TOJtQYAAAAA + APhBRF7Lco67/8ARzzFMf8Qq5Jw/eqONuGsAAADgdkTCVkRtTdM0z7OI5JxJEgVwa6JqimpKRMi0 + /sHUWvstJnePtM5SyuotuvBJ5nmOZOseUm5mnK13Jz6+iEOOe7mRac193fsSFWmcgKWUDx8+REAy + 7k7/6+zh4cGP+DRvU/xSpqpmNgyDiIzjSP0JAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3JST + 8cFi0MUYxG9FxFoDAAAAAADgLplZrXUcx/1+n1IahoEx+gEAAIB7MU1TxG5N07Tdbne7HdmTAG5T + ay2qKVVNKUVNFY1+1i4avgJVVdWI7ez51j0aGfcivkVEAmtKycweHh6enp64VXhf4rPr93iHYYiT + kfr2vsSZGJfLWusf/vCHp6enUgpV632Jz3EYhnmec8673a4UGhvfrqg/U0opJX4pAwAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAG7ccqDF1QddZKQ5AAAAAAAA3CVVHcex1rrZbESktZZzNrNr4/Uz + jj8AAABwO0opZjYMwzRNERY7TRPJ1gBuUCllt9tFrGMk5oqIma1dLnwdKaW4p+Tu8bESh3yPpmmK + ePLI9RyGIT7E1Vvm4ZPEydham+c5pTTPsxyzWtcuGj5Ba+233357fHyMz+7p6UlEyLS+O3E+xsno + 7pvNJmrXODFxs9y9tSbHv7hzzmuXCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMCpPvDpLYyc + Saw1AAAAAAAA7lLPvROR/X6/2WzmeR6GYe1yAQAAAHgTM5umKWLS3D2+4a9dKAA4FUmctdaI50wp + 1VojeHXtouEriM9xGZq72+3kNhp14e0iwbrnH8/zTKb1PYqqVVVLKRGdS4buPUopPT4+9sdmpqop + Ja6b9yilpKoRcR0p12uXCFflnON0c/f4+ppSWrtQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + +DSmJiLJU4QeJxeRFINmupqoiSeRJCIqJmIuDDl1f2I47uU/VyyMEGsNAAAAAADwLSxvAKnq97kD + tPqdpu8vMq1FZLPZiAiZ1gDwncVvHn1qZhGgEqEpPfMvpUSMCoDP06sUEdntdtvtNiqcyLfbbDb7 + /V5ExnEkDvnuRM6WLJJEP/oXTf+tPV6y/LMLwHoWX/PUri+2hq96m8Tdo5qK6otsztV95WuA+/M6 + 3bnA3B93leQm2islF/3ahwm+NWuuksSlzi0+yuODG7u+0Gz3Vf1MdBMRic/08OGuUp5vvoVbOz6/ + muW3Hb753L7+l3VKKQLIVXWapvgdbb/fx+9o8zzzUxoAAAAAAAAAAAAAAPgJpZSif4qZqWp/vFaX + t2iW7O69SL2D3irl6VprpZTe/kQWHT3cvZRyMoQi8Bb9sPk+x0+c2hyrP6F5nqOaOqnhl497szoA + AAAAAAAAAAAAAPCpDq2exEWklBINn9YuFPBjMjXLs4hIGwZLyS15EhtMxdVaEtcmamKDiiSpom4k + W9+VqFF7skMpJSVdfbQ3DiAAAAAAAIBva/VexAAAfCM9VTSy/XLO0zRFB+/9fp9S2u12pZTVfwsB + cO/MbJ7n7XYrx1F75nnOOUemdSllmibasvzwYtCQ+PMqpRQjjKxdKAAAAHxrfOUDgI+LYVhLKSml + 7Xb7+Pgox7+jn56elpnWMegwAAAAAAAAAAAAAADATyV6H/RY094xYa3y6FEUzN3HcVy3N3qUJDKt + VTXn7O5RsChwSqnWegvZ27gX0au0B7f3Od9aSik6msVwn7vd7rttGiva7/fDMPTKKnodRnu5fvhF + pjWVGAAAAAAAAAAAAAAAAG6fSTIpIsUOWcMmaiLi6q7WkjV10+RSRIq4EkmML1fWLgAAAAAAAMAP + iP5sAICfQc651jrP88PDQ8yJgSp2u12kz8Z0GIZaayn8JAHg05hZSmm/3282mxhSJCqZGNCktVZK + iXDrcRxrrXwJ/7FN0xRR1nEAxPgyrbWc89pFA35ykTaaRESohoG79amnr37j9X8Wwo/x3XCwAbgt + /SZJrVVESil//OMfY3xhdx+GQURqrcMw9FsrAAAAAAAAAAAAAAAAP5Wcc2stGlfEP82stRYtK74/ + M+vR2pHAGqnSq3P36LgRxVPVaZr6/FgmWqqsWUrciThmYrrs8/Wt+3+ZWXQ0K6W4+3a77d1d8QPb + bDbRQM7MSimllF7J55zjqegQHU/RHw0AAAAAAAAAAAAAAAA3rIiNImpSRKunWcVc9ibJ1VpyVxNJ + KpIsmQxJkogxRN6dUj2M7rn64Oq0DQUAAAAAAAAAAJ8solCib39rbfnUZrPZ7/e73W6z2UT+KGNV + APgMMTTPZrOJf/bRImJAE1WttdZaI/F6tVLiexnHcZqmyDhvrc3zzJgyAAAAAABES/S4hbLf72ut + OeeUkrv3G7MxJdMaAAAAAAAAAAAAAAD8nKLvWzSoiDmRJ73WCIDRLybaeMzzHB1khmGY53mV8vTu + gaoaAdsxSKK7//bbb4+Pj3Lch09PTw8PD6sUEndHVd1dVed5jnThaMXUh+D8RuLUjm1FTPt2u42S + fNPtYl3uHg3kokNi1GY5ZzOb55m2cwAAAAAAAAAAAAAAALgvxdQlqYuoiEhTc0muPbg6iYiIiVbx + ZmrJybS+J+ft2dydWGsAAAAAAAAAAHB/+iACtdZ4MI5jrbW15u4550iiVdWeRAsAn6qUEiFMIaUU + Y5rEj6wxtkiMmBOP1y4vvqFpmlQ1ArpKKXFxYVgZ4GZQA+PLpU9aeuX2Vnfg256V7H8AAG5Kzjn+ + ZI5/xl/KcQvFzGKAzhgN2d3jAQAAAAAAAAAAAAAAwM8jWlP07id94L+1+iNEAVprrbVxHKdpKqWs + lWktIqoaqcPRKzAKFo1PHh8fSynRj8PMHh4e4vFaRcV9iV4/wzBE/69SSmvtW/c2VdU4gENvN0X/ + ox/bMrs66qjo+JxSip7OIhIHhojQ5RkAAAAAAAAAAAAAAAC3LLtlq+rJpYiaJ1MRFzFP4iWpiVQR + ST6rmOgsIq6DOENL3TdirQEAAAAAAH5MJ/d9VIl7AQD8gHpn/mEY9vt9/DOGroj5EZQyTVPvEw4A + bxTx1TF6SIyPE4OYqGrEXfdRcoRY65/DOI673a61VmtNKe33+4eHh7ULBQAAAADAmmIY1ngcI8DG + cJyllLhb0ocVbq3FDRYAAAAAAAAAAAAAAICfSnQ8GYZhnueUUmstpZRzXitJOqKjYzpNU0opGnhE + q4/vz8xit0SRoqtOxABH2eQYECvHvFjgjaJjac55GIZa63cYdjOi4uMY7kc1McY/vHEc53mOXocR + cb3dbne73XKZh4eHWus8z9E/ca2iAgAAAAAAAAAAAAAAAB9jKpLETMzlecDt5CKu4llFRKuIJWki + DMn9I4g2b+uWgeahAAAAAAAAX9/qN30AAPgOVDWGFYgRNKKHf4ygsd1u5XhBJNMawOdR1Uiqzjk/ + Pj7+8ssvMUqOu5dSWmsxzkg8XmvsHnxP+/3ezPpQMmRaAwBwXVq7AN+R0pASwHXf/Lean6m+/R6o + 0j9HjCAcd2JjJNY+Aqy7j+P44cOHuMFCpjUAAAAAAAAAAAAAAPg5pZTcPUKsI9PazGy9gRxbazGN + XNVo+LFieVJKIpJz3u/3vTA96DqWiTm73S66DQIfFb1KI2w4koblmC7/rbdbSulx2nRu/Um4ez+6 + VHWz2USmdXQ/VNWc89PTk4jknKPR3colBgAAAAAAAAAAAAAAAK5KpuKSPB6oWDLxKirJiriKJPEi + ak0PCydjPLT7Ew2Zelum1ROOOIYAAAAAAAC+trXv+AAA8B1ELMpms5mmKYbSiN88Ill2mqZ5nlU1 + pRRDD6xcXAB3qAcYu/tvv/3WR8mJoXDkOM5IBF2TzPTDyznH6FEiEpcVLi4A8GMhxhKfy2n/9nOI + D/oWpgBwYyLTOuecUop7s3GrpA9/vNlsUkrx7OrN1gEAAAAAAAAAAAAAAL4/M4tR/5Yx0tE9YRXR + lqOXxN0jaXut8nSbzSb6DPYuPHLsu5FSmqZpu91GAxXgo8ZxbK2Z2TAMtdboavp9ugKZWWw9ikH/ + o59BVKGRbF1K2e/3cqy4okLrB2HvmQgAAAAAAAAAAAAAAADcJhcxlZqsJWlJTJNLEjUREzF9ubDJ + IM643PetJ1uvq6xdAAAAAAAAgB+OavR8S0mauYskVXeXm7gd9OPonQbd/UbutQHATyXq3mma+pw+ + doaqxnADcqyliZsF8Bn6CBEnX/ZUNSqfyG1KKbXWGGHkh5FSilGQRKSUEgMencRuxWWFiwuwhqiZ + TVWHIU9TFZF+u0NdRCTq7H7Wqr54HM++PuRaSuIub4nbO99WiDkeZXPRJOLiMUuvTktOzczt8qtU + RFTiWRXRJNZeW9sbp7GVk/m9DB+dRkli+Yuv6s9+YTk/dbvX3unVT+FwWb96ZCzHEPwkqhqv/byR + /s63m3P2o7e89pr+8otvrb+23/WKrz1fnkN5UqrlCpcbfX3mF279Lbvu4jLX5oscwoY/ujM/ucQf + 2+4rRX0u2tkCJ0V67X19ejnPN71c/3IXffZ2X/8cv9bb6WvT4812kST+pqlKFlEXvThfJL3yrGp2 + aefPvlhS1b3FXX+RJGIiyb2p5v64zxexmO+ufc5y/nJOTM+XvDiNrS+3+/bXftI0peLevu6aVXMv + v7v2PfkNyn/6s4yKxuWo/1OOF6jP42Jy6Y/WT7ruvF7PyJtrsM84AU/W39/I5113vuR6HQ+uvfTa + VfX168X5DvnUXfSW5U+q2W9xGeov74MXxw2TfpjFszEGa1jep+0DDcdgnSIyTdM4jiKy3+83m42I + zPPMn9gAAAAAAAAAAAAAAOC+tNZSSr11RG+nEZmmm80mMk3HcYweKNGgosdIi3xm49KvYrnpZWF6 + 5Oqy9c53TmA9b6vTG5ZEm5NoggK8RSSjxxn6VdpCv1GcULF14aD9OfSDrVdZvTHnSVu773YcAgAA + AAAAAAAAAADww3gxxo6oi/ch/gB8E2pVLOVc51pSNpPNdvvu9z/95W/buWpWVdXZWkomKm32YxOp + 1VpF4vNEk1FVrbWWMiyHEVsFLe0AAAAAAABwlyK/MOesqo+Pj7/88kufs3bRAAAA8A1FrPU4jj2n + 6uHhYbfbMajEj6EPxiQitdYYaoqELeCmRLPCea4iokn++T//m7/9278dx3Ha7UXE3Wut8zzXWnvq + XoytFi83s9Zaa22e54vrf3x8XMYGL+MV4wkxJmsAACAASURBVLW11qgfekRfrNbMYn5r7TCam8py + DTHz/fv3F7e73+/jtX2sInc3s7jPECuf59qauByznOXCVJOISG93GXNUxUxURZN4ZFcfp7p47XPq + 86U1xzQXcT9Egx9KIsfcaHle88nj/uyybKqny58sebJdswvbjfKEeKCLcfPiDb7IKb/yvl6/jp8F + Lftx1+nrL/3o8Gd9bW/ZtLwMjHzdG7+ZxDBtKUmEsB728OK1y8GzorSqz6Gtnzqg4sWIZVU3u5AG + repmPacz5kUMrX3q164oeRQhpedA39YurOjlx708wF7ZamQJX12bvrQcm/Jja34OF7/21s5ffmU/ + n273o1u/tt3lRk8eXCzMxfV89pfn14O6L8aiv33TMSJnLLMYl7NXK+ktUxeXuAAcUo3jdnE+zj88 + qxKNcnOz1l/l0uv3pKJyfO3z9LDzo5B6rLX9eAQeHscaUkqqubX2vE5V1RLTWutx+ZPpm97pcevL + 7caJenhfIuIez2YRUY2WopGJe2Gdqhfer2oxs/Pyi8T7SifzVUtr7cJ+k0N5Dp/R4Ug5rHmxJ6+W + pE8Xh8SLac45no3L/3FbkvRwRImIi58kWJ/88yT0emm5Hlkc3s3tPMu5fz954wCIryxw7Yzrwy8u + vzXFdj9p1MVrNdJJ5bncyjXLU16Pl41rm1gu/JaxSq8t0IfrXa7kler9/BN8ZeUf3e75rn7LFUEW + e+Yt9eRyj/UL/zK1On4au/YW4tlSirtvt9s//elPv/32W4wv/PT09PDwIMdMa/7uBgAAAAAAAAAA + AAAAd6Q3dei9jKPRr7vP85xzjkzrUso0TRdb+t2m3lAnGmDHzO8caw0AAAAAAAAAAAAAAAAAAFZk + InlI1tyqmKj60Pa+3fwyzc0l7+qsSVIyyU1Ms5bk2WXlRGR8hrePS/l9EGsNAAAAAACAu9RH/G+t + /fbbbyLSs6YAAADwA6u1juPYk63NLEYgYpieH0mMLdX/SbYWcAOe69g+spuqpCR//dd//W//7b9R + 1SGXktJJ8GEk7S3/GY9f+RN+ufy5i5mpy2ksY2fZih+NY4y0v0hRXSY4ppSWwdjLQl5cT4Rq9+zt + /pIejx3J3MsI7eW769N3795dXP88z7GSHhbeYw6j/Ceb7vt5GQp+Eoa8jMO8tt1pmnok+flrY37f + rruXUpbLfCzOXCPOXCS5tz6NXW5Wa7XW5tbcvbmriOU8xONap3jWTPqrYuquZrU1N6v7/dznL6eP + jx+eg8aPydzLt9cPI9VDxPIygLLvyPOvIRHmfS0NOSV9Tns/7H6TY6bri12jz7Hoh+jzw1YvLx9y + 1uNnejI/uduLz9DdXXKOLFd1cWuxQ9wjVfqQlCsqbhFprle3u0yhfjnfXcTNRcXNREWs9VxzjTR0 + f95WlOFC2u/FqUjO2Z536AWXP9/Lb+FC0OlHXxiVRn/J8p+97jlZybKeuR5rejm3/C3lWT42ayfP + fjSb9o2NGl+PU/2MhpHucjH8+y0l6a/q9fZx5z/Xw1EdHbYVJXS5+NmJyMl+k/4ykcMJ+PKDXta3 + h7PaTI7xt8f39Wkf34stn13+lleB/s9rm/jodq+9ql9kY2f2xZb78y3va2m5n18W/kLFdeLaJbi1 + 5+tLrP4kBlgO9c2FrOUeZX0t01pEzE8vnSeP+44Ktdbl8n3+65XVW8TLT9bft/Li/S5cXF6uH2/d + yQpfrWlP98lbFj6rP68t//HiLfXrUVxG+yZi8fNQ6recd2/Z7nHrz0f4ya7oNf/r679YElXtceby + XKv4K4VR1ci0jgOglPLHP/5xnucoRvyhXWsdhiHusbyxMAAAAAAAAAAAAAAAAKvrXQxyzu/fvy+l + bDabaMzWm0xEuPU4jrXWL2y0891EZ5l4HG2laNcBAAAAAAAAAAAAAAAAAMBPJYnUqQ06DsMvOW3m + eW7TfnzIU5uGXx+m/Ww+ezKzKblmfVBPIsn1taGxcLNOhiZbEbHWAAAAAAAAuEs9+kWOkU7jOL4S + K3ULN+MAAADw5Uop+/0+BiHqSVFkWv8w9vv9ZrPJOUf6aY8wX7tcAJ5Fcl5UwK1JSvqHP/zFbreT + 2sxMNffA4Hjcw4ZVXbWkJCJZxFrzizHD7tqji5fzUyoipnqYo+o99ni55GFb3lyySxNPmtwtiZq7 + urScBlETTyfTWmtfXiRrcvUkaq2aqOlxbf2xu15czziOLm1og3mNOUmLJrcmmjzmuD2/NmlZriG2 + 4tJU8sX15zSYV2uyLM/Jevr6+3ZVsiZ3U5cWrz1Zf9/uUDavbzfeV6zzZM/EdmN+f9y326qb15LH + C+s/CxntGZMRm9ojG5exoMtX9Rf2rwT9qYgPX8ZsLy23u7x3NAxDRHHP8zzP88Us8J4vHmniJ+WJ + tb1///7idiMmPCzLX0qJtcV2e0x4j8u9uN1zf/7zn49RytYjoFWltcOrW6tm3qOhLc48l9Zqf9bd + VNMxxdZF1KzNc22ttrldjJh+//5D7Mj+KtUk4rU2E1uuub8qlqx1nuc6z1Otzd1Syv21y7V9+PB0 + abuye5pa80iK7wHkZzHSonoIPK71Oa54uVh8gFG/vS0Duwdmv2gI+DJ++EVK68ulvL/84vpLSctU + 7FhPX9u193hc9fNmUjqJYP/IjVJVjzD18/f78i0cTrHlN/Flgvj5e+/zLzrGvZ/uvdfjY/uycvhE + ThNe+58My9jjPv8k9vuT4plPPvfzeO+Ukqq31usr71exuN5dPI/ech/7YqayqsT6VbOq12rHdyrH + BquvZRKn9KJUi+vsoYaMbOA+v7/f2K6qx3W/b/ftu+6TXI8xPt2HMU0SB0ASMRcRMffT7x5vmaoc + vuG4tD4/6oCLl5J+/erzP+8tn4dwh369O1lz/ERyvtFPjW3+VOc/zbw8l/V85sU5n1eck+pR5LkG + O57Up2V7pbTXt3IhTr7XJPHPOC9e+bjfvsP7T2Cv1zOv82MI+maz2e/3tdacc3xYZhbfjmLKH90A + AAAAAAAAAAAAAOC+ROu1aPnw66+/xszeIkJVa6211pRST4m+C9M0DcMQPaZLKbXWcRyjk8XaRQMA + AAAAAAAAAAAAAADwk/pa4xQBeLucs6jUaZ5b9eTjr/bn+g/6q/2p/b/yoDnnnEx270d7ePC/nB53 + hWaG9+9tgy5+Q8RaAwAAAAAA4F7VWkspOecIvesp1wAAAPiB9RF5Is0xRh3ie+APY7PZmFlKqX/P + X7tEAM4lVY+QS1GJ/N3W2pAiatojeFckYmhNVUQiuNfMLGI1e0y1iJxM41UiKmLHiEp3N/cmYsc1 + P4de5zwcF+jPmojlyG4VFz+sxyNw0Ju4ifjJtKTsflheRLwe1j/kQeRww8El3qCJmUqKJU+mbd5H + 2ZLYMWTUxa21JuYRNaqSVFzUVaXWqUeAP8dnehO9HPtt5u5NXFVMVVRcxNxas9ajTI8h4qIR6txe + zM8aMdIiIppUJP5L7iqS3OrF7bZmETd+2O4hutZaq738qlnFVVXF7bgn1TxpFpFckns+xD6eTyOF + tAdS6jE80mqUL+fn2EhVmWpV1aRJVQ9ptqoxCmFfQaw7ZXWXpJe/KhxiesWXGxWROu3i5WNJm2Gr + qhGHfB4lu4y3XMZ2hmsxosugyuUycYNruVhKKaUUF8RegD69tv5SSmw/gt57GHxKJT7H5Xw7hq9H + tLmb9lD2iDNfRrBbk2bzkIbLsa+a+/rdD/G3njxp6dHpy1j3CM7uW++x6CeP41VX495FUkpmL7LP + 41SJ/dBjwmutsduXcbDX4sn7syLy4cOHi/s54sl7+vgyzlZElgnlsemIS++LxQK11mtfeN69eyfH + 9oXLoyVy1ltrsem+fF+yHcXmljG3sVjU2/M8n280truM4+1HYxyBUey+8ijPyf6MOPl5npeHaF/n + tf0Ze2Oem9lzhLa7pCsx2C/yyw/7J8LL4/x6fqpH3r6M2Y5lfLn+5TqvxW/nnMwuNPs8zy93s0Nl + dCyG2/KS5xen197vMBSz2tpz7u+LoPFDtWAi4tKkV7F98yYifmXdx9IuSnK8HB/K43EBXMx/sf7D + uVL7/GuWuewXI+Tj7HwlX7wvcFr+4444/6BFRKQt3t3p14+koknF1Z9b9J5O/bCGtpy/3FnL96h6 + NX74PJ9eFnvj3MvT8HmxKy2P+5XltfUsXd+fLxaQw4dy9e/uZXniPHp968vVXtzuJ+m7scu5XzEv + rPm8cntLS+7z7wBy9tZ6nHl/6lowuSwu69c293r2dlzLXonQ7kVS1f1+3zfaN21m8d77qvr1EQAA + AAAAAAAAAAAA4MapajQRjOZ/wzBM0zSOY29NkVI09LL+eO0iv9U8z9GTIlrr7Xa77Xa7dqEAAAAA + AAAAAAAAAAAAAMB3YiLN9qnkmiYtScbp/2n/9//wP/53v9s/yMPYspqJTv6HtP0v//P/+r/6L/7V + r3/5T9s0JwbnvnOrj69OrDUAAAAAAADuUkQY9lDD1loExlyL8wEAAMCPoX/9a63FqEMRQ7V2ufB1 + xBf7GHopQrbGcYwRptYuGoAzKjlLztnMcs512uspEUmqIpLlGCvtLimpSI6AzSvJ1r4MnnRvItLa + /LzSJKpZVUXSPE89n09VVJOqquaIWT0GCj5HD+YcKcl+NrW+evfn+bVOh7f78n1di71MSUQiGlDd + m5m7VxHp9dhxBD2P95VSOu6lyBGMaV48Ppm6HnKpo81PBCLqOMZLpMfrms2qut2O/sKhnK3NIqIa + JX+O3jxeUk/fl+phuyKx5w+psZvNcFxzBAmL2Rzvy/3w3lVtkem4jAV9nl5qQfUcFB3b6m/N3cdx + PD5ltgiYPcY5+zGV9hCDHXv7XGsvhinsx9I4luN2I6z1OfrxJAtzWdpFdmaPnb4cD3kIhj+swXuw + 5XK7x43bMZL9RZZtfAoXVy7P8caHM0hVIzN+npeplof9ry5VJrXj8a2eDsdYbm12d5FIoq4qKhqZ + l5eP/zhO3N09HbejItpiW2pJkyQ51glJkqim4zuufjxfjmdaO9mfKUUEub2Yiripu0cw+eEUzeLu + zSZVzUVyGbYPQ1/z8gtkH9TS3YdhONmTyzPnXCmlv3axYw+J4+fhoOeL9e+0F9e/jD9finjsvun+ + Xkopy2L386IfqD29Nca7PH+/YRnLel4eEVmedFGei/szwuBP3r5fj2OPgTgjDDjqkEPQ9XT5/H16 + eur74eRt9sjwiABfvhdfZG/Hs8s3uHwLH4szN7MW7ykuGe5RI3mtc2tW6xxzShliGXeL+a1Za3X5 + 2uX099//fHH+NM2t1fPtppRFPLZba2utmrm7nZQtPvbWaq3t4vofH9+7W5TzpGxmrdYWJTdrsUxK + OZY8Pvsicvual9HC8bmfRonHZ3LtL7yPji57EvsdUdDHs+l5Q8uSmJs0WV4Nz10M4V6WcxmffLLY + cnPnAcwnLz8R8czxrpevjfd1vraLoeyvfC7XEsRLOcS3f95wvildTYhPSVNK8/za3v48fZ+4S2sX + Y6TPPxoVkbjEX1lnj8c+rcCX/+xzTuLMl3XjtTW/vt3+7bNfj5ZV8esriZf3IsW1I74hl1JisOZa + a1zLWmvXLgoAAAAAAAAAAAAAAAC3qXcuXjZ7cPdoStpa640r7ijWOnpPxONooU1nGQAAAAAAAAAA + AAAAAAAAfipJRNMg4uriMlva7eTd//Gn/2369d0//ukxPRT1XKbyh/bwz3b/2SQ73X/YKENI3ZPz + AcReGfTyuyHWGgAAAAAAAHcpepJvNpsYcH+ZDrVuwQAAAPBNtdZipKGcs4io6tPT08PDA98Dfwzx + s/p2u+1pi7VWMq2BW3NI1JPngd7M63azkUVqacSC9kC+/tq3xO+dP1jGzS7jWvt8f0lEhlJ6eZYF + OIkbXL6p86KKyGZ8EQstx01fGySu1doDCCPSNZacpmmZix15tz1rsOe8nqcknjjPqT3skNb6tpab + 3u92ffleKjnmIZ9v5eqns2zk9Bw17fM09fWk5/f3XLAXW1G9NixgPub/LiJvIx6y9mKXnPvy+3nq + 7yVlTTn1vF6RHov+nKV5bX+msx2gqiL+tHuvCyKSUlKVnPSYYP0i5LJvUQ+RySKHLNnLx1vK6fjy + Q3isi7t7m+fnjaocP8bn/da36K/GAy9zO+Pjisel9O2++KzzMXZe5cUZOqS0eL+HhFJf7uGXzs+v + Q2xtOm7Xn9/FIWs3Pl9VTdqP09P1HN7m1drDWosXyzLOPF7zXJLYtqiqteaLFPMUR4KqtHq6anc9 + lv/CdudJeub8IsK5NVMRsbPI9mMEdQRwi0opWUq+dl6oHI+kl9q0j9k5FtLDHqr73fKoLcejN0JM + +zNZJOckOUXw+aX39SJQ/FAYVTuWP4vk9Lypadr3nRnTQ8HkNC/3+ClcqWeaJ/ecn2vOIamVrA/5 + 4uL/8T/9J8u0e315vi+bZvY5y5O6L5COx+dyvrufB5OHuPC5q3sTSSKRPW+ljCK2nCOSVH2em6qr + ZlXvz7o31dyXX06vzR+GjVk1k75dkXSynuWacx5OlozX5jy8st1e8v5a1eze+vyYE++lT2O7MU2p + XFx/zHfXWqd5brVOZhLPmtW+frPamrc212oX1/Pu3fso2/LdLV9b69Sax76KDywOulrnea61zmYe + ceDLAO8I526tTtN8Mfb7/fsPvfpczBfXQ0R9T1KPhWJs3PjGUmuNJPWTi/jyW9O7d+8uHm/7/T5W + 3o/Yfnz2lcf643Qox+8/7egkvv3E4+PjsiS9eKo6TdN+v6/1sBfjDLvyNUpKkecM7KiMe+D3yyWb + uXmTRej4YbGoMD7xz/pSUmt2HvgdJVmma4ecc/8iF5fs/pXy4vqXn9SxnId6Y/m185rld6G+ZNQt + rw+UrIs47ZNNLL8Y9Grt2tp8EYMdR0X8iR2rHcfxw4cP8VoyrQEAAAAAAAAAAAAAwH2JzsXzPEez + h91ut91u5RhrHT2Op2mKx9GI7i5EpnVrrZQS7Tqixdq19mwAAAAAAAAAAAAAAAAAsAr92KiSAD6b + epK95zwOaevZHqv//vj0OM9PtrO/0KlUq620Opq21Lbb7dYebL6bppK4WcRaAwAAAAAA4L6llFJK + 0e2cnzEAAAB+ePkYadlai0iqh4cHuR5XibujqvH1PqUU40wxDBNwAw7JkMd/ppxTrbW1Q4KyNJ3n + OZ5bhoYup7IITXyl0r4YROru9RgX3Tdxss6+/DIs8DRW+fr1oq/5JERwnueTlMfXa6RhGJZr6Nne + ETMZ6+8Zir20y2K8fmcj53y+f+RsJ5tZlHYZ+y3HREO5HtN4bf9EfON5OZd77GRD5yt59aN//tyX + weH9un+SOhnzz8Nrl/tzua1X4ir7U8tlxnE8WXn/4M6jc+Vs/8vZwX/xDS/3ycmJszxOloX81C88 + JzvhZDcuT6WLK5/neXnMv36QLJ+6eIYuFztZST9ZLq78o+86lxdBxe62iPns23pOBB/G/HyGvrqJ + lGK1l3Nc9Xi4qaqI2fFd5JwXSefPyxwDcd3c3fx5V1x5f3Zlh6R8svcO2c659Ght9wjsdVHVXPRk + yde3e8hlVz2J1HZ/Tklfrn/clOMnaPG0LtLUXxy9r36Shxxc1dg/Uc6Uk1+Ja53nvbyoWPop2Y/D + /kaizBYBtycV4Pl58Uo9JiK1PicTq4pqVMu5tVmOxT8u4CIacfLHErq7qLpqikuWyOn0GDd+Op2m + XS+DqqlqHOoidnyPKvK8ZrNIrm2qquqqmrOIlGvrPx7nUenF4+f1vyxtvMHIEm59P8X7Ol9zTOd5 + H3fySykppc1m6FVBr1viWhMJzb3+P3Hy8Z28tl92e6Va3VQ1aRE1ayJqKlmTWxOXFo9Vsqi16s3m + kkdRE08n01jGTftUPMWGXEVdXEXMJWkSlaRtrv2xupi4mMe6eiB4zIkw8ShHrGc5HXJpblZbvFZz + 6mtzlShfLBlrttokadZ0vt2L64/5x0Bz7/OzpuYm5ppT1mTidZqnOs/76eJ62lznVttcm1t/d7Ee + E7fa4rXV2stIdDFxbxavrdbETtcc0z//f79fK3+seW419v/ys1CXudVpt59bjS0myRHwHttvZvM0 + TfPc646T6Yf37+14YEX1EWVXkdpaq3Wu1VprZrF8PCvuzcxam+a5znNtbSilryGn1MzqPEfA+8Xt + tlrjteaeVDWlWKeKaEqt1sf3798/Ps7Vlt+CLp4vcVVy9xidubXWvw221jabTTyuteacP/VrBgAA + AAAAAAAAAAAAwFqWrUz3+33PtHb3lFJrrWdau/swDL2d8+2L0rbWol2HnDU2BgAAAAAAAAAAAAAA + AAAA9+akKaCpiOvLQfY89QdlHOq+qVV3m/P8+OFD/m1TB9trnZuIyWZ4SJ5NvLW23++HdHncNty+ + 81FV10KsNQAAAAAAwI9j9ZtN39myM3bvdr5ecQDgZ5Rz7vmCEfDWh/+IBWqtwzBE9Oy6RQXw44mK + hQF6fjDxU3p8ve9prHzKwK2INk+eRCSC8dQjGdKyZEkvWkSd5yU/r+ZjgXkXE4LfElF84dlFtOpb + +CKc82KB/aM3H06ePZY8wj/lbP32ibcyru3Y01KpHop6Nv+w/PmqX91X7SRWtq/2Wvlf7rRlqS5y + XwTo6vOrllHafdKffj5A+myTV9/k+XafV7p8lXt/9Ytk36SLS5Kfvupsza/Tw/+LJQ+HyXG7feWX + 9ubpay+6eLheO5vOFz75O+7taZcfvUd3vsB51vXbRYhqrKYfyu6ikuX5JHheeavHMGs5vsEr5T1/ + 7euLRYjtxbWdHGz68mC+6NpHfGHnxf8vzvjn4+dk5suY76suHjsX13/cn+nqgXo282PbfS6nX460 + jhJcCI8/P4qupcK/8s/XD8VFTfailu175I3Xq0+9k32WBX91VcsiHav2T7hxfrKhTyvldTlHqndb + bOL0XcTdJNVY+PJnf+2yFvHkx7cs/V3neI1XEUuSDodUs3TI5zYxiwdZU85JxA7LXJpqrEGTiMvh + SHATiTTvpBIh02ZSkph6cjcXdVGVpKIaueWeInZZRFRSElOJ/PbDehZTq5Or5P5ab31tppLcRSXJ + 4bH5YbvqzUwifvmwFfeL61cXX5b/uDb1lqJssYtUxpLKsEnbjakkF6arTKVZHgeb658fP/y3//7f + /0//89+rHr60pJTiLBCVlLO1pil5MxHp92lFJJYppdRazSylFLdzSyl9gfgDPF4VkdjEXQMAAAAA + AAAAAAAAgFsT7RmincNms+kzVfXdu3ebzUZVa60ppZzzZ2Ra9y5yvbtcznnZDOOiaHX50cVeF+MS + xnbNzMx6045vJxqNxLTWGongJ41IY35Mv3V5AODznLSTjyZwduwKEZXYSkUDAAAAAAAAAAAAAODH + oXpoYbV2QYA7kkyySEouIqbiIpLETNzVRGNksqQxPJgnV9mnqRX7i5bmuvvlL/I//J//15M81dFc + ZEySah6s1Fk1jyYtl2vDtuFGHcbNSyoirTVVXcY6rIXmoQAAAAAAAAAA4JPVWiPTehiGyEEZx3Ga + JhGJcSvMrLU2DMNut4t0UgAAANyViO/9eOuk5IlGTAAA4IbZN5tKcqZMv9M0j7rfvx+H7X/0x9/+ + w3/47//1v/43f/+//u/DkJtJay3GC66tRmt1t6vfz2utm81mv98vk60lkudb62MTxxwyrQEAAAAA + AAAAAAAAwB0xs0i5jo5vvXfbl6wz0rLtemOMEO0upmkaxzHmfEbTi3meI4e7R0eX/5+9uw+SZDvr + /P48zzmZWdU9w73SSgZW7CIbWMU6WBbb4SAW5F0bY4J/jLHDXoMDhZZY5DCw/ONdwhHEGiJYbAwW + aEFIcBHSRegFsUTYXkPwYolFICEkLpKuXhHS1StaIelKmjsz3VWVmec8j/843TU9L301M3dmenrm + +4m5fbOzqk9mZWVlVWWe8/xyvmNdOLbr37Zem9kGBm5Tru/k+gDADTl6BGsTIuLuLc1aD0XEPM9P + 8a0BAAAAAAAAAAAAAAAAuHEuIq37nV0+t/0aKhoiIq5S1Ls+1b2ae9v3/f35/Jymqq4hycVCVMRF + Qq9uBrhJ7EAAAAAAAAAAAOCGtSIUOed5niNiGIaWaS0ifd/XWt29De1eLBabzeZEVxYAAAAAAAAA + TrEWRz1NUysN/IpXvOJrvubfnecqUfsutThqCVkOi3RY1/iaVHUcR7ODDuTbCRHpuq7W2lKu2z23 + 0wAAAAAAAAAAAAAAAHc/M6u11lpb7nJEdF3Xkk1vSBsZFxEtzToiIuJoL4srpJTMbJ7nvu+33S1u + Yrld15mZmbX1N7NxHG+0kZvQVt7d+75vEbCqWkoppSwWC3dPKalqrVVEyLQGcBeqtbYjcAuxnue5 + HbVaz7phGFqatZn1fU+mNQAAAAAAAAAAAAAAAO4sNx1NR7FRdBYtru4qoeqSQjqX5KIuFmKhJiJW + JUUKzbPKbNNfnfuEJPcICdVIIi5SRT1EJExEiSQ+Xa7ZFfPE632xDwEAAAAAAAAAgBvWanOUUnLO + IjKOY0qpTU/T1KZb5Y5a62KxOOHVBQAAAAAAAIBTy8zW63XXdRGxu7v7jGc8/eGHX/GVX/ksd5nn + 2uog7+7urtfrWsqTJFu3nuvuPgzDtujwOI6tGHFKqZXsLKVsSzwDAAAAAAAAAAAAAACcCu7e+j+Y + WRvgJiL5+H4Ux2ktqGoLmRaRbdT0NdVaN5vNNifV3cdxvLnY1O1qj+M4z/MwDHeg/8Y8zy1Ru0XA + tkXnnHPOEdGiYVs/k3EcT7xsIgBcLaXUjlQi0nrZ5Zz7vm+H0HEcRWRnZ6fWOk0TxzEAAAAAAAAA + AAAAAADcUeoiVWVWqSJVxEMkxFxySJbI0SbEXEXERcRc6lRTXmx8HvPqE49/VHONEIsskUUirIR6 + a12CPOJTrPVxiogT79fEbgQAAAAArhoCsQAAIABJREFUAAAAAG5YRGwDTtqcVvijTddaa63zPItI + SmmappNaTwAAAAAAAAA47VJKZ8+eCan7+/td163X62c+85kPP/zwl3/5ly4WfSvHub+/LyLJUp3L + ce20Ss0551apc55nVX3wwQflsHe7iIzjmHNuJZIBAAAAAAAAAAAAAABOCzMbhmG1WolI13XuXmu9 + uabmeW4D4tw959yinZ/k/ovFQkRWq1ULwx6G4eYW3fd9W+4wDG3s3k0/hOu3XVDrLrJdtLu3viVt + fillGIYTL5sIANeUUkoptUHNfd+P4zhNU611GAYzU9X27rBYLLY95QAAAAAAAAAAAAAAAIA7Q8Vb + XrWIhIqIuViIiVho+ychEuqubuGdZnH1bHWIz1785BObz5TYJBH1pN4KQ7lI685nSqz1PeHE+2ey + GwEAAAAAAAAAgBu2Davu+15EWnkOETGzVrcipdTmlFLafQAAAAAAAAAAN0x9f39/tVqZ2TOe+fRx + XLezr89+9le+7GUPtcLBqro9Q/skZTdLKdufItJ1nZltNhsz284fhqFNtLRsAAAAAAAAAAAAAACA + U2F/f19EdnZ2WhizmaWUbiIWupTSdV3f97XWrutKKbXWJx8f18JTd3Z2RGQcRxG5idjUVpGwLbdN + bzab1jPkDmgL8kO1VjNr0bAioqo557Zh78z6AMD1a4f6dvR29zb22cxyzuM4bjvCdV232Wxu4n0B + AAAAAAAAAAAAAAAAeCoszCJLWKhKmGsLt1YR1ZCDf+IaLuKiniSllEYZ52F+3yfe48NUwnM2DbMw + UQn1uNSbz4gkPl2u7ooZEcRaAwAAAAAAAACA06fVp4iIvb29iFitVqWUiKi1nj9/vl3/6Lpumqac + M/EnAAAAAAAAAHDTHnjgARGpte7v76eURHxn0U+bzdd+7de+8pUPP/1pD0iEhiS1Ms96fO/0nLOZ + tXqdZtbO6C4Wi4h48MEH2yJKKTnnVpv4jj1AAAAAAAAAAAAAAACAp2h3d7dNdF03z7OIzPN8E7HQ + bTRcrTWlNM9z60HRQlKPu3/f92Y2z3NEDMOw/asboqptyF5KSVXneV4sFqWUG23nRm2H/kWEHUop + RcQ8z8Mw1FpbCcWu64i1BnAXaofrdoCKiJRSSqkdydsdIqLv+3Zwvon3BQAAAAAAAAAAAAAAAODm + hUkMEoNEJ5FdLQ4ShEMkDjOtxcRNwqRqhFQNlSntf2H+7Ls+/GgswlUk3MRVttX+3enQdw8h1hoA + AAAAAAAAAJw+LfLE3VuZj5xzK5YhIsvlUkRKKaq6Hel90usLAAAAAAAAAKdT2Gq1SoemaUop1VpT + 0nHcfP3Xf/0rXvGKxaIPCQ/PKYcc2z29lOLuZtZKHrcE681mIyJ7e3uLxaIlXrcixXfuAQIAAAAA + AAAAAAAAANwK4zi2un5d17WfN1fmr2VLt54VbfpJ7lxKiQg91Ja7zYq+frVWM2t/6O45ZxFpP+8A + d2/rb2btp5nt7OyklHLOLWn7DmRsA8BNKKV0XdeO1e3ovVqt2gjo7c/ValVK2QZdAwAAAAAAAAAA + AAAAAHeKuSSPLiS5tExrF3EVV3ETT+HJRcM0VMNEtEqZYu3L1Wf3Pv6Xn//4JMVMahWNEAkRcRVi + iE+7E8+xvgL7EwAAAAAAAE6ro4O6W8H9Nt4bAHBntOIUItJ13bbixvbWbcmMozMBAABwj+GbOAAA + AHAHmJmIuvu2UHIpxcyS6tDl5zzna37xF1+6WPQiEnJQdrN9Vs85t4mjMdWtxHC70NamVTXn3Ioj + 11qP9nffXo+rtbaanndbb3gAAAAAAAAAAAAAAIBmGIYrujffXG/nNjIupdQ6S3zRGNRtP43tnKNd + Na5T6xPSGmnZ0jfaws1pq2pmLZy79QxpP2utretI+/WOZWwDwA25+ujU9/12uh1OU0rtMAsAAAAA + AAAAAAAAAG6OqoZEBP2IgBsWkkPN1UJFxEVdtIiULJFCUkhyy5GSdCIpxKK3qdus8uNv+NPftKWu + xyll0xDRSbS4ikQWEQtRakGdQq27prTjakREpJSOJu+cCGKtAQAAAAAAcLq5+zzPi8VCKKMPAAAA + AAAAAACA+4ivVquuy8997nN/9mdf1HWp1mg1jdv4n5ZaPQyDuz9JseOIaDnZZrZYLGqtqtryrVtd + 5lJKK+u57RAPAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAJ+WuLuIiHlZEqko1ic60zkVcc0pl + Dq+S8qKanNt8vnt6vOsjb/vkucdqmiNJthwhohFaRETEJLZloNzihBOR8dSdeM4OsdYAAAAAAAA4 + ldzdzMZxNLOu66ZpavP1GCe7tgAAAAAAAAAAAMBNCrv074CLeNelvs8atUv2Ld/8n/3v/+LHhqyt + d/o8zymlnHO7oCYiT369rOs6EXF3Een7PiJaN/eu61q+dbubqp5493cAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAIBTQKvofOTfJOIqXueS1ExTiKbcS85jLfu+WTwzf/yJD73xHb/zhfGvIlczm+fa + m4WK20H5Jw3TMBEXJdP6FLt7YnTySa8AAAAAAAAAcDPMrJQyDEP7NaXUJqikDwAAAAAAAAAAgPtB + KaXW2nXDNG0i9L//zn84Tpsf+/H/bZ49RGut23vmnEspx7XTrruJSEqp1mpmZtYuupVScs7tSlwp + RVW3V+UAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwDBdxC3GrokXDRcRERKTWOnRDiE5lmqyG + afQiuXx6+sTr//Rff+LcY7brJcaUdV7XvEyuoiqhIiEapiJGMMs9ISLcTzienFhrAAAAAAAAnFY5 + 53aKrTEzVSXWGgAAAAAAAAAAAPcWOzLtIiLqIhIRoi7ifZ9L8XFcP//5z7uwt/fTP/0vSw0za13V + t6nVx9n2aG9J2BGRUmrX3VS13TSO4zAMt+GhAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3INU + JLSVeDosJBUmkRaL5TzPcx29C13ESteb2C959Vt/+Otv/+ib0wPJu7Q/jbmTnKUUDxMRcRUJNxFr + v4tfXp8Kp8PR6l7t1xNcGWEfAgAAAAAAwCnVAq3bubau64ZhaHPiGCe9vgAAAAAAAAAAAMCtlHMe + hmGe5/V6nXOuta7X6+///u9/wQte0DKtl8ulHKZWmz1Zv/Gu61qD7efRP2mR2MMwtIltBjYAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAACehIZZqIZpZI0s0UnkzTjX8N0HlzHM5+bPDM/08/bpl/8/ + P/+Oj//xpjs/pmn0qevFZ+myiUiIurQgZNcQJYDldDqaZt1+vRvydPLJLh4AAAAAAAC4Oaraiuan + lPb29nZ2dsys1ppSOulVAwAAAAAAAAAAAG6tFkp9WaT0PM8RYcksWc4WUfu+H6f1D//wD1+4uP8b + v/Eb6/V6e+cr+rIf1XXdPM855xZcvZ2IiAcffPDcuXO11ohoydlcjAMAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAPjiokUGHy0eZSJaYl7u9J87/5l5sVn+tXjzo7/7/731d87VT02Lc9aXvfUsSc4u + dSPh7pKSh6m6RBV1EbEwFaKtT7eWaX3SayFCrDUAAAAAAMAtlkyG4dwwzHZZPXcNiVYoXt3cQkVE + RcTuinNEp5K7t6L5EXHmzJk2kzL6AAAAAAAAAAAAuBf5YbL1JRExDEOt1d339/eXy+U8z33u1+v9 + H/3R/9Xr/Guv+1dm4i5mVms9rul5nluytYgMw7DZbOQw63pvb2+xWMzz3Lq/m125DgAAAAAAAADu + VZcGQQBPQagoA2eA0+DoS5XjPwAAAAAAAAAAAAAAAAAAAADgvqJy7Yxov9TBvuVS26WIGW1zwmV7 + p4MCTUdiaLYlm478YWhozTtybv5M/0z/wvj47/3Bb77jsT/ds4vzsDfFrCLdQt1lPUXXi89SazUz + CQkRCQsVVxdRhu3cG0483JpYawAAAAAAgFsqd/IlD/7DP3zz+77u33+WqXqIiKpKhIQenDJUdzER + MWm14yn1cTO2RfNV2YAAcAJqrSmlcRyHYXB3ETEzdzezUkrOOSJqrTnnaZr6vj/p9QUAAAAAAACA + 08uvnAgTkWRWZhdRlZTSwcAD9zJ0wzjPP/ajP3Lx4vnf/d3fs6S1ejKpLjnnUorIwSVKNQkXCWmZ + 1iIyjmObaFHWKaVSSjvxq6rbC3PtFHHLw44ILtjh3nMTe/UNDQ65x9q/5QNj7rHtQ/u3pP3t281T + ed857g/vge1zv7WfUjr85OPb3aPW2m5y9/a5pV2/vtH1AQAAAO557t73/ThvVLV9IM8511pNVC4f + 22Aifkw1llsomY3juLu7O02TmbUzk13XlVpFLg3C2KLSypMLlXZGV0Ryzu17U9d1bU7begfbUF1u + /PltSbdXPwvX/DYYImKpuncpqeo8z2bWnuWU0pXrc6R9ANdDj33BXOOVHSouoapm1vr5q2q25O5J + bZqmxbKPUt291rpYLNbjnIdhtVotFwszm8dxGIZpmlwipbbkw1Fdhy9kXr8AAAAAAAAAAAAAAAAA + AADAPamNQIk46DLMCG7ce1RcRCxagrXJYb/8FmgdbQyOiki4ioVbzRoiWkJCtLh6tD722rlXiWyi + ksxCImqER7Zaa9asqmWuIa5ZI29Kt9rPn3nLBx9503ve8Ni5D8ZZr8vY32wWuRWaila/oVQxkxwS + PoephIRaMS/WVpuX5CnTSmGo6NFqKi3o4QQRaw0AAAAAAHBLJRMzWQxTMhGRMNE4OO8YJuqHdToO + anXo7S/zBADA7dDKyQ3D0Gpamdk2vrrVN0wptYm+71u0yUmvMgAAAAAAAACcatfb73yaNsvFYp7r + z7zw/5ym6fd//w/MpPVab+nUfd+P0ygiEZK7VKZ6zXYiomVai8hisVitVl3XtdO/B4kjqu3n/v7+ + 7u7urXiMAAAAX1z7QNKmF4vFZrPZztlsNsMwkGkNAAAAPImc897e3u7uUpO5+zRN0zTlnI8ObLA7 + OMhhnuczZ85sNptpmrqua71Pp2nSqz7S+zZDFccr09wN/aIfitdSSvuutF6vT6ofr5lJKetpyjmn + lFrM9jAMLWYbwB02z3O7TtT3fSmlhc27RjvwtiNwSqnMPgyDqy52z2h4KSUiWiB9n9Jcp9ba9rB8 + ddQ9AAAAAAAAAAAAAAAAAAAAAACnSmwTZA5ZC7pu+TIaIuLp6v7zYdJCZ9RMTFVFLCKmaap1zjn3 + fe9z9fCaqlmSRa06zWm1sfMf/Kv3PvKBN7/3L98x9nv5aXEx1nORnbPJ19Vc/GAklYWKh5uKhlhE + FXExVwl1CdOwFryNUyQirtzdThqx1gAAAAAAAAAA4IaVUnLO4zgOwyAi2wkR2Ww2i8ViO0GmNQAA + AAAAAADcSTnbOK5V02LRv+hFP/MDP/BP/uiP/rjr0jTXnHMpZRzHYbkYx1FVy3ztTOum5Vi3VMi+ + 71viSPtZa+37XkTcfXd3l+RIAABwB5iZu6tqrQefYcZxTClN0yQiEdEuVR/NvQYAAABwJY+zu2dq + rRcuXDh79uzQLSJCRDxc5CDb+lIhk6vrsdxqluTi3l7XdV/ytKdNm808zx5aXbKZHEalhoqQaX19 + lsvlOI4xV00mHqLRd11Sc7+qPE2YyA0/v8eF1149W0NUZNqMi8Uiut7dU0pV6jzPJUT10oLjLivC + ApwWcYNp0iZ6Zmc3IsZxLBFm1kKsN5vNYmdZp6iiUynDMEx1jlraYaMzVdVhGNqbxTiOlvWwQQKt + AQAAAAAAAAAAAAAAAAAAAACnXqiIqIuFSBvDpHEwkUJEkh10ng8REXVXF/VQkUgiycLa/es0dylJ + iuLedTmfWcxRN6txoYtlHjY+XpjP6dlad1fv/+Qjf/zuNz72yfeMtq6LGp1r6I70xad6oVIs4T7U + Rm2cIGKtAQAAAAAAAADADcs5i8gwDK1oeJtw95zzYrFoNcRb0HXXdSRbAwAAAAAAAMAdU0pJKUVI + iwn5pV966Lu/+3mPPPLO5XK5Xq+7riuljJuNiESEpeTl2snWZlZKEZGUUq3VzMxs2/09pTSOYzsP + DAAAcGe4e9/30zS1zyftYrSI5JwjYhuKNo7jzs7O0TkAAAAAttoHZnd/8EseENO9vb2+793dzEIP + Y6RFRERDQq+VV3xL9Yt+KrMmu3DhfEppsbOssx89FXkU+alf1LQZVSR32cw0ZC6l1qqql8VIH80L + v3WbtO0/V+xFfe7qXEoptdacc9d1XdedeJkV4D7lsVmt29mVfjHM87yZRvO6OLN74cKFYbmcS/mz + d77z/Pnzy+WyFM85+1z+7td97dMfeNAlosVci8j2DQIAAAAAAAAAAAAAAAAAAAAAgHtAmFw+yCa0 + JVWLtcjrEA0TEQtxE08t09pETMNEtOVe565PWSOqu8/TVKpFspxNrF4Yz9tZXZwt7/vUu/7gjb/z + gU+/a5UuTsM6spuZiKWQFJHdTNzDQv3q1cS9oQ31isNpEYmIEx9vRaw1AAAAAAAAAAC4GS0ZZVs6 + UFVb1rWIpJTahLuLCJnWAAAAAAAAAHDHhNSUs2kex3FYDOvV+LKXvex7v/d7H/mzR1WlRT+KSN/3 + NbyWclw7fphPUGsVkYhIKamqu6tqKWUYhlprSmmeZ84DAwCAO8DMpmkys1rrMAzjOIpIi99LKe3v + 7+ecc847OzvtU8pJry8AAABwN1oud+bNFKYiulqtd3Z2c9etViu1tA0kbg4SS29zlPR6nPvFjqXk + 47yz3L24t6cRy+XSa90unDTr66QhnaWc8zRN6zIvl8vdfmcqs8iVAbQHG1bk6pu+iOPvfUWs9eHc + iFKHYchdt1mvx3Hsuk4Pm7m6MZ5r4Prd0ItXQ3LOFlLCa62r1VpUNeUwPb+/Lyn9q//r/37hT//0 + Bz/6kRAxlQhJIsvcP/SSn//W//xb0mLQZFE95+xSb9tjAgAAAAAAAAAAAAAAAAAAAADgTnMVEbti + XvvdxexgtIuLiKiESFUJNRVJLhYiEqLuIpL14mZfNJbL5dJ2yjTLVGPwvXxu2t3/8Kff90dveMP7 + P/nOujvLWd1Mq8UDO6vN/maeO5VQKyWSpK4b3OeDxeE+oKoSQqw1AAAAAAAAAAA4fWqtLcS6VQOv + tapqizMppfR93+a3mBMqhgMAAAAAAADAHbNcLjebTZk3Z86c2d+MXZ/Onj37ohe96B9/7//4wQ9+ + qOu6lkI9TZOoWEpejo0faHfOOZdScs4t37ppp4jbud+u6yJC9YbCTwAAAG5S+9QxjmO7MB0R7i4i + i8Wi3WGapr7vW9z1Sa4oAAAAcFcqpYTpYrHYX6/MrNT6xPnzZx98YJ7nbULqVdHCt7EohiYbvZRp + 0w3dVOZQeeBpTzt/7omu6674QN/W6sYymO8/EeG1mln7irTarCNiWC6mabqimM1hbZ0bde0nIPRw + NzmajB4ypBzZitcyVpcYlgtV3Ww27QwzgDup1jrVmlJKXZ5LDRVNVtx/9dWv+dVXveq9f/GBEBmW + w2o91pA+d7XM6zKd+ZKzi92dWmap0bKxr3hP0BAL8cNgewAAAAAAAAAAAAAAAAAAAAAAThcL88v6 + w7scZF2LqEeIiliYiERIqIRYiKhIqLt6y7028VJ0uVy61XVdr73mQWKY9+OJ93z63W97/x9++FPv + k8WYnzGvysXNKDro589dWC51Z6evc6kl0mBS03qeUqZ3/v0lIoi1BgAAAAAAAAAAp09KqUWYDMMw + jmPXdbXWViu8zWmlw1NK4zgOw3DS6wsAAAAAAAAA9wt3F4kzZ3emaTMMvYit1+tnPeuv/8rDL/+u + 7/quj3/836pIRLSw6qvyaS45mmktItsJM9tsNsMwtJTrlmxNpjUAALgD3H13d3d/f19Euq6bpqnN + V9U2SG9/f393d7d9biEjDQAAALimnPM0TdM0ufuZs2f3N+sH/9rTpzJL7rbn+I7kW7sc5h/fDi5S + wnPuLGUzGzdjNyzOnb/QdV271fQgzXobm4ovqtba9X0JH+e5HwbN6eL+3jAMdhhiffQJvdEn14/5 + i/bMXJloGz6FW0rTPKvqous341hKOXv27DzP7R43uHwAl7RD9LVc+3Va3HPfq+pY5tTn/dXqta/7 + 9Z/8qZ/63PknQsRStmSrzShiajaVOYuEyGqz2UzjsutEw0S3ZZK2B2cAAAAAAAAAAAAAAAAAAAAA + AE67Fk3tKiIe6nIwTCZExEVUXMJUxEJcVGTQkNC5alVxCbEwdR10iJBNKZOt687qifrZt//Fn7z1 + fW/6wvTZWfZix0PLPLll6bMUjwd38jiVcSyWc8qpxlTSmLJ6VaO7/n2GWGsAAAAAAAAAAHD61Fpb + SMk4jimlUkpEtIyTcRy39zGzYRjc3ez2VTUEAAAAAAAAABxSn6ba9/1ms2n5NDlnS+ruX/ZlX/rw + ww8///nf88lP/tsWUC0iLZr6muZ5bmd9RWQYhs1mIyJd15VSFotFRKiqmXEGGAAA3DGqur+/vw2x + FpGUUq21fTIRkeVyKSJmxucTAAAA4Dg1XJNpMq02e9VkH/7oR/7Db/gGP5IwfDQoNd3m9YkWX334 + q4p8xZd/2Vvf8ieLvmtpqaFiR1ZI46rsZByRc57nefZaw3PXzV5f+6uv/qc/9ENThBw+xU9l+z15 + fZSrI3ZNJKl4SDp8ov+H//a/e+ELX9inK0udEI4L3By9vnz4UOmWiwt7F8+ePXvhwv7LH37Fy1/+ + 8k9/7vMiEiIpd1OZpYqISU5Ra6u1lUQtJxExs2kau5Tdj0u3BwAAAAAAAAAAAAAAAAAAAADgVFJx + EYnWk14PxsdoxOEgJg8RUReRqiKRJEJENURULCTUq7qYjHXfurTp9j527rFH3vvmRz/2yOenv9Ld + UtLG1VWkVUUQU9eoRdxLTiKWipc5SkqqJrVEvq6BAjjdWpWMg13iLkCsNQAAAAAAAE6raZr6vheR + cRyHYWiF9U96pQDgftGirFu58G3qSUs32dYQ77pum2tykusKAACA28bMVNU97pqeMAAAAAAOwh1T + ShHRJRWP0AiRWuNv/s2/8fKXv+z5z3/+449/vhQXEdU2bOLgr9oJ3tbf3d3bWV8RGcexTbQ5Xde1 + O4hIKaVdsxORttx22W6bLgncP0opLUi17f+3/FVwV7Xf9/00TUfndF23PWiciLtq+9D+LW+/vUml + 9FTD1FoL2+ubW6d9+9w/7UeEmW0/hMiRZ7NdpN5em+ajCAAAAHCccRzPnD272WzCVEy9Sl4s62Eg + cYiYisRB2rSqWFzKKtbD/NRbWxmlLSubFXcT+fRnP/Pa1/3a9zz/H7nXrh/KOM3zvFwufS63dLH3 + oFCZ3SMnj8hdvzeuu2F46OW/PEb4Vc/azX5lUjnYQa6+4dJNphYRVaKIJFOJKC5ZxEX2x03uO/ew + EDmSZk1gOXCjTNXdTTTs4JKNaY4IMRWR1sk/9904jn03uMRm3IxRX/zCn/rZn3/x/mrsc64ipubh + XmY5SKs2KSFiIu4is4S755ynUnLOXt3MQqrc6jcCAABw99gODGwiIufcLsp0Xbcd1g3ckCv2q9ut + 1RzIOatqKSVnim3eL7bXiFvnt6v3ujbzTu6NAAAAAAAAAAAAAADcG7bjuKP1W6bYOO5BLnIw2MYO + UqxFRL3Urus0de5+0IE/wt1FpkXXe0lRJOdhjmmU/diZ9tK5t3/0kbd+4E0f+8KH13mvDsUXpUpN + 4Rrmh8HB1V20WBZpnfN1TknSwWguURXza6wi7hlHezq5e6um0vpqniB62gEAAAAAAOBUioi+79to + 0mEYaq1d17Xzbte8P5VqAQAAAAAAAAAAcO8LE718XIJ6Gy5hycpcv/qrv+qhhx76zu/8zojZzOa5 + tmzaWg8m2s8nL9/p7sMwtFDJvu9buU8za1mh207z+/v7u7u7t/PRArfLzVWwbaWQt5etn+Qi9T3Q + fsuRXSwW7aq9mV2Rcv1U3APbh/ZvX/tyfAXqL6rW2vbVbbX97Vive2b73A/tt88b7RNLm3mH6+AD + AAAAp93O7u5qtQrTbuj39/fd1CPMLMI95CD8WMVDVFXN5lpFpFUc8pDb8ek7Z/PixQ9Kvow1XvWa + Vz/vec/rcr548eKZ5U5ONs9zlJr6js//Ty5USq2qWsJz37/+99/wrj//QEpS662JtVYRv7yllnRu + olfMP4g/z1L9Ugq2iqQuh6pouEgi2Rq4WRpiIhIiKp2lojrPs0fJfdcqGYXKsFy4+7DcqbWee+KJ + Fz/0C7/y6lc9/oXzZ88uq8i6FBUtcb3Frr7oy5PXLwAAp1qtNaXUyiOKSOsQ0n62TxeqOs9z3/fz + PHddd9Lri9PhmvvV7V5oznkcx67r2tLbhcg2fbsXDQAAAAAAAAAAAAAAAOBU0jb0pdVoEg1NYhIm + IklzzOGTe+u+b5aTpj7NZVPqWruu5mmt+/lMfPr8J9726Fve+dE/+UL97IU4V5dj9FG01BoRYmIi + Fiqt2bYgkSJ6MMCnDa6xthYHtxJtfX858UFzxFoDAAAAAADgVIqINgR6HEcz67qu1co/6fUCAAAA + AAAAAAAATtxl0QEmLmF1GnPqPMpznvO3Xve6X/vu737ehQurYeg249Qqt7bSsdM07ezsrFar45rO + Oddax3EUETNrgaCtBG1Lum1Zoe6+u7vbyhzf3scK3AVKKS3lvZVCbtG5TxLLetrb38bKbktOT9OU + c24Fze+8u2370P6tbb/W2t5cWoZ6rbXtgTe6v6WUzKx1NdmmWZdS2lvY6d0+91v7tVZ377pumqZt + mvVN7A8AAADA/azU2aPm1Jupme2c2T1/8UI9jJQWkYMplZCoXkVEVT0ulcYws5TSPM+3apVCzMVN + zQ/TVR997/t/+7d/+zu+/b+2Jc3dAAAgAElEQVQ6e/bs6uLe2TO7GlJKvVVLvJep9n0/1bKZxp0v + OfvSX/yFEKlqoVfWsrmZUicqcWU6tkS0HPSDL2jSvsuHi4jl5FFFRUySms9uIi4xjuNADB5wK3Qp + T2Ver9eW+75buEREdF2/3mwWuzv767W7b8b5hS984Stf++rz61URUZWLe+v2Su76rg3OkksXli4d + K9rVnVBxkeMCAFuUNbWyAAC4B2w/zEfE9lJaRLj70TDgiCDTGtfvmvvVndE6M7SOTDlnMq0BAAAA + AAAAAAAAAAAAPAnXIiIWomEWpmESpqE596WWiNAkmsy9TtM0xTgsu3VdjXpu1V38i8ff95a3/NFj + n/mL0m32y15Nk2Q19ZhCXPpsXddNcxURDT8Izw6TyKImOqmIqFhLtg4RX4ho2ExX/fsNsdYAAAAA + AADAzTCzvu/dfRgGOTK+9LhBrXdysCsAAAAAAAAAAABwd1FvgaClSErpOc95zktf+pLv+77vv3hx + 3fd5mg6SIFsq7Wq16rruuFiaFhuZUmpX6FoaaCsC2+aP49gu4QH3j7b/z/PcSni3gsjbX++99tv1 + 92maWhjtNE1yeHA4EXfb9qH9W9t+SqmFpR1t/yb2t1rrZrNZLBbtV3ef53n7hnV6t8/91n5KabFY + bDab9quZdV03juMtWRkAAADgPuGlLhaLiJg2Y0qpzmWe523RC9X2L7lEVBc9kmRsqqoR4eFeXG5V + 9/w4+JanyaS4i+wM/ThOv/DQL37bt31bXixdpdZqqn3fz14ZF/BFVYmc8xz+tre97U1veUvq0tQS + wVUuy6S+VRuytWMqHrVWETGziJAIr7XtQhJSq6tIEsmWcs4aYidcawU49drlGDNzFTNziVKKS4T7 + cGZnLuUzn//cS17yC696zatX46aKuMk2ml6TREg7tXuMKwtg6dWx9gAA4F7R4qtVtXUFMTM5LI/Y + rtPlnGuteuik1xenw3H71e3ehWqtKaW2OBHJOR+9TAwAAAAAAAAAAAAAAAAAV2i950Nd4qDfUcu0 + FpF5XIdqaNRwFZcutHe36bydu5jPv+dj73jrB978qf2PXUxPjP1aFxGm4mGhKTqtLuJSxL2qlTjo + OWV60FvfJMTCRP2gs36IhB0M1Am7ZQN/cHdrfeoiglhrAAAAAAAA4Ga0IaxtWGkbYip3ZDgrAAAA + AAAAAAAAcFfbDpAQEb2UOjBO68ViobP3Xd6f1n/vG/7jl7z4577vB/7J3v7YUmnbz1ZM9rhM662W + Y3004rpdqiulDMPQrt/dwsxL4C4XEds6yKWUnHN7Td2r7bewk3aHeZ5TSu3lf6vW50bdbduH9m9f + +9v3l5RSC8q6Ia2R1Wq1s7Ojqu0Ny8zume1zP7Tf7i8iOzs7q9UqIsZxvLn9AQAAALhvpaRRS4Rq + hJrOZVr0Q28yuYiIhdSQkBotjDhEk4W7yEF5DBERVVU9mPmUWU5eq4gUr+3j/WqcTOTP3vHoI3/2 + jv/o6//uA2fO1HEq1Zd9H7UwXuDJRcRmMy6Xy2EYfvbFPycq81wPCtlsa5sccw75uhzd/HHpZ98l + r7XWEJG2bySR0LYnmVRXkayiIZvVqK5yZBWCpxS4caGy2Dnj7h6uZi5Raw2z1OXNVD7xsY+/6EUv + et2v//roVURMrIqHi6h0fe/utRQR6bpuez0oxOVaefcaYnL0JXvZOgAAgHtDCx5u023U9jaEeH9/ + f3d3V0RyPihXOI7jMAwntKY4TY7br2535c2U0v7+/nK53O7Gi8WiXYi8rcsFAAAAAAAAAAAAAAAA + cJrZ4T9tPehDQ0Sid+3VrcyyKTZ6Kpu6eSI+/2/e8/r3/+W7Pv/Ep3SoNW8iz9litfGU1V3cNcw7 + MRM1r7MXWUgLsFZ3E9MQkWLiIi5hLhaSQ8RVRKuIX7MPP+5tJx5rzV4HAAAAAACAU2lbjtbdW/mq + UqhRBQAAAAAAAAAAABxrmqaIWK1WT3va00opz33uc3/iJ35i6HNLCZ2mqeu6WmtEtLqux2lhky3C + dhiGFmjdbmpFYFtd2q7rTry7PHBnqOpyuVTVvb29tv/f2ovXd1v7fd+nlCKivczdvZSyLUh9591t + 24f2b1/77e1JVW/u/WWaplrrzs6OiIzjKAdBbPfO9rkf2lfVruvMbLVaiUhLTeDzBgAAAHBjIso8 + J7UuZQ1ZdH1Ur35Z5RUT6VSymolGdRGxlCwlaZ/Yb+mHcPeD9iXC3duXAhcpES996Ut3dnaKVzXT + ZFMtZkaK6pMzsz7lWutHPvKR17/+9XEkefrWiKsmRERknkrLtDaRJHKQFeaiIVajU+vELERFslrf + dcY3OeApW4+buRaXKF5DpV8spjJ/6MMf/p//2T/9xm/6ple/7tc2Xl3URSfx1DL8VOdxqqXkrhOR + eZ6vOBUT13fA4BUMAMA9pn0va51Ajl52iYjd3d02p91nnmcyrXGdjtuv9DZz993dXTPLOauqmU3T + RKY1AAAAAAAAAAAAAAAAgONYmERSTxJJxCQsVMK85GnK454+8YR97mL/+OfSJ9/1+Ftf/cZf/rGX + //Aff/QNfzn9xf7y3NhfqN3GtYZ430mXc5+73JmIV59rFE+R+zYgS0REVESK6GRSRFzaf5FF1EVD + PayEFVc/ue2B264N5dj2rGtFVE68bgbd7AAAAAAAAHAqterYtdac8zRNfd/XWp+ksu2Jn4kDAAAA + AAAAAAAA7oiWSH10cEKIyHK5KKWo6jTPq9VezrbZrL792//LcZz/2Q/9L63ucAswSCm1qrLHmaZJ + RFJKZjaO45kzZ1rU6GazGYah1iqHyda3NvkSuGu1UGdVPXPmjIiM4zgMQynlVlVGvtvab1fn53ku + pXRdN89z3/ftyHAi7rbtQ/u3vP3W1NH2Ww30G5Jz7vs+IuZ5zjkPwzDPc9d198D2ua/aL6W0jyt9 + 35dSxnFsR6FbsjIAAADA/UBDNGLInVh4KV4jqU6b9bf8p3+/zHUq83ozXVztr9fr/f39CxcuePWk + VkO8bEunqIhElcPzkE9ZiFqSUIkIdTUTj6Hv5nH+vTe8/tF3v+tvf83fOjMsRbXOc865BmVZnoyp + dl23v1k//PDD01xdZNl3q+n4701xI89jq4lzeVS2iqjKkHMb35HUHnzwwS//d770gQceSClp7mqt + SbTOJbzsDov/4O98nc/FdPvXEnok3oxhH8B129nZ2V+vTK0bhvU4Pvroo696zWtf/spfSSlNtbpI + 7rq5zCLSLXbm9UZVwyOp1fAyzWaWu3zpvO6R6zltAJaLpKsWaiH1yD3bEbm9onn9AgBwepmZiOSc + I2JbG7FNt+Hb7t66f3Rdd9Iri1PjuP3qdi+3JVu3FWjT7TIxXZgAAAAAAAAAAAAAAAAAHMciHwyV + CnEVEa9WPI2ln9d5/7PrT/35x979jg+99SOffaykcfEV3cX1EztnhmmapymGwTx8nGUYdFzPKUnO + pp2KRnUvIhKibiaHA3NUQg565h+Mkooi6nF4q4gI46fuPycepkOsNQAAAAAAAE6lVlzYzEopfd+L + iLub2U1ULgYAAAAAAAAAAADuaSoS4ziJSNelvu9b2dadnZ06z9/xHd++Gcd//s9/RFXMxD1aLvWT + MLN2na7WmnPe29sTEVVdLBatDmy7bNcK1AL3gxa/2q5iR0SriXyrMl/vzvbNbJsQ3KqZtzrUt2qV + bshduH1o//a132rl38T+Vkppb1KNiHRd5+732Pa559tvN0WEu7c+Qu0odOIj9AAAAIBTJCLMbD2O + XdellET1bzzrK3791a9tvfBDVZMlzXOdLjxx8fzFC499+KPv/fP3v+Utb3nXe979+OOPl1YVRfVI + qYyndhpQNdxDxFJy94iQkHGcW5bqS1/60l/6xYfmUr3MQ+rcXUWCIKpDGpdtjVCp7utpnIu/8pWv + EhEVWU+zmfhVX5sOqtzc0PLi0p+1/1t7+kO++T/5B9/4jd/4zd/8zV/17z17GJZ6WD0nQkspO8Mi + IlREvY7rqe9SKeXGHy5wj4vLw6GvSIlut25f8i6yP24kp9T1b3/00Re/5Of/39/6zcnFRKZau6Hb + jPNcZjVT1Xmz0mRRw0Q9XEUspVrrsed19YscHba3cx0IAIB7Rq21ZQCXUnLOKaWWQNyGb7fuH9sL + OsQD4zpdc7+6A/tPO/Wx7cJUa00p3e6FAgAAAAAAAAAAAAAAALh9Lh8Fc7Qnu1/RIandR+PgPpeP + QjouxMQ0LLZp1lZrGksep7z++Oc+8s7HHnn0sbd/Yf6s7Ez1gWnS9eiRBtlfrVMv/Y5Nk6vKYmGl + +LDQiCjV22qqiatYSFTTEBEXFbfLHkyIy9FA66seWFy+BeJSj35XcZUqWlSMggt4ioi1BgAAAAAA + wKnU6hS3ivnbOWRaAwAAAABwx6jKNgQIAAAAwN3kyCWzwyEWom6aRKSWUEmtbmyts4iZ5f/mv/72 + cbP68R//PyLEVFq+iGiKiJSSu4t4SzVIKdVat0GSIrJNH2lRoy0wu81v1Y1FpNWHpcAx7nJtJ2/7 + Z8tld/ftN9+j08fZpu3epjW8e9q/ZrHpE8+UvXu2D+3f1vbbIm5uf2t/ezQy+WifE7knts/90P51 + Pvt83gAAAACuKVTU8lwj5d5DJFxcVEW3BV0ivFQNzxrPOHv2GWfPfvWzvuLb/v4/8P/p+y6uV295 + 6x//wi//0r9545s8ojOdPXK2UjxEzCzEwv34Ci/HU5EQbxVbIkQkRKqIJP3Xv/1bP/Thx7762c9O + KamqRpWw7QKuCHmVwxTYo1mwpz0Duz2Wo4+0zTHRUsrOcmdvf0+TdF1XvFrqS5VuufNzP/MvL47r + lLpSZ2l3v+x5cbnRQOvLddm8eBI50y/+8fc8/wUveMFf/7IvP7wxpFa7VFxHO7OYp8MlRj/kUuar + HyNwnwuVUFNVLzXcu5Q1QsLNrNQqIqnLY51DNffdVIuahaVH3/2uf/min/ut3/tdEen7rk5zFRGR + zTiLiIRE9YM8+uoi4oevzVrrwXLjUims6+FXHXIBAMC9YRv6u72UdvWlltt9wQj3nuvZr26HdhV4 + uywyrQEAAAAAAAAAAAAAAJ46VXWvJioa9MfAHaYiFi4irhZiIuaiFiIaGmIHQ2YOBs64moRZmIaG + ipiFRkS4u3v0fb/ZbHLO21JI1uVxtd7p81Q3o6/rMNoDcW5+/B0f+tNHPvDWT37h43O3nvvRl2O1 + 4ubWBviEWJZwqe6takUtriJeQ0RMD2Koww9GbIWVaJ3w9XBk0GGH/Cviq9vdDsZDHdzkEhYqHhKq + qubhIWYSKjXJmGSu0l2e9o27WkRs63q1al0pn3zODrHWAAAAAAAAAAAAAAAAAAAAAHBPi+MGHnip + 02LZf8/3/KMnnjj3kpc81PILVNQjRMS9BVqHmUXENufg2m25D8PQwoD7vm8d6M2sjUdq5WJVdX9/ + f3d39xY/QOCpGcdxGAY5DLQ2s+2clt2ec25DQU54RQEAAAAAAJ6aq2Oer8glTSIikUJEQkPMZX// + wgPPeHrff8k3fePf+9Zv/S/e/LY/+ZEf+ZF3vPM9JhLFRaTrunmeRaTr+3na3JL1TNlq8U2tD7/y + V37yX/y4eXiZNUJFLC7LVb0/ZbW51Gmz6fveklQJM9tMo6Z+XI+ves2rVdNUZxfp+n6aJrlFW0zN + RKQUTyJ/5zl/+6GXvPSrnv2Vi8XiII9cQ0T0ICW31VK5+tQ0QbjAtU3TtLOzM89lGIZ5nJaL5WZ/ + T1oEYLJxnvq+35S5SrjKI2//s5/6yRe+8U1vEpGzD5w9f/7iepoXy2G9Ho+22V76VyTbXycqWgEA + AAAAAAAAAAAAAAAAAAAATlyIVDucEhFxE1MROci6FhGxw9pKFuIiYRoi7l5LEXFVzTn3/WIcx8Vi + MdVSa+mGPM1TGVeLnf5zq7/afVqv3fTxz3zoT9/5J+//y3d9bvPpTbdXd+aaxmreeue3QVga1zE4 + 5qCCk8gVg7kuz7TWuNR139tMvTTjSL61X75Eu6pdnCaqGnHZM3rFryeCWGsAAAAAAAAAAAAAAAAA + AAAAuE91XZqmUTX94A/+4MWLe6961WtExD1SMhGJOOgE7+4t1tf92tkHOeda6ziOItIysFVVVVsw + dq217/vWzu7ubksOvnMPEjhG2xVrrcMwtD12O8yjpTENwzCOY0SU/5+9O4+S7LrrBP/9/e59S0Rm + lqokW/Imgxd5oY1oL7LcNGA8vcCZxmdowGBgYIBmmIE2TE+zeoCGWXqAQxtsjK0yYHnBNgzNYYSX + HhobI2zjRca2sGUZY2xjSViyllJV5RLx3r3395s/bmZUVpWqpJKqKitT38+pkxUZ8TLivsiIdyLv + u7/fN+ca0E5ERERERERE9LASQljatzIMQ3KbtF0p5XnPvuodb33br/3ar7/y1a+aD1mBnBIAiKRx + PFuPm7M1US3bG9/8pv/lJS+5ZN/+VkMM8VTzkw83ZlZnq2KM4ziaommaCA1N+5bf+39u/eKtDgEg + kPEUv5TNzjhn+LhuBkcAvubq5/3eG373EZdcUsbhgmidQrT7NU2TcxaRlLPB1zfWRbVp2/k4lGSx + a0czF73+L97zqy/7T++/4a+CbL7N11Y3ADhwQqb1Ao+bRERERERERERERERERERERERERERERLRL + FUWR6GLBTb0EN3UI4KIGKaKAFoF6TYk2F5tJloBGRB1qxc1KzjmJhmZIRWMU2JDmTattL+t2tzx2 + /sHPv++vbvroF+747JodGeJGmowlpqyDqaGW4Tjgm/nZJmewTl9c4YpjIdYmDohhK4QbroCGzbBq + dTFINoXWcoCthGwFHF5/QlwM0b1z7907MOF611o0O9rx8izGWhMRERERERERERERERERERERERER + ERE9TJVSzKxpmlLKL/7iLwzD8Pu//4cxasoFAKAiohpKKTnn09xPvTWEUHNlaqB1KSXGWK8fhqHr + uvOwR0QPXI1XDyG4ewihlAJAREIIKSUAZlbLP2qsuwjreIiIiIiIiIjoYcQFs3Ho+z7nUkrp2s4E + KaW+af/9v/tfH33Zo37qZ166mDTsppNhYwM486jkU0jZgmJtff7a37n251/60uBIw1iznB9W/L5m + pNy9aRoRqRO8UE0pSQgb89mrD17Ttv1snIsGNwMQY8zldLO7ZzIadG38ssc87i1vfvMj9l985J5D + B/bvO3LkyGQyOTv3T/RwJY6oOo5j13Xu7u4atW3bjWGOoE3fjjlf/56/+NVf/dUPf+xGDQCQ3SFa + 3GAFIgJsn+gmIiIiIiIiIiIiIiIiIiIiIiIiIiIiItoDxGustALmgAMmpoAJHAFblUxFIGIOK4LQ + SHFPJQU3FY1R1aMVlybkcXAtOebRV8eurK7dffOtH3/X2/7kcL57bjOPOYU0YF40S3TbKuqpQdqA + ikcHFPkMk603LxigUBdIjcfeHP1moPXmxqj52ZuPWx9Gj93P1nZQeGPoDY2jAGcwHroQbM+xroUk + OzgYMNaaiIiIiIiIiIiIiIiIiIiIiIiIiIiI6GErpWF5edldVleHvpOf//mfW11dfcc7/qsKmiYO + Y3aHe1SNNa/69DUMNcd6e8S1u4tIzrnrulJKTQtumua87BzR/XD3nHMIoSYAAaiZH/XKmgnk7mYW + QqgZ2EREREREREREDyvT6XRjYyM0YXk6mY+jC7rYlFJWJtMf+L7vv/XWf3jFq35TRJPbsLF2Nh9Y + BeZmCMCbf+8tP/njP27juDSZwhz3lfQsO9y441xZ7OkJu6yqdbq1WGnb1hTz+TzG+Gd/9md/89m/ + rdsUKwIRkWOZ1g7U7jYPVtuEPObXv/bapcl0Plvvu6aUctHKvjGnk7blZBrRmclp1CC5pBCCCZLl + +SxBFdD/8v/9yX962cs+dtMnmhCarp0PowExbCbWhxgBlFIgKFY23+S++PKQ3vVERERERERERERE + RERERERERERERERERDtIHV0xB0zUBVkCABGIQx0KQLIBLm5iqZazZGlEIdEs5WyAmGUzU7PQ+Bg2 + 1vTILat/d8ONH/jUF/76qN076HpuhoKCxjVCxFTgAi/AsaolhUe44kwCrQEA5nrsR4pHAJBjdTfi + AEzFAAM2o7Trg/pmnjfq9TXxOgOQUm91BEesYd9nOCq6sDDWmoiIiIiIiIiIiIiIiIiIiIiIiIiI + iIh2Rt/3a2trXTcR8WGc9X3/K7/yK8MwvOtd14/jsWTfGuirqlvh1vehbdtxHGumddd1wzCISN0+ + xggghACgaZqadX2e9pDo1ESk5lW7e3151xqPvu/n8zmAUkrTNCGEcRzbtt3p8RIRERERERERnW/z + +bzpWrMyn89VNWjwYmpe5uN8HH76J3/q/73uus//w22bW4vg7HXQkK17u+Ouu9/0lrf84Pd9nwDm + Za8mWJ/KyRneVSlFVUXEBTnnbjIZza45eLA+PQ4B3AUaQ8n5vu/iDAlQUnn+P/nqp13xlEnbjRvr + McaScjILIbhA/JSjJaL71ff9xsbGdHnp8NGjSysrTQj3Hjn87uv//GW//oq/vummoNJOutlsQCkA + goZcctu0Q9o8L1Nz7jUEK2Wnd4WIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6OwQIBgAFNGicFEDFHAx + AOoGAOIC1JoacfUhh0ZDCCbiwSSYq7mWVTsy17XP3vnpD9z8vk/fedOsXR+7+dpwpJ8EF3e3YigZ + ohCBOVRxrI7JFVtlPvZgy2dccHL+tIsJrNTUakB987EAgwOIgG3P0hZAkSEZcD/jjG3aeSJycoj1 + jsda6/1vQkRERERERERERERERERERERERERERER7keXcty0sT7u+7/uN2dr+/Rf98i//0vOe9xwA + VkrfNYCpAsBpMq0BjOMIIITQNM0wDMvLywBUdRgGAKWUshWlwExrutDUCHYRqS/O+XzedR2AGGPO + Oefctu2Ol38QEREREREREZ1/TdcMw9zdp/1EIXlMXsyLCXxlado14bu/68WN6uZ8nz6k/hWy1X4F + QNM07t40oW0bB37z1a+aj+PGfMC2mGcX1CjlE4Ku6/V7w2JH7PimNWYWQkAI7si55FRCiH/5l3/5 + vg990IDYtJs/7n6qTOsH96sS4IXf9N9Gxb2H7l5aXvZifdupKuC1+c/DLXSc6CzKY1LV9fX1/fv3 + F/fffu3v/LNv+Mbv/Tf/48duuqmddKP5xmxou04gQZtiHjSMaRSROptdShGI5bL1dtTFP4c6+wsR + ERERERERERERERERERERERERERER0e5Ua4UUCIZoiCbiAqgJsqKIOoJaiKXpUzNJzUpcakvjc/fR + TGwe53fh9s/kT77js//5P771pb/2zv/jw0fffVd32xH5UsIQgm5slDxagHRBJ0FaR2NoHbEgGIJB + XMUBmInZmSdJi+vWP0AMYqZ52z+rO1irAUxgAoOaaJFoEhzRoDW1WzcrsIoiQUbIAEknR2XTblE7 + Hbn7jvc1ijv78ERERERERERERERERERERERERERERES0g1S1lFIs5dGm0+mRI0cuvfTS3/qt3/rB + H/zBG274aEoJQCkFEBE5zQJ4VVXVnHMpJca4trYGQET6vnd3EVFVM9OHlm1DdHbVF2TOuWmalFIp + pb5Wh2Go1wCIMZZSQgg7PVgiIiIiIiIiovMtpbS0tDQMw9raWt/3qlpSnnR9KSWNSWP8tm/51pe/ + 4pXDOGgIVspZe9xxjG0zjimhBOALt972R9dd910vfrGn9DAMTrZtF+oUlQmaEFMpBg8hxqDrs403 + vul3VUOxMqYxaMhWVNVq05qz1NlEgX985VcpZN/S8nxtXURmGxtN0xSY+N5JEyfaEe7eNa3B77jj + jhf8i39xx913bqRSgKaLs/kAAUSGYQAAM4GYmUDcfRgGqUnWO93DiIiIiIiIiIiIiIiIiIiIiIiI + iIiIiIjo7HKgCAAIDK7iCHAHDOYA6k0OcVVXrQvttSRJ3uTS5Y1w9O8Pfe4Dn3rPRz93w3pz2Cep + XDwbpahCDKXM26iTrksp2dxEXFXVAUCCLpKGTYDNNOvtXx8QdSyKoVzUxGpE9wkEgEAcjhp9XSuC + YABEgqvDpD4dm/+ZoAgKkM/o+aQL047HWrMjGBERERERERERERERPUg1oeSEK9u2XVx295x5TouI + iIhoDxKBO0IINe5rp4dDREREREQPnoiUUuoFdaDktovDOFteXnrlK3/jK7/y6WYICrgrHL65ZVXv + oWmaesHMFnPCiwt1xXzNCQagqu6+WEZfM4N9y3nba6IThBC2v2jrm2L7OQ7GsRMRXQhKKfUDQ/0I + UZnZ9uO2mfFDBRERERHRWSQi4ziKSNu2XsyLRdEypjKmJkQxv/yxj33Ws54FwErB2ZlC0doHw2yz + w4sDBXjFK3+juKdSmq4tbsXNzFQ1pRRCOPleXPZyynIIcTaO7l6nXs3s72+55bq3vrVYccCBbAX1 + OTSHOeq125xB+5wtCuybLj3tKU+pk8N1SjnGYHYsznzxnMu2njtE9EDU8zVmduDAgSue+pRZKqEJ + qDMe9Z3ljnqeZfMI50FcgaCIAgECRIAg2oQIWAiLN+TePRoSERHR2Xby4o3FSZlxHHH8aXQiogvE + YvHb4pDl7ou1aot5jO0L3oiIiIiIiIiIiIiIiIiIiOiBE5HFsiJ39t+g880EJXoOlhUe1cVKSVLG + RqxBiVaileAWEBQKb4v5usyGlfUvdbf+2d9f9/I/+T9/7U9+4d23/fHRi+6YTzcGGdwaLa0mDYYo + cLM8JvHYaBulVY8BTUDQIsG0FsioAzDAXMzljItyGoGaS7EIaRCDqRSRImoaXIKLOmBbD+SIjbhY + EbPgyUtykxBNtBYHqUG9rokxSBaU+3l4usD4VnkIgNrRt1aH7eyoeGQnIiIiIiIiIiIiIqIzVhMd + cs4bGxuLuJHaH3xjY3WzRGcAACAASURBVKP2pyilqGqMsTatICIiIiIiIiIiIqJdZBiGyy+//Jpr + rnnyk7/cDCGIw2OI2JZUXRt9ppTatj3NXS1CZWazGbaqlXLO7l4jsRfROGx/TERERKcRQqgfPxaZ + CiklVQ0h1DQ7AKpaP1HwcwURERER0Tmlqu4O9ybEp1xxRRTAAXecrSRjESsFgIagUQ249bYvvvXt + b2uaZn19PYQQY6wbxhgXkUV73qI/yHw+n06nMUZ33xjmGsM1rzmY/cGEVZ+Rxz/+cStLy1EUWx2p + aucU7PUccaLzYNHrLaX0sy/93xQoqQBwAxxBQ9AggJspEEUEcIcAwSCOAEQNbYhwyyVP+0ldzL95 + jobJbURERPQADMNQl4LU3og1Jrau6zCztm3n8/nibzEiogtHnZpIKTVNk3Puug5bB656QKtTKPWc + 8o63fyUiIiIiIiIiIiIiIiIiIiKiM5UT4BFAziNgXR/aNkopClcAYi5lQ44clUNrk3uGi9c+M3zy + Te+79v9+03/4/fe94fOzT86XD4+T2dBu5DA3zS6mjppXDQBSi2KsqJmYCbAo0DmWYG0KQCDAg1id + PxvM4aEREc8ll2QBMmk7dairuqohOCI0iEbBfMOtQAFVb7oIxZCSaDRsVe94A4/iKs4wYjo7uDyU + iIiIiIiIiIiIiIjOWG1RkVLqus7da+vw2vqqVoAvjON4+jgTIiIiIiIiIiIiIrpQiAFQB4CmDUdX + Dz/60Y96/etf9+3f/h1f+tKdMSLnXDsU11BqAJPJZDabnSY2JoRQOx0v8hjm83nf94vJ5LW1taWl + pa7ramvRc76PREREtGuZWf00Us9BD8PQdZ2ZLULU6jnrpmnq542dHi8RERER0V5Q+7NsxhUfa8WC + oDG7mVlsm6uvvvraN71RVc3PPBbIgfvs52KiIZrnUgpEAazOZ79z7Wu/+Zu+SWMs7kG1Zq01TbPn + Y62PbzAjACaTSc55Yz6bLi2lMd1596Hr/vhtDzBS/KEkjz/hCU9ommg5mxWFAGLmIvJgWvIQ0fHq + FEfX92m28bSnPe3rvvqfvPeDHxCDxjCmEhQllQg4oLBv+Off+Lyrr7r66quuuOKKvu+hcvvtt//h + f/6j33rt72xsbGwMwzCfKcQsA4DKIoqeiIiI6GQ157WU0nVdrRmsqzvq+Ze65KNyfqggogtSLXau + Zc7uPgxD3/fz+Xwcx3pNzrnOpSw2IyIiIiIiIiIiIiIiIiIiIqLdQj2uxKmZFQyug/s4piImIsET + tIkllCHMsZKP+r2f+OxHPvQ3H/j7I59Z9zVfzjHCkS1lEQQViEPMZSwCAdQRHAYUtaJWey7VuGs9 + Ybmc1JEAgJ3h8hNThBUYYObuDoU2KGZr83mjgAMmZgDERQXBkQ5M4pH1cTLBvNhsttFN2vrTAWpQ + uAGNWANv4AEIZ+FZph2yWM6040s0GWtNRERERERERERERERnrJSSUur7vp7qMLMacV1vrVHWIYSU + EjOtiYiIiIiIiIiIiHYnc3fz/KhHPer1r3/d933f93/xi3f2fZzNc725psWklFTV7JRxNTVdEoCZ + 1Yjrvu9ns1ltHtr3/fLycs2n3PG19URERHSBU9V6Anp9fX1paameoXb3+mGjnqeuW/Z9X0oJgRWY + RERERERnh/hWsvVW75XNoGUREbnyyivdcdYzU1UVrualuEUNbuVDH/zw+z/4oa//uq89cu/h6XRa + 5xtrANvDbXaxlJJz7vu+wPu+v/Y3Xn7P0SMhaClnnix+Ji677LJSSslZIaoKcwAmx14eRPRQSNDV + 1dXQtV3b/thLfvQ97/+AAJZKACyV5cnkqmc+67u+67u+8V9+Q9d1XRNSSjnnpX7SdN207X/sR3/0 + B37gB771W7/145+6uY3tkMcgqjGknDQEy+X+R0BEREQPS/U8SwjB3evfWQBquHUpJcZYF3XUlSH1 + 8g6PmIjoeNvPFDdNU5OtF/XOVT248QwyERERERERERERERERERER0a4jbvONo6qqWrOpA4JK40Vs + sIR+fYzz29du/dhHPvTRz9xw++qtqZ/P44Z2IgXrgzWKftoFwXw+SLN5n5uFMA4DXFAURTdLo2qm + tQPiAE7KtwbUzizZ2oGNERKggALiCIIoiArPiBoDWmiANfAoRc2Tp9n+VjZWh8lKO+g4n42XXbxy + 712rkxgAhSskAgGuDnUocG7riejsqks0t1fDnfDtjuDaUCIiIiIiIiIiIiIiOmMhhFq/vbGxsbS0 + JCJd15VSaml327a1DrxpGgBsF05ERERERERERER04XI9dlls8VUc067NbsMwv+IpT3rta3/nu7/7 + uw8dWm2aAGhKqf5EzllETpNsLSKLm8xMREopk8kEwGQySSk1TVNbHrdtW789d/tKREREu1opJaXU + 9/10Oq2FeSml2o580al8GAZVbZqGJ6mJiIiIiM4mMQG298YocBcIJFt57GMfK4DjbPbOENWcEwC4 + hiClFAUGKwcPHrz6qudARUTcTFVzztiKYdt7xA2Ay4l7l3PWGJq2P3T0SIFf+7rXef2lPKA73Xb5 + DH9pl156qbuLSNCgogZzh6oWZ/sboodKRM28bTszz2N6wfOf/zXPfe77b7jBgUcc2P+iF73oO7/z + O5/25CtijCmlpol5TNO+N7Oc0nxjA8DSdDrp2j++7rqv+dqv/Yc7vwTA3EoyqFhhpjURERGdkrvn + nEMIdUUHgEW49fLy8traGoD6l9dsNqvrPYiILijb15stFrMBMLOUUtu2IhJCqIcy1jsTERERERER + ERERERERERER7S6ueXqRzucbJcWATsIkWUoyz/0w9Ec+ffuNH/jU9Tff+tcbttquNHKJ2JgaExkc + TYhLWrwczQOA0MIF6pt51eKb6dQuWynXC3Ks4OZYwcwpUq7vf/zQtm2ym5UEQxQEh2YgoZEm5Db4 + VEonmAaZRkwc45juQt7wGGZHhov2LY0+3HPX6r4VLbPiooZgUIe6qAuYab03MNaaiIiIiIiIiIiI + iIh2HzPLOccYl5aWAAzD0HWdiLRtW+NJ2radz+d93y+6hxMRERERERERERHRLqKqG7M1aJxMejN7 + whO/7M1vfvOLX/ydh4+sxygAUkoxxpzz6dfEu3sNvV50Cw0h1J7IZta2bW0VWkqpCZTnafeIiIho + FwohhBBSSk3T1M8YXdfVM9eLDxU15XoYhtqdfKeHTERERES0u4lvNluxkz5cGxwq7nCzyWRy0b59 + 9x49amecknxKbraZvqxSrAAQDbDyzne+86abbnrmM59Zk1zbtq1BRDveueOcEj+xP07bttnKMAxL + S0vX/u4bvnToHgO8nPMn4bLLLpOg7u6CUsreftqJzjNVzVbcXVS9WNd3/+b7v/+2W275oR/6oRe/ + +MX79u0LIbSxOXrv4ZWVldpGqwxjKaXp2mnbZSvFfByHlaXln/jxH//Zn/u5jTQ2GorAzDTGkvJO + 7yIRERFdoGraq6ouFnjUj/oiUjOtsRV0PZlM6nmZHR0vEdGJRKQWMpvZ9mNUPaHMGmciIiIiIiIi + IiIiIiIiIiKiXc7vvPeuAwcOhGm3tj7zZsTUv7j69zd/5sb33vSnd81vWQ+H4rI70t0bMwArk9DH + fjabjUOWFhKgCgBtRM6QrVhr1DIogQuCIdSrBMCxDeo222t6TLT+/8BHL0BKSRA6mUaVkCQkbb3p + 0F+68phL9z/m8sc86fLLnnBg/6P6uFSKDHn905/76Htu+NOj5S63ew/dfXTpkmZpipRMa4j1sTEY + pJiYuj6Ip5UuECLi7jtepcW1oUREREREREREREREdMZUtRZyj+PYNE3XdbV1eM550Ua873sAbdvW + b3d6yERERERERERERER0H8QVgMuJxRKllOl0OuZcShqG1DTN07/iqa95zcH/6X/+t4cPH63JkWab + P3X6ZfE1UbK2P8ZWQ+RSSr01hFBDrxk8SURERKdXi/GaphmGocZXA6hnqLd/ojCzrut2vGyPiIiI + iGiPOH7mcHu4cr3o7kH10ZdedvTo0TPoyHJfFHrcPdSeMWYA6tSiA/NS3vCm333GM56Brb8RYghm + BvMThrc3yFZSuBz/J04quU60Hl5dvebgQQdEVYPeT2ztQ35+9u+/CDCImbmY1EldM6tNfIjooVif + bew/cGA+m9U5jfWjq9/xohe98F/9qxACAHFHKamU6aTPaSyl9G0HMXjxklO24haa2DWNxvBt3/Kv + f+mXf3l276FipQAiKJmZ1kRERHT/6kkWEVks82jbdhzH2jCxblM/nBARXVAWp49VNeecUppMJrWu + uZRS17nVC+7O4xgRERERERERERERERERERHR7mIIj3jE4w+vH07hbr8o3Xr08zd88L033XbjkXRP + auZlKZlhSDmI7F9qxLyUcmhjo+vQd6GUYgMahwg8oZHoUAAmgGSIQSBAKJstmGohVY27ts3Sqa2S + mRom7SpnkmkNQNyWgiIlJI3DZFIOPGb/E6980nOe/mVf9cjly1rvogcBsFYU5l4SwjMf/0+f9oQr + 3/L231676xOXXjy568iX+hUEBQoEBQAku6rraDpCSh3YWXm26TxbdNza8f4YjLUmIiIiIiIiItpl + 6gymbp9WclWHCdQdml2ToBWvPZnkoTceIiIiOlkppTYEXzSqaJpm0bdi++V6006Pl4iIiIiIiIiI + iIjOjLunlDSE+Xy+vLwv5zwMw7Of/eyX//rL/u1Lfmx9fbbYMsaYTx2HUG+tnY5LKZPJZDabuXvt + epxzVlVVrQvr6/XnY/eIiIhoFxIRVQ0huLvZcdWeMcaUUj1PDSDnHCPr5oiIiIiIzia/r9DoWsgA + 4JJLLgmf/1wqZRHD/CAc9ylfBGZwiKq7uXt2a1Xc/I2/++af/ImfeuQlF/d9X1IujjSMe3Wpau2V + c+J1EFU19+x2/Xvf85nPfRaAiJyH2Nqu6+AeICKCqApxd3vwv3MiOmZ5eXn16NHpdDqfzzWE6XQ6 + zudBauMruDmAuj4/iCok5SHGKFunVRQiDi+lOJan0+c8+9l/+u4/c7OgKHYWUu2JiIhob1NVADnn + pmlSSqWU+iljHEcA7u7uMUYu6iCiC1PXdSmlepiKMdZjWp0sqketEEIIYRzHtm13eKxERERERERE + REREREREREREu4JsVfkcH5Zc64a2fwWAY8Uv22qDtu7BFje7QmzzKyDHilG2ftzVBYCZADAXh5gD + JeQ1HN5YWv3cHZ/+0Pvf++nbb1yXo6Wfj3HwAEeBSxBxlzKWOoZ2Ig6MpYhBFUEgULfgLgZ1EXU3 + HBuJuOpxe2oGBN/cQYO6QCxu2yE99hTVvd62tk7rFa6Aqqta6NebkNpHXvTYK5/xnCuf+NxHLn95 + k/bp0LbzSfSobrCikkQtSBklB7Npt+/bvv57rnvP7//1XR+86OLpetkQhW4GbBdFESRBAdzF4OEB + /FKJToftOYiIiIiIiIiIdhMTODanacU3WxRt9h9x0QD4EcNErBOPMKBOIe7FGuFFp3sRMTMzYy9a + IqLzKYTN01Tb+wDWYu+TLxMRERHRXlU7pT6EltRERERERLTzXLYVhGyrr9AalFDQN30eRgBRYGLP + e97VL//1l/3wD/+IGdwhgpKzQgwiIqoopdQpYjMLQWride10DGA228zDVtV6vg9ASqlpGjNbzC3X + a+qt2GowSnQu1H7cNVu9/p1rZiGEUkrtdVtfw/V6nv4gIroQmNniQ8JCPVzXA3X9mLEDIyMiIiIi + 2nNcYJsdYbZnWlttHKMiRUzcS0n7D+xLpajC7L7v6lSf0X3b1+Oa1/jmz7gZgNoHZjQHkIBXHXzN + //5zP5tzbkMcZutL0+lY8mLMgEqNf3W47PolDYt51MW3AAyGoMnyK1758vqs1Rmt457ok3f9IT8Z + Fy2tjLNx0vUQlFLqQ2/OrR1/5yeHoBPR6eWcmiamNIaggFvJAggEW4HWdTN3L3AIRGIxQEI9XoqI + FwuiGnQ2DFdf9Zw/edc7AZideBghIiIiOpUQQj3hAqB+flh8kBCRnDPPvxDRBWt7iPWpKp2ZaU1E + RERERERERERERERERPTgbEVCbBZ3LBp07PS46JwRA3wzv1lMXBctiRRmAnWYQL3GN8NFcSy+2rbd + AxDUSjF3VQ1BFGrmXgySvZiIhNCoayluEBU1wIJAclErmk3GbMNavPddf/v2T972kdtuv8VCaVZC + 8XH0QaLAXeAI4nB3L1ulSYsl9K5wRwbgBgFEF+VLCjNXuAEoilKrpQCB6VbGC4DiKi6OqB5FRDW7 + l2G0yfJyzmNKQ2iDezFH10gpbgniaEKMaMoo6nHFLnnmJVc/80nPe/ITn9qFfpwhrPeNd8hBYeJZ + UFO+1U0z1DWGMcHxGHnCt371i//uj28+NNxeOpiiMShqTo1Fz9GyusAZ0bLLmGfRWggiZgYgxGax + gHOn8GVERERERERERLTLCOAuJo7N0CjRzZJg7VUhHn0O2QcADs+Q5vT3t1stTlfU1uG1pJBtVoiI + iIiIiIiIiIiIiIjOHXVr2/b5z/+6V73qN3/kR15ST9nVc3Q1NKXmmJidWONxsrpN7YCsquM41s6h + OecQwqLT6DAMXdflnGPk0nc6+xYvvJRSTbB29xqyDqDrumEY2ratOevMtCYiIiIiIiKih6GTMq1R + 04truxdYjVaVEAK2pgrP3mOfdI1AJAbFm37v91/ywz9y2f79IhJjHMcRAS5YdMlZjHO3c4g7dFsk + rXtxgWqc5/S+93/gpps/GUQSPI1jjDGXc9vBJGoIoovB1LIO1nEQXVC8WIzxcY97HIBFFPaOjoiI + iIiIiIiIiIiIiIiIiIiIiIiIiIh2NxYOEACIwQGY1RxrsWOFPC66qORZZFoDni2GCKCUMuZRFFEU + 6iG02giK5WyllBjbIJLcRk/uOYUhxSGFjdsP33rjJz/84c+87+jKnavhCJYRo2b3nF0EbYg5FwA1 + qdruM2ndt1KsBYDp8S9kdQBqAhe4mADm0K2IbnGYAYLacMbMxM3LCPHJtF87clQiuj6mkkURAuZz + bxRLsW1tktfdN8JjDjz2Wc947rOf/NWPLk9YxkUYNaXSuzRNoxaKFREsYra3nkxVsyAiSbrUXNw8 + 5omPfsqRe+7RNtdcebioq7iqKaDwCIStTHHalUQErosSkJNuvq8iu3OAvb2IiIiIiIiIiHaTOtdp + giJwETjE4QJxqJuvzX/t6n/+72/8KGIeoF1UkVNOQO0B7m5mtT9+CGEcR1VlO3siIiIiIiIiIiIi + IiKic6ppmhe84Ot/6Zf+40//9M/WaxxuJalqCI2755wB1JDgU91JjFFEUko12TqE4O7uvjjft7a2 + trS01HVdTRQ+D/tFD0Nt25pZzrlt25xz0zQ555QSgJq2DqBev76+PplMmGxNRERERERERHQCERGR + tm1Pv9nZ6p7hZtns0OFDf/AHf/DvfviHZ7PZpGsAZD82Fbk3Aq0rgQNwh2wlW7uLAymlyXRy8ODB + +Zh96+k1s3PdpqRt2zpFti1m22u4NRFdIMwsts1Tn/pUbCspWxxDiIiIiIiIiIiIiIiIiIiIiIiI + iIiIiIhOx1VgQAAA6GbcspgDJubix5KYtwh0s6RFtv53AVSyRkQRGS0LCsQ8ulsYR1GN4giQEMQB + 85J97l2RpbyWbv/45z9yw9++9/N3/+0YVn2/zcoYgizaE4UAd4xjPtM2MCbbR67H3QIA6nIs2RqA + KVxMAlyKmcEggAJm86ZF20HbnGYojqiIAU2ZDkels33PeMyVz33q1zz98q9aCZfYzHtpcioAYoyq + 0cxKKe5+XEXOtrEJGisWXFf6i6580nNuuv3j1nmyechBJIoJ0BjUvTPv4A1QTv6l0O6y40UfzPgh + IiIiIiIiItplxAGBC4oAAnXAoQAc+2LzxLCMNcM+Q6sQwPdsrHVtXh9CABBCSCndbycsIiIiIiIi + IiIiIiIiInqIxjQP2qSUvv3bXzSbzX7xF/8vACpa3Ny95gE/EIvE6+Xl5UWagqq6+3w+7/t+eXk5 + 5xxj3PE197Tn1XPNpRQzizGWUkIIZlYTenLOOeelpaWdHiYRERERERER0YVlMXG3iLU+DzN5GoIV + xBheffCaH/je71mZTKFhPmzEGMVtD88kHpceLYDqzTff/M7rr2+CzIsDiE3zwKdnH7S2bWsPoHP9 + QET0oNV36OWXXy5A2enBEBERERERERERERERERERERERERER0R4jIiJ7NP2CjjkuL9rFUDOhpVaU + eL0WYoCq168wta1wZXGBmKoGKwIgiIYQXCzn0Qxts5SzFR9dLYdsOlrIuZ3ffuS2j930wb/69Pvv + mt/my2PZtzFISYamhxuGIZshBDRNcPecz1aQs227oIBavUYgQc3NYDXzRQMggMANk2XMZ0hr6HuE + 0vu6LMnKslz0lU9/zlVP++ovv/hpfVrxWRvTVEXM17H1vsk5l2xRYtu2p9oFd4e5wcT1SY++YmrL + pcyTQVyx+e7ToiiKzdxxYfXAbnLyIbTmte/IYBYYa01EREREREREtCu5wAXwzdlNgRV3rA9L925g + AEREYQbxvZpqjaZpxnFs27b2so8xzmazvu95JoOIiIiIiIiIiIiIiIjo3GmaAGB5Zbq+tvE93/Pf + 33PPPa9+9cFSrGvaUkr2AqBpmpRSzllVze67fCKEEGMchmFtbS3GuEi2FpHJZJJSapomxgigbdv6 + 7XncS3oYqaeY62us5liHEOpN9dVbX4cAauD6Dg2TiIiIiIiIiOjC5e6LKZT7cfJi/zNsuGFmojrk + dMfdd/3RW//4+7/ne9M4bs3nKADxzQ456rDdX1ugkNqXRETqsydB4d523a+/4jccGIob4EDOGXLG + z+eZCiHUudzjYraJ6EKiqjnn5eXlJmrJpgoz7Hh7IyIiIiIiIiIiIiIiIiIiIiIiIiIiIiLaFQRQ + 34y1NgBbmdZeK3Zk0UqobmMGDW6QE2t5XAyxyanAJGiQUqxY8NCGOF+d9ysTb+I6jozd2prc+zdf + /MTHP/+xT91y03o+nOI8XmJFhiG5KKYTzAYIPATUEqJSCgA9Lnr7DLgc27kTdhxujgho3UbUS4Eb + NCAq3OGO5CiAJkTBvn65H1ZkrX38gSc/64qrnvMVV09sWUuHQxEWmtBIgKF0sSuWc845W4A2IcAl + 53zcqDYHYQbAiwZ4Tpbmj5heckl3YMDdg5jL6BrhcIGpFk2uIzOtd6lFr63t3+4gxloTERERERER + Ee0ym5OYvtlxSOHqpg7R2ER9RLuEWcIwoOsAyIOeT90N6ixbCGFtbW15eXkymez0iIiIiIiIiIiI + iIiIiIj2OHd3z6VI04bV1dWf+IkfX11dfeMb3zSmUSCLQOsQQinlVJnWAEoppRQRUVV377qupqHk + nM2sbdtSSr0TVWWmNZ0ji/SdpmnMTERKKTHGnPP2KKbV1dWVlRVmWhMRERERERER3acziLU+Kw/m + HjVElVddc/Bbvvmbl7qua/uUhvvMWBZf9JrZleqsKepEFgQq7l7cv/CFL7z17W9T1WImEBd3uIZg + +dx2olm0SmGmNdEFS0RKydI0+/fvv+PuQzs9HCIiIiIiIiIiIiIiIiIiIiIiIiIiIiLaVVxRY63F + APi2TGsX1DRrqYG8mzm85mpl80qIa73aBGbJRSSiSJFikBJjjK3YxI+WO0bMjtrdN3/2ox/41Pu+ + cPgzNs1rcdW74sFHQRRto3i22QaaLri7qoqImZVSzCCCs1bdUu9nK1bYRQ0KmFhyR3A0DhjM4AUC + dCFobrpxOskXPfHAU772n/6zr3jslXHWdxt9H6ZRG1MUMRMzKW55NksaBC4iEkIQkZL9hOY2xw1H + RAQSMOTcYenRBy7/0upnVJDFXU3MXMQkbsvnPmWLJ9oV3J2x1kREREREREREdGbqJGY0BKDUiGsA + QLacSlpdP4pGEWMDiB6b/dx7cs5N0wzD0HXd8vIyAHevHcZ3emhEREREREREREREREREe1Ye5ysr + K6tH12OMl172iPW12Utf+jPjOP7BH/xhzubFBKh1IDXS2v2+Y1RCCHUxvZnVM32LZOvFBmZW60nO + 187Rw46ZbX+lLV5sMcb5fN40Tc1kWllZSSkxXp2IiIiIiIiIaLvFXIqZ3f8k3lma5AuNlpxdwpDz + pz/7d+9697v/9QtfuDGfNSH6VvubvcTd1VG2nm13z+bF7drXv242pgKEJoxpcw7WzHDSM312n5JF + k5TFeLAte5uILgT17Wlml1122R13H+K7k4iIiIiIiIiIiIiIiIiIiIiIiIiIiIgeJDGILSp2apr1 + 1gWt+dUmVrS4mFpUl2AKAK5QZM+i6iEXK9J4DDKU+aF8pFw03HLvZz/6yQ9/4u8+ds/8DtlneWm4 + d5jFCSTACnJCcetCFyVKyDmPpbi7qSIEqKrqg0kC9lPUN9X9U4cJAAMUEEDdPTpiCa0HtVSyIOzr + ZUU2mhXd/4wnP/Oqf/S8Ry8/vs/TPk3FtPHGB4wYRdyji0JQgNL3Swq4SSklJwOgql3XlbKtL5Ns + RlOrq3kjAijEOs3lURdf7vcqGhSFqal6kUX2dv0HJlvvIvdZirXjxVnM+CEiIiIiIiIi2k1MNpsK + BZdFfyF1iCM2UYJK10CAnAUGaLEUwt7srB1jdPe2bd1dRGoPcWZaExEREREREREREREREZ1TKysr + R44cmU6W3X19fX1M4/LSvp//+Z+bz+dvfevbcy5t0w5pLKW4I4RwXPnENqUUVa31ITViYRiGEEJd + dp9zVtW6AYDFNkRnVwgBQH2l1ddYvSbn3Pd93SbnHGOMMdb06x0cLRERERERERHRhcPdBSIiNen4 + vE3flZxDjCXlCDH4qw9e89+98IUaT5lpfap2M7uFmLs7BFCBSjHLVlIp177+dRolZ0+pAAgxllJw + 7tuXmNmON0khoFO8dgAAIABJREFUotOrx2QHLr74YuA8HBiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI + aO9wOZaQ7IAdq9ipgdZaA63FFYA6oLnUBkGAASIG1xrSrKrS+OjjoLPYWerKoSN333Lks+9673+5 + fe0Lq7PD2rpdZINtlIKlZaQMZMSgbR+1SEqluIcgcLStikgpxQyA3Wcw8EOnDoO51GRri5Bg0uVp + LEFKaazru8v2tZc+/6oXPP1x/+jiix5pgzRDF0vro6pEqIiYagDM3UrOqh61tZxTdgBN04QQzMzM + cs6nKMhSLcHFRGMIbTHft3xJzsUVRSDqW9VSuogYZzecPWDHK7YY80NEREREREREdE6oqpmrhmI5 + qLrVab2zoAggEEMwBAc279i9FPTdEA3BECPcXQwhnJ1HvSBtn2ltmr2Z3k1EdCEz2zwvmFKKMYpI + SmlxQK4ZDwC2X0lEREREe8Zmz2RVETFzpssRERERET18DMPQ9715BqCufRPHNI8x/sIv/AdV/cM/ + vG5M47SfzOazJsRckojUOpDtS+frNXWeGdtW1des61pDUvODU0pt2573vaSHncXZ53qhnuOo6uX6 + St6RsRERERERERERXYDqFJ+ImFmMsZRy+qkTFTWzOsdibot7eRCBqyVnVS1mCnzk4ze+6/o//2++ + 7vm5lD42aRhDCCGEYRjatvVSsMundNxdVWMT5+MAUxeEpvvt173m6NqGbdus5Ly4LBAADlfREELK + SUWL20n3/WBsLRQxbJtS2/G2KUS0nZmpqgF93+/0WIiIiGh3qH/c3efXxYXFxvXDxg6OlojoPtVW + vzHGxWGqXlisRtt+fNvpwRIREREREREREREREREREe165yhRmC4cW8HJZrJZkFKLVUQaLw6DhBAg + ZgZHG7rRkkQxKWYlyaiqYqGUEkNMaSjNGJfKHeMtN3zsvR/52w9+af7FoZ/lNklr6hCYKFoHBgQo + XK2giJmYBrjkAojDbPMlV5ewPbhXYBAdR+v7WEop7m3b5JzH0dsIdSzSogWmyAAw+gSTflxphm7a + 9o+57AnPeua/fOrjvnJlbdKlxg8rXF3EAATLMha4OqQ2a4Uo2pDVBYLN0BgzAAXHx6xAjqv6EUcT + YoFvDLO41KQ8m06W2rZf91VtMSY0gIg0GsqYgkA87fb6qYebxau3XlBV+M7XZzHWmoiIiIiIiIho + V9JjgdaLiV0AMAG2yoENcMDPWqA2ERHRMYvegjW1uiZb1/jq2Ww2mUxijO5e40bYroKIiIiIiIiI + iIhojxM7cODAz/zMT99555033PBXs/ksaMglA9geaF0LKk6IuD5BDUSZTCbDMJRSOMlMRERERERE + RES0ByyChZqmEZH5MBeI2xm223BAoCFYKQAMGLNf+/rXveD5Xx9CnA3zJsQYY820zjnvgZgiiWE2 + n0cYVGLbFre1jfnB17ymVovUr9srRgRweNBQrJibZeu7PqUEdosiepgRkRjZU4iIiIgeEBEppYTa + MhNw9xBCKQXA0tLS+vp6vT6EUAsJd2ygRESnVkppmmY+n/d9PwxD13WqOo5j27bunnOufyKZ2eJw + R0RERERERERERERERERERESnYKihJwCk1q8AHtQVLjFEgVgxUW2ClpKH2RxNMCuuDgVg2ZJElSkO + z+/xpfL5L33mvX9x/afv+MSsOWyTYb6yPkg2gQLBoA51wCGuNWel5rAU2RzJWZSSTSbNMCQR5ISc + U9fItI852+Kx1FHEICauK3033JUunz72q57y3Kuu/McHVh4FeWQ5qpPcNlld1AVFUNQcMBgEdb+k + FvK4wlVcoH5G+zKOcwBt30JdoZPJUplnBLggKhoBkmiSTprgxZFFApzdmXafRe3b6ftxnR8sQSEi + IiIiIiIi2k3UER2QrbnI2opIAEidclVXuNYpywIFwLo6IiI6F1S1nvBo23Ycx9pqsCaL1BYVi0zr + Rb03EREREREREREREe01YtgqBZkPG/v37z948Jrv/d7/4aMfvbFYAdA0YUzl2OZbsdanv9c68ywi + O77anoiIiIiIiIiIiM6KIFpyFsh3fseL3/DGN4gI3FXV7Az7y/jmNKOqups53vFf33njx//6K5/+ + FfuWVuaz2TimGGNKSWPYA3OMKaXl5eX5OAAYhiHD3/aOt992+xdP1cxGRYNIsbLUT2bD8LjHPe5J + T3rSn1//57XHye5+LojoDPV9v9NDICIiot3E3etfW4smiSGE9fX1GGPOWVVrZCxLBYnoApRzbpoG + W38HdV1X863btgUgIjHG2WzWNA2PYEREREREREREREREREREREQPhG1GSh/rLARAakZKclEJIaQ8 + pPnYdjrdP53N5wJVcYFI0FFnq37o3vT/s3fncZJlZZ3wf89zzr03IjKremdTQFFpFpFNxIUBRUcH + B52PDsqi7LQ40up8fF9333F20fEVgaaBpgHpRlZR0B5fRsUFEMEW6IZm3xEEmqbprqrMuPeec57n + /eNERmWt3ZVdWZlV/ft+8pMVFXnjxokbETfinnvO87vxui9e808fe+fHrv+ITDPOsfX5zZh7O22i + RJcanG01bMUC1A2wjdkvqm4GbKT+npzHFQAxQUHTxsksFPg4H0vIqmqCxlAftggcENjageG+d/vm + Jz/yWRfo1zYF/Y0e4rS1BhgtJEANQVyDRQAmda6PqQNicHWxUnO65Rjzp+r1rljmiAMqaLswpl6B + fj4kHfeuzjpM9urkQOlFQiydz6XLcVJCQFZJNQ6c6Lbga4iIiIiIiIiI6DQTHMHg4r7Rt+hHdqXK + 0UsUERERnSyllDqjexxHADnnWvjPzFJKdYF6TYyxLkNEREREREREREREZ7BSSmwUwGWXvfh+97uv + CESQUlHVZcljM6spNarHG8c+jmPXdSIiIuM4Hn9hIiIiIiIiIiIi2j2OVSWm9vKJyJOe9KQ9q3ua + EBWQLdWU8WIAzE0EIaoDL77s8hjjWDI2coxqDZtlz+Tpy8wkhlSyizRdKyKXvvCFImJHnUjiMLdi + BcC8n5vbL/zCL+ScBaf9diCiEyUi0+m0vvm5EyAiIqLjc/cQwub/llIAlFJUNecsIvUaM9u8JBHR + LhFjzDnXYWnDMIjI2WefLYfas2fPdDoVkZzzTreXiIiIiIiIiIiIiIiIiIiIaFer+co1CaVmWour + ONRhqcQYJYYiRTtdOWfiXblp7QYPZpoGrK+Hmw80X/ns/KN/cd2bLn3j777mrS/94E3vHs/at9Z9 + 9Wa7KazK5JwmWxKHmopDHLJxXyYwgWm9YLYNA+FVte/Hto2llL4fUQyGadsda/lGYaOshvN1baXp + V84J5+7VvZoUQBEYsMyrFkcwDYZgKpvmTLngmJnWx2gjAPMxBIHHJnRRY+crK+O58tXZbH7WdH5O + Nz97ZTj3LL/DrKyWEaFpwVkDp6ca4lDV4U87KO7s3RMRERERERER0Ykzl0V/rm6uQuQKF3GFKyCA + BrC8OxERbRcRqfHVdb43gBpMUi+HEEIItRrgMgCbiIiIiIiIiIiIiM4EvuksZJ01IQZg78ps//79 + bTdRnV1++Uue8pSnfuADHxFZjJiv5UGXo+dvMU5mGIZ6gT3MREREREREREREpxk5JG+5dgVayQGi + IueeffbTn/rU5z3/eVFC9iLHTsI+KoWYWV2pOWCYtM0b3vgnv/KLv3Sn8y/YO5uqaj8Os9lsfX09 + NPF0L8rSTSfz9fUYmlxM2/DWt//9tR/8wCFb7GhbUCAhhD179vz7H/mR173udUdfdd00W0oWJ6Ld + z92n02l9i4sInO92IiIiOqYaVp1zbpoGQNM0KaUQQinF3et/65Lursqp60S0G4UQ6oWu60IItd5r + CCHGOI6ju5dS6l4uRtZfJSIiIiIiIiIiIiIiIiIiIjoBUpOWHYDGGJInK1Y0m4+lJA8prmga1uK0 + OWA3XPf5a67+2Ns+/KXrDshNOnPMYGPvWaJGQSrFsltRtJLVFW51XowB6jBgcVcHpwPZsg0npK5B + No2jV1cAUZtsg7gGEVXxYqvTlWEcNoe6uKBmwQgwmbSW0GJvh7Pa3Kcho5m3TWMeASjUABWYmNbW + LhKsHYC4AXrIzB0xLKfybMq63gjwVqBWdrIhp0k3y4OErmuhZ8c7fde9/s0Bv3GMg4kKJiHH1dzc + eeWbbJgkQIVD+04nIuKHzvJwd9/peR8cVkVEREREREREdPoSbPQzyuFXQ5c9vKd7HSYiItqVaoh1 + rVgBoOu6zREj4zjmnOvs7hBC3/eTyWQnm0tERERERERERERE22zfvn2z2axPuZu0qmdddtmLn/zk + p3zyk5+ucyncXURUtSZbL/Otj2pZIrneqg67v8UkbCIiIiIiIiIiItrNRKRYKaU8+YlPuvSSF4iI + nHi1DRERd9XgAivF3MbiMH/Ri170+7/7/6Jkdx/H1DSNxrAND+JUE5HiNpmtlKEfhuF5z39+kJDh + 7gYcPltEgEaDmTm8lHLRRRfNZrPV1VVneDXR7cnyfMpyAL+IcDdAREREt6gO1XD3OmCjxlenlJZD + OFS1XtjplhIRHW4cx7ZtzaweEJVS6vVmNgxDnfKMTYdLRERERERERERERERERERERHQc6jCBuKpD + UBQKQBwuVqAGL5otWGmyxVQw7yXNy/ya9737Hz/6D1+cf2aY7B8ma0MYtIEXQNFoBMRM3FwFEmpc + 9SHVh5b/8RoFLcerTbRlpZTpdDKfD23bopRxzN2eru9zM6ux0DVY2ryGWwPjMFpQlBapEy+TRr3R + DDM3QE1Qf6sf+gjqP8skGT+xzGkTg1qIjnXH2EJ1ZXb29z/oh3RaUhxGmMieYCGupwm6VvfC1FI+ + GZuHdsByUNOOx1ozGp2IiIiIiIiI6PQjXnsnURQukI3u3aIoAgjgqqYogIGVR4iIaDvknEUk59w0 + jYjUqd31T+M4LgtVAGCmNREREREREREREdGZRA6PSlmYzrp+WG/bWHuJ73CHO7z0pZff8Y4XtG2s + o+c3D50//jD6lJKqllJq+nXTNCf3IRAREREREREREdEpFkM0NwGm3eTuX3vXH/vRf+9WtrAe80WR + F3fHIqnIo+qrXvWqz3zmMyklMwshDsOoqmdAWNGYE+rQ3KZ533Xvf9c/XW3wWvvmsGoh9aEWK3UO + yd69e5/61Keq6pe+9KUmsouV6HbH3ZfD+8+AnSERERFtqxCCmdV5guM4Nk2znBjYNE29vl5TI653 + ur1ERIdbBlfXMWmTyaSUEmN09xBCHcxWl+HxEREREREREREREREREREREdEWyEahoJSGZhKaWTNK + n8OYtP/YFz76R2979X/901955Ude/BF/z/pZX7VpbzLEgljQqSowjnksY6MhetQUOnTqEYgmaoAJ + FjHSAhdD/dm432NVOtqalLJqDAgoUIQLzjt/7NPeldnmZUwAoAgMgMbJZGolpNFyzqIll/3r869A + CmDqUDd1iENcpTZ4Y1sZYEBWFDXf/Bg2PcDDLQKwrcj6WPa3jXRBo4uvlz12zmxt7+zAynT/bLK+ + Ohv2rvreJjf9ej8feuOgmNPQrS/GdWow1pqIiIiIiIiI6DRTux2XydbAwQsbS5ziFhER0e1RjLHO + 666lKFR1OaM7hFBKUdVaqKLOAN/p9hIRERERERERERHR9qodwuvr600TUh6aNtz5zne+8sorVldW + 2jYCcPcaU41bSlBQ1TrUfjqdAsg5hxC2/xEQERERERER0RnFb6kWQ60QIRx6TXTSHe1tlUsGECQM + 6/NSys//3MUBHo4seHErqqgEDWbmZrWbUYMks7U0vPI1r56PQ2waDaHr2jMja00hMUaD55xfcvnl + 83HMbqIbm80Pbu36b1ABIMATHvfYc/fsGfvhzne8Y8qpLlC3LivVEJ3x3N3doy7OrdzilyIiIiK6 + nTMzVY0xmlnbtgBKKU3TABjHMca4+RqO3yCiXSil1LatqopISqlGXOecsVHvtZQyjmPXdfVwaYeb + S0RERERERERERERERERERHQS6MYPAEBMsDks+dC/HrwGy+WPtraNP4mpIxgEUBcALijqKaS4F1/N + N3zVvrjefuXaz73zsjc9/w+uuvTqz7z1hubz/d6b0+qBfb5vLa+HNrRtsIRhsBg1tOIOq1OBTDyr + uAJQV0Dhm6e6KFzFVBzBTIFgUIcCxwu4PuRPG49lkZMNQNVjsBhLtxLOsZvDSjnvbqv3+oEH/cjT + /t1/mOmeYUj1MdYgat006zCVPKRUSprOJu2kG7OZ2Z69K5vTYQ6dn6gbrVlsN5zQkP6N52U6neac + DZZLD/HooZVOhriCvRPb244R666jBm9DCE3DcX1ngh0f1xR39u6JiIiIiIiIiOiEufpGl+KymJqL + Qwzw4FbLEZkeEXd9hnL3Wo6qTpze6eYQEd2+1Lzq5UTu+nuZSgKg1q1guQoiIiKiM4/IwcrIsvk/ + RERERER0pjvk278fPD2nojnZpGk9l0aljEMQvfvX3fXKK//g8Y9/QsnJHWZompBSEYcvZrMcNssF + 2NTPPJ/PF/fjLiJ1dkrtlK5nBnmKkE6ieppj89nn+pJbXrO8vOOW74gdfP3XBjRNk1Kqm65ePurC + hy22G965m9swjmMtzk671vL5Wr5P68fBCa2kvn+PfKef/OYeG4e4nBS38qnfJXtsIiK6XQmNzufz + ruvMLEjMOSu0fuiXUrrpJKVkMBEppYQQYDy/djLVMdWHVnkwE0TVUsrigM4cgIqUUlSiuwdRA7wU + qIQQ3D3nrKqqWr+81W+eZmbwGGM9FKp/cve65hgjYMvDxrqAbvo24keE9hKdwfTQ/j4/5LcI3L20 + bVS3e97j63/w+/71VX/1lwqUeqwX1LLHrsv9IKpuhoMlZWzz2rKVxfqLASjFBdCoz33xpU/7qadF + 69qofd/PukmxZLssxHnz/qo6Tm0acYQQD6ytnXOH86/9wHWv+5M3uMAdKhKCWikbNYPMsai2k8wD + sNLEi5785AauTdx301dDkFwWnzuy8TTths+hReGejQkydYfp2/aULT4llkHgx72fzUWFjlyyXrOx + zMGqTy7bGCC8hfYff5mj/PUUph+frHva+it5sSltY5MqDi8mdXgbXXbD++boDnvqFIiqy+6R4gYO + 7yIiIqJjW563Wl5YTgZcnkhdXsOTXES0CzVNg40z8nVcynJQweb5zjXumqeSiYiIiIiIiIiIiIiI + iIiITlQ9ES8i2FQ1gqfgd5QaAmoiNExgcFfAHC4Gj4eMkBeDa5FF0jNgCtsIca7r2MiBVoFk9wJ3 + zaYaVaKrZbcs2UNJTc7t8Pn1T73ng1e/52PvuGH+L2FvLncc9o9rotAMADVhOZcCABEBSMUAhACD + AdAAQwqmdYi7CLB4Zemy5QDgJjIu5+aVjZfbxuD5xWKlWNuqw0qBKhSxZDHLzUz67KpoVNGHBk2L + qQ/a2Z57fs19H3DPh37jXS48tz13kP1Ym4SVdUOpK1+GvzQGcZUAhNw2KfX7XcVDK+KpN4joRtkl + PzIj3BdN3PQHOzjs3w8fhrfxf1suUIaoEk0MYiKA+GAj2jBmCxIFggD3UICAYHZ7SaU5YywHONVp + re4eQrN5pNOOYKw1EREREREREdFpxgSQRbUb9YMVfCp11D5HA/SM7s9flvoVkXEc62zD45zDONFy + xkRERERERERERERERER025g6LrzwwiuvvOKxj31cSiVGTamoqLltIbQihFBKwUaV5EUMGNHJMAxD + 13XYyJpV1eU1OWcAMcYdnFZXX+1937dtW2PSdjaJOeccYxzHMaVU21P/e6zlU0pt2+ac3T2lNI7j + ZDKpKzmVzd6sDiEopZRS2rZdjkCgXai+2mtkYH3N1OzALcRaLz84cs4hBFU9BW+leqdmtr6+vrq6 + Cn5+ERERnbn6vu+6LoSQcx7H+d69e9fX5+4QEaiM47g+nzdtnE6nNSZZd1nM6pmqHtypakqppBxC + CDG2bZvG4u6ioiom5oCZFbe2bQ3uxYqb15RYERFpm3Z5ENQ0jaqKyDL9OueSUgLQtq2q7nj5AKKd + tYhZPuJ6hwsgIkPfN01oQnjST/7Em//qLzPQNe2QRi8FIeZhgMDdNq/wkLXJYnWHrhwp2/71tSte + 9er/+z/+/PzmfY2IeClj0tiewqDeky+ltGfPnptvvvmyy18iMURgTLlYgXsNqFboov6QQDYyd//t + D/zAPe52N9VQ0jjrJqUc3F6HVL7ZZTG34tueqryLU4lvldO9/bvPmfyRLQ5+4SQiIiIiIiIiIiIi + IiIiIiIiIiIiIiI6s9VR47r836bI5GVIM8Q2RpfbxkB6qVEngMJFBDW2vBQHJIToUlys93nyUSaQ + id8wv/5zX/nsP7zvrZ+7+dNfmX/Ru97O6w/kA6WgXUFJh0x5sGMOZjcTAAbVzcsKAIduzCdaLFPD + tx2+afT/oXNPrGnEzIoBDolSLLuE2IUy5ijII1TaFTu73Oxnr5x3v3s+8CH3etje9oKz4h1mvhJv + Dt4VmHtT/LDZS35wo7oYJEGKoQGioAAmfquCpLeUNm0A4AGHBmZvrEmXUd/LdTPT+syw42E6jLUm + IiIiIiIiIqLT0rJasbu3bZtSappmWcieiIiIiIiIiIiIiIiIiHaDGOO9733vV7ziD57ylKcOQxaB + uTWxGXM60VW5+3Q6XVtbq/mgNSpsB2Nx6QxQQ6xLKV3X1aCy5RyPpmlSSl3XDcPg7jUEd6faWTOt + J5NJjdbOObdtW0pR1Vu+8TaIMeacJ5MJgBrhVrOBj5VsXf9U894ALDOtd2pGjYiEEGqbl3sSJg3v + WjV2uqanz+fzGGPTNFt48bh7CCGllHOeTqebV76t6meWqq6urtaXWd3VMEmdiIjozNM1rUDma+sh + hNnqyoH1tT17ztq/b1/9nikiq2ftDSEcOHBA4V3XWeZ415NpcwzqRv0LVaBppyWXVPqmabrZLKXU + D0MIoW0nKaVkBRAIJCgAN4w5GTxqaNpWREop9UBsbX3etu3K6gRAzjkXE3gNt+77PmrYu+dsN+v7 + vliOMdqmp1eOaCHRme04IbECAZBzFhEz+/7v//4HPeABV19zbZ9GVTU3mEEVh2TDn0BQvLu/7GUv + e+bTnxbcQ9Nkg8pp0Hl4/KBiVe37/sav3vQnf/ymlLI5IGjaNg0ZgMANuRboAeCOoBDDs571rDZG + uGQrdgKbcIf4YVnbu6KazvH324c+a3ro9adB+6ujvfZ2qvEn+jF5sJ1b/4A99LHKYu+lRyxxWn6E + i4gDyw7kHa9tREREREREREREREREREREREREREREREQnjx1abUQNtpFurCaAA2KAqVgdG684WOfH + EACFR0BExJHhJUoQkeANSoS5d3mu8xLH3M2vn3/+gx+79t0ff+enrv8opmUt7SttmXRtCEFLgwz0 + opJNbu0EFhcMwQAIEAziCA6FycYaVFAEReBQU4WrwsQhDgXEzMXqJsjFg6KFQOAuDk9aADQZ07jH + PeqB7u6rF37Xwx5xv294wFnx/NZWQ+msFytFbMR08HbN4uiGW918opNjWXWkzgHZ8akfp8E0PCIi + IiIiIiIi2gI5PSun3HoxxmEYmqapPW5N0/R9z0xrIiIiIiIiIiIiIiIiol1lTH0IzYMe9KAXveiF + F130Uyl5CJJOPNO6aZpSyjAMXdeltLg5M63pNqqpHiGEmndbzzjXzOP6MqvpZe4eY6yXd6Sd7l4z + rWuDayB0jU/bkfYAMLPlCfplsvWxFh7HcXNkeI2Fw6YJNqeYmaWUTkGe8WlqN8ct10jIrQ0OqS/U + 27KGralRiMMwqGoNd9+pQHoiIiLabu5ev2fWLwASwv61Ax5Vmuju/Tiql/rdPqUx56xn+kDf3aBO + 5VdVILh7KcXdQxO7ruv7EUCIQUTGMiKX0DSxbQFYSkPJ6uaCUoqqNk3TzaYA+jTmnEMI07YzL8O8 + DyHEGKOGoe9TSjFGDWEcxxh4xEF0OBV1N0C6rjOzPKaV6eQZz3jGOy++WAGBiIZSav0cRTnhSjCq + CsdnPvvPb3rjn/3kY3+8DIO4SAy2OzKGtyyEkNxe+0ev/8pNX11+dKRxBBQixRd1iARYdL04vvtf + fedDH/JtB/bt69pJPRRd3EyOlll81CuJ6IxQu6FEdr62ERERERERERERERERERERERERERERERGd + TJKlxlUDcHVRQBezcRwuBjFxM3F1AGYCLIKvVbGcS+Jw1yCusXhxd2kETc4h9fHAEPb/842ffs9H + /vG6z7z7xvTFPJ2Xs4YRY9iLYFjvR0mYxmkT4ziOEhU4gVhrUzgQHEURDO4wwaIQg8AAE7jAAEcE + BIC4Hbz5xhQbBaJGOErKqtJpbDyHsVlJZ8e1lXt+/X0eep+HfcNZ9zpLz29zW76qWqIgBJGo0BCj + qLuNGSwCQTtux6d+sIwXERERERERERGdlkopXdfVy+vr67PZbDKZ7GyTiIiIiIiIiIiIiIiIiOgw + XdfM54OqPuIRj3je8577rGf9XCkegtSImls/mr5mDNfg4Rhjzrle2TTNdjWdbgfcvQaS1dg5bLzG + 6pU1fdndzSyEsINJtDWOtzbAN9R03h1sUgihbdsaWa2q9V15LCGEujHbtg0hHH/h7aaqy/EGpZSa + 4ryz25OOo4YIjuNYYwhjjNh4q57QeupNRKS+/ESkbduUUg1Z3z71/RtjXL7Gcs71URAREdEZJqj2 + KTVNl0p2UQnh6c94xk033ZTNQwhBEGO8613v+jvPfnbTtHkYwTIH20Y2dTeUlGLXSmhyzsUthJhy + nu87sLKyOo6jmzdNbGez+m1zve/3HTjw5S9/+Qtf+MKNN9643s9Vdc+ePXv37l2Zzs4777y73OlO + q6urZrY+9Ko6mc5SSqLqAgnaoBERgTRRj6wgsLlVzkxzuh04st/P3QUotXNAxEXm8/kPP/qHvu7Z + v/35L3+5flJeAAAgAElEQVRpfRgd0Bis5KaZpDJu4U7NLQCXvPDSH/nhH+pCLFYEUg/8d48j9wBy + 7E5SF6zP5x71sstfIiLuHmMsbm6GjU1qgEBU1cXcXBw//7M/t76+Pmm7UorGEEJQRdm4l3oY7AB2 + wYapW2PzFjjO1jiJ97h9dl/76xN+a792bHf7Nzv0sRy842O14ViP/Ta1+Yh1Hu1e/Lbeyynn7qLq + tsN9yERERERERERERERERERERERERERERES0LcRkMX/N4NFFN2ZoAPCaaQ1Yvc4E8OBQuBoQDAoT + N8gcUHMgNtmkL2OJWSeWdX3Nv/q+z73n/Z98z6f++eNj6H1ShmZ9kHmMPgzQAapAAzf0PldA22B+ + AvUfxBFq8x3qcEHRjeYDkMUcA3HUBG5xjxgBFEUWWA2zdhUHcsolu0EMIYXWpzNfPUsveOA9Hnb/ + C7/ta+98t5BjM299DTDfO5n4KHV+E6BqMdgklEnw/SdQAonoZBCRWkJo4zK2u/jJLWIZFCIiIiIi + IiIiOi3V8lLDMHRdN5vNSiknWrCYiIiIiIiIiIiIiIiIiLbbfD6PMarGYumRj3zk7/zOb/3iL/5q + KSc8maNpmpRSHYtvZqrq7sy0pttIRGpetburas1dBjCZTPq+B1BKaZomhDCOY9u2O9VOVS2l1FBe + VVXVmsg7jltJeDoplpsIgJmZ2TJv/kib/1RjsDfffGdNp9Occ8023m0xVztlt20Hdx+G4bAX/BYa + edhNmqYZhkFk2+PN6l6lvoWxMdClfpBt6/0SERHRqVfGtLq6Cgnr/bydzf78zW9+05//eVBkgwEB + cGCla5/5zGfe/a53bWPjbqdXPuJpRx0AYtv246gxhCaaWXHvppN2ZZrGLE104Is3fPnaa69929+/ + /eqrr/7Upz71xRu+Um9ek2KXT1EjKI5pE7/pm77pW775ft/yLd/y0Ic85D73uU8TY4gxj0POuQlR + VHIpAHQ3pMUS7VallNoV00iQJj7rWf/h//rVX1PAgCbEoZSU0pG3usVdZj3UMrfrPvjBv3zLXz32 + MT928w03TLvJjlf0uI2me1dfceWVn//SF+t/c8miihCQHYADChGRYovJFA+8333/1cMeJqm4ewjB + RDZvT98VYdaHOzLcelvv6PR1urd/9zvzvprVDiiR+uYX+Jn3EImIiIiIiIiIiIiIiIiIiIiIiIiI + iIhujxQGwBBc6nwDNQFgWGRbL2fTCKCAwoN4nXPnsvFXFyviRXMO7pNs7fzz+z577Qfede0n3nN9 + +pceB1KTDElMJLiKjb1PWkAWExxcYQYDohTYCUwMESBuhIos4q3l4OXFMg7USYJuClOHHVGhQVyb + MG1cg8RG2qnvucPKXR58r29/6H0ePhvOCWU63pAsuepkEkORsR8HRRC4iaBIcENBg7aTZvCjzGYi + OmV8I+J6BzHWmoiIiIiIiIiITkuqmlKqpX5zzrWS+HHKZBMRERERERERERERERHRqTdpo7uLW0op + NO2jH/3ocRx/7dd+s/61xnBsjKmv00eOHjOTUlqeDazhvk3T1MzsbX4EdLsgIjXVuAbc9n3fdd0w + DPVVl3Nu29bddzDud3O09jiOMcYdzLTGpk1UA6pr8PyxFq7n9FNKdeGu63Y207oGJNdQ8/l8DiCE + sLPP766y4zOdjlRf/3VYSM2i3sLgkJxzvWHXdTnnusJSyi3f8mRo2zalFEJgpjUREdGZzNUKhjSP + bSshXPHKKwuQDRKkFAcgwNowXvHKK3/5F39pOp3ajn6lP/McFomqvuhzUA2AhBBFdH0cJKiXMPTj + fD78xV/8xRve8IZ/vPrqfWsHAPimshf1wuYeChUp7gdSft+HP3zNBz+kr3vdtGnPP//8f/dDj37U + ox71bd/6rW038VxSLu7edV3Z9JV1o1VH/6Z9ysJciXbGod1/AlF4SbkehotIv77+hMc9/vef99zP + fuFLqkgpBQ3FCgTwg4dOftgKj756xBjTOIrg8pe99Pse+b1Rg4Zotrv2t5vf9bf43nfBet8/7wWX + OmBA27RDSV6DulXgDgdUltHdXZSf/qlnothsNlu7ef9kNituZma2K+OsgWWz/NCL22oZDr15+9fL + J54bLTisxdu/Q99S+23TXw/vlNi8np1OzjbgFl+rh7f/ZLT5kHUetsKtvjZ2BfZBERERERERERER + EREREREREREREREREd0ebGRaO8QUG1PbXDcyrWu4NQRFYS4KaJFY1EaZY2Xc59d/5Avvf/dH3/GR + z113oBzATIZJGstcIV3TKiyPqXHsaSfDMEhAgY8GKGKEBzU7MnL6eNQ0lhaAi5nkEhazHur0GPXF + rKJgKl4fl6Ww+JMaADMxFyhUUov55By9yzd/7QMfcuHD7nbBPRqZxAPttKyktby6Mm1mzXytX7cU + pjpKUlFVF4cWeDGT7JYtJ4nbP6GF6BhquZsdL/bCGl5ERERERERERHRaMrOmaQCoqqrW7jZmWhMR + ERERERERERERERHtKqWUGOPQj13XDblMpt0P//APz+fz//bfnn1C61mGmIYQakxLTRpeRrYQbU0N + 9ljmLpdSRERVh2FYRjXHGEspIYQdbGdKqWZal1J2/JWvqmY2DIOq9n1ft8/xb1J3BX3f1227XMkp + ae/hxnFUVXevOcc11JwRL7tZnXxVSqmv/y1nurt727b1FYhTNaerDmjp+34ymZyCuyMiIqIdFGMc + c+66rgjee801/99b/loE2YHiqsiGoBDDFa+88td//dfX19cnkVO8t1f9tle/9udSSsnddCIhvOvq + f3z1a1/z+te9YX8/37ywAPXARjaCYgFAIIA7RnMRACi2yLVcT+NnvvAvl1x22Qsuu+wed7/bTz7h + J578xCdecMEF+/fvj2h9cWsAcGFqNREA+OKthjr4P4YwrK93k07dH/fjj33O8y9JZg4zIDSxlHKU + YjDHjZVV1XEcBVDVt779He+99pqHfft3zIchqpzW78G3/M3ffuQTH6+x1kMaJeiRx7MiEMd00u3d + s/KYxzwm932/Pp9Op+MwliCz2WxHWn6L6u6xPhgT6KZdp/jGX0/275P/KDbaD0D9YGz5bmv/5tsa + oBu/gW1v8618RLf+fXpk7PRtaIO62GEtsSOjs09DIgJ47ZgiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + ojOMQXEwCrrOO3GFAVl80wwNV4cAKg6IAZY0u3gJOYc0xmHNv3rth69+70ff/vl9n+jDgaHtkyQL + PjiaKaLrMPRa0DYaTOdrfdM0JRURnzQRKqmUUuzEh64rPCyaKFDfCLReTC5Q+CLQ2sUEcFlMBBBH + sCgW1YNYjKW74+rXPOT+3/Xgr//Oc5s7deNKSB1KVBMkzCazoeR5Htq2K67DMJe2MfcCE5cggLpH + MzELcAFzrelUOnLGx47HWp8Bs2mIiIiIiIiIiHYjd1ethY8F7qegEoi712rL235Pu8PmitKRpf2I + iHZOjXCoH0D1d91FL0+B7Pi5ECIiIiI66UTEHSKLMLnbT3cEERERERFtgYiUUmKjxVIUlHHoJs2T + nvSkiy9+Zj3jp4ogKoDAuuaYJ/6Wvc2llM1d0CJS/+vuy4hcZl3TiQoh1Nx0AO5eQ5qX1+DQM9Q7 + YnlavJ6a2dkX+WHvtZzzLbbHzOr23CXvUzNb7jqqHWwM3aLNr5YtZ1ofefNT8yKsr67NmdY7vj85 + rS2/BqSUllea2eb99vINTkREdIrVL5bFHKpXvPIPHdAmoh7uQqBSDCLYvzZ/+ctfMZnNzKxpmnrU + XA9pY4w8nt2ypmlyzqWUEIKZIwQTKQCakN1MVEPzrne/53E/8ZP/+t8++opXvXpfP3dg+WNAWV6u + GasCCKDqIvVy/Wu9kIEiMIELMvCZz//Lb/7Wb93/Wx/yP//X7w7F1sdU4BJDMYNIbJr50LuIcsAz + 3W4c6xt5HVugqrWjIMZY+/cuevozZpO2CYvFSs4iAhhwK/eKCqi5NV3rwFhMVZ9/yaWpFI2hDmlY + 7mNDCPUIYrcR84DFWFyDG1xjMOD3n/vcpm0cCEFExM00BADYOPAJIdSLYz/87M88C+Zd0wbRukts + NBx2KL2Dx0u1ilD9KebQkEsxgcQgQbObxGAQg/j2/RaREObDICIhBHcXR5DFoXqtcHRka49GzFxU + VTW7GdwFWfwUtD+V4iK1D1NVmxAtl2O1H7VrQqWUohqLi4tkK7aoXSXLn21s83F/1/d5cYdKNjdb + DEevh/ayQVVL8TGX2DbF3RY7iNt473UjaC3jtfxxQSpFQpAQUikS1AUSNNtu3HUcVf2GWXe2WO4t + 2FtCREREx7U8BbP5vPlhJ1zq2RmehSGiXehY43yWU57rhTrkhoiIiIiIiIiIiIiIiIiIiG4LEdyu + 4jB2KVcXcRG4bgyuL5AMycFdAZg1GlS1H4cCkRhEJDQhaTmA9X71wPzcr3y4//s3XXfZ/3ztz7/h + vS/56Pzam5ob52FIUqAeBJ1AR2AsjSAEFLOEjFaTFESIRhQgeVN0WmJbNNgJVFEwMW8thzLK6GIA + 1BELWo+xxBadFjEzbcUiBkEJaAVIQEGUSTPume2/4FvP/t5nftcv/+oP/e4P3ONxF/g92n4PSgtD + cFW4taXXtRxGj1ZKhkkrrRYN1kSLQHRBUSS1sc0lMtKaTrU686uOyTSz5eWtWc5Nvi0r4fRXIiIi + IiIiIiIiIiLainryuM73dvemaWpxilJKPbW8TBOpxQd3uLlEREREREREREREtHuIXXzxxfP5/OUv + v8IMNZ+ma7thHLawsmUUTS1IWkftn9TmEhER0a6w/IivUQoiklJqmgaAmdVvAqpar+R5aiIiOsWy + W2yb/fO5jfLq17yma5v1MUEAWURKqmo2U/gb/viPn/G0p660k/3797VtO51O5/N527YpJZbz2LK1 + tbXV1VWF9H0fmjiM42w2m/d9SWnPuWdfe837f/v3fveNf/qmmmoy5MNjKZcz9SVoHfPmdRq/GUSg + CreDywkAGCBAcjRR5zkHYPTy33/nty9/+csuetrT/+PPXuwlhzbO5/006N6zzhqGIed8AsU5iE5P + tzKGGoA6UFN4gTucf/5jf+zHX/qKKxSIbRzGvIUKGqJaR7GKajL7P3/1lx/6yEfve+E93T2ozufz + GGONesVuDbeOXTuurxt8ZWVl/9qB4nbtB657z7XXzHNWharmUrAxfBeqcI8h5JQUaFT3zFae+BM/ + CfG6s1KH7dZPFQNC2/Tj0E26nHMaBxcBYCWHOjhZsF2/c+66bnV1dRxHKEQEjpTSiXYpq+qYkqhm + t2ylbSciMp/Pu6bZ1va72WQyKaUghBhjHpNBakL8UdsZY5wP/bRrk5VspjGoqirKpuXrZ9M2bvPj + /jaIwh0ITWNAEIlBh743s+l0mnMupZhZiHG2ujLmvN73sW3MzGSxG7kN9y4FALxAADfIMhU8TNq+ + H1R1MpkMw6CAqsYYYSxURURERGes+pXY3evXy3raRUTGcWzb1sxEpJ6RYf8VEe1CIYS+7yeTST3G + X/b8uHvbtuM41p1Y/SvPIxMREREREREREREREREREdGZoKZX17kGYuJQmDjc3XIKIUDcYdq5Tnz/ + 2o2xEQ3ZVmwoN77/k+9+54ff9ukbP5TbtTQdsySDZ6vzC0wE4gibhs/74o7UFeIorioQhzoEBiA4 + stz6SUUAbD3PNUgTVTVaNs/mBjF393Gcd10TVNf359hhZYZxHTboOc25lnSm59z/nt/2sPt+911X + vkEONJP1mVpYbopFgwWmxcUci4kC6gKoGOAKDYAD0SU7tIgWAeSQh0y0reok1sOu3MJ8ujo4CnWi + 0zi2bXtbBnlyTBURERERERER0bbYQr8PERHRaaSUUj/sRKRO5K7/VVUzizGaWS0jvijjRURERERE + REREREQkho3EGm3jr/zKr6ytrb3udW8ww2TS9v0Qo6Z8QtNUAMDdp9Pp2tpaCMHMWH6UiIjoTGVm + 9VO+bVsAwzB0XVejFGqmdSlFVZumqVXLd7q9RER0+6IxOrDnrL3PfcEla+NQ6oTwNGJjRHEIIZsJ + 5Opr3vtP73n3Qx/44JWVlZRSKSXGOAxDTQbiCOSt2bOyemD/AQmqqkEkNs0NN31179lnrR2Y/8Yv + /fKVV165f75uQAiL4M9i5SgbWuBmi1FxqgefC/dF6Ged0e+LvgsHJKhDHVaAA/MewBe//OX//tvP + fu1rX/vyl73sXve8UJo2lTyOYxtiMRPVjZsSnenk0MuHvupl83+ttF33jKc9/Q//8A/7XKyGym+8 + AW/9u8ULAIG4mc3abhyHF1x66fOe83uNuwat2WxmVo8gduPONmjf9yEEgY85aYxN0zz/0hcMOQMQ + hJRqDq/AFa4wg0jOWYA2BCvliU94/Llnn1PSePz7EUABq9t4+9Po6nPtm+7IIAD+5u/+9u/e9jZV + HdKoGkMI5m5m2M6nRtwU/h3f8R2P/O7vAeAicFfR48RCy1E/LYBidtVVV33gwx+SoKO5HfKRsV1q + +5/whCd8/d2/DoCrikgMMaWki8+XwxnEXfp+/Ou/+dt3Xf2PQ06ioQ72BrARaV1XDhz6TG3PQzjs + XhYfqQp5zI/+6H3udS8z8xI0NCqekwH1QcLd5/P5e6655s/f/OYxZ6iclPaLAzAXBcyhWFbRMnd3 + cXRNo6o/+G8e9eAHPNBtuzcPERER0Y6pIdb1FEydD1jVOFh3r98hRSSlVLuwiIh2leXZ4aZpcs6l + lGXB1nEcu64bhiGlVHuHOKiMiIiIiIiIiIiIiIiIiIiIzgh1RP1ypPvGlDf3lZWVfhz2zW+e7J3l + mLKO5ex+bNZvWPvchz7w3vd+5F1f2PfPZVryCg6kQRAdYpJFzZEVEIdszDgxWWRaG9REHaiZucEg + Yg6rAdguJzZFxQWxRYHPc3FHowhNVBFxuOWuUUFR1bNn0zyWfJNPfWWazr1zd/dvvteDHnjhQ7/2 + 7LulfaXcVFabRn0U0WARJi6xCLLCBAoB1ATBoQ51h5uKwRUOiDgCRMU6sQ4egHLSnhmiE+fuW5jv + No5jzbFejpgKIajq1sKtOayKiIiIiIiIiIiIiIhOWAgBQIyxFqSopSvqeYv6u9ZHc/dSyrKGOBER + ERERERERERFRVXuP//N//s8333zzW97y130/xqj5xDOtm6YppdRUy5RSvZLlR4mIiM5IqloDrdfW + 1lZWVrquA1DTFADUs9V1yclkUkqp57WJiIhODXMfSzbLr3jFK4KIwUs5pJBBKlkhUHUrl1/+su94 + 4UMALBODSin182uHmn/aSyl1XecCjXHfvv2re/ecff5573jnP1z8sz//sU9+ogAxaClWSgZq1Ykj + CADEpimluNmiBECdvX/s6Fc3yzBVDSGY5Vo5wB2f+dw/P/yR3/OUJz7pN//Tf9qzMmubJg1jE8K2 + xp0SnXbEFz9pGO5773s/6lGPesOfXbV4s4ls4f2iIUDEcpmPw6ybvP6P3/D//MZv3Pm880opNXet + RrXVfe9uG9cqIv04zGazoLLe903XfvyTn3jTVX8e25hyLrZI7RZIyXnzreCeSpmGePHP/My4Pg/N + iRwH+Ykkh588LnjX1Vc/9wUvqPevQNloy7bGBitQDxq/75HfG2NUIFkSsxOt1eJAKeVP//dVf/Z/ + 3lybXZ+Spokp5Vu48W2gQAQe/vCHX/hN9yylwF1jEMg4jjW760illNi2Oee3vv1tL7r8pfV7hgvs + iOd9Uc5q+1p/7HtRIAD3v//973//bxn7IVvpmhbw+XzeNM3iQxY+pPTBD3/ohS9+8TxnP9pKttD+ + zbfavEkE6IJYcQcC8I3f+I0PfvCDLeegDLYmIiKiM1M9PqoDLTZ/PRaRekZmuUzTNHV4xg61lIjo + 6JZnh2tqdQihZlrHGN19GIZ6OecsIrWDaKebTERERERERERERERERERERHQb6aZB9AYArgBUsH9t + PUx0clZ3wG6eyzoa/9zNn/6H6/7645+/7vqbPteuquzF/nFt7NHOJsXyYTMMFiP2ZeOywAGIAYAs + xt67mmNjHkiNtT7B+SlmgEIVbjDAPYuhJLQKKDyJZw0+lV7PnZ33jXe+90Pv9YivP/+e503vkA9I + uR7TMAttnX00bF5r/a0OiAPQwx+bAgqImphA3YJrsBhMnbHWtENEpM5m3UKsddu2wzDknOvQqWW1 + ja1huS4iIiIiIiIiopON1caIiOh2oOZVmxmAGGOdyL3MtK5nL1JKTdOwUDgRERERERERERHR7Zpv + KgO6mKNiACax6/s+xOb3fu/3Lr744re85a3uW4nMqFHWIYRSSi0/io0O6pPReiIiItpFSikppclk + MpvN3N3da4AlNmVaD8OgqjxVTUREp5gLihtU3vrWt3/sU582oGnaIY2hiWVR1aHUOeXFyrTt/uyq + q67/L//lnD17mqZpmqaUMpvN6hHuiSZrUuXuZqYhDsM43bO6NvTP/q//6/dfcImqpEX+qAGoW7sO + ezs8OtUBII/psPUe7d42R55Y0zQpJTM7uELHPGcFrvjDV77jHe+45PnPf+hDvk01u/vRnl07Yp1E + ty8KMfeS0jMv+qk3XvW/61siash2C/HAh72hNAQrBSK1Lk8/9AK8+MUv/h+/+Zvj+nqMMaUkIiKy + O4OLDD6dTrMVd4ltk91e9JLLDEhjrkV+VDSqjiUDKqoQuFnTxDRmBR7344/9mjvfxXPJfkgZHTvO + p8p2h0hXcvS9XDedWN31CkwkmwMIQba1CpDDE2CCpmvTMAYRVVUXO16y9eHtrx8M2kQJWhtbf5ug + Tzls5zZ1eAEQNJUcNQSRnDPcptPpsSrXFIeKNE2bUl621hcVoxZN3Yh21u1PtT7yDrxeaUARH3OR + ECJQShHHZDKpjyvnDJV2MglNu57zppXIsdd8Au05eFvZ2IyOvngABCiAgD0MREREdIar/Sr1cKlO + ElTV+mVsZWUlpRRjLKXUQypmWhPRLrR5UnPdZdXra4718jKApmm2UP6ViIiIiIiIiIiIiIiIiIiI + aLcRX0wLEF9OfFA4NE7apl0r+xDSXPd94LPXvOsDf//hL7wv79nvk4ILdD2Pnj107UqwbL0CApgD + UEFT4BAFDGKHDbcPMBwaw1InrdTfihOIaBFXKQixUYWFkj2LIgomjYYEDNLm1WZcOb+964Me9J33 + v9d3nBPPXyl7u9SVPmspGoJ7SQMCgurUgazZxIEEIDoEgNdMboUrIA51gQnURaAmApg4giFaVrdt + n1BBdFy1esaJ3mocR3ePMQKo02bHcYwxbm323G6ccUdERERERERERERERLtcLVQRY6wZIapaSmnb + 1sxqsjWAGhni7nW+NxERERERERERERHR0nw+DyGISGz0Oc95ziMe8Z1lS4Ely75oALW88vJKIiIi + OsOEECaTSc2iA6CqXdfV89Ft29bq5F3XNU0zDAPLkRMR0SnmgunKygteeCkAgeQ0CmC5wL1GIwcN + 9cNpGIdk5RWveMVZ55+fc3b3ZVAQP7+2TFXbSefuY05f+vL1P/64xz7nBZfErhnNERCaCIEEzXaM + TOsj1hZCWE7dV4gAR/4ACCGklOrXkrpwiDGEEKIakMw+/ImPP+7xj/+TN70xNNFV+AQTHUlV6zf8 + B3/rgx72nd9u2WQjZ+g45IjLi12oe9t1GoJoAOTlL3/59ddf3zRNvYsQQh3UuguTrd0dKga4QGL4 + 8g03vP6P/kgUtS6QA+aWSw4QwSJ0DsA4ZgArk+lP//RPD+vzsqmP9XiB1qfccvdXH6ABwzAYoEGz + I5k74EAxz9jGn0WhJtWcc7ZSN9fyFXjrmWBIYzarQdAOuMIcDmx3+wUws5RSbb+ZlVKO0x8eQiil + ZCsSgmDjI01hDhM3uMEzJEPy4sL2PgWH/RSg/qiKCcaczMyAbAVALaMjQc0sm5kZtL6RsXHDk7Vh + 3cRNvNbcMocrDBCRNjYCIGhxM1lGgRMRERGdaUSk9oSUUpb9VPV7cv3CKSIxxjrGY6cbS0R0FG3b + 1t6kukMD0DRN7f+pe7C6DIBxHOsCRERERERERERERERERERERKc5E4dicxyzAqEf8towyiTenPa9 + 5qpXvuYvrvjkze/HeevjZD7EYS7zUVNSK57HnFM6dI1wAC7mAoc6IjzWrNvFlDqH+sG5dQBMFhNY + TnTeXNM0MM9j8uTRNAwqa8FuDnFtdXW44zff4aFP/oFnXfyYX/qeC3/wTn73C+wucX8b5iGWptWu + 1RgktqHtumnN8zZobUBtnrqqq3jQjWkALlbUXKyoFzWX7FJnhJgC6ky1plNKNuaHbb5yC/OLVbXv + +3q5zpxt23bL8+Z23XQ7IiIiIiIiIiIiIiLa/UoptaYqgDqpu560qGcsauE/ADnn5axvIiIiIiIi + IiIiIrodkmMERbVd1ICUBlWdzWaXXHLJAx5w3y2Mik8pxRhrH/WyLOktxt4QERHR6cjdzaymVi+D + E2qWpJmZWT1PbWbLXEkiIqJT6X3Xvf/v3v62KBo0qGjQxpfhh64iAYCoGhBjvPLKK/d95SsxxjrC + KqW0OUeZTpSZ9X1vZu9///sf/vCHv/Ud73TBkBMULig1NdzgUEBDc8zxbDGIAG5mpeZX1p4NDxCF + bvyg/ghgxYNEMwxDChrapi0pl1Jytm4ykSAOrK+vP/UZT3/Oc55TCwQcVlpAHAy7pts58QIvUTWE + cNFFF026GOOt3Rnq4u0IAG4mqgg6jmMpRUQc3g/Dq171KgAhhOXQ1kW8/e6TUlLVEMIwDK9//etv + 2r9WrO53lhtEVWLQxQNpu64eGP3/7N15vGVXWSf83/M8a+19zr1VtyrBhIQAQRNGwyCKMjl8QKS1 + 9SPYYogigwgNIogjH7XVF9/Wj/0q/QoymCBKGMWhG2xAJpHWtu1W225nIODQr7ZAEpKqunXP2Xut + 9aveBHgAACAASURBVDzvH2vvfc+9NZCqpKpuqp7v59Spc+89wz57WPuctdd+fo//8sdeeZ8rmhjj + 8cbrKm23PDQ2X/X6lIsG3UWMQMIE5KJ1KkgAGjK8z9ylzor6dXI2m9WO5em75CmZzWZZS6kVjAAQ + gRCaeKanX4Haox5CmAKtpwI0xyUiMFaQAkWRDQYGE4hAAhLQdPsMTvxwGV5RQDJOAxGhqMXQzmYz + EgGISZhluexSygAxSwixmBVTBXIBYXjscZ7ztKdnmhVMtZHIZouctBYGMvbPac4555w7v5VSANTg + 6vrFpJ4SGEIgohoTW2+kXXVMnXNuD1DVEELf9/WbspkdOnSolGJmOeetrS0z29zcrIeb92zXkHPO + Oeecc84555xzzjnnnHPOOefcKaB6osCURMswMQQOs2ZtPUM1lq7ZKmubt+JTW3ykFMpLQZEQQohQ + VmPEGSujEBuxkYIyOBNlokwGMiZjUiYNrMw6xEfXE+LYwApRiJ76KXKkGV1GD9aGaaaztbRxMF12 + BT3oq67+Vy/6xpc/50k/8NCLv/ye9AX3lHuvd206tDlbJ4t90Q5FUdgypZRKSYRM6BkqRqwR1pq1 + Ba1aawiKYGAlU07KSXmhvFBZFumUk3E2UiXV45ZGcu4sOo2Tm6r5fK6qqwW4+r4/vafyGAnnnHPO + Oeecc865u5wNPT/GgLHV8jpUi9UM96h9q3auqgA555xzd1aNBjEzMyOiWjq83s451xxrM5sSr72S + l3POOefc3dAdLNaj24PZ3N0F7V64evyFOAxVJMOxS9kAQi39f5wH3vHBeVzrUhuMhlesk1dXrOOv + XsYYXn3lenpTtl3Re/WNTL85Ztp0ZUpOS31p4+0nNgbp6vOtvqbVhxjvievTooS6fE4+0+gsVEHf + sdx5GNI6zPxpceu4momRAXlPzPmd85/GiQRYASMoZZDxME63Fpa/e/Qn79zEeNzEdPr5BCseH7vf + UQLbKVwPVpu4z7WSr04tj+sPsGt4NNvZWZ/PN7uaXAW4zmSy7eW93XqPbenZnchzY2pFp1/U/3Zt + ILv3HXfoabefaWcTrbue8A4+54WFFMZKWpcBGbMNbTKA3W3UsOrWo4B83EbM3RE1tSuEQGTFSjuL + b3rTm6677rq/+quPn9qJKkQ1xFpE6tj6nHPTNF6B1DnnnDv/TFEKteD46p9CCDXcuuaTTYetnXPO + ubNDAYrhF69/fWyaZd+bqYFgSgCIANTwDNRvr4Yu50/desu73vPupz/96bnvU0pt29b0IHcajGBm + EptffuOv/PCP/fiiZAPUho5JFAWGeUsGA0rOKw8Gpo54AMUCcGBj415XXHbPe9wTpLfddtvttx3+ + //7pn4BhQLhuPw5MXEyZmZlzHpN0zURkuVwSETOOpj4AL/+Zn/nYTTe9+lWvIgMbgWzsi/bOJXde + 2V6h7ZiO6WPUDthSSmybo8tlnM/+5dd+3f2uvPKjH/8kE3RXL+HOfvP69MfZeEqNGCaFKdBped3r + b3j2s591YP9+hZWU29gQgUC6x3rK6/cdVaXAKedXv/a1BoggF8AKEQlxUVMrY8NF/bJjAgMvfcn3 + zOdzKPq+QxCuudHDUXiwbR/qsr0xyIMMqkoEGEJgNVM1AMRsZ7Jf1wzEMODocrF/tgYzBjHzauWX + 8bjA8Qsq2Tgr+5yJqO4+ClCKgZBzxukWkbmD0w9Cn1LOmUGqGiVQGAZy75r+qu/7ZtZClQwCYiao + 5XpnAlC2Vwer+8ozvF2YYTryojtGfajlruvIwCxMJCI1fqzeAJBzNjMmGJBtZaHtmOenOv228/+h + XRERLYUJZgjEAPqSW2Gof1pzzjnn3HlrOlWwfjGpnzDrdQihfhiLMfZ93zTNOZ5W55w7BjOnlGoD + VY8Uz+dzACmlGGM9lBxjrM2an+zsnHPOOeecc84555xzzjnnnHPOufMAGwNQqNGOEnWqmrquzDXM + ZTMfyk0vLbKg4ShF1DqUDAIIZkhZmUWNAF09UYcIYlOhPAbqOQgMKGy1/CArxqpZdGxZQkWti3W8 + Cm5kaBmxzELXtsv1yzeufNSDHveIBz56f/w83ZJma95qq50pY43Xc9MvlptxFhuOqVcoRREVKiXV + 4oNDtUxjIx7PrDCADYrtQmdqpGNZPzJSJSjvPoHJuXPlNJKtVbWW8KrxEMxcSjntcZ5ensM555xz + zjnnnHPurqVD36iFYixWQFCCmgmo1rVRQuFSb4l3VZ6umpw6Zan6CYTOOXdO1ILgGE/krj9OxcF3 + /dU555xzzt2tnLxMcK3KO3zSM/OarXcrpDSOWKqD7pRgUIBXMqqVoICBVA3RmFTH2sfD+MIxdvqY + uGuqTzjFPZ5oXRoeqGBRYYPCjADKSlaH/anBhmLlPKVfT8+5O9QW05uqmZQM4zqMkaEgJQ1GrCAF + dEzqnlKQawIBG5Ox0amUyd6en1ofjjHtW1fmwBhODABZ6gQo9sL1yrLA7gxUxjGlureX7zEZ4Wyr + manby+gE2ed3FVPKANjmpJHreov6nyjVgvZQgqhwmSuZyVGlcu7n/Pb8D2watIiBlQtxJkmixh0o + wZQVpC1smvl76ys21YjuccyuAkYrWwEYBEYGDJTJoBbqxgJg5zrG2G6UtkuuK9gAJbXaKtEwhFqn + v67cBnRaB8bp09XnH552an9oex2m2giMT8bbbRfDoDSl215YySI0DhbHdiH3YUEf1zBnrQa0DwPd + 6zLi+neDGIlClOt47mGuko6Lalg9plVreMrhuffW/D/F+bO92k+taN0BTY8eVsJxb7KyHg7bxY4c + gtUR/3Vvvh0NzgCN+2jluluGTjujmmNReI/ldZxhSivb9fY1xiU2tMxGVj8VhQI2LiSFYFyUhpaB + NIDUYKCspDBmm2NPrqJ7yo6VbSW+XVjMzIqWokEEQBP4zW+58Vuedt0nPvH3AGazZrHsRaQUq1WS + t59ntZUYP9+upn+ZWT2SWG+r6lSCeeq7dm6XegB69bbZ9rrna45zzu0dqnrsKXm1ua49lszs7bZz + zrk7j4ysfq0k1C/+tXeliXFra6tpGlVliSml0ERVve3wkXe8851H+56J1ZSFhy+qO/daKSUABHTA + 9W+58Rv+1Te1IQjK4ujW2vqs7xPxdn/pdHBkiia9MK2+dyZZLBZra2t938/atus6IkKQTPazr3jF + K37+/+1LaZt20XdDCmaBhKbmWJPASgYgLMUUZjWnumUmNYU94gu/8Lprr33sox9zzTXXMFNa9hS4 + nsl/9OjRj3/ipne/97ff/d73fPLv/6EAwqRqxVRiKDlPMZdCIVspxQCYWTEQ0AMMvPU3f/PI1uL6 + X3ztPDZQCFHJad7Olsslh5W+DtruP1k9UrN6+0JeH9zdyZRsfUx/9JQNbIQCY9U2NlDrUv8D3/P9 + L3zxS5LmqWQNB1FVmBHDdMfT7+qYnRKRzYbewgL84y23/NpvvfOZ3/oMNqytrXWbW7ERwGzMwjUa + +vxpnKQzTQEmkO3o5yQKpgZiAr/tbW+/5bO3c5CUC6HGeFu2Ms7PehyBABPDl33xl3zpI7+YDNkK + CdP4CsqoBxmH6OW6OAhWbw8/nfGDBTZWL1qZsQYCg2BoCCkraj87Q4sK0ETukyrAjKJYfdexDTln + U5UQSspDjvoUjPy5rmnMPZ+181I0CquWuqqMxwGPfzR2OkqoBICNYKZEQ0y4AFTPG1ILEnLJ23OV + hqzuei0h1F0SDDVO28xOafphYBYi1qI1U5AMZjZN/2ost9HYqzkMijA2AyDDYgAIOm1U9YFEYEYp + AJhYVRlk03iMlfe1azHf0XdRk6LJoAgsQlaKBoEWBEOMwVJmJjLr+56IRKTve1XVbGuzNjDX54mR + +mREdTNWAE0z6/t+9yseI4RQim2nZRNAIAmWM6AgIqPagsxEUikMqKlZiVG6rmtFjruGOOecc86d + T3YdgiGiabTGFBnrnHN7UIyx3phOcJ5+Wcu2ws90ds4555xzzjnnnHPOOeecc845505Lrb/BzFrP + 9bAdR+fdOcKwYXw7oQ7xUqAAyqAm8FbqJIZiVswsQA2qGUpUY7AJ9WwDVZjZUFlwqvpFIAPZUEgQ + dXi+sZKOpx6MFQtNRUM9F0NYipZ6Zg8bADUmCVSySgNV5AJmMAMGKhS45UU8aJc84NIvfOzVX/WF + 9/6idRxcHO5jmGE4u8c4cjHri4I4yNyyFigzG7SYAkpEtnqGwzj940Sj1j8bKnoZKwJbLblZU2N0 + +1HOnUVqVjfC2sCqqoRmOCt1l891ptuuPAi5E2e++OAq55xzzjnnnHPOubucgtTAQO1+3e7/McIY + VDD0AR0b/OPuICKqdWqAoaCPqnf7Ouecc84555xzzn0uQ9gzAURWq1bLVKSbhpjX1U4tVtDYrzVl + UU/XarTjMtUNpymX9DjXTIYptnOVgmE0vla9w3Sh7W61cdpWpmr6vQ0poTu75jA88zgFQ1Fy3RUp + eho1yq2WNa6RwzV1mFSHMFed/lQYNpXqrv/thetp7tiuTOuTIWAlDnjHYw08JqqyEp+N8Wnb5cV5 + jMlUUE0nNVAGZVAySspluPNemPPD/GelOqMwvAsTpWDbM1Wn9VyJ91qmNQBQBoYNX6elMG7aDGVT + MiYj0kAWVrM4htaGFKSMDKqNj06rE4319FeDW8bbvJouMI4Y3vlAozHCfsd8o92rup4oFGSc84Cx + 7mhw3AmMS19JQTo2uhADGQ9J1zAjK1yMasbLauI46mOnBXrH26W7iZX1hzK2d5rjDs6krmlTZNHq + g22MoRiuSZWmXwB1QzCmukM3YxReja42VnDdGSmjsJ5vc/fk6n5h+AygbMxjU8tD+Mcwi4edOshI + lfIUzQ7odmNhPHw2msr4eojQ6aqlkGvqZE2bDoHXZ/M3venGz//8+zBjuexjjDVTZEem9R3TNE19 + 1JRpnXP2hEt3rLqOEVE94lwr2JrZbDarN+pq03XdOZ1M55xzzjnn3DlwTHciACyXy6ZpIgsRMTMH + 2VouQ9O87VffvrVcGKAwW/mucdxnNqAQ/vh//unHbvp4Vu1S3zRN6VM9gXzoCxq7k487GReytbW1 + UoqIdH0PonY263P6kR/78Z/9+X+/LIVYFn1q4ow5ANw0Q6Z1jNGK1i99pZQhTjVKIKjqox75xe98 + xzt+5/0feO63P+sR1zy0AVmXBOCsyCkSNvatXfOgB778x3/0j//wD978xl9+9Jd8UVED0LaxPn+I + cXhyLeNSH7r4DEPuaQHe+8H3P+/5L9haLiWGlFKMzXK5nM1m3v/szjPHbft2reXT4cbanKpqznnf + 2vq/+JonX3HZ5QwSEAEhBC2lRtcaT7Vw7igDjPG619+gMIVtbm6KiBWtrfTuKdw+IHUO1EkSka2t + rbe+9e0KpFwMq0H3O+6vpgS0Er7/JS+t07xcLkMIZFrzjLE6k3c9evfPZ1UtbGRFZ0HqiTehTpFC + AAFKUgFaARsICBIAsEg7m6W+N1UYSsoiQw/+sErckevBCZtcW4nfrjWYptu772lGRAQEINakZkUk + WMkMCCDEgQUGK9u9jnWXISzMzBgyme/a6T9WCEFziSIEqEGACDQiZLDxuHqQQFOyVyntbAaDqgaW + OuXTsIzhFabpsVOcfrU4a6DWRIEWKxoBK2gIfdeVnJnZSrGiIkJEXddZ0dlsNovNkSNH+q5ba4IZ + NJkAbRMJaGMTY0zj6UW7XnEaaNKEGFhKzvXYRN3iuC6jlJlFWKAGG4eUqAmGVPiu64So9hg755xz + zjnnnHPOOeecc84555xzzjnnnHPOOeecc+ecrpYCBABlKBsCC4PYOC/STNaCNSERFaRcIIkDAahn + 6lDNtzatJ1CM9ZlWTgGgDGQgg1Q5Y6g2OZwrNwRYD2dB6tG+S8ihodkshDZA6ql2CgFABArMjcWY + ZrFbW8/3uGe535Me/JQXPfWHvuNrX/Lwez2u2TyAQ81+HGxyI8ZkIBQgA6pca22tFrjT1dJbu2bM + cKFd9+HhFAGTqYRdPVuC7NydTeQubGZ7a8UL53oCnHPOOeecc8455847ZBgifMafDDqWBKol8oOO + lVIIivMvmOEsqfVxcs7MXOvd14JW53q6nHPOOeecc8455/Y0Q6Aabm1MqIP9yjhwsABqVNOvRa2F + cQEKTeHB1UqwNepQvOmvLCUCzAYlMKAENt5xDTCg432MSiEdsmMxxE3SzkrMK4P9alSqKtjACjZA + obYjx7qmeBYQhqLKViekRtQqANCYTWmwIeD6dOgw2QBAYBoSRofuQRteY5gGOiZ8d++4g8MpCRpr + fvG2YQ6MictchuUIoK5JJxn6eecRLNTMciNVUlAGipFqTcylHlSMslJgSrC6cu6VUXOFWEFKzCKq + IHAhzsSFAhDZoBbJmK1Vokw2rMa2Z7pASQ214r8oAowBqtu9WKljngGwBVggIyMolWmk75i2qxir + gQ9XVsuND4Oba+LsMYX1d9Zqn+4ztTAnyACvYcBDqLiBh+lXKG+PMzZo3YQBgBUMIkNtl/bMzD+L + djQPUwDwcTBZHWJeF3Gui5WMRYdgciMtXJJk5QJQyHNa2R53LeQhBXvXHmfvucPzp1JQTUeu74hh + BIQ60r3eQWEgrUEGZDweb+KarGw0pCIpYNg+IsLGZALUtTqDyvQSCjFwIa5JDFq3QbJQlO2kE3t+ + qZnhdYGN842n/8iYt/dfAHIfOiPA6g5OQTbuvqXeUIVx/WDDIA8dOk1mVo/xqaqZ1fBpEb744ovf + 8pY3P+UpT7355ttKTgQYNISQ80prsPI560RyzjFGM6uZ1qoaQs2Limfybbm7n7qGlFLMbAqxBpBz + DiHU61JK27bndDKdc84555xz5wwZjLZ7q4hIRPqcVVUAACKy6JY33nhjzUes3yw+5/nkZkjJ3vCG + N/zcz/w/TdMQSEvhPXYW+h6xehTDTA0ws+VyuX///mx6dLl42Q//8Jvf8Y6+KICiJTZNyr0qRKTv + ewAiUgcYExGDQghFExNKKgf27fuJH/03z/jWb5s1AWqz+TznnFISkdDEnHMpiYhA2L9/f5fTsuu+ + 4eu//olPfOIv3fjGn/rpnz6y1YlQ2863trbqFMamqS+6iwEEpFze/f73vejF3/2qn3/lWtPGpkkp + LbqlyNRJeMKjNrXGh5fIcOefelJACGHRLZfL5f7969/53Oe8/Kf+bTGg9tUYQCAG9HRaSTN87OOf + fNe73nXd074lq4FZmEvfn7tY59ovvfuXRNS0bSrl/R/8wF/8zV/XYwHEpHrsXRUGAc0kXnmfez/u + cY8jIjbsW1vbgydT7HqnNZpcDc97znc8/rGPXV9fNyJV7XO67bbbDh069JnPfPozn/nMf//vf/Q3 + H/vokUXHQMlZREpJCBwk1I5fIur7/o7scO/s9E+3DMCQ/KyGtdj++I/86Pe95HuOLheHDx1Z9t3N + N9/82dtv++jffPyTn/zkTTfdtMiJiZhgBmYqKQNg5hBC3U0YEYscN2H9Lpt4w6yJy62tWWye/53P + +6anPJWD3HLLLYeOHPnUZz79F3/1l//rz//yH//xHw9tblrOtRxVURORbrEEMJ/PF4sFgLZt+67b + PaNPZ2tE28ZusVxr2ic98QmPfPgjHnDVF2zs26eq+/atP+xhD5vFpl92w2lBDDbs29hYbm113bKY + thK+8eu+/iEPfFCcz26++ZZl3334I7/3O7/74b//h/+tQGRJepyZOU1mMS1aAITAzJxzBqAlAYgS + ckl1/EwbwsH9G499zGOuueYhl116zysuv/yyy+559dVX98slMwudw5bDOeecc84555xzzjnnnHPO + Oeecc84555xzzjnnnJsYAJCOJ50Nkc/9YtlszPbHfZ9dHJnnddlso+7jtUWa5WTFFCIwgxYwoxGU + AphOp7YNJeEAYy1jusqUumKoVba206xrtTEjxDWkhCM5UU6BIQFmKAUhhJTUCmZouGsanV91rwc/ + 8qovfdTnP3aWNzgH+myENRIbipI0Fcrj0w5VnYZyTzj2BBvnzivnPOV6rxTodM4555xzzjnnnDvv + lSEQA2QYAnvO8RTdvdWK82ZWq8/XevSqSl4mxjnnnHPOOeecc+5khohWNgaBDCCtw/XIABpHChoB + LMZKVMhsV4/LzsrN428U4O0nHPId65PvvF4ZIwiojmMHMaRycn061jExesqXJVMaYmVrwG/NmWSI + gtnydrJ1jQCuTwkBYKQEUJ0GMtKaQgEYGZESmOrQST31zGnG7uxV3TFzMA7BBAAWUwwZGOf++kTG + +2hNVJ2u6xucujaN6lDUwZhvPf4JTKZ6hmO8eVxhABQy7IxgJ9Tk8hqmq2SFtU7Ynpj/BBgbSEsd + 0EtQg5HW1RUmrAKrkbQwKgBo72Rar5gi7jEubtoux89kgBFbUFKVMjYPqkPwOWOM4sCOWzrUhd9e + oKvvfUe2+nSfmlp7TAzyEGY//TjO/zFa2ASo22ldZQATpWk9H3+JM74+390NM5WYTeu8FANZIeg4 + Tt3qGq5UD5RobRJhvLojWGlw6tOeJ5TysFUMOwIGCBbYWGt8MinIlHQYxG9sBKVpVqjVyI5xRR1X + 0iHMfTwIpaACU5BiSMWupx0AYCUYcZ3/NbP5AkHGbDrGq4ORMTREU6Q97chGIigXJcAKhk8vBAOM + WetDwAzV6TPSBTMr72r1uF4dT1/jhAEQmQQ6ePDgr/7q26+99um33HK7CIEk5/w5guOPEWNMKdV0 + Cmauz++Z1m6XGqleQ+lUtaYomVlNxwFARKUUEanX53p6nXPOOeecc+dejLGUUkqpUZo559n62rvf + 976bPvHJIYURICIiUtWTn0Y+mzW//uu//sM/+LJLL76YAGIupRAPndjuuOq3s7Zt46xdLBahiT/5 + 0z9149veloG1tdnW1rJGhKpq2867rgMQQqjRlcNygaWcao/wfa+44s1vvPGRD3+EpkxmUDu6PNo0 + Tf0aqLD6hZGI1LTvew4SQ1ge3Zqvzb/j25/1lV/5lU/75mv/z6c/vdxaEFAjsfu+n9aEXWzonsK7 + 3vPeUsqvve3tt9xy60UbB1RVSz7bs9K5PcPMuq6T0IQQQtN0JV933XU//8pXfvbwYQZySsBYEKf2 + eZ9ij6wZ1mbta17zmqc99ZvApKoqTOE4/TxjqZ07+45OgwEcZLFYkMgNN9wAIAgng6oO7/iYqTJY + X/oXvOAF+/etkdpyuVxbn3ddJ3t1D7LaD8+Eg/s3Hvvox8QYF92ynhuigKoGJmMicJf63/nwR175 + 6l/44z/9Uy1lY9/+I5tHiJmIUl0rzm65lumQYZ1+zfnzr7xfjDGVXIqGELJqjFEk9n2/7LtPfPKT + 73rXu/7jb73rb//hH2BGgIRQdxNExMz148SZnmzNpfZ4Xnnv+9znXlfU4Oe1fetHFwtVbWbzf/7n + f/7N//AffuM3fuNjH/vYsuQ2Nn3q61pXd6PMXG/cJXLXPfwLH/LmX37jpZfc46IDB/vlEmqBWK0o + 0B3dats2sGRNKJpL6Tc3zUyiRIkAZrPZwY0NI5KHxc2trSc98WtCjK+9/oYf+4kfU9V6xPG460Sd + 4cM05GGfS0DDolqs5LXYouhznvOsp3zjNz7sYQ+bNZHMyMDMOSeoEiEwexUs55xzzjnnnHPOOeec + c84555xzzjnnnHPOOeecc3uA7jr/pQ52J8P62trWYqtE3Vjf/5D7PvSzhz5zy5Zo6G7d+nTcYImx + 73tTjYENenSJpgEIPJ4Nx+NzKW2fYjPcGEs7aq0OBxi01nZTQr8ABzQNzGAGVTBR5IBe1m0tpLgR + Lr7mAY945AMfda+Lr1wrB5oj6+vYEJFMUNNcSkKnyMIEUrIhz4XMgFMuOuTc3Us9W/mcx1r7Zuac + c84555xzzjl3F6s1g2gMt5hSAZSReSh1VHtC3Z0RY8w5E1HXdTHGWkDczMoJnOvpdc4555xzzjnn + nNsTalimUSnSFemKLAp3hbMSDA10Bl3jvMalZQ1sKpYYGZRBun0ZMCBkQiaksV5gVEgT53opXFYu + WliVdlyM1AhGQ9C1mIpp0BK0BEvBElMCJaNUJGXOyrmQaU39rCWJqQ58JEVQDKm0tf+NoWxjdewh + JdRAxkpkxEaiJMaszMawIVtXT6XMNxsH5VAoFArKooiKqAiKoIgFsVAoHLTeTcRqmK4ydC9c1wVq + x1z0BNdGqmSFsH1hGy6SlJNyKpLG251x2hk/fFcbQmF5CoI1gkFgAm1ZWy7rXPZR2Q/dB52btUO6 + +bme8/WakQkZyKAyrNWcQD0hsSmbirEo1zx4ICvtsUwLY4UoZIzUTYwESqDaH8tAGHLfyUDJqNQ8 + YwMMwdAYWrXZeGnV2kKhEBvByIxK4bxyKSe4jHfYblKGLPMaZ15Y61/H+5uS1Ynh2lbYmI9ubNtB + 9QBgpEABJUICypldn/cem/KVR2R8ogsA5aJcyCAaQm5CiaRkhCwpha6LXeKsBNGmTWsxrcsQugwA + bFwvKy8NI9i4OJT23Mw/tflDqtIpd0aqENJWyizkeSgSFEGTYCG0JFqAOlAawqpNWGXcSe1+Tl65 + EFT5qMqmcWekBjGLhqiINfq9NjiiCIVjbkOJq9nh5z9jWAMEo9oiFeWkVIyzkdr0wWG4a23HCCAY + sbFoYA1sTBbG+R9IBRAFTbvOc/we755Wx9Mzc/1RVWOMIfJ97nvFm9/8po2NtVJMNYsQoCtNMQOM + 8VPccdVoEyIKIdTIK1Wd8k6cq2o+WU2zrtc15TrGWPPnRKQejD5RJplzzjnnnHPuQqOqpZQgDXEw + AomklGsEaU1NxvjN4uTPw4zlst9c9u/57fcqTGE5K0vc/vsF1YFzDFspe1GLUJARGcUYzazv++Vy + STH8u1f83Guvv6EATNjaWoIIQCmFZBipTcxFa5eCBpaSc9OERpiARz38ER963/sf8sAH9cstNVdA + FAAAIABJREFUgi6PbhHQtm0bm/pNsH5nBDilUuPMURCksaL9Yrk2mz/oqvu//z3vffBVVzMsEJaL + RUoJpGp5Zz/GyvsyqMGAd7/v/S/5vu+d79+XoIu+W3mbx7mcaM44d96IMQIgolJKG+NFBw4+61nP + MlgQrr8HcGfqcnRd9xd/9dcf/shHjMgIy0UvvN3enuUO8x1bt/F0fIqIutT/yZ/+6X/7k/9RgKRq + ZqDjZ/QS0IhcevE9vvXap9fTJWKQ1PVRwtl8L3cQr5w+s/LejQ39YjkPzUX7NgKLGNbbWRubYCRm + rci//BdP/tD7fvuXXvu69abZ2jwSiOsDhZjGXuWzM/GsQ1/0NP1RQmDWnCPxettaKdonVjt66HYx + 3VibP/gB9//xH/2RP/7D//qqV/zsgfU1BkrONEa0A4rxhzOqlBIlWClachPD2qyNwkcPHRLDWtOy + lksuOvh9L/7u3/3QB1/5719x/yvvV1IfWRiIEmp3qMLANEztyjSfxuTX9fatN77x3pdftrG2vtw8 + Kmba98IUJbQxztuZFV0slgDFpiEiZooxQC33qeR+eXTTcmIt/dbWgfX1/fMZaXnec579gy/93nk7 + YzrhtlxXlRjjtM4QkbBASwAa4Nuvvfajf/WX//blP/noL37UetuIAWpNEGgRojY26/M1zX5eknPO + Oeecc84555xzzjnnnHPOOeecc84555xzzrk9YiznCOhK5bSu6yIHKZBl/KpHfPVLn/myb/7KZ16K + qy6XB7SHLrJbeZbbeWiKagHa/SEzMiMHFAEIZLWmEoCpmNtUgTKQNmTMqHcYi1ISxLAGzGrFygI1 + FABKTYnt4fnVzUOecs2zXvLkn/i2L3nRg9vHbNx+6f6ti9f1AHruF5pSVpjEEGIMIZJJKDGUGDWI + Sn1Xteykc+el6VQXj7V2zjnnnHPOOeecO69MnT01nAaoxVrUaCxO5kXt7yIppRCCqrZtC6AGV5dS + 6ATO9fQ655xzzjnnnHPO7QlTdDSZEkqtuKykhVCIMnMhVmLl2tM19mmZnriMPo+XIb92JVPWlLB9 + AQAMBauNp4L7dXpWpmoo9K9DXGi9jan0/3ZPG7Q+yXRtGII/YQFg0jFSFyhkNVpbgZqqWwgKVrAh + wAIN6aqn03031WtmTPmsECVRYmMZ8i+Jat42lE2xN66B4wzTrMHeNZ5z17UCRjRdAFKaFgDXNyim + PF6GUadnEhnXmW9k2I6YZTYiFSlRNEiJVFcJq0vn3M/5ek3QoBrUxkSK+ssiyME0KngoZl631sJ7 + MFbZmnHbr4OOCyiBMoa1BUZQVuVcuDfuldjASqxgBerQZUMNsa4Z0lqbi6ndGC672pOdbct4H93+ + sd6mlXTkldwPPma9V1LAxvVHlQykoExQQiZTQRbkYx/oJmSoG/7qWmrEhajQsHMxYjYhjVKiqBig + PBV/55OMaD0/AoNpTE9f2V1q3QvXzYeQue4QFbJ6wGkHroFGPIZbiwZRZqv31GnlH0Lix90ujMUQ + FEEpFoTCF9T6bAQlKsRKKIRCqLH3SqakykWpgIpRqSdGEJQ1SGlCaVhFarg4GBg+nAzNhdVFuTvg + 3J2SGmtNRMxcM0iI6NChQ/v3718sFg944NVvfetbABCjlFNea0MI06u0bdt1HTPXgBznJjWaJeeM + nWd3LBaLKce667qUEhF5rLVzzjnnnHMOY2R1HcKac25m7V9/9KO/9/t/oONYVhqTlQEwn7jXSwEg + ilx//fXMYkQKO/b+ZJ5hvIOqSgh9yUZ4x6//2s/83CsyzIACgBBCKKVwkBhj33XEDMDGRZbL8O2v + FH3QF1z1K7/0hntecmkgXpvNSW3f+jozp65fLpc5ZxERkZpizswpJQDMPGuatdksEmuftE/3vfyK + G66//rJ7fF79TjmbzQCEE/c/EIEDE5MCb3zTjW96y5uLGQmfjWhT5/aq2jFoZmQofdo8fISZn/+8 + 523M10pRBng8MwOE44c8fy71mOhrXvfa2LYSAwkX2yvlbKaD3cu+P3jw4Kte/Qv192ogOcFOxMBA + KeVFL/yutgn9YilMIlJn49ma8FO2emCCDDHGIKKlLBeL1PcoKgYURS79cklm83aWuq5fdt/w9V// + wfe9//MOXGymUQRACIGJVfUk+9kzPf1HjhyJEtrYlJRT18+aZmNtndXucfAiNlDWhqVfLpsQr33a + t7znt/7TFZddxkAbBIDZ0OMdmzMeQy7EQkxEZEgppa4X4rXZvGHRlCkrg7rNrUj8jKdf9wf/+SMv + /a4XQUsg0ZIZhPrB5q47H+eLHv7Qe192rzZGzblhbjjM29lya5H71C2WOWdmnjVtjLHkXD90iUht + JWZN24bYhjiPzTy2Rw8dzosu930T4gte8IK+W6qdsP+2fjCb1P21aWHg6ntf+Z9+853/7qd++vJL + LkVOptn6TGqBOPepX3aBpZSy3Fqw76ydc84555xzzjnnnHPOOeecc84555xzzjnnnHPO7Q06Vk2c + Tj9UghGaJjAwk7bFDIsw6zYe+6An/NBz/q9nf+0LH3/VEy+h+8y2Dsy6fbFvbIm8LFPFSRsrEFZW + /9kYXW08FK00rXWbapnBWnuQDMFIlKXWtsygDvvowL3W7/eCp7742U9+wZMe/HX3C/ffd/QeB9Il + G3ZJSHOxEGNsZjE0QVEW/aLLyZTImDSwBVIBGEb1fTl3Pjk2OqeW4TonEzM546c5Oeecc84555xz + zl14COAaFFA7dGksnzSWzBm6hGglvMedKhEBUEsvmVn9sV4755xzzjnnnHPOuRMhIKiy1ThYKUMu + LCspuAAJYLZhVCEZampmHOKra7z0dqnwlbRpHn/Pq/mbbAApbOgYoyESEjVButZ9Zk11xKLVguTE + ivHH8WnYas421xhlQGFspDrc2H7B7emzCAOMQaqcjbRIJmOlMVi0ZjArA8Q2PhYG6EmiVY+hAMpw + dzUDSMkYAA8Tw0DtIoRNmdy7M0rPIcLOUaQTo/qudtR9JquR4dtEdYg0xrisSaeK3jVB/MwhgK3G + lmOMNq7LUsWMrBAAU4LC1Gr8uNneqTXNACsBBKoB1kMwKilEIcakDKCw1TR0IwX2VBcosxFMQAUE + oNQ0a2CoH5+GWa2gUjd6Ki0NK4yBMqDKNi47jJuwADDIGEMOYNyujxsca7s22Jo1OzRQ49bGgPJ4 + fwIAAVSpGIYwYCArcY0+GXLHbbtpIIOBzvQqvedsB/fWlnNwnNYBAGoSswKqDAMPY8EtwBoY2IjH + 7c8ISqZcjFSJeCV4fsinN1Ya2vAz/CbvhFOZP6RBrKl/UdLCuWAcrW91lyRskQwyJFmzsuq47tfs + nekV6h3q1kFD3kXDwxpPtbnTabOywMM+vV4MKEqasVcSO86OQjbsCOreg2pbVPeBpe6h2WBQhbKx + lBYmZMHARlm5GOdCKKxsLLq9xGvsOu9ui9zpI6J9+9YOH759bW2mqvd/wFXveMdbnvnMZ2mecq1X + 9honVQOoalhFKWU+n6+mizlX1eSbEEJNWLfRfD7v+75pGjMLIdQksykr3TnnnHPOOXchYwpmlkpW + mCos5be+/VcTDOOg1ukGEamerIOrbWPfpY//7d/93n/5L1/x+MeDuZjW/vldRxJ8vDGAenir7/v9 + GxvLXP7nn/3ZS7/3+wtgBAPqCfspJTBpKX0pFBvLGVAQzEBERGhEUir3uuQe73j7277gfvddLpdC + nLs+p8REZta2DRFlLWpWUqoh2bP5HNDFYtEtliVlEWGQELftfLlcfskjHv661772m6/9FgOWyyUI + OaUdk047buesAOZN7Pr0Qz/yo1fe7wse+2VfaoQpNHRc+tsrQf2NrwbuvGW8XPRGaNt239r6bYdu + X5s1Bzf2P+1pT3vDm24EMETVKjiQltPp2w7CueiHf+/3/9sf/dGXPPKRoYmaC9G56dddbeFXt2sj + +l9//hfv/cAHmdkYJauqErOV3buS2tt9YH3ft3/rt1ku62trInz0yGZsm1LKWQx6vqOOezy6Xy7n + 8/n6fL5cLjVnCcLglJMVPbB/I+dcun5fO1eCGj3w6vv/4utec+23XpdzaiT0qRcW2O6s4jMy8bUv + +pi3cGD/RkqplCLMRKS5MKiktNl1ZhZjbJvIsLRYNCLXPOgh3/eSF7/8//7Jw4sOgAiZmQKpz2d6 + +olouVwCiDEyc87ZiqImppsCNG8bK9p1SzOLIf7Ej/yba6655oXf9V2RuVMFYHUDHA7y3dnpufTS + S+drs0O3fPbgxkZe9stuM8a4f2M9pcQ6jBIppt2iI6L1jf2bm4ezphiCocACGaCWuj6EsL42K2pN + CKmkgxdtGCwI98dsL9N8MLOUEgBhdMslgHnTPPTqB/7yDddfddVVIYRDt982m81yzm3bhBBuvfXW + 9fX1AwcPLrc2tZT5fL5cLhnxzs4C55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+5OG+pNGa2c + qKIgFC2qyoAaWOOsafOm2eby6vlDrnnCw29+1Kf/8M9+/398/A+p/9Rsvsja9ZoLD2c1GnJhrRXZ + oDOQkBVQJmSG1opb2y9nw1kGRoAhFWJmYqWCkHHFwft+xcO/5iuu+Rr7lOxL99jXbbQ6N+U+9xnU + zOKyP6ymMOIg0oggWraSNEgAGAYDK2CkSjBSuaCqZbkL0jmPtd57J6U555xzzjnnnHPO3Z1NxWrI + dmdpiG33tE7lh8jA6l00p4OZVbUWna+lxlX15OX/nHPOOeecc84559wqsilnWgEYZeNinJS0UE0k + DYpYs3dXHqegopyUk3GnUi+LHBYlLHI8mkKX4qJe93HRh66Piz52OXQpLnJYZOn6sMzS9aFLkrJA + iTNzYRTiQjBCISjpSnnrmv2romBjNp4SOkE6ZHNDaSXCUyFmESY1ZjKFlKXr4mLRHt1qF1vtcqtd + LptFF/rM5bh1tO/wfMxABiWjUrgoWaFxRObYQ8goQCFKxlnruwPthevaUclW5+rqNdPxLgDr7qxW + Hq8ZFtiYNZAGMiYNPOQHn0nGQ6ZsXRpG9V0RlAA2ZRtWFTIDzPbS/FcQG1iZVIAhoJ3MeOxCNlKj + OqZW92hYBa10fINRN08DYEpag7qNrMYbG9jqYiAb31q9setiRgaocsmS+tj1sTYjiz50fej6sBxv + dFML08cuS1LKNS172DCHwGwdMq2NUdfkYbXhaSMd4sShbMrIoEI2tI9kICVSIc+sPTlSMiVDXdyF + VYeFzmO8AgpbktTF5aLZWrZHsnRGua4hJ2TjPohsT0dc3wFTA8UGUDEuxsWAQnX3FxKFzPXCWTRJ + V2SxcumKpCJJORVJxn3hrJwLF6uBzdbCZrAGFmDMQ2utvKPZVlAG9aAzHpOwpyipcTbKBhiCIcIi + LAJsNM2TabYwTGA1PlxBvUqXw6KLW12z2TWH+7iZQlc4D7tRH41951BNlAJUtZRSx9anlGKMRFRK + ijE+4ose9upX/8J8Ho8NDvmcT15DTZi5RlaIiGdau2PVdY+Ics5EJCL1l03TdF1Xf5Nzrkeoz/XE + Ouecc8455849Zs5aUkpt23KQ2w8ffuvb3lb7B5qmqfcJIUzXJ9F1iQARueGXXq+AEcq5Pu18L6sd + ifP5/PYjh2+99dZnP/c7Oi0KcBQDaowrB6lf61aFGAGYZjLkXNbnzWt+4dX3ueKKftk1JLnrhblt + 2/rVb+qgABBCmM1mzLxYLI4ePRpjnM/nNbsUalZUU2aikvLjv+wxP/QDP0gAE4TpJKmfqpDAABZ9 + IqFlStc98xn/+5/+z506VuXc3VwpZTabzefzlNLmkSNtiMutRRvjdz73uTMJBDCGEF7V02wkjaQe + wH3Dr/zysu+zKpj2yNE3IxhBgdA017/+hhBCUk1ZQyMwM6Ld/aI2dEo/8xnfvn9jPcZYSukWyxBC + jPHYNvCcq28Q2HENoGma5XJZ294QghVVVSGOMfbLLnU9g0rOadkREFm+6iu+8slf/aT1Zl5KDmMk + +dnp7z3u9Hddp6oxxhhjzrmkLCIiEln2r+8T0HJr0XCYx0ZzsVK+8zuee/nll9f1WYupIoSzsbDq + RM7n81LKcrmsE6m55JybpgkhdItlSmnWtPN2JgSCftM3fONb3/IWVV2ftcPbvesOhNx0002HDx/e + v39/zhmka2trMcZDhw6VUiQEIur7XkTW9q2T8GJxNDQRTBKCmfV9X/v5h9u5iEgpJcb4oQ99iJnz + CTKtq3o4on70YqAN8qQnPPG9v/3u+973vsJ86Pbb57NZECFYSuno0aMHDhwQkaObmyISY0wpfc6P + ds4555xzzjnnnHPOOeecc84555xzzjnnnHPOOefcWVPLe9UCSLXwl5JyIKOsKAIK1rS8b4b9a7p/ + X75IbwkH0z2/9pFP/Z6n//Azv/qF11z8pe1tB9YXF60tN9p+LeRI2tSKWNPJIPV1YKGeMVcLztWC + S2zDpRa0bNvWzKygkdhyc/Onb/nd//yR17/xF//u03+7CId1oz9Mnz1SbucWs1lTct+0oWmliYFB + lrL2hYzbpqkh1oWHi9Zz9Yz9/DvnzjQ/bcY555xzzjnnnHPuLqamYkwkakYAFCZMpDKmp9SqQ0Zj + 7s5dpJZitwupnl1Ns55KEdUfnXPOnU1930/FWFV1aorrbVWtIRAxxnM3jc4555xz7gwaI+guoO6I + 84ABnZX6SX7Zd1BuY1RC13W1Aq+Z1TRHQiCKBBCyIpsVI4MYUTEuoJzRG1lBqvG0SkZEYCvEhdWU + DIVtWk8YakQMNTNSVYJEEcZcFy2hZSIxFQWsiELIiIis1M6frKpmzEwhaFdEGiKBqZkxs6EUVa41 + nwkwNmIYKzEAskIMae1wPhTmpGJ9n2MzKzBbYi1sHJCLtm7ZWm/WGFRMj6kLflKkTNlKsjB1VbEq + JDRIQwA0mQLGlEFag0uViIyN9JxcKwrTcJuIKIMMVOcVCUBEAoDNUCu8r3Q5ElmhbKRqMDMzYgNz + qN8HVTUrmJmFicQMqrWBOOPhf2QK0il1mAxGCEylBwCOjVEhUkOpg2XP4fxfvSYDE9MQaluH8CoR + zGBCW91yNlsDWZf7OGtSX4hkj33BVhYtpUCJKQCsmolIAvX9UqIYmyoAImpgXErhqKp97comCJEI + AgBDAQCYWTHLxCZCJtqFo4l7M5vWIiIiMiIxM1Wt6yeREFFTmnYxFw1gIa4vYgoiIlOq/wNTwi+T + QQL1fSeCGCR1vSKLBFPdroBvZAhkbBAYYOnunqx8SupbXe15r7dNycwgEBFFyTkDEOJMJiJUGweq + EeXGTKA6OrxP6ErsNKYiyYrOy/rc1nRRmESo6buujZzNQAApLFhdaPV1997HjRPNn5yUmTkyERXL + NVJXREBdDUMVETIqGQCBI0hqGLsFMwCiBWroIy+IEuqueWjfCGCBACBjgqCQFQVY0CK3AS1xUevN + iGXY6ZoVACBSQjFTLcQmHA2KCyisXXNZSGgIjakAgUGAqnYhmuoCMAEZQCawoGCOCtKiqXC2Ji9p + a4u3LGqgmDbLxWuXcD8rC4qxTSWB7S485HdBmT5m1Bv1E4WZtVHMSlGEEFTzfD5/9GO+9FWveuXz + n/9dBoQoKZUYRVVLOdmsn55/iiKu0cU6NvV1b1KDXuqfzth7dXvaFPYzpZJM4dZt267+yQ9JO+ec + c845d4E59lsnY8yzJGIjVtjb3/Frh45u1m+eXdfV+6WUpusTqcOrjDiV8t4PfvCfb/70JRdfLEyq + RmYwMEhh9RuriExfby8QNaUydX2MMYZw+PDhjY0DfU5dn5t2/oxnPfUfP/0pZslaciogUjMAWsr0 + DJZ7ACDKKRHVgzgWgO9/6fd++eMez6DaE9E2jaqSITBbKbXrAIThBgACMzOHUgqZEREx8XD8w6KE + 1Kf5rHnp97z4Ax/4wJ/8+Z/pSfsrQKihmyFwzkqAEp729Gv/64c/vH+2llJiZiYSkdT1TdOUknc9 + wbAGen+UO4+QcNYCHXppjKCmIDz4gQ/4mic98bff94EMy4qmCX3KEkJJu7eLzynnzCCG/dpv/ObL + Xvaye116aRsbMqs9xQyq4cpx1m5tbZ3pIa+qyoZmPuu6jlhyztLElPrP3HzLf3znb3U5G4EJORcQ + oEVCKDmj9l6q0Vj950Uv/Ne5T5gFMjMghFBKUdU92M153Fhog0kMCgNTMQXXXnqYmYBEgpoxEIOg + ZAGM7IX/+vnv+9AHh4cPh7PP+CGkE8VykzCAYlqKSgwA+pzqgZzcLwloo+ScjdAEUUBVv+Wbn/az + r/i5Xk0NzMipnOA170okrLA+JxIOwsUUUBIIKOceQAgMoJQEgMBkRmRPfuITXvPKn//ul37vLIbF + uMWFNuZl4hgt5Tr/hSXrqb2LT/zd333s4x9/6IMfArV5jEkLEYUQ6oBzgEMTcym5FGIGWTGDcJ8T + ixjARKWU2k9bGCmn2DZdyTfccAOYxv32cVYKIlMFAZGppCLAVz/hib/0+tdHIjbr+242a8005+GQ + gYjknAEVobJyiAGnNJ7EOeecc84559xZ9/+zd+dxkl3VneB/59x734uIzKysRRKS0C60AAIhNrPa + wAyN/QEvPfbMeGtPuz22Aa/gZew2/mD4dNtt95ixu72xmkUIe5r2INxugzEtkACBFrSivbSUVKUq + Vamqcot47917zpk/XmQqJRVCBVWqknS+H31CUZGRmS9evCXyvnPPry8env7lKEJEa9U+OeeU0vqC + Meecc84555xzzjnnnHPOOeecc849Hn0B+frZbczsfVqOuL7nngGMaeSzkjK0M6FABgiMQ5BOFIGp + htKAg2mWxgZhdtPxJ5yz+UULL97z+cv/+7Z9d+xauVtGajM8ltJCQiCihhlkBItm0SiZCZmAhAOI + IAJVMIGIDNqVCRHDBpYDAAyxF9v3je+/6YtXPXPT6S886+UvOeOVJ1SnrCyWNKlGaUTGXZZSSkp1 + DMOmdBmF69iUlVjHGKOIWVFCYCSyvrvX02uKpXsK64+o/bFUV3s1ijwRU40eg8daO+ecc84555xz + zh1afY8g6lQ5DawIs2RVioFE2KZ5KsIA4NPdnHPOPamZWVVVXdeFEEKY5pz1U7vbtk0p9RkP/T/X + gh+cc84555xzR5YSwnA46TpAq7pmILctEc0NRqUUhQnIyIxESMy6whmhRRBmIJpYN8nLy+OFSbvy + wN4djTTjdmllsrI0XlqZLLdt20nppChhNdaa+wBaAMN6kFIaDWZmZmZmZmZnZ2dnZzYM04aTjz8v + 2CBYCMYpU1RKFiqLWgqBSVXMQggcg4g0XZswMA3Ttt1mZEaBQyCRMm0hTQpTgIwA8CANl63ds7Lz + wxe/f1+zezkvhcRF0eZuNm566bNe8aaX/+DG0THBKIsyMR9MxicZUChxBQqd5qzCDCC2pUSKBiYo + AyBVUpAAZojTGNEjcdvHlltfhgqYWWAiwKwAUBMzM+vWgk6JApgY1N8aKWzaTHyaVw42MkHfIT0g + opiYFgBExGG1dfTh02cMk7JhNVKWDVqsIABJzahA1aCYpndPm1gfuXfhoVswHgpCVaw2XldCipFU + MknRkiVbR8EGkYKhHEVltdOUkGnz7hBijAmiKnnDzIa2tNIXNyuXYkSUUt12i5QsJqbAxTpR7dAJ + JCTKmjuZjJvlxaW9e/bt2bv3wYXx/kVdzGi6ruu6phQVyX3EdSnKzFVVDQaD4XBmNBrVdT3k0ckz + p2wczc9v2DQ3N59SzWAVJqWKa5XAEiEhWGAEIuKQ2mYSQs0UNCsh1YlDCCJZVQAoCMQwgAnG06AA + B6SUOulEJEsXQoiJiQjGhLC0uDIcDuthtTxZpkDVMC21D6YR72/2bdu59a6dWxfbfTQEkqGlzfnY + 1774DaO0RVtVaAiBCNPzx/oZC8ZProSWwWBQrJRSxAozx2m+tYlqTNHMShZmjlUtpk03RiIJprVK + kEx5uVncv7wwaffv3X2PlKbrulKKKpg5hBQ4VrGOsZobzW3atHnThmNGsyOiwJKqMt90YxarUgxG + pqqlMNBH4JhZFgFTGESiICJPp4h2MFCnSmGmBpF+cwWBjVVzEQyGKcbYNkIUYqrHeakL46wrw9m0 + a+/9V3/9ygXdV22ulprFaKlqBy889btO33LuMMxCidTYDw6HWilFVcGRmdu2VV2Zn59/+Su+64// + +A/f/vb/KxdJKfTBYCnVj50QdkBVVU0mkxjjWovSUspanrFzzjnnnHPOOffYuq6rqkrUmqZBTB/+ + yEcMoED22GHGjzJt52EKAIb3fuD9/+6d7ypNE4jZQAARBZBA8fCuH08TKaUQAlVVP1AwN79heWWF + Q6hHM2//zd+49obrAWQVEMA8bYNxIERkagC06CDQ88973i+85a2DqrKurA3qHOwA5NrIPhvEtI/F + rWL6vXe+8wd++H+pq9TkrN/kZxKzqQIQUQAGjMfNvdvv+53ffecf/vt/NxgMAmg8HlcpUeAj3obA + uSOC+ousBhN588/9/D985rMGjOpq3HYxxlIOOtOaQDHGXLIBVVW950/+nz/7k/9kUqRoZI4IzMzM + Oee+2PVwH3Lruu66bjweg6mO9bhr62qUTT/wwQ8uT8YA1FavvzFgkFI4BBWBoapi7gozfvSHf2TT + pk3DWAWi0h/rzAz9ZdzDuvgH7dGZ0P3tAY+9Rg89zgal6QQcACnE5z73ubOD4bhr+4t5zDw9jR7O + hT+o5X8EttUru4RA9LrXve73/+g/gkCE6WkiAAe9RR9WSuA6prbkn/5XPzUeN7/2278VADWkOnVN + JmYtpQ+OZmI5yExrAEXxF3/1l+/7y79KHBb27tu4YW48Hg+HQxF59KVYBQFm/WJheiV9eqGdWVWG + M7N7Fvbddvsdn//iZQKEQPJNPo+tZVqbGgH/82tf99fv/0Ag8OHORXfOOeecc84594Tg87OxAAAg + AElEQVTo5zUDICIiyjnHGM0shLCWZt2PyRORZ1o755xzzjnnnHPOOeecc84555xz3znPtD7i+rZ7 + Chj1UzAUUCVD3/kQ04Z7Ckbfk9EqggQEzkqFUqDKhiOe/Tdv+qUdS3d+fevlV992+b0P3jscpnrA + TVmKVSsKKQbLBBJiJjaCQSYZBkRCYNBq3LQCMCVVaD8lRiR0yqoDbJPb7rtm2+ev/NyLT37Za573 + ulM3n7pvcR+XwexgflBXbZu1QxVTQCiS52ZmJ6Vp2nHglGKCMhXPUHdPNf1csEc8+OhHnmDefss5 + 55xzzjnnnHPuEAsUAS5VWl5cDCZDsLFJkbTaYUfpocYnBG+C4pxz7klJREIIpZSqqrDarRVASklE + hsMhAFVl5q7rPNPaOeecc865o8okl1BV0SyXLhkNqsqKNQuTWCUAyookksRCFuomcWkfHrx/6f4d + 99+3Y+f2fQsPLk32N91KKxOwCpc+0hhsIO2TM1lXs5NtGmsNMBGVXKgQT9j2TjORmWJA0MKz1Ybj + N5142vFnnnnC2c+cP2mWN1e5ZqRRmiNE6UqXJYFCSCGlQJUWU9VpWIAYS1GSEIn60FljZYMpwGy8 + Mp7YTBltrPfnnQu0qxtNQoWmdNWmwbhplmgnz4ott12jgFKMBzVgxxaDDNiiwggdUJACM3ddASIT + WFm4z12etrcOSjDuu28f2ds+pjpbAyhRoDWrURlmZqZ90rWYkZkphZDMiIlgfUmcFBURSSkRCREp + FKttv0CqZgeVFH5QDNM6WgA0jYgmAEosLEJiFQGsRqoKaOQQhIId4TW/dguAlYzAgBkrlAxsAUDu + DGAwInMKQyqp1rnSFk1mR1MUrcA4BjbSIl3XBjCDzLC4byVEilUCWERYlUhJdVTHTsa5m0jKOXT7 + mgd27L33gcXtW++/Y6HZv3dlz1JeEcpUBU6Rma2ImamqagGYYn/ssBgqAKpqxWyRbMEAkFEVKiiR + hUT1xpnNJx17yuknPOv4jSc/Y+74AWaHPJN4aIWQCQUKmh1tymo5ZzOpQrQsXdsZlFPoQ6wVan0v + c5vuxPz0HtPvN75xO44xVlUlVgySVfqDRRVGmzYd07bt0uJiHHKJ4/2yoPP50hu/eP2d12zdeZvE + nAahzVlE5mjTlvEzX/Oy11MECiB99/lC9IgrJ8wQMoDUcLR3EuzXz6SbpJRSSmykVoqJqeUiMQy6 + jpkiB87SNZMxD3SwxRbynu37tt566w137rzt/oUdy3kpoxTKIZGq9rsAwNN2iwiRayJmYylGFmdm + Zp5x7DNO2PjM55x0/knzp8yPtkzGhDGN4swwjqgYi0EAWArBAhu4zdI27WgwPFxH56NS0EiqZsIw + DkSqAIGCIQ1Hc13XLjVtSlFY27KUh+PJ7L5dy9uu/PLlN952XQntCq0s3LlQzw5Cy3Nl83HzJ5x2 + /LMiRcoUEQCoX/E7pKo0KKWYWQAlDjnnkrqZmZnv/4E3LiwsvPvdvw+TFLkUFcmrO99BKKX0vUr7 + FqWqGmNc623qnHPOOeecc8492vroyqqqVNUMbe6uvuqqW+/cKsDBZloDgBGBDEqAMT7xN3/ztl/+ + lY2zG/DwONV+drrCGE+vzgsMWl5cSin16SMLS4ubN20Zt81n/vlzH/v4hZ1pSlXJHYDHyLQGADVm + HsSQu1zE/vAP/sNMXZeui6BHJJI+Ok3z4Y8ceDiNiGAGUTBe/z+97v/48R/76EWfoEeUiPf3+jBU + VRDBzGyasKKquciHL7rwFa94xf/2wz/SllKPhqXtUowiEvrGHj765J5mVpOt7dWvfMUrX/ZdX/7q + 19q2I4LqtzMWSxRyEQIpbKXrPvn//d3v/Pa/nR3NDFMqpVgWAHVdp5S6kjkG7Q7vxbjxZHk4HFIm + Ctx1XQhhcXmcpVx44YX9yHV/hjCsO5So9XdyVxITm/2f/+Zn6pQAK0UgCl49YPHROEPlESt0elxb + d9Hz4QdkVppekuuTrfsLdaWUZ55wwqmnnvqNW2+ZfhfRE/FajabLsLZ804cfesrq8vcpzAc4oTAg + RV7w/PMHMY1LJgYMHIKqHu7369EL8/Az2sM/dRiYOXddldLSwuJP/eRPPLhvz3v+5D9Nui43OQQi + 4qIF6K+xsJWD21kMUOC/furiX/qFXzr7rGdt3Lx5srIyHM6IyNpS9df+8bDLsmvZ0wr0mwTaXKpB + vbC0NBzNvPP33m1AXdVN136zT0tMiESqxsAbXvfaD33og4MqQae1DQdaS6u/+uEeT5y5c84555xz + zrknXkqpn+PcNM1gMEgp9SVhqppSatu2rut+bL+UEmNkflqVTzrnnHPOOeecc84555xzzjnnnHPu + KelhswNWp2AAq4X3sL4+X2EMkBmTRZiSZrIcgBioSqNmsRxDZ7z+vNNf9YIf/Ma2r3/1+ku27b5l + NJRx24LAhBgDiLOYmBppTIFMmUFsqugEKmYMiTBClM4EQZmMWaNBmXSxndRhwnV36d2f/tptnz/v + jAte/pxXn3Pc8yWLdTGmakhBRVCs4pTHbWKuaGBiyBKJGIBSocco/nfuyY0eOdv1yPBYa+ecc845 + 55xzzrlDzAAqOqmrPFeHpWwmHKJZ7puqrB/xfJqnXzjnnHsq6ad2p5SYWVUBmFmMEUBVVX1g3ZFe + Ruecc84559xU4iBdKYYYIkjbXJipmq+yZq1EQ7usS3tWtm/bddfdO+7YvnDvvcvbGmrMREkpGlhK + EkkdRdI+9nW1zq9P3Yy22vqYHmrpSwSqAWAalqwwAxGTIVZhTEvblnZtfeCaf74izafNJ2w65YQN + J5/3rAuOmXvG5tnjBsOZ0HEpbTYiQ5O7QBxTFYhJWVWZYxWrXBqgDwxejZGGKjgNqoabFVls4/JY + 9sUZWdFWEzos12F2qTzY2nLVDoZU1VWdHyP24ICMgZjFhLMmzdRpEK5DyxOmSEBQAApSkBgVMgRN + ZNxXfx7Z2wBSUvD0jzgz6u8AZGZkTGvdz40BROaAygoHDX3SqgBEFgOnFHLOBgXAzMzcJ16rIHyT + mIdDpe/nvrqlEQFCVmJrddPoGIkMEA2MAJPEgYseJeu/Hx8mi304t5GCBAAsAGwEJdXYkUqNYVnm + 4WCuLMrR1UTNWLXAjJk4EjPMhCkyxzSIStqU3LaTNODhsC7WLTb7qMp7l3feu+POrffddu/uu/eO + 9zS8LKmRunTUdnUuM0CEMLLACuqqz/LFauT6tDH6Sl4BQAGYfrW/QwvZQkAgChr3NTu33XXHlXd8 + pbLRHM+ftOW0c09+7pnHn33shuNHo5mgEVItLi0P0ixCP5DBZgYJMSTVMq3GJjNS7fdiIB5FkeJH + UgjBSMVMICADWWCOKUiWhZWlUGncSCUu7yu7rr/7yq/ccMme9v5lLLYzYyRrGZaIjEtOXTNOA2on + Tc1zqiBRhgSGQEG8voc/AzAc9r7+hwgRiRUCCcTIiBFDiHWVO40BxZqWMs1opws333XtNbd97Z4H + bmni/o6XJTUas1RSgM5QAAoIAUw0zS5SmMGUyJgoMIIplmX3A7vuvXXndZdd89laRicfe9pLnvvK + 5572ghitrDSMWHEiDWREipKLwFI12LRxS56Mj/SqeuKQMZTJEGDMRCgKgzEoieaVpXE9O6pHvNTu + o6iW8o7x3Z+58uIb77mmGy/VozDRMUaoZmz/4p5RVVPhwk1IoXQaRTmy2EF+fnDfStu2feB030U0 + xphFcm5CSD/xkz+2tLT0nvf8Z0DrOjVt/jZ+fkop58zMpRRmNrP+wUP8MpxzzjnnnHPOPUXlnCmw + Eg1nZv7sz//cAANCCHKwlxgArKZWA9i9e+8//uM//uSP/riKmJmpBZAxgfoZ6U+KsbFDKec8HA5t + NWxyNDs7bptdD+75nd99xyR3RNyVAiCmVHIGVkcPp702HmJmgbjrMgO//Ja3vORFL1paWJibmYF+ + +6tUV+M2+zsxxi5ngBf27Xv7r77t4osv3rfyLQbf+iq7vqwuhKAiVQhv+7W3v+hFLzr7zGctryxW + MYUYSylPszRz5x5ChsDUNM2v/vKvfOWrPw6AmUS+nUs1ZmZAqlLO2cyWm/avP/Lh33jbryVmEuWQ + cs6qGlIkoa7r+DDveDHGUkpXpIo1AkcODP7wBz66e/8+Ikh/DTGQiUHB3F81VGZmMhOD2atf+crz + zz9fRFSUDJGYmBWmdrSfLL6Ta51WpGma884774Zbb2FihYk+0YPz33L5ba33U//8dW8IEanZWWed + dd3NN6kCBGbWb+vDw2GlpcAQmNkQQvi1X3nbFV+98pLLLk11tdK0QEkp5ZJTVeWuW/sY8/gZgMjv + fNfvfeLjFxVVjrFt26qqxB62d6/PNV/fmGft7nBmtDwep7r+r3/3d1+98gow2q7tT6mP/qUEkEHN + GHjxCy744Ps/MEwVq8n6SHjnnHPOOeecc09mIlJVFYDBYNDnW5dSAPRFaHVdA1hZWZmZmemf5pxz + zjnnnHPOOeecc84555xzzjn3JMc6neWg1M+UI2WYAjZ9nFbnxygBMIYYITAFJSWomZKYgqJVMylO + xiWoXnDiy84/7fw7t99w/Z1XXHf31ya8XKwoS2ctoDEh1GnSZiOQ8rTGn4AwndPX1/8bQ6Gsa4/w + 7IAUOi4rccQ8a9fs/urX/+Hq0+fOfsXzvud5Z71wlue6ybjGMFJNYkyUKDAzANEiRcyMY+i7wDn3 + VGVmBztJ55DzWGvnnHPOOeecc865Q6mP7AnD+rWfvfiml730pBAGxaQohwgRI+szfsgzrZ1zzj3J + 9dO5iUhEQghE1E/tBqCqj8j/yDn7ZG/nnHPOOeeOEsGQDOBkSqImrFphjHGHlYYX79uz9ZbtN9y5 + 85adi9vHuqRBKQADJhOYEbQPeQ3BAoJYAQHGDJgxEQEMKCHTWjvndR2A++7BSoa1KGLSYDChrOMW + pklR027as7vZeuM4XXLfp+Z484kbT33OyS8495nPe8bcibXNarE0W3dd0+blRPWgqtmoNKVpxnVd + r/aR1tU8WhhMtDTSPLi0a6xLJbQWRAlIyBl1pZ00VZVijEmDqLZtO0iDx78+lZSrUix3VdOk5Tt3 + 337P7jsldRkdEbGBjPsgXiNTUoau77/8BN8KbO2+MbEB4FEcMVeRQ4xVSinGGDkyc+JUV8PRaJRS + TcQiArUgVcozNWqOEYCJAgzjwGlQJaiZAaIo02BsI1g8vEOhSgxwgLIxDEqmoS1p4do7v7xnsqOL + nbJCQorRSg7ManQ0BFpPb/usXuqz2BWkZEwaDcGINWihJSsyi9EwH/PqZ7+pGs2Z6VE1thxCLSJF + NCaioCoy1sbEBEYV28B0Jreh21e2b99519Zdt15971cXugeXJ8tiJQwCz1OmLktLCcVkWhytYKBi + cAIK2DBNXDczgyoMSKuVj9YnxhjMIGR1hBFgplQsiYSctW1kaUn37npw+zUPXF7TaMuGY0876fRz + zzr31GPP2TJzUtdCOouIzFTaoqWM4sMOAgRhQEnYGKDvrN/+U0SsQs65SEeRiaEmbekADNIIsZXZ + sjvf/7Wbv3DFbV/aNdnW0lKDLtbgCAWy9KcAgS1urrRQ1jKp4mwppeIQQxTtQDpdz/akXNshsYio + FWNQgFjpSu4PVxq6MS1v23fH179++XV3X703P0BzNpkZC5f+1BkMJCAgASGiGDRD+618FTNUiloB + mCkSMaCFi8yZYHz7yr7bL7t+/vJjzjnxvBed/bKzTzhPdT6WYSyBNFaBGGSS2+WFENLRdDg5zIxh + gS0wFVgWK6rFiI2qODNIiIt5X0HmLd22B+74ypf/x3X3XDWeG7fVMsWuBCmQlaJ5grmNbGNBJ2AT + kVI0gomKSiH2kuxDSVX7Aee2bVU1xkhEVVURUSn65je/eWlp6YMf/HDb5rqKXVcOdmPOOQMgohhj + zjmEoKoi4snWzjnnnHPOOeceJ2buunznnfdceumlCoDpEVmMj0ffFkINYIgaEz5y4cf+5Q/+0Cgm + IoD6kU8DERER8HQLtiZDFZOYNl0rZpHJmH//P/zBrVvv4BjaIgaEkEpWGMeUSm6n3/moZGsiMmBU + 1b/+9revLC0du2XLytJy5ADAvkmW5aMff1imJgGAAkYQKYNqQIIQQ9d1p5966r/+yX/1p+99r2D6 + Jq77trU7pqv/UFUADMoiIvKbv/1bF33844N6VHKeTCaDwUBKecRvp+nG9qQcPnXugGxd5xrC9HBq + gBkH4le94hXPPufsW7feOSmlSlWbu4P9+Qpj5lLUYCAQ81+896/e+ua3WEwoMhoMB6madK2YhhC+ + jeP5wYoxdl3Xt9dh5oWV5cFo5i/+4i8YbIBBAahYfwxRMZgRAFNRVIFN9G2/8quRiYwBIkMgVoKp + Gqw/YxxtHiofeBznsrVj7CNUdZp07amnngygmMYUcnmCMqG5r28A6JuejB9a3vXP6GcP9d8XYspt + e9azzrnu5psIZISS8+Fb5jV0gFPd+mVcLbFYlVIys9J1gamikEk/9IH3v+H7vvfWrXcOY5yU0n84 + yV2H/s06yM8nzNx2+j8u/dKnPvWpH/2R/3UyaeZnZkspNF1X02uE/VEBQDCy/mXY2tLCCCuThkJY + Xh6/43ff2ZUSYjItIvLoV9S//hhYRE995ol/+4mLgqFOVTdpBlUlJePAW+Y325WeZh/InHPOOeec + c+5Jop/vnHNOKVVV1Sdb919q2zalRESj0QhA0zQppf75zjnnnHPOOeecc84555xzzjnnnDtYBDIv + qz46GD1UDE/Qde9LXw/PWK2WZxSAwURA39FNOQFqagIJESpNzTqsKisk3eD5G17z7Be/6nuev+Pa + rVdcd/Nlu/bfEYfKo9JCxsu5GkA15UxFESJSRWS5FK3poQVTgrFS33RRCMIJyZgUsiLLFBA2VNvK + Ldsuv/Oz13z6BWe/+MXPftmJG08ZdMMqD0NJ7bixQoOqjiGpZgMoeDm/e8pamw7msdbOOeecc845 + 55xzTzVZEAJjEJoNQ1hnSyUGXuto0o8GsT2ujjzOOefcUcvMmLkPPJtMJuu/NBgMmqbpui6lVEox + M8+0ds4555xz7miibddy4gxt0fKQc+pu33HT9XddfcPWKye80NBC5qakjKj9CFbpjJkTB2NTK6pQ + AYAYEYyUjMCmAGj6Hx04A4AZAPq6qb58iohYSYpwDJxYSVszMWPWGPJKM250eXl5/z3X3XHJVf94 + 3IaTn3/2C8454zmbwuYwTFyHtss5N1GrKlZ1GMGmmc1kxDDtk60BQ041N5PGolWjNFGJCeMOqUYZ + Y9/epZyLqpWiVNlwNLCijz9I1QjF1FhQlRZLt++8/rJrPz/hJVSiUEwLOsnARqoEwIIpoGRsdIRv + GQFgFmbj/iWbUd/VmozNjI2JAoHrVM3NzW/cuHF+tHlLdczmmWOO23Lsxg2b6jQiYZYAZWSqaRBD + xQhqwuA+J3ucJ4+5Cr8jSg8LpyCDsSp3XWy+dtOX7tp/UxOXS1BIqFPStgWggYRwNKz/aex335uf + FFCGkRFpDYudKFWK1KDkDbbhGXz6BWe/aks9a+1BbJ+HH3MfaU9FRLJ2RkoVc81ZJlZbS+P79tx1 + w+1X3bz1un0ru8ugXaz221DDbBDTSc4ixhFpGLosHBAIATCDFZhBDWEaWgAARGBGjCBC3+N9fVt+ + IgT0KSIoBsBCMCQiLgaS0im1bLHJi/snu+6+45av3nPJBt78kpNffcGzXnby8aeNl21lwnODjYO6 + asbjKlRkTEAwNWIlEBTmISFTXdeBlFOgAIUYWYwBSRfk/jGWrr3hystuuGTnZJvOtHk4zqGrBjQe + W8moE9IApcAMQWM3aRUlVAGqZsScAqGTTJHWes1Pc82PxgiGb6qUwgEUgpGKFWNjJg0yifvv3Hnz + lTd95eZ7rlugvWGjSpwstjnMQAmkIIEIgiERApOBGNZHFxlAtHqrFgIlDgBLLqJGRCGyBF1ptQqY + 2Tja197/5a3337r95jOOPfdVL3z98XMnbR4eR03ghoahTsRAPOy5HEeT/mAbiAzQPgEkRArQWPY2 + u3SgtlHveeC2Sy75p9vvv7HElXamWcSYKqtVFGqMeggQlsc6IwYAxqYgCsRsbFIkEh9Nx+cnvcFg + YGZE1Ce7lFKySl3XqlpV0Yze/va3E/H73vehrishUJGDu/IaYyylADCzuq5XVlbquu5/l3POOeec + c8459y1VVdWVPBgM3v/+D05KZmKBweyRGcbfisGIGWpEREymeuVVV990y80vPu/5zEwEiLKZPSqk + +emgT2ltxxNjIqYqJariZz77Tx+96BOxSk3OHIKIEFE/giyl9Cvpke+AgUEmhYE3v/nnhsPBMFWT + lfEhmd5vq9e8Sikxxtx18/Pzk8nkJ37sxz/00Y/snTQH/K4Qgoj030hEfay1wQxQ4HNfuORjF174 + M//6p2fq2aXF/WX1dTn3NESAqQ2Hw3HT/OzP/uwv//pvMFBKIaJvYxc2MzMDoarrrmn3L65ceNHH + f/Hnfl4m7Xg8HtUDZu5jrWFkcnhH0Nu2TVUVUjVuGjDPzc397X/55Lb7dwAkZrx6lAiBpej0OAYj + AhlE9EXnP+9Vr35l6XIKgUEMFFNTUzJmBjOkHNblP1iHauIMEYUQRqNRlXiSNYugPwscfLLyQfzS + gz8LrxVLkD2scEK6XNf13Nwc+noJVRAQAp6QcO5HLMxj6DPXQwjBzFSl6yLzxz924fe98U179u0N + ICkFAAgUo5VysOtfTYkohvgbv/lbr3nl95xy4gld28YYVWX9pvKwzYZA+tDy9xd96rpupbzjHe/Y + u3cvAC2FiGIIpRxg/2VARLdsmL/wox+bGQ7nBqN2PBkMBisrK1WVHmNp+/W2/tY555xzzjnn3FGr + j7IWkRBCP6NZVVW1rmsAfTVaznkwGBzpJXXOOeecc84555xzzjnnnHPOOeecOzSmE9yggK0mWJOC + DUx9KyRSNgUZQTgGM1FVAwjBmGCBQSo5xkQmpSkqFmkQw7AudFI1d9L5p3/Pc7/71vuuuvLWL9yx + 44aOFuZm4njSxcQxVQpTFctZoayI4H6RhNXWelEaOCBYIIuiWrQIIyRQLItLe2bn5/ZK87lv7Ljy + ti+feeK5Lzzjpc8+8blDnZufn08yKJOSi4QqMXMuR9dkGee+Q4+eIjed/nZEeay1c84555xzzjnn + 3CEWAxAYNe9GPrGUWTARlZITQQlKACjYtLG9Z1s755x7kjKzEELOOeccYxSRPr6667qmaeq67jPq + zCyl1E/5PtKL7JxzzjnnnAMAYbOh6jCX1OzYd9dV117+9Tuv2N3cr6PczUwKtQqYgUHBQlBWQqq4 + kHRqgIJACYFAhD4KkBVEQtPcWQJgiGtxpOvuTMunVAFgtWrKzDCaG7Zdl7tihFihCiyiuUFVhVLy + suyf1IuIvGOy9YbrLhtcO3Pq6MzzT3vh88694BnzJ8Uyg0Y1WzFESwqwQqnv/K99t3NjGMs92+9p + clda7RRVSpEKSU02asbEOqzikIRKyZz4oPpSk0E7s2hkCMkKJmPb2/GypqzIgAEBxoagNI3EJQhI + 2ViP9G1AUFKzvk12v2yhrwklYxGLnJiZKSwr7W63yw4x1ZSCFTFBQj1fbzl+y4mnHHfmsXPHn/HM + c2Z4bsTzNUZcomTrpEObEx/2vwfXjbIyIEIooeS6G8elSTXOnJV5WA1UV2Ot+ahY/2zc7x5GClIy + ZRhrYAxhKQfSWCgtB9Km4XFZGVSVNhqOrsxUzW2uqopC6oRCJNQknJdsccx7v37jFVfe8uWdi/d0 + aUWrXDY1ylZAktW6QmwhBI4G1dIJ0zSR2gykHEDMkZkVYmZmYmaqRgRTrOWUmNHagANRAMAqRBRY + iUjNcrFOxQzMYAhzG2IgDmbWWt6fJ1+6/eIv3/DfTjvu7Jdf8Jpnn/SCzkwng3owg6xskZTX2rAb + ax9A7qP6vRijQHPuNBhHUtX97a7Lt3/2S9/4/K69D9QzsczmjhpjTDqsdDac5QFzOy7NMupEgbi0 + ZIAFCSlIa3VKgHVdQ2SA4aEMcUZ/XMeTJryHiJhJTLvcIVGIoeu63e2Ov/nqe7ct37Z/eb9WShVy + aUVLlah0BgYxAjMRm1IusGJKIDIiCgFGan3CtaGuU9Pkpi0hoI5IiVW1FGXFKEYAK9IYU9gYdst9 + e3ftuv7vr7jgWS999XNfe+Yx547qjWWlUFcFGqhl0NMn21ozOg2JjUUqYuKEQpMVWZg5IV5351WX + XfbPt+38xjIt5Jm2ix0iASxFQAxBN0EaYTTERBE0RiQGB4qBkxkJKZKp4ag6QD/ZiVjXdSmFPtma + mZEbhjZdR0SlaD2ofuEX3rq8vHTRRf9FD/7YXEpZS5ASkeFwuJYmdehfjHPOOeecc865J7l+bGp9 + imHbdsT8wAN7/v7v/x6AmgIMDtCD7oygq8MzCgMgiosuuuj8dz2bQ0xgI5pORH9aJlsbpB6kNgsR + OIbl8fi3/u1vU8CkywawGYBSCoAQgsqBMkH79w5mwOb5jW9981sih8lkYlLmZmfbrlv31GnLjAN6 + dCDr+mdSYDElJRHRkqsYzjrzjO9/45s+8slPAqtv3LqfoLr+2llgZlU1qAJViCLl3e9+9794/es3 + zW/cODvTjicpxsdYNueektgA9NMuIDkT0Q/90A/92Z//5R333JVViflgO3QQkRkBRjF2XQdGCPS+ + 973vp370x4475rhmcakvf226VlW73KVweFtwxJQAtG3bdd1gNCpqf/lX72OwrLu2DoMJYuBSlIjI + rP9iYLz1zW8RkcisJYMIyv1JhAPTdOUddQ6cbH2AI9t0rN1AD/8eBVCKEdNwOJQ+d9wAnl40PKwe + vvCPOqw//FKLrW66MF67Tt7/BFVloI/OIiOA+/cNOLyx1tO+VLZ+83rUq1h3HqezTz4AACAASURB + VIyRrIiSxhhLEQKO3XIsg97zf//xT/3MTwNWVbHtymhmZryy8m0sD3NSzbnkccm//uu//tEP/fXs + zGhx/0JdVw972vpTJ8BG00qT1bXaNM3V1137t3/7twUaKICpiMqBMq17g6r60z/903PPPTeF0LYt + ABMZVNVjb0H9elt/62dk55xzzjnnnDs69ZnWa/8Ukb7wbF2ZMbVtW9e1qhKR14k555xzzjnnnHPO + Oeecc84555xz3wm/8n406Avouf//tOieYcyICmLjvh6foGwA1CiompECBtJgBBBREIlBEkARShGJ + g2iWtq1blEXdkI578YlveN6p333P/q1fu+nSa+74GqeFpl2QsBQqYlgpYEKVKs0KQKFBoQwjkEEJ + ZGhLx+hSXY3CoC1tKVZEZ+qQy1I2hBl+QBd33XvfzTuvfebs6a8697VnbD77xJlTwmBgmVI0hTTa + DkN9lE6bce4Q8Vhr55xzzjnnnHPOuaeiUsAxDEfGi8wopQTi1RydVWvjQk+jzADnnHNPHX0/TWY2 + s1JKP8e767r+8bZtY4w555QSAFUNIRzpRXbOOeecc+7JY600EJiGDdv63riPGE3idYEKqvTQE9im + fZKNVMgkFGHJsSl1c9Pd1171jctv33nzhPbqTNfNr6yoIAAMMgQDGVTQVzcZiUIMCgMRyECGPiYW + q92EDSDqnw0yMQQGVuN7wQaBETH1sdcA0bQm1QjLk0kInAbJzESLiAbqM62FCBZVAR6ACBmQZry9 + sQeu3f6Fa/75uE3PPP/sFz3v7Au2zD8Dk5h0EKRmjTBeG4cz0sy54cm9u+4azVTLFqoYRAzG0lmF + 2EqelK6IVFQpGUSBg/r7hYfVIBuNZUU4I2SuhAZFuNPQd6wWmMCyIQoxSBkFa62r+3e5j16gbKSF + oKvtxskYgCBjtZX1QdxaBGmhDID6X6dQghDM0G8ZggzGahCkACDktRcWODXSiCiMQoihCgCU8sSE + GMGQJTSytGfPfTfvui7qgNpq0/C4k449/cyTzznjxHOO2XBcRQPOrMJBiSySBl6LZCZd3/GZjNlA + xmuDpQeqquO+B/jal6YNwUkf/g0MRCMWzh1NcuxKUGUpMVoUYuuCGq2tf6DfYWi62xz0ev5Wt7S6 + Q6rB6BFfZcND48P9M1klcAMTjnVXVlS1YljKpFKlQVkoIaYDrJtDZ62FuZKu5QpPN0gA/XtkbKT9 + hjqIgSHj0rbcYgZtHG+9/+Zv3H3dNd+4vOOVNq7IqMlx0oWs3L9AZotEBKiZWFEmMIG0/9VMxgwG + mJXMoKRKCgIx02qotKoxB5smW6+990YGUyIipigQBZjBCRxgBlWoQCGJlRFU0VHuaLkaVbctXn/n + P932jLlTv+s5r3rBmS/ZVB2baFjlYdBEkoKxkpIEIpGg61cUVlfXujW4dgDv197RNv7PDy3So841 + 04dtWg5upP2z+4P56g7LgBqRMoSlpE6rvG+899pvXP2VW75wn91YZsa8Ma7A1ExgJjoYJIW0rQZo + VcUQuXRihVKqgkYRkSaj7dJgVpou5252btiWzMZCChD69xkEOroig5X6FcUE9Ae3taB6AimQrS1V + DjPlwWb/FTd+7ZLrP7Ow4Z6ltBczFFJlgAnIAoAUSFRRTGHMRsQIBLNAZCYMqMBWNzQC2iYzYTBA + JKiiFAUQIkgDlBRiMARVEo2dRoa2X7n9n+7ecdurnvPal5z13c+YOwljSBZGIFtNAZj+9Ie2Cp2u + cOVprPgTU9LPq59klGFkAAgWjVhJ+8ex7hNO71svGKn2z2MT04KsQTXlhbx772TH33/s/925cs+i + PVCGbY5dFyERWSxCAkhVI2FmQMUsT5AYpGRm1p/eIVnUWGOsNH/L5XAHJ8ZY11XXdWstR0VkMBiY + GTOLyIb52Xe84x3Ly8uf/vQ/0uPZEtYhoj7Huh/iNrMQwvpMKeecc84555xz7jFwCAh88ac/vXv/ + XvR/kzLj4P+ujCEWKSAyFQD1sOom3YWfuOhdv/O7lIxCepo3WRCREEIIQbQ0ufvL9/7VHffcq4AB + VRW7bhpo3T8T64dt12dOAwwMUvqB73/jxg0biChySFWaTCZ86OrZYoyTyWR2dnY8HhPRcDj8+Z/9 + 2Qs/+clyoHfQzPrQFFUV6QeVmIjMrJPCwP6V5T/8oz/60z95z7iZDIcDzYUeMQ790ICtc09xfXlq + NRgMzX7sx//3d/37P4iEonqw44FmxkyqMBGYESNnu+ve7f/9M5/9wTe+cRBTm/Mghn73DIf/ekRg + njRNXQ9nZ+e6LF/88hevvfF6hYHYTPtjWghBRJgjoGqaYpKSGTjztNO+7w3fa0U5JbIYiMFgVSMw + sZhKlshH1zHiwJnWB/YtVr6qbtmyRRR9sjJU+zKF72wBDzE26MMvvfWGw+HSZDw/Px8oFDMAIUYp + 5Ygs5GMwsxhjVimlEPGgqseLi7PD0fe+/l/8yi/+0p/82X8uXQkhTDOtQ4AcTCw3QVVSGpSuKcAX + Lrv07y7+1A//0L8czoy0yKP27Ok/D/SJiNrcvfUXf6lAAaipigEcQ8xS1q6H9nsCAwS8+52/94Nv + emPXNLnIzGA4XlkGkogwe327c84555xzzj0VVFVVSmHmftA4xljXddu265/Tp1yLyBFv/+qcc845 + 55xzzjnnnHPOOeecc845d2iQYtoxrJ/IQDRts7T6dZvW1itYRRTMzAwDVFVgTESJq1zARhQSq7aa + GSUFTqiYYzZdWW6rODh95vzjX3bqa176hqtuvuzmbdfc88At2jZpFArlLEIQAhnpWju5tfkUBcY1 + yNCVTgoABAYRZRVKAFBIwUpJ93W7JvtX7vnC3SeMTnn+6Re86NkvO27jiSstidDc7AgTJYsA7DGC + XWh1nidZUKJpS0oFKkBhzFACWFlBSjCoAcIwYK054VFCV6cHPc3nmT61PaKQ6YjXNXmstXPOOeec + c84559whFgAwQYJ1IRgDRmyATv8PGGCgPvPjYHsquTV936g+UbVt27qu1x480ovmnHNPF+vjo/rk + DwCq2jfZBJBSmrb580xr55xzzrmnkP7zXn/bh8D53+KHGOk0PRIKi32Z4GrmpAHr86376jfuW9cr + TFiN1MjMjAyRCKJaLIxiy8vjerIPe27eccOlV//zQvfAcrsodYuUlToVrQJIpnG7ChiZhVICAJAg + hEAcVMUMRGCD2TT7su+yTDRtMU0Gy0YoRAgIBMAMakwgtX7JiKcxAmYwIIUEQEUADQQwVJGLMBCY + CFxEJIO5/y22nBaZlwOnBd198/Vfv/jG4VknnvvcU59/3ikvmbUtQ9kcch0tBA4KaTBp6m457b97 + x20lrIRoWcUqwBCUAotW+cF293HpOFKLFgyqBzNkxwYT48ApVIHYRFXVTBCmMdGrA4CsZmYE4mKo + KtJsJhhygrBlhBCESg5dYRUGGdgQjMmYUB5/Mq4RjABj1gQyiQ0IUZF0GkaeA4iQFAA6BhTJsJaM + 3veAN4KBRUQJiH20tghkuhgEsj6kWUIQYxSMW2Md8JgevH/hrit3Xxq+Njh2/oRnP+t5zznl3FNn + T56P86kbURsTz5BxsVbJLEg2NaaAwNkixWRRpRiV6ZJMCyl5tcqTsRrJ22cq97vFWjVtH7gOC9Ca + pCY2Q5mGQxiJmImFQMYoACuCUeg3RtJ+s88CigBRKRYjpRBzyQc1gNvvnquLpNPdggBD4NAWISIK + UDUjMAWFGZQI0QBCXwCbuWgpUUoVNQeUDlwbCo+7bq7aAj2I7eFgERCUAS1BjczWJTSzWBUiU+i6 + RgmUgpEQqIK13URnJY9Wbth3/WXf+NytO67vsIS50v80JTUjVtZpqXFUAbEGQgD1fdr7vduUzJRB + IGUyExUSrVH61WggAzGo74uuRtMQgmkNplAhRWI2hfUnpxCISayUrMwggPsceTU1ZYsgbRk8wKRb + YW7H5ba7r7j9Szd/7qVnv/yVz37thnhcNdkQhRINmSKoFAiIzAppDMwAVBVQMNk0i7eAjA0gJU2r + m8TRE5K6LmyblKZrTgFmiqo6HcYBKUBmCnCkrmtCCBa5lGIaIpOCOKY2tDlN7p9su/KWL331li/u + GW9PG9FpY6SCDoAyqN/8S2ag7iu2uyIAEyOatNKZEFcVqgHXuZkwc6gHTQYhAQAroCCG9ccEsm8V + ZvAEK6AqMLUUiFXVTMOAmyyRGITM0g6Wtq3c+A9f/rtr7/j6huOGjS5GAGRWWiUwGARVNYAIhH59 + iUF4Oj+Aqd+8CP3Vp1UKA0SnJ5r+yGMQMpgxOKDf86AEZS08xhz2yL3/7epP3r799jd81xvPOPac + sFKPmo0hV/VsvbyyEqo6wLq2SSkZqYIVQcmYCkz+f/buPM6yo7oT/O+ciLj3LZlZi1RaEZIQq5GQ + jNkRQrINY2MDhvZYNuCdtj30eHq6x8182h6Pu2c87m5sd7c9YBsb0SBgjLG8yFiIBiQBkpCE0L7v + u1Sl2nJ5y7034pwzf8TLrCxtqIRLKknx/dSnPpkvX7687764cd+LiHt+wZhUhffv+nYyzjNpRkok + sMQAaQV1Qt5YxUWQOFMSOOXgfNu2QsLBG+WmzABbktnbJwcQJekU5l0AfEoJVcKwXZHttzx4/eU3 + X3jT/demeprqNvlWWPOlBS6u7m4yJSQDmZmBGEZIrMkJETkiUGQXDF6jsB1Y7fPZT5nRdR0A772q + MnkADtTF6JxzzF0z7VXV7/3u/zUej88//5vBuxgFALswy7JaHameWXdFytrta1HWazOPeapxOp32 + +/087s1cXtznkdw2cjPIM9G5VazdsvZ1URRF8Qx6khfjlR67KIqi+N7lc05Vha7rkkhd11E6AGLk + vPvTT/yZAHAEMeg+Z1ISoNIxILkwBKFtOhAmnXzyzM986Ff+OXsvMYJAbMSkqt817PMAt76mw9pw + +iN+unYfNjjniUhUFdixc9cfffSjChDDFF1MubaFaARmlTXMUPnQpUjMMDZVZjZNBMQYf+1XftUR + Q5WIptNpr9eTR43dPvmqE4+4pyapQxXbLjgPILbdCa88/rUnnnT5NVcLQMyqCgIxmxnUzAxme9aQ + kxoAJhgUxobPn/X597/vp19z0veLJCIztaqqmskUQF3XkDw2XVagF88dex1TxqtjdmBGUpEYyfSX + f+EXfv8/fSSKANA8+5IHAAnETAZVXf8ZYPX4yt/obCTQAIPJbA73v/zx//ue97yHfSDHbezqOuRx + 8kf3D0/s0Unzj+5P1t+inVYUiBhqTdN8/OMfd+yS5qTjWVcvYgBy5nFO65UUCfjQr3xoUNWkxkYG + EtO1p5n3wIGWaY3H2j+rHu+8tufj3OpEdp50JWZUvmZAutUo5bzmYH+y73J6eOSzWJ3ZWf+iGwgx + tlXte3Ugm228aAQ9TduvT/RC7I00QWmW724iKQ+TMtlv/Ot/df7551994w2qAgN7pyKw2ZQ4gPUT + o48VRQ0AYI6xy3eYxvhvf/v/OPW00w7asOCYmV3bNv1+P8ZWaTbIX1fVeDyuqkoU7J2YiRn78OHf + /K37HtqaAOd8lOTYiYpZAhtAUHM8mxYIoPf9zOm//As/n7rOEbOzLra+CkmFHJ74Bcj7bf3/RVEU + RVEUz3l57cQzvRVFURRPhfd+/VRyXnu23trasKIoiqIoiqIoiqIoiqIoiqIoiqIoiqIo9tVaFZe8 + BrtMwR8AlAHYrEzcunpxAHK1N8Hq2n4F5cp4DjkvxQAGMQhmSJbgYMaWr28jGEhAUIV2gPaIVFka + 3+eN8254+PEvOO373nHHQzdeftNFtz14Q0vL3jeNTFHPQqRBYCYDVC0pnIPkknxu9eoDgsFaDwBs + IAUJA8ZOOh5PaKXzK/ffedsFd577kiO+73WvfPOxhx3nuoVN2OwkgEgdialgVveeDW3bes+hcp2I + QsjBJDE7Z6pg5EuUckVAYy+ewEIGZmFNjMhIDBjcAXPFntLqJQzGj8i3Xisame+I1QDskn797KKq + zrn1KzYf8e0zosRaF0VRFEVRFEVRFEVR/FOalRgzhXm2HPuzLgfHmKGSq6c9U5v4XJGLy4sIM9d1 + 3TRNr9crMxlFURRFURRFURRFUTyX5GzjPRWA91pDtqc4MhGllHIGaIyxrqtQVc1kakQUmAe2q3u4 + 641v33nrP178xQdG99jctHErsRc5R1+qkq2P+QPbrNhwrrbMjnICdV5PCuTUWWNActTCaka1CFQx + qNgUMFMzGIgdOzICEQmENKkBgAoAmIIssjE7rBZGhnOzZyliSYQILqfeGpTAfZmmTnXKDA5IafG6 + rbtve+j6L3/znJcfedJJx73+hQcfN19tcOTY0LpuUk2+fMm5y91OG3QiiT1SAjMciVkS7iYySpTM + ZBZZuS+UIJBWYhO7yCAfiIOYE02SX0EGA0ZGOWlTpQ6um0hds3OuHbeBQ6/uTyYTV/Ns52I2zkjG + NFuhuc8Y0EcU2DaAeFbjfXV80mY50TkMG2xQAhkTYJSXV+ojSzPvie1UIwhBSY2UHBrpSJd9VQfp + PRAXt91wxyXXDY6aO+qEY77/xOO+f8vgsHY6CdrzXCeR4D0jqpqZqpKYOHN70tFBRrrub82qTz8a + Gchye8xB1wywEbx3HJiZjYwckwO8gcFARSDnnTLUYGK5GjUQetREI9hw2GunzWQcBwMW27sE/hMi + 2ytmey3TGoYuioFcYJASgwikICMXHABLplGFc8sEMyBKgAm8R9O149hQ5bXRpyG0xNaW/WJPB1T7 + umvaFKeDuX5EmsqU+36lG0ulvFHu2nnb1y796pUPfmfSW4qDyaRpeh5sCMmv76zY4El95c0spc7I + fO0cQVVVjD0xO1MVkWRwDAokasjpyAwGkxEMUOMc/pHXuBOMZ7HnZjBGMjUysBCBiJzOutPZIWEM + zNqtq1hYo0vkUuhR59Pdk5tXbthx5Q2Xn3zC29/8yh/uJ2pXmopqiSmEEKM4x3UvWJKmabyvvK8m + Tecr5JXbs/75gEy3JSgrg6AEA2TdcLpIx3Cecoy9wdScsYOZ9UKdVE3gvU8aOzSobWrLD08fvPKG + y799y4UPT+/zmwRzzY5x06seN6dh79vzSmjVfA4xJqO8FF4JDgzL55/VkoIEA4MOuJQWT75r43zd + j9OGmavKL4/Hw41zXWqmWEmD0dX3fOvvLvrMw+n++RfVu5cXg1vtc9eW+xMwW+wOQFkBWr+v1Gad + cG5Ra+2KV98J7NVBzeK/jcnAYIUyoIzc24mtDOf9tfd/Z6Vdetdp7z16/sW11L2qXlpergdDNh6P + V7YcvHFltEQUjKA5V2ft7z75SJ/vjYFWn6DlHt4AgI1m3cFa2ck2JngOoQJbG2OOH1aVynkoMXNK + KWlX1zUcj9uROqGBjnX3bXdd/+1bL77pgatHtMvNaetacbOTHVm+umDWSyCnFBB41rGrEYxUOJ93 + cvJ6YguW23Cx/+Xl+GtThG07PfjgzX/wkf/4ax/69csuu6JX99ZXI32SgZfrOedijM65fr+fH6Fk + Wj+vtG1b1zVWA62Zee2WlBJWQ9bLlHRRFEVRFEVRPH9472OMKSVmdoSmaapeiCogOvfLX77rnnuQ + Y0cJzntJ6Xsdv6JZVY9PffYzv/YrvyqqRqhD1XZTs+Q47Psn3WerXEDBV2HcTIl9f27wW7/+L3Yv + jZjXDVs/+reYkwoA01nIKzMDARp/6K2nvvDIF6aUKmbnXCIi2hMqvX/YB973/suvuZqBPMQ1ayRP + 9BtmgHdMQEz6O//+333l3HNT28Gkcn72EVXUkogoHBOVcM3iuS+PwzgihDAA/uX/8usf+c//lWaz + 2Lw2bWCqZmDmfPivDcXPuov1h/vex/1td97xxXO+9K4f/7GKmb1LKQUmVSXj/Xp85aI5o9G46vce + fvjhCy64IMJ8CDHK3nfkWdEe75um8cCGufmfeOe7+lW/mY4lJWbe++mB8szvftz2A8zjzCA/04hW + l1bsXSroUffb75HWe3zvTbr2QWEf/ehHf/Qd71hupo4hSb77rz3GphgAcqyiCuxcXvqN//3Dnzvz + M3E6aafTDRs3jEcj1VTXtZjCMJ6O+sM+wWmKYgZmGJ39xX/4wl//TQdh5ztJBrjgUytms6PfOVKx + mllVj3/Fy//D//27ubYUr9vluWjXE8+A5f22/v+iKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqi + KIqiKIqiODCsq3e0V9GzR9ZBsr1W0z/izrPV9av/5/sDQGIlKBtA5gxO2KT2UjsKiPXxh256xdGv + vnfHLZdef8Ht990wscVJHHXcGakxadJkcAG9XkiqIuKI2LFESRHOoa5JxZRyFUHOVxQqJePk5t24 + 20XeTXXlirt33nzftS8+4qXHH3Him49767BeYAQkggQiT0aWxJjruiayLkU1Zc8wpIjgGcYMKFjB + wlBWVjhKBJev/sjXFDgDPX0VtvaBAURqyIUN96o+WhT7Q4m1LoqiKIqiKIqiKIqiKJ6V1sqIi4hz + rtfrdV1XVdXjVagv5cWLoiiKoiiKoiiKonh2sNU628azrGljJQC6Fj2tq18wACicE40AB+8815Zg + beLkrF/t7HbYYHJ/e8c5X/nrW++9vj8f4EbjtJJIHZPnQAqIM1J4JDOsZjqSwot36o00Uic5XptA + BJATJTVDjhglJZhTZgY7NYYYDEQGMSIzFWMzYzLTWeowGzOYQWRs4Eg50NQEkod23CwqmwjBg5mS + WSd5dR1iSvnX2eAJIThDHDW7Wjf69gPbrr7v6xt7W154yIuOPvwlWzYc7kJ98/23fvu6C2mgkduk + MIAcREFkBlWkyXSs86IgA4HY9qUasZGmmowDzw3h+ol7yWqRytceaNSEEkBgMnYGIAA2lQF57VQ4 + Uo1Oo1isNvg2dTlJ2lkOPIZTAGqz3NMnZbU2uxKEwWRsUBjUmFEJSCFAkllBcy8EYc0pqjn0lwBW + JYDXt7JVCgjn5OZZxiegbFAGE7GZKIRb5pYYCgiqG1Z23H7tzedd/4+vOuZVbz3hbUfOHyfT+blq + g7YSBEbqnGPvTZAst/PZqtZZ/jZmQc4K7JW+PltkCZ4tBlVAjSEsykk5tak1MxHpCAY1EiHzal7g + zBsFM4hFc0YMcpg28N5Cv4ptt7zc9B029ULqku7bCrtZnvHqStxZprXCkw/BwdAkMRAqBwdro6VW + nQsBFTkVao0USqSE5Ji8p7Zfs0nF3pu3RNET7b/q5gYkVgAKT6ZkCjI2IdOYiJkHC4NJHKMW9NJi + u3t4WP/arddecsnXr7n1kpEtYehTijbCfD1n0gBJOeXy4MIKgA0ck2pSAjyEkVRWK4sDqk7UMbgC + gTtVVfNMpmYCyy/3aoMUgZlqzvRdDX83RmeK1UbDyK3cnMAbO6mAvGtFOCknJVgHz8YGg8XUoEJU + fVi2LmO847q/uvTOS0896YdPeuFrUtPrh6EJDXpzMcaVlUV26PWHotwmqnvDaA1I8uGweiDmMu4H + UMwtG4ImANFxJE6cjzUl0+A8K4mImSlFJVEvRGytY3H9emAmk+mKG5gORg9M77zo5vOuvu/bd+++ + 08/BD/3SJLkpb55baNNI6QB6yvsVG0trC/Mblkbbq5pCVTXj8bA/N520vAkj2f2Vy//y4uu+Fmvp + 9YdLO5d8j4WQVvtzW9e7knGOtQZACp41GxVe6/9nt+BR4Qfrv157kNWfMUgNaBrMz3vzvHPX7i0L + Wx5avO/Mv/vEe0/9qdcf+yaZJDdY6FqZG1T9ujceT7yro+XYjwQDm2dTnaW272c5PGAWWsR7Hz46 + uwZgdhECG3HnCWyO2STnzyKwU6ipOuckCXs3Vw3bpkutDjYPd9b3X3HHt6649tK7t93euRFtUBe4 + 1SaRCs/+at6A/f5Mi+9BThQ2M1Xt9/shhKWlpYMOOuhP//RPPvCBn73lltvYUUqxV9VJLT0iKSq/ + yt/tbZX3fjUlXXPKdQhhPz2d4gCROxERqeuaiHIbyz8KIcQY67pu29bMUkrOuWd2a4uiKIqiKIqi + eDqZKRHyItUQQkrJudAl9ZX/5Cc/KatRlM6zpARmyD/N4Nidd9/9jQu/+YMnn1wHvzxaqesQfI0c + of38kIfFJk3nQ22Eq6695ktf+hKA4Ouma/e6696f9DUn2jqnCpiJRJg54H3ve9/CwkLbTPLnfe+9 + qu7vKNR3vetd//Z3fnvaNskMADM/cfhnzr1OSZlAwOVXXHXWWWf95Hveq4mcC6lryHFMSVU9M5ge + b710UTwb2eok9SNvN6uqqm1brkJd16effvpHP/axaRujQaGzAb+cZk1YOyiefF8cRc4444z3/sS7 + m8lk0OtpUjPaT33D+ucYY6wH/cHcsEnxv/zxHyUYgWKMj1dcJqVEgCP65x/84KGHHjpeGTlG5UNS + wSOidkvHUOw3bdvC0auOP+E3f/M3f+v//G1ROIatnnyfVNObTaALnDORygdJkYB/OPecMz/32fef + /lMbBoOl5cVer8fwqto17dzcnLZJRGDWNE3VGzDz1q0P/5sPfzhCHOeVJQDQdR0IznuR5L0zUQDM + PKh7n/70p/v9Pmy2tKAoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiiempEYwklzi + j3PRP2Myt7w4mVvYnCSuLC4es3Dii9924gPb777h7isvuvH8FdkpmGqI4lIrXUpOKHQphdAjg8ZY + M+bnWLs4WbHQW7sYQWelBg1kqMxDjBS9iuF0NN129f07bt127Veu++KJL3316176phdseNGgWXDT + 2knNxirJHMSSMZicE8cIw3ohthGAkRlDmJITcZEgSOTNmTqAnKEW1Al1Qjzg6k7pWtg2W66fB2B2 + WeSs7B4ArJUsO+CeQLFPcs2rZ3YbSqx1URRFURRFURRFURRF8WxV13UeNP2aHAAAIABJREFUX1tf + UL7EVxdFURRFURRFURRF8WyXF4WtjnGorsYLG+W42dnta/dttXW1g5GmBCU2kCPXo2lYXKatZ5// + V1fddan2x7Q5imvbZoVdLohvZmJKCgMTUQ48BgAmOIChBCUDE5QAAxGBHcEpg5UCezNjIU2W/26A + Y+Y2RWbvnGPyRGRGqmpm7J1ZzkxVQJVUNZmJD5RDu43UswlM1QyI3SzcWtiI4ABiIqI2anCOgRSl + ixCSiis3JDhVacZpZdzt2P7Qfdc9dIWTgYrvpJMw8XUUhevxtNPaQfJzpQQn4+kyNliCMbunsJpL + Y2derYltF2kc5tLBnCqGGqKZwITNiM0sJhMRca7qDepGmkm3Ug9ZgzadiiYwcmYnGVhzbKcCkH0c + 7qIcHoyk8GysOViZWEAKVhiI7RELEA0AlGYxwErg1f8fUT6eSc2gmGVak3FOHmVF1yg5VDUYIIE6 + BAcXuiiYaopx5fxb7v/2jZeddOwbTnnl2160+RUV9TYMNrDQZDJJiKGuhRGTMYHxGLm4xml1Y2Zp + 1vkLMmbo+gL1bBxS7VZ6C93BgWOKMGLyZLELYOrIwUfm5JL4DtaJNslkYX6wOJ60qatr9qYEsHlT + gdFjbc7jM09QRlpb8qmoDE7NYozEFjw8YC002pD7KZKnfkBtAkVLRAznEEiDtTLVRR5RbfXG3sG6 + 2FTYRPt5xaHSnmWpuT3kyHPVFHr1pJtKT6NvtN81fvdXL/nbC2+9YOwX0/yy6BQAsQtUQ2DwIAgl + EAwKmrXMPI5rhAQCEcMAU8Us6l6hAmsB4+D6gYNOzBGRcc6YdKBZ1CipkSkpyMxUkqpqIqGgRuoA + ylnXAgd4AoyVaNZwIEaa1+c6h4qZGDFKN1XycD2AMNXxqJ0sjXbd9bWb33DcyW9/7Y9t6R3eTwuj + pel8b2EwN4yxjUm9rwjcppZd2tMt58R3SoABB1DypeYY+1kkzNoLzQDMTCBMxmzOsTqKMFXzVah8 + mDRLrU7rzfbA6O6vX/jfv3XL+Sthu8wJb0YLiKV6CB99Ox3j+RT8SoaeD9OVUdWvE5ppbFztu9S6 + od67csdXr/nbq+67oOkvCzl2fq63MG4nFtTW+pPVbnb2He3pbxXMBmVV2juLAnvuvGZ1pXled543 + TGftkPIJQOsao2liw8Ytc6ltpJ2S078577PNG1dOPelHp9vpoPkjJivjQRWmXfQVzTp2GIzYPMCG + BNL9H4ax7txkTnMPSgyz/D6BoaREBpgHyFXcxqgaGURkDp6MoeYpiAjXrpVmabrb9/1Ks3zLbded + c9XnF7GtkXHqdxpSoi6JJALzbE+unVmKA1meB/Tei8h4PO73+2Y2Ho+3bNly5pln/tzP/dyNN95a + 16Ft29V89H2TZx5zqnFOLy6Z1s8HzAzAOZdfdxEBQEQ51xzr8tRz7FmZjy6KoiiKoiiK54+UUv4Q + KqZk7KvQpQSmG2+5+eKLL648T5N6z5JzE2mfhpIfZd3YiyP62Mc+9o63vw1RfBXyx5bpdFpVve/t + CT3LhBDMUUzpI7//B9MoCiSV/AFtz51sTwEGMwWQB5NhCoPBCJgbzJ122mmT6WhY9dpmKiIhhLZt + 2e3HT/0MbN68+a0nv+Xcr33VOUpiKaXZz+ixwz8lpaquu6YlgiMytf/0kd9/xzveMQh1jJG9U1UR + YeYQQifpMQcPi+I5xszy4DkZUkqHH374e9/zns98/gsACGQwEJjZiFTEYHhEXr097hGXEfCdK664 + 7LLLfuD7v7/ruuAYjnJI9n5V9XuTyaTq9x946MHP/9Xne6Eax47ocacBCegFX5H74Ac/OB6NKu+Z + rOs69gfQPFTx3EaAmfWq3qRtf+kXf/H888//+oXfTCppXyeP8lGpCgOYFKh9cKq/+3v/z6mnnHzw + 5oN8VYlIPgZ7vV7Xdb160Mam67qFjZunbRtF/sWv/887F3c758VMUjSgqqoca62qjih1QnmaVvSM + T3/iiMMOc8TQ7+mdWlEURVEURVEURVEURVEURVEURVEURVEURVEURVEURVEURVE8n7AQjEAQJkDB + q5ftHLRwUNNGM78QDpa269rmCP/iw044+uTX/vDVt112+TUX37v99o7H3KsTa+xSz3mJogDBuqRt + I5XHYEgp2dpC/z1Fggxd2wZHcNbJiJn9hkq1W+4eHrvFr950/2U3X/yKQ094w0tPeeURJy7wpm5F + kXhQD8ycRAE4RRXpzIHIA1CoQnOdxfzPZnXhCMiVHo3NGPlSpQNFrhS55xLC2dWrJb76ucbM8iWx + +bLZEmtdFEVRFEVRFEVRFEVRFE+FmYmI9945l8vKV1WVR9+e6U0riqIoiqIoiqIoiqL43hgTkJeO + rcZV2trCshyXmu8GsJKCSEQsqSNfu15EWqGlNixdcvt537j+yw8196cNrQYjsuVpW/fJUS46DIMo + 5yVrLAo2Jujqo0NJwR0A5h6EkgmgRkosbM5bQKvBqpDqkPq1DearTRv6mwa9/sKmoXMuhBBCzcwE + l2NoU0pRY9u24+loPBmNRsvj6WQsK91wNMUoSUOszpnzxERmVFdDVUtJU4zOcajILKYkA4ZFEYIB + 8EjKUQCYNLFfU+iz1jpOo+U04sp7GlDHg55bSVPzqCtnnUaBc1CFQsFpNF2BgzFZDqPFPpQzdoba + CJHEVXV16Nte/vbXHP0acRI1wtgReXPMgEmM7bSdTNLyA7vvu/X+G7euPMg+TDBCX6s6TaMygwFW + OJ2FRoNyZeV9K6/Ms0LreyU9CyvUjMRICSo8y091Bi+eTI2wlp+qOcicFACZ5lTjPYNulJuIkoFn + f4MBeIaamkBplkfeJjRTON+xl4bU1X4ali/c9qUbtn3n+MNe++Ov/h9jPGyg8x5VVTkhbVNUQiDW + vdfUaV5MSba6jlIxi9OexS4LMRGzscI59VXn2fhnT/m1UdwuxCAv5oiIVZhVTSap2bqy865td96z + 48Zd04eEtPIyadqN83MNTZskVY3YYamd9qqeoduHvW9MRjlRmQAYDDA4Iwab50rSNE1ROerbEKly + bX9L/9BD5448csuxhxx0+NzcfPA1qVNxbD3niHqNaONMw7Q6PB7sxEcW+d6yUZ4Q57an1IFMbZYN + DYC9TbsR9/2U0sRPb7n3yvMuP/vB7p6dvHtiTXDo9cEGaSXJxLEzCgZvpCAlAhlYAGBRUFUgQ2wN + sF7PeaZWEwmREpmvMOhRn7XGCmuHhf5C4FBVVVVVzjnVlFISjZ3EmLppaqJ0ggQ2OEaILUadNWZ7 + 4rmNSJ1LAFiMBFBQIsDnvFNHnUgwVAznYIAqomoLHSyEldHSsGouv++COx668dQT/4dXH/eGLYe8 + oBmvePTYDVIjZOK9MrUgM8pBvMwAQRkCQJEPlAOCEJLP8ckCiFdiY8ADnFTZqXkT7UgMiUmdillf + d0y30kK3bXrP+Refc+UdlzRhxW0xMoOBEyqDGZJBXcc1BE8UDvFcQ8qkMU291eSqNjWh1uSmi7L1 + 7y8547bFaxZpN/U0xhYRFQ2qEDpM9/p1YHVCQwEYQQ0gBiAA9o6vzjv20UE1/Lg7PIdDM0yTpl4/ + TCZxsRkNvaMhT1Pqgj/nirOqYf2ao9/RtBOYxI7rqi+WAMkNlyz/XRJm7O/F7KQwIyjlbGliwJTA + ADifjwCAwGwgI4B9gooYm/dMRiqiCTCKIlRRm6Y6bGLYfdmdl1543fn3Ld4Re6PITaoUjHzWIwfP + lJKx5X21tjUl2ODAlSOH27bt9XpN08QYe72ec2H37t2HHLLlE5/4iw984GfvuuteAN77PWFRs/ab + j4t8y2O/ynmJf13XAMwsxlhV1f59SsUBIH9Ucc4RUc60zi0t3ygiqmpmquqcy2FyRVEURVEURVE8 + TxARM4upqcYYyXGMsTfof+q/fTqnLANwHGJqQ13Fbl8Gk59QMvvWJZfcdscdh285ZOPC/Hg8rgOF + sB8zmJ8p9KhRr/z12u1N191w003/+KVz8wf6lBJ7Z0mwPql2dVSHicXUzCQlAOwdlFnt1LecfNCm + zdOVZfXqnDNRZTwNi42li+9/3/vO/dpXHSjBLM/5EOHRlQXyDYQ8mmEGMSPgjrvv+esvnPVLv/Dz + 45VRr6pU1XtPREpIKblQSgQUzykK8LrjklYPlDxGR86Nx+Ph3PBDv/prn/38FwggBxirqaQEorWD + ay3s/skwIEn6wz/8w78966zxygoR9etqMpn4/RYXnXs5MfN1HVU++alPJVgbOwW89zHO0nxhWD+G + GZhiTD99+k8efuhh1HUEmDz2RRNGDICsjHIXT12e3FzfvMhghOFw2MROYtsfDv/0T/7kda9//dLK + cuW4k3XT+49ulY866RGzqTrnuq4jgIgalfsf3vYffv8j//kP/lBFoGog771nN+3G6n2MsnHj5tFk + 0qX0qTPPvPCiixQwSWsNPWdaO+8lJnLkgOAdGX71g7/8I29/WzudMrOK0rq+BavHS1EURVEURVEU + RVEURVEURVEURVEURVEURVEURVEURVEURVEUj8KwfH2NAGnPJXDAysqyd3XPVyrmEnsK5KBTwah3 + yrH/7I3H/OgdD91w5S0X3nz/lTum97HvUqXBQ9lHMXLB1U5Mmi465wnKxmTq1i6lIahDhOWiiGZi + 3bRyqAe+baahX0+w6zvbL7zqwcuO2njMG172lh849rWbcchk0tmYKzfo9/pdJVESAneSclkvQBlM + CmeerKrEOQsCKEEoCmv0XXQwO+DqpxFs3aVGa3T9PYBckrR4lnn0pWG5XuszsjFryjWrRVEURVEU + RVEURVEUxbMSEXk/G90aj8fe+7qun6CM+DM+ElcURVEURVEURVEURfEkrQuw1tVA69kCsj3BvuBc + TZiNjazpphvmFpRscbwrbMS9i7ecfcHnb9rxnSYsuXkTkjah6lEVqGut1lndYXWziGIzNYGDRw5k + NQWpEYShgDdm8xVqNlACG3v1weojD37BIQuHv/DQF73goKM3D7f03ZxH7UAikYkIjpkp5xsDAETE + SAEIxExFJErX8fTW7TdtXXngwW337tj94Eq7O3ZtREoksYsu+NqHyrxop62AlA1OkRRwcIHNeVVA + HTP3udIU29QagSqgh9ZSG5c31AvjZkUrGGHSRO+hurZRiTiOprvztzlEnI33Jc9SWYXYLCKoO8gd + tGnzISDqUqpdn5RIyRGRmaATiZGmxx+18mNv/old7c4rbrnsklsu3rpyb1dNXVhLMIflAE/ASJWf + 0hrH1bxGmiWeKgBzzVpiOgEgkIGM1wo3s6kCRoq9AyRsNWGB8p6hPT/SvKNyPDB5WBJRMzgGM6oK + jtF2SCLwmGpcaWN/HmPb8e37z7v73jt+5DXvfv1LThlioY0wIXYcnNeUN2itqSsb52RrJeVZ3Kli + tuVkZDlwXUhzKKkzcjG8oHesDo6AeViABTNzpOy0TV2L9kUH25tOOC31lh/adfs1N1x6/S3X7JqO + o0isEcJUo0RF8IguqT6Fsu+rvzJb7mmAiohnCr4K5ngSuBu+/KgT3nj8yS87/OV9mwsyZ8mZEcE5 + eFOvKQiMQtfFpaqyXh38lKvgk8k+FON/qnJg+Frlf2GQZ3ga88rObus3L/vaxTd+rQs7R2G5C+or + OKBtQYraIwQkFVPaUwd8NRldCGGANiEwBkOPDjJKqm4hbOjGVru5Db2NWzYcfsTBR79gy1FbNm6Z + 6y3Mh3mGy+ExefRXYckSMwmnpHHSTHYubd+2fevW7Q8vjrY/sOPO1kYJqkHVaUddtJjIjNQoAQBp + DjtxBhiSmQiY4T08wwhirKQ9T+NxHMxBYjuKXddOvnTl39y/4+7TTnj7kfMvjo0h6obhRmmbyXR5 + fmEwjV1OW19Le6c9a7APFEZIzErqDE7hzNiUbHY0sfNmKSmrdi6QqzyFuIKHH+ruuuSSiy6/9aIl + bKMF7VzTRjiCm2XLMzsYmcCSYv8HwRxQtE3jhYXhqGtViUKltTw8uevcS8+6cdtlo2o5elUzeLBH + 0s6Swe+plW+0rnXQugYzi5pYH767Bz3+GWHt0Wzt0WwWTO+cH43icMG307TcylxPosbhQn/3yva/ + v+iv5+rDX7bxxE1zh1BLMUbn86FrZMTGMOjT9bIyVHMPD54tlF8XP7zaL62dttQpBWKCwSCiBLia + zZNRani6K2674qZvXXTT1x6Y3iNz02Zu4gJUMQuwNojCIgBznE9wucvSfCFCccCKMdZ13batqg6H + wxxxbdYsbJhrmmbTpk2f+9xn3/nOd+3evSiSvvvDPYqZMXPbtjnfuqqqrutKsvVzHhHlvOrcAHKI + NYCcng5AREIIOWeltIeiKIqiKIqieF4hopSSwbz3XYoEhLravmvn353995iNVaDpWgBPIdPa1k9F + PCqF1cw+/vG/+I+/97ttjABUta7rrnsqn3afpYwQU3TBf/TP/lQBxyRqIKjI2n3o8adQ2DkGiYoB + p59+etu2c3NzzWjcr2sxxBhDCLKfh4EM8pa3vGVY1aOu9d6nPFiRk3cfa9OJOT87tdkArGP81z/+ + o3/2k+8d9vsqClPvnCYxM+f2V+ZuUTz97PEPZjPz3quZdF3lPRle9pKX/viPvP3sL38liTETO6ci + MCPmfb1YIM8YJ+CCb3zzqmuuOelVJ2iSNnZPw/EVU6r6vR3bH/70Z86sfJikaEBMEWuTa+v2CQOq + 5oF//b/+K+kiq0pKlQ9VVcWnNApaFE8BGSbjMTHPD+embbNpw8a/+PM//6mf+Wnb97OpiTrnRAQA + O9fEDkAv+M/85eff/e53v+2008gAVYmJklahZ2auCruXlnqD/h233fbbv/M7XX7rxI4JZmY6W8PA + zAKYWGBOSX7wLaf8+9/5d+OVlUGvH5vWl1NnURRFURRFURRFURRFURRFURRFURRFURRFURRFURRF + URRFUTxJxs4qAwgJlC/ByVf26Yb5Yewkdi0A772xS12UpPP15rg9EsmLF44/7pQX7ZiedvXtF19z + 5+UPLd0bNSY2YktmkgyOqPJiwlAonDEMBDZShRJDDAqEGp6hCdqimSYf0EpraH3t4d0doxu3f+fB + i64679XHvO51L3njsS94abcyGo9X6mroKj9tR85XgAJKMFbAIOycMksAOcBAqpTDrdnWV+56dimZ + 1s8JRAR75sN0Sqx1URRFURRFURRFURRF8ayUi8oBEJHhcAhAdU+Bm6IoiqIoiqIoiqIoimcxYzwy + XZgBkIGNZkGixmsxkx7O9/zOpUW3YHLIypeuOPurV/99nGunG8f1XH+0tMIOnjFascE8yAEdGCAC + DMrIj0zmGEyWc2OTsGrOvTZYEovMkQZu4xHzR734sJe+/MjjX3jQsUM/561iOFPSpJoEbAw31AUo + zMjMzGwtgZIcA0pEYAJUyYxMNB126NFyWGpf2qykpW2jB2976OabH7j2/l13d27apXEryXsGQUWZ + uK5qpEhkCUiKKJ0YmBGImtacgsybWcemTi3AVTSaLoPQr9F0kIiqcg5qYg4gJKNu2iwbokIY+7wy + T0kbTsyAp86SAKww4pqH6GACFRUzInOeK9erXW+D2zxenm7S3tte+d6TXv6Gb1x13mW3XdzocmsT + 4WiAzFKt1QjIyeNPfo2ZzSqwyywNnSkHUc/yU5UpNySQAcpGlFiNExlmGdgGWi09nTdAKCdYsxGD + 4EzJIIQ9ieVQJaTYOXbBVQCrqkQ1Q2IjsoqpS1IDc73QNHFXHG/aLPeuXPeZq+659L4Lf+gH3vnq + Y96kuxGXR5vnD2rNAOZ1Ce6EtJY0rgRA2dxqqXkjJCMBdUqAGUxZE0EQrXKVKpE6kDMzOGPlOR3M + +YXWMF6exGUcE44/7lUvedeJP3PVTTd9/Zpv3LHzluGhc13YvYLWHBIS7dMyU1LAQAk023tQgDsy + Tm3aUA+7ZelG7tUveuMP/cCPHr35RT5WPjnToKmiRAR1DJgSlAkwIoRBvZGsI0aH1HVj1Pt1/ejs + tXeaI8xNYUaU2KZop1i59cErL7jiH+5dvAkLXdTWezckL1EgChg7EJAiosKFRDnURT1BNT8jggfa + hCTQkIKEIIOD/OGHD4551fe9/gWbjnvhIS8aVAtt27bdlCvrh16QiiKJSM4XJwYxmzMziUhiaUBy + 0NwRL9twonuZI0acjh54+L4bH7jxju233z+5t007NSTyAJRWU9iNYEBiwBCYe4EIogmaoAYCnKtI + dd6jWUq9Puqh79AsytZv3X7eXffd+uOnnP6KI97oDQmtD+QTxaZldkIOlhdkKltOycWe+IEDABnI + wLPAYDVSGJwlMvbCMBKqKx+0XzVuZUf7wPb2/vOu+eKN912z0q30Ng8d1+NuTOTrClCBGIGZ2ZRi + UiX2ns0injeJwEbggLabztfzi5NRclhstp1z9V9+665zdZCox2RkZj64GCVZ6veCxLWOU2EAKeUQ + awI/op8xBVht/RLffE9d28P5gdZ3ULIWJZ+buwFQMtUkVYXJJM3N+3aSRg02zfPupencfL3cLP3V + Vz7xSz/266Ef5sImBjTmQwZsfvXNhq0mZO//F5eUDQoYzd4LqeXTktKevcE2e2Nh3mDqUxSCd320 + PNkdH2qr5avuuuxbN13wwMo9OtDphmaqFgIsgQWekVdu5+wCZtbZjsv9Hvacf5+N1xg8D8QYB4NB + v99PKQEgIu+9c65pJt5X7NyWQw76whf+6md+5n3btu10jNVAjXWB8U+Oc46I2rYtGcbPK0SkqkRE + RACapskx6t77lFJKqaoqM8s/LYqiKIqiKIrieUJE2LucIkzOgfmvv3DW9t2LClTBNzEBqOu67Vpi + fgrJjo9JAYGd9bd/8+EP/8bBGzdWvV5s224yrXz4J3n8Z5Y96kNVHodZPyZjBCOEunfFNVf/3dln + KyBqAKq67roO667Mzw+Wvxdbm96AI44xErBpYeEtJ5+sqfN1nT/3OeeSxqdh7IdBG+bn3vymN33l + 6xeYaA60JsBsdZBwb7n95EEJS4m965Lcff8D/3D2F3/uAx+I2sJMRAxGat77Pc+3KJ6LbPXwZubU + dUml1+t1XRdj/NCHPvTVr39zuWlUNQSvef5nH0dsDCAg+JBSVNhH/+RjH//Yxwjokvaqal/7830a + TzZCPegvLi/9f5//wuJojNXugJhtr/HMPTzw7nf++EuOOza2nSTpVXUevWTPj/iFA2heqniW23uu + xDB7w9OltgvOM9Mpb3rjL73//Z/83Od4tTDVY1t/ql7FzCLinLPVcjtNSoHxv/2b37jgq+dt3rgR + qqpa1f0mdkm1NxiwS7sWl371f/qQrK59EJX8u+Q4n+Jj1+U/qKqHbN74yTP+QlWHwyGDlNIjN2Lv + dyBFURRFURRFURRFURRFURRFURRFURRFURRFURRFURRFURRFUaxhgIRnS/hJAQYpwwAsruyq69r3 + g4hE7QhwFbmKSK3ywYhEvE6qyg0Petmxb3jJO+/cfvOl133z+nsvp2E33OBW0mjSRapgDgKEXFNL + PcwboEAXO18zs7WddIIeo3YwRmQAYIVTZngjWUrbl7tdu+566Ou3ffllR77yTSe89bgtr7Q2hmbQ + q+ZEBFDGrFqV5d8mGBlIlExYATU40hpGj77u4JmVK3Ctfje7hOIRPycDjFfvVa43fHZbLdz6TCqx + 1kVRFEVRFEVRFEVRFMWzUghBRJh5Vs7GjIhKpnVRFEVRFEVRFEVRFM8BRjnDN4cbK8jYiAxsPIuJ + NTYiNiiZkbZdZ1WaP6K6dcf1X/zmF67bdnk3tzzhljwWF9v5HsPUEubm0CWQgRyRMUjNzHLRXyJy + wKzmNwPspCJSVvVSucngqM3HvOLYE15xzAkvmDtmqAu+G4TYq2MPAjEzUnVqnARCRpwCmcuxxLPI + N2OQQqB5yRQpSBkwM6c1t84IPSfz1cFbNh/54oO/783HnzKyxWtu/84td19/99bbRDvf9xGNaIzS + MIBc+V8RPAVnBljKudyA+sCOCYlS1BZiLsA7TBuIYG5YTcap8p5IATEYIF0cmwnUwDlYdB+W5Rm4 + rntt6kxg5AI7M4qdkHaeaobzgQE1E4NYUktI0Q2qTX2/YTJaOXzQ+4k3HXLkIUedc8nZS7qj89Pk + olGn60LN3T4uMDOC5Bxre2QJdbbcoOAMpJ4lKJzjSkkZShCCAgpK+XFgEILLdajzulJjBTOUoLYW + oWogoKq8ClJSAEzOsWfATAWtmTljVbXG+uxTL61I4+YxtaWrd1/y8IVbty9te9NLTtlw8MJ0PCKq + Z3nAq+XnGawAQ3Q1q1vJ2GCziG5WaD5qjBRIkrPW2TvHKqZGzE5JwKSmpIZEJhwwCM4HUhJJ0p16 + 3DHHHvKKC2766rfuPH803tnfCCN0Ar/PC01TzvnOObXKyLG1m4d1u9zO6cGvPemtP/iqdx7eP5pH + ruerqMmBvdYAeShBDJIksatUIZ1VVeiaxojnBv0YRfZ7WW0lAGAyhilYEksb2klvfN4V51x0/bnL + eMjNdx0aMJnBOvLMzIGIJIlaYod+hThbxauAh3kggRIb0jI2V0MG2wqOmH/hq1/9upOOfcPhc8f4 + yTB0Ax57GvHQ1QMeSurQGaXKwXmagcGSSRJAKzJjA5upmlgygeiQFuYPOvTFR75qGib3j++79t6r + rr/zint33kW+VY7GnbAKr4b+ElJUZkoCCJxD5VxSVlFVDS7MDVxs2ili1XOQaJXf0W0980tn/OCr + t/3QD7xjeTINMWyc39hMOrLgLDfUfOxyzs8+wLAXnh04q8uT8+HvAkeLnZNYx5FbumfXbZfdeNGV + d188HexIw9YGtBxbM3LBE7FEATvyJmpRFGAXKgcvEokZ9Dxa2UyORbrl3Yvzh8xv1Yf/+6V/9+17 + vyGbYgIAMWMoJQV5EKGJkeFhvNou1kJ2dX0no+ubja3eYR1eXV6dQwU1AAAgAElEQVSee/j8LQG5 + c8jr8jkHcqy+Fo5hQHAYLaU6YDjEeKpVH52l5Ca78eAXL/7Ln//hf+m4GlZDiDEsv9lQIsCMlPF0 + LLlXUoAJymA1AliJmYTySmvS/BbFSIUUgIo65wRdxx1qs358cOXOm7ded94V5yzKtolbSoMkHpHg + PGDwxDCjZGAwgy2fyczNQu917ZgtsdYHsuFwuLKy4r1nZlXN/8cYQwhmakZmdtRRR51xxid+8Rd/ + afv23fv6+Dn6oqqqrusADAaDlFKJMX4+YGYAKaUQQoxRRIiImdu2zbcA8N7nqJVnemOLoiiKoiiK + onhaMTMzdymScwSbTCaf+OQZIJihTSnfoW1bF/w/7fpVAXYuLZ5z7pc/8NOnS9f16zqldIBVRdjv + xPSM//ZJOLJkBnjPXds+8a/kz3eqmlIiYFBXr3z5Kw7atFHabjwa1XXdTqf9ft97n1Ji3u+X2GtK + p5566le+foGaOnZiYqqzghJ715DA6pxaXhptQExSOZdE/uzPP/6e97ynV1UEaEpVCJpERMBlvKJ4 + 7gshNE1TVZWH77quqqrg/n/27jzetquqE/1vjDnnWnvvc849997kJiEkIR0kENqEhEAggKERLYni + R+QpRVmlNFqWJaLv89SiFOu9eh/1WWLRKLEETBAjhfTYEBpJCGAIJCSB9H1/c9tzzt57rTXnGOP9 + sfY599yQC1xJex3fP+7n7ubsvfbq5t5zzTl+4dnPOvmEE0646tqrp003OzcSmFn38zxsQFvyIFU5 + dx/6yEd/563/dfPGxbquxYzooe0iHk8n1WDw3ve/r+/VNyBWqeS8r1hqBX7ll//TZGU8SFWoqr7z + KoRgsEff1Sh3wGrbdn7DhuXduyMlU6lT9dbf/i9f+vKXv33zzXue9J3N23dgUMm5b4tBCClKKQZk + xU233/ln57zn13/tLRUQQlCCmYUYpm2joP/7v/8/37r2GgAK64dCMDPHUHJeO16rFFAkGM77y3OH + 9SAQaZHc5ZQS7F/RRUznnHPOOeecc84555xzzjnnnHPOOeecc84555xz7gdBhmAFYKDAzFj7KQMK + jEbDolJKx5FDIJFcihGFQCQFDCUOAYEQI4+GaXG0ZdNJrzzl9l3XffXKL3zzpq9nsWpAuTQZU1ud + wtMXTjSQEThWRYQViUKIRmraT8sDmMBgyUWgzKARANmt94HCpXfu+tat3zxu05Of+5QXPuXIk0eY + RElBohmRVawRRkAGFNRh3dwhMgYiaSTKj9jqfkB99c7+333N1rAHnovk3L+Mx1o755xzzjnnnHPO + uceqtaLhs0QT55xzzjnnnHPOPVzMjPb8JP9XVkT/YdGnWq6mKhKMYVxaGQ4GKta27XBuJGxtO6Fo + NMw2N7nopgv/4bKP3zK+aTqcFhKOwVRGNVQVYGKVgkBgoJCt5RSHPkyTTEk4AAKxMAijkKs80Q3V + 4jFbnvjSM19x6OjxG+c2QwImscIg6ACFgACACCAjK1AKFql/uVk45Rqb7Sbr0pq1/+PA0sd8qqYm + Vk0c8WAjbVLOxx590uTYleu3fvvL11z47bu/3oWOhsgM61AlSGdVZGObTjEYohCMIAZQpxphnMwS + MaDKKAZmMCE3mkJFCpAxA4oQbdfubRwopkBiarqfHU1ccgg2p6wJpqIkWiMw9RuwrNZGVpARGRCY + A2tCK7XNVVqnUj/z0NMO+dHD/vyj7zYz42JVyl1LBCjSfg4XNEJmKIEEwwrditahSolK1xoQgKhD + KEMLSxVsGHUYrIKxoCvaIBaqRTm3Ng0JRQsRKIANXNQIFEgtGKJRMcwiNJhBINFCxNyHLUBgbFAl + tWACREsJzKIQJQYClGj3VDZtKju72//24nO27rz5Fae+asPokNgiFqiFuWokXRER5miWwcSA0ixv + W0nZFGCSmikJ2FgMQQkgNQOBRYHAFtkgwiohB1MhZkOhRGCyCp1GQW1zpunI+rgfP31xfmH46a// + jbYrOUxElfZvE6ixgmbjPxWAgQ1BoVkXaMNTjjjlVS98bVraWC8vDLWSplCqDIDGYGArJGJsiUhU + AsWAaNkGYQRoIxkM20c5+/3VJ3T2e7vZntM4RTIR6UoVh8SVUNuFpbu7mz7wD++5O9+6Y3CvVh0z + S0Yyi0QaFFDTCI2MmpFMxKyLAQpkQYqqGpjrnMsQowVdjDtHxx56wplnvPikI55V5aFNaLA0oP6s + FzJmEerMmvoFsr6ZMcOe5eQ++xYCqBoCkSUkELKVSDE2g7qpj0ubjnnSk1907Evua+764mWfuea2 + y5d1Z1qw5W5iEYNhNZl0sc9HJ3CdmLlp26wyGiXLKppFmKkiwLISTNBNOcbR+PNXffiu7d/+sTNe + /bjFY3dPqkHcYJ0lDgTpclOPhl0pQmYQepC214MiKKgQGUKiLnf1aNg2uTOqBnFKU6ubaVy65p4r + v3jV56695+oJTfOGruOJskVDQghGVgQACAIUkAVDAAxqEtQQ9H4BzAeMEELOOcbYx5oOBoOcs5lN + J3kwNzTIsm27+OqPfvnaT8jm0vJsyDopJQSDqkEJgUFWSEr/msQgIjMra6PqATCUoLOdXbl0iRNF + qKqZrD2fGSJIjMiAQBUgENFqfEWfsK4G7V9S+w1lCAFQmCASoKzMxdqFTeHbd33t77/64Z/+oZ8f + L+lcnAvQko0SF0UITBRKO04p6MMWjmEEmuV5K4FMoBRCzLlwDBy5syYQB6qn0jVpWTZ0W8sd/3z1 + RZde+8/bV+5BpUIdKDJFSFaIESIz5YqNCGKmUCUoA4CpacAs7d6/1D769anDWG3IVBUAM1sRM0Pg + EFhETjjxie9+97ve8IY37Ny5UtepaTOAlJKZlVJCCCLf7XzVH+zM3LeVZtaHGfctZn+PmfVBWe5A + EkIoZXai7rc7gLV7sJqO5pxz7pHVBz0SUc65/2IA9N+ZrT+TxxhV1YcSOeece1Aws4jknOtBnUVV + 7aKLv3zDLbf2vyr7Dsv+x6nksvYnAPofreu7Xr+32WUU9JnZfZmB973//a959U9xCAqoatjPDutH + m311L4UQmqaph4M+I7xv60V127ZtH/nYR7tiFAhiRZSY12KhAeXVHsnZCxOpYvbTzYwIbdv9yCte + UbqOgBiDlpJSKqUY0UOdaU2GKsTlyfjlL33Zf/nd3zFARO5XO2It+tNmizzbYVSVAAU6EQKuvPqa + iy7+0g+d+UIOsd8nCVBV5vCQfgTnHimrl8gBQET6b/gAIgctAiAQ/+df/k8/9/pfCAyR1atyJeO7 + jlzY14M550AEs3f/2Z++7Xd+t5hUIaLsX0L2Pt+UyMxUdTgcTqdTM5ubm1uZjENKH/zQ+bfddWd/ + ZaWqqi53CAFGUF3/5wQjw5nPe+5JT35y5JBiapsmELMZBZb9amgOLOY9+g+7OqXl3btSqohIROqq + GqTqXe94x8vPPrsRAUAMVcAQEkt5gE741VbPsK7fVUrpj08DKNAf/I8/ftWrXnXCMceJZEYBsxET + 06c++an3nnueAsxBVUIMWorCTITD7OQAwIokw1ve/OZnn3wK9/38hlRFLcV7d51zzjnnnHPOOeec + c84555xzzjnnnHPOOeecc+5fpp8fsf4eH6F94KMCLtQX0iMDKQAFG6GoKoiJyyxrOgAwIEMQocZs + oL5OnGXLurFe2L1j5Yj4lNc8/6kvO+Web15/6Tev+codu27IQ8uxUaYOyKRCHcXEDIZak81QpZoQ + ci6dWYyRxAIVI1hUo6IACNRX5ROzkC22V2+//JrPf+vwQ448/vEnnvW0H1qMWypbpCYFiVVMMRDp + lNExc9fqYDhXBJpLYtKSkR7B1f0AAjPARqxa1oosMXM/d7Uv+Qis3ewnbRyYJeAOSH0Bq37D9fUx + +o37yC6Vx1o755xzzjnnnHPOOeecc84555xzzjn3KNIHQtueWGgGmI1TnQLHrp0ORoNJWRGWOIpd + mC7rPRdecsEXrvnMveVO28RWmRUURWVMqyW/AYYpGwA0GYNhMFFVG1RJtHSdcULbYr5K0YZ5B+bC + xjOe9JzTn3bG0ZuPt/t4A21KbVWyWlHmCItmtlp831Zfn/vRh/0da/kLe6wPujbG7GNCYAwlQ9DA + GoKBDcIYsDCGzzzkuU859hnX3nflRVdecPVtl63Y7npDXFqZDAehZMmC+Tl0grZFVffvp+ASFAEg + 1T4CeVbP3MDGs4UyBkEBRu66Zja0y4SJ97cAtoJBDMNqQObsU7PN8jjXPj8QYGxkQjkYBw1cQlUi + x0PDgJ5/0gs+e+Xf58ClawODCEyg/c/YHKWgJqWAJ7QJB/O0jg1y1wzralTPb148bPPGLZs2LGyY + X1yoDxryPAuYo0CabrLcLO2a7t62+94dk+233nWzpYLQdaURyzFkYzPVTohjMJAaKIAiWbFpa3WC + EYKtbWgFFDQbc6qEYDq7E8yqECzOx/G0S9qNRsMLr/zH7bt2/tRZ/3ZLfXhdVzLpxp3Ox7muaWMd + IiUxk9W9GaQGVmgwXnekrK1rBQEW1q06BhTGClVi67eLIfT55sZskFaGw4Ho4nNOPOO+yR2X3/6V + aTuOQ+h+rX9aV417dXFImTUOdHj43BPOfuFP0a7BBtqMKUhkbjRc0QwCG7EZGUBMxkZQgmE2XpaN + ldTIlMCmsAdhPPf9Aq3Xcjq7pqnrGlXSSNOy0qbJzbu/df7nz9kab9nF26cJFBFJoVCQBlIzAKza + j+4FGJB+t6eIGDBZKRsGdTeWjYPD8g467qBnvuA5Zz3ziaeEPOjuE+LhXBihKKgoyWznsQgQW1Sw + kerqJubZ669+BOvj45lJ17a1MRFCsFBJIiEuVtFgxIuvfcETbl+6+aLLP/vNWy7bPBw1NlneNakG + CBXlYpqhOROhHqQUbNrmEABTMlaarX8GgIJKOmsyJt+675K7PnbH6175H49bfPbu7UsbeD6G0E2m + 88Nh00qowkqeLCwstNNmv88pD6U6sApKyUY6bic84DCg+5p7aC5fdv1XvnHDxbfsvG6nbi+DYnVo + bUJkRDCBmMCUKRDHyCYmq8OX+2xgnm0ZOzDHNJdS+lG/VVX1mbg551SFubn5cVmxef3azRd/5usf + jZu7FYwNgCEGDog5SzctnEARCpAiEJiJQSKaixEhVaRqCqhB+9zwAGIwUSKGlK41NYSAUPVV/qEA + BWRB14dbpwCzrGbEsyaYoCi82hLzagwS0LcpDItGMEU9P9i5MlnYyFfd/bVjrjv+Bcf/cB4XEogZ + NIvAkOoqhECqQsT2UCa1G5hmi2xsexpQZjaySIzESNTptAsTZVGi0SGjneN7Lvz65y698eJt3d25 + avKooP/+o+ACECcyJYX0jTIDBlJeN/6eof179SvKaO27k3ss6TOni2nOWRUppVNPPfVd73rXG9/4 + puXlaYxciubc9u3Id0m4XGsZ+1H+/UD/fm5V27Z1XWN1SgCAPuv64fh4zjnnnFtnrf1dm6e3lm+t + qv0UaGbu7+xTrh/JxXXOOfcYJyKxSpZzmzOFYKDzzjuv77Z/wI6wuq7btl272TdM+zeNfDXoOFWV + dN3lV15x2TeveNbTntq2XRXDfnZYP2aUUuq67n99q2pKqW3bwfzcX/zxe8dNB0BXr0kxs6yuzwfq + qGLA+q48mJEhED3veacDYHsE+nxUZDQaHX7oYUcfedRNt9/GBOIguh9ZuTq7vIEP/NVfv/Ssl+Sc + oVrFSCD+V5xl6w48tP8BxT/yipc/4cgj79l676TtQmAKnLuCfXb77fORwAFAUSHgbz704Te/+c3M + vGXTJnmQYq37PsbhcLi8vDwajbqu27Vr12B+rlH5X+97b1YNKWjRrutAgBnUQBRClFKYOYRQcgbw + hl94/fxobrK80ommEM3MVG3Wt73noqRzDykiCsR9B7uISCkLCwsnPumEN/7C6991zp+pwQxVCl0W + yUphPy8bEgKTiM2N6je86Y3/9NnPx7oyNRjE9I47737zr/0aEcUQ25INKKVwDCpiZgAxwxSJSdVe + dOaZb/3t327b1kQBym03qCsKwbzpdM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOee+X2o8qzNm + feEmYyOCsRID6IuzAQrDrNjg2gwXUvSVqoxh3C7p4vBgNYx3TjfHI1584sEnH/XMu3ffcuEVF9y5 + dPuO8Y4wxGCoUxs3XWsMVQzrwIJm0qqhHo2qEHPOASCwUjHSfjYN9dWiGBrMkFue6BxykVu6lW23 + 3/ONq756ynGnn3rSC47cdELV0Xhq1rYV6YhC1zQpDdpuaghVVUUOzEHx4MwnerB0bWFmjsQcQzCs + FlhYK4ukqgCbGYHJayA91nxnCay1qlaPIK/N4ZxzzjnnnHPOOeecc84555xzzjnn3KPK+vq+vPov + mdHO3Utzc4MWLQ2UB7q72TqmnR+++C+uvufK3baSNg6nNs3TLqUAVUIgA8/ShaEAdKCEEDpVCEyB + iWYAXCFFrinqcghdfdpxz3vJKT961IbjdCUOd86nULMl7QqUyJiMicxgRnltCa0P0STuQzTXsgH2 + ChVYzeLVdQ8plLkFAUrCEQhQ7hOLSbE42jidjstYn7Hh9Ke/6LRrb/z2Rd/6wiV3XbywMGwmS2FA + YiUbimJ+PjWdsPWxwkqrwZjr3n0tBNdsz8NmwLSdiGQzAZjIbP8qf6txplmWc186vF8Fqv2aIVJw + H/sNkJFZ6gqKMREYytRgUA03Djaf8ZTnfu3KLxVdzqIhAIpaCEqFVb/vJQqKvEvmUxWUaWVw7OIz + Ns4fevzRTzzi0Mdv2rih5lGNeeaonCHgHMgQUgdWlVCUCDWnSggt2tam26Z3X3/7lVfefOndO28s + tCI0bbpmWIdcOgsSKypqzcSMMDciyXtGwpEp+kGfhKDRiI27zADAin7QWpnoaEMgk9xaGg5tzr59 + 36Xv/budP3XG645ZPKEejri1STeuBnUMsWkaTkymDFbo2iYyKhqKkSplEAgcAEDJOCizRoLC2BCU + yQhKAYiGPoNXjVRYO7JgisgFOUXeMjzktKe/4LLrv14N5wsm2M9hpmQwggFqYItkDONQam4Gp5/2 + wsMGR3MzTCWylbk6NN0yJxZiZSZT0j5umxVsBOsTK2Zx4CZcjABJD26o7F5DGM1qjiaqIa7weHmw + /Ru3fPHzl33krvZajWoBVQQIplBCYVZWm2V5a4CyqRKMOmGMC+YHaBvUA8Rso7JpuPOQV7/k3z3j + 0FMqGfAKE1E1FwHpdIWZSYmMWGuAFcHAmWCkRroalA57gNMLA6DVc4sRoKQEQIxUzVBi4vlNtIBG + RunQY57/tGufeMXnvv7J67dfGee5DSvLxcCoRzFp1A7SFuUSePZqxtq/L0FnKeOh5K4bbLCV6ZjT + 1nf+7R+++kVvfM7RLyrjqlmRDaP58XiMxE03HSyOdqzsHIXhfkcxPHRIO+1iFQHmkCS1u7Fjxbbd + PLnui1++4I5dt2zvtqFWq1CsM1E1VIz+fKmJ1NhModnEAiOqrtsVV09ThEfR533wEFEIoR/Gzcz9 + zRhj03U6KDcsX/m3X/lAMzfZPl1a2BzyVJhQOgJrCGHIVYgkcdwVgGFAMUOxGGgwCKRUcmFQICKy + fl9WNVWYGZmIoEoYDGoxHTdZDHUNMcRAWgECA7VipgoKNGv2FFCjftz/7COwrQZaz9qjoAYzUS6W + 0EF3lbv+6Yq/O/6wpxxaHctIZBwSlCCiqpGZi7SBqod6Va/GNun6JryPNSptmXR5VA8nMpE4lvl2 + W976hYs+e/m3vtbQONQq3KhKVaVOlLj/cqLBLAIqyAKJRVkJZdZer60fW/f28Ezrx6rSp7yAUkzM + 3DSNMJ/2nGe//e1//KY3/WLfRMQQAYBDzvm7vBQRMXMfWS0iVVX1aVhm1nVdn6PZnwoeno/mnHPO + uftR1T6suqoqAG3b1nXdt9d9dKiIMHNKqWmawWDwSC+vc865xzYiKqWoaj0ajqfTm2679R8/85n1 + T1jrSOh/JfaZ1imlnHOM0cxEJMZYSnnA17d1f7t6k/siDqWUvivpPeec877/9efdZEpge5SVRXiw + 9D/A29wB4BgVAPPOpd3nfqAPEScz9KtHjNYuNq032xCrFRn6WwRsOWjTU59yEtksNZcN3/8Flx+c + qgbmjYsLpz775Jtuv41o7w75tQtlD2TteQpEwqf+/u+uv/GGY48+hsyISEXB3jXhDjRrx/b37KRl + YG44+pVf+sW3/OZvBaAUhSiAqkpdk/d+4trhtO5Ym2VBM1YDrQHUMWzdueMvzzv3zf/5VydNWz9I + vX9EFGPMUsB9PR4azo1E9XNf+Pxl37wKQM4SU1JVUYFqTKnkLBkAmK3kXAV+0vFPfMlLXiJSQmSo + MZPm+zcH3//ac26/rB86IaZgIuovnHEpuWLauHHxrb/1mxd96YtXXX11UUiWQZ3aLpvsO09+H0SM + gPGkvfyqb//leR947c/87GS8vGXLlvHyym/8n//XzpVlA7RkDoE55pxVJMQYiHPXkaGKoRQ55sjH + //Ef/VE7nYYQOAYAaTTomnatV39WuMqPFOecc84555xzzjnnnHPOOeecc84555xzzjnnnHNu34wg + 1Je/41kdRQRYBIgVAK8WXWQAbBr62TukgIAUBDWG1UAIIQQLprmSQJbqMFwcbDwoHXXcS8+46b4b + L7/hy1ffdsn2HbcMhzocoBAKUBoJjOFcpYSuTLSA0l7lAGldqShi4mhZS2cFEUiYSrc8HS/OHfLZ + 6//xqzf80wmPO/G0E8588hFP3xC3UJMgVdAqRFKVonnarIiQFUZ4dM3frKrKjNRMVQQCwEzMLMYI + AsXAzETBzNj6MgsPPFfRPYZ4rLVzzjnnnHPOOeecc84555xzzjnnnHPu/vpwXAD9iD0lWJG5hZFw + bm0lxyZTsw33ffgfzrtm6etjXqpGQ+FSpk2qUCfuRAjKYLI+w1KZWEEGpFTnMlWA+oTaAhXoNISu + eurhzzzzaT/05Mc9a67dFHcPK52zjhBJrADGgSjCTIWU+tLbAAxKfVw0h9Wy4LYaQ7uvAW79E2cD + Eq0fnxgICrDRrHw4UehWOgr1YqplRbpd3QkLJx/zkpNOuOtZn7j4Q3Npaffk3mpU2rYBU9sUpkSm + hFnSqq4LgqA9pbwVBIC1D7gmBEJbchZbLfdtIHvAUIR9619TeV0AxWxs5drrGAMEi6ACWOHOEJgi + Z1MBGoqIWxYOPWzxsOVma+ZQVLQAAiJezcz+fg3rKG0hjUcfctTPveLnDwpHB6m7cTuwxCUFGZhR + 4cZMolSMQtQaFdOokqA1ldoQK5RNczxKBz3+xKNfePLLdkzvuOK6r1153dfu3HaLlY4D1KhYiRFz + QyggYti7+vPew+J07R5hkBU2DIfUTtoYYxrV024aQoop37L92k9c+KHXvOx1i2nLXFochg2lzZot + hGBmIF7bp1ZXM9vqu9EssRUMhYGNASIDoGSBlI0ikRqxrh1fBCMUgkAjq6hqY53K4ZuO2rLxsHtp + vEuX97doPRt0Vg47KpgR2DhomqNNzzr+tMnWdkvcgrZEplAjL00RF+6X/44+05pUgWggAxkYBItK + 93/qv1gfz9mPX1wfzGkUUNGS7thN2y6+9oILvvGxadrKm9SAFAGgCERBDAssBIMQEBiCzgz9ESiE + aoDxGEPGYlioV+afuOWZP37mz23hIzfopihctCtUBJ2SElEKyYzYWBH78Ggl9GHe37Hger/VtX6v + I2MzVQMzmNlEIQrhgGAShnGRcjz5iDOOf8Jxn730Uxde9o+oqZtbloSuKVR0EAcwVsGgjm0pWH17 + o2I0C/AuuQ01rbQYzWNXu3swkg9ecE5zxvgFx71sw9ymcTup54edNky8Mh0PRiO08h3b95FjbOBW + NHPb8mQFO66555tfu/6L37rzG2WUV2xZa3BNZiaKijGIwbJAUQhKZiTMgWOMIM0dGwebnWiNQP1u + b/s87T/WpZREpI8jqqoqhNCWbqLLUk/+96fPXarum/LKcFNaWs7DIUCpmRZRSiFAqWtbKVAgRpgR + U+AQUaxMETUNwwZWhsBEzcxYmTmEwAxBoai565pxaxELw4GytV1rhE5MDDESc8iazTAcVNJlsj0h + AUZ7zpJkfUu0FmttTBSIuq4M59BOMZjLd+y48cIrvvATzz+ciqVQJ7YqUqumuQsBgZM9xLHlSrNz + eJ9V34cMGUBETdPNDzda6KalDSNbxtIFX/r4l2+8cBrGtGBm0mlJdQzEyyvjelSpFNGiigAUQsWI + FbVQYSXMZkUQIIR+jXG/43oS0GNZn2HZx88PBoOqqiZNU1XVWWed9c53vuONb/zlGCFSzGDfdQJG + HzwmIgBEJKXUdV3/UN909nHXANq2jTH2/3fOOefcw4mZ+0Dr8Xg8NzdX1zUAM+u/D3Rd1z8KYDAY + rLXdzjnn3L8MM3clg6mUUtf1eeedV0yJIGsd09+BiHLOAPpflwD2lWn9AH+7PszYlIjqVH384x+/ + 822/97gtB4vIgRpk3Cd/q2pKCcxt29bDwSc+8nf3bN1GgMIM4BBUBCKIAUWw7grUXknRzKowMwJC + 4BNOOKGqKsv5EUmvTCE2bZuYXviCM//mox8zg5rubx+UAZxi6cpfffD8t/3XtypgRKWUlNIjXqHA + uUfQyq7dr/2Zn/2DP/j/tu3aKWZmiJG7Nn/vv9wbM6sqAWJEhHe++8/e9KY3JXrQfkT01+D6RqTv + vUwpdSX/6bvfQ4EAmFjOOaUkuqfwTX+ASykARPRXfvmX6pSaphnEpJhleIcQih2o12Tco5SZEVH/ + Q7tvu9u2pcBVjO/7i/c++/Tn1olz1txmZhhB9fu+8mIAwAztR1go3vZ7v3f22Wdv3rRpx9LuP/mf + 77zg858jYjUz2Np3rVRVue0UqGMqJVPROtAf/+EfPen442ti48MAACAASURBVLdt3bpx48bJZDIa + jZqmqVJS9ePFOeecc84555xzzjnnnHPOOeecc84555xzzjnnnPt+KWC0Vq6KAAYizf4DrM4YWC1v + xSAN6ItcqVEAoMSGAHAIoW1bYh1VNSw2S1OABvWmGMOTD9p8/GHHb29f/O1bv/KN6750586bcmxL + KFwHNZu2nRhCBY5QhdGeinO0p1CkZjVmWEQpIAMHxACO2N1sH20YdJhetfWr197yzcMXj37Wic97 + xvGnbqkOq9No53g6Gs4PwpCNI3GdUmvZHl1TOBkwIuqTu4mMKBFDigIwExExK6raB36n5HUVHquI + iIhM7RGfNOqx1s4555xzzjnnnHPOOeecc84555xzzj2KMGYldWnvcGVhicMwnuwqw3Za7b5rfNtf + f/K8e/Pd7QZk5dytBMJcYgrIORtgrGqaSgVLAAsXDRlUugZVHUPinHPubMj1HG8IpXrxs15+6hOf + 9/i5Y2gpVbqQpDah0dywySuKzMwaSc2KisDARESkFAxkCMpsRAYjk1Bkddzfvobn2eojZAhSMwAo + oIQOBCAamChRGpCqFA0xDqookmWipx/10uMOO+nTF33omnu/sWPlDkpluFCvTMcpFDb0cZV9lqcR + z1bjWjI0FQMrYh+ebQRlytBpbm01mXV/raZvxv6t0ad0E63larNhNYu3aF9pWUm4UDCjxJlYma1e + mdCTjjzxhmu+RUR95CYpsfG+w8EfgDLaUJihuVvRMVLopprUAmoVQGEChWVWCsYERmqnHXPFnBgB + zDARFVOZbC+LGxYlj5bu2nVodeIrn/SMFxz2Ezfec8Vnr/rwtu7W5cmSRcopZ0AYIc2Gls62L/Fs + VRCMCwBoJItsAHXKqgwlswpZC8/iKBooz2+Yu3nHte/75J/+wk//x9y1HOKgXpjsHC/ObyylzGLC + yfr9i2f/MCyy9YMp+ycowEIMQHjPRum3Lpmmfl0ZhJIRhMWIirZVrNpJMxosNGV64nEn3n7d5cag + /Ylx5VkENWY51LM8ciYLRxx83MgWN4y26JJGBKWyuxmHYS2agkWgMEBQNshs32GGMDQYR4lCRMRs + Csh+7RLfUz+Wsf+/Ejoplrpl3PaJr37w4pu+oItdh0IEUlQd2BD6CFiCBBMDK3N/0AWIwYA+ln6Y + Kmq6YZ4bNge//ORXvuDEH57vDoplWHJnIcDM1FKsQ4wiMp22VUrKDCgZCJmhwUwNBtK9z4S8erMP + Ml+/4ykhhEohZBYNxCSW2cyo8DCqSOI43rkc6/mfPP3fPfXQZ3/kwvOvnVy5UnYFBA5kJRNbjKFr + Cs/OSmysRqoE49l45SpwW2T3CkZzYSWvHHxw9ZGL3t9Mxmc+5WUHzT9usjJOKXEMNi2xHjzSA0Tv + J7AOwxBl0Ny+89qPfOnc28fX39fdFTewsMZEAFgDEQlEM7QgIRFRChBWhalC2lxUU6hg/aFRCEpA + NAhBcGAGA/cF+omoj8fra+V3oQmH5I988a/vnN66EpYsmOWSIlQglENC0MqMzTqGBo4USWGTcWGR + URrGMoylOnTD4486+OhNo4Pn4/yG0ca5ej5QLG3XNN1Ulu8d33zv7tu27rxvRVaKNF0u4JJSGA1j + U9pWOytmyUIiMyulYxgBMMwGxxsMUOpPSby6abRvEBVIIZBQycYBy21emJNLbrj42U9/7pHD47nh + LueEKhGpmoEpkMk+VtCDQcF7UtFJyXhtiP9oNJpO2+XlcT0/MNbPfPEfL77207uq2/PCyhQtExOH + Nudm2g3qen4YLXcMIIAT1LhTbSlSiloarLb1a4Hfs3dfXWO9/iE9EHfmA1ifOc3MpZSmaaqqqqpY + VbHr2he9+My3v/0Pf/VXf4MZZmAYM5d9tKU556qquq7rMzlKKcPhsGmaPq6jf5f1CZrOOeece/iJ + SM55MBiMRiMzM7Occ980r2Vat23LzCklz7R2zjn3A5oFkRpW2umk6f76/A8ZSM2wj27jEEKfZl3X + ddu26//zwNb1PzN4z69VYwChCtOuDcAHPvhXv/mWt+TSgg7MDosYY86ZmQGoapaSVM877zwiIlDf + w6+CvvgE0T4iqgkw7BVaqXbGc59nUlb7lGc99v2t9TUsHiLMxIAVOfXUU/csJvPe/Wz98ihW+1bv + f4HN0HUlAB/+8Id//S1vnqsHAPXryrkDyX4dj2SYGww10Jve8Pr/9vu/Hwml/3P7/q5RrL/wRAEA + MYoUBrbv3HnuX33gDf/+5zWX/fot0S//d1auMbNSSqySmYUQcs7T6fTq66+78MsXK8EMdZ3aNueS + OQQzKzkDyDkziIEUw0GbNp999tmqGmPsui6EoGr9NQsVXX82IPtuS+LcD67f33LOIpJSijGKCIjI + cNThj/9/3/a23/qd32GG9dnre195WXsNALb+Yvf6izUG9N+mVLYvL/3qr7/lnD/9s6uvue5//Mn/ + DKnqchdjyqJltX8/t11KqeScS46Awn73t9764he+cOf27QcffPB4PE4p5ZzNTGFgmr1B/7Z+jDjn + nHPOOeecc84555xzzjnnnHPOOeecc84555xz3w3bbA4OA0yrA/HZlGAA+tJza5N5yJTRT9upFazE + IAUpSIQKJQGQ1Ria6pqImKlrJoNhVXcbY6kOOeaY04/90Wtuv/ybN3z16ju/0eUVSRNOggQhZIEU + zCoH9G9uDFIjGKEUUEBixApaYBkwisz1HHfdykqLKlbYQLfpdXdcc8MF13z4aUeeesYzXnrolhNZ + QjsuVqW2WdJqguphXb/fU5ZCREzoiwSqKhhQJQ79TTMDiCOHFInIykNZIMw9NPqqVutvPoILA4+1 + ds4555xzzjnnnHPOOeecc84555xz7tFmtYa9riuvb/Wwumvr7YuHj3KVr7vzqvM/c67MtxPsmDRd + NZdCYCrKkUSkKwhxNtJPSVdDcA1UAIQKzJjs7upYHzLYvHT3ysGbj3z1K3/2hC0n2VJKS/NDnteO + RDRwmjRjSsZgI1M1gQnMCHz/7ARdSxTez8RdnoXUkgIwNiWFwcBZlSlQSARoKYDGQItxYbwrH73p + 2Fc9/zVX3Hr031/ykRVsayeTUazEOtCs7rGCDWwWARDKalJ4PwJSjYoQQIBACCBamUwxx6pKavsb + C9GPtFSAjfucXePZ0D/r10afUm0K4mAcMOyUMzWZBKDIKUoysYB09BHHy7eQxSQhVjAjKfs3vMyA + rIiMEBA41XGYkLhwRIoQQmRKwirMyqIqWamq58hmwxNNCwWOzCnwqKqlycHskPpgYyorZQuNNh29 + 8dgnPeHrN150yVVfuWfptlK1OpAO02kp4NX0aDBmaaBsq6mgZHvnXgO5oK6hglzKoKaKkjRl+3Tb + cG50V3PLez92zn84+01L3c4UBnMb5yfjJsYIMKD9GufVwPJZgXpiMl7NAOF+zRsbSADAmIxByqb9 + U8g0IMK48Gp6KZFkHfECOgwx/7jFJ1BraQ6yX1vAEBRGLMzGqqRBAeKgfMQhR6GLoSSmGJgQwriV + 0XBkzWwFKZQNSgRLhj3H0Swn2/pBtAyzByXW+n4jF/uczoLWNpTbx9f//dfPv/S2L+XBWCKUSTMS + SBQwCwQmKEilQBFQkSm49MHwMARlsijbdQM2HT446ifO+j+etOkZC+Xg2EbKSKPUScfGVYyllKaZ + pFhvWjhoMp3OBi2TwhQwpQIwWWJ8Zz6HElZDStYdr0ZgNhMjExEEnlVXN1iWruu6wWi0GDftXtnR + dDjxoFNe/8rH/e+vn3vprRc3sjLcVC3r9s6sqtFNUQMAB4MpKyl4ticMBlhZkrkNwyIybbt6QEvd + jtHGhc9c9vFpaV727H8zGi6YjUKOm+cWd+9aHtWDH3xjPYjMrG2mXZzObah3TrZplas6llhEEdm0 + oOQSCASOFGqqtAEAZQURkTATsyGwQkEQAhkzlAAB7MAtAd9HTTBzH3bbx9zqiC+585IvX/eFpl6O + A5pIQ4SUQilSMgYDkKmUUidirttsMrUB5kZWzQ82HH3osScd98zjDj9hPmzUSah1GLUKGqNFJiIE + ABq7hndJapTztuX7vnXjFd+8/vJty1sRZPfuXVyF+cF8RteWliI4cO6kXstjBvoB9wBgAPGeePhZ + eI+CYFJIQSAQOrKOu2Xs+OLlF/z0i54woJEtiUqpai7QjGhK4cE4+XxX/IDnt90r40Gq67ouIlOZ + poWogyaOMLbWGMrKgdJcJCKTkrtSR2YxCEiDGJMSUYQiBDYobDYXglZjTgAYeDU0RUH3T7l2jwmq + 2ie79CnUOecsRURCSFVVnXXWWX/4h//9N37jt4hAINHvNgejbyJFZH5+fmVlZTqdAmDm/v6+xQSQ + cyaiGH0ovnPOOfdwCyH0X9FTSmbGzHVdl1JijFVViUgIoU+5btu2qio6QOM/nXPOPTxUlYhy1y0u + Lr7/3HftXNrdd6/sq9ugb4lEpG3b+/1nn+9Be73c7FYMECmlAGDg/PPP/+U3vGFuMFzthD/QiAgR + ceA2Z2YeDAY333zzP//zP4uZwJhYoDAjDqZqe6/M9SHQa6uamUlVzE477bTZ47ano/lh68aULldV + hRCOOOKIQzZtvnvnjtWOhf1ZAoIZDLjj3nsuvfQbZz7vuWbWJ4n69xz3r5qZNPl1r33tO9/9ru3L + KwxI0RhZyv6dJ7UP5SUoAEbkcM455/zb1/zMKKYHZTGZuT9gmblvI4bD4Tve8Q7D7NAupYAAIl09 + ucUQpRSDVTHkIr/0S780GAykaat60HU5pYS82kAET7h3DysRiTH2u/RahzkMVYxN07zx9a//9Kc/ + /aVLLqH+es/+X2Rhhipynh0LH/3EJ37s7Ff+/u//fidZBXVVN11LHGEWU+oz4E20HyJAwEtffNbr + XvtaE52bm5uOJyLSX9cbDAZt2zIz71f765xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc87960bG + RrO6TGToM6v7+nPYUyVvFn3NxLZa4m9WBIuUIAZlmBJIyVRlFuJr2doBB2kKIy3Eg1hD5k2nHHHw + U4581tbxrV+75sLLrv/K7vFWHYiF1gh1Wp2qsFZ3znhW4DHBDCIg6gsgAkZm2nYCINTExJ3lUrrC + krDjq3csX3L914459ORnHfe8k486uV6UjsdpHrl7ONfu9xbCLM1aIIAaGROILEvDzMwEI6J+lRcV + PFCxPvfo9YDzQz3W2jnnnHPOOeecc84555xzzjnnnHPOObdHP3TPCLwaPgkA0JV2+eDDN+/We6+4 + 4dIPfeED48HOXc12HqEWVNBOtSvIkJS4ZkAUszzfolTQB74ajGAJ46YcvDDP46HcwS876cd/+LR/ + s8hbwrbBMMx3bVnO0+FwiMRtmcYYiygA1mBmDFQhBTMozAykMCgVYRRaW34mm2UFz0Ii+89he4a7 + rc8MENZ+9KGSAWoEQAARQ4wxAVqEYYFgQtq1o0Cya+Vxo4PrI5/zpKOP/8Cnzrl9+Ya2KlpDeG2s + ocK4f9vVd9VZmWKCkRqBGGIoZBpoeWUiI4r7H2agBKAYgYytz04GjFj7lG7CaqSCKIFN2FLq5hi1 + ErK1nZmZRiUxqgYLGxYOYqrEUBgU0JGFYPu5SEwC4gShoCl2MXbMmQeRLXdsylohaIymMIIScZMb + Zo7EIbCZkBZVBQxEoX89aaUUM0PgQHFejjjzpFcffdgzvnDZZy678Uvj8bawwFWiYn3AtMJUZ8HT + s+RpNgVKn6qus1RpDKvYNiVG1DVNmzzWXNcIAxaaapLbdtzwwU+e++9/7BdXmqV5i7GqoQAIWN21 + VtNbhQxQMjCUNAFiQbUf9kpqXGbZ7gj98wuDTJMZaT/mFWQGaE1kWRd4fmV3u7C45WA+fF4PknK3 + ken3vQlodR/oB92CoAxCB8iWTZtrHiztWjpk4fCmNBQCDRamRRMLIYOEZxHdUZDEIKSAKIqRKhVA + jVkJ3GeyPhjWD140MxFpqqV75LoPfPG9t2+7lYYpYkCSmyJVHUxIOJhyNAIVKl0FJANDFdGMJZR+ + VSVByvWgXTz2oKf+5It+5vEbjkltnZfzYFArS5cbZgZZKRY51MMBBM3u5RjjLNueVMFCCiSAgzEZ + jPYKIVDSWfgt75VfwgZIToAACssWQIGIYBaJUwzaCYewONjYli6PbfPosNec/h82hYO/ev3nd+y8 + Q+aMBugilFAKoiAoE2AURZWCGmE6xmAUl3ZN65oGMaqoEKahbUbb/+m6T09t5ezn/zRNQ2W1rujm + amNr3f0W/pFEmSqN6BDiYjr46Uc/90vf/vzchjDJS5SaPkw9VBjEZAWlKbA00g2klZEqZ0WWkI2y + hCJchNflWBvPDnkoHYjJOszcJ+aKSF+yn4ju3XXP3136saXhdpWcJAxjaExaEwQwKHBdtDGDgaZd + 0C5sjo87ho97zpPPeOqJTxvEeZlSNR7UPGeFK6rMqG8x1QpIFcK5mtdDa60bTBI2HfGMJ734tB+5 + 8sbLvnrFRXftunX7ZKuWEofUZQBIAyVGELBBeF0sjvEsr2ctNr5vZwlkEAUZKopNLoNhNZnkNJcv + u/nS5z/9pU+cP6kKVRSJ1gqpWlJj4v58/lAxYC3ZmqG6mu9T13UIabrcWcWbDz3ohHj8P92M5elS + tTBPlrvSigoC+siPejgYT0pFA5aETInjXBpArOnGUomGZu2UbmCAYazUf1NQQI11T+j13plS7lEu + hEBEXdcxM7GFSAOuiEgJXdfNzc298pWv3Lr1vj/5kz/pOiXCvgbwxxhzzn3WxcrKCgAiCiH0OTF9 + UmYfQJXSgxNs45xzzrn9ZWZ9W9y2bR9fDaAPug4hqCozE5Gq1nX9iE/bc84591jXNzEisnv37vef + +5cxxq70fZJr/cB7dSD0scrD4bBt27WIa9X971Hp+9vNUkqa8y233foP//CZn3rVT6gcaJ1vfZ+Y + qMQY1QwAM3MIH/rbD09Lud+Trb9nT/u+1quz+mrMWBd6Pajjk084EWq0Wg7jYSYiVZWKalVVp5xy + yqc+ewHQL//3f+GHYSAyNauIzj///Oef/hwFMWCz+h3OHSBo3RH6nddG198zO2+IDOp6Iy3+5E+8 + 6v3nfQApTLu8LtP6+yjC0ncFEzOzlA6AGdoiN9186wWf++zZL3/Fv/hT7LW0fe9iFmbuui6ldM01 + 13z0k59iJjCpQkQ5BiKS2SkOAAIHIsqlHLRx4+t+9rUmama5tCkFZohZ/0uHifwnj3t49Hu1mokp + B46BVYuo9BWhJOv8/JyI/vl73vPMZ59SVDvDA/bD72t/XRviUaVQRFTRp9T/3M//AoAqVV3u2i4D + bKprmdYAi1odUyn5iMMf//a3v33jhsVIPF5ZXty0GNt2srI8GM31h57qXkHb5IeOc84555xzzjnn + nHPOOeecc84555xzzjnnnHPOObdvbFBSMqwW/eun7Uhf27Ev4mRghQIR4EJkCCAjE0ILMjYlIBhb + YVIQOMVogUSyQlL4/9m782hJrvpO8N/f794bEZn5lnq1aQWtCCRAiwFRIPbNILDaEmAYYywwBjxe + sMenZ077uKfn+NA+c5oZ24Pb0N4N3ZjFBqtZLGFAZjcgZAQYS4AQYCwLtFXVW3KJuPf+fvPHzcx6 + VSoBT0iqKun3OXmq3sv3XmREZMSNjBs3ft+KktQhZE2jdi1PNNRV43qcjzul2nn6nsc887yf+sev + f/qab3zq5o1vSbXhQoraHpg3AAAJgzgwiybN08KP7J0D5VKFk5Cy5typ+EysQdVB4zpheNPaJ2/6 + 1DVf3vHIs847XbbH20cYVDh6ysUBKDUTMjIznPdgFUlJkvdcyh+pKBQgTN8iOqjUpznm6OyusSPI + Yq2NMcYYY4wxxhhjjkHKmPaHztNxCMqklFkwq7kPAFuqfmaMMcYYY4wxxhhj7gMlU5c3jfQ6bPH8 + TWm4078TYqiwAmAhUR9Hbvz5m/7hA595z6rfO+E114MSvFAcR/Vo+lCllITEOfJSpkYHAhqVoASJ + WG7C+La4ojuf98QX7nn407Zht5/UrBULN6GSShSSNYJVkMHEcCSqqo58WYycsyMIWGc9VDqLsC6Z + 1qQlmZIBYWWZJVWyspDMf6okgMyGJ6IU6C/TDMGnlLKkip1jXwp2O+dIk6cwGXYr9c4+L77i4tdd + 8bF3XP+9zxHvbalTh0zTsMpSXTmzkArrNKJSaDr0DoAqWAnKk25d0QGurKUtvb1lwZVEDkQolHDr + w/9BihHeMwKTECmD1UPVVWiq3FTcOPKMnARM4h3nLWaIOhcoO0kJwTlxHoFBLCDvkVWRVBU50yxC + dRrKqDmrQJShjpWIFLm8KVzeFlKBalSnvS7mU5Yf8cKn7T7lxFM+ft0Hb95/Y7XdKcbzWPEyGlUJ + ADs5sGHPQscZyqlVRySiEtV7wEEUSSRCnKbl5f6377zxr69++0uffXnV9SBwVJHSLC0bPB1TOls5 + JCgb1aY96JB3atZTypt+QQFhCCkka+3rNImNq8exPX7bSYEGqSOq6IcfZ1p2semeAPA0e1tYaWVp + F0kYDBayxJxT7pQrr5pBUlKYhZA5zDNoWUo5bVYSJclUxs/yXZbrB5iucmxe9kOnIISEdlKtrdXf + +x8f/MObhze1FQQkxCrOUZacoZRVSZwSs4oqPMN75CSzxsqDkssIsdefLJy9/fzLnvby4/unxb3q + Q28wCKPhel1XJR64FPFXobZtHVxVVSIJCkCm8eWYZfHeRWlRBdNy4/Pk2bK/Q4Scc+wIyEogAiCq + SeGd00ypy85T43siEidpwe249HEvXRksfvDLV+T8zYnk0Ri9GiiBKVTqmwsDJB4E8pKz9vs+d4mY + kTWpcJVCkI3h7f/wtY903eSFF13e6/cnk8y64AAFZxIhAbhsbGVTgbLAzxZSQGm6HPfhsGCBZpVW + 2hxc9eynPPea66+ZxJihIuoCNUKUghvWHN3u3vJDdp122gnnbFvYvbxzW913a8M7vvmdr3/1pq9+ + 545vSm/Shkl0yAyS+bBm+GO8BPy8BTvkMJ0zMVekQlmcwjXu9vZ7n/36J755241YyT67LraZNVRu + /zj3e0GiaFIPeAefl3gcHrLrzKc++tlPPOlJ9bCHCXuqGAGZSDxzkJiUACKwMEGIiJjEhdRDR943 + C7wjDcfauXNP2nPOqede/+0vf+Laq79z540UEjlttZWELHAMKQ0bWHm6N7GCp+1wUogShKZ7Vwhg + dqM2qoIzvPdtGhH5r9z8pR1n7DrZnQx1qlIOb957SD50ld2rpo30pl2AFQISkVE7avpLbW5v/96t + u48/4dwzHrt+w/610aob+EqQYseZKwra+UD9k7edfMpxpz/0+NN3Lu5Y7C1A9LZbvvvNm7963Tc+ + 21YbXRgLp0wCwEk5ZJPSoRfWlCzS4BjTdV2/359HhZUh+iKSVPr9/nA4bur+L/zCa1dX97/lLW/t + urs9mKaUiCjGWG7e8N6nlEqmtXOupF/Mk60tPsoYY4w5IoiImZ1zOv20eoD3PsZYzjoBpJS8t/vm + jDHG/EiYWaChqT/x8Y99/aZvpGnP5Kw77C69B5IzAZe84CdE5Iorrsg5B+djPjSeGfPrRDqdDM36 + baeTFOEQJMcYowMI9N/f/pc/eemlfOC1H1CYmYhSjM45crwxHv31u99TfuTYJckAQlXF2EEButuE + 6pRaEJi41Mg4bueuE048Po1bd4RO3zn4nHPMmTxfcMEFV37kw6qHnfXpR5rD/Gi6hWjpsHrv+973 + e7/z/zIoQb1zR7xCgTFHkPe+bdum1/zSL/3S297xzlHXOUBLJzmAQ3coOcwdFbPreSVPmmZtS1L8 + 3hv/6yXPvbjsr1vtKD7kAnGOKebU7/e7FJk5q/7JX/y5ABmak5YFSSmBQMyqyo5Lb6QHCHjVK17Z + rxun6PX6k9EGhWoymWhG0zQk2qZIzmrNmPtPCCHGmHN2s2OQc46ZUxIWbdtu985dv///vfG1v/Ir + d3eoPvjK9aGYkXMWgXOUkjhHqiqCLnYAiEsIfUoxsnMiEQrvfEpxUNVv/oM3rSwvMvNwuLGwsLC2 + f3+v12uaJqVUZpuIrD/fGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjPnhlQJ6s7H4glJJksAq + mBZ6BMptAgQFhKAEInGqhDQrDMhEFHylipRyhjhXCtCJdyG2nTrtN40SJl2EuL5fyknT/m7FPeTp + j7z0xx590Tdu/adrbvj0Df9ynW/azK0QlCTztPAjKVIXvffsg4ioKqAikkTJAQTHpGB2DoQMxBTB + cJWMZV+9NPj6vi/c8Ilrum1xMIBEOEzLcwmXcoLCAlJfymaW8phQKvUVy0qary7SeRHRUoBRpdwW + CD6k+h/P76ygaS29Uu1KD+RSM4AoGRBmsCMRWR3tvWX4rb2j2+tQqWrKCqFBNdixsGtn7/heWNR0 + NIVym604eu55sfIcxhhjjDHGGGOMMccSASsRoCUjh0SVIERQZgmEIE5ACQ4ZcAII4B6YydYiwjzt + rrVCtMYYc0T8MJUxj54rIsYYY4wx5t6y+XNgKVbLTFY2/fsQmsYeC4SVCYDyYZNpWbkEmrqGV4f7 + Q83M6iQQiIVGmNBS+vj1H77qn9/7Hb7JLUb4rB0ckUqAE+IkGS4rw4OQCUpMRE6EBAEcWTYUDthG + PbnN7eQTnr/nxU8+5zlhtIhR5biGZoWoJOEMwClBHXKZ2QyAGQqZpizzNBN4vjg0TV/m6XBDwmwp + pyGbB/6djbqb/zuN7p6tFVIHQKGOHDMrkLRERROUCF6zkDrfBY39E/s7L3via5ev2/nZb71f9DZq + EGqMxwDUUY4ShcCEkJggeZbwzUDOqBxTch46HN0BHgZZgpJsGu/3A7HOZ5vL+EuUwYAq09U0H0Q4 + 2x5Qd0JRVZ0wqxA0UVIWl91StbRULa2KT6lTr44g2WM5rgAAIABJREFUcpi4i++DVEQ7Js/eiaoq + qRKYEwQCEInLSuJQQqcZYJ1Fp6Os4AOxpQfGSioAVQaJwmW3LdTDjdjH4Mcfceku3n3FJ9+6d+NW + HYQJdW07GfTrbtQ2fT+MqfRRzhLP59MSTOOGdZ4vjoRZZjXIU5vH3Etf+N7Hd96w/MyzLlv2xy1K + NVlv66WFnHOcTHp1UI1plvmtZd1yGaPpFI4VAlAOZajmPFeeUGLXM0jLnEwXn6pWUFVRKUaNTa9i + 5wCntIUYVyERDxHkLI6JVSVDUSXxOxZPyGMCU5TMTohE03QgKYMAN103JEAkwKtAS0A4J4YQSEGQ + LWydAAFOWAmZRIj5QFiswCF2sV/VKUnMWRbjLemrb/3Am2+Z3NT5Vp0XsDCUZNZgqaNMLuu0OUA3 + ffPEuyiAClVVhZaavHTKtoe/4ide3R9v98MQXBBF13W17yGX3HuH6XhbsHMAkqZ56LhOg2xB8ySP + g7sWDloKQGa7GQOAA7usUOisacoAmMAqIgICe1Ig5wzAI/hUp6F/xiNesNAb/OXn/jDq9xZr7kY5 + EKtqpAQPiWCCDzzpknMQgITJeYgQkXcQlRYS+pAwuubrH2tc7wVPevHCth2u9fXQ9Zr+ho4zCak6 + ojiZDHr9VrMQVIOodwLwBNQCSVFt4Q3eIlbmKL1mkPJGzoqACx//Y1d/4QOhcqShipXvBgNZOWvn + OY99xIUPO+G0hbCNcpWVI4izaD0+71FPuO3ktY9ee9VnvvG3tMRjGpEn36kjSXxwmtwxSAhCTBBS + KbteeV7BTHWOqeeZRRxomFa/I9d/+Ib3UshOOFNsSYMnZaoIQZgcdaO273xIi0t66lPO//EnnfOU + vizUo5rVAwwFlKCsJFkjuWmTCAiUGSLqWBmU4YUpK2mQimOlkTOnC3bsfNRPXPjhz1zx6Rs+Sos8 + 7m5zDZLAOSBBIjlfdzKuarRjWaoDjRVAdpIYmUEKFrAiETRnCmAF5cTqGSQu/cP1H3riBReOV0dL + bqVLjj0AUXR0mA8v95qy48/W+fRrUmZARavKxTRkTwvVQDe6Zzzs0q996Ztd74ZWNlh8X5ZcW+3s + n3DeORc+6rTzT1h5qE89J8HlCplJ8bDjsefkZ1142lPf9fd/frPelBdSR3AkoVNJGSEoA0jlfoBy + FJm+IebY4b3vum56ekK+fEAgotqFdjTu11XXTQD8X//nb05GG29/518ngQqYWUScC+W44JzLOW86 + s9ESIVMmVdKsY4zMXNK25idB4/G41+sB6Lquqiq7cHlM2/zOzvPLp/FCdsnDGGOOJrN7Sg9Smusy + mqgcr4/AnBljjHlgiTkPFhdXh+t/8IdvFppdFoEyOxEhMBMDklWUCaIeYMLP/ezLQwhXvOfdFZFq + PpDsOP2Kadb/ng/KMz6o70ViC8B7LylPoJ+45nM33HTjWaed6lQ9OwCSYznqqepBHe5gUgBECt1q + HOt9rPQv6cFPAYht8oO+d6HLiavqy//8z9/615tLiLjOLlnEbnJIGOZB09mUWCsiTGDggvPOj5OW + iaYXPw6Zmft+3cScer1ejm3le2c/4qwyH4rZvBBQro/MN40pni7RJgokVaT4wQ/93WWX/Lvctuyn + HRrGPDDo9/3wftcdlpnIUYzxhBNOuOzSS9/2V+8i4iyiBxd5OeglDnx54Kc6u7ihm04wrvvylz/5 + 6U9f9Pg9IQRJGSpEVAVXknE3TZDLnJdWd/OLlCfLRcC67nVdBFSJ79i37y3vekdHs5xtLWcxDIVm + ACSaXfA5JgANu1e/4vK+cxJTzhJcJQJmD0bMiRTzTOvN6+f7r0lj7pmyjUnKrlw+EyUwAarIWZ2K + SnJMWfILX3TZ+658//uv+lDZo+pe1Y47AAR27FQygfJdrsCUb/Ns18xZ5/8CANg7H3MHBZigKkQA + CCBNDPz6r77uSXsen1LMsWuaJsZYVU3OCpAjOjDbR9fHImOMMcaYo1HOuYy7IKJy+3b5OqVERM65 + +XCI8vyRnl9jjDlUSmnzZeKqqtq23fwL5afWs2qMMcYYY4wxxhhjjDHGGGOMMcZslaqWIi3zZ5xz + R3B+zP1pNhifAQhE4QTuwIh/ZSnZzAArtBRuAjECoDrNbYZoAgAHJlJIKQ0UkRAYQCwV4cgDKqll + IseAsB9Vu6sTd+zYee4T9qxeePsHv/zeG7/3lb0bt1HI2bUdRfKSkcmTErIKlAAiEQYq4ghhZlZK + knPKzOyUGAQiAYQh0rpBBoQSWEqRSwBINI21LgvlU6jRRO0GXpAgAl9XKXcQ8uRUNefMYM/MYJGk + nAWSWRRQ8gJAfVmD0xs0SFgBElIFCUNmlfSmmdYKFkCRnXdIHTIRQIP4pr/5L6PBXogy+yzquecm + /tnnXnzJuS/FBJvrRpqjn4iUhrQM2iQi5/y82tWRYjWzjDHGGGOMMcYYY45FtPl/JQUoAySE2AEZ + Mqvi8sC9NZiZY4whBBEpxWqJaF5S3BhjjDHGGGOMMeYopbx5zNcs4BmkLFQibBEnk4WFhS61jpwK + iUpLI1rMV3/xyo9//arvTr7lV6SVrBE9x4glHHaGSvltBliJJCfPkA6uCV1qmbFQ17i92sHHvfBZ + LzvvtCfG26kSX3OPlBVZSYQzptG5jrQU494cw72pzPemlz2Qi3zAgdRq3fT7d8203jSRux0PN3+t + TGB2yOq4cqHOE+iQH7J4+vMuvLQepI9f//6xX13vMnvUjeuGnQuUSQUlEni2kmZdZ1SCnyGTtK7c + qgoh3N083J3DzfY8qxuHrBYFhJMCgCflMqxQSYTgBIHqQdX3nSdxJAklimKL5ZWppBuQUzrw6jL7 + gUzHUoIVmG51fPDberh34cCoUm7YpXHs1XUQTvvksWdc1Ov7t3/kLTev/4s0eWVlZd/+fYOaZ1FS + pS77QROZz9H8+QObMCEmDHp+tG/YVE3ohb+/7u9O2/GIR+9cGK25Xn8xpSSSm6bpYusDkRyo0z7L + hMY0Mna+WR68AnnTyynJPIhdyBNycgnTyvHinCcpGehbSHLNJGUoKkpss4DIhVDXvueyh3JGZgip + bspM3bzOp2/Q5mSOEse+pUDrgyd44Kv5K6Wua6qqizn0Q9T9d8R//auPve3W7judH2eGohN4ncXA + A2V/AWbV8BUoTVZ/sbnzzsnSkpfMk9W4w+3eFR7y0xe/su4Wfa4ZbrZJMaZ7ij9kfR52sX6ohVXG + bInmy6UH/bd5Ood5E0nBSt4PYtQzdz3q4gtf9L7Pv3vix0xjhkREEByDQ5mkeIZu3iWVmaQk2SSB + Cxi1o20rzRe/dQ3UXfbsl0G019+xvrrOi4GIVXIWrCwvra+vU6ju0nt/v6RCq5cOolwPFtXpE857 + +le++qXb124J1Nu97cTzH/GEx5110UkLp/EG3Bi91EP2EUjOOaLUrVLLpw5Ofu6FC+N8+7Xf/VRo + csqtEzDBeag8IK5IbGoSS6siYGgmh5SS90i+Xee9H/6n9280d2a0lQvD8bjqETG347TQ1JNhG4R3 + LuyKe/WExTMuffLPnn38+bqPa/T94dMk5NCnlblc3qEStKysLOq8MNQHoKKmFf/cPZftOm73FZ96 + x64V/2/7blnYga4FM0LdEHtpIYSqQpy0NSqe5/8AKC2hzhZ305MgyS6u5Tu+fcc3dtUnJyjIC4ip + I8r3sBHaglkbvgkpE6vkpBBSUIajeqc/6bFnPPlD37o1trLglx/+kHN+7BF7zjrh7CXaSWPnN3ou + 105Kgvi0IUupPnPl0Zc85aV/+snfG8WhVCAgJ61DPUKev/osTvs+X1Rzvym1lWOMIfiU0tra/t/+ + 7ddPYvdX73ovSswVcwmsqaqq67q7m8481KbcZFXus5pnXfd6vZwzM1dVlXO2TOtjUSnPXW7zwOyN + VtWmaSaTybwkd9u2dV0f6Zk1xhhjjDHG3H+893fsvfP2fXs/d+3no4I9aVbyXqIQEVRJNUOcc3nW + +3LBuY9+/OMek1La85jHXvuP12ZF5bjLs47yg/v87/YKgE5PTOYDYicp/vFf/Nkb/8sb0mSSVRik + qp44SnbOZTm0d/HY6t9YWFgYjkfsvPd+0sUPfugjMWcl6CFL8QMXahpyqaX4xcknn6yqPgSkrAd3 + cd8/qqpqY1dVFYCzH/4IDyRMr/vc7aIcbpsgZhVRRQauvPLKF196GXnfdZ0VfzEPZsPxKITAzHXw + l19++bve8+4uZ5o3fpsypg+Km/6h/d4bf//pf/NUSVkIg35/Mhq3bfsDd7rpRbRN7YyI5Jxzzuqo + v7Dwlj944/6N8SEN0UFzSJRTKt++5CUvXlnexqrOeZFDLyVYfLU5SpCiBB82VR01T7r4hje84dOf + /eydq2tZ0I67eeWyLLlin+Se3OwTcwp1FbvOhZC7DpKZUHvOUZ7zjKf92ut+JefUVNVwuF5VFcOO + j8YYY4wx99A8x/qQyOoQQoxxfu92zllVrV/CGHO06bppZ2wZBVSGjc1btvI7ZeTPvCrFEZ1fY4wx + xhhjjDHGGGOMMcYYY4wxxphjj4KnN8dtuleHFXepn8ab/gTAvDzgIVObf3VQFT5VMMDwKo5aYh40 + eanhwU8/+VV3pO994Sufu/Yrn9k/vs358aRbp4rF5YQIgBgMp0DOoqoMzq0kBRE4gFkBBZxkKECK + TFDXlXKBrNDD3bDDCq91msAFngxHdfJN1VeHtbW1hcEgp0jkKh+IWGLMyERKYAAsXMoQOrBCACYF + TQsBMjCtnzivkifz+5KUBShB4dMqaJAsspHXhv19w4U7kMGMqFSh5zmM63UhgTKRHFP3lZqjkUWj + G2OMMcYYY4wxxhxLWOEETrT0M+qsnxFQT65xvJQJUaDw5fmDcgoeUEQkhNC2bakRLyJd11mmtTHG + GGOMMcYYY442rExKpLQ5YFgAnYYZTx9KopSEspIoa9d1DfXQ+dhBmzDqjT5/y2c+9KUrvju+iWjM + bdcTX4NTksw6zSwWAMiM7ESJoYwU64q7DK4xiq0IVvxy/F5Y4ZNe+rxXnHfm43SIvu/1QsU5k8TS + 2wRACUJH77Ai50iIJ6qtaKZJG/freGPFLz3t0c+78GE/7ic7gjofMIldBogcDsQbc/m6xHmWonqq + WZCH7TByTshCWy5rfm8REefcYDAg2hQFfZQhBSs0S44yGAyYdTzsTjvughc+8/Ld9e4dYWH1zn1L + S/1RJzGjdgGtbik8Q4G6ob374vK2vkjaGI46iu/7xHtuj7dwH1kzJIKSesksemiixY+2aLPyZPPK + jKUa/o84taKu6xDC5kqOdy3+eF9QIDnJLNM9mjpQRxCn3A+91GVumr1p31r/lr/62J/cdMuN45iU + eDqslmT6KKnwOt13nMBlsMAJSDEcTxaX3fowcZITmuMXJ9te+5Ov2+kfCqmFKXNUjgQhiJBkFj3c + 2OIjhiRLxyEniSsLuy868+JnnX2p28+1OCUqw5MpAupUoEmmWwOhRI/PthgACAFdgjpEjvvaO6/9 + 2mc+8rn3D/2+O/P+3s6FUTtx6haaPoC18dDVDUCscJoYE+UJkKEeWuG+DIYWMFeVC33WHo+afFu1 + Kz70UQuPf/TCE17zrH//v178v198/ot20MndneTzQtUsx8zsA5QlZUlJE7Xj2I3TYrP4uHMv6rlF + J14zHJUWlaFbz4U4mrAyC5OywmdiBYQksQpHcWMKKZKOuJtsm3zp1i/8402f66ox9XXv+kbTBAjH + NgdH42G72Ov3eXH8XbroYS/45Rf9h3OOP6tb3aiqqqpr2crbO/uQAKgTBMBDPUMYKTiuxA9423ln + 7nnlC3+Z13rbaMUPm0qgGSl1iggCMmofRKBAnjVmZV+GlqH8vKmJlhKhrZQmefyNb39dKcMpEcr7 + eu82uVtCRKpaGmQS1Sx1XT/m7D072zOfc8bLfvHi//SyJ7/u3B1PGgyP59WFMF6q4sDnmtSRApRB + UagDpB8WzzvrMWec+PBKGk/eE+cE1vL5bNo0lSH/syfNA4SIVFWVUqrrmohSSr/1W7916aUvAKGq + vIiIJEB+4HG51DktH9gAVFXlvS9ByJhtqLjLZwBzrChva6m+XdKGVFVV19fXVXUymZQnLdPaGGOM + McaYB49ptwlRf2HwR3/ypxvDCQARBaCzDGnHlHFgjC4zGHjtq1/DoMqHV1x+uQAEyF0yp38Y87+a + d1O/+93v/t5tt4YQaEaZDp74IR0+Rx/lgwtMHOhTLEOClSAiV111VcY9uk6ipSMLDDDj7LPPFvnB + p/z3qZwzEeWcTz311O3bt2Eavb01m7vmrr766o2NDSUiZz1Y5kFtoT9g5pxzbNvHXPBjz3za02f7 + lhxSB+eeXbv4zGc+85nPfT7mxMwbGxulbzCEsNXplDbc+wCl/fv3v+UtbwG+37Wg0mRVlQ/evfrV + ry5t/hHsnzfmh5Gi5KTlvh4AJ5940u+84f+BoNdUTGAQAVWoAETJsvVdkpiJKHYdMeeuA1FTVSqI + UR564glv/N3fo02XdZqmuVcXzhhjjDHmQaT0opSzEmYu50HlSnqMsaqqnKf9YPPnjTHmqFJVVdd1 + 82+dc2Ws17z5UtW6rmOMpZU7QrNpjDHGGGOMMcYYY4wxxhhjjDHGGGN+gFIGsIzxKHVgnHN9Xuzd + ueO4tYdd8sif+c2XveHyZ7zunG2PW8kn9tNCj5pQQlsY4nLkHL1S5QN8n6te5arGIaCFTFQ6TaBE + EAacAoAQEiMzCPAZPsNLyYIBCyg3kCb4xjlHnAZ93402xntXd2/bnnOrnKExpS6lVj0kUHQuolbp + u7RQxYWma5rom5RqaStJTsWpOAGVinMaRGtBrVpn1Bkhw2diIRJCuYkUs1pk68P9h6yn2V1UAshh + g8PNMeeI30dmt60aY4wxxhhjjDHGHFNISO+mlhFRtz7aqQGjiJQBFcnwD9jbg0vPWikdPhqNnHNV + VR3pmTLGGGOMMcYYY4w5DFYuD4CVDgq0VtLyKN9mFiFRSGAfhzm3qBd6Q1775vCGKz7z9lvyv+pC + V/eYE0KiII4UzG4WPcukUMLsJQAVRywC8gRGz9fYH04Ip734GT97xq5zdb+vu16NOredd2ASUJoO + SlOGkmCWbnuUmXStMCmHJNlXvLDgvWYd5t3Vqc+64NJzT37iNj6BxmCB81CapYgqY566Ov2aiUhZ + lPPaeE0cMiMfuUVWVVL0er1pqjII0wiGo4umvND0JOva2ppzjhH8ZOGcEx/7vMc+nzfCku9145ES + mn4vTmKAZ93aELWkWvcwmkzYk6+D1ulfVr/20S9+MNbtKK6DUuV5HMeudlnlRxn/9v03bwdXVRXz + lvtXy1t2SB520zTMDLCqst5PmdYAhERQtuoy6rTsAEIKiVQ3/dW4f9SsvvPqP73+ji+kOnIdBJAy + 3hYCCKlMA62Faf5QZmUnANAmKFPj0ceg2Rj84gtft4tOdusVo1KwkgDCKqzTcbH3w1L/8BRQzpM4 + zlCNYSkd/6xH/sTjTnuSGwYWIoJzTMqSAfU5AZsSvjflIrACYEoCCrTRDevtoa3WP3TNe6+56ZNp + eXLL+LvLK0txErtJrHzVxVj+wikcMiGDonICHOCwxf1lq8axjZKZqoYG28PxC932Fz/lZ157ya89 + Yvm843DqQruyoNsHvMha5SSi1LVC5JhUUmpCs9Rbdsp5jFNPeNiJ209xCR5g9ipQuSdxLEcbp2BA + wNMkeEBIMqdpLnKgiYu35ls+dsPVXT1OvhtL11viSYwkVFHQDn3HeV2adttLn/2qn9jzvwxGO9xG + vRKWJefReGOr8zP7hMBQhm5qjlJm8TLkXtr2kMUzX/OiX13Jx/u1fl+XXKaYctSJ98gZksQ5Kh88 + SqPH00wmVmLW6SY9X1ihpJzF5X/5t29GdEIJTkWkDO7/Udfvj4DgAnuGYzhWzlGOWz7513/6Ny7b + 8/KHL56/2O5aGO9Y0p2L2N7kgc/BCTsBKwgCyqBMQB5rGtITzntqI0sUvWaqfch5uvzl6tt0hdB8 + TzfHPOdcXdclk3g8Hi8uLq6vry8uLr7+9a9/9rOfrsjOTUOp23b8fcouhxBUtRQ5zTmHELquSylh + FofMzOXoz8xH/PYAs1XlzS13Mc0Dz1Q1xui9B1ASyJxz80K3xhhjjDHGmAeJNsXxePzWt77VMztH + UIAZIjQ7+2NiAJIzgaDYtWPl+c9/fuoigIuf+7xdK9srdneNZ9ZZ9+LdnUDOe5jnaabe+7X1jfe9 + 733snU6vIkx/dGT7be4Vk8kkhOCcG4/Ht9xyy4033nhPplLW5uysPAvOOeecEltyr83oFolIcL50 + rzHzmWeeiXt23UeVnQNAwOrG8Lovfakkr9zb82vMsSSlBFEHCs475177mtcAoPnVvx+tf06AKPnN + b35zVVVgIufIMTFPJpMtTUcJGUreZZXQ1H/17nd/99bbieDu/oKKZoEidunZz3zWI88+h5lTStbf + aI5mShBCaGpyHGMMzue2u/i5z/v5n7s8TjpPlOVAn6piekTbknmvu4oA8OzaSbdQ1wF403/9g907 + dzVVlXPuum55eXltbe1eXDpjjDHGmAeV+eggEZkPFso5E1FJii2fyqqq2urJkTHG3G+qqooxltFc + JeKamb33bduWJk5VQwiTycSGABljjDHGGGOMMcYYY4wxxhhjjDHGHLXmhV8wG9smIpzdACtLaXvY + WKrWls7dfeFrL/m1X7jkf3viqc8YrG1bmGxbkl6fglPShJyRNXWp63KXco4ppwwCHGPTXQ2im2vi + lTp76hkgyPzmHyW06MY02Zdua6u1tXxrWJKF7c3acF9d7op05DyBNSNnQSnxBUwLXQLMCqdgAauW + olizgqQOGhRONQh8KfVXiojO64KVQS9EBK+rozWiu95kpCBVUvyoN1SZI09Vj/h9ZHbbqjHGGGOM + McYYY8wx6a49h1m67f2+v3Pto5e8GKIMZU8pd3cTgn3Mc86V2whVtd/vA7DboY0xxhhjjDHGGHN0 + IuXyKN8KQabh0zpLmiz51igRsIFd6nJdLSKENd276m797x9683fi12gn7uwmEXnQrzVGaWMTGpoN + kGPlMqBMCEICktr7yairGnRJ6zpQVy+2O/7d41/6uNOf6lcXlmhXn5YwkTpUKbbEGRAhVUyzsYuj + LdlaCJEUlfdVrUoqyal66KIf6P5wfDjjsie9/KT6jEFa9glV5VMZkKfzqO8SDuqhvozcIlIw1tr9 + 2eUEVbAcuUUWkaqqNOV57PHRlkbBkMAU265X10xVzhiE5To3tOr3nPGMx512Ua0hJ7ADsSfxAdVW + X6JLCA11SbocewvV2ni/3yH/8NW/v/5fr3MDJRJAo3RC+UdZN3e3YTsQqRIYQFVteebn5oEiZWho + EyoIkAXTrW46ZPZ+GD4oDCUFhCFlsRkKiIiO0wjL3VXXvufzN396o97nlmmcRnlT+DsBILBOGzFW + z+qng2Vn2IMEA1pwq/WLnvayE6vTet3ygFZcrsu4WSERUiEREt08VvdoQBJq18aJd01IjVsLO/MJ + L3nyK87adq6b+BzB7Ml5VvbMzAdFTh/ooleGcjvRUFHMqpVOaKNr1uPC2pWfffd1t3xet+X949UQ + QpoIkQtNHSUCSiqkwkigPJ/OfTqkU0l87aJ2zJA2u5ZlX16WlW1x+4nNKb3JQPdz1VUVqth1MUYO + nFS9D03lWRQRDO819N2gluWzTn4UdRRADAJYBERbLkN/VCEIQ0ihRHog2ZoBEEmMY/akjX7uG5/9 + 2u1fCX2Kop3CNZ49Ys6OfKVVk5oddNyLn/aqx5z01N04rj8e1OMlantOOASeRaFvgYBw8HGZlJG5 + 4X5P+rQRlvOOh/bOesVzX7NDT+L1pka/8lCF94AixkzkhCSTlL2PyxQPDHlnYLqzg0RLjHfQ2/bd + ttFuZM5ConrgqHRkCJU9UAWkzHB5kqrsB3mhGdbVMPQmTZNqP2Fts6ZMClIBFDSPnwcpN9SjcTj7 + 5HP7ukgdp1aDrwkHbbd0cNS3eQAoRZadcyXqKee8srKyd+/ewWDw+7//xj17Hi+qPsD78tM4ixUr + eP6IMZYPBiXkOKXU6/WIqNfrlRTkzcWdj+T+Yu4RIppvJOXf8j6GEMrFaOfcPL/8ARAXZ4wxxhhj + zIOZ0qGP7/+bLvi3v/Odo66NIjkrZmd884II89/3nlXxc694ZVPV/aaRlFeWFy9/+c9EyXRIrx/J + lrqJyquklJjwtre9bXV1TQCBKjgndc4dg+ehh3aEKsE5F2P03l955ZV5U0f61hCV98g7ZuDUh55S + zuzupdneMkdcOtYccUrp4Q9/uGc+sNXo3RWKOMwWIiJlfShw1VVXOedzsvNT86AW246ZRTMzaY57 + Lnzc486/4KDeXi393rPHFinw0Y9/7Gs33jiZTHq9Xtd1RI5dmP34wEWj79+fLNAkWVWHw+Gb3/yH + zKyKnPXQ35qOIBACKh8YeO3PvzrGWDlfuje3vADG3I98FUaTcVVVmsUzl2Tr3/w//sMjH3aWiNY+ + AOjihBggzTludfopJSJy7KBg4pxSRdS27X/8jd+46PF7SDXH1FQ1M08mkxDCD56iMcYYY4w5HOec + qqaUykXzqqrKh6vyJBGV8RJd1zVNc4Tn1RhjDqckVZe2q+u6qqq6ruu6Ludc1zUzE9FoNALQNI31 + txhjjDHGGGOMMcYYY4wxxhhjjDHGHLVKBb9yZ2UZ9aGqWaXTlmuHjCrW27B7cWPHGf7clz/hF//j + T/3OZY9+1cnpUXTLNre/v4B+3ztVoI/UIAI5okoYEPogntXqTIzEyAwlUKnrpZUiyDRbGsLIjC5M + ZDD+9vr1f/g/f/ejX7tyb/3d1eaO2+KtbqHKUdI4xyTqoJ5TEiStyQdRQsx+mMJG8uPkkqCC1lAH + lBqYTjCv5udniy2gRIiESDQmdAQhUYgqq4YyUJdVAAAgAElEQVS0OtpHNM28vout3bVqjloWa22M + McYYY4wxxhhj7qGD+w0FkG60vkJcjzp0kYGYk/P+7v78WJdSKrcRlm9jjHYboTHGGGOMMcYYY45K + zDqNjQQgsxxrQKcJsziQeD3t8BF47yPH1HQb7o4/u+KNq3xL16yPZYMqJEKbIhy8h2bJUaZ/A0zz + arV8mwDRDM/sFd2aYr1+3hMvveDUPe1ttLt/QuiauB4rXzuCSBJJQAn7LQ/eFOdwdI1UcyEkychC + WZFyGrfj8VgSEF0/Ly2n4174pJ85zp/az0uTjTTP0BWSTJiv89m4KYWCOG9M1sWp8JGM8S6BiMFX + IkJwRKSCozCNgh26buLIN1WfJcRxknFediv9yfbn73nxit/Vdx7A2nCjcqEMCt3S9IPDZKJ137PH + 6sZaGGBIG201vPoLV3ZhPVOUlKvax9wx+4NyhrdO6PCZEUQEsPeVqt6zcNMyCpaVAVZFCGE+RnaW + Dku4Z7EcWzNbP1SCqlEiUzJn1NpWo0985W+vuemjk3pDB1jr9qEmoc37PlhnCbhgAct03zkwgNUz + MGGsVk995HMvOOXxg7SCCQcEJ57UkXiFVyIlgFQ138fLu2VRsveVp+Ck5s5Xsb+THvqCx//Ucb2T + fMepy6qZkJihzLI5ZYQEEFIBTZsZIlYCBx7JeMJjXk63d//23k+961/Xb2zdCDXqhX7ssioRudkb + Iwf9d9+P51SSJDFUDpCUuuXFJemgkcb7JwFVr+rlmHJMg6bX7/dVNYSQUso5E0QEcZzbcUvJ6Sic + fsLDnRCVUbB8aDTPsUhnx2gAs4wLZiUn7MkRVEJeS/v+4Z8+zn1JuXNAXWP/WueC996nceSJ2xVO + ecETX3Lu8Y9ZwW4/rmrtc+5RDAE+MG1tHSkLHDAdBg9kkABg5V7VT+OISNubFV2nejI4Z/djnnfh + pVW7xOPQhCAKVXgHElZVJRGGAqRgnU5x/vFjvscrQVgyJ3EyjBt7128TREEGK5HybET+/U9VGU6S + lhbKkWc4CDUcKnUeLhCTQiSTSlU7UFbO84Co2VITZ78UtoWu2Tk4ruaaiJJKhgpKpPf05Y7UYpr7 + iHOubdsQAjM757quW19f3768NBytN03zpje96dxzz8kZMWXmH3BcLsfxnPPCwoKqjsfj8m+p1zzP + PGbmUiPVHENKwllKCQff3TEej+c51m3bxhjnEebGGGOMMcaYB48//tM/AcDzU0YREEHLlRgVFQIR + KMXcr6ufe8UrNecYY2zbGOPLXvayxocDZ5sHehfvJs74wItI6UkuJyklNEjAX77+hn+87jpyDszl + DGU+hvaY5r2POZWT7g984AM/Ur/5rJtncdBbWloioiN4nl76IhhERMx8+umn37OTSmKGKjGVlN5P + fvpTLng4PoKXtIw54pqmkZQZlGPULN77X/nlXwbA9zDG+lACjMaj//ZHf1z3el1KYM4qfuv3ZdR1 + PW4nvX7/I39/9de/dVP+IRqBnOKFj3nME5/whOBc27blRW1/N0ez0rNauuJTF8fD4crytm0Li7/9 + +v+8UNUpxSZUAESEvbsH+2f5tJNzrqpKRRhwoKc98UmvfdXP96o6dZGI2rZl5q7r6n7v3l4+Y4wx + xpgHi67rnHPe+xhj6dOIMXrvnXOlq6p88Ash5Jzturkx5ihU2i4Ak8mkqioA3vuqqsqQsLZtAQwG + AxHpus7aMWOMMcYYY4wxxhhjjDHGGGOMMcaYo1kp2VfuyyvJ1kSaKSVE51RF4npLozBIK9XGjh1y + 2nMe/eJff8nrf+GSf3/hQ5+xONod9jX91JcRsVJTuX7NAdAO2s1ioUmmDwgAlnmlUJ0WrSp1qAiZ + MeZx7LXfWv/qVV+84rf//D+95e/+6BvD69eb20Zhr1+WsIixTCbd2HlfV42mXAKqgQxKSjmzJBZh + KVUuhUQI5U5IJQAipCAhlZKm7ZCcJqfZgbQU7yNNLu9b36vTe1sPIbM5N8eSMq5pXpoSs83+yM7V + A+GOZWOMMcYYY4wxxpgHrVKLnxQEdY5r4kWhMB6DGRA4Fn3A3lY3T7Amoq7rvPciYmXijTHGGGOM + McYYc6xQAilIadNjGoBN4ti5O9Kt682tf/3Jv7hp40tD3Fb10HXoNRUcr0fRCq6GxMSigIAAlFzq + ElwJkESRpmHtpNKql7ZdcNpFTz//uVU7GGApDnW0OlrsLzni9fX1utcIVEtgrXrorCQ3JVA6civp + MJSgxG3basoNuT4PetViqHrwrter47BdjCunDx75oie/sjfesVAvaEYJ7cxOlJIQZFrPfFowWRWq + eTjeyNMl5ft6SJUCcrhizSWgIoQAgIkgQoKjLZBCSKJ0g4Ve16aN1TFraEJTO4dWqsnyYj7+kqe8 + uE4LtatJ9J7V/OKMXsWTLlWDpssQAgJyL33j1n/68rc/lympwhNKmO49qOF+d1HWBwbzKTGgqs45 + Pexb9X3RpkGf09yRLJ49zQCsSiJShsxueQG2SpmUpt3ICgCZKDrZqNauu/lTH772r9fwb9VCM+qQ + GS5ACJtagPlUBMpKUJLyEIYwCHAJdeyds+O8Z513STNeqWVQVdVwsk4KJ95JTRoEnElVM0EZR1GX + tQAxp+Brjp4SkZfg/Pot6fyHPPkpZ/348dXJyMiUhVQkZYHCKzaFqZOAhFVIUXufuuw9Z83kgYDV + ybi3i29vv/2W9/63tre+rmuJpRN17CXOV0JpdR3As/HN9+36SZo4sFIU37U6TL6NPMk+cY+Si9l1 + oWb2OpmMJqORRBGltutyTs65qqp6da92tUMVcn/nwgk916OsIgIiobJN36ezfx8jySRCiVRJUY7I + PnsvzifnnGt19Uvf+NxtqzdXjaOOagmUUHkMN1Lt6xp+R7X7Oee/5KLTL96uO/Na146T8w35AHJO + KA0jbW3A8OyIXAapU5we6EFtm4ILwfluNHbJLbsVN+r/2JlPvuCMPSH3kZQEOcKTY/ZEtLndI4AU + Ai7NGylvTnEuI90zd5njHat3JE4ZiVgZoCMx3Lkckkt2fEqJmaGMrA5MRAhIvut4FN0o+XEOk+Qn + LUbJtZlT5iSzkHJS58RLJ5Q9Tdwpu04j5RBCzEmQlWW67Pf/Epr7Xmm+hsMhM7dt65xbXl5eXV1d + WVkejzZ6TfXOd/zlI88+ixRlaP8s8+bQBrkUbq6qSlU3NjYAEJH3nogmkwmAXq9XDus55/lFTHOs + KLEo3vvy8Uxner1eqdytqt77EILIPYkvMsYYY4wxxhxVttQBd/VHP/r1b34rA76uaN75qwoSctPb + xRVKUAYu+8lLl5aWgvOe3eJgwRE/5KSTnvWMZ95NN7/o952Xeab1rGMZoiLA29/5jvF4DBAzk2PJ + ONBjeYzh+WO+pLfffvsNX/1qyW/We9BbI9M/yVlOO+20kml9f/TD340ypLmcYzqih51x5g+/+dGm + 3gkVAZCzlqduuulb3/nOd6z/wTzIpZRyziEE51wIwTM/51nPPvO00+neu9Cbgff8zd/cfMu/qWrT + 9FJKw/FIwXq4V5jVkQFw4JIcAHKsRHvXV//kz/6sXAoKPhz25eZtYuXcL732FxyhqeqUknOuRMcZ + c9RKkhe2LYsIM6vq4mAht5208TnPeObP/PTLPBBjV35TRHjrx6/5hyKIBnYE7Nq58w/e+Pu9qk5t + N+j1SVFGWTjnxuPxvbpwxhhjjDEPIiUCVkRKcLVzrkRZ55ybpinPAyhnKHy0DWw1xhigDO4C0DRN + +ba0Y8wcY6zrWlW7rmPmqqqsHTPGGGOMMcYYY4wxxhhjjDHGGGOMObYQq/MS0waF1Ftw6nNofNP0 + u5G6tBT39f36jnN3PeWVT3/drz7/N553xotOHJ22I+6qRo1uOIp11qrN6ATeMwisYIUXOGEnHuqh + LJRAHUhIwQJSkACKTpCaNOqNN/r7Rtv3XXv7J/7sQ7/75r/9v7+4/+Pf7v5plW9LPCEi7ytxGEvM + JACcOJ+9Ewdo9O24GkcXM2flpJSVs1AUisKRkRjJITuVIOIFXtgLkzKDiUhJxjq6Y3i7anZ0UEVB + 8wBjsdbGGGOMMcYYY4wxZmt0Hh1QyrjptPdTclJk5DgIFTQrhFWYHrD9P/NKc6paVVX5Vu/eEZ1Z + Y4wxxhhjjDHGPKgJQQhKIiRK0+hEUgIcKTvxrN6Jd8IlSE9IRrJe7Uof+uIV199+bVevqUfXYbHn + N9a6lKQZ+HHGsEUIoXIMghKEAYClclKxojypYETomjtrx6Mve9pL0xr3MAgImrFteXuMcdyOFpcX + BJpBQqzwZa6gTCqAAmVU3VGE2Ve+7oWALN24S5kzfFS0adSrKx5jUVbOWHnk089/AW34PjMLACgh + u2kor0zH4mkZMqiqkzgSZFVlHJl4A9bS38WV90QM/P/s3Xu8bFdVJ/rfGHPOtapq1z6PnLwTkhAS + w0OQQJCXyLNtoAGRiIqCICjdKnq7b3fb99N29/006vXe6wu9tIpEQjdchUvLB1tRULwiV57KIyIQ + MBAMEJDk5OTsveux1pxjjPvHrKqzz8mBsE9yztknju+nPie1K7XXXrUes6rGnGv+YEpmYBDvsspW + QRFSNt67tp6I55OtGAhmLO1Q9z3ookdddeHDQ89NQDtoipYdpYSQgRHKXDni8HQ+HEIVSpiWSRlN + 3v+3786ho2CSS+JQAyHu7SFwR5YWKJ5wXdHMVpHYZqtIa4bx9giNkx2nwQY2JotkxEYAFCiMWdN9 + cf537/zI7x3UW2jUz+bzxEhNmPU9bJHsTkBtSbCYi1+VVFiFiyw3EisPynCc9zzjW7/rQLwglZH0 + NM/zdm0AKBmRBtYEsC3q2LurMQE4xYEJaZFAFgbhzsnmOXsv6L4qT3zQ0++/flWLwSJFl9QIISQj + NrAuNidQtxCpaWEDGZORKojAEdOcedRP8JU3/tF1/XgyoU1KFJDYGlgwYuEacr/MFT75ja0ZhRAm + 8xkC2nG7OZuEUeqpL0EzSie5l15gIYQUhykNETg0sR0EM+m6XFRACtUBjwc8bkIrAlU1NjDJbsos + PwEKGIuRMTSYkYGM66Bz6Y0CNuj2D37qzzHsJ91GjJGNWQMb9q+Pu41+UM76J4987qMuf2LcGLd5 + uBaGIYRsXUZfTKGhjcOdtlf1OCNTkIAKSIB6zMAIIIXanuG4TLVs0Z544KmPeca+5hzqQiKwwXTx + DrI9TuPrbQFa/Kswi7o121DI4nOLmerp3L9sbGYpNEQkxUyJFF3uhYwCK5MGs8gSLbMIw2h7yDqz + MSkPBqNuMl0P6+fsOTvPO2YYqUUAuvyoBiNWqt1u0NMWeOTuTTVzejQalVLqv33fj0ajjY2N0WhQ + wzDe8IY3XHXV5apI6Wvu9VIKEdXZTrHMP66TNddHVlEZnil1hhKRmmld93XdjyLSNE3XdfWROtHt + 6W0PnXPOOeecc/fEN1Ih2U6B1/+3NyjQNmk+781sEcQYAohq0jDTIvsiMb/0xS8Zj0Zd10EUonne + DZr2hS98Ya2z7rTSUL99EFGMMee8evz33vq2g4cO9SWr4T7zJUVVQwjF9KM33LA5nYieYGW+JpEk + JgD3u9/9AJhoOn1f1Uspo8GwjmFW1csuuwzAN74224+Zpm3rHSbams8+8rGPZfGYW/ePm+ja2liL + dLO5FYkciOjlP/IjXHu46hl0D/pYDVDg8HTypje/pct53ncc43A43NlCCJuTyWi89ref/OR73v9+ + Y8IyAe646pvFxRdc+Lznfmfuun42H6+tZSknuz/RuXtoNBrdeccdtWw+aNrp1gRqo+FwPpn+3Ct/ + 5sHf9EAAXOvqqrro4t8BEYkxAsgli8owtq/+tf/r0ksuiSGoap53kbj0WYu0bRvvu9dPOeecc86d + AiLCzKWUGGO9Xx+vQy9yzsxsZiGshm4659wuklKqd2o9NqVUh27X+wDqj/UJ3o4555xzzjnnnHPO + Oeecc84555xzzu1mq5EeqlrnhAEAaEqh67rZbJZS6kueTKdra2uMMErjMe1J0+Fga+/law/9zmte + +C+/+6e/45uvfeCeq0eTA3FrbWTrw7DGYBXjZbALbc+HJgUXZV3+FIPEIDEJJ4aqhhEdlu5OPpjH + m3fEL33m0Meuf8evv+6dv/6uT7z9YPyCHpht0Fc35LY4NmExWs78tbzOiZWNoKRKplSUCqjUQGuQ + kNlylSJpJE2kiTUQETMr6dxmG93hYkqLKxTrdUhMhuW0Zn79kbsX+GU5zjnnnHPOOeeccyfXvRup + rARhCB8VQrAoTgZTUgJK6cFEoED8j6GIuJqqaXWZtHPOOeecc845506SY2ZMXs3sc5pW50xlVOs5 + TAVBY9DAwgGBjSGggBJyXpt+8qvvf88n3nYIt9JIS0YCS19GLZjRlYLAFGO2TigDIAIIZoZCVKhG + i4ohhBS74bnx4u95yosO0AWNDU1VtYRo8zKTUKjhWel7FQsRFgCCcV0eA1TTLXcTMka2aEH7jhmh + acWg3Cixkph0g5BsoiPZ+5RHPvOyvQ9qZuuNpNKDAoQBhmiJkUU6ZjK1QGBCiHz48KE2JBM7XTHS + qgghhBAiBxEhohCgJxrecJIYQSJ6FSLWXk1Lk4JYARGooOc0PfC0R147knFSnvUzana2fDaOJSSO + SuAIM0QGMkLCLGx9YeOzN97yNz3mgWKwRBQQTqwkeJzfOmq+RVtMv0i047gLMxAtQjhqoEgIoW2H + UCIDAyZaQxOJrdhJj51oOcqkH8RxKYgxCnLHedJsveHPfvO28HkZl06RGFG59BpjAgLsyPYhWxSi + CwkiNJYMFIMCRGhs0E7Wv+Pq77xo/dIx7TWlDBmMh9M8rVG4bJEssoZogYh4l027zwYTJiUiU5LO + cmhTKfMWwz35vGc/5vuG/Xgch1kgQA2zXMV+A8thvQQ2EBCJSZSUIgEKKJjRl5kNtj7xlQ+864a3 + zQZ3yqAvRQdhRGjESFSFQERkDGPaQaDJCb7iYI0UTqkVQ1HlNs2LaIyZSDlYZOMAMCyZRhUSKwjS + lY7IYmRVrW/9ki2FwZ619TaRLoPPT/LKnwpCMFKCBlOGLjLMlTmmLnR/++W/umX+qS5tCmexXlUD + J+1g0zzW9cdd+czHXPHMcd6TuoDMRAEhZ55p7C0JjE2POrm+QfWA2zb2vQCiQZWKWOFIXckEDJuh + drR/eP4THvmUpMOgYICJ6vyMtspsxl1jPOqpCjLw8nxXAtgOb94ZW64f84gocrqHm/cbfLHHrJwC + xKZWUko5ZzIOFCMn08AYGkaqg2JNsaYYGwIoGkiIFGx1kcvTVqRLKSDrWjMeDdte5ghQiC03jta/ + b6xg9diD+4oaTlyDiuucy6qqqsOmlT5r7odN2n/W3ut++7cuu+yiUuyYX6xRGatvPbbs911lz9RH + 6pOZ+ZjvR6unlVLuGzFj92EhhFVmeX1kFW7dLpPD6v/yLmnnnHPOOefuM46UXAAiKqU0TSMiCgsp + zvvuli9+4Q/e+Q4DZv0iVXoRxCgCs9A0ANQUQCR69DWP+paHPSx3fZtiYC45t02juTzxCY+/4rJL + w/Yw41omJdA3UN82s+2Z1gb0pm/8nd9VQAlFlAIXleNVVuweBbqeDimld7/73QAoEADeeRx1rcyL + GgOXXXIpcCT5m47eGNvHYJ88taqsqjXL89JLL205nMheIeq7DgCBFAbgAx/6oJca3D9yIYQ8nwMY + DAYGLSUHshd+/wvOO+dAfUJta0Ot7y0LPt+gmmlNxAa8+jd+PUuhEPq+X513d21DatWxxvrWBLj6 + hNg0XS6/9Kpf5RCKmgIhNQDDwMSrQlOMizsJ+J9e8eMmMhoMRaSUEkLkHa6/c6dY3/e1iFrnimpj + YoMWGQ3bkrvX/uZrWg6RSEqJ6QQ7Q1WVAAIBuPbaa5/0pCf1fd913WAwWJ19KaXpdLrKMHPOOeec + cyegdpGvRlGuMl9XQ8dXwyHCzus2zjl3ytTJfOv9EML2oT6rfGtvx5xzzjnnnHPOOeecc84555xz + zrmdWlyl5XkQ7uRbjVWrd+qUPqbEEqmkhkaBRlKYECmGXubGndiWWZcISZvYjYb5vLNx1TMe9IIf + f/p/+NFn/ptHXfz4wWwUptRYYwJCMiS1xqwBB7ABfZFSj24zMk1BU5LBQJq2NG2JQdh6a1uAbY6Z + tfOu2ZqOZ5+Xm9/6sf/7lW/5qdf9f7/4Ofsw9h+e6G1oikXkYHPSbGqFm37Y9INQiA2RkAKTFS2d + aU4h1MkJYQGWoK3ZwHTNbKgKZhbTQmIDu/nLn09tU4ouJgs1XkyBZ2RU59pyZ5hSSm1LiUhVmfm0 + z+vrh5FzzjnnnHPOOefcGUYJSqpH5kI6cs/IQGoELNKG+AQyEpxzzjnnnHPOOefcvUoBNVIlNVJb + TJzPZMyIkYJkbVMjWdRKM4yT/vA03XkHbv3dP75O1rbCCJNOmsEAwkERatXHAItGLAQjMEMVImDm + JoUUYjRmBQNBY+r3PPWRzzo3XqyHw4iHqgoSIzEqdX2kpl3W9EfjxbotEi4VUNpNwQdsiEJRCYCR + Fta8GEtHg9T0ueNgzISeh2V87RNeMJztG8raIEAVzCAGEQQ9ByyjOgFAte/zDCqnPtN6++Ylw6Kg + Z0TGtPuKewoosdTNj8XmUoKwGsmwHcVuzwWDyx980cNTbiMBUN1Z2C2TBTKuIazAImmVDGh1izY+ + 9vkP52YKUhI+wZ11zFatq3eXlVzEDN+D42H5u6dzJxKgnayvjbvZPLXtvJ+XUGTUveMDb/sH+cKd + /NU+AgEJiAK2aBrYmGzbZgdQi9IQpVIUHBACYkSwSNN02fiqx1zx7WcPz7vj9kMckNo4mU1jk4Rh + ZICyaTDU43kXDnsNymQwUmE1grKCJChoEi5au/w7rnl2f5DbGIxBtGi/DYvjc3V0EBAUbEoGVmZl + 0uU2DJjopqxvvvfGP/n07R+b0mFE6rMpIigihTo438zsFISoAABWJ05dQxiRBlKCEdtdg8dt2d1Q + +yZs9ZYQNKQwAMgWZ/opewUnXdDlqyTFIisoz+P8/Te+eyvcjkG2BtaCGu5n/YgGaTZ8xP0e8x1X + P2fcnd3fqcM4grGSCotxMcpAEcZxtu7dIRhZjZ1mttromXEx7pXKqqto8cHCqJXBQy75lnNGF7Y2 + DhpKKU0ba2LSar8fvZvu2vQtnmBmfenNTCFiRsa7LyaHyUKQRBZYE1tki7C4DLFmYPHxBoACxovP + YEHjKA1EhBj149n2hdYT/D5zMLuvYzqdtm07HA5r+vv555//xje+8cILzwVQ5ywVESIrpYQQ7na4 + v4isQpEHg0G9s7m5GWPMOYtIjNEvx3LOOeecc8650+7r13trFGIzaEspfSnjPXtec91r7ZgCymoJ + zNL3AAIHAszsJS9+ccNEBDMTkVU2xmgw/OGXvswAosWcHYuZO4hsh/WWujLMdP3rXy9iXdeNRqP7 + RvxGveSeiD74wQ8qIGJYhYjvlC520oUXXkhmZsZ8j0r9J6wO6I7EgdjMzCzGeNZZZ329ytPX+H/1 + mAkhKEwNCrz3/e9LbXsyVtu5M4UsW2QyMIgBBkXmH3zhiyLAyy5gKQVEVsqO/wBRMVVgczJ97XWv + U9hwba3L+WtVj2uzLyLMHEKYzWbMLGZG9NmbP/f//sW7exGBEaiUAqBOMrIqvIsoAYMQzznrrOc+ + 5zmSCxsGbZtzBlD/de6MsOqQYkM/7/asjR/wgAf8L//u39V+4tILTuhNWVXbZvHG97a3ve2mm25S + s7W1tfl8Plgb5ZxDCF3XDdtB3/f30ktxzjnnnHPOOeecc84555xzzjnnnHPOOeecc84559xuYgxj + Q8TitphmikwJQhAyBOWgTZCU8mi/nddu7L1y/aEvetoPv+K5/+bJVz3zAr50T39O063FvmVhgEsp + OYsR2hE4QhkajEMQQp/nknNiCqZRwMqwxeRURiixn8fpHXbHfH2r37P5vs++61fe+J9f+we/8vHb + 3n8H3bJBX5k3m7SmNGCkwBSbOIixJQqlWO5y5GZtuBZjmk6nDMBIiRUsTEJRKAqxMWXJZmpBv3z4 + Vh3KVjdt2/bIFFtH7viEWWew7XNbeay1c84555xzzjnnnNsZIz3OlPq0yAgB9NgIlt0UO+Scc845 + 55xzzjnnKjYE1OhikMFYBDkj61qerN32lndff0f+yqSf9H2/Nmrn836ZcwA2BAMbw1iJZTmWbPEv + iklvwlzCgGI+LNdc8YRvvfLJa2X/vrhvPp3HSEpFOSuLkNVg7G1Zs0xQtlV9iXfb+CIyJOEobIQ+ + aA5aQgFAGrWAKRTKGvNgmMpEH3jeI570wGfG2Rr3DSvMIAIOMBOOZAaiGvcJhUxmG6qFTls1jY// + wy5LtjZiRRIicEfUkYGNjdS4FM4Ki6UZ24Fv/aYnDss+Mi7Y2TTxZCBjtjrGEjCwclQQIKzz0H/i + ix+5bf4lgYoYczyx4Xd6Codf8jIfmrfllJ/K9PQQwnw2G6y10zwrrBjZ397ygfd98p2H7KsTggUw + IwCsysoRLSkTjmRaG0HrvghsZPWsIQMXLlNe07OecfW15/L9rEdooaEIcqComQ0spMqZUKIiKhuC + gnbVIU3LfSGMwvXAUAm9cZ8QRzJ+7BVPu2T8YM4jU0hfAi/Tjo1hRyVbYxVuvUggjqwRBlMMxvFQ + mdwut7zzg793SG/t4rwEygYhBtRYaHmaGJ3sJldBBhI2DabBEJWixihNlCZqjMI1nxskNZPeSI2M + F2OX63DqoqQ1JWLYjgmJCAIzA+2ifXuCano0AQxVUgWMRUKhNb75ts9++taP25pKxOYcE9WeuiaF + Jg/vN3zwMx75feeG89cx5tIYs4S+hGxgGBNlUBaCEO9w7LeCCkjJmCyQJYABBWVQNpL69m1gJSj1 + BOEcLt5//4dc8nCaNkES1Ir1YKufNHxM28wAACAASURBVOioZGsFihGMjpNsXZVSdNsxbqeg6TRe + NRFGulo3vWv+NkCGYIiKKJwUSRC1NrlMi/aWAVawERuxEApnIyPjPcN1qBDB+EjwCQDgSEPtA/Xv + 89bW1koppZT5fD5qG1I568C+111/3cUXnycCYqQUzKxp7ubDRg2rJiIREREAXdfVJOz19fWu61JK + NV3MszScc84555xzbpc4poi16KMxMzMwlVJi0yjstoO3v+nNb8a2EbiLaoExjJkIADOLSgrhkgsv + etbT/ynUGAjEZEZmWkRFIPq9z3/+gb37zAAz2OKq8kB8At0RBij4H+44+Id/9PZm0G5ubm7/vrm9 + BLRb0fGjm4lNsbGx9dGP3kAgAoFPpDpDgC7LWFdeeWXd1Iv+tdPBzIiohtdCLYV40UUX8fFe2jH7 + bdszFNCagL69WHfjpz6zsbFxctbauTOGLBrvxZkemSLzS1/yQ+trIwBQpBABwIxD2OnCzah2oBcp + 119/fdd18/l8OBxhW/V4e6urUCKoKhmamADUIqGqvvrX/0tfMsfaz8J1hc3IjADEGEGoNcgs5Ude + 9rKLLriQRGBa+pxSUlWcvnbMuXsipTSfzQLjX/7kT1z98IcRMEwx7Lw/kUEEdH2XUoohbnXTf/Fj + P4rAk+mUAk8mkzRoZ7NZ0zRYFu2dc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOXcfI4zCvG02 + Kl7M9maRNbAGBkAK6jTMwfPJ4TvPGp51XnPJ6M5zrgjXfP+jfvyfP+WnnvXg771AL9mrewfatIGb + JiKhAFlpklECSqIpz7Utg7PaOJSt2YygDOV66aLFOquVAtYQD0hVu35Kreie2cfvfN/r3v3Lv/r2 + //zuz//+Hfz3Ez64JRuddfNYNmTW94C0EeOEsfbUTw3Ca4OxIiixLafCK6w5lhKKsMbI3NI0b95y + 8OYuzGMTcs5HbRRbTVvn11Ocee569avHWjvnnHPOOeecc865E6RE28s7dV4kpVU5lWq0hnPOOeec + c84555zbBRY1m23RkgxARJomTrstaoBWJrJh4/zeT//ZX9/8vsG+JBCikHtJKWTNi5RfwzJ2VEFq + gAiYECMrrMhctUQLLUZx3pw/vOiJVz99DeeGeTOMIy1CRDWvdLVKRsvZt0kXmdZki1oTGLbTGM6T + i2tOMFQIypAgRkqGYAzhEFJGLlSMStAgB/lpj3z2hWuX8axpObJACxiLOc7rUC4BDBDWyXwLVIjs + eOmZp+Bl1XhdWv24a9XkXaVipIvQUDIloYCu61pEmtCV5zzk4r1XUAk7zW9ehq0qsDxNLJKBDX1R + tHoYt33iix/NcV6sUAiQnS3/uKmsx3twGRe/+5Ldd4qIjHSaJ2ENs9Dd3n35nR966zTepk1vAUYw + gyoMCCAmWxSYV9vEUM85IjJYYLAhKahPYdo+8H6PeMTlj9PN2M3zaM+oaJdL34QBtE7Nr0bFWJaR + HLtyY5LaMp26DhpWQAkpBZ3ZflzwpIc9K81HjQ0SAyK1ncQytOCYZGusMgwW6bzRGPNcBnvQx/6W + O278i4++Y542dNBnzmJFVU3UTImtBrecfAVUQEIQMguKJJyUklISJoCgBCEUkIAEVJYvJxq4Zl0D + GggBNB6ss6ZVDvHu27s7w8asxEYGCKktjmEYySRPb/j4DTE0QcAZw4h2BCJOOhjL/md867XnDy8L + s9RvzPaO1/u+F+Iad0EAGQEwUuEdNlikgABatz8skoV63CktUreVoDVOnIwMSZNs2DXf9G1NXgvW + ppRmnRgM28KqlaCEGpitpIsf6x9cJnAwU43bAUBEgYgQTmP8z9egbBZMgklQY2gwhEVku7KBj6SJ + LM7rRTeahT2j/Sa6ekE14b4GWpMp2SJUe1d9/nH3OlXt+97MxuPx7bffHkIYj8dXXnnlq171qj17 + hjFSLhIC9X2v+vVaZ1VtmsbManZ1Sqk+XgOr2rY1s1IKgJqo4ZxzzjnnnHNud1JVIkopZREjNMPB + m97y/xzanBzznXCVyVxDRs2MiUXkxS/6wfFoDaImGmMEUEqp5ZSc84EDB57znOcAy1hSvUfjeouK + Ab/12tcyczsa6n1ilLCZKeFTN97Ym6AGUpohhONGYN+t+kuXX375kUjp02d7tLaZXXzxxXf7K3Tc + +0SmmlKqx6QSbvj43+iJbSDn7hOIaFW1NjMG1Wr2heef/93Pu7Y210XKoB0QSGWH9XmAmLHsNv77 + W7/0P/7g7RxjUflatcJSCsdABlU1syamUooRDh2+83fe/CaKIRc1cAghhEBEq8ahlMJMzGDC+mj4 + kpe8ZOPQnU1MJioiXOv1u64+79zXtOp1UkLf94PBIBKbyG+/9ro9o0HOBTt/9zJYiglAKaWoAvTR + j//NL/zSL4ZBE2JsBq2ZjUajnLOqfv2SvnPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnzkx1 + VrfF3FBKRaksZ+JiIAJ1ki4QNFgJpuPRer+Rp3fM27K+Xs4eTc96wPChz/iW7/7pH/zZ73nci6/a + 87C0tc6T4VDXGrRa0DIgKGrCmEi+YzLpVNfWj7kMQhczdBJMSiAJ0TiSROlSN21mk+HGF/qb3vq+ + 3/m1N//8H37wTV/sP9PtOTwbH54PpzmVwlZIhBUxcYoKnve6msPNSI1EQhbOwlksU7Quz6i1m770 + mQ7T0FApBaR3mSrzTJ/+zaFeceax1s4555xzzjnnnHNuZ4JZMKMjZaVFsBAbCBA2rREGwH1itjrn + nHPOOeecc865+wICjsn3XZZ31AJ66q0ppc22lj/95U+866//kPfK4fk0phFzJJOsOTYstMiZJmNG + z9YvFlFARowAqAZwY5FDzHE4W3/iQ59+8frlYd4yN1tbW/vG65b75ZpwjWAgY1oEPgojg4RqZjYY + CIawGu62W5AomZLWf2tkKBmaMCgZRlDIbDYdD8cDHe+hsx/3zU/dQ2eHPGDBIIAUkmFmRjDU3F2z + SJO8Zaygcrpf3lF22aYHGZMyG4wgrAZWMKBGSiGISBPQKK3Tgasf8DjuW7bEOzt+VFmUSj1lFmHD + GkmZCB1lGZeP3PzXue2FeoPgRENHjxmSeWw2yeIcOZGFG+6yUrYtztnoxBZ7YgwoKjyIc5tI08l6 + 9/b3//6XJzdh1DFzJMCgBiEQg1BI8jL2/tj1NzPJSAzOSNq0/ejs9qLHPfSJskV7Bmc1zXA2m9WM + kNz1g5jq0F5lUcrCNQQdu+2INqAOSkbN7l6k4bIRMjIRxbL36ksfe/m+B7bzwSitFQEtYqF10ajb + Iit3sdNJ6+kAUpAqAIpbczQNQJB2+sEb/+JjX/zAfHSntR0HYzUWYoDIjCHHHoknASlghAIURiEY + QWuYMUHZlCE19xpUgEJmBA0a2MK2XgklUwLG7To0MBLAdOZPEU9A0hiUhVHCIjFaCEIAlcnhzT3l + 7ObwvvF837qOQhdlk3CweexVT3rIJY8I8yYhpMhZpsxEmkgTQckU1sASqL6/7GwzGemyN4jYaBGX + bnFxVhJs++EHbqiRLVy0//KLz3oA5ZBiCwJ4FdsMrNKdqWa6H0luZmM2Zq3nAgE8GAzNjCjcW1v4 + bpExHf2WsYyXPs4NpKAe3NUbIRMyQxjCVuPnlW1xMtYNJRDjQJJGzZisvi5mY7LFJlqmkddPQe4+ + TkppUpJSTHX//v3z+bx08342fezjHv3br3stM8UIEQuB7vKp4SjM3Pc9M4tI27Y5ZwCqGmOsQdc1 + 8Vp2HpzjnHPOOeecc+5kWJTCtt0WjxOFEEopbdt2XTefz1//X//rMaXcY4ubZmY2bFMEvfiFL7Ii + TGRmNdCUiFKKgKWUcte/6AdeOG4HtXeGCASc4FdFWpTiPvTRj9zw8Y+XUlJKp/0y9Z07tk5uZsz8 + 0Y9+lACDFRWAISfyughkQACdffbZtWR9Gr+V17+uqoGYiETkoosuUl3U5+7md4/+cRWMjeXY8A9/ + +MP3/ho7dwZhAlOtkwOw5bUVWso//5EfbpgGkRno5nMzC7zjQjczwwxgDiHG8Au/8AshhNlsdtdn + bn8rqbOHSJ8B5Jzbtr3uuuuyoM8CAjGXUkSMKQZanuUEEWNmNbzoRS/at2fPcNAmDqQ2GAy6rmNm + j+l1ZxatvY+w+uHKzCKHiy+88Od/5ucCkPhE+ovrWSCmYiqw0XjtF3/5lz74V391aGujGbQKm3Xz + lJKIHDm5nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjl3HxKMghJBQVlDp6EroSshl6CFSBFg + IWiMEhpBI5CZpjgYjYYxUZG5djnOU3PneHD7OU+99Hn/6tk/85PP+E/ffvkzz8b9uGtCF+I8DiW0 + RACoQRzBEm31VigKRWEYqbIqqzHYsCdS6izPSi99Jus0ZIsWB1Pr+QDdMfqHP7rx937xrf/xN975 + 8x/68ju3RrfO1u6cNRuzNMltzjyfyRxM4/F48fJICULUgTrwDDwzzHuZFsyF801f/JS1/azfbAdB + yew4ydbuTLX9GuHTfh2ZX5bjnHPOOeecc845dyZhQ9Aj8x/ZInjjSO3Qah2xBqXQXSd/c84555xz + zjnnnHOnFG0boLOq6iyjCwiMbF0Y0BzzOU037fB7PvznG7LRcycBYIjkpg2isEWKaoRFI6ZVKrWh + YQZYRBSwAA0QzTIpDzj7Qddc/vi2Hzc0JHAxhWgiJmO2wBpW+ZFkoEXEaVmEtgJW/xzirhpipKTC + qsuU3FVOJxtUwRxq/ETTtKWXlgc2pUd+06MvPesK22LK1HKCwgwhBCIC2IyMALbpfKKUjWRXhFka + A9hhIPSpocGUAEU0RF2Ma1wcSCGQljxKQ0zooQ/4lvV0NuW0o+NHSYV7Y+VaBV1EDjNbbJrYlb5v + +psPfu6wHpImq2qguMP1/0ZWZvEcPglRIKe+WCuswsIjnuDwjbd++MN/9x5ppwWdiVKBGYQgDA1g + FMgMyxZgGyZDALEhAFwQOm5ldOX5D778vKskGzOTRu0phJRSgihEoyIo1xUoQUooWGzS3XVUC8Fo + kWgbDKGe/cZiZhSDpDHve8o3P7XdGspUYgQvZmJXALBoiDXcXcG2CDVXQJWKkhqrEKeGNzcwaNFp + XwaTP/nw227Lny9pk7gEitEiLBCFmjGsJ7v9Md5+GC5ykZc3JasrYItn1qxrkEXSCLDSKvlHoTZo + RiiBiAOIsCvaznvEmJXJuDB6hhLXnQsgcnjxtS956T/7sUdf+JTBnfvo0HDQrR8I5z3kvGue/LBn + 8JzW2kGXu9gEkRxAbBw0UO2psQAwmRJOID6HsUitNsDYGJbIElvCKmi8bncjNoqWhmEd8/ZB93+4 + 9KqlhAQArCDj2qbpMgabDVju+tUWqOe7iZrZ3r17F0OcbZH9Q7vpXclIlUxYF7ftLwTA8kBnUwC1 + G80ITIEspDAMnKAgJTIOWrvemIzJlE29Y+0fgxortb6+3vf95uZm27ZE1DTNwYMHH/WoR11//fWD + QVsTZWo69ddXk6W6rmuaJoTAzDWro6Zn1Wg0Myul3O2inHPOOeecc86dFjHGLvdGpLDYNn/xnvd8 + 9ubPiaHmD69KxbU4QgDUYCBgNu+e//znX3D++TBj0Cq+sX4fzDmnGM3sYQ972NVXX231dw10YtWH + +ltmw8EQwHXXvc7MaJdVXE9M3Vw33HADLTObY9vekwWeffbZg8Gg3j+9sd9mxnYklPqiiy6yb7hK + fqR7EWRmICpSQmQFepGbb775JK2zc2cQ2taempmZMXDllVd+57Of0xdtYwRAQIw77E8kiBQQQowi + ImI33/L3f/KuP11fX/9av1FLggqLMdbCYC02/uZvvSYGxBhBtKo0qmptmoioviWUooHxsh96KVTJ + IKXEEEgtEJdS+IRigJ077YwgIiGE+WRa+vyiH/iB73rWs05gep02JFEhUD3lOYTDW5MMvOInfyKk + dPsdd/Qlj8fj2WyWUqIT/JjlnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrldzgi2nAUOZMpQ + XkwYtbiK0FDnDYtCDKaC0kufNSspUQjUDHi8pvvkYMtfXbty7WEv+LaX/vh3/tvnXv2CB46v3jc5 + fzw9a9iNWgUKpMAMKTYwxmrqrW2xL5NNSwnr6zGloLDQMMXQSQ6j5uD88MF8MJ4jds7sk7d94E1/ + 9lu/+uaf/ctPv+MrejMOTCfNwS06FNZUU/nqoX9YLNi4TqoZTIMVRgnRjPO+A3s+/umPHZzcprE3 + NlWpzwbp4obVzZ1h7noVzOm9Hha7bY5I55xzzjnnnHPOOfeNYFtEqghDGAZaTdZ/zKz9OM3VJ+ec + c84555xzzjkH1PTlYx9TIhQrhYom63m2KXd+6FMf+PQXPt2srSkn4WKxcNKtrbJvX+jmMDCMhYIi + GkCGqIiKyAkCMzVGT+gExHnvaM8THvq0A3xhyA2De5PBqJ3PpokCWyCNZJEtskUyZijDCMLIDFkW + lVgRFHef5HcqGSBchAUwNgTloMQGJWTpOUVYJA2DOLbe5vPpoGnXef+jH/xta2FfsMYyBXAb2YxM + CURGwcAZZXO+WahYOIHY0XsTnZw05XsLGwIKGcxaQWtcjDMbsSYRC8wmympRsW+475JzrwgyJN3J + TPEECRAGK9dQZOOiCIsAV0bH/RbPb/rSjZJ6MwsIJy1m9d5c7Cp/Had2/yoho2jCTLs7utv++L1v + 4X2zzTxhDnXUbbBoFguHwgCBGYyCZf4ralAzGMZEITKQ0RhCbvbHA9dc9ZhYBoPRYGO2oYUHYa9K + gFFMkDKLylEZRkroYiksBA3btsNuoITCMCCYJtVgRoZgAFjQGCfRjrvy8Ptd801nPcR6qqkHbKtR + xWyIZs0i3JpYGfVmDCM1UoswxAahzNAOYx/nX9i88b2ffMdMb1ebJWuiDkyDqCoyTnr7w2SJtDEk + QxTizNh+KwwhFmIgwBJbw4ugXyYL2/Ow2RSiKTTQwAiMQLZ9uPUZiYGgVGOtS4ASlkPIQ1Ju58Mr + 0sNe/k/+55983r9//OVPXtvay3eMnvHI7z4rXNgEnuRD1OjGbGNttFeyRNVgYBlAhwADGszCTkd9 + G9dh46ACKjW+mjVBW2gLaxZp2aZkyhZJm9LpMA4xi5ec94DIqZS+hmkTwDVjGzBipe2H8ZE/R1hE + O5sRlM7ad4CMGYvEnVMWA3DUgUQKUj3eTQiZQ8cpU8qUCodMQRAEQemoBnx54YGaEVEgCcFSExoz + QCkg1Ca63o4Ev5/RR7P7BtRD+tChQ2tra4NhEyKpqqqurQ1zzo9/7ON+9Vde1TQMgoik9DU/D6vq + 2tpajX5PKfV9LyKqWuO4ANTIma7rVHXH8TnOOeecc845506ORR3AjlRrzayUEmPMOYvI9ddff7xL + v3l73TjGGALFwC9/+Q9PJptQI7X6NbAGl+acAYgIEYVAL/j+7x2toppPvJjGAM/msxTSW9/61sOH + DzMzHV0MoV1Whv1GMHPO+YYbblCA7o26zMUXXxw51C/7pzEOtlbViMjM6ppccMEFJ7ac1X2iwMQA + Pnnjp+61FXXuDKQGtWPm6VBA+74nole84hUM9KW0bQocuq47gT9Bsal3iikzv/rVr55Op19vlVRr + STCEwMwEfstb/vtkMu2ldrpZKTnEmGIymMEAmFmMsbZSz3/e8+5/+WWDwWA6ndbc35z7lKKqrvKw + ndudtn/2MNp2M4sxdl23/9xzh8PhdGvys6985flnH9jpG3OWDICZzQwEUUlNpEA3ff7vf/o//oe9 + +/e1bXv48OHhcNi07dc/T51zzjnnnHPOOeecc84555xzzjnnnHPOOeecc845d2ZS4964AywoNxIb + aQZ9GuTQCqKVYBkkOZQuYhbDPFIfe4vZohqpgSkk5TCXrAGpbdaa9VHes75x4Ir80Ode8qJ//aRX + vvwJ//bhex7f3r5ntLV+II5aRZkbiYZF1PRiikhWkDRqTbMWtwR3TkpfJEQF9Uaz0JZC82ZIFHF4 + OtnoDsloPhvdcWv55B986HX/5b//p9f/8S/ddOhDsmdjiw/OsHHg3L1GysZBOUpIEhqJSSIrA0rR + bv2HL/7Vh98fh8jIaUB9WV2bWqcIVEDPvGtK3dJqYrd6x2OtnXPOOeecc84559zOLKd9oXofqOEK + C2xgaA0aAXzafeecc84555xzzrnTzZiVydhIjQWL8k5kYyUUzYFjKX1J/SYf+vOPvLNrtrbmEwAc + MOs7M8QBZhNpW5ABpMsxZEeG/dR5+QFwBAAT7EsHHnD2Vd986TWt7LWsppm1mElMTVasohdqcWl7 + yO6q0LT9sZO6eXaMVNjqepIRGZHxYlBdoFIyEVmx6cZsvLYHABT9ZnnUQx5z0d5L17FfOmPmGGPp + CwrI2ILlIJlkWnpVg52yuMHVxuajfjYGmKBkUNJdtvUBoOb+khEAJQMUxkAMCIRAFLquGwxG6OiK + 8x64pnuD7mCmdatpyss/tKKE0pVBQ0SWBvjMlz5RwoxITHa8hewuSa4AjjeO7h5vezpmCfVP2FGn + 14mO3yMoLQ4ZRg1UpkX+K46uChvQ6Vzb/m8/95GvTm7eKrcPxo2CIxJzJApmVkwEMAYtI+7rbwpD + GItjs2g0soIYU0vrB9YuvPqKb01dk3OmSMNmyMb9XEpRY4uR2XT58laLOO5mOe0WjSHV/UIGEoKa + 2KAZMggZQ1t71AMfszcdsDkWScdLx8zSvv3x+qOVbKIUWkFU8CxPaZzf+4k/u1Nvn/Bm4QwAaqaK + baNLTzKq7YzSIm/dyIxs+foZYD1yZBIWJ6bWmB/DkZGvidp6ohxZ8zN8bHPdDtsaH8PioODYp7PS + eXFj7eLB5d/35Jf8q+/99z/wlB+68pyHxm7IEhhkhGY46LouhECLJfG2w4XYTmAHr7Koa5bzkQcX + e+jo0eSqQsZrcc/ewYFhOyYKpIgMW5yDfNQ7zrbWaNGA1OhriwEhWtgzXA/K0QITGQFMtrvOX64v + SomxSKE6cr+27YRlw0jK9S0LrAQzCtyQYPH5oS4OYKjWVrSmXJ+uV+ZOofX19b7vc86llJpeZmaA + TqabT37yk3/tVa+KEQTkIl9rCUQ0mUy2N+A1Y0ZVzaxpGjNj5rZtdTd+rHPOOeecc845tyAiw+Ew + 5xya9OWvfOWdf/quXhGbo+q3uq1qTICJmthjHvmohzzowW1M9ftgKYWIQgiqWpe5+D6oeu13Pe/A + vv0NEQNmIOYTq6VRZAOy5Enu3vim30VgsSPfW3f55AO1FFnvbn9cVSez6Wc+e5MBNYW6dB1OKI66 + lmbPPe9sIoIaVCMfp5Pi1IR/17IAAteOvBTC/n377qboZItNo0f3UoQQYAainLOYKvDxv/nEyVtz + 584ApnRsnwQDPBgMtMjll1/+1Cc/CUDXZbETqssRmWQpBYQUU1b9yw988KM33LCq9B/ThtTGP4SQ + cxZGgW7Op6/57esEMEBEKEaApJRcOkBBFEIws9JnAgLwY//iR/O8m09n4/E4xJhzTimJSAhB5WvW + J53bzYiIiJj58O23Q3Q8Hl983gX/x8//7ztdjgLDwbDo4kSIKfV9AWDA9f/tDX/w9j/KIqltSimT + rena2tq9/DKcc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOXfakS7mPAQAggXSQMZkTKZsCiqg + YgQhCLMQc2SloiZgZuaiIlZCYiGU0ucsyCHMB810bc/s7PP0soee89iXP+tf/9QP/K/ffuU/XdvY + P9ga7bU9Ax1GCWRHXe1oBAPPcwkRgwERQ8Tq9U5d0V5UzDiG2AYkm1M/43keTDeb27cGt99w63uv + +8Nf+/Xf/z//8nN//BX7u1v1punw4Ky9c95s9WmWQ85chEuJ3WZzcLO9/X+87y23Tm4JLUzQ9zYY + HFmHY7bPqdgL7iRieKy1c84555xzzjnnnNspIxhRzUNiKEF4kSJDbGiUUi0l0vLmnHPOnTQiIiI4 + usOj7/tjnlZKOaWr5ZxzzjnnTppjRrrUWWhP+/CXXY6Ng6SgQUmEC0iDcZTEmsQKxTCglon6sPW2 + v3jz7fhiv7bJTQ8TYFH9WSTMSg2yLUQ9qCip0SID2CRHJuJQMhKwhwZ66+Dax7+47fahoxSNbdoi + cymZYxeazDDSeltMjG+8TCtOirCcj1sZmSG7K9namI14GWhNtkh+MFKwGamZhBBSSvP5PCRWkwE3 + cZqefs2z6XDTpPUSaHPaNyG1mpKFjJLbXho+tLlJNog6gJ30IVVsIBQ2JWMYC0FYQaSwQBFFI5Na + rqHCuptKfASwMRsYmdAbIFRjREEWIKTElkIuhTU+4v6PiJtN0LTMUl3catNx/KVjkdnApmxaQ3eN + xEgAjhYpC1t/85c+baNSqAPbDo9PXYVB8GJHLNJQa2tWR6hqzbJlkJ7w8a/bbqzLPwWocgEJYIsE + 2Z0ulwBShgSTeggpkiFQQNZCZgRl40BRCQoJkRDKlw9//r0f+9MZb/UktfUQC2LBTJgkEBhQgxhq + qnGN9CiMwjDug/WtBuuMIigN8jw96dH/jDZobMMGgQ05d4C2MQSQGhWiElRYYcxKUVKUYICS7qo3 + DDZEBRsbuDAVhrAChZEHrDqZN9QGHhbVh1z1LWfZOXvL/mjItkiOMSmJNWgXrEdtTg1sCIpVIk1j + 1kB76kviTsBt7DDb5I03vft39VyZ0KbG0jQWYIxkJZ7kIZ26PJuwSFk2XkakK0HJmIzYiA0gAVTB + RiShl5Cx+EUYCCGa0XA4YgOgfekI4GOHOZ9hlLQet1G5LRxVCcoQNrA2TINeCiGMbP/a9OxL+MGP + ueTJbW6DcciDJGPWhhQCEZbCKqygzBA2rceY0o6TimrrBGMY13Bx5WycQRmoQePExmwMKKhQLIZs + cz13z7kND+tbpAkkQFgVkbRZqFfXLAAAIABJREFUHJ8GGJM2LNEMahAomEDRlKE0CM2Fe89t+pi0 + MSVuqbfuZL8fLT6cbA81MoYxH+9GhqiIqlHr+4USlG3R8B5ZjvHqFkGkQgHCOmxHUBBZIRFiAwMK + FCMIAzWSZFc1WO7epmbE3OesZoETjGOMIgLRSDxskpb+aU950v/2c69sIgIBy1StlI76YGNGANcv + RDlnALItY6ber598Ukqr702rgnkpxeOud4ntPR11p9T7pZTVjquPe3+Hc87tBmamqnY82592/OKD + c865f9yMFjcAIAUpQ0GLtxWOUcV+4zWvyQYE6nulZXCp0VG1i0FqyHTI4Sd+7Me1zwDEJDSRQQxa + hFunWEoBLBCo6IDjS1/4g6jfJImJI44Xt/x11x4gMxEwFUCA697w+kwm9UWZNDGJiKrGGI1Idmn/ + nQJWS9BkqAHTCPHjn/hUDxVg1UUFk53WZwwgggHnn3ueiYTAkYMWqfu67vrVnz7ZsdZGQISQiGmM + MTLlrjv/3HN4+8juY0Z625H/rm6V5AIDliV2A2Ylf/rvPmPLRWiRFKKJrv768lBXLCvAJ/cFO3dq + rT7tr452I8CoZCHiveO9L3vZjwAIgcwspHh3izv6BgQCVGp/T69FAErNL//qrwVOKhY5Sp8Th9q8 + EBmkDFLsui60iZtmJvInf/7uz/z9LdkAAsysFJisemTMjEMAEICgeNq3fdtDr3rQIDQxxr7kYkop + FlOz2m+9O9tz5+4Oo2hJMTQpqpTSd0x4zrOe9T3P/27wouQeKBL4rrfFEggADPj/2bvzOMmuq07w + v3POve+9yKzMWlSSrF2WJSFrMfJusKCxoTEDBrsBG28yYNmWMaahMcPW3cPan4YPDNNDezefhsHI + NothGgbbDDTYeMEY3JI9liVkW4sXydpKparMjHjv3nvO/HEjozJLVSVVqVSZVXW+n/xkZUZFvngR + 8ZZ49513fiuT8fQWQ64fvYopUICf+bmfvfeBBxREwqGJfcrTfR/VmhLC+g3Fuo2Gc8455zbIbDx/ + dvXZJi9CNrNHcsZBRGrZAIBSytpCgk1oNoeVqtYT4qpaCynrBzZmZp4WRYQQZi/FJn/L3OYxW66w + Wm6xtq7msVMfpT5iPat4DB7UbQazre7a8p56o5nVH2ZbPOecc84555xzzjnnnHPOOeecc84drlpc + VNsi1hIj544dYzYCBCADK6EwsiALCtfuXox1bamAbKQiYFIyMyIDVDWTFSJjBgmMrYhlKYPaFtlp + u7achctf9o2v/5kX/dr3P+2HzxtdHJY7zg1DBIEAU2RDpsF4iNRyEh2MDMIAgQ0CBAKDTUnrBaUE + YySCdbIHe/fEB/Yu3nvT5J/e889v+c0P/Px/+av/+Ndf+sPP9f/wwOJXl7c9sDz/wPJoz3K3srvb + dStufMvf/sZH7v2r3VvuyToEpSZwStMrjQykdV00htExaJ7pjjJSw7Q51fTKY6I1pU26IQ1gH+4y + POecc84555xzzjm3iZEZzzqNGRMA0mkTvdl9NmTOnHPOnQRERFWbppl1Eqlnl0UEQN/3IlKbpcYY + N3ROnXPOOeec2xSMdDZmwzbNKhahSVop3XDjbZ++/b4vlLnxGMu8dkBnXSstfegvbBCRQlqgUaT0 + pUlzT7/4m7aHx4WhY2MlBZTBCihxISLbf1IAZuVotu9BN2vPJmMcOPr1ADPMRlBB6c7dftGFZ17+ + /y19IrPGlqaZ2KZEMDOlvs/L03KuYzCgRsr1u3FZ7cVcFwm2GiqsWO2k/FgnKxwZgq7O2fT1YmUz + gNSIjSlw3BK2nbb1jL12z35/+wj7PNbAaQWUM4zJBEqsZlb6MlkZ9s7TaaAjWUqNcMh0WQX4Ubzu + PF0U1z3Ffb31qT4EARbX3f8wWJ07sn29vNVIQmAjy1q0wExJEdDrGKP8kY/+za50j85ZM+I0pFJI + OMCmczIL8KhVuXXJrBHUuprvYiWP2maCYbLUX3zaBeedfmE7GVFvYLH6jNbOH1BWnz4br43i2GzE + tCZ5K7Aa21zTgpUgasIUhNs2zl9x/lP+/qZ7EPci5KRKLCEAlhimazaqZNPlFsYEFYNBjaAE0QBD + 4YzQf2Xpjk/+yz9ctfM5mnKepBDbUtt9Pubbn9V3at2GdO3bx+vvyQowFaBgOnc1A50VvH4tqWks + x6Lp5GPEgEIKrKY+A2teLsHqbVKilPUjXWvque3gG6Wjsfzrag7NAR4aUJCZGUNYZdTOoTADMFjd + +CihxmdM781sbGYkYIEULqUUkIiwhvNOfXxDo2BRsxFITS0Qij7GyeuH4bBDwgE2GCHDjGoNt4CK + EmABACODoDXcaLN+AnLHQG3QDECEQggveP53pcn4P/zCfw5CuSgzp5REYiklxrg28Hgf2rfCl1Lq + aDmArusmkwmAvXv3LiwspJSYOQSv5N8saifumkG+9vYYo6rWt3IymZiZv2vOOeecc86dGIyga0YY + ahONYRj6kt/3J38WgvS5ELMdJNxiSMNcaE/fecqzn/msLaO5lFIQGfeTKAc4ZCADM+dSXnn11b/5 + f/xWZh7nwUoG1h1FPrL5NhZRLQBI8KUvf+X9H3z///Ltz1MtgXk8HjcSCiznbEAIod5z87Dp+JuC + wMpKIIMRUs5fvetOqyNfs9f8SMNmCFhcXGQmUrNp9u3+k5qdp3tMaT3SBJVSiCiEsDA/f5CHrcNu + hzEsZcBXv3LXJU+4qB7MMnMd1qj1e/tP3TB7tZ07MRDUAKM1Q9ZGAIhIc8mWnvn0Zzzliidd/9nP + 1FsOd/o1/ooMBkiMZNYPw8f/8ZOfvelzX3f+BRm567qUkrI2XRyGIUBWVlZGo/kHHtzdLS5yE377 + rW9WOsCWrJ4X5EZSGpoQNScBXv/aayNLyZmZVp/KvnOVvvK645QQD3kIjTBzzjm2TVFNuQzD0LZN + Px4AqGkM0cxyyQeeykE+LNWTX6O2/epdX/t3b/zJ6/6v319ZGbdt0zSN5gLoI6xJcM4559yGIKKc + cwihaRqs5iuLyCbcfatqzXWuB90isjYndT/18B9A3/dt26aURGQTXk9XT3/Pihlqzmt9L7D6lDEd + UcHa5Nc64FBfjdnFg849Esw8DEPTNDnnY7Pk1IteZ+nsKaVa5+MlHye2ehXzMAwxxvpej8fj0WgU + YzSznHOMsS4MZjZbQpxzzjnnnHPOOeecc84555xzzjnn3HFjbaevg9xletHoar/Pg9xLZ9/rNTv1 + 0qOVlZX50UKhbvzAg6fEc5578WlXnvuMr+6542M3fPgru2+//8F7Y1vaEQ0YFypCpmUIImDOqqWA + CMwIDJv29FMYjLheVmmEXOvvuIiosSmQdDye7L3jH77YYWEh7jhl4Yyzdp69fX5n7tN943uu/9o/ + 3Dv5isxbM2o0KSOyliEjSm1iWFuG8ubpCeYehfombnwlrS9MzjnnnHPOOeecc84555w7bLO86mEY + Zq1DRISZSymzZhxmFmOsPf6cc84555w7OSlp4Vy4kDGbkLEBWZJy5kJRZEX27qFd//Dpjy4Pe9TK + 4bfmYyPJ2VhIc2lzO4cd3/CU5wSaq4/PxkBQiCGQgewk63ptTEk4Nwvdzic98WmhBCoaQkiaQQOs + j4aoYFsZj+8FrxhNPM3y4ayWnO1blJRsX1g1A2xExF1sT9/5uANO4gAZkGvQQ7N9SVlQSlKCmfbD + eO/Sg2CUacjrSUUBGAQm09b3lAjFVBmBFGQIASwg4RIxtCu33//5T9/6qYHHiYcE7RUcGTBQoRrh + XF9znRan7uuSv/pmGwC2YmoJnJunXvr0+TCfh0J0YvSmnK7yNn3GAWBTUjNkWAFKCDr3pEue1tKC + lBgCSoFZYYaqATjgdrveVgOtCco1kGV6z7w0uecfb/jQmPdOaAwJJZMIgYbDzzjfMLQKq6/Aoddr + 99hjGJFaIGbD3GgLEZuuWT5nCfbTX5W4EBcYDKRGWUu2CZnGSXvx2ZeRNSahKABSVdkEFc+P3mwp + 3YStkN0mISIhhJr/NJlMuq57yUte8tM//eOlmAjVfs0xCqAppQNv9wxYjUMjojpaDqDvexExs4WF + hb7vY4y1Ue8wDMfw+bkDm+V+AWDmGgZWF4aU0uxkRwihvokbPb/OOeecc865o0ZpOmRHRAo0TfPH + f/zH9+5+IOXCxHrATGua/tGQ+9de8+qdO3eaWR0enw04GO2fP2qmBj3llO0veMELhjy0TUuMIxkM + NGgus8vCi+K6665jZi1gDmYmTSSqB7C22TKtD+3mm2/mmpZkSkSwwwz8XkMEp59+OjPP3pqH3ucY + ZFoDMLM6RFAHB0II27ZtO4qP/Ll/uRlrMqVyzgfMtHbuhHSwBb0WtRLRqTtOed2115qByR5+vTjI + NqeO4JXVEbw9S3ve9ra3jbbMk/BQMgURkX6SCAKgFsrOLyyY2Yc//OF/+udP1Yzq/R5H6/eUAFVV + Bl9x6eXf/M3fnHOOcV221kP3Js5tfvVkVD0flVJqY6MwI7AEsAy5vOu66z7wgQ/04yGE0LatAUNO + WQuIbHVd3Lc62vQM7gFXhRBkPOkz8Hcf/tC7rrtuy+LCMKTV2WCAZ6Uxs7mi9Wu6r2XOOefcRlHV + EMLsg3oIIcbYNA1tPjX+uf5gZoe+OI6Z6/HI/Pw8EY1Go1p+sNnMYn0nk0kdOakJr7Phi/q/pZT6 + jLBaArH26XumtXuEaqS0qjZNU9d9IjpEPPzRUsfl6lWu9eLWyWTimdYnvDpG2jRNSgkAEW3durVu + xkMIc3NzRLRlyxYiqovHRs+vc84555xzzjnnnHPOOeecc84555zbRJQyRhONe40mUkLXL27vz3p8 + vPKpW7/tDc/7j9d+y898+0XfezYuirtGzdKoGxoMlmBDyCaKwCQiHKwgJ4iBoWIqpqLg1QtJa+Wk + lCipa8t8Z/NU5leGkjosjZbuDl+9aflTH77tL/7iht9//6f/4KM3/eWeyb2xkRhjSinnbFbL/A4w + 8we78sIddzb8+lAvq3LOOeecc84555xzzjnn3GETka7r6jXeAJi5bducc+3o2jRN7TtQL/j3dhXO + Oeecc+6kRqpSCivApAFGIC0yFE5QG0qf58afuv2Tt99/mzaaLYscXj2PEoqpMcisMYn96NJznnz2 + zguR2vroAMPYEAxCBjE9jmJTHz0CGJFLU8Zy8XmXnbn97FAiKReDMYpBCoXCMPTDnoKJUT7yqIST + CD+k8ExB00WLjEsxy8xoTzvldLbDW6SnvaTrXxlA+9pJmxkRjKnP/YN79yqdfJnWNbZ6NX3ZCKAM + ZJASxIxSKgAksCKrWaE0tMsfvuGvV3iPdsUCxhkkAJPO3q99OdZM698sXm3trQQVzTmLNqeMzrjs + 8ZeX5UIkxnT8ry1KmG0V6zNmBYMJgKrC2AamFM/c/oRzTrsIOTaIgWCGYqoGCNbGEuz3ghSCUZ1u + XUcAAKQWxl+5/18+9+UbcpMKMZg198LGx8n2eRaUMruFPCR4U+CaoARgNBo95P/qv7NlTAkZUAOX + zMlgAczggtGw5cKdT4RFRCFiIVatIe4nSMlxbUzpC607oBDCZDLJOYtI27aTyYSZX/Oa1/zIj7ym + lOlaNJlM6g+HaG9aG/KaWR0br42e65+YWdu2Zla79DZN89g+JfcImFk9taGqqlp/LaXU96h2vFXV + mkHuWw/nnHPOOedOPAYU05RS3/fvete7AEgUtYOM1BkACMlc21199dUrK0u1eqoGqR7iUWpOxmte + cw0D/dBPDy4Oc4C1HooSERFKQRB85CMfveWWW5g5lRyaWOcEQAjh0ElLm0oI4XOf+9xRud7eDKo4 + 44wzANRY60c/zUc3P9MZICIzm5ubG7VHbSjglltugbBNz56QqvpBq3N1LSC18crKC7/nBeefdTYp + HpIu/XAMvL6XS04JQIzN+/7sT7/wxS8SUUo5hFCrZGcpdymlOv78lre8hQmzEcWH4hqYrUWhr3vd + a+fm5ogxrOZnO3cCIENgqcOtxYxjeHDvns/fdusv/NIv9kMCkHNeu5s+5C77wJ/KUirdXGfAcp9+ + 9ud/7rY77hjNz/XpkU/WOeeccxumjm/knGvKci1xqQMam0o9vlBVEakZz4euNplF9pZS6vdjOLOH + oR7CAOi6joiGYagDC7W8oX6Eq/dU1ZQSM68dc6gvxTGIJXYnhpRSjLHGSzNz3/ezq00fU3WJHYZh + 7QK/addKd7QMw1CXLjOrb3dNVa+31A1XHX5pmsaXB+ecc84555xzzjnnnHPOOeecc845tw6ZiPX9 + uKQ8H+fmaUt5EHZfnO93jPZsu3jr17/o2a98w/e+8UXf9PJLtl6xZXnb3GRhHlESdCAdNPdlGDIR + 2k50XaWhss26+SnEQNPmNhxEIltjOeQJj5dlz0rcsxx3L3f3Dwt7eXuWeQIjpd6sNE0goj6XWs1X + m4n5xYTHtf1KUuslqBt+IcwJ0mPOOeecc84555xzzjnnnHPHkpnV9I65ubma8dD3vYg0TdO2bb3A + O8ZYSvFrvJ1zzjnn3EnOgMK5cIERGbGxAplL4UJsyulr+at/+5kPDN24x1gi53zY7fkU3LZt6jGS + dtF2XHXZt2I8H3Ru9fEBSCEpxKhxuCdV517jQA1pg9Rs7x735AufEfuWARYUggLBYtTIQNE8zr0F + Ui/TOyJmSgQyEBFroCxS4s5tp+EwY60PThUgAQkUZe/KHiM1KydVTLsChhrCHAwCADBQAQpDWAVF + mEMpaZJWlEuS/ov333z9Hf9Y5oZBJtYADGkw5FyTyGumdU1yBhirOdUK1J/ZALARBgMH6mz+osdd + uiPubEo3P9oypLRxL8bRQPUVXbtNZAUZEZhImAWRA2uU1HW29esv/gZOUTMJCYBSTBkAdP/wGa6L + vREKozAIkNXs8Fq0aSFru/T3N/zVHto9IEtsh34cxQ47x2bj0KqNnhG3DxHNYq2jCNvaxF3FvgT3 + GmiPUgwERoQFFZLIEiGFzp4//6wtF0Cj1vwPErL9Y++PU7Vo2xdddwi1u3TtcJpSmp+fr+PbP/ET + P/6qa14JAgtCYADMUM3r1qw1mHkYhtqWt23bWcJZCKF2gq6J1z54vkmISG1fW/unN00zy6Krb1l9 + B5umGYbB44Wcc84555w7rtH04n8AMNRuAAwwERnhU9df/+kbP4eHC1JiwKy89AdeMj8/H2OMMZqW + h/0rVWXmK6+88kmXXc6A5nLEY4GlmBkMUMLecX/du9/TjrqUkpn1acBxOHZnTLfedlt9+Qg0G8M5 + 3OnUv1DD6aefbkXri7DfZfxGsGP1wtSo6ToIAKBm327duvVoTf+OL3+pHsnuF559tKbv3ObG+3XJ + IICAGMJkPNaSuhjm2u7V11zDANsj69Ji+84azWL2pluWmoaVhnEa3vbOdxTVdtQNOaWsXdeZGUEm + 46FpmpWVlRtvvPHjH/+4HnxdJIBBMLRBzj/r7O95/vNzzjHG42i77dxaD9231oqUUjSEqMVUbZyG + QcsPvfpVeyZ9DNK1ETVLjJQEZmvWUlLQ9DMV7WuxtO4MV/2SwLWOHUCv+ZprX7M0GZNM94z1U9/a + z37TCTzkFuecc84de/UatC1btjRNA2A8HnddV0dONpXZEX09s6+qpQ7KHFzO2czq3wKo5Qcb/Tz2 + V2e1jiGoatM0zDwb12LmWWPEqj6pOvRUX4Fa/PCYLiTuhFGrL0opdW1q23ZWj/FYG4ahbmRqljaA + Og/uBDYLq44xisjsHa+b4tnPAFJKPg7jnHPOOeecc84555xzzjnnnHPOOefWYhUuTYMt0UYYCBlt + 7Lq2bSjyIHM6Nxpv2Z5Of/bjv+3a7/zJH/6WH//Gx33H9uUnjJZO71bmF2h+61xsWygwWMmM+lUY + IBAgCjEYDKIllhT6says8J5J2Jt5DElmRXNR1ULoyVaoX6bJOPXZspKCDWJFsxpC2L/uxS+UOJFs + +PWhJ0KbOeecc84555xzzjnnnHPOHWNE1DSNiKysrABo2xaAmQ3DkHMmonrBPzN7OIdzzjnnnDvJ + KWkhM4CNeW0kJGULRUfpEzd/5Csrt/dhBVGNj6SaiMhyzi1j2JOuOO/Kc7ddIJOWrSGDkpKRgQux + EhiQkygCeMrMLGsr8+jjFec+dZvs5MQkKIxCIAqAwFCI9o7HxdtUHZKBFaxgAzNq/K8xFKSGAsDM + AgU2EosRzc5tp9OjC4iYhSwXmDGUYKQQXp4sK4qdXCHtU0bAuvb7SjUTBRJCFJFsGWzScZLJh//n + X0/i7iGOM2sq0GmiSU10VqydktUC2Ho7A5BprDUAcEApRn1z5YVPa9Nci5FlPXHaupEaGMYGNmIF + F5ihEIGAgCAl6kq85JzLt49Os15IOTCBIYJiqF09a8d2pXXppoVgtK/q10in9xTksHL7A1/4zB3/ + UxZCKkPTBBwi4mDzeWguzoaXwzoiMTNAAcu5JhiByuoSuLrW71vpCURgCBGrWSpFB9BEvv78p+yI + p7KFUoqRAUpEzMd9vXFdYq1Gfx9XwU7uWKpR1rUjc21ry8wCk0D//t///Pd//wvMkIsSPUxWWVUX + s77v61g6M9d2z3WdIqJZmvJj/8zcoQzDICIhhBowNgxDSqkGWuecawJZbXYsIvUH55xzzjnn3InE + CFl169atb3rLmwEE5lIM+w0d2PT77NZXvepVppkNTNO8n0MfKpKhXxkL0Wte/eppoOnhU9VZFEfT + hJxBwB/9yR/v3r07tm0djJ8dbG7O8Zz9+iPUeV5eXr777rtRc2P5yAdtZiOUp512mqoSEWMjY55n + S0V9U1RVVbdv3360pn/nnXdmLcakq1lZBxvyUgJw7PK8ndtAZBDiGCPMJuPxy1/8kp3bjmSlK1oA + gAmr65cQAyDgfX/2p1+7734JoZjWsb5SylByCIGEFxcX3/rWtw6pABA56FpXchbinMuPveENXdfl + foDaMUv2cu4YYEMIoe97Y2pHHQf5yZ96481fuNWAlEvfJ6zm25kqCGBem2L9SOSsRaFA2zZDtk9+ + 6vp3/M47wY80yN4555xzG6ieMR+GoWYqAxiGoSYrbypYrYaqh9s1CfUQ4y31Dm3b1r9tmkZV6xnn + TaWU0rZtPUVen059/euzqAUM9TLAWjtRU67rkFQd62Dmes2gc49QXX7WLoTH4EFnCcc1S7vve69v + POFNJpO6sNUarVJK3cvUn+vlzznnEMKJUQ/pnHPOOeecc84555xzzjnnnHPOOeeOLtEQLLKxqmVN + xXLSNBnGoW1yMuS4KDu32+mnlDOffPo3vuiqH7z2+T/+bZc9/6zwhLC7kwfbduiisg1gPUBpChms + wAzGQEQJyIJMMEbOOQjNt10nrZmpFRVwS6GlposSOZnlnDiABaXYdHIA1ocQ+9UUx69Zb7QNr3Pz + sirnnHPOOeecc84555xzzh22lFLtIRJjJKK+70MIqlq7cMYYQwg137oGQmz0/DrnnHPOObeRao4p + wGSs04hTBjDI5I5dn/+nWz6GhdzrcmwopRQOu1u1KhVNpWVp8uiZX/eNzXi+sVZsXV2QcjEqZOCT + r+ysWAEQIdyHM9rzrjjrqZwEikzIRMqiRhkAd7v39hnibY6PDFFNRAYAgpAxW7N1YcdRyg1VQFlg + BrUMxmSYFBQw6cn1dtU1mA1QwupzZwBQBdWcaTaCjESl//K9X7jlKzfaaNAwkKCGZqZkIXD9M7bp + FwCj/SLImep/GRtBIoYeO+fPfMJplzR9F1PoJ4XjiRLrON1gMsD1ZzPLlg2llCEwkxIlWWi2XXTO + 5Y2NUKYbWA5Uyv4BN8A0yrr+oAQ2iAGUAZ1On5DYhtH4Ezf9/V7dPbFx0450XeLwZuepwJuOMYwV + KCjKue/HZsYka6uUGes2mSIwA6kRoVAZMmJpd8THXXH+k5vcBohqUVIw2JiM+fhv7GkPF/DjXO1q + OgwDgK1btwKoydalFCb7lV/+xef96+cyAEPXSo3YWLMw8exLVefn52t73BjjMAyllNrcuS5+tTVq + 3/eqWntbuw1Um9jW8x2lFBEhotrTNsZoZjXxui4YHkPunHPOOefcCcYIChSzG2+6+a/+5n+EELJq + CHyAa79XbyDgud/8ry6++OKu6+qRHTMrbL/6KKN1KcIhhMXFxWHSv/B7XnDK4lY6oohhAqDT+Zge + YAruuvf+P/nTP+MQRISEjQAmVd2EAyBrM61nA1VKuPvuu5fGKwYQQdfkQB/29AEGhLFz5861uVMb + q85JLasrpSwsLBytKd99991939fBrpqtW78frek7d7wxwGpeUWDp+z4ynXnG6S958YseYfUqrfky + YDaUB8BUiYiJC3DvA7ve80d/uJL6utXNWc3ICBJDGvIXb7vtT//v/24AgWy/LT0BNNuZIArt3Lrt + e1/4bzSXpmnMbDKZTB/uyHYSzm0YBXT2y+x0UiklxAim+3bt+oPr3vO+P/9/CkBCMcQgAUCNQiRm + QGFlv+lMp3bwR5VYR+ux0g8KENOv/tqvX//pG5RgRAoYTddpMsy+qrU/O+ecc25DEFE9OTsMQ4yx + Jt3SZlUPt+uZ4jpwcUD1Dn3fi0jN7a5nojd69vdXZ7LWMJhZznl2xryeHAcgIvUdiTFiNc0aq6Mc + AOrtzj2s2SpjZrzqGFxnmlKqG5bZ0FyNNHYntq7r6uhKLfcSkVrqA6BpmjqUGmOslzx7/Y9zzjnn + nHPOOeecc84555xzzjnnnFuLACta8qCWEWEjHmLuY0oj2532ZgaIh7GmvWj6LYvllG39jicuft33 + Pf1FP/Zvfvalz37dRQvPbHafKkvzW2UxFg4FNGsIxtMvAqGQKUCgAAhIIIIASFYdZ+tTY9QIMaEf + 8krfj4dxMjUCB44xMqPvN/qVckcJHehSUI+1ds4555xzzjnnnHPOOefc8ad2oLBVAHLONYpDVYdh + IKLazKK2t3DOOeecc+7KpiLLAAAgAElEQVRkVouGyHhWqyNGRjoOe//5i5+8d3xXonEzkpRT0WnE + 3WGxoqO2zUs4d/sFZ++4YJ62UIYQszEbA6wEpZrdfNCeeicsUkihgFLKHG0Z5a1PueDpXW6CkgGJ + ObMW1gwykeV+XODdix+xddHpSjSNTDAzKJGJqHSxA/iAlXOH91AEBQxQIKsSWSqDqtLJ2G2agZr+ + YQCMqHYGFwKbqWrWkmEI9MDk3s9+4QYa5ZU0LgZmCGG+EcuwcoDpKpmuvp61Vz7P2nkbl4JWmovO + vHQe2yTHiBj4BOlNaUSYbqjrgsoAUDegrFb6IMbGkRoemq87+9IttJUy1fAYItICYVmd1CxpYLp2 + 1OW2BoST6TT63ZAV1GAIS3fs+sIX7r6R5spK6oseN/Wcng28CRnBmAAYFCgp92ZGtNoDlED2kM8A + glJQ8iAozAiGHfH0c7dddOb2s9FDFGaFBEpKBsp6IpUc+9LrDiaEMJlMFhcXZykyIYSccwhhPB53 + XfemN//2VVc9iwh9Xw6xEBHR8vLy2sWs9uStDXNrSg0zt217iJ7X7lgqpdRQ8xBC/RkAEaWUaivb + +sbVxOuNnlnnnHPOOefcUTMdeSaEpnnr2982arshZyWkrCBwkP0GoGl1fOTVr7qGoZPlla7rmFlV + SymHiCBV1ZxzP5nEGLuue+XVVxMQCId7gMHEalqrtvo+xSYMBUHw337vd1cmk2LAamLHEZxsOvZs + Nd71/vvvB9a9GkecbcOEGMOWLVvqrxt+EFeHoeqpk1pK13XdUZmyAkuT8bjviagGq9cny4e9WDl3 + QjEzVe37fn40B7N+ZfyG17++i+EItomqaqogkhBgyFqyKbMQyf/5X3+7T6VudSUGZm6aZnm8ooR3 + v/vdfU4KhBAOMfTHQM7l6le8Ytu2xRoXB6BuJZw7MSiBg2RTIrr1S7f/9M/9rBFAyMX6nHLJQgwA + dtCddb31EAPoJSsIRGAhANlskvJP/NT/Cuyr+fCEeOecc24zSynVAqT6XURskwFQSjGzGKOZPWwW + b/3ftm1LKQBqsjUzb/Tz2F896z07J16HtuoM25pmiPVz2iwVuI5vHC+DTm6zmeXWM/Ps+2OqaZq2 + bevKW+ehJhlv7OvgHmullK7rUkoxRmYupdQtMxHVDTKAlFItDfJxGOecc84555xzzjnnnHPOOeec + c845tz+20Aii9TqZpElhRTRFmdsyKrBSrGm6RubSRPOYRrTAy127Mn8qnfnMJzznh77j9dd81489 + 69znhHu2LKzsmOu3joZRLI1oA4MRlBBjZBAXUEb9XjuDEkAiEpkEypnYmBEFXScixAxmpKR9n4ho + NAIAMiKAT8IWjCcc2+gc6/14kahzzjnnnHPOOefcY6Ve5QvAL3U8Zg5xGepGz5pzzp2YiCjnPPu1 + Nmldu9XdbOdFnHPOOefcUVE/8hHBj7sfCQbImIwCCbOAycysQLXcl+/6+L98yNpMYqkvwtJ1PAyH + nWzHAks6wpYnXfj0bfGUfs/QtTHlMQCAYAyocVIuAE62FGAjzZQzJQKCBV6SS8++YkdzmuRoJAja + a594kBGtDHvHeQmidrK9Ro8CA2wETCsmi2UK0w50AilJ2cL8aG7W3BDT7odH8liqCEGYYQZmXllZ + 6Ubt2mPSk0wtR63h4gKgaB9HYmR9ydJ2S2lpwnv++aaPDTbhBgxoRgTKpEQOpFyrUWl1m6AEI61f + WI1ymYYx127hCa3OP/Hcy6RvQxGG4AQ46jde3UhOCylna7+ZglStD9E090xFDKJyyXmXUt90NIIS + EVLWGKG6LyfECArGulBwTB+CUFuvKwILBkXPmrvlf/rch4a4d7AUQ7c+LX5Tq41KzUxVmcnM/PPA + hpumqnMpGMbDhBk5K8/eF9L9mv+XAgBtpEClJESK2N19x7NewLmBmRgCIVMerCcyITred4+z7ro1 + traexPPl1u1HVWuyde3jXDduRKRpGDVR04CS3/6Otz7jmVdi9RRwjDJrgQoA4LZtbU337ZQSgNq3 + uqo/1ynXFrrDMNT/6vu+/lD/yh0z9U0Ukfru1O8P/ahTe3wf+9lzzjm3Vs2QwPrdZT1PDaAOFKwd + iHDOOefWYlBgUdWUUohNySoSVG3cD9e9+71L/YQIZohRAGiNIBIBEEIQBoA2hAvOPft5//pboRZj + 1JJzznXMwczqmOv6x1RAo4ScswgHJs3Dq37oBxlrEheBehyKh4tzVlPMdoKEYcgAUsGnb/zcZz7z + mVIKgeu4xyGyVDcbI9z+pTvq4JMqarjI7Ej5cKnhvLPPSf0QQmDm+lrZuhd7+qDHIOdSVWfRUPWQ + 08zm5uaO1vQJuPXWWwFMC/Z4XyHf8T6U59zDOvhCboGokZBSIqIQwinbd7z8pS/D6gaaZltaApge + utned56GAAPUStp3OrKYKWz30t4/+pM/VpgRm1kxzUWNeEjlv/z2m+rOIOU0LaWow4Y1eF4IADMI + aFle/7proRpZYGpFyVD3Gvs914fsWZxbe6XSpqjYqcPp02FVpmxGxLkUiWHv8vhlr3hl1qKGmmwt + PB2MrX8bAgMQmT6LGAQ2XehH3ajeeIjdmgFFzQA1GPDpz372l37llylIfXXMDEyTYWCPK3POOec2 + mdlnGCKqR7VrT6lvErMTDXV4YXYm4mDq/9aT/qpaBwQ24RBNKWU2XgFgv59nd6vvUY0fxqb55OmO + O3WhqmOn9cABx6rutA6UzWbAiz1OBrI6mD+7pW7f6iI3O4O8Cfc4zjnnnHPOOeecc84555xzzjnn + 3PFibRGR1xS5E0whHaSMuU+kCCSBGEoZQdn6EkyEgioyGdqoDfVGQgsybJFJ0/bdTjvtyTuf9rJv + eO1/eNlvPPuM7zyXLmsfXGjHXUetICaFAkMeGCoFMfOizs0NDU+4sblCoScdy9DHnAUZIAMDRYvC + aoWfCJhhagcpfrGHXJHkNrvarnN2iVBtj7bhdafHTQ9E55xzzjnnnHPOOeecc84555xzzjnnjj/G + AkaBmeWczczIRIjm8PGbP7IH9yMUMgSC5ZKTHkHjLDZICQu884lnf73kZq6dK8PQhkDAmoTUDMqg + k67mTAGVAqkJtBa16XR0xeOfGocRDcogCQQoqXaN9MPSJC15Zd4h6bp26usjeJX2/R8Z2JiMQ2jW + Fh8fcUM6ZqyW3oGI1udHnkzqa17jaY3JGMZsJMJ9P05aQht6HdDa9f/yTwMvFRlQtxIKKRDlaY41 + eF2Ux75Y67Vtwev7qwRwiYvNKWduO3dE82aUciaq0djHewni2kxrA1ZjvAEjVVKQAplN2UyUW50/ + 79QLRENAU4s/iaZloFRfqQM/RFh946a3mHIuCB1WdPctX/v0/cOdFqzXfJApOPeITIZBOjZOuYyX + x3uSZmK2tfk89cc1K34MrDlbKnOMUZl/0nlPe9z8eVIiVkvbQdk8A8c5IIRQP3XEGEMIb37zm5/1 + rKeqghkpTa/2iDGKCIH6vj/0/rGUMosr67qOiJqmATAej9u2BZBSijF6srVzzjl3QLPd6OwKvZRS + bUZfkyMBMHNtVT9LdnTOOecqVR2GoW3btm1TShzDkJPE+J73vnelnxhQAMO+Yz0ApZQQaii1MDDk + /JprXg2ADXSojMV1zCzwNNW4CXHnjh3f94IXzv44hFD/67BHvFfngIG3v/N3OIg0sU8DEY1Go+No + P7hr164yzebELKTziKfWdV39SFAntTaQ6RibxT7NPrcwc9d1R2v6BuxdXiqmxqSwI1mEnDuekR0g + 3DqE0Pd9KSWyMGgyHs+13atf9arIDGBuNAdASwkhEDMO86ylAcU0myrwpje/OSuKqYKapjGmdtT9 + 17e8uQ7J1xOmZmawtY+ipaYoAcArr375zh07oNZPxrWX0wZur9xxZ3P1/1KDWl2ARSSlFNtmKFkJ + qZQ3/Pi/vevuu7OpRK4fXLIWJk45NSEGoWGSYChJmRCDpFQIEJYY4ngyjiEe7mmqt7zjnX/34Q+p + UDY1IISwsLDg4+3OOefcZjP78FB78JnZ/Pz8Rs/UyaK++DX2tQ5ZhBCOTcywc84555xzzjnnnHPO + Oeecc84555xzzjm3WXEhUmKtFwUaWBFMRREUYlo77hnYwIW4EJsG0jbafJvnmr4bDVu3D6edZue8 + 7FuvufZ7fuL7r3rlBVsupV2jsDw3r1tD6UYhQjEKIVLYu3vFCua7+fF4bAQlFEJZ7QtoirVXr9r6 + K0j4IBV/Xgh4nNpElwgd/z0lnXPOOeecc84555xzzjnnnHPOOeec29QIQsa1bT0RKWmWdM/KXZ+8 + 5WPjsAdcqCAIw0AKwuGVFnFt2J3C+TsvOWfHE3SCwGLo1SYAyNiIARDApoDaJqpcOibIwKYoDLGC + wJDMT7noG+bS9nl0jRplcOKyDKTJysquuTk+CcO/D0sNP16XbG0M42kp5DQGGADImIEYY209Ob27 + mdmRlNAxk5mpov5p0zSmm6sU75h5SI9+htX4rsIMipRs0ofJDZ//xBCXjQwGqrHWxtOcWgCAEhut + DbjNShmA0foaVgIphzw6e8cFpy6eKRYBFBTwkYeUbx6z14NNGUpQtlqzSzA2MiVTUuUCUjJuSnfp + +U/iEusmxQxEAqVZma/WCmBjQMlWN9HThwBZjSTnwHMloxioK/f1X73hi/9YmsHE6zndkVNSsIEx + 6Mqe8QOTvKwoRGQkB7i3AQYiTqoxCBK63G7DKc++7LlbeSdZAMDGZHWDoL5ndE5VJ5NJCGEYhjbI + toUt73jn26644pK6J6wJZ8Mw1LzqGOPBplPbQxNRKaWUAqDvexEBYGb1D3POMcZhGA4xHeecc+5k + VuNIVbVpGiLq+z7GqKo1+oKZayxojLHuvjd6fp1zzm0uLDAUM1NVVSWiYpTVfu/3fq8Of0yHPGnN + 99V05JQKE7YvzP/Ai15MNh34e2ii6gEZigSCmhUVwtzc6OUvfQkDTNPjxOnsrRlLf+QUKMAHP/jB + O++8c3l5eW5uLmsZcqLNPd64dhT6q3feaXVwFY922JmAxcXF+kqqrhvUqkPf+w+AHxOzJyUic3Nz + R2uyCtx3332qOovxPjlPmriT3Gw7PM2TVoUwM6U0mGmMIeXh8ssv/+7v/C4GJuOVGCKAUsrB1peH + jobTdIfAtS8Hiyjo87ff9v4PfqBmvy2tjHOxXbv3vOcP31tUFSCQ8PrBebM6Mh8DMzDXhFe+4uoY + IzMRUWBRy4Zy9F4YdyKbLbubZLMvIjlnEQkhpJJJGERJS4jt297xzv/+/r9kJlWUrCCSEESkmAJI + OZViMTABbRNMkVNpmwBAraScQDTk9XHU9jBtlhRQ2Bv+7U/sXR6H2CYtKeelpSWiuioTrTl7u/Zn + 55xzzh1LqlpPspdSuq6rp86Xl5c3er5OFvX1r4MVRFQ/Ve43iuKcc84555xzzjnnnHPOOeecc845 + 55xzzp1kmK2BtlJa1iDKYggFQbV+1WRrMoKJQWBiRkQs1LJ1XBpO0lJc4Pm40m7XM666+Lt+9Ht/ + 4bXP/6knn37V4t5tc0ujvLuIopSiOnRbyOLQ61KcZ1AGQMakDAvQAAumAfawl4gSoCDdkKsm3VFX + W3RurE19WbJzzjnnnHPOOeecc84555xzzjnnnHPHO1OtDfRrZB3YVsLeT33hE7vS3doV1VJb5RKY + GaqHW0/EwSIP8SkXPUOGec1SSglRBu3X3cmwWil08rWfU7NSn7USmSY5c+H8M+cv6MbzcbmZzws7 + u9O30ajtm6Vdu0m9XfiRUwKm4dbTW8gQiPdrqH1ksdZkgBkRiEhVmxBr6MhRmO/jBxsIimmP/rpG + TysAVZVZJIZJ6kuTv3zPrXfuvSM3k0IKMBvTvkxrNV4tQq1Fq/uSradvIqYJIquRz0BTRhefdWlM + QftMAsSa/Hy849UvBSkos+n0dqu37+tkrqRkFMvc48+4qNFWjIimvc6JZH3/dNbV2BsxsMGA9anA + qhmB2lJAEbyQPnnTR3usFEnm4cHuUWi6uJKWE9K9u+4iUQqUbd92crplXrOsqsIMIOl4JHu7rz/r + aReccjEPcc0qsL7G2JdPdxIjoqZpzKzmZRKRmb3zd95+4YXnEyEEzjmPRiMAueSU0sGmUwM4Z8cF + a4OrVbXmbtbvTdM85s/KOeecOz4xc9M0zFwjLtq2xZoQx2EYRKT+PAvDcM4559Zqmqbv+yGn2LVD + TiGEj33845+9+aZZrDIILNPB7rpPKaXwdGgVL37xi7dv346D5CAe7Mr/WVBQzQ2C2tOe8pRLLnwC + 1UzH1QPJWdj2ASdCq+M1hLWBlnVOMaT0R3/yp2AqBhGp+8QjfI2OFVsdkb7rrrsAEGF2yf2RDf7X + wazFxcXZLZvhJEIdRpi1E6gDCEfL3ffeo6sZVHXU4ihO3LnjjhFyzm3bMnOBmVnTNOPxeGVl5XXX + Xhs5EBDqamKm+aAHC4c4B8ZBihaFiYT//bd+q2QjotFoJE18/wc/cMeXvpRMDSiwrGW6ShKBaPZv + 3Rp823O/9YrLLltZWpLVgUdV3fi+I+74sRl2cPuYxRByznWujLA8GS9s3/aRT3z813791w2AMIsI + i4iUnIsWEem6JkZhwLJu37Llfe/9o/POPDMCZciNsAjjSHeaGbh/9wP/7o0/mU05yFByiDHG6B2a + nHPOuc2jFtPmnAFMJhMAIYTNP45xIokxllJmZ1g809o555xzzjnnnHPOOeecc84555xzzjnnnGMl + MRIlNhZjNgaYbNaMC2TgelmkAQCDYGxKAJmKKrQQiiDJnCw0/RbZ01566lN/6Nt/5JrnveGbL3ze + qXz+Is60lbk0EaGGmftihkIGhopBlFmZLMCCgu3gEcMGhrECSuu6Prrjy9rrg+qVZRt+eZlfoeqc + c84555xzzjnnnHPOOeecc84559xjSFFYUEoJxGSMiAfo3k/c9jGbyxTUDCJcihZTCvFwy4nImK2Z + l20XnXU5T6Jwk5CVDWyrCdYKgDSQcU0I2OiCpWOKjcgYSqoZbIUNJl3Z/vTzrtrRnz6/Z7vcN4d7 + mvjA4jZ9XJfmdDhAEIVbhxSkNG3nzgAr1a9pBIUSpiHBUABr0xqmEzii8sc6GQYCBStomg6lLv+P + /ikdP6av6jSpmo2nv5KaQY0KrNcJN+X6m/+x571JVgqr1ShsKEEBVVIjNdJppawFMt5/u7AvvJYB + iLL07YVnPLGMwcaIVDgnTZurYfoRqUsqSAEjFEIhA01fGVEEhRixTbOAOWh32pbHbekWoBS4FoBy + IKY1CdizAl+uodcAKGutSDbUt2wyWWmbRoA+aZLJ1/Z++Uv335qwZL4BckfKCMaUkOOI77jzDqVM + AQrTAxQqTyOrzbRpmjQYUnfelku+5fLnNUPLRVjFiBRiEDJeE3bv3MkrDUMQ6dpWS+n7noh2bt9x + +s5T3/nOd55zzpk5K4DxeBlAE5tDJEgx8zAMzFw7RNfcsppYJiI1uqaUUj8+eedo55xz7oBKKTXl + Ym5uru4x+76vcRfDMDRNA6Dv+7qf9RgM55xz+ymlACCiEAIzZ1WFvf3tb6/jJ7SaYroaRDq9DlyE + VNE0IQp++Ad/qKThcC/MrvssFjCZEJPZ9u3bXvGKVxgQY6yZxNh32fnhDRLW0Utj+v0/eBeAvXv3 + xtCEEI6X40oF7r333n2/075X/sgsLi7WI+tZ2PNRmMsjMpsNrIZbq2qM8ahNH7j//vuJyAizRchj + cd3Jad9pLWYz63Pquo6IhmGIMbZte+WVVz7jqU9rY9sPPRMLC4D6HVjd8uBgp9F51pHDlGAsMaRS + brz5pr//2Ef7vjezPg2/83u/m2AgjqGu5mx14B5CBhiEiQAr1sV47Wte27YNVMlgmnMZRMSPX9wj + t6m29imltm37fpxSH9tGAYrhi7fd+pNvfOOeyThISKnUoe+SNIQW00P7oaRCwFxsfvPX/vOzn/mM + X/mF/42BADDIsgJYWVk5cMa8PeRr/f8sp+HP/uLP3/2H7w1NJ6ExpqKKA/RpUj//5Zxzzm2IUsps + iAZACIGI6i3u2KjnUJaXlwHUGgY/HnHOOeecc84555xzzjnnnHPOOeecc845dzJj02il0SIoooAx + LBSKmWPmWCgoAsBkKlYC+mBJSMmyIRsVC1CWDBlAYCmlBJStYW4+zW1ZPuXybVe9+Flv+NHv/dVn + nPfdZ7SXbuVzykqjA3eRrEAMQRFUmwIxFcugPGuPB0yvIMVDWwXuc5xcTeoOaTNcLhQ2egacc845 + 55xzzjnnnHPOOeecc84555w7cZHCDGyqaiakVjB8ftctX95767ClL0UDQUT6pEYgVWY+zM65TCmc + eeq587KtzfNgLlZSmjQhoKy5jwFGCvA0y/YkEtEQFUU2RoZJ6ELCUy989iVPvDC3A4iZGUOSHGip + W2y2pZWT6/U5PKSrbaF11sC9MjCgtRO01kBfANBhmNTYhlottzac4xE9IGAAGcxANI3EVtW5blSn + c9znKh8uKtNAa5P6XhCKASIxF1Oy2IUH8p6bbvuMxpSkFICNa3FqvbdxjR4HA9Dpm8ims7dEafb2 + MaAgkGE+bj9t61lhTxNCSJQyFc3aNB3KcVzLqrS6NFPdPNS0dkV9eYlhCmKFck0sMJaMrpk/67Sz + 77rzi7GJgyZSIz5wHSYZiABTJXCdttW3QLsmWi5MACGxaZuvv/kTl/yry7GcAW8S6o7QOE8kkkn5 + yp139LkHlIhVlQ6yWyODqkYZpZXw3G/4zvMXL6Y9zGpGbGADw5RqJDtUiTe+5Nm5jRNjTCktLS0t + LCyknFV1aWnJzM4999zf/d3/9tKXv3zPg0vjcR8CD2lCRIf+PF8/DvV93zRNDbFmZmZW1ZxzDR4r + pRzFmCvnnHPuRFLD3lJKMca6G23btu5D675VRNq2xeretu55nXPOuYqIcs4hNGBaWVlpmub2L33p + //0ff8MsSQsxMUhNc6rRSjBARFQLgDLk53zLNz3+8ec3sUEuANigBLLZOOuhHnftr6rKRV/4whf+ + p9/4jQeXV7Caw3rEz4slpJLv+MqXP/R3f/9t3/qclNLGxjkfrl27dhGgR2l+FxcX1/5qZgdOxHzs + 1XeBeTXWGlDVEI7adf0EPPjggySsqoHZP/a4k8rBtsAkbGY556ZpiimBm64rqk2IP/ojr7/6Va8k + wEyLHd6Gd3Y/M+Umakl1l/GmN73pm677g2L6t3/3d9d/+gYDiLnPqe4+ZrF8sweqG6SnPf0pz3rW + s4ZJPxqNiKgUxaPeEbiTigFmZrZ6Bn1DkSGylCG1TaOEIedubvTg8vIv/NIv3vTFL0iUIeV6TxEx + o5wSCN3caLIyZkKU8LKXvvS7v+v5bWx+4Pu+/wN/+f73/fmf51IMYKFyRB8OChADl6y//J9+9apn + P/uC8x8/Xp6YhLBRHwicc8459xA1QTnn3LZt/QCP1YPojZ61kwURzYYR6imVyWTSdd2GzpRzzjnn + nHPOOeecc84555xzzjnnnHPOObdhCAAyGQA2AoyVyMD6/7N351GSXPWd6L+/3703IjKrqjdJrX1h + EWIxZrHwbvA+YJC8YAMPhECsAh62j+fAMOZ5xp7zjv1mbGMMHg/PMjBexn4znjnPHpjzzjw8z8Ye + G9vYLMYCGSQbIVtItJZeqjIz4t77+70/bmZ0dnV3qbs6u6u66/c5qVZWVlZkRmRkxI0bN35fAqsA + BFLSEjUtDAVlQLNCIWAmJihUiOCYIQLNWVUdVxVXAt/GeGVz/Q99y3UPP/s7/uKuP/74XX/00OQf + IkZK41JzjhXCCcpKkGmgNffv7egIS5292RkhCJVCjediQZnFWndZ6JaPpbUarMYYY4wxxhhjjDHG + GGOMMcYYY4wxxpxFyiqS2UFEGG61PfIXd39stVmN6MpQopyTMnxASpn59MbzsDKye9r1z6DkWIMo + CRTskggrGCIEKLME1grg8ziBdlNIGVkDHHFSitlRJse5vnR4zZ583aXyxH24bq9ee5l/6tWDZ1w6 + vL5b9SyWKXuqyvjLPihdpwMfBRBQGXyJtm1FpE+zLhURT78GJQNUVl9VIuKlpWXnws5LtVYui1cd + iQcwW84CCgKnxOz5nvv+dq09KFUURi43ghKUILM7ShCwEEMdK68fSUiCMla1JJQrX3PpdUEHFVdE + lKRVL0REi4oZ2XoCZFBmFZ6O2fVQB3iFUzgBCZiVWIIX//hrnoAMRonFZs169FswWyXL/7lEZROE + AWVSVgIgdR1SbDnDMzQghfSFe+8cdY/OBhMbswmiKnB48MEvP3rwgHOUJIOP30wyCbMyAHaIMXE1 + eOqTv+bZT/hGt1oHeCIFGBpmq71ncUpQWznNziYiS0tLTdOklEpeyNLSwDk3Go1uuOGGD77/A4Om + AZBSKvmaG0+n5NmEELquyzmLSJlmVVXlOeW352rmjDHGmPOMqopICKFt2/4ivRJ0LSJlxwpAREoS + gzHGGDPPOVf2FDnnnDOR+43f/K0kkiWj7GX6C/dpevk3M6vCOQqBb7vtNpp2jAtwbG9J6XWd+2n+ + OUTEzMjTrnIRiTFeefkVL37xi8sTysEgZsFOp4OBaRIqA+973/sApJRijKd7vmkLPXLo4NFj6dnZ + hE1PbWVlpaTDlolsYdjz/EuXvChVXWCstQKj0aiEtffTP9kzL5jefGNOpmxGVVUJzBxjJFLnKEsc + jdYAPP/5z3/y9U+uw/QwgZn7be+8x/yyKEFFRCSJ/H9//NE77/wclO+44w5ROJ5GWU83+wCmp4nA + IBJlYGU4vO3WV3nmnHPZWBFR5XzOee4rLIDsuLOg5nSUtaXf2W0t733XdXVdlzuPHj70G//hN//L + 732oCj7GPGgGddOISM7ZswNAzJPxmAiiuPrKy3/yJ//FoK5T1x05dPgXf+EXrr7icga845wVRCCa + fouOQ8fcmHD0lHVsI5cAACAASURBVLcoCfDAgQM//CM/MhqNdu3aVcIyj/6tlhadMcYYY7ZGaTY7 + 51JKIuKc895vh4bNzhFCmEwm5X6MMaVkmdbGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY3YyJRHSzJpZ + MqfMKXMWykpZOAtnoaSctDxCkkkyi3ISEqUMZCATkofGUee1Xq4uGvi9DkPAgyQoLU8GzYH6kvby + m7/6+3/0+9/23U+7eb9evVsv9TJUcOcQHaKX7GTuSgruLw484ZVGNvjyQrIdrhM6by5LNsYYY4wx + xhhjjDl/lHAVR+KFWOb7+UigPP/AVvcOGWOMMcYYY4wxxpizjoiSivc+Uxfr9uH00Gfu+TTXmR2q + 4AXokjqHUPskIDjguIhZ4JgRZnO/JXGDtOtp1zyzojqlBAgRDYfDnKc9T9z3QO3U0tc5KxF5YiJS + IsmMrHmse8Mly3rRUtw9aHdXkxUdNQFLDsGGVJ0ahrKWpGkSAIRpV6iChTgTA8KQGGMpyF7+bBZr + fdLplvWUAVYWhhBI2cl0TaYMCHn4YT30HEhoh31e87EoIkSz4aacY3IgQCZy5G/u/WRemiiJpLLc + pPwns1yVErvCswr/fSd2vyhJS619AYkQnITHX/pEP/GefIwxiXpfeV/K/Z/vSbc6mwWC0mxRzB5U + BkB97DcpVL2Gqy66zsWaxBFDSATC8xvb4yNt+hebLepJu+o9O+fbFkRoMTmMR+49eE9ycf6cQn+/ + 7AGEyo0B7pPjzw1SCEGnK8/cG9NpXLo5OxhgUiblaStg7nOfPq4MQEiU1HlKefL3D3zhiBzyQy55 + HMzcp9pLiVMiAcQJ16lZkj1+bfi93/6DIQ04e9aStHHMJzr/Que1dSsqK6DEui5zyk7dmRPz3h8+ + fJiISrqYqrZty4zlleGjjz761Kc+5Td+49d2rSwBkBTp5GkYRLS2tjYfalUmWMp255zrumZmZi75 + 2Wd9xowxxpjzUNkje++HwyHNMHNVVXVdhxD6fWjJd9zad2uMMWa7UVVmzjmroKoH43byvjt+xfsg + ADunUKg67wF476BwzsUYCZCsV1x++Qu+87tXhsuTyaT025TeBiVQ33F2klMxOWciipLLQR8pHJGk + 9PpXv6Z2vsSdeu9ByConri4w67WU43svSLJk53wG/vBj//Pv/u7vQlOfXzvBtbW1cofoaBT06Sd8 + AwABg8FgGvAsx8Ran/v0SppFdJezJOXHzc3XyXRdR4o+Rtc6E8zOccwWGMDsJJeq5pxDCOxdCEFE + chd3La9QFsrpTbe/IcaWiZk454yT9QyffAvq61q7WA0G5S8c8S/+21/6zF2f/f0/+qgSomSFEjMR + xRiJGbNzeUQkCgKuuPSy7//e7+smk2HTxLaLMQKA4xhjCOH4V9ypZ/hPyRkum7LObG228Zl8vnnb + bPNTFO+qtksxZhfC579w90/8y58CMIlJgdFkPGknROS971JkZhUpNZV2LS39x9/+v2rnA5hFlprB + sBm869/8rANyLudqZ/N4GvPKLvgsmZgBfOxjH/vVD7x/Mu6qqlo3DftyGWOMMVuoP+2OWRve+vPP + sdFoFEJwzpUDKO996VswxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGN2OC0V+uZKdfW3WcW3Um2OBdDZ + ZYMqIjmTCkgGgwGyjkcxRTB5ACTZE3ziQR4u5xV6tL5Erv7Bb3zlG2/6sW9+4nevrO1fai+quxWf + KhbGrKqbkmhfbQyAMtQDXlDeW2bko/XxgHNZks4s0LYaQ3vel5kzxhhjjDHGGGOM2WaEEEFA55Fq + Ys/OkaOc0RdTYWGn0wiKvNDuGVUt9c8WOVFjjDHmJPoq4eU+M6Mk5cz2RDHG8lsrb2GMMcYYcyEp + JdGJSPWY5p85KWUVX3GtmmmYH3Bf+rN7/8gNxGWtEkub4IAAJbRtqoJPogqvYJ0mWDIrY1aYOysk + w6PyxJqhBCfhuqWn7OerSRmuU47MOhm1lauFWMCkYAhIQaVlvrPGCylA3iWFiJISZSIIOyUWTVGj + +uyCMCsIwsgb5NEaAFAmJVIH9QqXiYShJEAKmoOqY57krE09ztE5fviRr2SJzFDNRMoMkQTgxCPo + 5uJyWTyJT4zMYIUTJqgqnKs9NZr5sn2Xd6upcsMLIGn1tAi8wAll4ZwJmTw0kBJDvBdCjG7tUw/+ + 2eHqIRU31CpkLkNQlaapJyRwAi8gCKhT7pSSkJBOh6R6Yk7OkWevEWBmSs31Fz+JVx0EcOxpqC2p + qlDa2qVxhlinOdGsDlopKkUoY3lBERQZQgouNwggzot2cvXSE/b7q6RTAZLrMidSOGFXEmcoKScF + Q/lojXUtSeRCClKQJ0GOkghw5JTS2sojf3Hf/4x1q05JObbJVSFJBkCAE7CKkghBwQIu+4RzMIyY + dVrQv58VUiggx33z2FoEC1Y+aGZ1TthndiKksyhmIVbH2SMTwORVEaVr64b/8u/+rFsZdTTOBCLN + Si4MVlugokzIgjqASBG5Gu3dvXbZLc+99WK9mDKpJ2UnAkBBGZRBqewTWTxfCMnW0+8LK89m5+ig + fOFZdvvsCVv3Ps12lDX5yilJktiHWwNI7WTYVJ7x+Ouu/eD7f2U48FDxTgGUDnMAzrnST94fPZV/ + S2JN33lejrNEpOSree+JqOu68tu2bcudac6NOWvmr/To48e898f/1hhjzNYSEZH1B0QpJcz2wsy8 + 2MxIY4wxFwYmr0LMjoOPkn/rt3+7jV2bogJZMpShnGMiRe5ySQsmgAEH/PCb3hqI86SrXCAllE7X + 2VECKagkNEKnP871PxD7LGAXRGl60Kdwgqff8JSvf+azaoCgKSU4N+uvYIBpepuZvgDjmFvpzUGX + U7lzxwc/0KXIPsTuMfpvp32Vc7ezjYhEhNgrmIhSSuVg+dDBg2U2VaHTXTznvJk3pMDF+y6SlDxz + aQ+ISL8Mz9mcFn1zpbRPSkbXApsoDEzGnYjUoSq9Cs45VT32VBcDTBdC554xx1i3Beb+C05ERDIt + BaOkHFwlXWy8i+PRy37wxddeeZWoCGT658rT29ECLv1r9P8/Wn0mtWPnuRuPAShorPLh3//Ij77j + HeUc83Q3UI5WSFQTkBUZhKwCgIF/+sNvpZjrEGLbOeeccwqOSULVdDHPf2dnGyvVrQ1e3gp6khTj + sh+Z3p89sonpM8DMIlKGvkxPhB079KWsY/Nr2qk72fvvp3yGIxIE6LpOVUMIZfuP4xbFmezfN/7b + slPLOapmZpDjDEXwWTSJHl4dvfLWV09iBDt2DmDnK7igSuwCSEQSFAQE4D3v+oXHXXn1sKpy1w2c + I5FA9K3Pfe5rX/1qAN6VUTIKZQIzXGkXOWJHvO5jUcj0q0qSkwDsXBAgqv7Mz/zMnXfe6VwoHzd7 + pwTpP6L1UzLGGGPMuVMaYKVVVh4pLY1ycrY/4b6x8uciknNW1RKSree5MlMppZRSeaSfqTKn5WxI + WVYbNIn7X/WDFjAbmdD3Thx/B3NtYz1ufLjOPrVT+XSMMeYcK6OqyhYvxjh/ncv8bqWqKpxoE2eM + McYYY4wxxhhjjDHGGGOMMcaYxzQ/dsh7b+ffzQVFWYmUCCAoT+vOaalQV+6XmlSlPKgDiISmDwpY + yZMjYhVKEpWFgqhLGa1qBqCq4pBZBb6iFT/ZhYeXrnFP/b5n3PrjL/3pb73qposOX7myevFy2sOZ + wBCPVCE5xIiKQs1eugR4FafEICUCUesUTnwp/2Zj+84v/ZVNpfxUKTm15ZWN7DJUY4wxxhhjjDHG + mMUSQLC2iqp2K7vHMY26BKGq8SUfA6XHUZl1WuNGtrqHyBhjjNmEkq5RTn6UoiEiUlVVOcFc13VK + KYRQrgY/xXoixhhjjDHGXKgcXCkr3GHSDUd/e//fdHmkWUiZlftSyNNyyQTMKsvP7k9/JCo3VwrU + MYMJnN1Ve65t4jKJCieCOGZWmhXg7qe8cwebTctGK/NsmGBf0J+nSbTlcYFlWp+S6ao1i9cFSiK4 + ZKcKISavSq4KrY7G3ZENq3afnDJKUW9CKT8dmFUQY1SlpWql4kHjBpryAmfsPKA8214IILMq9qXA + PTRF5e6+h/5+jQ+2ARmqmftMYpnFm8wVIhdAhERKNLLOJgwALCKiWRU5w6O+aPni5bDEyqpK4qEe + pOzoHMQqn1WswloWrIM6KM8tLQFkLqpZACHJDjyQ4UVLlzkN5ACGkJSP4NjMA1ZigPvS9fPnAlRV + WZ1jIkAgpKty5N6H74mui5KYufZ1kkwOquRAfXSBUKn0TrO3dE4JnTi7Wk/yuDlDPN2DqxKEpQ9O + yHHaC5c1DQYNkY5Hra99WMp3/v0nDkweHGGtFXEOUTNp7sbdSlPlTp0De0yixKi7mt045L/jWS94 + +hXPHMZlVzbsGSoEiFAC0jRJfbqJuBD693SWv05KAM/WWz4mlKIPMrggZtmcbcysmkej0fLy8MYb + n/2rv/K+pqGU4BgiUkJ0+uDqjSs7l1Kq3ntmbppmdXUVszqq4/G4rmsAMca+190sVvmY+lycclJD + VZumKXdK0ds+X9wYY4wxxhhznuq6znvvnGvblr374K//mqrSicY1lYcqHwAsLw13D5e+/0U3e3U5 + JZ5eF07HRl2e9vkFggbCba+8VQECQgjIGaqYxQjxCa4AP3F/RVV55ygDCvzOf/nPR9bWYk7k3akn + cZ6z/r0SnlSOs8qx8Gg0wiZPJJxo+kBd1yWKqb+qH3MxtefS+oTRmUVNX4EUY6n/0leBWeD0jTmP + PGZw7+jQ4X379lU+3HLLywGoAqrO++mf91uJ4zKt178QSPM0D9dXQYHVyfjTd35mbhLHTIG8BxTO + hRAIuPyS/d97080kOzCoepGYFxBEXD6C8/f01vG9zeegHFjp7o4xOueapmHmGGNKyYXQxajsqnrw + xtvf/PDBQwAn0Zy1quucE3L2Td11LQBmDs5X5F7xsv/l+26+qXJeugRJIgJJ7WRUh/AT7/zx6697 + nGaFgp1jZqajTSBREZX5R45/o5iGmbFC2y7907e/bXVtrSyilFJpITjnZGENEGOMMcZsRqm+V/bR + k8mkZDYDSCl578v9EMLGx7nOuTKFcoY353wBXL/WJ08750qCdVlQOefSJCuNMWYuudcnm05/uV+/ + WLz3Xded7PnlpHkZ6lAm2492KAMY+qeVpuBi5tYYYxYnhNB1XTnoCyGUYVdlS1j2Js65qqratl1s + P60xxhhjjDHGGGOMMcYYY4wxxhhjjLlAlNKdx1adWn/tSf+cuafxcQ8qiVJSSnNXnvLcNajsxFWp + brqV4WTPfrn2+77hZa+/+UeedumNfm04zHswgbRAhGY0lQcwHnfeU13XXU5HXxfrrlE674dQ7ijr + hjCdgyuDToWtQ8YYY4wxxhhjjDGLxQrCrhVw/Ie46ppqaVADGE1SJuRjr3GzgkTGGGPOX6UWRghh + MBj0hTBKeYumadq2LY8QUYzRLvM2xhhjjDHGMQshkxx45B+//JV7QZmIMI0EBjDLXiYhlXUxsf0P + s5a1ABCBZ3LKELru6scRESmV/EVmLqXljn0LFthsFkVAoiSArlupiIiEAhxiZtbIkwcP3S+cTjah + E3IKApSgxKRghUxjgwFAWaF06Z6rgy45R6LdzluxmZXLp0AQgggJlAkuQ1Gle750V5bIjBJwv1mi + qqpgBjIN6+HK0i7vfR83Mn0rO6+Lu2xdnQuXXnIZaSmDSpsYDFr+olTGExFmEsGBhw8cPPJI1k6R + nacckyPOUD1pcX4b/3khI4hTYUh2Xee71kvnkMmRBAcnqeMqo0qr3SEhLNd72radDA5+7J4/ONwe + UvgMUIASiHOtGIjnCI1gj6zwntvVeONXfcPXPe3rl7DLxypojQgHx+zmVq3Zdp5kejPGHKsU2q7r + ulSRfs5znvOe97wnBJRIEedciaMuBVJLsdQTKv3t3vuUUikMvWfPnj6VKoQAIKVUqq+WH81ilcCz + 8jmKSLmjqkeOHFHVyWRSHiwfqDHGGGOMMeb8FULIOZcW/p//+Z9/9nOfE5WjwZDHdYDEFAGsrY1e + 9tKXXnXVVSklInIbxCieJhF54QtfcM2VVzmio2lAp9LfqJg/79N1KWf1jgE8evjIhz70IZxCvPF8 + LLeck7Fd893LmJ3POnLkyAJ7mploOByWrKZ1HdpbRWfORlZKP0hvO8ypMdvZ0tLS6qFDRPS6171u + ZXmprgOAnE7vJCaO/a71I2PbyeSkz0/JhQo5xxgZeN1rXjsYDIbD4WbnY6cry9/P8sjPRDnl18f1 + 4RT2m6fu+JTzY36r4DM+yVcSDc9xwRoRKdmKIpKzqpIjH0IQVSGwc+/6xXd/5A9/f9SOiQkQlOaN + AqDUjkPlgw8qIjk95Uk3/MK73sVASqnvOa+qyoegqk3T/NJ739uE4NlJyiIpaxJkhRCzTk9fqc5G + 2axfCqo0W0kUiMgf/9Qn3v3e94Smjimxdy74kgEJUcjOO+FtjDHGbAN9M6a0LgDUdS0i3ntmLu2c + 0jzbOLa5TGowGJS+ndJcSaffzt9u5o87Spp1GSRQuhdK86mP/d5gOiGElFIf+A0gxrhBc7o8c75T + pTyeUlpeXp5fyKPRyMYtGGO2oa7rykaybEUnk0nZL5RxWVVV5ZzLc7ZJBVhjjDHGGGOMMcYYY4wx + xhhjjDHGGLPDEECswohEkRAZiYVdrge69wmXffVLX3Dbdz7rpn24fL+7bCU1K1SHBKeiEuEBrzGO + Q6CjdReVlAQQKAFuS2fNbN78qNEtH9lkZQ2NMcYYY4wxxhhjFkwEYMJyuOUP/nurqpM0STJcGgiR + Ul/6bdrlV3JZjDHGmPNOKRQyHo9LqMO8gwcP9kkb3vuStLHV79cYY4wxxpitJCmHEBKy+PTXd/6l + 8Ii9kitpAfOjd5hUQKkE1gLH198FEYhICKIgYs1cobrq8qsgcHAMByEVqCy+Mr4xc0RKsjUpQ6gE + 9LKqKmcEdZpFtMMwfeHLn5fTiUGlWZdpJmQCK5xACZmRklTOee9V6XFXPAmTICLqku7cmFVhncaW + KIGIwUg8+eL9dwuECWDaROa36rQypgJCCExew77dFxORiJQK78xcEh/Pwkxtd0KAMilfdvEVqpAM + KM9tb+eXieDkYTDMJKKlYKiIElEIlCX+/X13U61JYl8blGh+T0DYsA6+ucCQApBMmlyOLienClbi + 4Ouu61TFe2qljbkDc/Zy9yN3ff7AZyY8Vo9p6DqBFIFDarvaOY2ofYMEngwff8lTnv9NL9xXX9od + kio32pHTUPmm/2rPZRqJkMgJWiXGGHRdNxwOY4xra2ulxPZzn/vcd7/73YNBXVVVSmkymQCIMTLz + Bv3kZZufUiqpyaXQdqkWXYp34xSysc2mlVLgpQB3ae2UB/ui3qW+rXOu7LuNMcYYY4wx56+ccwlB + XF5e/uVf/uXS3eG9X9fppnM9fU1TAXjDG95w6NChcuCwwOvCU0q7d+9+yUteklUJABERI09fvH8P + p9glSEQCBM+//L5/R85l3Y5duPNnr8r90Wi0wOmXOKs+/2k+hGlLHH+2brHvZzKZzL/E1hctMGa7 + Ktl4KaVdyyuvefVtXRuhYDquzsYpfIdUtXQZlS2Mc847v+5v+68lMeeuA1AFt3fX7ltuuaXvMzSb + Nt9HurntHs1ioYWOiQw8j5Q+5LORyb2BsvIzc4yxpIJNO06r0Mbuj//0T372539OACbuzzGpCGYf + WWy7GGNgd/GuvR/8wK9Kyikl0ZxVOHghjLs2hNC2LRHdeOOz/9c3vRmSGURzM8jMJfnypB+9AgqN + iZlLi06BOlTvfs8v/sFH/7AeDiZd179z7/3OPOVtjDHGbDkiijFilrXcB1FPJpMyLC3nfCrttBAC + M7dtW9d13zzYILb5fFHaKmWxhBD6TNbSGGPmcvJaVfvU6hMqC7ksRmYuLZ/y4MletyzGMsKhvCIA + 733OuUyhNMaGw+GC59kYYxah5FX3uw/nXNlqMXNd1/0G0DpRjTHGGGOMMcYYY4wxxhhjjDHGGGPM + FlFAUYKoIQBI2QkHqfIq/LhZzvv+yXNuuvV7Xv/44dN2tRfxo6GOlVfKGb6CMkZtFyoiKGm5lIlA + opQAJmXLuzm/9NfAlh+3/GLYwmKtjTHGGGOMMcYYYxbMsYdzWHIYkFQ+am6c79qJ0LpKRwqaBRQZ + Y4wx55tSPaRU5St32rYtRS5KjYy+sAiAEnFtjDHGGGPMjqWqMSV4HcXVz93zaW5Spi4jZxKlWWyk + eiiDSmapoOT7rptOnj4yTTlV5uz2LF20e2mvE88l1loJuWQD27ggc/ZoCV8nnWVal8GSqizs1XlQ + 1pia9u8P3JP59ML/nABAZmQCKRNQviYZYPLI0I6eePmTXVdDMzndaV2srAyUGwjCECUIIQuE5JH2 + wQcO3iuSJEMk5U2l0JJCaLohgpKTsH/v5ciYpSyTElRV5RxVSN9WiByRU+F9ey7m7DSXtdQpia5f + GEeHDp9oOqQKETATgFL0kzx9/oufdQPNSEkTeyo9Lap63MRRIuAXPX9me5nu7UuqNEkm0ekWjzzV + cdKllJaWBmBZ6w7qIP3p5/7kwXwg1W3mTHAyAatXCZIpZXWO6opXH57sC5fvSVd//3Nv219fEyaD + XW5vQ0s6pkCVCqV4dGXW6QD28po7a2NrzHrKR29zmqZZXV1lxu7dK6XPnJlf9KIXvfOd/zylLgQH + wLnppn7jV3DOee/bti0/lp0sEZWg5b5yt6pazMbCleVc6n33aeJEFEIoC3++0K0tf2OMMcYYY85r + 5Kaxpp+/+wsf/ehHUcIOT36lNzNS273gO7/rumuubepQDvHKAeDxz93E9drlzdzy8lcE0LBuIKqa + 5vphROY7GPWk+dblCDSm7IgmSe66+56/+uQnNogpmld64I+erjqb+kwRIioHXCUac4EvIUBVVSJS + cp6wPUJK+t51LPT9EFCyPy3Z2pjH1HVdXdeV86PR6A2vfZ0nOGJSEIQ2PKFzPBEpiW6Ypb7Nj5Vd + pzxt0NQ55pe+7Icu3rdnaWlpg+ebx1R67c58OmVvXj7Bs7QHJAWdtU1yjLEPHSwWuP2fbxuUuSg3 + z05SlpQ9u+C8I84qbewOrx4Rpje+6fZx7LwPSSXnDAKmuz/t2nHwgYDGO0j++Z/7N0+89nGBnSMe + DAbj8ThUVUwp5TyZTJaXlwHEtnvnj7/jaU+6gaEE6nfrKaXSebvB+3feAxBJpYEEYC12E8n/4l/9 + 1H1fvp+IY5fKyVnPgeEWtdyMMcYYc+pEpJyKdc61bVuyk4moZFRjllddzo9vHNtcJpJz9t6XRsJi + +xm2ykLGD5TFWDKwU0qlxbhxc7p/joiUI5e+5Vyitftn2nGNMWZ7KptHAG3b5pzLhivn3LZtedw5 + V+7YdswYY4wxxhhjjDHGGGOMMcYYY4wxxpxbwtQxdSWPGupZPUtgDU787moPjbkeNeHI0lP2PfuW + 77r966/5jr15/2Ay8Nk7QsqAg68RUxknSQAEXimDZFYTyUrSnccs1toYY4wxxhhjjDHmgsQAx5zh + PVgioaoGOWeAedoXdPTaXd763iFjjDFmMWKMdV33qQ+lgkapjtF13Q6MuTLGGGOMMWYO176exI5q + vf/gfWvxUeFW0CmnklIJYJY8y6zgk1c3VgVRX/seyHA5XHnxNRVVpEzKKnBwIsLkt8PIJHPhob5X + k7SUd6cyBG0W8eiJWeEYcPHB1fsf7g4In0blL1ZwiVVmyVwiJKe/cg4ikkYyoJXL9lwdpCKiUy8x + f6HguXAUZSipApjGG7N++dF71+RRdkIKZQKrnM4ROSncdCMjRCQCzaDo9u+5jMgxcymPLpJK+ggR + rUv33BlYM+9a3ltzzXm+0LkAYAXhsYvUq2rpLHHOMVNKyDlnjfc/9A+trMGLkvRVWWfbcwbAyrQT + l/kOVXIUaLpeCTCN14hddq6qqxXNFGOsV1yq1z53/6f/+oufTqHLVRRqCeDMQWsSF5HDcmhTiiPZ + S3v54eYNN//oVdX1fLiiSagx1JYr3xC5yWRS1R5Yn2NkTQpjTqZt28Fg4L0/cuQIgJxzVVVHDj36 + 8pe//O1vf3uMeXl5mLMCqCq/wXSIKOdc6qWGEEpRaQDe+xLXUSpNl4ycDcp2m80p5zLKMp8/jBqP + x32Oddu2JSrGYq2NMcYYY4w5r1VVJdB6OLjjjjvG7cTRBjHVAKAKUbzlLW8phwxMxKAFjoNyzsUY + r7766ptvvnnSTghw/BjZinPxxUcfjDGXLntyDECAf/vvfrkZDhf1PhduPuB54fEhIYQ+cmmxUz5d + x5+qK7lTC3yJyWTSz+aWz68x25rjruuYeVDXV15xxcte8lKoiJ52P49zDnPf7o0z5KDIMTl2XdsS + 8KY33k5ER44caZpms7NhAKCqqjOcggJdiuWTE+i6fOhz5lROKZ7MloRvEVEJiayqSkTa2IUQlnft + Yu9vufWVDz38sAAxZwWqpi5/ws4BICDFGJhSyq+59VU3Pf97HLPkSKKenXNubW2truvhcAim1dXV + yoddy8vt2ujXP/jvA0CY7j37L+DGu7ycUnlmjJGdK6n1zvGn/ubOX3zve6qmhmNyrKqp7XAGn4Ix + xhhjFqKu667rcs4iUpKtVbW0OoiovzDthEpCc2nLiUyHWm0c23xe6M9f13WNWduvzJ2IlBTw8u/G + M1tiv+u6btu2b0E9Zkuy6zoAzrnhcMjMJS+8rusQQlVVzjnrfzDGbFsppTLUCkBd16p68OBBPdaR + I0fG47Gqlg2pMcYYY4wxxhhjjDHGGGOMMcYYY4wx5wgJkIEMCCkDBA1QT+JyzGnc7Q4roavqybI/ + PFwa7X/FP3ndTd/wA1cuXSurxMqqyIqqQkpgBStDoQShMjmZv/bUnBfmL2jaPoMzrayVMcYYY4wx + xhhjzIKpxmPsewAAIABJREFUUiZqwQiuzQIXBEqiJZQIVv3fGGPMBaFEaHjvSwGRqqpK1bAYo3Nu + PB736RpVVbVtu9Xv1xhjjDHGmK1ExC5wR6O777tLqpzQqQMxlEXpaG8RQ2iaaT2rxFd6k2j6FFY4 + 5YysLHCAUKWDqy+5hsR5csiKDCYi5cCBhPgEY4Nk58UAm7OBdJq3ylCGMkBCDCWGQxaSrC595ouf + nPiR8GY6RIVESQCefikIYKhSrcuP23/Dktvl1TNIdAsKdm8xJcw2G4TEkPKYMmUX7/3KPTm0zCAF + kSrr6X7liaDTLQ+VbU7QsH/PfprGWE8HQbKDZ4bsvN5uZSg54V3NrqWwy6knZUUWkvUJ4oQNFr6q + OseYK7yuqknT4e7hBw/9A3wuqZnOBdUMyCxgeLpRZ8WODBTfWRTIBCGQOC9cZfYCRgaJECRz41ac + NuN2knz7QPzi//jUfzvYPkIVsuuyU6c5KAV1AGiJDsUJAnbXu1a6fW980VuvC09eGV80xG6KTjrk + mJ2vUs5KQp6FSmthtqWZviFb5Yw5AWbuuq7ruqZpJpPR0tJAJC0vL3dd98Y3vO5HfvjNa6uj4EFA + 7BKVnJITTWc+h6MEXIUQym5XVUtMSyldfQHU4N6GyrkM7335IPpStoPBoOu6/mxICKGvEm6MMcYY + Y4w5T40nLYEPHDjwu//1vwqQVTGLSDwhUjzzq5/2dV97Y3DUjsY4GpKk68YCz87vnCZSVcmxfcPr + X8uAK0coCpCARGcvo0ePKAUQ6s/16NE34j0ToU25vIv//pH/ce99Xzq+g/L496l09Ha2lWOunPN8 + b/PJ4pQ2fR1+CSw58+ksyvwh/2Mk4J4mBSaTST/xRU3WmAuSiFRV1XWdpEwqP/KWNwdmTzjR+fSN + 9Fuw/rtckvbmnzO/h/DeS84kePkPveSqK64MIQCaclzEPO1EpfvuzDvoBMg5952BJ3xO2WNubv9+ + Kn91dOe0qe13WRW3pHiNC0GALiUiBujw4SN3vP8Df/DHfxIhGRCVqqq6tnXeg8QHVlUmHngP0Wc8 + 9Wn/+md+OhDH0aTxwTt38OBB59xgadil2KVYVVVVVTnG0eraoG4ed/U1P/Hj/9tS0xCgIt7RMfN7 + sg73udadiCjQDOo2SwY+8MFf+79/7/eSZAIDlFImsvNfxhhjzBZg5rW1tXKWNqVUwpLLj+PxGMDS + 0lJJud44gznG2OdelwZACOExY5u3P2bOOXvvU0rlSr09e/aUtl+fw1qiW2OMGw8hIKLxeFyWbT/Z + kz256zpmrqqqLNgYo6qW6aeUyrIVkRBC13V23twYsw2VbRdmvaZt2zZNA6DrOpQxuikNBgPvfdm6 + GmOMMcYYY4wxxhhjjDHGGGOMMcYYcy4RQEczrb2Ay3URTdNAdLI22tUsN1rrKu/1++MB97ynf9e3 + PP3bL12+zqUl5ygKckblQErTicxdlcKWfnPe6osQboerRO0yG2OMMcYYY4wxxpjFC67OIJBrmuFk + fDi44L13ClYoaeZp9bf1KRfGGGPMeYWIuq4rBUQwO/9RKlbUdV2Kg6hqjLGu6y1+r8YYY4wxxmyp + Seyqxh+RQ/c8cFcr4wxg2kFUUgeYlEECHC2oRyospTcJcw+Wiv/QkiCsFLS+ZM/llJnJq5CqEhwA + Zt4OI5PMBaoMOSMok4KnoxsZymCnhKRdh0ly7We/9NkYOqHTqRR5tMa3lChfpWmydVIAvMvvf8L+ + J1faQJRn+Y47TtkukJCCZsHJiXKr7f2H7os8Saoi2HQ8Rtl4ECszGK7WZu9gnyQVaIYSETOXroCU + dlxlt1Lg3sEPw1JTDT0q1un2tt9cn0p1e5GyoUZKWVWdg3OOvHQ6euDgfZmTkOYojlhVwQRAaFoE + fy4pnixp+MKmBAU7ZSfOZ++FWQiA9xWY1saTRNLsaR5q7/+rez9215c/5RpRkiQQhWR4ZM0dJI7H + 7aBiHvuqXX7x8172VZc8Yy8uatIw5ODJV1VNjmPuhGKz3IzbkdIsjWO6yjHZmmbMSZTkYxFxzoUQ + Dh8+LCIpJSKIyFvf+tY3vvG1fdHsDYJFSgBJKdVdqmyXVJVSRDXnXNc1M5ffWjv/bOhjbFJKRFSa + Ojnnqqrati2PpJSYeYe2P40xxhhjjLlQEBF596H/9uGvPPIIZlfsnyzBolzWf9utr4IogOFwyMxt + 2y72LVVV5b1/5lc/4xlP+yoAKcZTSaY8/hkpCQBmACDCuOv+w2//1knDHrdIOf4tB1b9lfYnjJs6 + k3jOkqukM1sYa338IfxiY60BlI6CbVKwwJjtzDnXpeidq33IMT7+usc9/7u+W3WTW8nyjWNm7/3J + tmMACJCUGaiI3/Lm2yWlyWi0srRkX9gzVDL5jtrUdn5+73/O9hTHXz20icxslFXruL3JOViviAhM + IhJjZObh0tLaaPSpv/70v/ypnwSQyjeKqKSFiQiIuklLAFRSShft3vWB999Bqo64qYOkzMx1Xc/n + c08mk6qqcs6V87UPyPKW29/0lCfd0DQVgJRSWYTr14FjBedj1wFwzik01NV40pIDGOOc/vk7f/zQ + kSNl9DsHz8y6vZpLxhhjzE6xNGsYl3/75lk5/766uto30jaIT+5zr51zpYFUQrLP9ps/B8oAYO89 + MzdNs7q6itn1epPJpFyjV6KmS4DryeScy5Lsw7836JcoLbESXF3OmzNzmX7/Qs65Enq9yLk1xpjF + KcN7mqYRkbK1FJGyfQNQenLwWMeVxhhjjDHGGGOMMcYYY4wxxhhjjDHGnBXKUI9S04ugBCFVQs6Z + HAdftaNI4paqFe1Q6SAfdN/09O98xhO+ycUlzhUrYoRzgHqoRynUSH1FUwGsJI45UzayyhhjjDHG + GGOMMWbBiAhgBoE4tV3DtapKyqwgQAiZzlagNRH1dXkUF37Bo1JeHLNCb1bjyRhjzr35eh/zl3OX + +8xMRKVwhjHGGGOMuWDM15Lri/uYjTnn2jw+nB/+0sP3dNSxRxaoTtMEaDo4TOQEA3kY81GpBBVh + hqqogpTQusv3XuU05CgM52j6iWSJxDodX0aCWT4l22ghsxg8u00LR0OZOUxiJ5TUp+THB0YP3Hvg + ix21fTzqKdLpFAUkSgT1TsClcGVmORRuvOGbHRygKhTchVCGchMIYOV+CKmQUEAO3T3/+Hmpkio8 + Q3BMAPIp0gxHKBXJVeHU1xheuucKx2GaNQInmkqJtx1Y2Y0c56yOvBO3f++lyHDkCG5duXNWCOFE + W/XZExgpJedAVKKykXNWUjTxni/fnRBLd0qMMVReVQDMJVjLMf8zFy4i0iyBQ+MGlMhFCqicMHJS + ztm3MmhX3SN//Q+f+P1PfDgtj4VbRim/CABJ4X1XexkS0xG+hK/5wee9+sZrv4VX6wEcUiuSlbVN + nTLEizgZpzFVDIiSbi5KwZidhoi6rvPel2LZdV2rKpEyRHNyhHe8/W2veMVLypNV4RwBcI7KnaI/ + qioVosuD/Z0+8YuZc87e+1J1urziuhrfZtOcc2XB9gW4+3DrUuW2/9UObP8YY4wxxhhzPiopv6WT + LeccQiAigSpTJ/mO97+/9Hx4xwCccyBy3gOguTZ/FfxFu1duftFNdQilq0REmAmLG52rqp45dV3l + /Wtf85rpSRydjf+lU42lngYUKbJAgKQIdbjjA++POZFjcly6fHPO5UiHdH2O5rnpbCxHuOXjSCmV + oWWTyeSEz9zEKciytPq0knIEt4WnMstBvaqWMKqSsb3A90OzwXuq6pzrOxAWNX1jTlHZBDFzSsk5 + pxtuupi4bOOIaD7k/mzLqkSsqjnnOlTBudtf/7o+h628BSIiIihow41v/y0WkaOB1gqUOefpX5ev + pyMl4Du+/dtvuP5Jnh1EAGg+cQz2YvUbH2YuAU4LnHj5+Ji5fO5E5L3fIB5vga+bc961a1dwHoBj + t+lJPfjgg2UunHMLP+9ZplkWSNnZ9atKG2Ooq35PsOnx1THG0l2M2ap7Dr5KXUpVVYtIyrmq60ns + jozWXnXbbQnIKONaSGdx8SriiAEwUDq13/VzP3/tlVc5IpVU9ok5xrKWpiREDmDvq7ZtvfcETbEL + nh3jl9773iZUPF2YICCluezG49pLafYVK59sibhWQRb4yn/5wENv+2fvIO/arusXZvmwyvcFQErp + wkhqLKcVjlm3N7Wel7/pEzHLVmUh79AYY8wOV3YupUVU+iuAMoyKMJfHXMKby2/7U7flkb5x3l9l + 3E9h/qLj8vj23H+pajdrlgBIKc3PSNmV9+ME+gTrtm3LnfJI3xTsz3pj1ilRfiwB1eXxjdu9zrnS + QusHKvRvrNzpW7lnOOPGGHOWMPO64T3lTr+FnP/XGGOMMcYYY4wxxhhjjDHGGGOMMZtTLgwpo+W3 + +r0Yc/5QD62hNcAgEY7CbeYuc06kICfqwE4JWTpF9NA6Df146XnPesElg2tDGgSgqRA7AE5BSgoS + gKEEUsK5uF7JLND8OM9yiVOMccs3qzZC1BhjjDHGGGOMMWbRFAx4AJmP1n0jKZXsFFDaAYnTZ1+M + sVxGWK5RL9dL91ewG2OMMcYYY4wxxmwfSipO7nv4ns6P1KOEznE/bEjdXFjpRlhLkC3ytKfJL1d7 + BrRMal0i5lxiKJMyHbvexiR104x11Pkxr+RP/u2fPzp6iCqW0xwh1z+fVMqQOwdyCgfUaK6/7Kn7 + B5fHcUeswVW5yzsxeJV0ttFgxTR9JHF3uD3UoYuIegajEqcjGkmUIARSXvbLtdasLACUS/92eV3W + UwxVuaCQEDI78XuW9gSsrzV/ZiuktDR6dPyQOM0i8wP3j34vpjc93W+WOR9JyiGElNJobeJQ1X5I + iTTlqB2qbuQfOey/ct/aPb/7R/8pDicdj2NKlNmXfPqAeoiskiYaRsOL5KoXPvsHv/rSrw2TXXua + i1KXiUVIMotSypyEUrlfMq3LGyAlVibl0vygU2uuGGMANE3jHImIiLzzne986Ut/gAghUM4lhVpF + tDytj8Q+mXIO1HvPzE3TrK6uAijBHqWkdYmNKSWtz83cGWOMMcYYY8z2VyJ+yxGTc248Hpfsik7y + n3zsTz9z112DpspAl8V5n3PG7Kiq9It4RyG4LqZbbrllebhU8qJzSvPhQAsRY+y6blDXjuiFL3j+ + 3pVdblMXffeJR32oUNfF1dXVD3/4w+VVUkp1Xdd13XXdFsY8F+vewMLLl5Se1cUGSC9Kn+O7KOsC + qxY4ZWNOS/m6ncpKOP/FPJcrbQlhJaK6qo4cPBSc/7rnPOc5z3qWB3h2dqxPtN3E9QjOEQDX5+AS + YtfVPqjCA298/escUeVdXdea06aTjDdhPhJvgZMtn52q9vVT+jtnm/d+3759XU7lRb33OP1ZI+DQ + 4cOYC2Uv87KoNxljDCF4751zbdsKdDgcMnNWqet6bW1NgBCquqrb2G1ifdPZAu+z4c/BLk8JzrlJ + 21Z1zcGP2kkbu1e99jUHDx8CQAQl9JnW5V3mnAnwRKr66le84oUveH5p86Cc5D6Fa6rK+einPvkp + /+xtb/dEkoQUzHODbU4HM7ouCfC7H/7Qb//Of/J1lVRiTsvLy+VbmXPuuo6IlpeXx+PxZl5j+5lr + JyxsgtbkMMYYc1aVdntKSUQmk8ny8jJm4c1N05Q4Z+99aXFtMJ3SNYRZmmnJhz4XM3A6YoxEVFVV + 6ZWaTCYlvrpcLp1zbpqGmZl5Pu76eNOG8dxseu/n07KNMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhiz + 5Vgc1EG9gBVQEiUVSko5s5SbkAqJUgbgtQpxucr7bnzK80IeUMd5DO+94mhpR1YiZVIBSsq1MWdk + 2w23NcYYY4wxxhhjjDnfKYEAr4D0nS86/1uzEP2V5865tbW1tm2Z2cq1G2OMMcYYY4wxZvsRIMGn + L9z32Y7G8JwyGCCduwEodX4BnXUp0TFTAOtcrimgCge3b+niYVjh42ImpfwNCUj0VOoBG3MaBACU + cWyisarCMQYyCWsHun/85N1/yQNOEk9v0vORyQCjhPcyw3kJOvbPfMKNQ1mmPC09SdNn7SBCOh03 + qtOFxApGihh/5cgDE3RRQbT5stQOBEABmW55eHez12uzfjmTYOct/B4rQ+jiPfsdecz6pI8O852N + 7D3dba9QmmD04MH7M1LMidk7uHU1SVnB2y+LxZwNBARHqZsoa7PUkHPjttWMQd24Kh/OD+Ki1S+O + 7/yl3/nXk+HhVVlT54MfQgZBayRMMo60WB1jpdl/uX/Sy77xDd92/ffuiVdRV69OurXYukGdWZRE + SPToTZV01j6hHfsdN+ZEeO722EajVSJ1jtp2vGt5+H/89P/+bd/2LTlryewggiqapplMJhtnWZVa + 1SXBupwG3bNnj6rWdS0iJWinJF6XnLbFzKsxxhhjjDHGnP9Kr1oJAQohlAOoJBLq6v2//u8zsNZ2 + BCrPmXZ1qzKxiBBAoshSOXfrLa8MlVcVYuScaJZ4uijLwwEzSibTvj17fujFP8CQk73ABi88966Y + mBUQRRvlVz/wAQFcCERU0oxKcudpTXzh1sWgLnCRlhkrgU+LmuYZms99LDGQKaUFTr8MpZ5/lW0Y + 5m12gj4u7jGD2xUKgI79+p+D9TblXGLgSdHUVW7blaXlN99+ezkvKTI97amqzD6l067hIlnLn0PV + eV/yrVNKDHzNs579rc99rrRRVXPs1tbWFj1zJzAfeF82uYu9yKJs0Po48/kN+1lVVrDl5eXaBwCq + +pir3IkRHnjgAVUtl/ase+flLMmZKL21o9FIVYfLS8y8Olobt633XkSaplGgi13btafyrTmh0siZ + j7U+q8tfiTBrOLUpgqkaLv3sL7z7Tz7+8XEWJVYcG62tAOAYDKjqc571zHe/612x7eJ4ojkBKscu + 4mMGz8zdACUoab79da/9pq/72lBaLAIRVJXHMZOYH7wwHS+zjgiIEIJ3zr31x37snnu/1CyvJOjh + w4cnkwkzN01TVdVkMkkpbZ+2xBlan3q+2e1t/33vJ3vGb80YY4w5ARFxzqWUvPfl9PfBgwfLfqc/ + oC5nz0MIJev6ZFR1MBiU9lI/2XMyE6eh9FnFGEvbo2manLPOVFWFWRt44wzvEELfgCkt/xhjCbo2 + xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGPMdnE0dpoBp3BKpITMSTgqt0oxc5c5ZxYFWD3nuupWvubJ + 37hEe0NuICCFlJql0wtjmXXdxM15bMsvD71ALqcxxhhjjDHGGGOM2XYE0OO78JQgNIu34K3uGzqv + qWp/MfnS0lJd1wBKAfcT2tI3a4wxxhhjjDHGmB2MJFPKrv3iV+7tfEyUATBNu45YhU/YTTSrAVu6 + k6ZDx0RJZ2m1DID2rVxco8FxsdbGnCXTdHUSViqFpIVUSZTgQj2O4wmPdVf3p5/96IHxP1YDTvm0 + y0EKTVM7prWqVVUI4ipZuqi+9KnXfFU+kgZhwKA46byvFj6P21lJn+0jpTOxgEmZVLObPPDoP2ZS + 0aObhE10ix7Xlcq7B3spESlhukUS2cFFqqfBDHCc+ZI9F1MiETDz3DJhgE870bpMnAQhPbL6YCed + iBARwOUbMJ20AhCQMAQQJezkz2JHEC3nPkaTtUQSmkqdrKZDMlzrlg7d+ZWP/+b/83+u+ocP5Uea + lUqydglEwaNOLRxjeVdwwZEOb/q2l1y//+k4EioZVL5RcL20POra0rrQaZZ9uU2jGkqmNZf1HRAS + lFXUGHNqmqZp2zalNBgMYoyj0eh973vf8573zW2bVeG9A1ByMjbOLCn7nZRS2RqUc6PM3LZtCWYr + TyuPb8My3MYYY4wxxhizVfIsvrSMKfXel4igu//unv/3Ix9pmjop2HtiVig7BwAlEnJ20bWIvuh7 + nv/EJzxeYmKg8sER55xPFgu9OW3bOmJVrUOYjMa3334703FnfAh4rEvB+2TBMr/943/xV3/1qb/+ + tEB9VXUpdl3nnFsX03iGyZ2n6/j005JTtbDp///s3XmcbFlVJ/rfWnufcyJyuGMVRSGNgJTtbL8S + ZWgU+/MUu6Gbx6N570NTAzJUgajoc2i7ed2fR8vzodCK7WtLW7FABLRfNYOCVIMKShWjNMosk0BR + c91b997MjOGcvfda748VERl3qKybefNm3mF9P/HJGxk3MuKcEyfOsPc+6wfYR7+Nr3mG5j8RZrZ8 + 8e15ZcBCqmbDpM+pGXcXj9nqx8yWoLbxdoVANA3l3ZEJnBDVQDwejxf7C6Q4dv+Rpz3taVc8+lH2 + v6qo61pEtpZlSyBmti2qqpacFVAoAS++/kUVuIpBS2bmqqp2LC53tv2xCMBtXNyzVs3Z9nzasXV2 + zRpUL730UlvmYknFmySK++67L5Vsk20xh9u4f7fXrKpKCePxuKiAeWFpUYBRO55NcFXX2Gqse87Z + 3sUixrcY771Jorq8b28qOYv81S3vf/Vrfo2ZFRA97vBjEnsOQEDAgT3L/+W3fnu4trZvz1Jg6OYn + tR2N61j91m/esLy4QEAkqgOnLm+2Q5YIohin3JXSb3rXv/hFR1dXYl31+gtN06jqeDyuqirG2HXd + xsmR55dtWT38giznnHM7ww6VY4xt22J6zmv7Mmae7xbfONPajrrbtrW9vD14bsY8W7+/tZYAYGYi + ijEys51h2ZHqLLX6lGxp2CHY7Jh540XknHPOOeecc84555xzzjnnnHPOOeecc865HaegAgDK0AiN + ULbyX8pJOAkn5aIkChYEgClzLM3+5iHfsPcf9KTfr+pcMiBiMThgUvYk4vOXDf48py7Z8JXJOeec + c84555xz7uxYL+6/XgSEFUEQZD2piMXbZ7bIrtBW1VKKXWXddZ1XZHPOOeecc84559y5RkiVukFa + PTK8PwcpmkEUEWjaRkQooIxJXiwLWB+whYNJp6W+ARXav3iQpSJlJSjJibeTioaT2vgz586EACBL + 2CUBZSEREg7IlAe0em971wc++97UDEbdsAqbXt8EUIAIDAqCoJIgokzj+vHf/v2XLh5g4To2IlCl + i3B9tq+2Zc0qkRKxMki0Svccu1OJAJ60kspW8kgst0VI1DY1gr2L+5FOHLd6EWfbSiAORBDas7BX + C7RMyvQrWTwwSLG1dmphSK3DMlgZrBQodBKQo1pmzyEAkIv6E7iYpJKVSVAKC6oykrVVHMa+9hDu + /NRdf/2Gd/3uIbmT94rENBoNOFDT9IaDUSll374FZhy6Ly0vX/bPnvqsR3zjY/btP9hbaIaj1XE7 + qJvYliLKpExqGwqCrbq2WVFiXc+0tnXbM62dm/MAl3OQzG4ld/1eXUWWkkopS0tLEPnVV7/6CU/4 + 3hCQUgGwuLho5aQ37t8MIczKds+evHfvXouiAdC2rRXgPjfLcDvnnHPOOefcrrB0VTuH6rpOVVNK + TdO8/vWvH4zTYNyC0OVkDXqqCgWDoJNmUVIw8PwffZ6kDFE7fQshaC6RtjPW2ka9NlUcDofLC4uP + efSjn/iEJ8QNzxN1eps3n1a4Hm/MKMBrX3dj27bM3Ov1RGQ+sXXWgLyTydazs+BZYlNd19v+FufO + WGKbzdnMAhiPx9v4+lVV2SvvTKCscw9qkqa24XMIpNMsuh0TY7TIbRFJ47bf9Pq9JhC96EUvAiad + 71kKLEZuS29hFzWASFRhQXrE3/TIRz31R/5p6roqxJxSDKHpVTYlO8a2EimlbdxGzMfm7SSL9COi + AwcOKHSW27eFlxqOhkeOHLFdxiwscLumUwmjdtz0examGEJg5tXV1dFotLi4eOuttyqgoK7rgAf7 + wpwKAaPRyI521h/czo9jvS9y1gFqb7G6uhrr3t333ve8615YgHZ6CLL+3gqIwkIZCZH5la985SMf + 8Yg6xpImye5Y73uyzqlT3GZPALDY60uXLjt4yatf+cu9GFQ1hLD+dsdh60jX+emZ3lRhf1jFarUd + f+RvPv57r39dJ6WUknO2XaolW+9Y9vzZZt8XESFgsoJvaT1XQFXPqTJJzjnnLmC2a26axn6dDp3S + Uoo19YQQ7Chu45hnEQkhlFJijHakdG7GPJdSrLnGfp216oQQRMRm3HbE840/J7ADGDuszTnr9JRk + Z2bBOeecc84555xzzjnnnHPOOeecc84555xzp0FAnVUcBQgaSCrSipQJQsiMBEqAQBkagAimUkqD + msf87Y/6Lm45KgWCWvo1AjRidn3oxVeP8cJzLly44auRc84555xzzjnn3FlD8/VcVAhCoGnRFlJv + 4ztTbdvaVeXzV1nrA9i9yXTOOeecc84559zFTrncc//dY+lKgECZWTWgsEWfMjIhq6X5nqrUsBKs + ZYl1/RFlELB3+QCVcIq/ce4sIUudniRbAwIqoAJIl1LVC+ilv/jozYe7u7u4FhvaoJziKc3XpwaY + RUlUWQnVgu79vm//x9Jqv25KKihqIRzbPYfnAwuXVRawwFJpRUJ775G7wAEaCWwNomdevVyF9izu + pby+/XFERMKs3G8WAgJRwGzrrTxp9lc+qYr6aVECghw+cshKr64XLT3xiRZa7p/KhUyB0NSra2sU + qqWlpTaN0EvVAblz9JX3f/bPbnrfm4/icOmntW5IESBh5FRWe8uMWNYGw9xhoQlHjhx5x7ve9u4P + /unXV798tNxF/fHinqpthyV1VVWxgpV5Em5tgdazRyah1wCEREjEM3Gc2wwRmcXkWN3tXq938ODB + 3/iN3/jO7/wOe85oNLI7G/RjWqSKZfxUVWVFqDHtJLWolaZpLtIjIuecc84555x7YMxsKT6z/Mum + aY4ePXrTW95mzWqhCrMARp07qwpEBAjwXd/xbY973ONEpK5riOacSdTyk0Rku3Kg+/0+ABHJXUtA + Oxr/xEt+vMzOE+l0oy5noUezSEtVFQEB77r55iMrx7qcBAhVVMJ8nOoOJ1vbZ4G5VCr7aM7GG2E3 + 8lbPGrhZAAAgAElEQVRPORknTMn2xlrXdb3rs+kucid8rycxvQ/6V8c/cwfG+eec66rKOffrRkW6 + tu03vW48ftYz/+VlDzlo7y+lgKmkreRtzyKWoQoREOWcVeVF113f1NH2StbW13Wd6E5Eetv0zD6d + lNI2LmXblBHRDm9vLdiPmffs2QMglxxCkC3FhCvwd3/3d8y8UfOsTnpIN7uXVNWqqlJK465d2rNs + 40CW9+0F01XXXP2e972319QKDSGIbqVpl4C1tTVbFLMrZc7290iBcep6C/1RO37xj/3YkWNHlaBA + rCbjVeaPQwAwoIrnXfvc//1Z/1uMgRnteBwJ3WiotLm5Hg2HdYgo8qxnPetHfvgpBHRd6tfVZle7 + SdYjhyyihBD4//wPL//EJz9d9Rprh19YWLBQSTve2+TLn3NmX/9Silqe9Va/qjq3gvnFWc45584q + a8mJMQJIKVkotarmnG03bUnVRBRj3DjmGdP9l4jYKKxzMObZ5peZrcWpbVu7A0BEbDnYz40n3mK8 + m6aZXW0NwIYWOOecc84555xzzjnnnHPOOeecc84555xz7pxAVjVOAGFdv3KUlAFmZYBYA2kEAmmE + MpGK5ibEWMK3PuLbKulLl2KEUCksAAOBAYKQMkCeenP+Oncu1vB1yDnnnHPOOeecc277KaCsYAgB + UJAIkBmFAYB1UtrmtCvOuVOwa61TSiEEAOPxuK5rTAvinGy3p9c555xzzjnnnHMXLUGQ2++8rUCF + IKQhUskaqWaJrLCEYGCST6o8+5UBnk+RZGaiIIpJYC3C0sIyK2MSOYm5mwgJ5m7eEuW2i06SrY0o + 2U1FcyrpC7d97q8/82Fayh1rjNhkSep5RKKsCFAKCLG+8lufcKC5VFNmUs0KjUS02ZrXFwAhmcsZ + YQUTAJJM+cjaEShBAwBVkIA3P8Z0PXuAAICApYXlQPGUw1V3JunkHKQigbip66qqmPmkMqlbHJap + hAJwhcOHD4cQAFJZTwKYxr2DtlTg3p13hKAhUlWz8nCwNm5XtR599djnb7rljX/613+yUh3DIg+6 + LgRoQRVAIQmNEUaFxjGiYeqHaqnPY73v1r97+++865VvueXGQ/lr4BFru2cplHaFFEFiULbbfKC1 + 3Z9NjG3qPdnaudMXY4wxDgardR0XF/sptUS6tra2f//+3/7t3/7Wb/lmAmz3sXE/5iwWCNPwiaqq + Zo/M7jDzaSYGOeecc84559xFYhI+TTTLBwohvOVtb737vvtAqJqYU8FcS/csvFBVFKiJn3vNtU1V + M7OiECmRllIsDXEbx6OKSC4dAXv27LGsph/4gR949CMf+UDPV0xHHh8/CZPH9MQ22wIcWVl7xzve + YWGNRDRrz+STAq13pr33hOxtIrKhv9tIpzAXubpb5mNlbdratt22FwfqurbIqxOihZ3bYfPZyaf5 + 5JTSTiYi2zZWpDBTXdep69rhqN/v79u/99qrruK5jp2txQwDqKrKLmoAYGHDD3voQ5/znOeULlUh + RuImToLoLJfurJrfFNj9bY+1nmUqY2djre3nwx/+cHtkaxs9m9yPfOQjljgeQrC95HHPOYPlpap1 + XStNcrjbtq2q6u6777766qtvfvefReZx2wEopQCom2bTrw+srq7akYnt8s729TK2MHq93qhtf+01 + r7n1Ix8WgihASLkoQCAGYfpxECiG+N3f+Z2veMUrRqNRSbmkvLR3ry0KTDqe1l+fdf123PuSANLr + NTl1y8vLJeVX/8qvXP6QSxlouwff2sxMDp1ECchSshQQd0UE+Nl//fOHDh1a3rNnOBx2XWdfZFW9 + AGKtMf2ClC1Fv5/AVuadyVB3zjl3MZs/iyeiEIKdYtjRjvWJW0b1xqceKaVZ7rUdpVdVdQ7GPBPR + eDzOOdv+umkaImqaBoCq2olDzjnGmFLaONmaiEajkS0ca7/agfMO55xzzjnnnHPOOeecc84555xz + zjnnnHPOnS7lSRU5ElACJaJEKKwIEkgakj6VfihVkEgKkBRICJDSVUoHly65ZM9DIKKTVxJBgM7G + CpLnEbtt4auRc84555xzzjnn3PYTYBL6MQ0lslYYncu0BgiQ9dSi039xIksnAsl6ZTplTOrFyPwb + XMARA1Z5xy4sb9u21+vBK6Q455xzzjnnnHPu3KMkperuOXKHamEF0SQdQefKCk8r9lob0iQ1UglC + wiqkwgooJJCSECwpG0F5IS4w4gXQCDQL5MakUUssD3n2IABMoruPi+ue3CZ47jZ5Hdp8+9sMTdM9 + aRrteXx2uL3jLEd8y++z/YQglAtnAQC2ONJCIiQMYQCYhB+fIvb4+AU7DcOw5RBJWQhKwiisGhRB + AEiOHZbKHaOvvOPWt417a4k7RKystE3Vt4D2yepNmI/cUDrxhvWnQlUACQh92bNQ9v/Q456WV6hX + LeScKBIzhuNOKezEAj2XzH1gCsu0BpQ0UztoV5VhH5w1lG65fPn0ZQDlhbgQ0dDcqjJdi6Dn0mq/ + M5RJUIpmotDQYg+LgbhgUvZ0usGxn1sZnCkJxPHo8JBUSTmBNIDt2zrrTZhb7BffB3DeY4CnOxG2 + GwDQ+o5lsoEkAWTQrdV7qhGvaX8U9nfv/cSf/s5bf+Nz9/xNWRq1cTguo7pXqSAEIKDNCAGqyBkU + AOWu60THSVdSf+Xu8Zf+x+0fvOGtr/nTj711tHT4ftxWlofKCYCQKKBzO9DZ2ssAQRgCKqCyhS4t + 5y5aKaVSSr/fV9XhcNjv9weDwZ49S4AePHjwda/7vUc96httI86kG/RvzgewWZXtUsp8Ie9ZXe+6 + rrclmsI555xzzjnnzl+zRmZjEc6WciTQtfHotTe+DgABqc006ZAREHEIzExApMm1+8tLC89+9rNT + 7qAlt10IIcaYpChTKSWEsE1No6KqgStmTm0GNBKz4rlXXxMA6PQGgLBx0wyBCKRQxSRe1NItiVCA + 37zht4QQY7STSht2K3Ot9NipTOuTT4GJaNtjluYzrXcsafWBpuTkX7cxRouJQsW2qu/unLqLHE97 + K1S1lKKTyxrmzH0VZNrNkSUBYOxQQmqvacbjsTWylVIWFhcLlBTjwfAnf/wnFuqKgBgiRIkZW/hC + EbVtW0rhEDgEySUC1151da+qY4yqYiFzqlqFOnU7145nGweZz7WdX9iKrS36LielSaDgLFP5bH+O + pGDmQCwpf9OjH83gwEFEwpb2IwX47Gc/W1S7XCzWmnkyKoDWr/c5cXd5muq6Wl1dAbC4uNimFOvq + 3vsPP/+662758AcLkEQokK1msaq6tt3sxCswGo9FxNqXTxnArFua8g0IIZX8vve971d//TUxhpxl + 2qfEAAQqUJ32c9Ux1jG89r/8zkJTV4FFZHFxceXIEeaIcNznZZM3GxZy8qCLyRNE7IqhpYXFV//K + qyriLcyWre8xRhuxQ8wK/O2nPvWL/88vjcajhcWlomL/VUqpQpxfE85rssUv+uzP7e+5qEJJVWcr + 1QWxeJxzzp1b7NiylDJLZQ4hWL61NWiMx2MRERHbpz/Q6xCRnX2HEOxgKedc1/WOzcjp6/V6MUab + QQB1XbdtawnW4/HYIq5zzlVVbZzkXUqZHPxPw7xPeZTonHPOOeecc84555xzzjnnnHPOOeecc865 + 3SLEVhMSJEAGMqsAAmVoZImzCmAMsAqAqqpy21FGyPVD939DLyzkPKlQCiggpAKIkhUQc+5M+Wrk + nHPOOeecc845t/0YIAQgAoAWKkRKQSgorM6cghW8hTIxQtNSdQShaUEQZWjoVBCBGhwyUssgyRdy + pRC70NrKIdkV2jiDmBbnnHNbMysDagUvTii+OatS5+UwnHPOOecuVLteDv68ULi0zfiewV2RJORc + M41GiZtQ0FkWcrEcVGVWWJ1eVrCgMJSEIEFBytCYUVrJgVEToQMnvWzf5TIGKfOkvWl2Y1bG3G0W + f3AONhcVKMdAsRIlKYWkRIBUhURYlETI0qlVSZRUaNpCptMbbBEFaAWtoIGUWSVoYRRgPT30NG7T + dG1lVg4SggRWnsU5C9tUQcECFmKO1ElSEuX18yNmFpLZbT0i+uQY6e1miybHnEOymSoshUsOKlwI + JagKoqAKEmKZRlavB6nOLV4IK4JwkBBLFUvFUilYAoESo2skcCKiMAqj2/XLf/SR3/9q+dywd7SF + VgFNbCRX0Eq1ni9gf1yU9VxLqbV2xsKVRIJqhRKLlLA4OPjM771qb3f5kl6iJSqJ0rhQxzEowkU1 + kpIVLMyKwlJY7ANSksJ5ZbSSqBWMgJJVQrWVkvuYtrgCCATNYA1L/YOUa5pry2ZVVlWgEJ+Dm5Sz + RwgClUAaRUSqdnl/87CSheN80PQkFZ510993ElRMOevh8T1DPlTCKAZFCRV6syxkJZlsppRIcXF9 + AOc9TgWx7oNCEi0chLiACigQMQqVEkoJwqwgVQ5a9WiQj6bl1c8f+5vfufk17/z4H64t3D2uj3Zh + Vakj1lIKmEWRC5ihBVwAQhZkKhqlUKEKQpLrslIdu6e+4+2f/6+vuvkX33voXasH7xvHoYSSKJco + JRBVzbjLAprseRUsiAVRNGgmZD4XDyKc2zFy0u0kc8feHINAlVBULBOlqkLXdaSlCnzpwQNvesPv + P/zyh9iRPwEhENaT7Rnguu4BrEoAl1Jmbex2Zxb6ZRkbIjKLJXNbM9/TccIjs8gf6/voum43JnAy + SXYCblMyC2nbrelxzm2WBbxh+v3dgdi2C5ildumpzD/NN5LOuQubHx+aWdygXYcvJEoCCJESpKRc + VRWHmFT/6kMf/MyXPg8ACgJ0liiqKqXYbpqILI7xhS94/mLTRGgAVSGKSM451qFoRoRMMqaFdHLN + PyA6fevN3MDMIshFBUpEogVanv/caxdiFYF+M81bYgZDmUCn7uyZZUnqZJ5UVUWRAGHcdudd77/l + 1tFozBQmsaMkOu2CmTX97kBwo02Yncyqal3Xw/Gov7gwWRxEsJDKrfY/MkEBS++ehdfu4vlyCFVK + JcaYc2YKAFEIg9Hw+LBrPikB+HRl1Yc+9LJcUpe7uq5tRQ0hzKpXrL/J9sWauovWqbZyIKDiMG2d + AsdqbThWwrSJhWmyNtp6zgArkKEFWB2s2VdVconhrK+gpeRoGcxMhdCVzDGISMPVnt7idde+oALU + dqlbynomnhSOIYXmEoHlXv95115DEGIUlawS6x4hlKyRq+2fw+NJKYERCF3OYALTcDQ68Ul6wr+b + sDoaCEmX2xCIiCSX2U5kvtsXx0dEnz57jfk+5cnrqJJoyfmKb3oMJq15XNLmxicrYEcBf/X+W7OU + UMVcRGajX4RIlIhAUjQLCqJ1z0329fM3CqAAIhXJMXII1HVjIpWSYmTVUlCU8NXbv/70f/nMv/zA + h8ZZC1CAXFShIOQubeEDYKZ7Dx+qe00qhWMspcSKiyRbJlUVRHJKiQIXFREJgZgmDcj2vVOCHL+E + pwQQCAWKDJJcAGKeHD985e+/9uM/+VICUi6BAxQgAhE4gJjjZIdbMVHO//nXX/Pob3yEdF1FHIi6 + Ljd1HxylAGBSPnmdkZOmh5QBFmZhJiLNpVfVT/uRf/qMp/8LtkBtQmgipn21FgB5yg99dss5z7cG + C3DjG9/4xzffXIhj3Yy7VkQiB83Fxt5Mp9PWQVKcczvUyYSd9KVjDkUhSrZN3vKBHjELkEuhwEJ2 + KA2B6vR4w48xnHPObSNrDQghzM7lZyPZ7H8trdm64FV1tvefXVxsj8w6C6yJwO5bi8Ssj+aELvgd + YG9t6dSzPmjMdUDPJm+WYN22rd2xR2atJZb2Pf9X9isRzZbY/KI7fTZhs+aU2QcxW4yz952fBeec + O3fYRnV26mcdKJMTQBG7Y1tX344555xzzjnnnHPOOeecc84555xzmzIbpHTC4KVdnSjnzjOTK0Ct + NpFVCrXHSeYvXZlcvgrUFKWVmqqaau6qR156RSV7WEABhVSoFW1jFMmtkiZk8eH955XZoFbbtDLz + aDTa9cvE4y6/v3POOeecc84559wFa+6SaYCEheVUtUCsCN4WXnn+emlSQlU3JbeSUJYSqlBKYQoq + IC9g7pxz7iyw6h6zWhjMnFKyCiAxxlIKMxNRzjnGuLVyGM4555xzzl0YlGQtr62mFdUUBAIgACTK + qirApDgvwDTNtCadjjyjaWSpsNKkwnIACAiKmqqK66DxvI41FZKC1JaRVIiLFFVa6Vi1MDKjEJEi + KIIAQGEUgsVqHl8COygilNVGvqoSMihbpnVBpcSkk4LXD/ZzGiahbGnWABSwjG0hAeyZzMokkZRI + qFloJImIhhAYsLMhS7aGTasSSLacTLApQYiBQmp50SyBEYUyFAAHsVkISiwkDPCstvzxAY1WfVgm + zZFq/ysEIiYglcyFlKm/t393e5cujd/5vpu+eORvu2ZAPahAhUUzEYMUdFzT6GQNJ0BZiAGx5WJJ + jjHGLnVFEQKQY6N7HrP/27/nkU9sRouhVJgbNym4GM827ftuqxbrZMVWwjgNsnaA5eROPjhVZWBT + I01V7UsEMAhghIrrgGBJJ/ZSQaarB110scoKgGSyLS9Njxdt4dB0xT510OnpYhImomE6WqoWKalW + AZXqrMNBBLAmfz6zd3K7ogmxHQxCD03NuRQpBNVY1V270lShqqsimlUKiYTc0mhNV9Z05Za//LOP + fe6Wsrg27K2sjIeLB2LJInTKHqcIZUAwGZ4+7YBSBUmqO0FZGR07fOzw4U/c86WvfOnaJ7woa26a + xdJp5GpldeXAvv0l5ZwzkygLprFMpLa93e0hz86d90QEOY+Y48O+4fLX//7rrr322rvvPsyMUhSE + EKOqSlYAXddtcAWXqtrRJoBerzccDquq2t2krvOaiDCzLUArhl5KseVvhdEB2LUfIvJAySg7YP7q + PkwTaIjIK+06d16wTbptqImo67q6rh/sj5xzzrmNPODxofjxIZRQcq6qCsrD8ahZXITyH/zRm1MB + 5hvW5kbvhkBStIg2DAiuueaa1I0DiOjERujtbRDVuRhAVWXiioPG6prnXPXaN7w+jbvAUA4iAgI2 + W+aDgBCkFAFufN3v/7MffkoajkXUQlctPBLY0Tbek4eQhRBm0VPzZ8HTrKnNvb49f3aWZFVSJktv + l8znc1uqd57m5m6Lfr9PzADsTDaE4OVg3M6YJbumlIRAIYQQulJGoxEz5aI07digE1Z4gqoQUEph + JgsPVmVSOqvBqPPJyvNvJKUQ83OvuvoNb3jD0fEwEBcVilFzt6kvqpTCoZKSaBr0etVznn3pwYOz + ubee6B1Tccg5ixbwpGjUYDzaxvcvpbC1m6mSADaK+IQu4bNBNMawGKpHf+MjAVEAIARG2VynWa9X + j8fdYDy65dYPPvFx39frN1WMJWUisIKISFEImMs7POXuUkSIKHVdCMFys/bs2dN1nYgs7l1aPbaK + Uj7+yU885+qr7z1yTAi6hSuHTqWIHj16tG3bKsRSSgwhpUREMYRxzrlLIYS6rmzQgk1bYE7d2BIi + LfG6rutZXOIJZoeXda8ZDkdNr8fMa8dWXvii64+sHAMRVEMIUMoloxRwhKodfUlKIvrcq57zzKf/ + L6TKc9++B/0WPNBGwOZCitienRWv/KVfuvWDH7jr8KEClC4DQAgoJed84jbn9PzMz//r7/zuf/QN + lz+srmom1BzTuLWXYoWsT9s510OrBChkus09YQkTEYhSSjr9dQvHCUSkQJuTKilpYGZeb5T2TGvn + nHM7ZpZmTUTj8Xj//v1ra2t2PNPr9cbjMYAYo13X9kDHOQCsLxjTNood62QfDAaLi4uYi+7G9Hiy + rmtr2WuaxhoNHoiqxhhzzjbZpZQY42g02sbptP5xe5dZXzmmC9mWrfVQ++AE59w5KKVU17VtHgHM + 7jCzddCLSCnFWqR9O+acc84555xzzjnnnHPOOeecc845584lcvL4/DZ1IVZKQTVT5oPLl8qIIjfj + cRtrQEMoCCVErjlWIdYoG41CdO50eKy1c84555xzzjnn3PnEqqIAJ1S0UQA5Z4VWjKqqMBiEA5ep + RRXswmQ655y78M1fuT2fXT0f/wAgxqiqs4vAnXPOOeecuwgJYdQOVwYrygWsgIYAlULE2GrZYqvm + H2OMMbLypGLz+Um5xGW97Z4v33nP7RLawKVIIlWqqIVYgHQQBAlWNdjirgHL7cW0KDFBWWm9mjRB + AAEpWTy4NazR6fxUpWwR1JMUT2WcGAxMrGxPqHNvHx76D//Bt2kBKYfAAALFSeqwVTWehIuCAdDZ + LXMchEOhKKxIfa2aFJtUMyAUOACkpJ2CC6EQQMoqQYVV1idLg82sEgALe8jTX0EKSYSCpf4lqXQj + WjtW7h4s3PP2P//DT972wYEcrhZ6pUBzlqASJYYRFFZdeprWzmKByVjPzLBAawtLbqlrFb2ABTRl + WD906RFPedzTl3QfCwh5kresNTQwTirMfNGRaRqArA4HpRRUVvty67kVqqqYNCsTgYiqqtpahesL + EhGp2rebmKjX66Hdzte3KqVra2tEk4wTEE6qvm5lyeUijHU/rwWVfiiDtEYEAQWtFvp7pVBOXVX1 + NOhIS6e5RNYeOrQrevgDn/rvH/jkX66m+/uXxPtH92mUxYO91cHYmuVs+0fT3SAAhoBsuz2XaW1x + PqqiOTDVTSxJjqzc361+6j+9/Zef+eSrrmi+u8Gi5sElB/bcd9/hfn+hIiKFUFYuQszKQQLAp87S + ds5tRr/fHwwGTdN0XXfFFVfccMMN119//b2HjhEhhJhTjjHOol823vlajrU1yFvdVd9Zb9l81iym + +WdWB9x6N6w8ui3zkzPYdpJ94japNtmeae3ceSGEYCkFImJhALu7MXHOOXfBOPXxoQ8YnRIRUW36 + vXHXfvX2r7/n3X++wZNLUQJi4FzkmU//55dffnlUqkIoqTu7S1TXf9obhRAqpqv/1XPe8MY/6KSo + oohCFRywhczyUkCkqu95z3u+9KUvPezSy/q9Bpb0vBuYedLqOc3LDMQLvX5kLiJEpGfW/mTdbhYs + am2tEBUR3tWAEvt6zn5a1Na2YMLy8jIRTUbrETOziIBPXG2tFdGDJ92ZmfRN4PjeOSIiAjODWXI+ + evSodVTN/+Vxv0/7x1dXV0UEYUdPjk7+FjRNnUq+4opvespTnvL//cnbQwilJM3dFl5cJBNRkVJx + 6IXw3GuujTHKXCjdTn4HmRmFiVAxK1HOebCyuo2vf+zYCsAqCiJmmnRpASCQnsU5VRVV4sCPetSj + AARQZoVsuubOaNxVkXOW1//BG37wyU8ejbsmcMqpjlEJrAqAmCY9pKK22zqlSXchUIqWUgaDEZGC + aWV1LYn++btu/omf+qnV4RDWWbNNAtPnP//5pmlYtI6xG7dVrFNKFnNIFKRILsnCuqpeJAp10+v1 + WVWHwyER9ZveysqK5XjNI2UARJRSKioRGquKYhiMhv/+P7z8k5/7TCBOqgC61NlADA5BSq7qWnLJ + KQXgO77lm1/xH37xlOnSW1s3LFx8sd8fDgZVVSmwf+++V73qVc953vNDnGSaB6JiA2k2//oFOHz0 + yC/8wi/84ZvenLo2VHXXdUWlopMPIM6tTOuNyeTgCqPRyMZjbHFkkSgD48EQooASMRFBFGF9Yc8G + kzjnnHNnySzT2i5MyzkfPXq0qiobRmtR0Naru3GmNQBVtV57y2yef9mzOv2Li4vWlBdj7LrOeo5m + vUV2p21bGxX8QOHWNnd27m/dxBvP7GZZ5iszp5Rm+d92VeB4PLbH7RFMWya38d2dc+7M2Xayqqrx + eNzr9UIIdgdAXddt29qJsN3Zge2/c84555xzzjnnnHPOOeecc845d+FR1dn4+g1G2jvnzpySJBbm + DJRCpenX3/iIRyzHJZQxcYBEyYHGqEqPUt21woI4LXvp3Jb5mCrnnHPOOeecc86584xF7Jjj6v4w + SQZXyG2HhT40pxxCRVtNR3LOOec2YnXorMhpjNHKeVgNC/sJwK4Dt7Iauz29zjnnnHPO7SIZjgej + dg2LAKAAM0rReOLAL4FF/z4wmo7itIr/zMzMJ1cGP78oybHVQx/77Ic+8sVb1uhoqEtBYoUyZRIl + ATgIxRKthrKwJGYhIYB0ugzJCugCs/xpnZb8A1gny/b0Yq2hpHMhocchnSRkQyeZAP3xvic98qmP + vPwxkTlopaoll1hXjACFWrL1WY6ynqc0azDk6UIQy+cOAmFh5UICKKgUylCBTss7K0NZaLb0BGAl + AUGogERJQolL/T3tKA26IfoyoNVx/+g7br3po198nyy2daVKmrsCEEUCawkWim2L116WWXma8y0W + QE6KWY3DkaC3RDzSWvohLf/Qdz/1H1727d1hWQi8XghZA2skBSAew2CGw+Hs07c60mdokqcMVFV1 + pq91gRElBTET0WKvj2PAthXpFoCYaW0wAHBy9MhxlLGD2xa3HaRr00KvDnXI0C6HrutEuaAELp0O + EydaQBvzbYe/9rFPf+Bvv/yRQbh3XK2VOrXE8UBoRY6Nxhwh020mKVhlLhJktls8bh8WIxdIyUWL + RoqhCoAMy+rXR1+48V3/+Rnf968e++gn9qm/NpZ9+/d2owIiJQir2u4VIWg9eX1f65w7M8PhMMZI + RCKSc77yyitvuOGG573ghauro5yzlcxmnrS3bxBXzMxWydqeZucF6+ExbpMsn8bqbhPR7Fe7Y9XP + bYHbct7FSbUJsPNCq7S+QVlz59y5wzbpOWcRqevavrxd1/nZlnPOuTN06uPDctEdH56yiZiYcymq + VMVQVdWb3/zm4TRL+JStG8wciEvJAbjuBddF4pIzRM52OI99fHb2oaooAuYA+tZv+ZbHXvk9H/rY + R0WhKkQ0TUXe9FvEGEuXCvTGG2/8v3/xFTl1gXi20Fh3J4DQTr7szKuqquXl5bR6DMRFCp3xmbgi + /5gAACAASURBVFdKyRYprXes7Zr5CbD7w+Fwu15cFXv27JFSZgHegdgCLJ3bMSEEIoCoS4mYDx06 + lLNttQTrvX/rzdjMrCIA7r77bhGxXtQAesCGsLOs67pYV6nIS17y4rf9yR+Pc6LAlmS8uRcisi58 + ACLlf37KU6644oqc864MnCWFqERiBBbiJLKysjLq2m3cIN51111ahFSZ2EL1bCwxzvJW1w5+2rZd + 3rP48Idefvu990LKFi6ViZFzEQB//M533nbbbQ976OWhrkIIJaf5XW1gFou4tnefu4oHgAKWJhhC + UCVmrus651w1dYaO1lZf+9rXvuKVv1LsmXNveuaYueu6o0eP7ltaHo7apcWFNBxXIXSpq6oKNsaC + OYQQY7QJW11dLaX0ej0bzp1S6vV6D7TD7bpuae+erm1TyVXTFJUbX//6P/ijP4ockhQBiINKAUDM + UgoUqe0IYODg3uVfffV/vOTA/sHqWhVOjoXeNFLUIarqcDCo63o8HocYOfCP/PBTrrnq2b//pj8C + AELJGYoqbPFgOMb6z9733l/79de87Od/AVpWVlcP7NvXdd1sAM85e1EW2aAUPXHqbDugBBDW1tbs + QdWTnncaRCWALBBdRQCFbDFB3DnnnNsyi0+OMVoQaYyxlGKtCrOuc/u5ccxzVVWlFHuR2TN3+Hq3 + WagqAEtUtblQVWYupWzQ+WvTbM0Lsxm3C/e2Zdrqui6lqKotqLquVdXe1KbQ+qYt9Hpb3tE557bX + bJPYNI310Vumdc6ZmZumsXZ42w779c7OOeecc84555xzzjnnnHPOOefcpng9E+d2mABNv86l5IQi + kBEtNvsfc/A7VnF/y6pVIIpxmZe1vnzpEVxqknP0wge3gZOryO76ttaHVTnnnHPOOeecc86db0j4 + 5Mp6JKoIgbQoKdB1SJlD2O3WJ+eccxcsK5xhFTRSSlaZbpZp3XWdVbKYZT9YGRHnnHPOOecuQkpY + G61mysJaKFvqgM4XvaXZD+DBslGtELQNOQohTFIrzsqE75zFxWWhvEZHB72jYRFJOhaoqoQ8jbWO + MVekbMnKmdlKnPMsxZMUyEIARIkBQKOAgMgqAcWCk5Xw4D8RoI3A3quAspACYBWaD3ggAQQQ5XR/ + e1+owMIssBLnIjJLEwHAlhU9jdzeOLz8DAlJjpQJJNLG3FYpxc7qFQsTUIACEqZOAaIEQBCFQMoW + Ak5KALPCglIJgAoxoKKkhDxYXQtVlCaNmrW72i+/9eY33r7yhW6xk9gpQ0sboVxFYk0CLWCapKBO + y3wLAwyBAgrLXyZAwQoUktigFO3rQncf/sX3PO17H/3EuFrXoU9SQGW6DP0cc5oMPtW2YyIlUplu + JYgsDWWLb2B/aPUoJXuQ7QQBMt2Cq2q/38d2DwMloq7rRDMgs1SbUz/VvwjnFSEGQMzHVgfUVLFZ + GHWZK1DFQ10pzWA1Hf78bZ/62Oc/8qU7PzmqjjaXhE6TsmTRVFBaUAAzhMCwociTL+bsyGFyQHDS + +pKyMAEBUC2aVIuqFtF6cWGgh998y2/efuTvn/H4q6shhzJo6rpkCNmNWRlgIYKyeqa1c2eMmZeW + lg4fPhxCSEkAPPaxj73hhhte+tKfPnrsmBVUDYGIqJQUY8wPsAuehMRMc1JVNdif7XZY13nK6oMD + CCHM0sHtZ9M0bdta8e7Z/+7icrbJsLAc++mZ1s6dF6yr1Er8W8ls61fdOFzBOeece1CnPj68iE8L + pu3GAkCVYqwBDMfjTuQNb/wDmoZKHmfWsqcqJARc+Y/+pyuvvDKEmNouhADwFpKkT59CMc14BkhV + VQRECwsLz736mg9/7KMMKKAK2VoQZgg5pcAQwX9761tf9m/+bR1DkmxHJme1t+KUpmdVbCdWqmoz + f8n+A0dXjonqZGkf14u2OQx0XRdCkJwBCpP32p3B1HYKOTmRnE7HNsZaA9i3b18ppY61apFSiMDM + ukvz6y5s04bo9S2tsZYUAlJKJYSVlZXJ4wCm7dlTx/Vt3XHHHcxMCt7VXZcNcAVw5ZVXPulJT3rv + rbeoQjebaQ0wkahCSyQOhB9/yUtg8Ui71HQjIsysQqIFRIfuvQ/buim84447iIiYVVVUSDRg+7sQ + Th44wcxK0FxU9corr7zj5nfR5Imbm7lcpKpjSTmE8LM/93NvftObupwkl5oDAlREVEkm+2dSkBZ7 + m8kXgABlAoioaepQxbXVQS653++DZJTSF7/y9z/5Uy/92Mf/VoCqim3OUOQsx63sZ/B5pFwa4o9+ + 9GNPevzjlpp+23Y2YRVVUlBKCiEEYhGRIqlNHHlhaTGEMBqNrJFzMBgsLS2VdOr1s+71RsNR3TSD + tuVa/uqWW/7dy18eYhxPG6x5OiOBOKsQEIlFhYCf+5mfffz3PW48HtvBxsajX06Tjb6wsej9plkb + DvYdPHBk5di/+7cv+4v3vvf2u+6NMaRUMNdyfvoUEHAnRYHX/PqvP/HxT3j89z12z969465jzAeZ + q83LuT86h6fbXxEJIQjR6uoq4YwOhwLxcDCoOGgpk+FORDbGxjnnnNsx1mM7C4SedenOZ0LbwQAR + PdBRgfXLWEuaJTRjW2OhHwgz27uUUpqmsTRrG5Vnl9qJyGx6NmCvYM2AKSWb/W2c+FIKEYUQbAF2 + XWePE1GMses6m8K6rsfjsSXFOufcOaWqKtuCzXrnjW117b7tNWxHsDtT6ZxzzjnnnHPOOeecc845 + 55xzzjnn3GlgoBu3qtTQUghNllzjwHOe/FLuaw5RVAEQCsaDBgtLvD8XIOSTL6J156yT6xfproda + e6y1c84555xzzjnn3HlnvvyHZe2wohBEpK6q3HZLvT6IkLtY9cquTqpzzrkLmGU85JytPoWV1ei6 + jpm7rrOLwK1GxvxF4M4555xzzl2U5NhwhYJoKAIQQywamU6syX+aJX1VJxGqzGw1+MJ5nlORUsqS + tM6l146rNotGYQKURAhKQpojCysIAkixqszK1vbFEGBSA1oYk2RpzYpoQRQF3SYWkDIXBriwKCch + i9YGAUEmbXEgCEEJyghlFBqlgCJFVWvEuqpYAWUiFWUl7PwgPyUQWAjCXQltmARvsJUTJ2UWgNVa + GgUEMNsqqQA0qAAIk/QIKDFEhWykmVCTStOOm5VP3/Xxd374LXcNv3Z/unfPJQvdGCQgaGAQSsoK + BfNk7pUwWZIKgQSdywgHCjEIhVEIALersjcvfO83P+GHrnxqPVyo0WMJaZI5Mmke3ZYS2BeA6WKQ + ruumQSDbub5NYq07sRqaDoAlLtjmt2l6qrq9qSiqRbVYHVUKpKUQ5ptWeJJm7QHD55tCSLViAbnO + YxnG3rgsalfSyvjQ1+74zBdv/8wXv/7pI+2hspDy8njM6ViX6hqjMTigWSApSEmJEIlIlBQ0l2yv + 4FPva6xyvQCMwJONJ5ESQKBhGiQeNgeav/zCzatr7VU/9KPl2Ghfc0A4KAggViYlKBfyTYBz24OI + Dh06tLi42DRNzjIYDJqmeeLjH/eqV/3yddf/GDNEkFKy6tU5Z+ABv3xVVdkzrXF+lm+9g3Nz4Zil + AJZSbMECqKoqhDAejzENC7Ri6Jj2j+yKWQK3RZLMT7Bz7lxm22er9W+b7hDCLAzAOeec27JTHx9m + Pz6cyFJijAsLCze96U33H10J03AjnKoxT6GkCuC6F74wxjgajeqqCkRaxIbpnqWfEBUoK1FgzI0N + FpGnPvWpl/3SK+697z6BCpRBstlWSAVKCTFKygG499Cht7ztrc977o+2o+2MVd6yWQCViFxyySVf + +OpXZDs6UxQYjUZEpJPYbOx6rDUAmx57ZG1tbRvf4iGXXMo6WZgWob2NL+7c6Zjl04cQ7rv/ftsx + bbA2igiDmPTrX/96jBVyIQSRAtqdUaZVVamqpKyEn/yJn3jfrbcw8RY2R6pKQBOrnNP3/+Pvf+IT + H98OhuBdGzo7GUiQizJiVd11110BVLZvY3jnnXcSUWAuKReRAGJmBootiLNGRChwFWPXpSc96Ulv + f9efYgtbPwIipZSZKaXygQ9/6MbXve6Fz/vRGFhEAzMCo4iqqiqYoLNu9OMooa7qcdcO7j9yyWUP + adu2zUlI/+tNN73s5S+//9ixuool5XHKAOqmadt22xYE0KncdNNN//ypTws5D9bWFvt9G7mtOQOg + wG3qSsr9/kJVVRml67q6rgEMBoN+v7+4uNh1XaBTtD8rIYYwHI0ydGnP8mc+97nrX/JiMLc5c2Qp + EmOVUrLYyJxzII7MpeQK+Cc/+E+ue8ELU9taNmMI21PKppTCzL1eL+fcq+uqqo7cf//CnuWq6f2b + n/v5n/7Zn1eZxE/LljrKiVmJBEVCuO7FP/YX73n35Zc+xHLO6KRV61zOcp6MZpliZmYuqhZrzURl + 88vHuiOJaHV1lZmVGaqzpvLzJerbOefcBcC6a+2+HYpYQGkpRUTs15yzhZhu0HlqXat29Gh/qKpn + O9Papt/exeZiFqRqE9A0Tdu2s8ToDfqPbNaaphkMBrPDYBswsC3TaRnhmB5IWLMGEZUyOZ60pdd1 + nWdaO+fOTbPxP5huHruus1FY1hBt/xtCsKFWftWzc84555xzzjnnnHPOOeecc845twVn+boB59y6 + GFkzQVWLlE5W1gYH9l+Wulw05izMiJUyL2lGbpNICOwj/M9j58jFoR5r7ZxzzjnnnHPOOXf+IqhC + ARJSrmOlOQtQ2g5dBzDalnuNNyE655w7G0II07gstfIZ9qtVgLWCIFbDwmqFbFeZDOecc845585H + x9aOoIYgCyEwckagUw/NtDqz8gANOtP6+4BVjgPbcfh5jZQDhRgjailV20ILITBRIQtttaWhQQhg + ZIuXntbcZihPcj1VQAjTgDlRVrCAgACKoHya08PKAQUoUCnIDJmr7DtNk1UAoiSiEERlSpIYgcEi + EilKEeaoqtPcWQbEPu+zHcbMyrFQpKhCNUdCASVhZp3VpCYoB4lQMIIQQIxJVHdmAqlOynQpAVBi + UVat7GkljEr/6FG54/0ff89fferPhvXqqNcuH9gzGLUxLhIUeQTSUlSBEIkQFKIkalngANHkE+RJ + sjUXAlgKowsAQANc3rv8iv53/K8/cPVC2tcrfYYWKQgsiCwCEkIGMSap4RcpJQisMrUIoU3dpLo6 + wIwik9zlzW4kiAgKIqhOtjZeuO04ykAhIlZYc8f2jgQVmtQBT6lVFGtXWf/6Hhduavc93Pq8kUNJ + S/krK3+v1eodK1/52he+dGx0+K57v3ZkcE/StYKEqtbFKlPs0OQQqlBk3C4FVHXTjVM3ln6/ijGu + rY6a+riq9AoWMMCMPP/oTFWxqooqAUlBRYnAjAiEhodoofl/HPrLlXfe+8If/pmlICiFNJIEoGJl + AZSkkBKOe1/n3IPTE0NBQqDFxT6Ae++9t9/vLy7219ZWqqr5wR988o2/9zvPf/71/V4cj7OULAqe + S1w7wXymNaYJqWd7bi5gIQRb1Fbl3JZ8SimlZDXErbjtuXD5h1VXn9VYx7R2uXPuHDeJESpl1q86 + SyF1zjnnzsSpjw8vsibTWRPxrO1dLaCRqaQsoHE3/N3fuxGATNvrT4mJA9Fll17y9Kc/vW3bxX6/ + pJxzsSwfEPTs/CQmFRHrHmKCQpXAnHPed/DAs5/97P/0//4GYA22urXQ4rquxykrAOLffd2Nz3jG + Mxb7CyIFx7c27gyBEhN0MsCMmAhEqg+59NIT1tytzazlVw8Gg0me9DlwHjebEVVVaCkyHG5brDgD + l112WVVVJRcGQghaxDoTndt2x2eXzjpMp32nqjHGL3zhC/bfc9/f9U3v/Iopiq9//esAigoxo/BO + rrfznbZt2y4sLBRREf3BH3jy93zXd3/sk5+IgXLZ5AZECwNAIND1112nRZg5pa4K4Wz3Dp8SIUAB + FMu3/uIXv0wUSAu2Kdn6tttuy7nEqgZoFm0uIjvwOaaUqqqGlCc+/gm9EEclP1Aj6kaKApCidR1H + XffvX/5/MdF1L3jhuIwrxIqD0uRzo8m+Za6sj7L9qoQu5VTkId/w8PsPHw519elPf+ZlL3vZ33zy + E8NSCjBOmUAKBdC27SxY6wwpQBxUyn9/97v//qtfuWz//r3Ly8PhsIqxy0kJoY4UWBP1FhaqXu/Y + kSNcV1VVD8fjfr9f1/V4OGqaBqI4qRNYiQB0Xdc0TWEcuv/+F73kx++573ABClCKAEg5gVBVVdu2 + ZGtAyRVood9/zX/81cV+f21ltaorDlFE5o7Q1n9u9ksRQ6jrejQYVFW1srKytGd5MS4dOXYMzM+9 + 5tq3/Le3vf9DH2QgxMrGq292LZ82Dldro2FJ+aX/x0+//vdu7NUxTkbn2IHb/Gq288dQG7F1c36p + 2hgbIhKCFFldXRXIZIDS1rYBIseOHRMRtgEEqgFUpq91Lkd9O+ecu2DYybWNlSUi69WNMVroctd1 + CwsL1oazcefpfCe7HZ5VVTUajc729W6zUb52QBhCaNvWkqGXl5dXV1erqhIRS49+0JcajUZ23zqb + tnHiZ22Mk8P7uZO7qqosGvb/Z+/O4yW7ynrh/55nrbX3rjP0kHRCBmSIECKIeA2KCAqIA8gkCIhA + vIhMagCBeL3vqxfu+yoyvVeugoIKBoGIoIJCgITJCRmuXAZJmC9REDrpTN3nnKrae6+1nuf9Y1VV + VzrdnZyTc/p0d57vpz71qT7n9K5du/a41trPryy90ntuowqNMcebsoOt61pEymGiDPsBwMzl8FF+ + 4pyzoVbGGGOMMcYYY4wxxhhjjDHGGGPMbXHi1z405ngnpKoJjlMceSf1AqEXRduNh021m1Q1ZckZ + TgASxND4ct+HMbfF8XXPjDHGGGOMMcYYY4xZp9J4rwxJfe9dqBiU9U8e/gj0PZzbpNJDxhhjzGGU + +hSlDgURxRhLrQ3nXEnSmtXltExrY4wxxhhze6Ykw/EKOCuJKpgn43VINp5wTAQiKqlImzen20VE + YpZWdKxQYlQeFQVE8pmdTBI0SyJyZpR0ZBAAKTkUShAwANKDDwZobmiUEgN8a54BARKQiPpSm7ik + LzuBE3bKTnx5sDArWIlEFeK9LwG3IpJSmhZf50O+5a2v51uyOQQkmfs+DNt6patWumq1C6Pox72P + 4rKSlGQP1vIpxAmcwglmSdHKml3OHJPvkh/FsNZXa22z/+NXffiP/vZ/fODTf5MWV9uw2lM/7NeU + SZVUAHgol3hVRz6lVH6KaYVkBZSQGVKCrulgmAgrXK6W064z3J2e+pPPHLS7qrhY0SDn7AMAgfLB + cPGSLD5ZE27HJgsBKfUCEWQAxJgWlL5NDcSl9KdzjmwU+RxSOBDAKsTMUJ3bzDdhn5wpk0PMqZQC + z8dB8orZFMr9/nTdG9/5h6/6s5decvmf/N2V77ni2k98bfjF4eIN3VKfljU23RjDNndZoepypEHV + UEK72nEKS9UAHeKwW6y8E5CCphXqhaDESofdGzKAnDVnVQUxhcAcoAwheI++z71qHqSVcO1XV664 + 5CMXXxO/lfwYlJxqeSPWUrJfbu/7W2M2Q9u2AFJKy8vLTdOsra01TcMMVX3wgx/08pf/dt8nAKrw + 3h8ljiXGGEIo9VXrui6TDSEcq89xsilBs6Xqd6nQXa6zSrnbciJUujy2t7Njfk7KPG/jzBhj1qU0 + FGC6ITPzrF/VGGOM2TA7PzySSYOJSKhrcvypT3/6c1deQURZMtMRm+9UNUt+6lOfGqqqagajtgUT + eVfasfOWPatjZZr9JEMzQQEhrI2Gz3jmM8uVIdEGxwI778fDETMcU6/yxS995YovfCGrYPtyj2er + rqoyyIEA7Ny5k+Z+O/9inRMHgLZty6ZRbM58b8gs0Lo8l4SqLm5CpmnBwCm7d1c+aM6apTTm297A + HGNEJNCUc1b52te+llWZ+OjrYdky911zXUoplS3C8XZFopZUNiZS1RDCc579bELpf16H0itduZBS + vNc97vHIn3p4Oxo5ojqEbcm0xrS9C46ZXYz5qquuSrqZWU1XX72v7TuhaW8iUdnLYdplv0Wcc5Jy + aaY799xzl5aWgt9okB4BQN8nAaLIf3vxi5/57Gd1MSbJGQoineX/Mgu4nBJI6eYugxYAcUTB33hg + /zev/vYLf+2in3j4w/7l058Zp5hVyDExCzSEUBobZdKpuwnH4CRZQeO++52XvczVTZtjUhEmYWLv + M2Ec+6Sijq/eu/d9H7j80T/9mG9fvbdqmgMHDnjvlZBjGgwGh0x2lnqcRUJTt31/4fOe++nP/2sG + yDPKIA0HAMRcUsGWBguAehCgL/+dl52+55TxcDSoalLEGDdrTVDVvu9LA3jTNH3bra2sLjRN5YNT + /PeXvGSpbjy5nGLOWTd00sTO5ZyJfZf6D//9P7zy/3sV+6qMUTlBA5t1OlogpVSSL29L9rRA1tbW + Uko6tWkzaowxxtw6sxawWYpzuQQuN68559q2FZFZiOmRpkNEs0zrcvqaUqqq6th8ChGZDcNrmqa8 + WF1d9d7HGHPOzrlbHA9cerTL1DD3QTZxJsvpvaqWy4qSYD0ajVJKMcYYY+lbPymGLhtjTjYiUtc1 + gJwnbbDl6jWEULrsy0AgAOWwso2zaowxxhhjjDHGGGOMMcYYY4wxxpwc7H55Y7YOK0miEGpyPqU+ + U+IAVal8LTkH+JobhmdUTFWGJk1WH8zcdhYjYYwxxhhjjDHGGLMlDlb9IKJNLc5Tknu0xGMoSmCB + QivyGhMBQXQhK7IiKpVCPSdp234pKV7uS8f0Zmy7I9oYY46xcgv3fBXOSXG621Zm1BhjjDHGHOeI + CFao9FY7MFoRp0kTeaQEAJ5ZZVIJWUsagQKEW1NiVwTOUWzjYOcgpcTMkBP5u6DsQmLfEXpfYjM7 + +MQLWEjdKLlMXtWRwGdNBMAhJiwOMBzBsZBTKHdt3rHU5K7lg4uxpFMDNF3Ct+45E3JOdeNSggJc + qv0mOKEAx5Oq6pqhXkgEXpCjBPKaISJQFpUQAt0sZYJuS53gW00YUVXq2Pc4EG647F//9tw99zx1 + sHvPKWdICl6rOnqXKoqB1Htynp1I5rKkEEhZgIQSUZ0oSPTjzKMU2gPD6z7/5c98+sv/6z/aq7pq + mJfjGCl5EIEgQK+QEmYNEFRYRDT7SXo2ssAB5JwIkmRP3JJUDpIAIDgHgXRYlMW7Ds57ykN/8VQ9 + 00ldcdPHTF4T9ZkJ6qFMWr5WkZJsDeYTeQtYL1JWmo0cnUaGk87qRZKjlJQZkpSZ1zvMVEScd4JE + BMlaVVWpVrmpH+IEdjDz0pEjPthAfbNjohB0A30DDE2qqn3fYgAA3vucZTPyss02E8oIq8P8ba2H + GHSZ04HY6YBaKDsggxQB3jHl3EHFsVBkR56JJGmWTM45x16RNZWDnaB0AEnZLUzWNwUAAgNQMAgE + JhYAIiLl14xykCPRSkDMMcgqVr/WfvbPPrz27Ie/cEeqaxbNMYqjUDuoqNyudrbGbJEQQs6ZCKo5 + xlxVflIjlZRYH/e4x47Ho5e//BVdl3NKTBAFM4tICCHGCMA5V/5L+SemBVjnf2I2pnRtzHdzzHd/ + YBoBsn0zeJOOmPkXxpgTxWyznd/hGGOMMRtm54dFaXUvrSLzjfBKlFUS8Lo/+SPnXFaIZuhhG0tL + K4o44nPOuduHPvShKgTNAkjO2bmwpQ37NJklnn2W6S8oqSjhvHvf68orr8wCItpATKOkBEAEYAUw + TvFP3/Rn559/PhGCCxJTTqnyHoCoiAi5rW2ILMFIBHLEKOHWABOdccYZWpYCc5YMIhHZcJr3jTfe + 6JyTvnfEJapkuzaP8nmdczHGUNUq+drrbtjU6eOMM87o+34wGHTjVlJ2zpVL1xM0g9Mcz8r4/JiT + c85Xoe/7GGNVVVmEmUXhHH/uc59TQMqebZKoOnt5kKpWzu3dd823r776O848S0Qgyt6V4LTSJ5VS + IqK6rjevyavMxfz+QAAwOMbIzN6x5viYRz3yt1/60m98+1tMnPXgOFgiKrctHCkxjoCcowOe99zn + rq2tVd5XVdWNx54dpseprdgwSwhTXdd934tIVVUlS5hURSQzVc6l2P3vz3x6E8vSCLA6Hl317/9+ + z3PvQaJ1CCklD57/qmcdZBv91Df7vkgAOHhmVhVSIdUfe+hD/uKd7wpMWUlwk6jdWYPq4SkOOcT0 + Ku96z7s/+tGP/t//9f964hOfuFA3WdQ5J1mgwo6IfAn2y5rJMbMTINTNBy+//C1vueQj//D3q6M1 + AEwsSgApWEXYhbJbJgCqDAwWFh/yoAdd+v73ASCQbOhQV1VV3/cJ/Jfv/OudO3e+5MUvbpp63Pe+ + Cm3fe++z6ueuuOId73jHBy67fO+11zDwJ2984//zkpcMBgvj8biqKpIcU6cQ51xOyswCeO/bcV81 + tbDbvzb8vde89tLLLidCVmiWyXciAKDTDWE0HhEg0Af94AOe+PjHOeLALqdIRMF5RQYAnTu70Jtv + ibdsctIw/WfJNRcRUmXgvHPv/qSf/dk3//nbUsoKJWZdf7Kjr6t+NC7R40nzH77udeeff/6jHv4w + EfXMKSVIrqqKgBgjHWf3K1U+dF1XhqmwYrabCiFEFfLuy1/5CoEAZeJDtpRbo6yoe/fu7ft+x66d + qysrlfdwTKWBCzrbzMuZz6Z+OGOMMWainJY752Y/mb+DmIhmverl7Gt2NljX9SzQtOQxl/8yH2Va + LkPmO3HK2LD5t1uXMsEYYxkeMJtOidAmIiKaP1+d9UHPz9Xs6qOMEPDep5RmM1kmcvNFcduVuS0T + L1Mur0ua+PwLG09obqXZJnbz5y1939lblOGvJVd+1uxgTlZlx6WqIYTyk7ImlF1uua4vPyztxts4 + q8YYY4wxxhhjjDHGGGOMMcYYY8yJaH7UhyrKeIztnSVjTmbKFRakZa9OWHLqlKlPAFWsGztmFgAA + IABJREFUVVaGgqjSnJXEsVcpNccs2fqEMbuZruxLy+jT7Z4pi7U2xhhjjDHGGGOMOSlMM48YIFYs + xIQoaE7mNv1ZpvXstQVaG2OMMcYYY4wx5jgkrElThujB4s0AMZAP89e6jgFhJ8mATnW5dy4tV/2u + QBISc6rCaKCd7lpeitqNpespKSGzKqJkVB5dhPdQh7WRLi1RU4XVYVu7STj4pE46RKhXWm+TkbgB + Rn1uGnRjUEZFFUtAJIoukGd1AEREkcmhiTt3nL4zdimAvavhOSDkPvcaS6LwfKnudQfcbkjVkAvc + prx3/7X/cOADH+8+Kn1ebJZP2XPmzsEpZ+08/YxdZ5+2ePrSYPegaoL3nJUURAHKRF7BwqQsbR4l + bq9b+9ZXvnHFV77x+Wv2f7PNazn0w4VhcklLam/5UARACD2DlTwBk/gJTQCyoPJgQt9BooAJjjKJ + r2kcNVQI5POYqeMzFk4/fXDHp/7oM89ZPg8rtUPFVIFbYe1Tzz4AgHqAS2OoctmIBLfHyN/JvkIA + pkmAzfyY79tI9WYhAwZghRCmgcBCRAxHcLNf3XQb3+CaqSRC5Y2gmqEekPWWdzfHJQmNRgwzDWNu + s1PUDOIuRZ8BhROAyEGJlTiyQ06ZmJz3TF6FRCTn1OdUB8Z8LghhevLA0JIrwJOQAJqmBSiDZLbr + gEKBpAoFCygDoA79Pt0r4/w3H337kx58QbpxPMBiM9i5Nhw1TaNZbbdgzJYaj8dNvfCUpzyl7+PL + XvaqUkfXOee977ouxjgYDMbj8Xwla2OMMcYYY4wxh5hPtk4q//4f//GPH/1YnzOAKlQ55yyHv6ry + zqecfvnCX1EVD9C0dS9v8U388w2I829UZmBxeXFldVh+MolpzOubndJWHIKLMTODQe+59NLf+q3f + OmXHchtbEl1eWlrZvz+EUFVVznlj4ZrrNd+OWr6u3bt3O6KkmnNmZlEBaMMt3V3XpZTcNIFJddva + tUpMznwIfdu2mzVxBk7Ztav2AUDOeRKaW2LDN+s9jJkTY/Tel+i1dq3z3jdNo6oiooQ+Ze/ryy6/ + 3DtWcn06YgWNpm7aru1zrpz72Mc+9uQnPakbDisfYowlTKiEwTdNE2NcXV1tmmZLPxcRDeomq/Qp + Eig49/znPe+F//XXRaVpmrZtVbVECJcQrCNNJ7jgVHbt2vWwn/jxU3btuvH6GwKRc26rd6uzZCYi + qqqq3EzB3jEohNCltDYejfp45ZVXAgCzHuE4uF7M/M8f/9h5555bVaFtO4iGqg5NHWO/FendM33f + 1z6oIqXk6/pnHvu4d7zzXSIq02NGCTzOOZe08sN/ZTftBtXpQV+AG/fvf8Gv/9rLX/mKn3ns437+ + KU+9293uJip1XbfdqKqCqGboYHmx7+JHP/6xD33kw3/xtnesDNeGbVvexnkvWaS8ARGIJE8CnnOK + TLy0sPiGP33jlVde+b73vw/EGx7v0cfeV4EUXYyvf+MbPvCBDzzggfe/z33uc2Bt9frrr//Sl750 + xRVX7Lv2BmASNp6BS9/3/he96EWDuvbO9W27OGjWVlfruh6Pxzt277n+2n07duzs+j7UVVTJ0Pe8 + 99JX/u7/KEMCvHcxZVf53Kf52ZgtRQZe8cqX1aGKbZcoO+cgmiXzVgaEkaJru6ZpKu9//dcuetOb + /wwAE+f1Z1oTcz8eA6iqKvZt8KFP8XnPf/45d3n3d93j7lkJwOKOncOVAyJS1/X632FrDYfDuq6d + CymlnDIcE0hVV9fWOPiuj31OCnjnY063PLmbUkCJoLpv377lXTuHw2EIIeU0uZmLcJNMa7EebWOM + MdugRNWmlIiobdvdu3evra2Vk+RySg/Ae19Cpo9U7K+kRKtq3/clCXXDwbfD4XBxcXE2hXKZU1J1 + q6pSVWau63oWZX10s1junHPf9xubJWO2FxHN57uXq/5jMPRFVeu6LhsdAOfceDweDAZb/b7GGGOM + McYYY4wxxhhjjDHGGGOMMcYYsylImZVJqFQtVYKUSmJggJWYdFpzDGCI0LG5PdSc5CzW2hhjjDHG + GGOMMeaEVDItiIinFWEmhd4ITlHnjJwmURcnaX2yUr2u3FY6u7V1/gZXY4wxxhhjjDHGmONEzFEQ + iTApdqclE9UpUgkGFgIg7uBwsSPWwS3l88qLUu3uWHyArURSN3Kne9/xJ5dOO7ur1pJGjqi7RmN/ + zfXfGOb9N4yv3d/dmKTPoc2SWiRuaNRrItS1axZl2CdVLC751CWnIJ3kQAhNkz4VNE1fvsVnZWSH + SMgj7GoCj6t+Nde0uLPec6c73mW52bVjaddSs8NzYCVVDdKc4u7QuIFmkqSUhAKpKrOCJt+j0OwL + ZVZsbQqGcrsivoJ3nhrupOuo0zqPw+q3176JNXZ7QRl1rjwH56vgqtN27Kl93TRNVTVE1OfUxbZN + 3erowMr4wFq3EjmikryQs6askUJJs2ZWJgUhcWmEnOSq9gqerMbTFT4nIHOAC74Wpx3GkfVA1LqG + 9JA2L8alHXLK+afd/2E/8Ogzw130xhBHsR7UXYpRch0qpqwUaVJU3CmCICtFkFAOW7g8j286zVFW + QtZ089LnRLTecuhlJ6Naaq1vvEbnSYwVEIUQsXPOlbqHW6SEWhORTorqYy7p5jirmG5uBRERkWax + UUqddjEmCS4C7EAKdQQlEc0SoZAEOGRRzZEQnWMXApP3CPlgmV2Rg7tfQCE0DbEGgIO7iEOUhKek + IKASz/AAJZci4vWy75+/8b47fHXnQ+766G4tNYg1S47J+SCSbMUz5tbSW390EAC19yS58pz69PSn + /8LKyoE/+IM/BqA59zmXctvj8bgEscyuCIwxxhhjjDHGHEnMuV5ceMslb1sdjQD4UPXxaC0bMctC + szhuh5XzUGRJABTY6nyVw05fAGaIYGV16Bwxc0wZgK4/RJEJAPqYAWQBMa917VsvueT5Fz43OJLY + d13HzFVV9alj5sM0JB0Td/6OO3nipBmAc06SEPMGPm8xHA5zzt45KKbRVps6uxuiqqp64MCBTZzm + mWee6b0nRUqJpxFcmzh9Y+aFUHVdR45CCC74MoZ/3LaDZiFDlwZLH//U/7pxZVWd61Mkd5hNuKyd + 464NzqecwPShj3z4sY997GCwgCyh5DEzi0jbtiXybWlp6VaGvW1YlugDU4IjFslCeNITn/CKV75y + 34EDsxz6kh539OStnKMCz3/u8/accsrayurioGHmvu2Cnw0AmCg7WtqkjdV775wjohhjWVY5Z+/9 + aNwOmPssovSJT/7LOPYKiOTpnBz8dqbduesTRS597/uf86xfGq0NlwdNTomD379//8LCQpnerBNz + Y47Uu+E8iSTJXPmgwAN/6P7nnnPXL3/9KiZm73LOIjKLSD9irPXM3ByWTuhOxZO7/ob9f/iGP37d + G/54x8KO8+5xj3vd67tOP+O0nPO4a/ft2/flL3/5a1/9+mo7ZqYsqiDnPBFyzimnyc07ziHnUPnU + C1QlRQI80+v/4LUP/KH7f/5zn3VMUYSJsYFENwJcSALkyMyO8PVvfuNbf7X3zW97uwBEKMnCBDhH + TJxTJuCqq6760Ic+9OhHPrIEfq+srOzcsyePx865tZUDO3fuVFCGAiqE9773smdd+CsEEqgIsmRf + hdQdMa7+gqdccJe73CXGWNUhdr2qhsAxZtZZD9YmmF8fyhqytLCY+kiKPXv2/MLTfuGP33RxViHH + mtf3nqqT/UxKPYAuxcr5G9dWn/Ckn/3gBy4764wz+z65rhOQC8djcZ4SkDk5qRs0AGKMqjpYWiTH + //TJTyrgfehSBMDeSVrfGW6pa3XVN7/x79/8xll79ojq4uJi27aiCkUZBcWwTGtjjDHbY5Zp7b0H + kFLav39/CEFViaicIXvvU0pHybQGMBuRW150XVeSp8tk1zU/i4uLIsLM3vu+78vp+mx0WXnRdR0R + lRk7+kcrmdblM65rTow53pStEnOZ8Vs9MJWZy7ZWtuUY42Aw2MB2bYwxxhhjjDHGGGOMMcYYY4wx + xhhjjDHbg4RFGBB4UlFVgigctNQpFZCCEgCHDCQClMJ6Sh6Z7ad6MEao3IK6vfMDi7U2xhhjjDHG + GGOMOaHpNMhlvu4PKyoRSD6YHHMyCiGUm0jLjeKl/JBz7kiNbpa/YowxxhhjjDHGmO2Sc8wq6gBA + FVCADmZXl/TlklmqdMtFo0sjx6zu3omO1afW3/XU777jrjvnqovtkDIWpGEFQidV6mhtpb3h+v3X + fOPqr3/53674+jX/Z7TWDpa9UG5HPbxWHlkRY3KTlF+Wm9ZGJoDLD/SWn0WROixVoJFzwyU/rO93 + j/s/4D4/fMrSGY1bYK2degghEoRZmeG8uprrlDKEcs7qlYjY+5yzksi0UYqPyVAxVgzqOotkEWXp + ueVKRNIIioaEwAqXKWVmZWHH4H37v8UgAFRmcZK8LV3qyMHt8OTQ5dQldR5VE/o+koKVBeymi5qm + 4+JmUeKzNTx4zlkpETOTauzGEUIVFisgw4tzbXMqn/3A737og8576FnNXeON4nOoquCc62Mq2dki + wg4EAYkqSmw2AVCZbDy3Vzr5+KKqQiLTluLSSrrhZaMlDN7aVA/FBAfoZA0kYubNX0rKKJVS6bDD + TAW0rrRUcxyRTJKJxSk7Zs+g4INziTRJlpw0S89wxMoezk22RJRR5CpJOkpQhWdPOgtUFzo4MhnA + 3OpBAmWQAOX5UERgAjJrBDOCd+RUOY155dJ/+euzd59z71O/f+XG/UthZxtVNVumtTFbZzQa7dix + Y9++a3fv3p2VXvSiF41G44svfkvTVG3b930/H8FiRauNMcYYY4wxpjgk3bC0cAugBO/9cDi8+OKL + BSBMc4xKkOrh1HU9aseOOOZMUAAOyIcL1DwGCMiCEFyMmYhKprXznNNGGmdK+6JzlLMmyYt19aY3 + vek5z3wWh4qZY4xN0zBzSXjCsR1zTDpZwuecc06UPJ3hjbf4i4KAlZWV0ngrKZdIqu1q6S7vPh/b + c8MNN2zizNzxjneEKBOJKBEDEDn8uHFWCB1c4MZsTKgrQAWaUy73L7D3474jx8PVlTe96U1E1KeI + o27IzKwEBdqYLr38/b+zuuJ37kp9rLyTlJnZOVdVFRERUWkW29IPVfrcc1IXvOREiuXFxZ+/4Cmv + /L3fL39QIoePHpDMgAMWm4ULnvJz4+FIc4J3qY91XUs+YnLephiPx03TABCREoxXEscHCwvjvmsG + i2HQ/M7LXyaAgpx3aUOHkkMo4IL7p0987IovfuG8u59L3knfj7t29ymntF2LrTx6+hBGw6GSGzR1 + 23dN0zzhZx7/0le9SlRyFEwzC8tCOFojqh7mX2XRJJ2cKxCwMh7+y2f+9yc/8y+z3xIBOvtLLYey + WP4HAQB755yLffbBxa5v6hC76Ig88e//z9/7qYc/vI/RE4uoJybncpJbyN4+rJzhnA9Bs/Q5M5BV + BHABKQEE58sS0IRMwMD7mNLfvPs9j3jEI7x3OaaFhYUbrrlmaXGxbhrnwupwzVe1Cx7MH/m7j1z4 + guc751NOoapjTjnnFCPxXFy93uRo83M/97MAqiqkvi+dp23bNk0jcWvbsVW1a9vF5eVh3z7jGc94 + 89suGXb9Rk4kFCXnUkQWmsG4HaecqlDtu/66pzz159/y5jefc5c75xh9VaWUSIVwfHXUMjMzA5pS + attWCUQU6mrcdePYX3nllQrEFBXYsWvnyoED652+qnrvJaUvfvGLd3zIg/u20/EIouUGrjKAyTKt + jTHGbJdyvTC7v9h7n3Mu58alrQNAeT5KpjXmTvu99ysrKwsLC+WHt2XeyiyV1+Um6DJXqsrMOedb + 7PQnIueciLRt2/d9VVW3ZX6M2S6qOn/Xv6rmnHHb2gBvjRIwD8B7LyIhBBGxTGtjjDHGGGOMMcYY + Y4wxxhhjjDHGGGPMCURJRGfVKwUQgIXKLYQCygBYSZQmPzEnlPnqhUS0kTuMtsDxdc+MMcYYY4wx + xhhjjLlFpfrewRScaT6BUqkBxKTwkqEJVCKvt2lGt1iM0XuvqnVdxxjLvazlbu3D2u75NcYYY4wx + xhhjzO1XVgGktN2QlgfPV9GXueLORx9SRESqk3peKaVSEH/LZvxYIEWjsqg0GFXNSr3c7j61P21n + 3LPQ7a6GpzVrZy6PzjlTv/d7dv3Yw7/r6U9/8H/71Z9+2fed+ZDl8WludWEQl7hzlfJS5eMYDJAy + K7N6Vo9prC8LSPlWPlz2tSzo/h075S6ndHe98LG/+XMPfM4duruf3p+zY3zWwvCUsLZUD5cXu907 + 0p5T0um78546L3QjIQmBvedAcEIimpRESKYDASczQ1v+dQm7qBRFsyIpdexyFdgzYqealNQ7rgPX + TEGFe1HUyFWOPnYUO4oRMXMmr01TeaY8inEthh47QIMIXYk+e5aKAIZgMroRJa794GrMIlw+OfeJ + mRpyAZCUR1BpGEs+1CMsDAeD/Tvveer5T37o0x/2n356Vz6rv54GtNRUNZja1JEn732OiZSdTHK3 + hVRIgMnXyrfrEIaD65PyTZbDhqOWDwlpPlys8u3a/NJw01jrIy+ijcXMKE1h2vIvdNMMgMNFFJvj + ngcNmurU8RD9kDjVnLyMVdYEHfnkPargGu8rdlUG+ojUQXpIgmbkDFXAwweaWwH40Oz6kmNdHpg8 + U9lxTh7lSMSkcATHJKyJRHPimF1WRZYGe/ur3/3JP79Gvh5Djkq+cim3x3h5GXMym22n04fz1Haj + U07ZFWMHSQxcdNFFT37yE9u2D8GJSCm9LSK+CkfKYDPGGGOMMcYYcxDzu/72b/avrgJQaFZ4549y + U3cWAaDEpT1FQeR8AlQh2/EAEGMGkJIwAYoc5dCGoFuhtFxWlc+i7B0Io67/5rf3/v0//kNMKac0 + qBsRGY/Hxz4baTYKmhXfcdbZDBAOhk7pRu/AJ2D//v1lzPB8pPS2mDWwz2Ktr7/+et682bnLne5c + xk7jZhHaxmy6UTt2zjE7yeqrqh407D17VzW1c+6DH/zgW//ibSWvGjQdtH+4vZaopJzAYEfjtn/t + H72ul1wPGudc0zQlhW6yHzgmXVQl0UqRVRKDgnN93z396U8fNHUIoaRZlyS5EnR3pOkI8KxffMbS + wqL3fjAYQNQxVKbteJNG+81X8vBijM65kpOnql3sM9RXYWW49po/eO2XvvoVBRQ02bMSoDy7A2Vj + +ph9Xf3W77yUvVtdG8UkzLw2XDvc38ptLIsz30GWYvTeO09936oqgx77mEdXnp2jEldW7iWJMR59 + 5aG5x8E3AnQ6u6UvJWlOkAxkgJmYXVIIQOyInShkcqQFETExE0vKseuhGaJ1qGIXHVAxve61r3nS + E58wHq4NqjA75sYUnXO6gQM8gJxltj4S9zkDyGnyqXIWEQWDvSPmPmUAf/ued+/du7dtW2bu+37X + rl2j8TjG2MWevYd38OHv/vGffunC5x5YW+lzcj60fZdz9t5DoVmmYwIOLrfy1R44cGBxcaEcf4kU + kPItCEE29aCkBJ31f5HkPg4GgxRjjum8e9xjcXERND37WacYo3PkHI3aMYgE6GMfRT575RW/+oIX + fGvvt7sYyXGSzL463oYnjMfj8jWFEHwVmqYJIXQxVk3N3v3uq1/tHDsfAAyHw428ASFJVuC3X/rS + 0o3tnAt1BSZyB/ckqkoKPlnvXjPGGHMcK1cQswDpch5YcnPLsC7nXBmFVc4YjzQRACKSc96xYweA + lNJRzv+PhJljjOUsva7r2aWN9768+y1eWRSlWaPMTwnitUxrc+IqTbKzHPcQAm5zbPytUSLky+vZ + trnVb2qMMcYYY4wxxhhjjDHGGGOMMcYYY4wxmyUTeudb73tHvUNmyZwzJ3GdcJd8F30Xfd8zR64y + QqbqNt4uZLbF/D2hx0O9R1uHjDHGGGOMMcYYY05YSvOZ1kKlhg5KRAFIwJIPXxLqZBBCKNFNKaVZ + cDUz6xFs9/waY4wxxhhjjDHmdktu0jShIKJSKpqUdS6p9NZX9VVFaRXB8TEC6baR4FEx+US+5UEM + lVQcmZIjqdHXGDa8shDWdiy0e/bQXe/Y3OtxP/qfv++cB+ykPaGrlngxtRLHaXlxGhqtTOKhXMI+ + J0unFAq/Fc+sPg/9Mvbs4bNe9Au/cdelezYrO++8eO5yPLUaDap+oZHFhhYbGgSptOV+NXJ2nJnV + k3iCExFmFp1ELyupkrLOIreZtnLYnzCSiDK8BzNyRowiMbNgqamCq5ARu77rxil3wpGDjNOwx1hc + 5ppcReoQNY1j3/adEuo6VN4F4kBcEzfEVWIvcIJpk+R01dUjlKcXgYOGlCgioGl8pU5vRH1g557u + jj92j0c/+Ud+8T5n3m/Q72x0oebQxbZNXZdTUhCzSNKYFn3jxJN6ASuJUgaUlZz4rVuYJw4BUCpw + zoVkgLTELa9vfTv43wmqKiIn/k5m800Cp1WxqUMwJ4cDnpRSnX8XcxJw4txafe+zz7/P2d9/3zvd + //yz7n+fPff7nl33/d5TfuC8he89x9/zzHTOjrU7DA7sHgx3L7Q7FtNgQZYGuthg0MBVjIrhCEw6 + iQkosRPq5/a9PA3GmKZEkIBmz4fOEiWoQFngE5wIJRJlQYyxXgpfvubKD37mfWk5tr5VZE/1sVlQ + xtw+hRBmgVtt26rmpml+4zd+4/GPf1yMuVT1LTchHIPavsYYY4wxxhhzgppv/Igxvv71r6+CJ4aC + nXMpJzlyS1vOuaprAM45BQSaoM777WqbqyoPwHvGXDhj+ee6lGvIEh4jOYNZgazy+te/fjAYVKHJ + OeecS7zTps39epACwNLS0ul7TgPgaBMaXK+99toylhjTz77tZov3uuuu28QW37vd7W4QIUVpTy5N + BxZrbbbI4uKiiHR9n1S62K+uro7aMRG1bfuPH/3oi37tIgdKOQGA6tFjZeumgSKLZuDVv/fat//l + O/qUYox935d45qZpcs5d15XM6S1VOtxDCCXvqiQln3322RdccEGZmZKHh7kN+bA88TOe8QwRkZhI + QUTe+1lq19Yp6X2ztxuPx865wWDQxR7Ml3/wAy/+7y9pYydAqCoR2bTAWYIC77v88l/7L/9lcXFx + YXlJVGdRgptuNqCiZBM656Ba0nPPOeecn3/qBZq1NLHGGMueX1WP1JRKAE8fN/kpgRwrEzELNAPs + vAuVgohdFI2SvfPsfJacJJd3nO2BRWT+oJNTyrEnYKmpL37jnz7mUY9Kfb9zeXk4HA7X1oJzoqJA + 1ax/oSmYS8Z8zlkBiIpzrLNzBp6eFRFkEnCtzgUF3v72t3sfiKhpmhjjjh07XPBdjGBq2/7S9773 + mc959r7rr+UQiLlPsaz25W6dw2aBA1DgLZe8dTQaLSwsZFXnXEqJmTc3MW5+XI3SpGesZM+nPi4u + Lu7du3d1dRVlO13nel4+FE+3cSJy7EJVN3WjwIf/8R+eesF/vubafeOubxYXxl27WR9qsywtLaWU + +r5XQoxxOB7FnJi5bdsLL7zwxpUDKQsRgZBTIu/Xt3wIZdxAPag/e+UVr3zlK5d2LHcx5pzLyIRD + erTtPMQYY8wxVs76yoVDjDHn7L1X1ZRSOT0rGdLlhPkoTQSzicz+npk3cD2iqvORvbMplGuNcsJc + LhOOHlM9i9kuB9wYY4zRhpCZE1oIoazGw+GwpNEfqQ7AZum6LsbYtpMT+HKmepw0FRpjjDHGGGOM + McYYY4wxxhhjjDHGGGPMrZEYeVabVNkJOWEWf3Dg/qT+GAGexUoSnWAOuQVjVkBye1lZT2OMMcYY + Y4wxxpgTEin4phU/lABwpqwEJQG0xFpvYUjOdis3kZYbvEtzm91WaowxxhhjjDHGmOMQESmXWq6l + PvIkfXbWuqMEAdwtDSVS1fnY4FIodmtm+RgiGcUD3nti59k5kOY+E1AxORYlFmLloIQEkG/84tk7 + znn4Dz12eXn57z/9/nHXLTQuclYBCNCSJA0AUAaJEvKkgUxuzbNPWHDNnnr3kx/5ZD9yO3S5yg06 + pBjrxToi9tImyVG5oqoKla9qgXBgVUJWEsrIznOWSab1MVqMM4qkYEfEFUHYR85gJUeu6zIxEQkH + 9QFKSRlJUTWAIOcU+6QEZnLBOfKl8HaXowgc4AkMqMJDfEYmURah2SrJooyDrZECiBIA8TUJtUlV + PUQ1d6mJS7tpz3eedu8H3fvHv/uO9w2xyTdCc+5pNBgMNEYh9r4BWHMiUc6KpJwDmDOLkoASCZdM + a8FRskhuR5xz05rRIC651BuvGV3+qwhyztboOk9VqZSnn/5zKwaCEhGTnyVbz/1CD5Zsp2mwsTlB + +BTO8mc+5X4XaBi7BgIkUYfaOScxEVFSGfaj61dvuPrGb3zr+q/uW/v2V66+KnKfeISKgh+pQ1aI + wDGARKi07HTVQ2d7XRw88hD45ivnfAa2KrKKy3CQABWwIKjXSE5dWG4++oWPf+ed732vPeeHA2cM + sNBpq7TlIRzGnLToaMfT1PdElFUHzWJd03g8HizuqKrqaU972l//9TtjjCGEUtW3a1ti1mxHZ2OM + McYYY4w5mk996lP/+oUvCU2aj733fVbHlG/S2nmwbU1FSlsoO+erkGLMKsjb1vbc9wmA9z6lHgom + qCKndV8MEoEJKQk5VhEATdPEtv2nj/3z5z//+e/77u9ZWx2G4L33feo2N35ynfNJ55577revu3bS + HK2TAMUNTEqBffv2leHEzCwiRDTfKLYtiEhURXX//v2beEl/3rnnlmSsWaJk6X/cvHcw5qCUUowx + VBUH36d+cXGRvBuNRu/4q7/61YsuApAABbng5ndWh+2p6toWhKoKsY0EPO+FF33hiiv/39/8bzsX + l3LOMcayOyrJczHGzf0gpfty/nNVVcWKDDBzjD05Nx6Onv3sZ//pm9/SdV35sxJ5KbwLAAAgAElE + QVRud5TJ/tzPPmnPqbsDu6yZiLquS4ymaSQmYFo+Zgt0XafTQO6cc0njW1lbDU3zsle88lWv/l1m + 9sRg7vqemFU3ZyfEzrVd39ThT9508bVXX/OaV//PhbrasuPI3BpFJCKOnDKLUhZh757znOe8/V3v + uv7AyiG5g0f/yg6LiFREoOyd5BxzQmbnfMqTm3JiFiIlZqjGGGdfLIPKSA4qQ0EgDlDF8qB+21v/ + /Ad/4PtJtWma0XBYB7/Q1Dlnx06h49FoA4c8ydmHIDkzKDg/SZQHnKOsOl1gk9OgEIJmiTne7c53 + OeOsM4ko59wnmSTJEbwPmfCe9176whe9aLVtBcgp+hAkKYgqF/q+55ttzeVNynxf+r73X3bZZY/8 + qUeMx+OwMHDODYfD3bt3T7agSdv4xlcPnXtzmev8EpFu1C0sLK6Ox695zWv6mFzwef1x8s5RzpqS + ACiB3Cml1GcCHIiAz372s4/+6cf8wWtee/755y8MFqTvN/xZtkJWySrBe+eciIRQAbhxdeW5z//V + v33/+51zMecu9uWEUjfQ9c+MnEfjLhBe8bu/Ox4OX/ybv1lSNmf55bMzEDsPMcYYc4xNRuESldfO + uRij956IiKjv+4WFhXK0OvqVxezUsZxUlwuTqqrWO/pu9vdlgs65ruuapgGwvLy8uroaQhCRnHN/ + 1DOK0qBRsrFzziUq25gTVDlNFZEQQt/3JdO9DIPZ0vetqiql1DRNzrkE1aeUNhBXb4wxxhhjjDHG + GGOMMcYYY4wxxhhjjDHbREAZIJbKKXsJjACphVg4Zc7ZdVAmcaxwiIAIHabemDHrYjUNjTHGGGOM + McYYY05cetMC6AqaVD5SMG5DZskJQVWrqip1T0rhuW2sqWeMMcYYY4wxxhhzFCX/lJVJwcJEjiGg + pCRQkMIJnIJRgpBFASEQQNO8UmUpDUGsUIUqEuVY/v4ELwurJK7ycKSaASYiAZImIck5iSSCOBAA + EdEsQfzo2u6UcMb97/4j977TfX1qUisNu1wKDxJAqbSS3WJTkdJNHgUrhVH1Y+c/bLc7dVe1G72W + TPIQQo4Jot77EIL3XkgiUtI+xggwK4QwKdrLPKt1SEqkx7SljgBV7VIXY3TZeXWSNOdElIGkKgoV + VVGIQAEVkMA5+IDgQaQiKeYokkUyGC4QeUqEWLLCVUiFIbPkVCXopJw0CxiY1JKmsnonQqe10BLX + dV834+Xv2vOfHveAC5728F++157vr1aWw2iwgMWBX3DOtXEEhyRJRGKMfd+HEOq6LgsZygAThFQn + tbKVb3exvgfbhHkaB8CkTERgFWSl0nI8W+/WV5k6aym5DicAIYuokhALiQCswjq/vWzGJzqhTHa5 + rApkVVUq+/DJb2+6S9lYrj0pCMEhMBzAs2+QlMt0ddszWMyGOOV+f75DfcYuOX1hbdfi2u6dw9N3 + DE9dPLBnx/AOO4Z32DU68w75TvfccZ8H3f0nnnj/p/3iTz7vN5760l/48QsfdM5PfYc7b2l4h3pt + cZDqRXZOysYvoDS3jXPZP5fXs/el6aooBCEIAwRSOEXtPCtUoEBUJEXOmrOSspL01I396t999rIh + 35Cq3KXISpMzE5KbBfTyTQ68h/kDY273yuYz/3xTdV2rqmjq+57Z55yvu+66F7zgBeXIE2MsxyB2 + biOxE8YYY4wxxhhzMjqk/a38SwmZ6A1/+sbSjEaOQdJ1HUFLZPJh29aIOacEIsk5xQhgezNOmJmI + 2nYScaQKPuyg4FvqfMhAFjCzioAIIm3XEjsCv+XPL1nrxs3CQAkpJcfMx2TUMelNwinL65T7O9/5 + zgxAJ9mvGxsPXC6YV1bWVFWyzqdbbUubauklKVRVoKPRaO7Xh/z5+q73CTjzzDNLxhWmbddHCtwq + i/XY9laZE8wh3aaTB2jyDBImYRJH49j3Kfc5XXb5B37m8U94/kUXKcDECig0x2mr9RG2OnYOzFD0 + XSy9fQq88eKLH/gjP/Kmt75lrW3VcZezC9750MX+4Dwc8nyzTt5b8xlvLoTAzF2aRNw5YgYGdX3W + GWc+6hGPJMCzq0N1pIBkmj4u/OXnIEsJyuq6rq7rqqq6rist87fdod/I9Nn54KtA7LKK86FaGOw/ + sPLRT3ziR370oa9+ze8LEEX6nLrYu9KuuEmdTJIzMXddZKZ3X/a++/7g/S5+65tvXFvJhEwoPQSZ + yjfFSqyTPeLkAeDox7BDvqxJZDSgTBmKaUygZ84xfeddz7nohS8iIKdU+TBpR93YcSRnME9eELng + mTnnTFMAdApzy3I6g1AoVLyCFKft3PFXf/GOH/rB+wXnHVE3HlfezyLSS7TbJNN6nSsJMaeUAAg0 + iQiQklTB5ayz4w6pEsBKGuOjfuJhf/22v/jEP3/sCT/z+BKC7uqGQuXqBj5cfd11v/wrFz7rl39p + ZTwSFccORCnG8lH7vnfOpZxAjOmxav6IVV7/4jOf9ZZLLlneuXPY9a5uBkvLq6OxTPYMrNMuJLrl + k5ejEUDBmZCJhTgzfF3fcGD/b7/0ZW944xvLOgCseyXPWZnLNw8RSSmVw2td1RkKok7l69/4j596 + zE8/55d+5VOf/Uwmmn/M75fmzdb4zXKk/XOXE1dBnRv1fQ89MBz+2SWX/PCDHvzu97+fgJgzyhmC + Kjs3GSOyLiIAvGcACvz+H/3RA374h//yXe/scu4lJ0CYhDkTMpCB9W7vxhhjzG1Rrvpzzjnn0pLj + nCv51qrqnGvbtuTplqzro0+tTKGc+C0tLW14XG5JpC5v1zRNebG6uuq9jzGW5Oyjn6+KCDOnlMqc + AxiPxxubGWO2XVmfvfciUjKtj1lY+2xXUM7zyzwcg/c1xhhjjDHGGGOMMcYYY4wxxhhjjDHGmM00 + K+SlTMqszOJ9dlUKXpwTODlYsNScQA4Z2jq7X2l7beed1cYYY4wxxhhjjDEnMQLhyAXCbhuGQnma + TEACJUCJRFS9Ogen7AEPhduCtz9OlGVbni3Q2hhjtouqeu9LfTRMa6rOl/DbmkOhMcYYY4zZfqqT + iqxEdBwMgDne1ZVnhYjzzASWvs8++kA9oAQWTxCnAiCTZJrkTZKWxDtWFiUhhVNiRWYIQ8CjYRq2 + wx182okdcKosJFBlZQBZS1tPBYEDHBiAas6YxGWq6sA31OIOevbDv/fRn/+3zywMct+tBc6pLE8q + oZ6sYFLGLH2ZgGkKr5TcX6JSs9d7n1MUgffwMZwVvvPep//Asu5IY0cqvpI+to5DqWhGiZQcIKys + JBlA4KyJACUIANJJpWOFQHiWTU6Timi6xUmfOcM7JMVChaobUELPa+IBmoReKCACEgRiZYJkBTQB + QKnXTEQMSD64cStBlYVUFOAStMuiIhlKAINIUpYqeFLkPgGoAhORRKmlDlLJEEEX73bmPe/7XQ+8 + x1nfvdOdVo8bn2uvjhQiWVmZmBCQyZFDTg7sPOecBUAICQIFqwi4LH8hOQbL83ijJFBm9aIQ15OS + E+/ELTaLIlL2yY5IEojAWF+tfCUkBw+tBK6klStBXRZSD4a6LKyIDACssxTb28tXICTkWChBc1JR + dimrV+eUZFpxXgmJQIDLACZr6ToQiEg7t2thD0WXUqq4BuDEA6IEIWRikJCCoSC53SW7n7AU8LUf + db2SA5rSw6HKIAFBoQo4OMniuorJ17q8W/k7lu92/+9/yJhWv7T3ik9+6Z++cvXnV+XasNBH1yYk + mZaCZ1DOqoK6ckl823eO0VREUM0gcAYriZKUDB+GkEKyEIEJonAlsZ0ZSpmIWaMOg+v/be8Xr/y3 + z+08+06Um5DJk8+uT5qJnAMkIyXx3kvZGxBYhSAocQ62fhoznzE/zV05+HyQeO/btvXeT9O2aG1t + 7WlPe/pXv/p/5qYjKHkqR1WqbE/PCpSZrSzvSWmWzXY83JFijLlFJbOqruuu68r4llLA3XbRGxZC + iDGWRQqgqqq+78tesfxq9pe2qzTGnMQO2cWVHSDRLPbx9oJUyuClnDRDlSipfvuaa9916XulLI3J + AVcml2Vg52iahUlJMgAQVOVg6J4ygNRnlLbw7TB/nlDmPAswHTEMAEwQ4hCkj1RSqw8GBh5M7y4/ + FS0Rs1oml/X/Z+/O4yW5rjrB/86590Zkvq20Wbtk2fKCJS9jS3hj8cJqvHdP04CNEcJueYceGprN + NEyDlw/T8+k/GJrGgIEWCLDF6h4zBrvxB4ONMd6wZWwZISNZS9lSbe+9zIi455z540ZG5Xu1uLJU + pSrVO1/V5ynzvVwiY7kReW/E+QmAX7/xt37ip34y1TG3wqAxx5wzUTipycd0cMRkmLMGApk98oqH + 9+mwBABqGce1OhPhrrvvzqrjUZ2nTSqhoXzwfU9guuRXmRJDJM6SDaDIAjWiu+65V7evVXP3qF9y + 8wuhjJupaUwp5wwtK3wex/Twyy6H2hDoDqIhqXJ76LufwecOQ0MI07apqsqMchmMZaay1QAAmKNB + VJBNAwdL6b79Bz73uc+9973vfc+fv+cLt96WZ4/M1t+iWWNTGLZ3EWsufy4NLJdmujV84c47X/PD + P/yzb3nrc57zrOc97/lPftITzzvv/LpO0ikRAkcz0TJwRcyMTKqqpFanqmuayIFBXdeFFLF1nS+b + wxD2S4b5XjsDshiHBKCkF8MgOY+YX/+qV/3JH9xMprkT6qd5+GxatkQGCHj+t33Lox55ZTBTyZjl + ZomBQzq0yTl6K1TOvy3fIkvgVoxxY7IZq1ph5YRc5gjSrBDpmDkwi9l9X/nyZz7z2ff/5fv+4r3v + +/ztt0nfuPQxtJjlQG918K8LUgCmSoRODcA9+/b8+M/+7Jt+/udf/IIXPuMZz/jGb/zGyy69GGDN + HTMzsxmJSB1T27aBeZRSM2mrekuimM3NGhrOR5nfd5S7zFlBHAFALTJrl2/4gVfe/Pvv/PRnb+ly + RwAIWoaU5z+eoXTA9qPPc6+55dYQ+msm2p8abcP5Bv1oez8sSMxkfdUYLl2zZmUFPG/Xrj9417se + ++jHBAOpqmhdVVkUCggC0HUtYS4Qe1tDXXYHRCGEcoZ25MTMXe4MMFMwQQFm0QxC4NB1EspqqgAQ + gEsuvvBfv/R/v+4V33vFRZfFcvxDnLtsRJmpC/Shv/vo7/ze7/7BH/3h+sZm4FAWrPX5zLMTC0Ai + BrAYCDyk0c+vDyXN/o0/+qO/+Ts3Xf/93//sZz/7rLPWxkvjyWS6trI82ZiMqtROGwaVtXrRvVLk + MJ1OQ50UJmYxpU7VzO7/yn3vfOfNN954463/fJsCRFxOZ8AhLc/RGXS2TvRPLB952nYA577RUADv + /NM/uflP/+QpT3ryNz/n2d/03Oc++tGPPvfcc0VyN+1SFcgQmbW0JKqBuN+c59bnY5G1G41Gpccj + harrumpUi0iJsi4ncXCIDO1aaZrm7q/svufee2+//fZbb731E5/4xKc+9al96wfmV3IG1IwAy0KH + a5mPOnf6UT/JWmYEAbfcfvsNP/hDP/qTb7ryUY+45ilf+8QnPf4RD3/khReef95556+uruacA3Fu + 2pRSlVI72YycDMIgzNrnrdv7ApPjnHPObVMuWAvh4CXU81cZE1EZi0nlC/WsAw3AML4wP6ZQHjP8 + LFG45S3MrIRVY5alPdzoui6lVPb7w+tUVVUG7g99fWw9OGfmcjw5vGb5OiAiOefyccxsPB4Pj3Hu + oWXYKocb89vsSTVsMsM7eiEC55xzzjnnnHPOOeecc84555xzzrnjNlzPSEQwI/KTMZw72bgE0Rip + sIKUTYlBxmyAARKVYFArVekQbccUAzwz9FedqGGW8tC2LRFObXkMj7V2zjnnnHPOOeece6hSQok7 + ImMj7Ut8kMKoLzVizIeUuHHOOedOiFJZg2aGfOsQAjMP1d/KcEhVVad6ep1zzjnnnDuVYoxkbKpm + lqjkBovCStX+oDGYBmp1Fom6tT9nlpowZF0DVsKtIU3XajB+qJd53RbsN7s7/9vhI5KBhdh4pCvn + jc6/8tLHffr+v6OYDC0ONyNKsjVmgdZlBrKVrF8uYXCqICMiY0PU8KgLH3NWOD+WFF8mIRNS045R + Spvx8D7aR2WXcGUMPwe89aM9CAHMbDyOMUZqc9NNUHUreVPT2ChK004RYIGIiIOZwjQiGwct86U/ + j03QmamifJNTg5mV+UccCSpqSkZETAFQMyt9kKNRPdlsSDBK48R1bsSyjDHiTT5/9eKrnvCkax/3 + jIt3XUHTJW6qlbxqmYIyAA0CqJIamRJYh7OV+9k1V9J4WJSzMus7LNO6/7ykpBhClGFMxilUTFQi + yRlBzEC2UKb17C3IzMgAAxuIqFMB0VzKCDAUjredF4ZBqgCZKZkSshoZkQ1pIwCgdHAtnbU2izCr + Qk0WAJ71upTXYTKA1IgBEMkOiyg6E/RL7JCk5/klycYQBkDGQQMzN+tNNjz+/Kd+zeVX37nvn2+9 + 59Mf+Nh779+8txrnsMQb7XpW5ToSCUNFRcRGVR0CaW4lWwiltVCaS8RRmt/JMpkOiT4KGERgFI2D + SDv9+898+AmXfH2IS5wTKQlK6gKIwBRSSqUYf9mR9vvcnRN379xC5jOtS6r98BezqqomTZNinS2r + 2vXXv/LWW29d9B2GKGsM8TkiHpt6JllZWVlfXy+3S0HzbemtzrnTU8knaJqmNM6qOsQwn+pJe0gq + KREhhJI5Mbs8r78WukS6ElFJqih3T/UkO+fcSWFmpaEr4TflsNDMdtoJo0QE0hLz0zVSj0cG+vV3 + vMOGSGTCtm40ESvZRaJSxdTlzgzggzOujNIczD+lviP0lP8EYLDIgWNouxYxatf1mdwzW7plDgZ1 + ozwZw/8DTdvmxt/77Vdddz3HSKKaJRDnE7p0jl1kfsLVV/WTZwCBaH7cYgFqyCYbG5NqNWDrYcCD + 35vdx1/NJoOI9uzd+1U+0mxZl+47nb0OCDlnlHSrnBn4msc95uRNudsJSnxyFZOqZkVd1/d+efdP + vOmn2qZTWBmhKN9fuq7rct5931fuvveeL3/5K1tigglk28eP5trdo9cnKn9lAwR979WXvvLlG9/5 + rt9+57sAnL2yeu6551580UVVVY3rUVVVZZdHagIxwjd8w9e//GUvKx1fRMTEdV1nPTS8eWEMqOqT + Hn/1s5/59R/4mw8ySGEwK/1sMUbVLDknJlUD8IbXvf4446EPUdqNkp9XPlrTNHfffffPvPmtMmvt + y3ccURWRvXv37t27d/fu3Xv27ilteGROddU17WLJtQsZ9i8EEwAQoFHJYr9787tuuvldAMZVdf75 + 51960cVra2tEFOsRM9cxSZdVujrEr33KNdddd12VjifMbFtsOZNZ1/3Ob//20572NG2mdZUmbRcj + Z1Fi7tdJVQA5ZyIqpzof+dUXmRJVAwKHFGLTNmTGHALh8gsv/L2bbnrUI6+sY2qbKYdQp6rLmeio + Z3bY9it/zKzPtI4xZ4EKg0IMWTLFaG0H1VRVqqpdH7JOhorwdc945vd93/d903Oes2vXLsoaQJaF + iUJK7/7Td//1Rz780b//+3/4x1sObE76nHlmBQukfKqjzxvb/ks26GhpWbr8kU98/CM/+PEqVRde + eP4jr3jEpRdfuLq88oTHXfXy73lZjJFBfXj8gkRktDT+h8/e8vbf+PX77t/TmuzZu/eee+657bbb + dZYwDiBb3xosfvhwtI1lW4MmwEc/+fFPffoffuG//tfI4ZJLLnrE5Q+/+KKLzj/vYVc/7rEvffFL + RqlSEZiB0K9sYbGNsaqqSdvwLOQyxjiZTN7ytrd98jOf6SRvTprNzc3Nzc3JZLKxvr4xnQggs4PA + 4WeISXKnh/z+AQ4VDE8X4P71A/d/4lMf+9Q/qPazPBJVVXXuuQ8766yz6piWRuO11eXlenTDK1/1 + 9Kc+Te1UHWk655zboUoKdTkCnE6nZ5999vr6eulAG41G0+kUQIyxhFIfaby1qqrJZBJjHDKtc84x + RgAbGxvLy8vYGq1djuerqiqj9nVdH+3IczamPwRaY5a3XW6XNxqitX2wwznnnHPOOeecc84555xz + zjnnnHPOOeec21FmJw6W4GozA1lmY2h/WRYAwJTUCF7xy50QHmvtnHPOOeecc84555xzzrmFpZSq + qhKRUr+j1M5gZhEJIXRdl3NOKZU0jvLLUz3JzjnnnHPOnTIpVH2gjpmQUGAjUUVJSe7DrfuQ2Pnn + 6fb6uUw2lPE3U2B9c7+tqRLxIRmZZzCiYGIMXhmvXPXYqz75gb+lCKjNJ+zOFbM+4ml2Q+KRqgbq + ywPC+LJLLosUc86R5yoDHr72cXnx02vmN+stjapxwlnLD3v6Jc89sLu5c8/t9+29J6TcUe64k9Ap + txpMQ4aS5UAAkRERBSa2YIYAMQFARgorcaUENaBTJSqPBwAYGMZKedqNMB6FlShVs88qTZdccOmV + lz72CZc+4cKzLlsbnSWblu8Ly3E1Wd1Mc81RScFqpEJmpMrKythh6/MJQAqgTqMy38pyCWRiNtw9 + bsbW5pbD9vzwnVwmk+ZaA9F85PL2h7Thx/LiBFMajUblLnPJStjSqTKEmuzEWPGdRFin1KRRRayx + NSjGee0xy4+/9IqHP/PKZ//dP/7Nhz79l7u/cufqSjpgBzY32rQEYrQZddBA1k6kqqq0QgfWJ1Wl + bAjWr60GZAYZgh5+FQ0hCNqcEUnCyG6/6/NfuPPTT770bMurJpGIImKJMwGIQznhHTTXdPsZ7s4d + uguYtdiKw+xGedJsrq6u1nXdti1zfP3rX//Rj36MaeH9iJmVGtylQnf5ZSnGvehLudNQCGF9fb3k + J5XoqaWlpc3NzVM9Xc65r66EGJXhVAAl0xqziAK3qJLxICIxxhJuXVVVznmIdy2Z1iX9euj8cc65 + M8/Q0KlqSbw7SgbPmS13WqWkqiFFNdu7b99NN91kh+u16ztMzcpOGQCZMJBiaLLMd/vNfx8rWeGn + w08AdaqmXRsoAoBI+ThzE6vH2C0jYgzceOONr/july2nikEq2VTBp+aSczN70pOeFGadqmLHE2iN + PpLcDLj//vvXlsaRS585naqjgT6bisioRLDTPffc80BeDoBZH9l79eOuOiET6XYsJmq6rqpGTAhk + neSmy+/8wz8u24uWLqwyNAgACEydGgNGYC6J17M2av51qQ+nn73M4pNFJFkB3L9+YO/GgS988XbM + xSjPW1oa/8D112uby36waRsAIZ2YdowMq8vLN9xwwwf+5oNMTDbMCeScmUEgUSPgmU+99in/25NP + xtBmidBu2/ae3bv/5H/+ad6aelxmbijLwg7+RlTRtIZ+APGkMgDcrwFq0KxMAGCGSdt+8c47/+XO + O8vDhjF1Anj2pFe/7rXSNgDKSPwDaaxHo9F5IfzSL/3iq274d9O2C0DOGquQO8HsAICYTNXMzBYe + XjvipBmISEUakXFVt23DsGuffM1Nv/WbK0tLuW3qFCeTycrKSs6ZA5edmxLKiE0/4mc29BsfuvcL + ISgsSwaBQ9AsKgLA2oaJVTU3LYAAROazVte+57v/7b/9N9/5mEc9qqqSdLmZTKoYcyvMbETr6wd+ + +e2//Ncf+YgAnAIxhxhERFXV0J/TogroAoPBBgUObG4MkcnTrr39jju/9KUvqVoAXvL853/v936v + dB1xUBgvPnqdVSTb52699R2/daOWowWmTvsjOzKSMi+JiMjo2A+IjoshxGgggYnmL95xxx133AGA + gOd/67e++MUvNoapEVM2jSEqLTxer6qkVqWRiBjMCLGq/+d73vP5L35Rh7UxEBvMSPu1h4iYYDAw + Exna3NFs69v2c7HlO3zuQ1bOsiEJzGYHpGK22TXrd9155z13mwoBCWSwF7zwhR2U+xbsgW7vzjnn + 3LEYMq1LMnTOee/eveUCNyIqI+ll7Obo/WnDZXFDanUZfA8hLC8vl065ctAeQiAi5v6bQbnRNA0R + lTc67OuLCMqxKnOZpJJpnXNW1eFaPBFhZo+1ds4555xzzjnnnHPOOeecc84555xzzjnndg42aLlQ + g6xchCLUX8kloZyWryA10pJsDTAbwes3PgRZf+norMbsKeWx1s4555xzzjnnnHPOOeecW5iZlXrr + JTWh1HsloqqqiKhpmpQSAFUF4JnWzjnnnHNuZ+MYKyhRMjMTy5EIgA5V5kpq8GGRwhjG26pOE8DG + xLZ/Yy/OEhgfuZTymYcZZhARMbHLLroCmYMFYgJoa3n0w1crLjnimJ2/RUQmCkakKLkLCBeed7Fm + za2mimBsapGi0WFTME5Hq7tWRKzr8sr4nOdc8x1r9LBJXl9v1u/efcf9G/ffvefue/fetW/j3s3m + QKOdwkZVLSIinVouJc6JCDDubwB9SWIF2EyWqmRmltXMoMTGgVJCRV2oebyrPuei8y6/4smPvvzC + K85eOXuEFZ5WS7qamhEADhwQ2YiJADNSJTUyo5ITEoXBnoZ6bHhrQe6lekwayNgMBjGiUkj9KIXW + D2/WIpn1zdSk2bQVAQ67Cey4pUWzku5EBLac25JHYjAyGCkAPt62ok+KN1tZWjYlqDEzDGYyS2zQ + rQ9/iLRK7rgYKWpe79YjbBQihPO+TEhnjy8Uyt9y9XnXXvWMj936ofd9/P/rGlpeoX2TPWFZQyhh + 05pSanIGUC+FknDAhrIrE2IhBTEb96enzxJKYAqCmXBgNW0zxiFz3X74Ux94wiOeLG0VlKlUyodp + VjMxpT6+l5T7CIv+Nf0cd+cOccT95q61s/fv37+0tBRC+JEf+Y9//ud/GSPnrIfGYx9dCGE6nTJz + qX8dY2Tm0pPvzgA556FqeRl88Uxr5x4qSlxB0zRl+23blpmHbdkdn5I8kXMednZDcHiZsWa2vLy8 + sbExxEg459wZRlVLQ4dZ09d1XV3XJdRz51DVkr8YU922nTG95z3vufcrXywXwrIAACAASURBVD64 + ly1daLO+tNKVF0OEWp1C07Q1cc4S5/raSlccz7pKub976n8CaLuWgbZpiMgMYIIZEZsd7rhiPv70 + cD7zmc9+7GMfe8Y111YcStrT0R59MqnqBRdccMEF539p925YSet+QJ2f99577xWXXkJEasp0Kgd4 + iEjNAGLmJufdu3cf+3OHhRpSlJxBxCBTjQQyXHWVx1q7B0RVYwiqaoQYwqRrmbkMterc6seh7wHu + RKhk8RpEzABmBC5dWDOHTZ8+NgaAIGqAlYx6MYghBjIzUWBoEgmRA1REBKKqWsXI6EdVjjzuvgAy + sGGysfnNz3nu4x931Sc/ewuDpGTjxSg5m+qQ0Py617z2BH7lKK3xsHcjopSSiHaADJM3C4Y1K3Ns + 7vfDpJzsr5v9mNb2X6uBgGFVARAAjqwGMytB4Mxkatm0yxl0/MNq86TL47r+jm9/3k/9xE/+p//z + PwuQInetAP2+EmYgKjsYEVloJT1KpnVd113TGsCAdDkAr3jZy9/y5p+LQAoxhdA0zbnnnts0Tc45 + crJDN4/hEGXLvdkfiUQEhJhS7joVASHFlLsuEqtqAAI4wB5/9dXXXXfdi17w/NXV1cgsXTbROiVV + hVo9HuWcs+nqrjWFMSEb2k5A0G7LulKCHhdbJlTmLhFxYFJVM4NZp8aAAE3XGlHTdWEUKLCKLvo9 + vR6PjCikZAAxRLes+UY0O2wws5O/zydkycO7aPn4QALtXz8QUsoqzAwzU+skcwyLHtWUAE4iKgma + TdfW46VU1zZrnw2Azkb0AQBMpLDypYC0nyH9TDnk5/G10odu9f1mPrdaSxlpDJxVyjOqGCTnUCUQ + aRmsPK43d8455xY1nxJd13WMUUTKATYzlw6Q8vMomdYASuh1eQozl51sKkdZM+Utyu1hP14eXAbu + j9LfEkIoEykiQ6Z1mfIybeW6vHJF3nDXOeecc84555xzzjnnnHPOOeecc84555xzO0Eo9bsMRqqA + EYxYCNRfOqqAgmx2HZECHgHw0PYAr6s9ITzW2jnnnHPOOeecc84555xzCyOi0Wg0nU43NzdLmFNK + qVTTAFBVFbxqhnPOOeeccwAANtRhRFoOnE0FRodmCageoXor26wurUGgTAcfR4Q9G/dZEpR6xTsD + G1QtUoDkttGzzz57KS511Ad1zJdY3j5LbfZjNvPNBEBgzqqEZEYqiDGde/Z5yZIRRaqFsokaG23N + 5jzS8jrllHRft05gIpYujuyc8eZ5IzlvTeSyc66mh8GuBGLbyP4Dm3vu379nX7vvS3vvnOSNjY0D + G5sH1jcPTCYbbdfk3HIqpRjVzIxKhXRiUHe/pDga10urS6trq+fsWj1n1/jspbR8+UWXn71y3q7R + WtDISjWPqNWusXF91uZGziKjVAfmruuyaVVVqqViY3/SJCzNAlB1B4YlH7tZvmU/i8hMSQFeqleD + RjIzQylHrmrgReuOg0EgAc1qW5NuTPfbmkCVjUsqPBsUhD7vfMcpce9ExgGdtFujpmeP6Wf7wmsy + GUhpZWUNgKomK6eZHmZGL7pk3UOSaCAmCWaxQhxVZKLaSp6A0tJademzHvfSqx/5tR++5QMfu+Vv + rNF9ed+u85fb6cZkksdLKYZwYDJdinHIQiDAwAouG6+CGWW3qChBHYCWMruBqhGkRZOniOGfvvzp + O/fc+oj6iaQxgIIxEWYVe+fT3Gevt2AQr3Nnuq27A9LtTbjxdDqNsTKjn//5N9/8h+8GECkCh4uj + Pmr7X/rny+ZpZqW4Nh1M9XAPeWZWqq4Pv4kxnsLkOefcsZuPTBha6VM9UQ9Vw66tRIOX9AhmLsHh + 5SubqsYYNzY2ShTcKZ5i55w7OYhoY2MjpVSO/AGYWdM0Oy0pzsxGo9HGxqQehSxT6+xXfu1Xj/J4 + isE6zTkHoGnkyVc/flxX6+vrHAO2dniSlZ6S06sX9JZ//Pxoabz3wLqZVaOqbRrYETKtB3aY75JE + IIMafv033vG0a64RUxiFVJcMwgdfyWd6wtWPv/ve92ufh0pY/MuswQggon+5846nP/VaAoko8ykb + QRuOTMiIQjiwf33fvn3H+Nz5hbrteCbG2HX5mmuuOWET6nYkUwohimnOWcXq0UisZErPxqYJAEQN + JJjFp4YQrKyQBDVT0RO23yECE9QwS2OlwADy7O0AiPU/s0jVbwtdClFE1BCYY4xtPloo3bFjQlWl + ac5vfP0bXvW61whsaTzemGyKdiBLIUnuKuJHP+qRz332c1KKkBPzvaP07Klq+b5TQm05BptbKHNB + 1kPG9SzC9kH79rOthSYCoYQbm1pZVQgQEQFMFCUGPMAMnVoAQuJW2mquiZ4f+Vpo50uGFFi6LhL9 + 4Otet7Gx8bb/8n9DLQZSM2YSgZmVVZco9KOpixomyQ7+om2aSP2Us+nb3vqWV7ziFQwKZmS2f++e + Xbt2tc1Ecl5aWe66zqyUEBqGw7l/oblTEagcfgAAUgptmwGUgXVixBi7posAm0ZgZXnlW77pm1/9 + 6lc/+YlPEu3qVImIdV1kDswmqiJEtDmZcIpG6ETEtDMoECOLqZV3L2cFAAA4BFvwcCCkKDln7dsK + YpDBtI8UDym2uQsplnhJWXD/boT96xuprsBkgChCIANErBwD65YXDAgMOTHtwJFQOcHBEIiISEVh + 6GBLK8tgUjMmyp2kEHLOgRaOta5iLSKddMwMYnCYNFMw9ZnWZWsamgMiqKodbCoNBiZmVjkJx3Xz + R8shwMz04BIgIhCMtIxKEtMk5wBM20mnXaK59fx4t3fnnHPu2ImImQ2B02WPbGbzmdPaHyIecRyh + hF4TUfn2EUJQVREpcdcpJRGp67qkWZeR3P4QRfVYhnFFyiEzVlZW1tfXy/hRGQSZTqej0QizqOy2 + bctles4555xzzjnnnHPOOeecc84555xzzjnnnNsJyDgqs5ECRiasMtRrLA8AAGZTsv7yHPOqX+4B + 81hr55xzzjnnnHPOOeecc84tTESm02kpxgFAVZumiTGW0uFt26pqKZ5bqrSX8qPOOeecc87tREZr + 4139KV9sZqZEMAQgoxQp3lIUj2xr9e9ZYKrRwerQZCjVYPeu35epTTvtFCABx0CBIBiHlfN2XXBX + s5fYyKhUvC2VoAEcvXR3qa5LRGRgAtTYwsp4V51GmIRAIKMAFhAj2NEjBE8bRuCamBjCRCFKil2V + NAVEm6iZGRNCXgnL58TzLjkPHbftI6eZWzMzE4UYRFXMZGO6oZZFRFWMwIwQUqCwWp8dLBEFRoAF + shg0REuMEC2GCVurJMrGATFR6Jq8XNeIaNtWScZVZZC2nVAMBGVjBQMchIDomdbHjgw0VwJ7dbQr + WkVGpWg82IzARIuuuWbGs3ACMEC2Md0v6GhLyzR/ewctL7aDkfYKU9ImN7o97WbLXV2oDLcBBDJa + W9kVKB4smUoKC+hrlZfzhhkAG+mQJuzOOGQImaswDjFaNulyNgsEojCql6ZtQ2Cx7mHxsuc96aVX + nf/YD376f338nk/t//L9iFxFnUwnoQrLK3U3barZYUKfR0LDXdZSXH5L+XhNqWq7NjI4AoqJblRL + K397ywevePpVwTjkSKKAld2n0XwzUFZR3lEtg3OLocNvHSK2srL2f/3Cf3nHO24sv2m7NoaYD8bh + HNNmVWpw55zNbOiW9wrXZ5Iy5lJiC4foVufc6a80zgBCCKV99qDlB6IkQ5Sd3baI6xL/Vh42JEyc + 0ol1zrmTK4RQTtop+Tc78/iQiEqA0IGN9aXV1b/84F998pZPExHMSm9bb9b1oV3HKWirAH7oda// + sR/5D1BdHi+JKWAK2t7Bdzoxwo/9+E++/TfeUTpfctsBJQJ6ex/hV+0zJIIYIuHd7373vT/9M5df + fHE7mY7iKTu5i5mnTfPUpz71z97/fitLYuG+7YOI6LbbbqOSrHjqGAEGJSiMzQDs3r07Hz2DvDzx + kDXXVInZVNWsYuq6XBE/7rFfww+RoSt3eooxNk0TUhyNRuubkyWqAcjQgPQdvTQf4Rs4YJYGB4BA + FFizgGYr7vBzUWVwSwxEYCZmItKcYcYhDEMjQzIxDAbUVUVEKYSm7ZjZYJPJJKQTM24eY2ynjRE9 + 73nfduUVj/j87f88mUzKRBAo58yAmL721a8ZVUmzhBO0Fy4hdgCGwLySsccEZcbc/B+esP0lhqDr + k9dCEIjZzA6++7Db7ZOR+4MTABxCrFLTTcv0hMCqakBIsXyzUyA84Eltp009HuWcKYQf/vf/x/Ly + 8n9+y1unXWeAqHIIwyQR06IZw+UjH3qPgRhYRAm44tJLfukX/5+nPOUpEZRzR4ZqNDrnnHPatm3b + tq7ryWRiBAoH108Df9XdVNvmqoptmzXbeFy3XdO1eRSJsj38okuvv/767/zO7zznnHMIGgMlStJl + Qr+pSpcBBGIKTByQQtM007ZJKZUXz7nPHi7nqxAzM0uXNS+chSw5A4hVIqKubU1h1ufUE1DW5GpU + i6jlHEIY1pNjtLS01Jkyc9mJqhoxAehzKIEQQghBTCVbn2l9Mtd/076tEzOY0WyVyDnnnOsqmaiq + IiVmFltsuBiz9jmlFEKYNm2sUlZp2xbDxzLMHd0OmyEoMNQMBjWFbm+Zj699nn3qQ1+ttP8E4tCH + d/YbV1lODFUjgJnAlFIykW3b+/zgu3POOXdimdlwCVvXdcw8DCuoarlbhlyH7rXDGqKpS0L2xsZG + XdclFbscVpV3ibE/zCt/quu6aZryxKqqyn78SK9PRF3XlUzr8ssyhePxGMD6+vry8jJ2ar+fc845 + 55xzzjnnnHPOOeecc84555xzzjm3o1mAMUOFlI3NVElnV6MoDADDGMZ9wa8j1DVy7tjtsJq2zjnn + nHPOOeecc84555w7EUIIzNx13XyVDREZyn+UmhqlxMZQB80555xzzrkdiIx3rZ6TrG5lAgYRwGRi + zEP5YzWeRSzPxSUY9RmTQzikzGp2AzAzgeyZ3tfQZqTRg/mJTgemxBQIMWh62K4L7rr7Hzmy2Szu + b67k/1GiNYmgivJ3M4Mxc9y1sgtimtXURIWZefvzFOiDZE/PGrtZDcghg2ITqGVqJE8k23K1oiAx + zdqadprViEOI1XS1IqU+3xuAGsRMHhZJTTL31YeJjSkyJ92IhMTMNAtBZQOVtdeMFRwSg8wIBmIG + TVvtQghpzKrWyAZgnJhMACg0KAMEKwXyD54e6Y5m7uRRA2C8Ol5LVCfEDjArtSyPpy41l3NTicop + q0Y66dYFTUQ11wKVWzr/mx3CTMz6ltrIOm0UMqyx8zNd6XjmTjAOCGvLawGBjUpCG22JcOkTiNl8 + SznDBY3jUOdpJyaULFRBIapkzNJ1aTQSyTrVOo1WbLR29tOueNZjL//CB9/3qf939+S20cNoT7t3 + cyLLyzYeResySh4JQ6EgBEUfXA8b2pMhIz2EQIq2QYpICZqQU/vpL37iedfuqWktpRGpqjQIAExV + iZiMATUCbMc1C84dVjlKsvmLPY5w4UeJ3YjV6L+//Vd/8b/9cnlQXdVN22UZ8igWaPBVteu6Ifw4 + hOCZ1meSGOMw5rJtgMY5dzorjXMJJarrusQtH6a/wR2zGKOIlGaw3M45p5S6riu5FENexfxt55w7 + wxza3BFRSqnLR0ziOSMxx7btRktjbaZN1/76b/ymAbI98rP/PxEZVDuNHKDy8pe9bHV5Waatti0T + GSmBQWqzjj067uS/k4Twute++h2/8Y6yjFW1LHEKbHMBlDb3+K3PPvhXUTDBDI3Y77/rnf/+DW/k + GErO00n+DIdXcluvvfbag9NpRkSLhrIaoICo3nbbbaqKEgH7QEIcTwQiUsBE7rrrrmP8hr91mAuz + /gMylLxbe/RjrlxdXYUsnDzq3BwCyAxMIcY4bVvmGJm7khuts9jUYQsyaEkFBmKIYCrxtyWQetvP + hZWtnYmZVcRUy5tzDCU2Vefz3omYDNqfrdr3gMWEQyOfHwAyNJPp6llnpbr6/uuu+6mf+U8djAgh + MADLCuCS889/yYtexGo4cbuMkmBnZiWvd/ilGkwUOHy4LAxgYpDCSsL0yR3ENpCU96C5mPPtSz+G + SERd7pqJIAIGGMzKwDBy24lIPEH7naWlkYgwKLdtSvFVr/yByy+//NWvfY2CJ22rInVVq4gBRAbo + wjPI5ltjoAyxACYagH/1whe++c1vXl1ermKAah0igGayudF1IYTl5eXNzc3llbVJM9XZtnXovmnb + GjRMIM8CDKeTBsDquHrON3zDq69/1dc945nLy8sHDhyoUtDOJusbS+MRwcwMxEQUAhERzNq2BTEH + CiEMr1sFNAoYQmQy5KwmKqKBEULousX2LzEGEcntwaPQsj5EhiqIiJklK81HoS9CRKZtY2YVIys6 + g4kRQAQisHEWKcsXJfHxxDUFh2H94gtMZv0WEBgE5JzL2K6pxhhVtR/qXXCFa7scYmIOqlaM6qUY + K5rN2FkeeWkBqJynUU7YwCxi3sqo3QNvn8uHPuR1zMpxswGmswMSAvrBR5SBSTDAZGTouu7Q7f30 + PN/GOefcmeHgCVcAEZXs6pIhTURt2y4tLZU+kKNkWgMoXzdEBICIjMfjcnsImS53QwhN04xGIwCr + q6sHDhxIKamqiBx9MLdEX6OcDCxSBj6IqLwvEa2srAAoo0vlHIAHPG+cc84555xzzjnnnHPOOeec + c84555xzzjn30KCl8hzBCEpKUIZoKfNV6pQqgGjEqmFW2sir0j0kDSe+nnJ+rqpzzjnnnHPOOeec + c845545HqZoBIIQwP/LRtu2QkxFCCCF4+QznnHPOObez8Wq9K6EihZXarrN8UrIjhJ4eLMzdp8b2 + cdcEJcBKIVgz5M1ub0vT8WkXrXByBYp93UFjanlttKYizCymAMNUqU8HP/YZQwZSihyXllYj14mT + mJgZGaOvPKwPlcSpaCXyFtHYsrAiRA4xdLkhImNmYkIIIFAkxBoVpNRDFiMQlYhTs9aIrGIqX/cs + m5mRcsAIFkzVoGadQYhARGUWKUwkM0IICUpT7UKCQURzVibAiEthZSNlA4OBXCosAxmAmNppcWbd + Q8BcwXMah+Vaa8YQ1nU8zULZZMigs1RsJW11M1MXUZGV9HHQDl5GZkZmahCYosvaGel8ZXcyzAeN + LIQNTImRRtUo0MHcNSJSUmDhls091OVpThxD5E6mnQiHYJRELaTQtm0gGscqt502msLKEofnXv28 + Sy685M8+evPHv/ihdFY6ZxdNJq1CIwCC8CwpAcrKsCHGWmku0xrgadtUddVJa4ZsQML+Zh/Z6N79 + d9dprQqjqAFqYDNiBWL/XCMjIQBgY/IT3J1bAL/nPX/2trf9QtcJExNR0zYAExaP7zITkXLUqqql + W97jPM8kQ6a1iKSUhkLnzrnTXBlLBVDXtYiU4IRhi3aLKv0PJQ2iZFqbWcm0rqqqRFNg1mb6TtA5 + dwYrTVxp7pi5NINt257kHMvTDhHFGCeTya6zz/nM5z7/R3/8R8yx03zotykq/WohQERUnv9N3/zo + Kx+5vv/Aaj0yDmSiIJ7l9CmBjYeZWcZoTvlPAS6/+JJv/Pqve/8H/zoSxKjrOhBMFYcON321NSHE + lLtuKaVf+ZVfedUPvPKctV3NZPOUjIKQIavUS+Mrr7ySASISe0A90Abs3r3bzIbRolNFYAxiZgFU + dc+ePTiushAcgooEDioiohG49inXQL3/zT0gbe6W11Ynm5sbGxvVeNSqoBxsAwwYILM0awAEIhiB + SjicSoaAgHjk/qvFNz4SNVIhIBIroFDLwiBY/x+BjAA1ABGQtgvEZsZEJSsuhKB4YC3ITG7aXbt2 + TZqGqvhd3/Vdb3nrWye5bUUkK4DyXe4VL3/5WWu7tG3LbDkB7zr3fad0+zAzMwdiGmbpbLkQqOwi + +rdWs1mbx8cz/xdjMDJQCUffug4wMQA1VckAIrFANSMEIoKJhTKFhhQC1HhuZwccvLEQyVlVA6cY + eGM6XV1d+bZv+db3/8X7fuCV/+7z//SFVsREuD/3ghZLbJ45mDM9u8vAIy679Kd/6k3/6iUvaSbT + 0aiGalYxZqjEGOuqMrOmaUrMoYhQmD+PWjEcbByScl1UgbumiwARzj//vFe87OUvf/nLLjr/gqBU + xzRZ3xilyrKo6urKcs6ZmUuUMhHl3J/dnVIQg4mqaUxJulzWkGAwgnYKgAmRSNSgEJWFvkgboJ0M + Z7jQ3GimKsraEIhz2y6Nxta3M4st4xBCnVLkIApFPxxe0qvJYNAAABRj7ER0Fp1+kpSCUKJQ6UeF + mUpkO6qYRlXdNVMyVKO6mUxCCKWZWugtuq5bWlqaTqemurS0tNlM0XXS5QhSmPbNMqycYmF28PhN + FUCabYNHev2FU92P/JdhVSnR3eU8JjUw909LgAkCcWQuJ7E455xzD45ybmm53q0MGZSU6DJeE0KY + Tqfl98Mow2FfZ3gRZi6nV4YQdPZ9XFWHu6PRqHwvOHDgQIyxpGWXt9Mjf38vx2/l3VW1qqryjWB+ + mMPMqqoyM78ozznnnHPOOeecc84555xzzjnnnHPOOeec2zmM0AUBhAFAAQVJuUHl8iqwESsUxkwA + GDi+i2bcaaGcqnqqp+IIpXGdc84555xzzjnn3AlhZngQ+4BKfsyD9nanVrmie7hy22t2O+fcKVFS + q4EtO6Ah03rg5TOcc845585UzHwCazSfqcj4gnMuspYYQRWhitNWY6R+thFAaiVSsn/8llqu8/Gl + BqgaEZmBiGIV7vjyHRgp2EpxvRKMdMYvkT7SWinFMXVxtd4FQCQDYDs4JwEcLANdzsKjUol9W11f + hBBUQWDpdHV5jZRMwAiB4jAzt2dak4JOx8CAoBzbetStjLrV0IyTrZJUpjErKXPL1LJ1VP6RgMQO + 9rAREYMIIGOyQIiwRBKRA3IgiayJEMzEaGpoQa0FRTBjEc4WNbNKII2cAzWk02AaOcMUAAXjAIpG + DGYjMrASgAzqQA3RlGgCmpyeM/a0on0A7axEJgHgkKuLz72sWW+qGIigCtVD1ttjU77jC4DAnbR7 + Nu6jKLp1ufS1yHfewqpTKsFpKYVpN12fHNC5ZrpvvY1hx3dmJpOSTvXyix7eTbvIiYxEZOd0+7st + SCl2madqLTMHTkAkZUYwQSBiZLUcAoWQ1EK00dJk+fLqka/49tc+9ZHfNJqebescDVUABxIgG7Ii + BI4ccqMBATDjrVuxAcbMnDslYwKyQAGruKHmY5/7u5XzljrJzJE5AmglMzPAbGXnavOv5JzrzR03 + qmpKqRPhGLNCwWb04Q995I1v/KG2yYSgBlEruxQ98pY07BpK9e3hl2Y27P2HGx7neSYZRlvKYj1K + DXTn3GmlRNGX20PT7ZnWx61Et5bZmHMufRolIqJt25TSMIfP+C4y55wzs7JDIaKUUkn03GlERGEh + VZNm+pv/47cEc1mYJSFytjdQmJmRGQyjFN/w+tc3k8koVRBl64dmSjhnf9uUTMvd0+QfAwx67atf + w4CViFcg9F8T9BjjkodgVBEJgaZdt/u++9/3v94/bRtwAPcJT13Xle+YJ7Zz0g7JmR66V6ebk8su + ueSxj35U2YPz4hmQQPl4ZMA/3357SCnnTESH7Scvs/RkY+a2bcs3OCO69Z++UB/XQaCKLC0vl0UG + QIGvf+bXneBpdTtPWT95OP/TjEyHkdQApLl/ESWKuDzGAlD+zd9+wP8sARFIAJsG0+GtI+zgZJgl + IAA21z0SYyxlO+bPU51vE49jezczjlFFIoe1leVXvfL6nCUxGEgEAGtL49fc8GrLYmYnsD0JIZRx + qNIap5Q2Njaqqtq2RGZzQ4eZM//vxC2Ur/KPYYeuA2RqpjTb45gpGyqgUgti1WwdG8UkXR7Oiyg7 + iEN3E8eOmQ0i0tUptdOmivHRVz7qfX/x3jf95I+ft7ZLJBOwPKpVcgwE6y+86Z+89TYx0/y+wxCZ + GMpAJC4f88rLLn/Tf/zxv/6rDz7/ec/LbVvFYCJQCwQyLV21IjKETJtJCMRMJgKzKiYGATp7XwUp + 2IaeZCIwYKKjQE97ypPf/ku/dMsnPvnTP/bj562dVXOMTCo5BoapQTlQJ9kICgOTQsQyBabACivp + 2V0zXVte7iaTYLOkYYBttigN0BOzObMdfNkSYb5cj5CFQZG4aZpAi42ikoHUGDTd3CxrOANxNvE8 + tzZq7sj0QVjzSbet8/1xWgQ1G5uRQ52SdF2dqunmZNH+HyMgcJO7EIIBTdMkDjCpU7BhczOwIpUt + 69DJM31w5sORWoMxKCpGhHItQQSQJYHLwfbwMR/gJu+cc84dXenNGK53w9aTP0u+dQmlLqMM82Pu + 8zeGronhBMvhwfN/2vab4TLnckA4TEB5WDk+LJNXXjbnXE4kKF0xw+sM/X7DUx40Q6fQYLh7wjuL + nHPuhBuaKTMrLe02Q6vunHPOOeecc84555xzzjnnnHPOuWM3FKkzMwKZeTET504u4Sx1N4kb0ziV + mIWymaQqlFMZVVUhFKCsyiosAk9peYjpW1QizE407brulJ+k6TESzjnnnHPOOeecc+4hiZmbpqnr + WlW7rquqKudcLuc+1ZPmnHPOOeecc845dxAbj3lpTCtT24O+jB1MQdRX0DYApFDAgL6GrwIYSrrS + LB6VQnk0AwK1rN3UNu7b2L3K51chENFQCG9bpbwzj5kJAICtSqFmiggg05KqacQGpvly5YQhZbbk + XhuV4uuAGikMxESBqirWD/aHOdGCIRjDiC2yElnsPyRg/UzRYJEAssDGgBqBDEYgqM3CPob8byWw + sfb3FZSBvuQwGwBWYjIYaH4+o3+wAszGAHEphj+bBoYauOQiM+V+3e6ffnyRwGc+BQ91+NlQtgIj + wJhzPGt8ThXrqUyYkQ0hkCho7inHggimJkYgMgIzrTf7M7WJDP3K4fuXOgAAIABJREFUgLAtP34n + GeJCFQaSabNuEAqQWXPLBgUbzVbi2eZ0bJiEqzgepaVAFWkkpbkshH4/YfRgBK6404AaCwBYUEQg + lBaSDSABMqhfJfrt0VjX2/PXLrhr7+6XfesNN//l+ON3/NVG+LJSFjZipMA5azvVFHhcj0TNyrpM + YO33Ako8a/9LzAFgbKRGmoPcte+O/fn+XWGsrQJg5hi4fxmADEwQwAAlZV9LnTuclNJ0Oq3rumk6 + Zq6q0Yc//OEbbrhh0cP2EtKTcxaREIKIxBgnk8nJmWrnnHPOOeecO30xs5jmnFuVm373d0ej0YHp + lJjNDt8paqLjUXXZhRc/8fFXL43GeToNseratqQFY9afN/S/bbtx6n8Snv7Up15x6SVfvPNLzNSp + BSpdSAvPN1UtH06BX3n7r73oBS9MIXSTiYJCCKPRqJyaC6DkxS7+JgtYGo0PTDa7rnvWs571mVu/ + EAn5CEvwqyqTet99962vry9VdUyxbdpTWCSFY8gqRASz3bt3l1l6jOZn+ubGRowsWUchQORpX/u1 + kYOJV5pwxy+LQKRkJ8cYN6eTiy644Au33EI4/Pay4MCQLjzUZ9ufcdR3VDJl0MrS0r49ey2kqqpU + tc39Jja0IMefYR84ty2AyfpGtTz+kR/+DzfccENIUUSqqsptFwwrKytmplliFXCC2skSsDeEIuec + V1dXv+Yxj77ts5/VBcZPF5//Czr6xGzLqSVTMmNQudZjlKqmaQAkPszKVp57HEuNDUogAOVEAQMT + IocffMMbv+Pbn/8/brzxpptu+sqe+wOgYgwqS6xfbrPqWjHGrusMB++qqoiURzMA06se89g3vOEN + L3nRi5fHdTOZEohL1uAQ0T0Xi7h1PkByTlVSzV3XEcAgJmSyPmbbDCXdUCQSra2u/pt//dKXfff3 + PP2pT5tubk43Nuvl5XNW1pqmmQ9lPKaZw1ynan3f/qXR6Pd/9/earp22bV3XOrermZ/nC726AjZ/ + lsvcq0XmrusihzomEWmbpoqpzOSFpj93XQjhJS968TOf+UwwM7OIYesqpCUjGSBoCZk+SXQWyTx/ + kDaMlK0tr6xvHKhG483NydJovGt1rZ024MUa0BCCmJJRCKGu6717947H4z/+wz8ChfmGcUiJtsWa + 6IXbh0UaHwCaiCeTSYwxxhhABw4cuOyyy9qm8aJ1zjnnThNDmjURTafTs88+e319vRzClQ6QnHM5 + 3DpKZ8h8GcG6rksi9ZBmfajyzWv+nN66rpumKbdjjJiLx/bQaOecc84555xzzjnnnHPOOeecc845 + 55xzzgHWSsORIsDKmi1Q2jzQxBgIiBwV5dzFENkCEzOJLHa9hjutnCZlYz3W2jnnnHPOOeecc849 + VNV13bZtVVVDprXIcRToc84555xzzjnnnDuJyGgc1tbqs/balxgQUSKoIjAxTGY16Ere8NEzIIlg + AAwwJoaSttzce//dl531NfM178rtoc7dmYfYFGYgMAekcVxi5lmd54MMCIfMz/lUYCrlpcnMEEAw + Zg5VGsHIts+5w8cnnJbZyyqhIcqUk3KjLCXQupwnRopSBz2ZsoItKJBjJ6QlBrzMna0xyGyz6sxq + BCpp4ErGAMEiwKEUTB7KzfdJq0M6O83yqhkWZkWbVclAGQRABaWYswKAhUVigHc0Nki/mTNyfNiu + C9I99SQj1MiCEEIWXbQ4NBOJWTZlZpgZ2771ryB0/bvMHsWGjnXrL3cEE40cVFVVwXZgY7+R6vbs + 6sWixAdkIAkr9cryaDU0iRSqICphxscZ4uIeuoxMqQMADWZhdrpvVu5AMmutS4gClDNDq8Ttvu7C + 5csmTfPSZ3xvzvoP935gf/6yBFhAFK04ZBMWCilN85QCgfLBoAKDERsxoH3Uh3G/F2AVznft+Zc9 + m185K1xkZgQYgUPoujYgAQC2DtCQHhpD4txOM0RKDDYmk5WVlY2NSUo1iP7xc7e+/g0/uDHpCCX9 + ZP6A9mgtf0qp67oSQlaGRxcNAnHOOeecc865MwMZVbFS0Ztvvvne++/T0qVhQ7/EwYy/8n2LgHba + vvaGV6+trECUmc2M45b0zP4pp2XPRgq8a3X1+uu+/2d+7udEDVu/Dx57z3oZTjKAAAI+9JG//dSn + P/PEqx5XVZVmMbPSBcrMRCQii8ZnLqqkSRHRC17wgl/+1V8TA4BA/z979x4tWXbXh/37++29z6mq + e7t7evQaaR5oJEaDJAR62pZIoixJECDIIghsBJKDAEkYrGXHyyuJYydx/vDyY9mJV4gdG7JAWQJC + AiaIFS0cEj/CMiKRrBEISyMQ0kgzI2lG8+ru+6iqs/f+/fLHrqquvvd2T9/u2+/vZ/W6q7pu3VOn + zrv23uf31XpJ4dYG7AzzRx999Ju+8T5VNfi1ClF0gaqaO4Kqyxe+8AUDVGEX8bHWEzk1BKu1VlPA + a73nrjvvueces0OmDBOdq+s6d29xbjs7O+N+NN3Zve34iXZ8aH3W69tYlb1Zxc0F44cP0eGnB079 + XOsbvQjcfWtrazKZwHwYBlWVoEdVv0NESinj8cjg09lsYzw6duzY9vZ2jDGEYCVPRuOdra3RaHS0 + R0hbHiBUta0dVT158uR8NuzZ5y88rsBavPMVdPD6Ot9GEhxmtZ1WzGxzYxJCGIZhMcjh8mfH1bB2 + sgcUbo4I2DDc/9KX/LX//D/7qZ/4wD/933/t13/913//Dz49Lda2lBgEQMtIFnhpadMKd7h7yRlA + AMTtm77xvu/+ru96xzvecf99L4sKccyns6QB4q1LZXFudVmeXVezc/agX0rZGI+7mASIIhJ0XooA + ojDzlILVilq/5eUvf/+P/fj3vuPtxzePBZHtU6dgttGPrNRShhijPcsyU6y1L2vLbhTVINOd3eOb + G7MhHd/clKBme4OoL3Hx7xuX0qZmZuPjt7n7dHf32LFj8+nM3fM8H7Z7ue/76XQa3F90xwvPnDkz + 3pig7aRDxnJfaLHWrfNMFpc5V4oLTM7ZB1uQ9mg0mu7sjPuRV+tTJ+Z1yGuJ54dgZqKx1lpyvv3k + yel0+oLnPm8+n6+OA6tMaxw61nr1RxfvcPt7hBwfjdsdXqWU20+ccDPFIky+/dX6317OtkdERHRY + q0zrliRdSjl16lQLpXb31gUPwN1TSsMwXGBS68nW7bKq67rz/Unr0xeRNv0YY8u0LqWYWdd1tdZ2 + Z3S7Zj7qz01ERERERERERERERERERERERERENxgBIiS4aFGpSLUfxVEtcaMf7w67qU8uJdcsIuqu + tbhAlOUb6XIx1pqIiIiIiIiIiG5ItVYR6boOQLuZvFXXOqpyVERERERERERER0JdO+9Pbj7nK1tB + HWYQBUzhgCwCIA04X0n9RdFwX9TGbRmTUQQCDW4hP/70V+w2W1Ucbs0jdjEV8W9kDlS4uIuHLowg + tt4m1Or2ruqtq5+bCujqi0rO3iqeAyISxBQuXUhX9ZMcNVO4Q6RqcBNrm81a/MY5RQ/FVeV8m4qq + L8ofy+LFANqkgou0ctiyqjntLV215VK7OFwh3gY46vIFYtA2HReom4nCW51rrcv5ux6jQq4nLrqs + 7LyInjVRuEV0zz95h1cHWhYyLrelVMVgUD+zc9rVDgzX9L1xzjc7MTcPIZRW3V58d75j3eL406qH + L5aHq+mzJAocRGFy8thzx3Gis6iexCC6rIMvMIEvA0/t2RIL6GbgsvoBNxNAqosJVrukGgCx1bEz + hZTPIE5GG+H273/LDz/1fzw6fWaqk2mWWjJS513Skmuugyocpf3V8twQl9MxiKkroHBUURerWrfy + 01/f+uqLT3yzocaAUqt3oZh3omjbv9gyb/smvw4humS33XbbM8+cHo0mVvHEU0+++93vefqp0zFo + y01ZO3c/y07UQstaPWtVLaW0J1O6sS9liYiIiIiIDivnPEpxd3f3w7/wC4AYXGOwuuh82ZMCJEBS + OT7eeM8PvWu6szvuuwDJnpOGcknxydeA+Ww2/aEf/MH/9h/8d09v74jA3DUGK/VQk1l9A3WgAl0I + P/fzP/8P/v7fq8VUBMAqVymEMJ/Pj/6DnGsYhtR3xeyVr3zlpB9tzWcBcmmZ1iEEqzWIPPjgg9/0 + spflnGOM17Adu7Wit66ohx56CC346pAzZGaiimoxqFV70596o5UawKAruiy1lCHnGOPm5uZ0Ok0p + jcfjZ555Ztz1ANrWJcvuDxOI7I1N1bUIYSwf+vInxM+XhHoguYhY63Du9FPXzXanLXvY3Rcp8udM + 8+Lff9/8BHWz1gqXQihDxlAmXV9rjZDs2NnaOnbsWM2lHume2ILxWqZdSqmUMpvNQgiqYU8X6oWP + AAG+P2n4SGf0vBNv3fTnhP4KFC6G1KUQ42w6nU9nMUbVK9XT1QZXKKCqu7NZF7sgcsfzn/+BH/ux + H/+R937p4Yf/xW//q9/79L/9xCc+8cgjjww5x7ZRrbXOBuDEiRP33Xffa17zmvte8tI/8YY3vPpb + vlXMd6fbx8YjM7NcRNpaEKx1y1740DwajebTac05AsXdSg1ACmGoNQAbqf/ud3z3j7/3R1/7mtco + 3N2tlBBinxLMu5jc3Qzmfph9CwC8WnEfjUb9aHRm60xIsUtpNgwphLM9TJezvbjsXe8tXDzF2Wwm + wGQyme1ORSTGKCLVD3fdMp/O+tS5YOfM1rjrvVqtVcxjjLJ6L8CkjbpxXT17ZSyG9yzfF8vHW6fP + dF0njp3d3eMbm6K6u7MTukM32qsqslevk/F4e3vb3buuO33q1MbGxuo40B60Ze4CbRv9Rf5czfFF + 8sUCPXsEXi2K5Xo/O/MAalWHmAeIQ8R8dzbt+573eRER0fVgPVW67/sYY63VzFQ1hACglNLSqYdh + uEBMdUqpZWC3By2v+gIx2CGE9qa11lWmdZsTrHX0t3lgvz8RERERERERERERERERERERERERwaVH + 8uI+uJoGSajapVEuJiIuZmai3u7PEYOrlFurIODN6Zrfe8FYayIiIiIiIiIiuiGFEMxs/Rbudkf3 + TR/aREREREREREQ3GFfJ8oITd3zmjGqrbCtRXMRdFOKouqr8erbmrTr2l8B2b7XvRRaBkWaxfPWJ + R+PLtKXZqaqqtlp7McabtSysiPtiYQhq6MLI3e1iKu+2hM5lTOD64lGIu1uFahtPtXhNq8C7LL58 + A7Q7OVAUEATTKmpQh7ZF4wKT6gLAzKs61CCucNVlnvTaTxVvW6Qu/nj52CQ4DOKAiRhgCmsbscFa + fLU6TFRX8ahY5Ikoip3NTG3Z14tIbJf2RrDFBOlZiK8nAsQInNx8HiqCIFdoQs6uMcHy4SZrLgrU + AFdHddTpsD3U6WSt+r+4+o2wO1wJrRi9u5t4tpzr4ILqCMsNe5FCLKv/HII61MPzbn+BetQq6iqA + KEq1A/Leff9TdHPxAIwBQAwyN2mhHurLSvWQIm4QVQ/wKA7DYLBjx46d3t0+tnFMS3n7m37gF3/r + 1NP5sS7MZzItg4UAB0odUt8PnsWhDvjiFOmiBlMxAAJTN3hnDnFzLdaVrz3zaDmRXUVVSzZ3E5H1 + iHsX+OJwsRjtfm2WHtE1d54T5fb2bt/31Ww2H971g+/++uNP4+zJ5RBJHimlWmuLt8k5t7Qb1rYm + IiIiIqJbUAhhGIYHH3zwEw98sjVPWHFoWHYArLVRN+Y/8p53dzGm2Kkgz4cY41CqBKy9HmgZlYBf + TibqFVBr3ZxsxK779re+7Vc+8pHqEJFDZlorgBBCrRViQQNqBfQjH/nI3/gv//qxbtSl2LQvnu7e + QpWuqBijhjDPeTKZvPa1r/3t3/2YqlY7XOZlU33RLvu5z33O3v52KyWldNgY6SPUOuwGq9uz2eNP + PuGAmwN7+7TODYnc16Tm7u5BUaol4G1ve5uqqAjs+to+6caiKpsbk/l8vruzbWannt7Z3Nwc953X + Ciy2yGWz7yI2dc92e0BHtC+j3A+fJ7t/YgcegFfTd8F0OhWglNL3fRAdSm7Jc4d+74PMZrPRaOTu + tdYudS7IOXstQcRKTiGkrt8+s9WymYvVo8qZV1V3L6XUWruua0dgEfF9h8RF9/X5ltI1JHBf/jz7 + pGqKwzDYbBZjnEwmLjAzN8PlBZA3ywzvsxNaTNP82MZGzoPU2vW9iTvwqpe//P77729jKqbT6ZNP + Pvn4449vb28D6LpuMpncdtttJ06cGI/HKaUWwwwztbq7uxuD1jxYqSGEPF9sb+4uZ7vTBYDb2kz4 + 2auRmofUpXe8/e0vecm90ACg+uJ2mOPHj3/Ty+6/++67YWZWo4Zcyih17XSsqjmXUgrgXddVt72f + dLX0l0th/VwiIknD1tZWy27sUjefzbsuWal719Ql27veBYC5q0hKKY1G0+l0Y2MDwO7ubkqHK3HT + dSmEMM+DeR1PRru7u0Ew2hjPp7PVOm/HqNVyubJXcGu72PrSO3Hs+DAMOefJZDIvGUDsu0sYtDMM + 89Go91pLyV2Ms93dY8eOnTx522w2w2pLax+1bWICF1uOhHnWn5fqwCP8cr2ffZWjS6kOeXu6C2Ay + mRgwGo1EpKwdx66zS2wiIrq1tLaOvu/bf9vJ2szaJb2ItMfunvN5B921X7XXtHuca71QI0a7qAOw + ubm5vb3t7q2L393bVw8ApZQY4xF+qSEiIiIiIiIiIiIiIiIiIiIiIiKiG5e6xiJeIRaSJneZDtPx + sf7MdEvHMsdQPUdVICaPqqpQO6h4Kd1ArofKsYy1JiIiIiIiIiKiG1W7ebuFNsUYR6MRM62JiIiI + iIiI6HojrlLTXS+4O3xZ4dpKz6skrAfXCdz1fCPBWuRka/UwYJEeYFbcI/yxpx6r8FYdz8xazTsA + IYRSyhX9aNeWiDiA6lGTu1dBvPixWK4Qc8dqoYsITNxF9WYI4DRBWOajh8ViaQ1nVqXlSZsLqpqY + LrKrfRmbIMttTQBAvEX0qgtkEbawvogMMBNv4dbLNaBVBFiWJRaIr6asQEWrKe1QMThMtIVhL4p6 + 36p5yRfL9wSx6DJ8vTs+Pj7uJzNVqxYubXiiwAxBUVRaWWpzzzac3j51W7z7nBf6cl3eYkTE4K2S + 6e5s17wcUU5Bo4p05x13e1UYFuvAW5HxZXHytcAGOyjmgW4iiwRrwwABUNvhV1wBXVXm10UiNVxQ + XGLXz/J2TI4i0dN9z3nlv/eq7/iNj/1y8aEbpVnNBsQOw9zNB/HFIVoBgy4uMcRWT7Z89uVB37Sv + X3niy/XeDDhgImKCkDoMKm5rNevbiebqLSmiG4iZdd1oZ3f27ne/55FHvqIazSylbhhmh5pOK5Pd + 9/3Ozk7rMMWyzvUVmW8iIiIiIqLrlapqij/3cz8HQDSc0/Oy/8WAAD/+oz+ad2f9xobXKiIhhFyL + ntvMd90m7QVRALXWD/zE+3/lIx9RQETLBT/1fg7UWiHSJhVEh5rzTv7VX/unP/W+D6CUnLOIdF1X + SiktFvoK3wzv7mamqi7ylre85f/53Y9VqzHEXA/TzyXQEKxWANX9i1/8IoCQ0iqb6lpp39wffvjh + IZsK8gWW5bnBkOuTgLsZFOhT/LY3vjGEYKXowa8mujjmNZfJaLy1sz0ejzc2NnLO1W1xPFz2EgKt + oxBYi7VeHCTXNsDVM7L8eQTJynLA0Xg1JzHFYRjGo9F8OqtDHmpF0M3NzWEYLvuNAWC8Mck5txmY + TqcppT6kUkrQMJvPJATXEGNMKYUQZrPZUR1naq0hhBhj6/cXkVW63oGvP/iYcU2TrVfbwPpWNBvm + 4/E4qKBWjaFYzTmr6pXumheRYTYXkVHX72ydGY/HSNg6/UxIPSBB9bbNYyePHb/37ntW4YXtD1U1 + hNByzWstk340nU77ECaTyTAMtVpMXZpM9iQdLgYwrPjejVhVvdp9L33pvffeK6Iui3PEMJtvjie1 + 1jobuq4zoAx50vfDMMQYYwhte+hGPeCllAtvb4K1nn8AQAghahiPx+7epTSfz2utHbpFl+e+ffkS + tp99630RsB1CqLVunTolIvP5PISQUjpsD+cwDKpq8M3JRs65rabd7Z0UIgTqMIEsxkiYCdSu7BlS + zvOfnZ2dtkmn0Wg4c6blZR72IkocUdVK6WLa3d7ZGI1Hx47vzmd1t6a+WxyQASjEF49Fbd9qv8BP + HDbc+gJH+AOf2d3d3djYOD4e5VqChp3pbhfTPA/svyAiouuBu4ewGH7brkhjjO3CG0BKqbWEAIgx + XmDwbUqpRVm3q53Vdfv5Tv0xRhHJObdM6/ZkawkZj8cAtre3NzY2cP4rfyIiIiIiIiIiIiIiIiIi + IiIiIiK6pYiruwoECgtefJ7D4N0wpJ0Sh2wzVY0ewpCs9j26OmSEdK3nmm54vPeDiIiIiIiIiIhu + SMMwdF3X7uhuN42328iv9XwREREREREREe2VkO58/p1RQhCRlhoLNSuqB4TCigPQVWat+FpJWQUc + IgKHmQEGlTPbp0+ffuZ4ev4q2bqVyTO7abOBDW7iELgIzNerXQvMRU1a3ubF1kMWh6g6oI6oyQQm + WIsNbv9d0HP/ENdlrGwwCaZRkMxSLQoAZgJTz4CLBTd4hCtcIGpi2ko8AybWtr/FTzFbBqgqFIsl + sFw4rotIbAQ7p6aiAXBtL1NgUQNf3QQGmMLP3ciDe4TftBvtFaKO2ha7K1wm/eZzTj73md1HFseZ + ENwOXepy1cLqLqoC8erl6aef/IbnL97zyOb+hmVmIgHA9s4Z9wpAdf2AAcXZjPfDChJe+IIXiUGl + A4AKhFYE/zo80tCVZWK+yAtpadYS2tMOeAQCvAfgYpBqMrgoPFUJs/q0aB1pNwopb3Vvuv8tf/TF + Bz/zxCdrytKhOLoIDECLwWkW1x5rVgklXsRVAYiZ5sef+qoEuKC6QaU6RGV1/D83ncEWOdlEtGY0 + Gm1tbX3gJ37y05/+bIop5xpDNwwFUMjq+uqiJiUi0+m0PVbVWiszIYiIiIiI6BZkZg994aFf/civ + RdGp1W7UD/NzAibPbbrDd73tO15y9zcAmE2nKYQWkagxYO1l2nL5fO2b2nVD1Ke72+PJxute97o/ + +YbXfewTn6x2UZnWsmz9OecjicA9hFCLpRA+9KEPvefPvuv4ZAKgjctt4UxH/zH2qbVq0BCCAW9+ + 85u7v/u3h1z0wF60iyACOB78w8+ZWYwR1y4Ryt1VtfXc/eEf/uHlTEpD8FJj0Ne97nV33HGHDQPM + oQy7oksXQjj99DMnbz8xHnUlz83dzLqus/XGqfV2KoEcGGiNvc9cmoNjdM/TUCbAfD4vpUQNIYSk + AUBxm8/nRxUCZ2bznPuUxqNxzrkMuVp1M4hPRp0gTGfz2KXZbAaVI8xmbkeM0M5QZrXWdnOExvN8 + rvMvoivK1yKrn+V9BQ5MNjdyzi04UNwcHlJcnWXadNandthY5eWfns1oFncA89nuZDKptcJr33VW + aynDscnGbJ5DUAE851JrO1zHGOtyiIWXUnIWkaDapTSfTcej3qttnT4TYxx3/Xw6c/eUEtbGEhh8 + Mc5AFDBt3Tzw1VyFEObDoKpBpdYC1ZZbeHw09lw6Vdcw3d0NIfQpDcO86/r5fO5AjNHc83weQogx + Wa37F/6exS5+Nhve3LZmsxhjy+pOKfV9PwzDKtPx7PLXS7n4OWdMy9lVAXMDoBr6vo8pzefzXEqM + EYe85Sd1oS26WvMwzyGEUdcPwyDqbc7bfr+KgJdnWTqXa//nbVts6ruU0u7u7s72VkgxxlhrrW4q + hztETEbjp556Kh7bmIy6atlcNKAfjUqtZ/cxARy2jBG/xD3m4uyfuuybyuIZAQQhxVyLF8+1BFGo + aAzx3De9nP2diIjocojIKsS6XXjnnNstye1W5fZYVXPO7cUHTifnDEBV2wsWF+3L/+63Ssh291pr + rbXdFl1KCSGIyObmJoD5fN73fbtL+gp8eiIiIiIiIiIiIiIiIiIiIiIiIiK6YbigQjRo9gFxPpPd + mmaf/sIDQzeraRh86GOnOXbz8V233XPnibtFonrQ/bd40I3A3a+ThB2OYSUiIiIiIiIiumI0PNP3 + z/T9Ri7Jz1dcjUU4LlHXdbXWEEJKqd03DmB1YzkRERERERER0XVCXBLi7cefH6RDTQqrbqbVbJGs + EBwOLFKD99VsdbFV0qT4IlFYRB2iEAkys53HT3/1Obe/aDLasGLuEkIYvFYbEqIA6maCKhGA3hSx + we6tBjMUUsW1JVIr3AFfLCUTaBud5Xta4GyZtbwMpXY43MVNEF2SJr0uhnVdOvVWVn6x2ejykyrc + HdqqG7vCAW+f1bAst21ii/+Lm8s5P5eJ14Avqwwvluxiw1yGfLiYuPoy8MOhraryoni1mPgq03qx + eaubrXaBK7lwbgayHiig6hoMLuauMaTnHHveF7aSxlodKcSc6+FyqL0V/hY43GtQBayE/Mzu0xBT + 17adrFbuFS4Jfv1xhTjMNapL2Zo/NcjUxVThZliPCD5/4E0b9Wtiq4r/i2fUxKUvm88fvzDUKOpW + raJECQJpf2gw9VaifE8cD93UFkdXbZnW6mgHcECXSQmtB8qyuwpSCqM+DvNa57LZnYiW3vra7/nq + bz362OwMOgy1pSSgizqr5gJvpwBvidlYbFoOEwSHC1wg3qKsy5mdUwhuUmqtElRr2zjb1Yu0M8bi + cC96o59PiY7M2v0eOzvTv/7X/quPfewTKq10dctZuZQzaq211dE2M1UNIbQHRzffRERERERE1zuH + aIwf/qVfVA0zqw4M8zk0ws42ndmyGU0AAX7i/T8+m81SjF3XRdV5Hmo2CQd8mXK167D9s5QymUyy + 2XQ6+9Efee/HP/nJYrAD29VX0bPL3623Jy6yllUgGEoOokOtD37+jz/1+7/3um/91pMnT25tbeWc + W7TSBWKcjoQ4UkrmnnNBDN/yqlfefeddD335S0Me5FBdBg7oOQhfAAAgAElEQVSrVVS9GoDP//EX + c63inkLAua3ZV23NunsMcVYyVB555BFZNuRdaOT4gfPm3j5Urfad3/mdtVa4dyldndBxulmZ2eaJ + 47XW1s7cd11tIcr740tbj+rFxxgDOHx/3/liTc+3w8YYW64tzKvUGGMncSi53Udw+W1kpZSN8bjW + uru720Km3WtKqYXe1VK7rhtKHo1GuZaUUs3lst8TALquyzmbWYsfBtDePdfhwNdfq1PVobYHB+ow + GND3vbuXUlJKqjqbza50XF/f9y1xsJ3LVHWURqWULia0UQeOFOIiRDyX1r4q0rrm4O5uXmqOGvJ8 + iEsV7u7jzY0yH5adp2uf113P04tXSlGRlneoql3XlVLMzNzg3mK/N8eTCq+1BtFSiqrKstU3pSQi + OefwbO3Ae5LCRWQ8HrdNq5TctuTWpLznTxbd/YdbzOfdDjUolimPp0+fHo/HbQsPerj7fWqtq6bv + Y8eOnTlzZoCEEKxWtCscX8yzL0YkHPIDHNL+z7vYI0R2dnZWtzUNwwAgHf58PZ1ONzY23N3Ma60x + RhGZzmYhhD2HyqtzBLj44/wy3rufz+ci0hZFFBlKVtUrvmKIiIguQrv1uJ2d27Voa/pw95TS6sbk + Wmvf9/P5/AKTijG2sOqUkpld+IzfLjjbdZGZdV3XOvfbPOSc27t3XefuzLQmIiIiIiIiIiIiIiIi + IiIiIiIiIgAVhqBmRYJ5zVvlmV/71//LaXkKo1prjpow1RPy3H/3VW878YrnnAjPwdHc3kS3NA5j + JSIiIiIiIiI6YmfLdsT45t/+7U++6Y0v3do+Ma/efreoWWJnk3XoUoUQ2oNW8QStihAREV0VrUxY + K5GWUmpPtkIb7fhsZq2w6TWdTSIiIiI6YqvK9SIC91aAlVd9F6YA5oiqL37RNz752FPqDhk8zj0u + goRjBYAqcFmVN26xwoCjqgFmAnFIBQBHrVJb7GyxbKl+/uufecmL7rMyjLpjSfqh1KKWumhzJEM0 + r4oi2oKJFbYerXcjcgkAUCwkr6GYzwR9rVCBLqO727Jcj35VB2B1LdO6RclWMUmYl90ubMQssap4 + S+J89qV0HTbviUMABVpSaVEMAeoQVxeYmAHw0KITVqHpa3nnq0/dtpP1n6v3WMTxrj1pi7/05WJ3 + 2CqOfbldL4PG1cXMW8teANqW3+K2z06Nzm+xRQMKDwKPKA4DohV58R33f+qRf7Pj88mJvmznXrU4 + 7DAHaVWYeQw+DFWCQGxIO4+e/lJFdZcY03yYQiwE9WJ6UNzLzc1dVCPcXedf2/7SvNvOau4I3nJ/ + owEqRVHaemr9AuIKmAuCIZo6UNXQIWdEoLc+5yybFnL3khOvuN3uVIsueQg5xmBt9zSVtsMsjjwF + bRe+wY/ndAHqMFmGJ7U0a+gighrWgq7bmR0wda0CVa21jixh1ySoqiKHrk7uf+6rX3nHa089+bXT + s1PdSOGoxUpYdFstuqukQCCC4NY2MneURUC1ySLz3qfD9iyfGckGQoyaSq4pRkEFUFVdENwdUiVg + eRYmuklIS3xf36gvsIGbu5uVELtaa0ppNhskpBjjf/M3/uvf/M3fgiOmbhgGVSl1gAiWu95+LeNk + VUG7tcC3AtkA1hvhmWl9OWqtLStIRFoN8fa4lNJKmQNoz5dSWEmciOiau8hkTTZaEhHdiMTF27ef + RbvHIq4vqJrZYmBS7Nw916IxTcvwP334wzOrEiLq2e9WGoLVGlMo2QB0QWu1b335K/6dN31bcG85 + lbna4puUA8AqYFGWUzlfxuo1pCHkUsywORp/7/e8/a/91f/i1Nb23M3WxiOLLBt3Fj+Ac7ozDECL + sfRl4lL1RSvyP/zZf/Lhn/+ft6ZTF9UYSi4iDq+yNgFvvQHnCTS9sD0pm1g0gqHWGlKMEcWqBPnB + 73/n3/57fz8C9aBc66Ch2tmwqPXfC8SrRQ1udbD62c89+PpXv9rN1aqsdVLsjyC9QhRSa+26/szu + zmc++zkIzAGVfa32e2IeV70Ay+mIulknoo7v/I7/IIiayHoWKdElMDgE5tpainMxACLhgD1DVj8u + GMp+wB8dwnlff75fmBcrqtr2leoGX7SP7Tk0XdrOHkStVFndraACj8UgIVUHghSrrU1eIUeVaY21 + +N7VqAx3r7Xqoo9on2t0qjrU9iDtn8CrAYgavFqtlkJcP4hfzmFZ9p0tfJFLLZCAZYedOdzhonXV + OS5wuFkFIGF5olhNTRYZ1w5I0OrWfgIIXZrnQeSc2RYH4K0dd+/8tC1VINLOzqJAGTIAhRhcVESD + A0MtLqtl6yKLLngVwM0dQWV5pXSxHDCrEhRA1NhaPldtzmc/LNB6Iw+9Ks7zB6sv7+4+HvVws2qH + zbQGIBpLddUIYD4d+jQCYIa2ctdn4bBHqku0b/qrJ2KMqxN025cPm2mNZWuGuQhEglaHi8TYHfDO + 1/Ridf+bt2dqLlEDsNjlHdDFNdBZ1+FlNhER3SLaeXZ1SzLWOtlbL/DqhrhVpnVLpG4PWiR2u5Ra + ddnnnNuD1cv29+/XWtufqGpLsF71RwNod+SxR4OIiIiIiIiIiIiIiIiIiIiIiK5zqxKUzfpYLCI6 + ciamIZY6EyBZkmG8FeRMfGp782m3IfZSsnebk9OzPI1n+n6MaVorJkk3Bndvd2O4e4xxNpst7zy6 + Zli+ioiIiIiIiIjoigmCvn+m73PL9liUitNlDQ6HKzMniIjoBrUKcmgVNwDknFcFONrjVVmNVZ0O + IiIiIqJbkLgGaML4ucfvSN5LRQihuJdljWP1xT+0KOJVeToHABMzWSQ3tH8twHIRPCCoWj//tQdt + Y0AUNylDrrVqirNhWATfLtulbrKxZuoQAFJdfJHm7DBZhDqvlqLJMlx52RC3WsLiKq7eYpXFISau + 4jd8gcCzW5DABVVg0Cpq0uplK1pA6jKs9OCptMW1/nP9Vwc3bJ4TX73asNe38NUU1n8DX/3Sbrrt + 9EqxZbi4uqhbcDezGLs7br8n1UkfJtPpHF5V/NCLVOEOd4dAHSI+x+zpnac8GGAtzREKF4iIXttS + 2deCmESJgFXJT209bl1uKb6NLXaxgnNjUXxtR2tHHrREmZZO7h41usEL7j754lHdCCaOaqgIYqju + 3qarrnBdRMWfb+elm8iBh1AHXMzFXApQVvt4267ERSxo28xc1FUtjerG61/xppFtTuJIPdbi43GC + q3qbHEza6cDEFwnW8OXVxrl7uSum812ou0Ad0YOaK8zE2vEcgLi34zyHKNOtLEZV1VafWiSMRhMR + /Tt/5+/++q//Rs41hDAMA9o9Wuqi572ZoN3E1bKrW9t73/eXEINBz2pVN1xEWvdH6+OYTCYpJRFp + eSS1VmZaExERERFdaQcmJS8CAquNRiN3h4rGaCr/66/+yjPbZypQagEgqjADYLVCpA1YGnepVlPg + vf/xn9P9Iclr77vshbl+ubuZtRFZ0eUD73tfddvTTtvaKwNEcIj+jtaU/H/+X//8C19+KKTUjfpc + F/mX7r63kf+otfjYqBo1iPn3/Iff3QdxICwCQEVFddnds55pvfdTuIgEM2tNW7/36d8fSl0FTV2T + 9WulllI2Njb+zaceKIu41P0zsezJOk88pZtN+lF1f+UrXvGSF794GIbrfEOlGwiDRS9e63hd/aPD + uk4OXEe17vzcrsAjmSC3LtqDmwQREdFVs+oONrP5fN666VuvcXu86izeU5V1j/P177c/iTGuQrLB + HGsiIiIiIiIiIiIiIiIiIiIiIiIiuiBHFREVqEOr1lKGON/tzuQ0y3Faw6yGWU7TEucA1ALrfdHl + 4zZERERERERERERERESHZmbDMJhZ13UiMp/PU0pmFmN095QSgNlsBmAYhvZfIiIiIqJblkgQid/w + opcF60MI7nW9LL1BWxLqpUUmmJavPP3lnWFLJCk6wCC11y5YaC+oIg4JbmERbs3xQkQ3KvWzAe2A + LRJooXBR8ejygttfOA7HUugsO1QMh469VNVWe1MVLdzR3Z965kkXs+DVsqoCAnMRufXGH6qbQNVg + UDz+xNdVdVGtVJ4lZ9qXgSTtGB+gYhCBK6oWSZAimuO993wjIL4sT96Kmbq7HZT6zlxr2kMd4nDZ + l0Vd8bJ7v+meF7xUhyTVIe7qxaodskCuCaCytbujqu7VvYq4wQ/cFK+TgAqiI+OL4PY1tvZvzzMY + So5d2traGo1G0+m0lPLhD3/4Z37m53KuIljlItda4X6Bste11nYiaCHZfd/P5/MLvJ4uTcuEa3XD + W5p1W+Axxpxze97dY4whBC5/IiIiIqKrQxyAno37bVfmKqsooNZ0+aEPfahaDbroEFHV9mqItPBg + gcyHDOB5zzn5zne+8xp8kqO2Cj0SkXe9613jNPZFJvXZRprVIjrsxHOtv/SLv1xKmc/npRRV7bpu + lbd0+fZndrYWqhhjKcXMQgillFe84hX33XdfEpjD4Q43N3OTPQHeOCClPIRgaKsev/07/zqkGFIE + 9n+vv0pCima2s7Pz0Je/LAI5XNr4WbP5DMD3fd/3xRi7rsNyLRMRERERERHR5cs5Y9myNAzDKpo6 + 59zyrdvL2mi6803kfP37pZRhGNoLVoPBrsrHIiIiIiIiIiIiIiIiIiIiIiIiIqIbldvqZlIXtdmw + CzFdH4Eoa+WPWPDrpnDNR5jeamUliYiIiIiIiIiIiIjoCLS6paq6s7MDoO97AO4+DEMpBcAwDKPR + CEDXdbUeOkaLiIiIiOim4YAJPMu9d9y3geNRQ/ZBQrDlsCEXdUQTrEUOHGJIj0vNafr5rzzoJmpB + A4JUZO9Cpw5bhgQER3Bz6P60SyK6oai6AjAxF1vu0RpFrfhGOP7C2+/ywWMUTTq4H3Z/d/cKuEAA + M7hCRLa3t3eGHQtWvaiqOMxc5FaMdRRRd69qJnbq1NMicm6mi7mYCUzgABYx5C1KBwBM0daaQrwi + CABkFFcPJR0PJ+56wZ0wWeSXm6iLuO4ph9oWOjOtab824twFJuLSBpobADEJFv/EK78tznopGqMO + Q7m01jpV3d3dRQCWKdfteeelBdG5WmXq8Xhcq49Gk9/4jd/4m3/zbwFIKajKfD4HEGNsJ5EQwvmm + 00pdu3utVUSYaX2FuHtbF2bWaoibWa21dXaUUlqKWys1fgmxcEREREREdCTcPaU0n89VtdZa3R54 + 4IEH/uDTaBHXy9cAgGqMEYAALXdIgT/7A3/m2LFj13D+j4S7t4/m1WKMd9xxx3d+13e0X+3JS25R + 0IedflT9hV/4hdkwlxD68SjnPJ/Pr8L3oFJKF5M4vFZxiPk7/vSfrvu+Afui6fpCFpHeqgA+9cDv + tyipxa/W4rSvTnPWan19+t/+AdDC1s/Oz8Vrwe0nJhvveMc7ptOpLB35DBMRERERERHdgswsxigi + tdYQQus1bp3FMcZaawu9jjGGcKHxcufr348xdl3XErLby0SkTZOIiIiIiIiIiIiIiIiIiIiIiIiI + aD91CNBut3R3iT7Lu+fcU9gqly6KjK3+0Q2pjTi9HupKMdaaiIiIiIiIiIiIiIgOrdY6m80ATCaT + lvEwn89DCF3XdV03DEPXdQBaoY0LxHIQEREREd0KzEXRnUzPu/s59yKbKmqtUIgDjipaZTGGR32R + SXnxqpY6mv/+5x+AurvUmoNImWU1BeBiVdRExRFa2iXHCxHdwFqmtbrAZRGu3HZqhSBbLOP77n5F + 3c0pqgUUwbNlfOxVVoMaVSpgcAmaLX/99GMWsou1gJh2pLJLy8W9kYlIdSuSp3lnngcRcV+ExbjA + dTmo1wFAHOoqDhesDu0mbeyvBlssxqxwQSz9i07ec3x8GwoEQSUKtC1hAdtV6NmpQ+EKr6JV2zOL + DTIilG1/1T2vu/P4vZIRNbhA4+HfQxywYllE3B1Yv2ThiHa6xe29ryNoKtlUYwjhn/3Wb/2V//Sv + xqgAcq51mcq1qIIdYs3lfNOttbYM7M3NzVKKu18Ptx/cfFrx8VJKixbrui6l1H7VSpO3no7W99HC + rYmIiIiI6CorpYiIStSQNIbqLqL/4z/5xwAE0rKCADMvcKBaKXMJEIi5RdU+pve///3TnZ1r/TmO + gLu3xhkREcd7fvjdnagu7levkMtqpclmTz7z9Ec/+tGWmtx1XSmmIZnABHCFH0EfU4uXXg+Zzjmn + lGKMZpZSCiG883v/o9a8rUE0BEjrUoNh0Sx14NfjFnmOZdL5o48++thjj5VSF290RPN/8Qwo1STo + Jz/5yS5GMwAws32vWjxz7uc6W0uiWk0xveENb3jxPd/Q9/3u7q6ZMdaaiIiIiIiI6EioamsJaYHT + rXlhT33Avu9LKa3Z4XzO17/v7tPpNMaI5TiBYRhWXdJERERERERERERERERERERERERERPuJCKBm + 7qgefV6mqir7b60UX4ZbE10ulqklIiIiIiIiIiIiIqJDCyGMRqOccyuRqaqtSEervNkyrWutKSVm + PBARERHRLU/NkKSXafeqe18tBSnFUqCqaOGwAldzMfXFwLBVDuXFcLEpdh5+8qFd30FwLzVpFG/J + BWZiLWlgMeRskahKRDcsF0ABNzEX88Uere41uHY2uu+u+0cyRvZiVcPB2R7nJXCHKtaqakIVFuuX + H/tSiXNXc29VO692/sd1QhCKW9X69TNfr1gUMNW19BAXW4tjUXhLIm+hL4DDxUxMfZFIIgIXFLOx + b7z8rm8ONcFEXMUlIJiZyjnhw23KxrwSOkgwiMMEBkCs/VNHQOgxOm4nX3Pv60PWkrNGgYofckNy + h7urqns7BMEEtjwQ7dssb8VDBNFKK0idUvqd3/mdv/AXPiiCYTAAAlHREEII4SKn04pZb29vr+pl + 74u/oss1DEMIIcaYcw4hDMOQc26B1q3Xw91br0cIoT0gIiIiIqKrrMULtYv2WqvG8LXHH//oR38z + SHC4w1UVANwhgvYFyrx9OzOz7/j2t975wheNx+Nr+iGOQMtVarHWpZSu697whjfcf//9LQG6NQe3 + HKb21fFw7cOACvq+/+n/4R+5YDqdGTCajFdfSK+clFL7/tXF5LV6tZe8+N43f9sbHajV20c+bIpz + S4R+4IEHVBUurS0LV7dxVVVbWtVnP/vZoZTFcjzk8mxdAqXk97z73cMwKCSKXoWVQkRERERERHTr + aDe+tW/xpZTW0NS65lv70nw+bw8u0EBxvv59ERmPxyKyvb3dhgoctpWDiIiIiIiIiIiIiIiIiIiI + iIiIiG4xCteAIA4z81i351sA1BfJ1rzD8CZzndw0yrJxRERERERERERERER0aO5uZiml+Xy+KqgR + QlBVM6u1rl7ZdR2TrYmIiIjoFuci4iHl7mV33b+RTmgNIlBAXeFqYiYFAGQZQnkYJjbTvOVnHnr8 + jyxMY4xSQ9Ioi9Tb1RAlhWuLtySiG5dgEVvrApPqqyOGuyKE3D3v+IteePudWkMtwEUlZp7DAVG4 + C6CiMEGFu/hDj31+CLueqnnFIhkliIRbcAiiAyUMj3z94SLV3XWVkiMADDgn07o9XA9KMYGLAYpl + qrArxGSC215+z7d4DkGCuKiriJhBJKzSSw8bQky3FHGIQx0O2J790qT3ie6MvuXe1x/vTtocIlIO + P4jZHC7ouq4NgBYRwBYNg2KA2fKIxE2Vbka695S3DI8/4B+QrUpMv/v/feIv/eW/AqDtKCGIBK1u + tdZVE3qri30+pZScMwB3r7UOwyAii6g2Ojotqbp1edRaQwgiUkqptaaU3L0lXq+XMiciIiIioqss + hs5NXFCs5mqAfPgXfym77YkIApbf1xzurjABuhDf97737ezsdDFey89w1MzMzDYnox/+oR/sRBeN + wQ53FxG5pBYac5jZ5z73uc985jOqOp3O2ggxuMKP5tvogX1gLa18GIYQgpnl+Vzc3//+96e4+Fhm + BnW0+G6VxYP9E1+2pZpZCALg//7n/1JjNEH7g6uZaQ1AVCt8NpRP/d6n25Yaz9kI7TzLY20KgAIB + uPP5L3jrW99aa55Op+PxWJXJ1kRERERERERHI+fcdV3OuX1tzzm3ViYROXXqFIAQQoyxlBJCuMD3 + 8fP175dS2l9tbm6KyHw+Tymx35mIiIiIiIiIiIiIiIiIiIiIiIiILkAMAhUJJjb4bGu2ZWaydqen + CQxwlvu6Ma0yHa4rLGtFRERERERERERERESHJiKtMMdkMpElVRWRyWTSanm0SqOllJYJQURERER0 + y1LVmm0ko+eMn39y8tw68z5ErwaPgALFDxllvc4FHjHo7MFHH5iGZzSFnKs4fJlprd7yswWAOA4b + m01E1xU1bfEbvgjO9BafrKrqiqwjm9x18hs6G8NwCakWohARM3N3EbjD3auWr289NoSZh2qo6lCE + 1hRw9J/w+lbhCDXH/PXTj1Wv7q4qsLU0FFkmW5+b8rJKpHaBCdxdXd1dXQIQLE382F3PebEUUY3t + VyLB3ffHk7T3YsQ17ddi711gUIPibCqOStG+Tu6+7cXP23xB8gi7lMwbcbhJjHEt1nrxJnuvLVwB + KIN16BbmLo88/JUPfvCDTzxxqhS0etS1eq1VVVNKAFZn0gvEVLdftZ3OzLquWwV00dFqq6aUEmNs + jwGISCtZ3uqMd13XEq+v9cwSEREREd2K3H0oeTQa1VpDirnW//6nfzqlVGEOiSG6+9kWszaECSil + RuClL3nxm/7UG2+/7cTu7u61/AxHoZTS2m9DCF3XzXZ2FfLD7/qhlFKMYbUA3N1x6KYZBwwYSs3w + f/iP/nHq+/HGJNcKveLfg1p81GqYWfvi/JY3//u333ZyPO4BiEAu7gOllETE3c3cgY9//OO1OgC/ + Fm2qtVYAu7u7n/v8H7Vn7DDrpWVat7l++/d8z4ljmxvjcYsAb5vB0c8xERERERER0a0npZRzbs0R + 8/l8PB4DGIYBwObm5mw2a00Wz/pl/Hz9+zHG1vXcftt1nbu32+6IiIiIiIiIiIiIiIiIiIiIiIiI + iA7kLiJBJQI+yLBTtsxscdPh4m7J5Q2ILEh3U/BLqBp51BhrTURERERERERENypfAtDu6yYioqvM + zPZX5WjFOxoRYa0NIiIiopvJ/sEuzPS6GC0XrZNkO/n1L/+TnW0gOwyLoTuyrEm/csiFqiK7tvOZ + Rz6ex2eKZbUgQVRbsvUi5NJEqwpg4r4/fZKIbiy+7zCRc44S+zD2qbzqpa8ey3HJ4dJGmpq5SlQP + 7hCBi0HtyekTXzv1iMcqsgharrVKuOXGH1YvSLB+ePDhz8ZxQEAdPIRzXtOOsOJQQN3ETX1v5opZ + ca9RoleXjFRHr3/5G3WaEjoxAdRdYB5Ea82qcNm7Mi8yxIVuTYutZZmtHkXFJNUes/Tye7451l4s + XtoVXApJEWqtIYScs6ruaRtUjkumW0yttWW911rdRCWWbKrxa199/H3ve/9jjz21eqUDGgKgZliV + q17Vs8aykvX6g1YU291LKWaWUnJfnIWvzae9qYUQ2s8WOdZ+7l/U7h72nPivIndf/wLeIrev1czc + BFa58u3BNVyzdEtpx/x2eOHx/JLVWvePFDKzdvQupbT/cgkTEd2wHHtzfxVQg3ddN58PqR/l6r/8 + v/3KzjCfta9X8FILALSDvzvc22lXAAP+8l/6T2B1tjvtU7rRW9U0JhddxDbX0o+6UsrmZPLn3vOe + WmoABGjZSEHTpbTTiBQ3Bz76z37za48/NgyDiBzt1fKeeWotn6raAq3NzKvBHOZdTB/8yZ+aTecp + qjvMVpvGci3u71+DmZV2GSAaDHjwjz//pYe/LEHNTLUtIYiIyBXvLHOBxJD67l/8q39py1Z9q3X1 + Aln7t3pKwuJLyvonE+Av/sUPDsMwnU5byFYpVZXfYoiIiIiIiIiORvu6DaDv+/ag6zosu/VX/Tst + uHrVVCIiKaVVp+2F+/fbW8jS1ftsh1FrNbPW9CQi7fMCWN0Y2J65cLw3EdG10nqK163f5twOyLXW + utZIS0RERERERERERERERERERBdvNRrK4QDaQKNrOkdENzkzCyGUUrLn7nj3+NOPq2qQCG933S6q + 3LV/4qz7dYNpg1TbsbSNTZ3P59d8hCk3IyIiIiIiIiIiuiGVUkSkVc0D0O703n/PIRERERERERHR + NdenJObDbH58fOJld31zN5/0GCWERXyCnK1Z38r32yFHFJl5SPJ0+drvPfT/1jQgiagPNm/TUVdA + TLB8Nw4YIrqRybIopKs6ZJmhHGInEmxeN9PmS+64P+XxJBxL6C5tpKk42qGiHTeqlrlsP/zUl2sY + ch1WlTpvwfbYmMKs7p6aP7lTTrVFEQJaoc69Q+zFlgf59Tqey9UREPsu55LQbeimzPpvfum3xtpz + ZDBdOjHAXBfbm68FTJsXcQkSY+3uff59Ez/mxS9h+HKAulkf+4DwLFcTwvK1dKtQ1ZxzCCGGLsY4 + DKXvx0888dSf//M/+cUvPqyKECACEajqhYuitgrXm5ubpRR3H41Gq9zrGON6ivx1W+GarrTWOY7l + fSl76p7TYbl7y8wDkFIqpbS7ua7xaqabVzvOt1r/sjbWhS5BCKEtvdW5MuesqiEEM2sLuUVj4qDa + 5UREdIPKOYtINXP3nPPP/OzPqoovm0f3Ns05FKJAEn3ubSe+/a1v8WpR1crNFlYhDnUAeO973+uA + qgAYhkFVq1WVQzc2uruoGrC9u/urv/prISURnc/nfo2uXL7/B75vY9RbsRgkKAD0Xdq3vs8Skfbt + e/1r+Mc+9rHp7rwbj9o1mIi0a7OrcD02DMNQyqc+9alx3y/KteizrBQ3W4VjTfpOgAj8mXd+34lj + x4NIClHMa61HmzVOREREREREROfTEp1bacjW2tA6I7qua+1UIhJCCCHc6P37wzCEENooiBhjy/Nu + vdI55/ag1tq6Vq/1zBIRHSDGmHNux+paa4xxMpmIiL99nWIAACAASURBVKqufk4mkxgj21eJiIiI + iIiIiIiIiIiIiIiI6PoXQhiGQaN04+7M8Myp2VNQt7y6T1YBgat4y7RWVhily8dtiIiIiIiIiIiI + bkjt9sK+7919e3sbgIi0u8SJiIiIiIiIiK4rtdaWoKAe79i882UvfGVfR8gtzNrUlyN4HMChM60B + iKhrnYYzH/+j/5+9e4+WLKvrBP/9/fbe58R9ZGZVYlXxKEAoCkEKtHiVIC9BZ/DRMzrSM4pKq7NQ + VwuINmPT7WC3ivYa7R5thMbXarVVoNVZbWOj0yPvN/IuLXkUxaMoqoqiXpl57404Z+/9+80fOyLy + 5rPIrKzMmze/nxUrVmRk3IhzIs45EWc/ft/3lEnJWqoWwI4KlbQ26OzE5f6J6Dzhh8ePugIwQa4m + IQZxZOztLnn4ZVf1topB9DRjkrf/lVWtYxyuv+kTVbNpdYWISNALL7fWTMrgWzffeeOWHSpSqlsI + atYO4Is3TYD5wdZMzAGZ/1MBtOBqFzNkdyR0cdY9eM9DHnbZFRgV95APY/NvDYe6nu6HS7vWcvMR + ByCGbUcABQAx/doHXLknXoyMgFP7waGu4qqmfb8iCOoqLseL/7nwDgx0YTl6/kar6TzMsrvnXFXj + oUOb/8fLfva66z4FgTmqwRzmcBeRcKLnWVa1bp2eAIZhcPdSSosxrrW2x7RC2HRhqrW2GGYRaYXC + 23HY6bQAGMexjTdYVmbneAO6j6hqq5FtZm3/1XuKM6STMLNxHM2s6zoRGYYhpbQMDm8Zlu6eUprN + ZtyviYjOa+KtlQMAVlZW5j+DBe9897v+/lOflJDM4cfLtAbQIoSL2wte8IJLLrnE3fsURXbPKdXh + N0dc3B/5iCu++ZonTboeQPuKBGB+6g01ElrokgO/+3u/N51OSykxJODolsvtn86pLvaxf+ty/JbR + yx/4oOd97/cIIIsT4lqrHv3IZcEFbSuuMUAFgIo68F/f+MZ+dcUMIQSoQMXgAPy+P8WOXYKGt7/j + HWPJ7Z5FXIodtxlNQ4BrKaWtyGwYu6QG/OgP/8j66qrX2sVgZm4WNcB2z/ZMREREREREtAPVWls3 + xDAMyy7a1qvo7uM4Lqczt56L871/v3VGj+PYgqtTSiKSc8ZiKndL7M45d13HZGsi2oFqrSmlduwV + kVprOyAvQ6xFpB3lzKylXxMREREREREREREREREREdFpO6boEBGdYZo0W0ZAxrBph+7Y+gqktD3v + RFMyie4lVkIhIiIiIiIiIqLzVZtbWGtdX1939+l0en7N9CYiIiIiIiKiC4OJm9csIXgR2eqe+thn + 6bSPtQccUgQQn6dNn0amNYAAGesoK/Xzt3/29tmts7CZbQwhqKk4DOoCF1MmTRKd/+xw3IeoaVjm + f2gcSwlBUMw2wpMf8zSZpmS9nHrycctg1nkmCkzhWmocv3DbZ8e6pWqiDnVXh7rJBXRgMbFiY+xx + w82fzjqTbpGS4nDRFjG+PJ4DBmkXYJnU4u1hagGjWdepVJWN9E2PfjpmUTwyqZruHQNMYIsY9fnm + 5OoVtXpxk3Xdf3F/aZJeccpBTuqawmTSTcRVXABV120xvdsXA8y3pgtEKSWGbn19vVZXjQJ98Yte + 8o53v08Vfd+1fstWGvXknZgt07pVhW5lr5cVsbuuyzm3JwkhLMtG0wUohLC5udmicFNKAFZXV81M + 6bTEGEMIwzAAiDGWUty97YwEQOiMaptWq5q9zFDn8fy0qWrXdaq6ubkJoO97AO4uIgDGcWzfmAAm + kwnLkRMR7RqllOoWuiQhvPo1r3GgWJUTV75QoAuhT+Gf/NALDh04mEKwWnW3VMpYtuos86HHcXzR + i140nc0UsFLbN2CK6fSe34EY4hdv/tIb3/jfVtZWT6/r6t5TYGtj8yde+GOTEN2RVIOilnv+2S4i + 7TS8xVd/8IMfLqVsbG52fW9mZiYiIYSz8PvfTW677bYbbrihVEM79cgZJ9oOBVYBAQStcaDleX/T + E5/whKuvtlLF3d1VpG35PH8hIiIiIiIiuk+1bvq+7/u+b00Ns9ks59z6aluPD4DW2tD6gHZB/37X + dQBqrVtbW2bWelGHYcg5j+NYa+UMbiLasdrht/XFtz5lAO1Q1ve96nw4Zc55Mpkss66JiIiIiIiI + iIiIiIiIiIiIiHYgExQrUHOtW/nggfGOQ8Od2cYQDs9PdBwRbn2q9cTonDt2lvQ5H6QZz/HrExER + ERERERERnZacc5tSuKztvrKycq4XioiIiIiIiIjoaAL0KW3lQQyqXaqrj3zAY/b3DypeMw64HpFp + PY+RPZURReKAwB0ZddMPfeiz73/2oy6RjdjbREXhwQUVgNQWMGkQxqYSnbcMbRSpqwDqLg6FGTR1 + /db0kHQy6TqDXHHZo+/XX3I7Zls+nEaEl7huH6tqUjwOd2x8+bY7bt23st+9mpUqCgXO9QjIsy1U + 7fG5mz9T0xhi8NHdXQR+5HFVfJ4OXhUm7ag+D7RWh4m5wBQxxnLILu8fePXDn1inmMzjgedhJH5M + uMkRd7gC8AspVpzukQtMrP0wgC+HB5u5u3iVHEKwWbz/3od8Zvi7Tds89VfQPat7UugU6i4BcHNV + jmanC8oxR13XWuuBA4f27r3Iqr/85/7lO9/1XhU1s3EsAES0Vu+6yTiO91jcuRWAbumnbdaBu89m + s8lkghahHeM4jq2HlC5A7r62tlZKCSGUUlR1a2srhMDE1tOz3NGwCJJPKbEI+xK3qzOrbWwhhBam + 3vd9KaVlHtBpqLW2UuOrq6vu7u455xZuvfyiHIZBVVNKLEdORLRrmJmq1lo//JGPvef97zPArUKW + 3SpHP14Fudbveu53PPCBD4yAwtq3g+ju6R9RmAkAVaCL4ZlPf8al++9356EDs1yiBoOM5dRjk9w1 + BKsYa5mE8Buv+vc/+PzvyzmHeGamom9vSDq2/fNYXYyP+bpHP+97v+f1f/pntRoAVdQTt4m2pGd3 + dzNA3SHAXRsH3vmu9zzzm59aay21CjyldHYizsda3vq2t41WDRDVUgsAVTU7we9t99B3dZy1k5TV + yWQ2m/30T/90jCEPYxdjKSVIaPuCqvoF10BPREREREREdFYdOHBg3759AFrPTtd1Zra1tSUiraO2 + dUPUWltX4/nev9+6tFp0d8t/bSvo7jHG7R2s8Qw1FhERnVltqEPrix/Hse97d6+1DsPQHrC6urq1 + tTWbzdoYrXO5rEREREREREREREREREREROc/dr4T3Zcs16zBJVRJ/pnPXjdgqyID7mIucOye2bLU + yt3shKI33KqIiIiIiIiIiOi81GZ6txmGbeL3OI6tlBsRERERERER0Y6Sh3HS9VAtGRPsWfV9j3vk + NTaNEAMQDGLzUv4OdehXU8r/SNZ1Ostek33wk+/ZkDvjRGGiLoBWERMIrMVbc7wQ0flNCmCAiqu4 + LPfnoeTYd5BSa51gT+97H/fIJ9RNk1M/oMxfZ/vgRoGFXDV/9gufcRQRh7h7veCGlYuHIBvTu267 + ++aquXgpZmauCkBdTpruu8i0bm9ZcUjEUDKyPPZh33BR2N/JBM7jM50+B0wMgMIXSeoBgAAVtUix + YKEPGLrLL3lYQsQpR1WquFy87+IoUV3FXCQAuhNGQhOdQ33fD0NeWVkrub7iFf/qL//yTQDcXUMA + EGNs+0hL1TpJrGarptrqpc5mMxFpnZ4isrKyIiIbGxvtzy+4L186Uq01xigiy6BWZg/fGyLS6q23 + avLjODL+dknojFqObAHQ972ZxRhzPvWYSQIAhBAmk0nOWaQleWpLCgfQdd3yfU4pDcPA36tERLtG + SklEVPW1r/1tA7rUAYD7sYHWjTkA/PAP/3CQ+b/bb+mTteCdh9QPN+SmFJ///OePuQSIu7dT0VMj + AGBu7YexGT59w2fe+ta3TiaT021mvrdSiDXnl7zoxXvXVhUQQAwhyEnSnFW1rXs7N2/vwhve8Ib1 + 9fVsHkJoPyHOzsnUZDJ505ve5IAqlp+InfSl6ziKqruLYGs2u+ZJT/i253xrO1tp7Qzurqrt+iys + AhEREREREdEFy8xaprWZhRBaqLOqikhrPGnXy05GOc/795cJryklM3P31vlSSmmrr6qtRWVra+sc + LysR0fHUWkMIreG09SaXUsZxbF3Gfd8D2NraCiF0XXd+HaKJiIiIiIiIiIiIiIiIiIh2IHa+E93H + TAMQTULOPvv4pz6KroQAswJxwBfVS+3wRU59VinRkThtlYiIiIiIiIiIzldtHnibFg6g67pWdZqI + iIiIiIiIaEepeQwhVBdzjTVhlh7/qGvWV/YDgEANwZZjeNRET3VIjziCKAQIevOhL/7DFz6m0RUQ + V3WtoiZQ1OAcbUZ0nhNz8eXIUXWISQvmGMaiIQBmJVtWyf3VVz1hNa2d8is4dJ4IcnjUuAtcPfb6 + 6c98YigDWkCzwHV3hcB8FUz8s1+4YVamFmuxLCIiOE5KmkABCEzhAnXVZWS1K1xb+HApvnd932Mf + 8Q1h7CM6b+EwYie8bMOhn3QsF7hAvG0e0m4D7ZeF11DMrJPJZRc/CMW37exfFXGI68X79sOkXYIo + AD9XoUZEO8NsNltfX1fVV7/61a97/Rtmw0wRATUzcyvFRKOImBmgduLUqpxzK6u6TKVqcaellFZZ + dX19XUSGYUgptcrRdAFq4bgtwwxAK7ybc3Y6XaWUra0td9/Y2HB3Mzud5D+ir8Iy26BlALQ5uoxR + P21th22p1csJzyGEnHPbkd0dgJm10uRERHQ+km1pzc0wjDGk66+/4c1vfjOAUgqgmk52qL/q67/+ + mmuuiTGOs5m7x6i7MwZYTNzEoSLf//3fH1Qcbm4AJpPJqT+bwKV9mVavXYivee1rh2E4g8u7bJI6 + 9lM+elkc6vBqX3flld/57d+hwErfO1Dr4s9c4Ud/pu5+ZIutCsK73/3uG2+8seVOtVHH1Q16n7dr + 3XLLLR/96EcBuLW2X5GTb4QiAHxxYtIl/akXv0TDPDHL3YOou4nAj9MqTURERERERERnXuugV523 + LLXM1HEcW8BzKaXrupxzu+3nc/9+6ypt161vut0fY9zY2Gir35KtV1dX2yoTEe0o7fjc0qxjjCkl + d2/d9DHG1rmcUjKzcRx5HCMiIiIiIiIiIiIiIiIiIiKinS441A5tHfz433/otjtu1s4lmhyelukt + ytqPKU9HdNp24zRsIiIiIiIiIqJdrrUPaivupo6jipNF933DgGGA1V0cJrAsytZqzLUJ3nJi53Rh + iYiIiIiIiOiCNlldmY3DWIa11UlCF8f0wD1f+/BLr+xKChUGrXJ4DI/eUx3/ownMfRhLSrFIzWn2 + 4U++Z5CtKuYt7RaAOFxcYADTJ4lOtIvZmdk7FoM7Hduabo96yRa2YUc8/lQIbHserYtNVrpxHMeS + +35FzEPRB+952EMuviLWqA51BRQyT711gfr8srxz+2K6wMXFdR586xgrPJYbbvvkIdw1yugCKy5l + W1rz4VGturhAYJC6iGTWHTle8fDSmlbTbFoBiKt4BGCCqjCBuAJlQ+68/rZPeqhBVBwhthAUACZu + hw+wPv9c1SA+TwEGzMRcC6REhRb03j9w34O/9pIrelnxjFNqx+YgYjqObSk+81ggMcBF1QRmVmal + k269Xy/ZNAKLGCHffhxY7qrb7lRHME3jZF93P3evWgxVRAIU5oCqa3ukCWy++2Nnb6fbD8snWs6d + vPx09tnRm4QrXFPqNzenr/uT1//6q14DQDQUmMPdPcQIwGrFvO6zOU6caw0AqLUuo3ZbWmeMUURa + HVV377rO3WOM99FK0g7XNo+WZAag67rZbMbt4bTlnEWkRci3ssW+yJUnOuOWoeltGxMRM+P2dtpE + JIQQY1xdXV0OClLVruv6vm/VydsjSykcL0REdN7Z1kYxb9+YN+eKIOjv/9F/OjjbAqS6qQbLeX6K + f2T7qwBdiv/oO799dbIyzobV1dWgWoayC5KA2xtyuB1m8V6ZWdLwiCseds2Tnhzmac02m22d2rM7 + 4A64malon/qhlre+/e033vTF9pLbP53TW3KcYjv8mIe1yYpXe/nP/mzUMA4DcJI0apufMbUmr8XH + bahfvvO2D3zog1BvI43dXSEp3LfnUwZ593vfc+sdtzsWbb/uXk1wghVwADV1EUAIIo6nPOUp3/rs + 59QxpxC91jLmEALmz+Zm99DOQERERERERET3Rpu2HGNsWc7tzhaP2nVdO9mPMbp762083/v3W7OD + qrZe6eU9ANbX17c/rI1nOCcLSUR0cl3XAWgH5HEcl930y5bhBgCPY0RERERERERERERERERERPfW + fOIf6/OcS9vnGIovJt6JHb6nFaQSs/nlHCwknUj7+Exghz8gM61Vq2ktcZimOzf6274wvf6/f/BN + WQcXK6VoEJlX92rFvojOJJZBISIiIiIiIiI67zjmLfa6PblEDAFw95U8PGzj0Nue8Qzk3VyzrE2N + bteqeh5N8CYiIiIiIiLaNVpszC6IAbhPObDlZkli8mG2KcX2hnW9K/xP13zP3nKRjDKa52CmAoGV + ol7UTV1PdDniyRdhk12ns1KqVp/MvnD79Tfc+qnS59L5UPNaSpJr9WAaIe5ezs0bQbTzSAuSP8H/ + 2r0KutZtlxbq3ILmFa5wAQRyTEDmPXIFBK7qBpiJVtWWl1zyLEXt0vpsMEhdSyt2a3ru479bZx6D + Ru+D9wZUhadQKsQ1OIJDfb5Ey+OJCUyKialrqAqPgPYRm/WQXzJ9z/VvnfUjYuywGmtqQ5kFJu7z + 7GoP8NDuV2TBCMmAG9QQdtiQRW0LLB4AuE4tTF1GiKGEiB4hDp4tQmOQ7KGTrT13fPjm90IdM4mQ + BC3FXAEpkPnRdR4tA8CBERNoiF0WrygmJUsxQcraTVdXphc/6+rnrup+H4O7h/beuJ7o4ou0dAdc + zIUjiukYroCIq8IVVVEhVmsVCVpiki6MutatIklxCFpeu7rMtzIA6hrRmcEFxQAJISSrSNatDRdf + eeljxjLIihUptVaFRAntSQBz8SpaW8fNTvx5eNTxx7B9J1ousOA4AcZ0IRA72cVrUJiXFkSaUj9k + d0mzsb75re94xb/+xfY1X90c5jAANZe2LbnXxUZlgKkiRm3b2LJ/U0RaprWZtWDO7VmnrY7qMrbz + XLw7F5Dt73C7vb3U+Ll9/1uMKxaxuAAmk8k5XJ7z3XLPajeO2u+IzqztG9hylMs5XaLdYBkWvl0r + R97eXlVdxi0QEdH5wgWGGrtQLJuXCAmOKMEdCPEzn//C7/zBHyCm2loyVeEQaAodABzxYx4pxP/9 + R360jGMKoebSvo53U3+WCxzqizaYqFrGHIHve973wh1ACKLxNL4KDV5jVPP5V23Q7v/+jVeZhgJA + NIQwDEM7sXWvh3PHF6HX2y9Ne4ye4GH3sJrVHJaCPuCy+//oD/9Iq7YQJShEIBDTKO3MPSTFtihr + ONwKYIZqQAXe8Gd/WsxcxQUppZKzmx13sY+7bCdZzfmDVYrV6pZScvecc0rpj17/utj1rcVAABVV + HO5X3d7eO2+5EsnjCEeKUYB/9X++QtyDBC8WJIiIVwuiNRf+ziEiIiIiIiI6C5YdOtvPxJfdEO2f + R3X97IL+/eViH7v87Ociop1ve0dAG5G1vVu5lHKeHpyJiIiIiIiIiIiIiIiIiIh2FHa/7wQmKGpF + zURlUaNSYICHKOLahV5M3QxBRh1qNBfbFqV8zxc6s4qXdqmoJtZmiLqYqXuwEuqoQwmjdaWEYSPc + edfem950/et/513/7pb+82VSHOqKWl0c0j4eX9Y5BFiP7rzj6ibu3oZlisgwDOf86MqwHyIiIiIi + IiKi84svrqVVSVtWOJsXTXNNVi8ahn3jADM4TpjKQkRERERERERE9z0TmLsqVFTcAKiHFaxcHPZf + 9eAnfuiWd99ud6ckw6z0SSYJZqcTq1CrhYBpmUXtZth897Vve9hzH1UO+MXrl4ybsyAhhJawV0XE + YEfFYxNdOE7eXOrtEWck3MT1iFTUwyM+F1nXwDzo+pSfdnFz291JRczNRRBEPBj2xf2XTx728Ac8 + 8hN3fCROwnS2lfaFWa0115Uu6eACHM5FFoip+nw5XQs8wjHP4YbBIIqN8e7rb/vUFJt16+5LJg8c + N3OIbXSruxigJqbesqtNW+u0uPjyuKZAPbX1va8dDlex7TklUZMijENJK2k2zlzCvn7P7cNtn/7y + P2zInSY1IIjXijIfA7pts5HFZ2Rifa/VfKyjCKAQhSrUIKPukX2XrnztIy+9anrQLg4ddlGmDp07 + uu2oYgITN4UhRLgoNFiEo4sJ27b9dsPkcLaQeQHgQOiAqrlYAoLpmlx88cr9u67L45BCL6JlLCEE + uLc0qXZYkR2aab20GIUvdmaO9nRhcLcQEjRZRUr9dDrtuomIfPjDH/3Jn3yxAyLi7nCHSkuZOtFT + tQzOEIKq5pzX19c3NjbcfTabAXD3Wiuzqc6+9ra32HIAqmpm7j6ZTGazmbu3mrbDMPR9f64XloiI + iIhoN3OVQ1ub+1bX6zC2e8ZxlC52ff+q17x6WsZ5E67AF0EU8yG05hBAJCgE+NZnP2t9dU0BhTjE + avtPOd8bA9oKuBy+bveYWRdjrfbMZzx9kqLAhtEgp9wY2859gmgFxpoDdLDxv/zFG1/ykpdc+fAr + spUQ0+rqqlkdxun62t4yflUvcdqNRZNJt7W1mfpJSvFlP/PSP/t//nxjczotg2Denmq19pPJMAy1 + FOi8Gf6oV2sN1W9/1ztvv/Ou/Xv3mLmJzZOlztwG0XLTY4yzcai1rkwm133yE3/7oQ/OxiHGKCIl + Z5jLvAXhiD9d3gqi1WoMkof8Q//b9139DY+XWnLOnQZsi9YGlj0O7OwjIiIiIiIiIiIiIiIiIiIi + IiIiIiIiIiIiojNlWb+IEbk7n0FcvYUjqxyecGh5rLW6mWi0GmYz2ShdRRKtckpT0s77CZk7i2kM + 7r6cX1jh7q12nxtqKWVztrm1sXFo48DBgwfvzF/+0M3vuMu+PMWW7JGAYBUQqAIVqrB5PUUFa4qe + /5aljc45xloTEREREREREREREREREREREd1X1GFexYOgAyyjqCAErKb1pzzmf/iHL3x6z+psY9xE + RBXxbFFhgB2RgIsTje9sA/5UxMQh0IBqNuj40Zs++JQ7n3nl2uOt1FprbMF4YnCc2TL9RLRDhBBK + Ke6uqgByziKyZ7LvSY94xg03X++9STSX3q1GQK0AwaEmZgJxiEN9cUgBrCVeO1wUsPa/ACrs5q98 + 8Ut33/jQfq1qNjERdwBi7ajlgKnCoXADIIptidZwu4dc8bNPHICjmLhYvzw6OrK5iSGhK2EKL0WL + pPD3H/2HWR5C9JaCYwaNWGaoHMkcqCLZLAEaZFCp8FDgBUlD2SzPftq378Vl5ipJbFpijM50a7rX + jtmEVFwUKqIOGGrqO3GdD0Vf/N5QQ4ujFjdXE0EBkkRzMUOMolX2rV900dpFvfRlrJMQFDrWUWM4 + 8nfLnDh8p+3vRCe3fYbGMZt06vuDGxsrkzURmc1mKaUQ43ve855/+k9/EkBKKefcrkMItZSTvE7X + deM41lprrX3fb2xsADCzeXLYtkDrnTPf4ELQ3vlaa5v/s3znSykxxnbdPrJzuphERERERLucOKKq + a6ilpJSG6aiqK3v3bG5t/t3fXftHr/sTgXhr/BCpNQMAtFoFICIS1Go1gwL/+Huft7ayYuMIgYjU + WkOUE7TjnU9O1N6imGclP+QhD3nCE57w7vd/4LRX1t1rrQIBvJ0QTcvsV//tr/3ub/9OkDCdTqMG + wNZX92xtbnZpsvzD7ZHbRzE5zWTrcRj6vi9m7r5///6f+xcv/2cvf7kCEmJ1DykOwzDMZq3ZOQQ1 + O1G1FNmcTl/3+te/9MUvklrNLMXeqkNOv7LG9j90dw1Sa92zZ8+Buw/2qyshxD9+3eu2prP2gFKK + Axp0W9GJ5RMtltlRa12ZdONsvHjv3p//+Z+vuUy6WPPJ2hmIiIiIiIiIiIiIiIiIiIiIiIiIiIiI + iIiIiOhCo0BXTYBgJq5qWhVABiAxdH2qtW7gwN99/h0fvv69d9tmDdVicannesEvXKUURzUz88Mq + fHPzUJuB6cFbGb9aa66jBZPeNGippdbq6hrEFajn+URZOoFjZp+eA4y1JiIiIiIiIiIiIiIiIiIi + IiK6Dy2K9UeDAVa9qnuo3RX3e8xjLn/Se790i65AJnEYS+doAamn9PxmENVare9RpiWkOtWNt177 + 3x/57MdtfvnutW6fVzczUagGwBdR2US0e7S4DhFRVXef/zPHqx78xIfue+/np3/Xr4dZMXFMujhu + lk6BxbEmGADIPM7TDj+lKGDtCKYOrwgpbo3Tj13/wUc87dGbdx3qw4oLTABpaSUt2doAmKtCAW0h + JuICP8VD29ljaCOtPYmrwOBwFDdbTSs5Dyt9l+twoB6YTqafu+l6Q1ZRiJuYOUQFduyazd/GoVYB + ugAXwNwBRYimcYwPvejhVz/8yb6Z+tSXUoKIlYrA+FK618Tm6byuLade5vnzAOBiIQiOmzDf/kpM + ZL7rVgdMgmgI4sUf9KDLO+lhATW7wL39YvHFBu/iEIe6nnYaENGOlXOeTCaqKiIpJUCvu+66l770 + pQcPbrT/XVtb29zcBCAiEDlJdNg4jgBaMNgwDCLSJptgkaBcaw0htJzss7V+F7r220lEQghmpqrt + zlJK+xTmGXghtOtzvbxERERERLua+Uo/GWYzCS6qBa61uMprXvsfhlJCiHAzNxE5qrlRRBRiDgX2 + r+956jc9xet8aj/czSxKNLPjt4rsCuKAm5v/4+993rve9wEAIUg9xfIEIYRSSrWaYsolD2WMIQaJ + /+WN//XHfuzHrnnC4/uV1XE2Xem7dqZsp1jCAdAu7AAAIABJREFU4kSx3CeyurZ28MCBtfW9Y8nD + MD7/+c//wz/647+/7rqxFgN8nK+dCEIMJVec4AMWEYX+/u///k+88MciPAUVETeHnNoCnWj5RWQY + hj179mxubqZJX91uvOVL//nP/rRL3azkUua51K0FQPWE8dtdCuNsFOBlP/0z99u/XyGbhzbWV1at + slYIERERERERERERERERERERERERERERERERERHNiUMBNahDDA4FDGImNuax01TVdFIP+M2fuvND + h8JYJygyulZxuIDXZ/86ajBUmLeJim0CrAnivmhWiuVWr0gViFALnU9EQkZ1M40haKg+jiPSrp0m + SyepWXWWsEYtEREREREREREREREREREREdF9SETEBEXcxIJDYS6SUzfse843fse632/iq14qgK4L + y4wBl3YxF3M5Sa1/LQZ3CQ6vMMUQZnl9+PgXP/ipWz4W1guCqWqt1VzE1Z3jhYh2oVorABFx95a4 + GWMMJV4aHvLNj35OKBMrgmoBglyTAGLWEqkBcYipOnSRRzsPuFZzMRNTN88IotWLd3bt5z582/SL + JU49FAMAXQxEbMerCml/CHhwBPg8/VF2XLC1iRtgJuaAWBRP6lFcoa4BKu65SLUqua7kj3/uY3du + 3SnRoVa8GOYH1MVa6eLScsJh7V8JDq+jeUXSGGPstV8bLv72J323bPVrukdLtIyu64rlc/ZO0K4g + izx1E7S90iGAuru4qisAExssi4gbsD2Jxzt4nN8EIBCgFhGR9iuijvURD75Sx4QBKUxgYmYhxQp3 + sWWUe9O2fY5+p/OY67GXvlvZ2NhyF43d577whR//8R//ylfuUkVKIYSwubkpIl3XeTXYPXzftXRk + EQFQay2ltNsxxmEYWrJySulEGVd0xrVA65Zm3a5bynX7FNw9hNDSrE+SPUZERERERGeEV4yzPImT + YZbTpIfKwa3Na//hujf8+Z+5INdicABuBkAhEGnnYGZWShEgijz1KU+59Gu+pgxjEG3/LSIQsXM+ + n/sMObbPyEpV1VJKF9O3PPNZSaCA39P56bFqKV1MAHLJAAwYa5mWsQK//hu/oTEe2txYXV0F1Mx0 + 8fTikOPd3r60dlpNRbUUAKWUEMLq6mpU/aVf+EWDCxAAc4sxisIN5aTBzyJSvX7x5i+95S1v0RhU + Qs5ZgralPdHlqLU4/GzHPNKKxZhqMWiYjUNI6Q//5I9vu/OuMY8yLyShIQR3b7chOHzZvr65roT4 + 5Ksf/4M/8AMKD+Jt4duLHrE8UGd9ACIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiC5UsSsa1GXyt + aiXEViZrALbyMPXZNB7cTHdtrd51qLt7jENWK2q8PhfXZcAwoGSto9RRaglegtfgW2U281xbtboO + NaI4itfZOB3yrHpBAGDFsilSYmGv3cl3xgxoTlslIiIiIiIiIiIiIiIiIiIiIroPqYg43F1ERVVC + UKhaCrN42eqDnv7Yb/ODnc98ElFrhZ9ycX/VaPAuIY8IHWqsG3ljTFtv/chfl5VDo2/G2DJl1Qww + 0R0xbImIzqQWPQug1no44roE3eyfcMU3X37xlXVTIzSoz2be9dHEXAoAOOCqAMQgNg/vBCAwQV3k + o6ihTylbrXH8ynDzhz/zvrBWPJTFn8wPW9vTPhxqovCWrqEKA0yww5Ig21ovEnjVIS7tsK0KG8ck + IedswbFe3/eJd2adubqJuVjxqopFFs6RQzEXh3EXaEB2FEGfQoCUabat8PX3f/xVD3xiVycoqiZd + 7EspMcazu/K0O23bxxRQg4hrC79xd0Q/NDsIQH3xc6Btrst9H3CHGVSjOESriOfB1/qLHvKAr9Ua + tcakPVzNLIQAmAlcvO1NbV8S/tKgXSeldPDgwb1795ZSvvzlL7/whS+86aZb19cnZiil1lpTSjHG + cRxP/jwxRhGptfqCiMQYzazWOo5j3/dnZ41ou5ZUXUrBkXM8ptPpMsd6GIacs4gw1pqIiIiI6L4j + ji7EOmYAse+KVe2Sdunf/ftfL+7zDGB3DQGtCUIWzZIt97e1hjie+fRnwBzuUQMWbaftLOzcrdx9 + K6VUc+licvfLLrvs/ve/LKic9uoKxAENQUMQVYiEoH/95r95y9vePplMSik55xBCzvmrf85jo7i/ + Gpubm+vr62ZWxjxOZzB/1rOe9SMv+CcK9Clpy71uZ2mGmMKJnidbdUCA33zNq0MIFQ49M5Ub1KGO + EAKA6XSaUuomky/dcvMf/Kc/bG//sl1uufm1089jtQ281PJv/69fvWjvvnE2E8fetfVxGNjaRkRE + RERERERERERERERERERERERERERERERE26gjVJEqWgUmZuJtsp2befWkIYRgAksoPazzqsUkV8m8 + PhfX1aS4lnYNMVdzLZASE2KUGNuczkURMEG/2mtSEzO4iwEOQNo0Ss453EV21NxnxloTERERERER + EREREREREREREd2HRBxi81gFV5iox4DUaahb9rSrnvvwix+zWvvksAzTABy/uP+xRf/VFYBGARAN + UQFFFbgj9PKJWz/ywc++cyYHTQaouEv0GEw5ZIho91HVZYhLi8astaprqP1F6dKnX/Xci+MDO0MS + QFCAqjAFAPUIRLiamEkbk7wY1bo8FgliS8YNKCGXydZHP/P+O2a31jAAUBd1DRaDq3hLyLXFM6hh + GdVcIMeP6zinTBxtqRVFUAGoSzG4C2AhiCBIlE/e8vGbDtzgfTFkaDVxBzSq2SI+Z1sq8JIbHMgC + T4hJPGcM2N9d+vTHPjds7lmf7JsNW1GjquacJYYdNLaUzkstpv0o6u4q4u4mZrEe2LpbRNwFgAkc + gCsg4tKC5wWoBaoKMZUqtUSbXHH5oy6a3K/zTiyIiaqaoKJCHceLq+e4d9rp5Lj7y3EpoEOpabIy + G8tY7Pu///k333yLCDY2ZjElcwDIOeecY4y11pZldVylFFVt2dUtHdndzUxVQwhd17VoK1Xd3NxU + 5Y/2s6S91THGFjS+DB1fWVkZxzGE4O4xxpSSmcUY7/EJiYiIiIjotIlIjDHnHLs0G8bq/jdvefMb + /+r/DSnYMa0N5tZma7vMf9gHqMOfes03WS4pRACo1p7WzCTszvMsAaKomYUQ8jCkEJ5w9eMVosCp + RjcLJJfscAC11mrV3CToaO7AL73ylcVsNo4hhHEok7W9J3weh/i8V2t5OQ171veNQ+lTV2tdWVkR + kXG29Yqf+5dXPuzhOeeWTL0s01ByPclT9V1fgb/9yIf/4o1vNLikVM1PvlRtLZrFKlhrChOfB1q3 + J4gxDsOwurp6aHMjdv2rfvM1X7z1NgMc0loJ2uk/gJDi0Z+KLy7ASogvf9nLHv+Nj6vDbNL1ZRhb + gvjhR5xuQDgREREREREREREREREREREREREREREREREREe0aDlSJRWMOWgJyqCUUFzi0DEUK1uJK + 8h7DCspaHUOtCIKgHtV5fU6ug0CANvFTYYIqABwCd/NarBaro3mBGJJgGKZjGasDCk0xdNGB2exc + b3l0n9kJ+da7cxo2EREREREREREREREREREREdGOICbScl8BwM2suBnE4e4XrV28Zhc95xu/fU/Z + GzbRp06Cnmo9ekN192HAJKJkeMHaZLI1TKf9xps/9td5sjFgEwHiEkUDlEmTRLtPS8UQkRBCy8lo + ATB9mkzvHp/8qGc9ePWKsJWCo1+Nh8ayiL6YJzHbIlnEFscfl0XmiUAcImGYjhKlaC5p9qW7P3/j + 7dcPsuVSBBCP4kEsBovqWIRbAxAXmKiLmTjg/tVmiJ41urgAUiGjOgCYobqlLhQfETDo9N3XvmXo + Dlocs7upi8LncTgQkSMDree3dZ56ogXIjmLFR9wvfs1jHnD1oy5/XKgrw2aeTCYuPpvNQpdqPVns + CtFXzwU2v1agReyYuxtqjeNWPuSLEczLXwTih1N6UohWoa5i1c2k2orsffgDHhNqHz2KiRUXEcCq + m6v44R2+vdxO282JzgARSSltbGz80A/90I03fnEYqjtCkJwzgBBCSglAC6VuOVUnoqrDMLRv7XEc + RaRFr7Vvgfm+6b62tnYW1ouWaq0t07qU0n5QtTu7rhuGod3TUslP/vkSEREREdG9lHNWVYlhyKMF + mebxFb/4r00wbksstloFIqoOiLaul3lmcEqpi+mqq66Ce4wR1dqZmgvMrJ1/7TKtYWYYhq7rypj7 + vg8hPPWpTzWz08g+Tq1tGSIiEGhKULVaHZ66/sPXfvw//sEfdpPJWPL6+vrGoYOn9OSnEcY8m83a + dRdTHkcxV8i+PXt+6Rd+cRKTOxRQETiCCvyEMd4qOh0HB2KMv/BLr6zu1f103qAjs66xaF4bhmFt + bW2Wx8nq6rXXXvtbv/s7UBjg8LYFxhhFBO3EX47/wgp83ZWP+Gc/9dLp5lYXYtLQdV3JOcZ4OgtK + RERERERERERERERERERERERERERERERERES7lAmqaBWtgqJmWlzMoWJppV8JBpTqM9e8tq6XTHRd + XcyACl6fq+uWWeyOVuZOrFUGgzoCkBRJ0QUkRRS0kkSh05BQK6ZDyaWIoOsAnNZ0TaKvwi6chk1E + REREREREtKOIiIi0EuT39Qsd9aL39SsSEdGFrNYaY2xfcCGE9r3TojuW33o553Y/Yx6IiIiIdpNl + 1XURtEYPtkLco+rFvbhnhQeEhKgQF3Ot4zj2dfXxD3vSYy/9xsnWepKJmQEaRMUBQ/s1HWNYNi8t + wmgPc3eoh4iakQwrAptaCGFI05tnn3/7tX+12d0VelWJtVZ+XDtW25vcPYRgZqo47f1rGYh4ppdx + l1t+BG0052nHnCwbhGXhTC/pCbn78qUBmNlgZW3lIr87fvfT/te9/jX1kBSr2mM0uCMgiKsDHuER + +djT92VarQhEDF7EavI6Gf+/9/2Vr44aMY7jJHVSgIxgoZNOjkhnbgczuNjOy7QGPPp8FKVDCqRC + KuBd1xWz0SqSDDrcevCmz932iYP1K8WmIaGYuUADSqkhHG73OCrRBEAUeHWJsIAMdBIvGu//Xdc8 + z8YICUkTKoqP0sHcOZ6T7j1xuMzj6uHSouuDqpmZ2eijT+pNt99YkUMIR2xyUoDSbtYSVDTnnKJo + xor2dihedcWTY5140SBRVWut7fi2bfs/YgPmuHc6Ty3Siw1QdwG01hpCsIrp1vDP//nLP/rRa1v+ + lAOlzg/6tdaWb9207+LWWg6g7/t2I6UkIjnn5ff19mCqlqPc/opnWGffsptj+aEsw62Xn2D7r10Z + g0dEREREdPa1H+G+sO3+WKtB1SCx6175K7/8mRs+D8ERecUOX+RYuxlEljnBOecHPehB7fnNrLW3 + 11pVtd04m+t4NrU27VJKO/G8/PLLdZG4fEpKLZi/wQ7Acsai8ae6OfDKX/7lm2+9NfWT6TCLXTK0 + s2eRoO2jFJEW5IzjtZeeKlVdnp0BrkEUDrNve863vOAHf6DXIEDONUat9USvpICaW4oJwFjKTV/6 + 0u/9x9+HSrsYPKToglqruycNYidcaK+23GLbNjyOOfa9CxyAhIMbmz/9Mz9T25svcMDgAEopDofA + 6rz4ROoiHCrzrTso9q6tve6P/3iczla6XoA8jlZrn1Iehnv1JhIRERERERERfRWWQ4CWN5aNaeM4 + HnUP5wkS0c607G5oN9ognzYiqF0vWpuJiIiIiIiIiIiIiIiIiIjoNC2qUCKlxBp351yrSOli8+Jp + cHiCd549RS2z6UpcfeilX2+bKz0mWjyIIAAqJjDAFVBBgAtceX2fX5scvrG8uKI6TFAdxVAMBsBh + 1V3M3MyBgBghAnecYLdrdf7oPNOmBrt7m0s7juM5LzrFskpERERERERERERERHTKQgit87jv+xZx + raotumOZ8dCmeQ/DwJgHIiIiIrrQiUHMxAAEE3UBDLChZpHQFZ2Mk++85n/Zr5fbJhQJ2cUkSgxB + o4o7SjlZ1oLAAJioA8GQqgZXF8hEp2HzbX//NzdvfvZgvnv0MfYh+7ATk2XpjFpW4GIm4r2xC8ZM + m6DU6sW7cfKI+33dk6582qrvl6K1IiUEFXF192o511IdsTvOk7TcEXfXAMCgcEWV8Y7Zlz/0iffN + ZKOb6NZ0I6UUJCpCGWsfe10ElrQ3cacG3CoggAKGwwdGg9hYhpDiKKWmOksbH/zku++Y3hJWqh3V + wnGP61VD1GSGoIimKa//j0/+n/fhMrWwGARsy8Dv836Do3NKAF1sQ0ftce61lrHrYrcSNsvBW+66 + EcFavNA8W0gMbXICANdqMunX4DUJoiHmcPUjrlmX/WJJXXURX+0CO/IXRdvxrU114DB3Oj/VWsdx + nEwmpZTW0N11Xc6167pf+IVf/Ju/eZsZzOAOVT1Ju3dKKefcUpCHYZhMJgBaoPVsNgPg7i1W7Wyt + GRERERER0c6ytbXl7l3XtcFFLSo41zLWEld6iLjg/X/7gd/6vd81wUlSckRV2lx8AICqPvShDw0h + 5FJqrcWt7/sY4zCdmdmuPAtrax5jzDmv7VkfxzGltH//fhcJesrNsrbtOY+Sc+67tDmbvvDHf8IF + Q62xSyHFrdlURIZhaKfSpZR2FnxfaG1ZCojjV175yq9/9KMViIJaTBY9IyeSS0bLTYf/yr/5N5++ + /gaX1obluZZSigRto+BO8iQhhL7vx3HsJ5PpOCBo7LuNrc2Y0rSMFf6rv/Zrf/vRj4QUzE7W3pu6 + mIcCQEW6LioQDL/726/9mv33W11djUcGq+zK7ZaIiIiIiIiIdhpVNbNSiqq2HOsQQpsn2HVdG2i0 + vOfkTShEROfEfEikiLu3IfTtYOXuyzra7R6OriciIiIiIiIiIiIiIiIiIqLdQdwERWDivriR1BOA + UvLqSleH8UGXPvQRlz8K09K5oLpVuLsAqiIQd7c2HMx5fRavl/zo+xcFvnSZL2zbHiytJOAJ5i6y + hB3de5zOSkREREREREREREREp6zWWkpx983NTXefTqctk6PWevDgwWUmR631Hit+EhERERHtei3a + URcF98UdYqY1pGRmUdCX+JC9j/6Wx31Xmq31tQsWYWLFvTiAIOKOY6rWzwec6fw54WImGmsXawQA + Ke6+WfJmd+dfvO/Ph7Q56nSQLaTt6a20E21PU743ycrLcOszsEx0WnzhHC5D6uM4jvu6lbQVnv0N + /+jS/hGpTnqFF1gNViHqoRPReUhnY4utRhb3VDdRNcwfU6QcKLe//7p35HhoWg+k1VAsQ4JIgAnM + F3+4SLfeqSMVHQoXwMUNrnAFzKQgYFq2ZLU7KJu3jV9833Vvrd2IAGx7T7YTP/J+hwngKjX6oF1A + rNBDq1c98Jue+MhnTnw9mgqqSTExWSQBE91LR2+c4g5xgYhAIVEy8hA2b7r9CxZMxLcPXgcM0gam + R6twF3Go+UQkzVae/KinT+yiYAHYHmVty9cVF3XZlvTOXxp0npCjfxi7y549+2azmZnV6uNYABWR + V77yl9/wn//cgRBVQ3DAzMzsyP3osJxzSqmU0pKtSyntJ5mZtbTsEEJYhFSd258KRERERERE58Te + vXvNbGNjI+fcTpFUte/71HdjzmO1Ow4cfNnL/4VrsNaG4dsujQACN4O7QFr0b7Zy4ODBrWHWrUxc + 0Pf95mw6DMP6+joA2O48/3KguAGYzWYSwnQY9l60r7hnOyMnnApo6joA0zEb8P4PfODXf/NVHnRr + HKv7+t69s3FYXV/rVyZ3Hbi7nQ5v//uj205PhcvhyxFPYlXcf+93fnvPyqSLSQEVVZy4jVUCJHT9 + pNRS3Ddn0xe/9Ke2ZtMhj/3KypBzSLHv+zvvvit06SRNtao6m81W19fuuvuu1HfTYRb7Lk76WanV + 5Y3/7S//w2//lgNBEwA9Mp16u/+fvTuPl+2q6kX/G2POuVZV7X26dAQEkcYGlL5vvPBQQVBQUNEH + gavoVXn4uSpe77vvqc9rC973+XBtnhr0o+j1CnYh2BBAkEbASxcgwSSgmISEEEJy2r13Va015xjj + /TFX1d6nC9knJzn7JOP7WZ86dWrXrlq1atVau+Yaa/xKX2JkAgJI+kLAT/3kj3/zM581Go2YUEpW + lWF1Z6LAW5eDc84555xzzjnn3F2hngYYY8w5N02Tc1bVlBKAnHO9vd5SKyLO9Pw659yxYoxEpAu1 + aqseO6jVWfUOta7bz3d2zjnnnHPOOeecc84555xzzjnn3NmODQElmESVaBpVg3KQBpZUNUQTnTHr + pF19/KOe0Cg1BCawgRRsm9Mx/z1qgk+ndTIQTr6EbbOn6OKsTF6eUXuSkzQJUJD6iYf3ADukA9UO + bRbpnHPOOeecc84555xzzrmdLIRQY61DCPWyxnIwc9M09Q4A6qng4eRtOp1zzjnnnLsXsaFQxwhG + akAIgY0iqNGm209Pe8Q3P+ZBT+RpHNMkUmRjyUZKzHz7Hf95iLVePIuxUlEq06nuO3+yZoc/e+tV + H/3X9/ftERl3G2VdTzlAwN31tlaV3ZlEak+zPi12SJHfnWFmozbZvMTcnBMf8KxHPW+cd41sFLRh + BIUohAPFCCLIUWkjoFr2qoAxMRcSVVC9BZCmu/HIdVde9xFd6Wa8PtUpRdScFFUFANKtqbeL0tkd + R8lomM+wCOU1YkXCmq117ezdn3jHPK0hlc3g0+PXi2Uq6mJTDwPARAliUbAi7fl2/2978veiG0Ga + oCEYjNRIAbAx4MnW7jRggx2VPA0AZppSyNJN88bB2f4DG7cW62rTxk3D6hcNTDH0eRYJKJRk9YKV + Bz7kgq9r+oaM6yMvV93l0zK2rPyAEpTuoTlR7p4upbR///7xeNy2bSllNBqVUi7+vd//gz/4YzOM + Rk0pWruaftkezTlnADXKq5RSe6RW9cb6ODln/7PNOeecc845dy/U9z0RtW3bNI2Z5ZxLKSLS5zwr + fRy1//fP/Mynrr6668to0p5gOG6BmWsEBREZYKDPff5GCpylFJGN2XQymYxGo67rUkp939+NL/Fu + 1fd9bBKY6lL99Kc/DaBtT0e8kAGG3PchBGIWIMN+5Vdfe8VVnxLCvO9KKc1otD6d5pz37NmDu3Jc + fdmOgUEM3P9+97v4d3435zxKCaZqerJfNDNmnnfzeg8BPvrxj//ya38tNu36dCO1jZj1Ja+srBjd + 3uGVrusAENHKygoFbsejjfmskxKa9O73vfcHX/mjAjTtaNbNb/+FmEFFAyCio8gv+vZve/V//IlA + ZFLqB4GIajGeqt4DjlM455xzzjnnnHPubFEjq+voRwihRsDWQbymaep4xdb6B+ec2zlUdTm4ysw5 + 56ZpVLVpmlq7VUqJMdbKST/f2TnnnHPOOeecc84555xzzjnnnHNnPVIyC6bBEJSDcTBQ7fZGSgFZ + splR4Yd+xdd89X2/lmcxokEIxqzEAhKQElMMSnziCfDp9E6wk/+oZlsTC7ERGw2lesuKvZp7vfzf + 1n5f7ux1zAmkZ/x0Ul+rnHPOOeecc84555xzzjl3KmpziiGqCjCzmsYBQEREpLYErSeBn7nZdM45 + 55xzbieIbJEAhhqZktZg6TzPiQIEsDiOu0a69zlPfMH9Jw/mHEzQxLYJCUpsbAayY9roL4rQlowN + rKwlqIRijNVJPLB/miZxyhvv+OilN/fXHrH9NirHpF26neN0ZVrXh/Kogzvj9C69M/heSOm1SAgh + WDPKq499yFOf8MCn0cG20VEIiRMLJGcxQQACATWwFoAhGNhAxgBrIIGgJj8bqYLGOouH3vbhv15r + 9h8qt9lIJGqWQszMDCqMQgCZ1keABTLaaYWwSgJSNmZjGBuiEoxgJmhUR9NPXP+hT1z3IRl3CCa5 + hrjwMj2Fahk3AChOtGmNEaWXVZmM1va88Mnfd/+Vh7KNREEagwaCgKTWgteaYU+2dqeXEpRQNHPQ + XGbNmK/6tyt7nmXk+onmzXUYBiiigog1cmECl4TZ5HFf+417wr6mJDaAdDkpaa10rxuKOi3Lko/9 + s8W5s8dkMtnYmJlRjJGZL7nkkte97teZQUTzec0/4y3TiTEzES0zqJi5DpjXP/BijF3XhRBUNaW0 + HGN3zjnnnHPOuXsPEanBEn3fl1KaphmPxxwDAu8957xffs2v/uVbLhUAhPmsq79C2BzHWDIbBiHq + GKwRbrnttkve/Oa+lNHKZDQZH1lf67qOmXPfr0wmd+uLvMvUkcmt2vFoPp/nnEOKXe7/7m2XhRTm + 3bbrtQxbMsRtS1+DOkqsysQCdCrPf+F3fuQTlyMGMIlpSJFj7Lqu73vmo0ZQTzbPd2h+aMso02JU + iqBtahiUQnzet37r//XT/znnTMAoNcCJM9BTSiISYmNgBWJqFHj97//eb/zWb6ZRO+u71DazrgPT + +vp6SPFk89O2Lcxy7vrS9aX0Kr0KpfA/3/TGi/79yw1QYN7NY4zMUYsNowdbV1wDDIFRDwE1jMc+ + 8tG//Vv/X2RuU1PHEEII9dNhZqrq4wbOOeecc84555y7G9Sw6pr2CqCeCSgiAIgo57ysaCUir011 + zu1AdXC1brIAmNna2tryMudsZtPpdDab1TquMz2/zjnnnHPOOeecc84555xzzjnnnHOnBcOIjEiZ + lAA1zsboJcemzZ22aJrcfuM3PGtc9qmkYqwUjZNSrNcRGqXo090yNUrHLO2aIB6VYmHenCgKLVob + DT2+ah+/oWPYcfwkxHuCnVDUtLN6RDrnnHPOOeecc84555xz7qxQE6xr41ciqldq94r5fF7ba3Zd + ByDnnFI6w7PrnHPOOefcGWQMI1hgAwAlNYISGSHAIDBllZDi2LrwgF0Pfc4Tvq2llX7em1igSEom + YLu9kGPCZpFZH7SPvTKMoKpNIAEV7qbN/je96w8OllvjaqPsxWc7V42jvjOZ1stftQXc6ZDse4nl + UhoSUHZAed+dxIYUYun7GEeaQ9LRiuwFFbGfAAAgAElEQVT+1ie98L6TB8mG9rOpcYktVGEZ0SJp + AKCLpBDaUsyqpEKIEYEoaDRDz7lvp1/YuP7dH31bc37Qic2tKyTNOGXpFwEoupgTJmPYSeNAzhAF + 9UAhI7K0KOGNAJmZotuwA+/+6GV5NDtS1sF1BalRLnxsOgrh6GTrYaF1NluZTPjQ6HH3f8pTvvrf + 8ZGm5TFzBALAwRBVh8eyL5OQ6tx2MABdZEsTm6pwJIp2xTWXUyMcTU+4iTMCUGTWtKQFQdO+9n6P + /tqnpy5FYaqx96Q4uoydlhFHtsy0NsBOmPXu3A5Xo6bH4zERqepll1328z//86qwmpRGQ9Y1M+ec + l92cj6eqMUYiqjltNYOqlKKqItL3fdu2d+frcs4555xzzrmdhojqt6SmaWKMfd9Pp9NSSteX37n4 + d1/3G78uQNPEmAIAGGgxdsZ1KG7LMDgAZlZVCmwwBX7xNb+y/+CBvuSc83g8bpoGwHg8nk6nZ+r1 + 3tX6vm9G7e69ew+tHbnqmqvfetllOcvpfYpaISamqYkFmOfyspe//H99+EPTbq6qtTasy3l19+7T + FcNsBN0yxjXMhoENs9kMQBOiqv6nV//Ui17wHRHc5/5kD1XXhFJKCCFwqGVvavil17z24te/fmVl + ZdZ3k9UVMNeA8JM+Tt+PRqMQAgAKTDH0Wn76v/yfP/bqn+xUiEMdFk8pqWq92wmpAooAPORBD/6z + N75xdTQ2Ucn9MvnbzGqwSg263u6ic84555xzzjnnnNuuWuGw9b91cKPWPMQYzazewsxb7+mccztE + KaUeMqjj1X3fN01TD0OICDOXUmKMtfrL6+qdc84555xzzjnnnHPOOeecc845d9YzFiIhMqpn3QUj + gLJSVmQKDMRxWqUujPLur7vwCQ85/5HIQbWYGZExM1FtUljrwfQkl+700hNd37xRa3cv0npaJW9p + EjacfmiAEdlmrzpdtE68K2bX3T12TsdLP53VOeecc84555xzzjnnnHPbVhM72rYVEVVt27bvh96g + o9Go9qeoV1JKOeczOa/OOeecc86deXFRpaNGKqwKVlATQzDV0qq1c+lijDgcH/eQpz326x+/e2V3 + zrk2rK+Nk26/fRIBURhAH0sfoQQylKIhhKhtSGGjOXD92tVv/+Bb17vDXim4kx1TWHZqdWZ2tNM0 + a/dGp2Xpncm3gDQCK81obX02Gq/2G7NWmvuNH/JNj3n+ebvON2hW5Vi3UIEsmgBDUMjiAQw1yNkI + xRADmRppZIpC6EJJ5/A/XvEPN9x2/RQbPfVIVCDFCqg+jhKUUYNHdl5sMykogwTWwFK9zYgVUWFd + 2fjHj7xj/+zzM2zwmLrOGg5szMY8BH4fOw2PacMrNtK5yp7VlQesPOw7nnyRHKZdzUqeloAkxAqO + wo0AKEYKEOykMSfO3RG0CEc32gz7MVJmGCQE/td/+8yBQ7dKKBbUCGRKR22fGIgAjKGmZEg8etwj + nrlvfF90SGZsWqvalQzH/Gb9aAzPCKVlsbtzZ5nZbGZmpZSU0vvf//5Xv/rVIgZAFRyDEYqUmnuN + L7eLr6PiNXqq7/v6Vz0zhxCapqkBWsy8sbHh8VTOOeecc865e6EQAjPXr2D1vyEEM/ud11/8Mz/7 + s72IAX0upQgIzEOa9fHjDYGYQDVH2VQ5hNG4/fzNX3zhd73otgMHKIT6Fe/gwYOQ24sZPlscNyqz + uD2wmR06dOjWW2995StfOe0KB+J4GgZo6mJn5nrQKsbY9yU2QRS3HTzywu968W/85m8e2Vg3s5xz + 27bT9fUTzvPJ5vzUrKys1PBpMmguF1988dOf9nQ+dgU5ajh6NpvVyKWiIqbtaFTXqp/7+f/6o698 + ZSmllFKDz1dWVk72vG3brq2tiUhoUlb5xCc/+c3PefYf/tGfhBTUUFRqQd1sNmti0pPHOxEhMD3w + K+9/6aWX7t27t+u6fXv3SC6qaiZipWhWGJgoDGN95qNtzjnnnHPOOeecu+stC5WXFREisrKyUgfx + VDWEkHOuYyDOObejxBiJqG6vzKweEah1WfUgQt121QMTcvLxW+ecc84555xzzjnnnHPOOeecc865 + s4ISlGKhKBSF2IiVYNwbd8piTJbjiHdjniayb6W//1Mf9pzdaXUULEhm6aP2CRJ1uB6tHH/J8Ol0 + TlH7qCVqiVYnZSyn4T7BSkAf0LMVQAG+g+dm+hmIZ6Pb7y57RngbLOecc84555xzzrm7jA1jL7V3 + /+bAn7ESAAIpyDOEnHPOnZXqmdtd19UTvFW1aZp6gncppbaarVf6vk8pneHZdc4555xz7kzi5TDR + lrBYZuN+3rVtq6pgapoo8zLhXbTePPcJL3zo3q9vyyokMkdmNsMx7ZMW1WPL8FQAClIbImQBICQS + yGw248Qdelspn7rhYx/69D92zbRPXYmdhKykAMg4GAdjAkCqi6k2/Veq0yKlsg5qnWw6tUUEri+o + zgDhBA+7mAFe3p9NeTHmRotlsqyrIwAWYcsk3aPn7UzWcTFsKBPUOm9UQMoGKOkQBgzarOzaXokX + KwMsEIGYCRvsqLeGDVERACJDUNyrYs7ZwKbBoAiKYACgMKbhQ8qgGvJ66stkEStroFIzoRfjw8wG + pSJchABw/dzd6df0ZWZGs5gRp9j3/Z5du3Vmdig9/eHf/KivetJ57YXaQQRKIKLE4YQFjgo2UoWY + AbBSANIQghEs4Ajtn4/XL33Xn2/IIW6BhPXZemobqwPjZItwa2Mbcmfq9gQ4dmMybGCOnu7sElhO + w7scF1Pdpm2p1V2sBkqqnGU0v+HIv33gn981j0ekyUUtBpjUF6Mny+s9OtlXybA3rHQ303c966Jz + m/utxl3z6Xx1tNJ33eL+ARaHFabGw+ywGPrF/ARgiPxR0uPKl43slDOMrb72+unUozd3y5BmGN+9 + B1O4rjBkTEDd+Sx/tFh5oFtmabmL3LKrWryKo6Y7tKPcsvLz1mnLLB33mKSAGtW7IajWPQuZ1dU8 + o5+GQx/81Lt13He6kcvibSQ9OhSngKyJlOcYIe3ic7/xsc/QDSILzLG+y4qjd7fDEjgLdicybI01 + mMKCIUp9H4ct/7B3hi2W7OLoHhZ/bNhi27VY57ne4XY2C+5swymlphkB+MjHLv9PP/XTs06zoO4e + axgVhwBgY2ODiG6nvSkRxRhVVVXn83kdMK9j6fW3agNoM7udrCznnHPOOeecu0eqYxHzvkOMytyr + UkqH1td//w1vePozn/mLr/3VuZR6wIAYTZNgUAUWo0iLRxn+VSsEiRxqNIWZzWZdjPzpz1777Od9 + 65v+8i+nfTeTct6FF6x1HadmmRB8zLTDHTvDICPASAEjFuKQ0rzkK6666kXf9d2f+bfr6hilltM2 + 2mhmdQmXUkKgkmV4CuC/ve7Xv/HfPeMP/viPlFlhykyBlcgIdtzlnZoHAIuBuOl0umvXLhWxIk0K + 2uU/+9P/+ZhHPIKPGlvW5XhViBGAmgEgohDCfD5XhRiy4ZJLL33u877tE1dcOVldKWprG+uL+T92 + DRFQHE+E+AtfuvVnf+7nvu35z7/2uuuM0GcBAKYihZlTSn3JVJfRcjywjjIBAWDDo77+4e9429vP + 3bPXREdNOnL4MDOHQDXxnYiIaLnM79SCc84555xzzjnnnLsDalXDMs26FkXUesJaI1FvF5GUUj1/ + 0DnndpRldrWZ1XHgZcT1csNVt3IxxlrH5ZxzzjnnnHPOOeecc84555xzzrnj6JZLd1bgepphbd6l + ZCCNMea+hNRurM9XmonMjabNI77qcec2F67QnmAwgamSgYYOSgAAQr2FbLP50ubTnORsRTYEHSY2 + n25vOr6z3vH3OfoEyaPYonVh/a+SGhnAm2+NR96cnZalmzuBx1o755xzzjnnnHPOnV5qJoDCgAxk + 4RRFFWERnkMkFARBiAGjnTRUdHbJOQNQ1WXLtpwzs493Oefc3aSeud22LQBmrlvgehljxCK3A0DT + NGdyRp1zzjnn3OlWG/0AMBv6/pzpOdr5lKGALnMuyRBV2RBSmucSWhh6yZpCgmAsu84//MCXPvFH + 75MeGKRVhFnuR6NUYyRtmZ0JcExMjWQoQRglqJEGQxTAYAQxAxuPJMs0BBT00+bAWz/y59fc+vFD + /KWy2s3jVEMWkSAUJUThoAqIcVYWI1OwImxWuxGMVMkAAYSQF5MQhMzIjLZdjTrkdLINSdVkxvUB + IQSpnf5rkOowG5ZgISgHwxDbuYiwMEAYSjUflQ3RkGARqMV2OpRLDSV99RYCqIZg1/k5HW/67b1e + RQBiLcGEBQWBhJCZCECRPkvPHDWraSCk7T26sQkzNWixUdaYUdMPimZAyRgWYEmR1JqoGq3s+MCO + 04mApBqUoW1BW4IKa1AOGtjYzFRVVdrAEJ333fYTTZiQNFuIUEMIwRQCKmJJOYJKyH3oS1ADR+Gm + cLgrN6IGGCUFMxuF0nddS6O2rIQjk+984svuxw/bVfZSicboqRibiICUAVZmidBG0AjXkHs0EVkQ + EjJ3hTsIFMirdige+Nyhz1z5rx9Z7w9R0NikTkohU66xIErDZhAxxuUmRZcbExo2IwyrWfVsi3xo + 4+2un0qsxMtKaTZdPCazJtIW2sJSzeo2gCiZspg2bSTivu/BpW8OfyF/9i/e94b10YE8ns5FYoQq + cWg1lBKLkSpBwQqu2xEpgIYoMZQApRhjIXBpV26+4BVP+4mv2v1QFBYDAJHSBGZTgApz4VBj5kEZ + 1O20gv5gGoxhySwBAImyCQEIVlhE1DIzQEZEgm2uzaRaemaoKoyVWI2MYGyL1SCSRljAlor50/8i + j54l1BB6TUFT0BAUwYxMCUoUABYjAxspGAIxthpEUzcXdQ00MMXEzKZqJbNIMouqjGFHudxXLhOy + dUu+e11pa6q3IsACLNDiQ8HGiyJ+ZVs+pgDWqykHVmHTUQQjW+7ZwCmuyZGb8mc/fehjt+abeRUh + USkwUgUoQAwGMEhlFkhYxjQfj/oLnv34F4z68Upos0ECGRggNmarl8Me00iNVEnrxwrDHQi2gw7Z + KEFIlDRJ5l5UeK6ciUowQIMpaxOkCdqwcf3Lqm7/2RBq6DXYMOQ1BbJi6NUCCKKKuoqc0VfotolB + UK0j2H3fM7NxQOC+aF/k+htu/MEf+uHbDhyxzcyp+oe4aZH6N+RwRBgK6Gg0qg9bB8OJyMxKKSEE + VQ0hLPs7YzG0nlKq97zbX7o7sa1x41v72JZSlt956+0eJ+accztB/f5uJ7L1br6rdc65nYAMUIOa + mTVNqwYFFcBSmqvuX1t79wc/+EOvetWjnvLkn/r5/+czn/tcPSRQDASYoJ/n+q2sfgGzxfDZMKI/ + fFeHqdgw3KgEaFEDrrvxph/58R9/9JOf+EOvetVb/v7tB7vZHNarggM4FLWitrweU5OLMLOqMogM + Jhr5boi1UEDr6MpyLLo+O9QYVEohDuDQq/aqBRAihKjMBWREndnabPZXb3nLy1/xiuc9/wXX3fSF + NiUoVCzwZguDU5rqKJYCChPTUm9XMRhMAUAAJLrhli/9xH/+L4998pN/6ddee8WnPz0z7QA0aVZE + AmeDMhNH0e2NH9bRKK5rEbC1RUNIMecMWAxMpqMYkurfvvmSpz7+CXUwcWXU1sUZAgEq0ofEdfzN + YGIKAjErQAQFf/Lqa77luc/9/h/+Dx+78so4mZQQhmHrwBQiOCgom3WEmw/u/4Vfe80TnvbUi//w + j+ZFiiDGgOUfHkRGyFJAqlYQAICY62qcCCNQBJ711Ke+5S/+6oK95wSiNkVVVdXYBKsDdkpkvFwN + Igey5UJwzjnnnHPOOeecu0vUwY1a8FAvsThuvvzvkp/H7ZzbgZabpuVh4uVpzsufEpEfRHbOOeec + c84555xzzjnnnHPOOedODRHttO5Y93Jsyw5Ui7MUwWxEGqxo4pSljyPqZBqTBUYszXOf8j1y25jL + rlE7KQVGCAFFaxNLKMHArBHGwrVtHCuiWbQanl1bajJKQAnQzQZNHKWJ0vhJcLdv0W3s9iYsuh0B + Q1+y2qVz0duKh0ZYtU8ZldqpzM9APEvVFhnL0qau6854cVM8w8/vnHPOOeecc845d49DZCrKxIgJ + sTmytnF8upMREwRYJui4bUsp1Ta1Mca+75umqS0Fz/R8Oeecc84555xzzh1Pgc20T94cLGLDEKLJ + Q2IigqQRnTvP/Uuf/YNvePvFt5WbC897KcaIAUwwQ03Ty5qThaZte8yAYaApHDM6QgCGmGflktPG + 3OiP/ubiH/ne/6jpAZOwu5RmxNyGUel73RIXWrvv21DNNsxkfQ0MAEzLiOjKeAiSIGw32LQ+oYJh + NRJS6/Wts4Ia5FCfimrx3BALakMKKZaBg0MA+MnqX42Hl0N6dOLmGRhZWqQmKy1yfItmhM0E7sWL + 3QZCMDOF9FYAmAmWTbhIgWTECmYUAGzGBrkXjVIqGxQwijUeItRVxmBmHEBGIBIRiqpatn5c7yA2 + FjCgoKGrozGJKdWKUVJl1RJRP/XHjx3fRWp4LRgIUbjJ4/F0z0XPecXrL3vdTdPP0mjOIxw5PN21 + a9L302UYTP1IGpf66agrpQ1bB7AykQpgDbLO/+Ejb3/gOQ9d2TtmbsdpNXc9GRMbgUBmSlDN845j + jXIHwDX0ZGnLNoWXt2xzAS1zduvHWZcps7zYvICGn5KxkRJi6Wark5XZbApgvLs9VG7pm8N/876/ + vLn7XFntC2skhMAFVqRHGFYKI16GqQDatrGbldXV1el0bsWUKAVuupXnP/n7vu68RzW6AmNGMDaG + FtNAAYDWjzkYpovA5h3U8bPuQACGxWWetJIOEctEgIGJ6m1UTzzYZjINmUEIwQiEYMvt8JbtM2G7 + 6fJ3HtX9JmqQNmkMoe9EDCmNmEMvHQghMgATM5Bt6esKgEjzvBBZk1LiJCJSBKjb9PqmLx2967Ea + 4F2v15+ZUl1RdLiyZd+3/P36rE07mnezpiXVMp/NArcpRCNs5Hk6t/mrS940aw63I5ghZ2vbYFlE + wIpIgEIhCGBm63Du6Pz78lc99qFPo0OBQ0htO+v6xIuk6hPlVdvW0PGdFGi9oFqjwsERZEYg0mBc + 45igZABosY84dv+73Fcq1TQiFCuguiFgALY47ufOFkSUUjqytjaZTPbs2XP48OHx6q61Ixt79+67 + 8cYbX/7vf+DgwcNEFDiJSN2fn/BxJpPJdDqdz+fj8Xg+n/d9X6OsAayurh48eLAmbsYYRaQGWrud + iZlrb+5jetemlFS1vn3z+by+m2dqJp1zzjnnnDsbEVEIgYim81kuG814dN3nrv/4lVdcceU/X/7J + T3zsYx/fmE8VCBwEEBEAthjjP/5Sj74Fi7ERXlzq4jIAAjDwxYMH//zSN7/p0jevjkf3Of+Cxz/6 + MQ98wP0f9rCHPehBD7rPfe6zZ8+elfGYY1ibT8ejUc59ipGIpM8A7v561OWIRCRG4HpGOgAwzbru + vAvOn67P1tfXDx06dMstt1x7/XXXXHPN5ZdfftXVVx9eX1sOE81zVoAZIKpj0Cdbnqd8icVzpRT6 + LAAC4/qbbnrdb/32b7/+987dd84znv6Nj3zkI7/+4Q9/0IMetG/P3l27duUiFNgMtJ0hxJMdH7EF + AKTGhBQDMd74J//j+1560cc//vH5vGMADFMBwMRSCgCKkcxUBICaElExU5EUUy75kr/+27+77O33 + veA+z372sx/7mMd83dd9zWQ0rsXJ11577SevvOKd73nvxz5++bzrY2ADslqMnLOMRqP5fL5cLHXk + n5hNFYCphkCJuBRR2P/+ohf98i/+0rn7zunns7Zt19fXm6bZtWtlPp8H8q+czjnnnHPOOeecc845 + 55xzzjnnnHPOOeecc84555xzzrkda/OMr1PoN+VOLz6+16LxlsZPaot+a2yIEr9y31c/+Lyv/3z3 + 6UMbt4xWxvP5LCSEiAJg0eNx2bRNASatpxSSKYYuTVu6gdnmpS2efWsry8FpP7/x7L28fUd9mHjx + 9m2eZTl0RVtc18W5mrwTm325s5Wf4+qcc84555xzzjl3+g3drs3Qzc497wKbbQy369Ayj2wnZVOc + nXLOKaUYo6o2TSMimy38nHPOOeecc845585aSjqV2Z5de79WH/E9T3rZH33gd/JomqlYQF+QADI0 + FJhClpJ5jhBIAANsqFjbGv+5tYbNAOXSpzlWDvzpO//w+5758q8575EpB5KgwTbyPI2DkQEclAGq + 1YEMDaasm9VuRjWQL+lxTzc8zTbzoYXIUKOmA1lYhGEOqbS1nC5AF+ngKqQgBUmNJdaaDgymIdUC + sKEIj6iHxTOSV327TEltqAaVWrrJBlMyor7MEWCki9LMbae5EosAAiulFFIjGBCYoXXsTIbEZdiw + AO9FmdYAIFSzVxVQkAFqrGYsyDEkEiVOqkaBs84RbLvrj5ISWY1XMVJlAaSUvo5esjIRQKakStsP + zd4+41L/AVgYbAogGBqJX7HnAS9+1kv/8G9+d9YfXu8OjVeb9W4auSZDK5mCSk2wHwpYCVo/jwYy + TsLKWjYwGafpdC1g/5v/8Y2veMErz2vvl+ehDSNIgJnAipYACTFSNq5bKgsAA2yki2pmBjZTY7H4 + yG+7XtYWCe4kQjWSORiYggIFUIYBFIyhyQCDrk72lK5vInfUzcJsnW59x4cu+8T1l8tqbxQ0IzCs + mJCFoFE2U4e3pN5ykRLGdKg7bIRxu2Jznui+R93/iU985FN20zndrA9QhBoxb0R2VpTjG6nCALXF + WrAIBmJAiUhViRYD86RGdLKYmZM+BZOIDIdUSAkKUyZgyD6vBdl3XwosaTSC1I8tFSMjFDLMO5mk + yYTHpagWjEOrHCXnSFHVYJyImAEzgyhEJacmEoVcdNp3RoiRYkyaI1sECsiAAqorJBYV+3xU6DUB + 1G/drbJtzU1enDFQP1KUyFjEmNm4V+kjNaO40vUy167s4X+65sOf/eK/lD2zyCEXCQFiEgKjaBSA + 0SuMIczRQkOMmb74RRfFjdU96fxQYt936Z4Tx8ugIFYIhWHDroBQs9sVOuRzn2BlVpCygQiAihVa + vCVEd8sG3Z1W875r23Y0GpVSZjprmqbv+7179950000veclLbrrpZgDMLJJjalVV5cR/D0yn0xpW + PZvN6i01/1hVDx06ZGb1p6WUekyT2Q8R70Rb35qt+dZElHOuOdbLQOv60zM5u84555xzzp1VxLTv + 82g8ufLqq1/za6/98Ec/ujafE3NWVYBAzIFgRQVAjLGUAhqimL/85YJuCb1eXJLBFEixIUOX+/XZ + fOPGG66/4YY6xlHHAwLonL17du/efeGFF/7xG95wwXnnbaytNU0zGrU556Jy93yPq6Nqy6MtRiiq + KEpEzagtqu973wd+4Zd+8QtfvPnI4fWcsywHkIjMTAAmcAwAVFXViCC6eNw7vjy3f5mLLMbxiNhU + Mevzzbfc8qZL/uovLvmrYSaBc/fu+4qv+IqnPOmJr/2FXzptC23x1ax+TWPmFHhi9rd/85aLLrro + Xe993zDWs7xzXcilGEDMIYSScy05VqAreTKedLPZPOcv3PLF33vDH/IbgMVgqC0mJRRDDBHMKj2A + Jo1ymdZM6xgigFLKMGOqHEjFUgwlS4G0HP7f177mope8ZGU8mW9MR00LQ+RAhr7vmflsGDZ2zjnn + nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjl3liEL5zbnP/kbnnrJP12Tmph745j6PqcGweqJ + eKwERgEhCIhhVNuCgWtfRwMswmJNy1ZSYxVWgRL3RgzjE6TiWm0E55carGw2lfwy5xKq0tCB0rZ2 + QTQY+XmI92RnPGbHe2M555xzzjnnnHPOnW5mxAwxEGE8+fytXwohSFnmW9Q2Z4tBwDM9PHT2CosE + BWauDeJjjB5r7ZxzzjnnnHPOuXsAhZEwbzSPecATn/e4F+wq53HfDjkBRNBAhQNCChFAFgHAiqDM + xmwclINGMg66OfqkBGUIo4R+3q5/qbvhLe/5i+tv/ZeS5qXJh2aHV/auCtW440AWyHioI4SyGZsF + MwLImIxJIywAwRBgYZlQq4RFGPMdfrGkRmIsRmZkRjAiIVIERQCiIdZEXjZmq+m6BSRGUMBq1O5m + rhxjSwguQ0E9tjlLdzEFKaBCNZ+7gJS05nkTsU3zhkUVVoWBbRnue0cfndRIDYUICsvSC9lisRiG + RHADiVExWoah31sYQbiGNBeC1BRSJa3LjchMiRAAJqKc52r9tp/CxFjNYIRCKlyIbZ7nxkYINRm5 + ZporK5ju2lhxUsCUbDOXmqAsBKU50Rp/zb5vePEzXhYOj3fxPs3KEfWTtfjdZe7JoqoYdavCNZ2a + jRvQbCPvOm91gw/duPFvf/3+P++atT5Mi4lmNeGAZEbFilKmZGQW1NhqsHstQY6KVD/yijAE1ZMa + Gci2+flVkICykirBagA31UcrRgLKoEIQQNmMgEAh5xwi9Tal8fyI3fLeT73jfVf9vewutmJZSrAU + qdHeiBBIgyFoDMow3hLhrIWQxSiBGTbHHj7vwasPf/6TvmeFdpdeSRE5QUlVzYyZT/S53pHFnKSA + gKS+/WzDFEBEVqQnIlusXdsOtQYAZBEKTHWDB4DMrG73St0AGi3TiO5qvAztVs4SsoReWJWxsrIq + YtPpVCQbl06mvWxYyn3a6NN6H9d6Xu9oI9PMOHMwikFViwhFGo1XRqMRKPbZDCykwhBa5IRvVplz + 3Vbb5mxg+amti6LuYZUWOxKwES92lBHGOXcphZyFKLTNpOtLz4X34NqDn3nbh/+W9gaJpNk0I0Uq + BRQiEdgCCwCEAGZYBq2nb3r8c++7+sCJ7WZN3aw0seWzP8OVDUxkYCUUmRNroK0fvLJY67YgKNe3 + YXOTWOXcGYstbj+l1d+dSTHGGm4hz4QAACAASURBVFGcUgKQUtt3Zf/+/a961as+97nPA2jbJCIA + Ss4qcjsPJSL1SGVKKYRARCKiqvVZAHRdV694pvWOZWb1LdOa/2amqiJSo8hKKU3TqGrf99gSnOac + c84555y7g5pR20u55jOfft/73z/LHYg6VXANCbZigkU5aM20BnBHLxeT4dhLhYEJRH3uu9yDiZjV + 6gEGlHpwAehhtx469Lkbb/jgRz4CZjA1o1EzGmUpOedlkerdr2mapmnAxMxENJ1Or7766i/edmCa + +wwLKYJJgGImDDCKoc/SZylqBqgBhBB5e8vzlC5DZGKImho4EAcSwAgZMAIFJuYvHTr4iav++cp/ + vup0jYcTUY2yrsHeFYCV8SRy+PM3/dkrXv6yBKqjbASoCBO3TUvMAEy1lAIiACsrK8RswHQ2NSIF + spSUoiwOz2QgL0aOiiHEKCJd7gEYsDGbxhjrV/5SSv0iGWNsUwMDg2DQLAH4yvve761/93ff+z3f + A7W1Q4frC1lbW2vbNqWUc/bvm84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc8455+4KQTn2 + 6dEPfvT5kwtHNtGiREQESG39FmFsBAlQAlkMEoNi6Ay2iFiu7bGCEllto6O1rV+OKKyLbm/Lyzqx + X9pw7iAPffVsuURPbsi0BhbNNhddsBTQ2giODGTezuieYyeE7ByXS++cc84555xzzjnn7qTaWYwI + BMS0cu45uOXmzR8ajLy7/WlQ28CpKjOHEGqr8dvhHd+cc84555xzzjl3VmDjSVzJfRmNRmvz+bd+ + w3f36/q2f/3LI3SARsTEUUk6yZaRLESYgRW1vE83a8uYUW9UrQGNNJSmCWu2Lk3iDYf/5U/f+Qff + +00/8OBzHr7SnrMxm8ehlEgNikUNIRsDNcmPYGyIBtJFhZwShrRRUqAshr0Id7jKjaFKGVQTPclq + yoCFWk6nRgAYgYwVWksYGQbTGrGqQ4njCUZ+amzsCcNjz7SaTgoABgGILbIyGRuw0a0rZ4GAh1zh + bWe51ohNIiJa72b7AvEiipHMmIqBjaBQ4XvjQKXUldZsiJQ1NVIlgCAmrMwUlEMxneU1tbLNYUUF + gchkUQYKNjWZdxtgImJGMstQMzMhMN/lNYSLpPlg4Bq+GkzZsBLGpU9R20fc54nf/cz+0n96I43X + 04hyzhYUCl4EuAaFMLBMXbahLtZIYcyIo4hbDx3et2+8duhLV3z+w+d86NznPf6FUduIcSjEGlJs + i/VZCxsSB7JFmErd5hiBGHVN3xJifQrjucoCmhkAa2Ht4jGEFlHWQ8S7EQDlHmCzSGRzdDKabYTb + PnD1u95/zbvyrlluc9ZOTEdNy2REiBE5ozHAwIgGKAqoDMnDhtFKmG/ICO3uuG/XdM/3v+BH7tN+ + pW6AjFMYRW5KKYyanClEdKKP9o6rDx7eFFOyujllss13ppRSo6zN6k5m22+aEZZ5LUTEBgOItK4S + i7X37tyKExmMh6h7ALAIC7NpTqFJI8valZCRYKl03He2ZlRiCMESFZY+cBkFtKNm3M8ztE+cqFju + C1Maj0edTJWUgcVekhcvj2ta/JCnVGcFDAoALXcDRsNucsjABmvdoA8x2AVBlNhyTOOxiE7LWtzT + 7Jeb3/rRP9tvN05lPaQIKYBqsRSgRYiYEAqEAojAqrHQwy54/Dc94oXlVl3ZtdKvFzO03HbdnILZ + 9pLmdxYigtWQdiolE2XUkKfhx4otu9xj6/4XL3y53yzSgYb9KxurH/s726TYTjfmzBybZr2fd2Xa + tu2P/diPXX75FQBWVsYbGzMAzMwcayTVCRFRCKGUYmY553pj3bsv9/Ft25ZS6j3v8hfmTkkIoYZY + 1wDypmmWb2iMcXm9aZqabN00zZmdYeecc845584iTTtaX19HCqPJpAdETAGKJGIgIASIqCkMi8Gx + Uxp8OD5umQlExHW4VmCmsNgkExURAsyI2FRhgBgCsLaxnlLas2vXxsaGme1eXVVVySf9Snha1Jk9 + flBhY2MjpaQwIRTVLKVXa5swy2KGOlf1txhcq2dDikRURMwMRFCVcjeM5NDwLMwgElmMlnAwVTEU + qcebyGDzkk/Xs9Yv3fU4yDLTmhRFuvl8PhqNfuu///dHPeKRP/nTP93G1EspZqrad50BzKyqMOIQ + lPLGdINDYA4mKqYAiqEs3vf6ekIgVTNDapq+LwARh8BcSgG0lBKIh7WPYAYpxYAAWNFJk5Dle1/8 + 4v/22tcwMGraSBza0Xw204JR06pq3/fjdrJces4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec + c845t6PshLxVd2eQhja3u/mcZzz6W974njdMzpuslUOjlq1XtkhgC1pIF/HVTBbEEnMGFWUwAIKi + wBTEtfcaCMowgtR+TVzC7Z3UeHyDtbO4mdV2UX35x/Tn3NLOS4/+yZBjvVxqy/NnTQHauui87dVZ + qp4eW6+b2Q6J0fFYa+ecc84555xzzrnTazNrGX2HQAfm3TR3FzYBZdlxTNlMWUlp+6E0bqCqpZSm + abquY+aU0s4ZdHPOOeecc84555y7M0zAIFWbxN3lSHnOY54/440PXPcPB9a/1DU5cBtHcZ7npMYJ + yy73tb6MDQCUQDbEOrNBaQhtrGmkKyth/dDGrt30xekN/+Odv//iZ3//Q8/5hl2jPdS3QWs1Edec + x/ogyjAbhrEUasQKHZ6KlGxrprWyAdheYh9DYVozqo+6GUN8qsIYunyNZGCwmRlos0iRdBG2WhNz + N+vt2FRpB4XFMlTJaq6ukbJGMoYFGCtkfXpYl9mZBNtuigEBBjMEEBEdXD/wgD1C2ljRQKRkgAE1 + FLxWK+6gJXM3UHDNZK2Z1kGxmZUSoKqBGlNCRJf7rp9awKmNOJrVNbv+epnPp2ZmBDY2i2YZgBK4 + ZlzctTarUhdrXVEDlzhpdtvG7LzV9JSvfcaBjdveedWlR47cxqPNYtawmLWgdb3BMim+3gEADKra + NFjvZ5Pdaf3wgfdf/c5xO/6WR3xH07Q0I+kzW0gcemQzVWjNf4XVzRUrDRsrgmLYngybslNgNWV2 + 2ORFoMYtc02fPe5h1UzChMHzWTz0T59577s++bY1PlRS38lcGClFheTcR0IKmPewIQxeqX6WF7W/ + xMhzoY5arO6lC37gRf/HOXRB203qQQAykqIQq4Gmw1A26ZYK4zuR2XOXGmZyWIvYiAwGJiIz6fLc + zLSGWhPMbLsbFFXtSwbDzAhkZsRbjpuQ1bWWDEZ33/EUMgSDKcOYLJFxiKEgZ+501PVpdnC+/9rr + r/3cF6+99chNRTtVbdNo9+Sc+577gAdc+JALz3nAWhfGq+OkqeslaTOZTNDzxtp6mBhTgdWQG64r + wJAHTgoDiMmG/StAsFg/EXW/MJSPb4Y8DZnWw+0EY1MtgRstyNKNzmkO8M2XffgvPnPL5WV1rprV + EDgwDFLApAIQCUyNVEwL2kz3a+737U/6bj68ct7qObP1jihMVlfm610IUXHakofOBCarf70EAeXc + obH6QQSOirM+/tid0tFbD4MSutKBTQkBIGOq2/0d+Cl2JzGfz2OMIYSNjY3UjkIIP/LDr3zvez+Y + EqmiZlqPx+PZbKZaUko5dyd8HDMrpTBz3cITUd/3OecYY4xRRFQ1pVTDkv1Q5o7V931Nqs45hxBq + dnUNtK6R5GZW7xBC8Hhy55xzzjnntiXnHJoUUqTAw2CawdQQGMzL0VECYcsJ2KdHTXsGiNkAmJWc + 65OZwWD1e7wRUgilyK49u/fu3Vu/uDVNY2bT6bRNzemcpTts9+7dWUpfcv0+Ur9Xznuh4QANYoxG + ICJVhYFDUFXTxdAEM4egd0NM8nKIW7cclIlhSGgmMkMIwcxMLbWNnaZBPlVdfsXe+l27Tc1kMun7 + /uD+Axe95CVPecpTXvqyl//rddcSMG6SKrqSGUQhiJiKgAlmKkLMBgMhhigiMXIWGYZFFUUNBo6h + 7wuIYowl56IKIKU0fP2PSTRDQUAkqjMWie9z3gW/+iu/8oJvf34322BQJO67DoCZcYwppaJSSplM + JvUb6GlYOs4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845d5chgp8JddYJxo3G6RE87quf + /p6PvucL/WdCQl8scYIMbycZZIhfBhkzWMyUiwHCQ6esRYMsACAgGGTRdzIYbm+1sONaM927ViIF + olFtp7ZcFKxbkq03T74kYOi3ufnLXLsIUm3PSWRgOvVmfW6nOc1nWJ8qj7V2zjnnnHPOOeecO80U + RswwoI0wkfGogGCCmkNABizb5OPeNmh6GhFR0zSllLZtAYhICGFrr7rj73/3zqBzzjnnnHPOOefc + KRJVM0AoppT7ftdo33c+5qXo0ke++O6b59fPxv1o1LCZ1aRPgTJ4Mdx0dMkeb0m0XSQlA+uHpWlR + mr4jmc+v/5P3vP55j3/Rkx709BXb1+QRGdfQWTYYQQDlRQGcmZHCagytDKVspGQKMjYFbQbo3kE1 + PRQ115NgEMAUaoTNCFqCQGt1HRmCBjJWq1ndXJOchxRSghDDYKQ7OViSjQRsBLICgDWQRbAJ6ayb + GsRqseAQc7o9RGAd3vCDh/eXvaVlhhoCM0QNAWY1thPhXpnBOXwiGErGIDXAyIhNso4RRaBJ1+cb + fT8Pq9t+A9jUiAhW45RrFG/f91k6kQKESEGhBjGwnGJq9vbmqP4zVLKSCiyAihimeTXtnk7Xx7T7 + f3v0s4/k/Zff8IE13S+hAIBBiNmYjNkUQCAFVGvoNWPIRhdh6GQ0Wp/Ne2ReCYfWb/37T711dfee + R134pPNH97c+sBAREwVuIKWYCUAgVtQ64zqHNVtmyFz//9m70yDJrutO7P9z7r3vvcysqt6wCAQB + kQQBbiJAEiBBihskUgSlkUSJ0nDkoSUxaIvWRFgTcljyjCPGEZ4PHvuDxxMTcjisWULj4dAaSYQl + iqS4iCSEnQQXAARAYiE2Yu1Go7u2zHzv3XvP8YebmVXoxtZAF7obOL8PGV1Z1ZkvX758mXnvuefP + WgJokfkYimZZSSSgbD1SedyAgxIrgxJpCQQuYcksJNzIE91j/Wjtujv+7spbv7zmD01oM4uwR0me + 7nIC4BwkUfDIynBCSJgP7ucSaw3kCU4Pr9idfuI3Lvudn1x6/bAbdOOuroYiAEREmJjhSLKnWYG1 + 0JOeo5Pw9ShgzAuXSbmcmUkZpIocY5ehRA7IRKxHV40/IwUEOeYkDgJyQtuygWf3r+RmKc7KjO1B + 4DtCAYI6ZWSwBoAhQQhCfQxtGmwc7B/+7u03fPO26/dvHKiWfcY0aYJ6IoeDIvdKIB7w6Pxz3vjW + Cy79qXMvHtZ72jXklobcLNVNlqnM3/KgLFQmjkQBUikzSIyt0GslklKxXwKwt6aWtqVcz3aKCFRA + AFXex9jHKq3qgat/9Lmr7v6rPErTPB4sV+NpT1QRKhYnKRKTEiI0kzrAtTinOe89511+we43hOlK + N9FqMJy0h2v2DoHEMedMJ9ch+txxSaoiTqAMTSkhgAmCJ2VaP0l5smjr6nnSOqtSlE4oK6Cl2F9t + xu8U04yWuq4TUV83kvE//bN/9vWvX0mEPioA51zOeTqdlj+O8Wkz3UvgsYiISIlDZmZmVtUYYwhh + sWDAMq1PZiWyumSQLyadU0oAQgglpzzG2Pe9cy6lVPLkjDHGGGOMMc+FiDjv+r4vX7JUQQ6z6Y2U + yrdvAjliEZktu6bZMM0LuhRl7xiUctIsYHLsBKoZxExOAUjKZZNiygR0Xbe+vu6cGzYNEU2n00Hd + yIs+1aAEAJN2SkTOOSljiyKOIIqMWV+HlNPsPxCBSOYx0s65HBNyFgV7Jykfn/35tPtZQMTMIgLV + knItOTvvc0oK9VVQ1bIZ5XvWcVG+Yh/9XbtrJ2gB5dP37mu79vxXv/rKv/3qv/6jP/rXf/R/9n0U + wIGyZADBeSVkFVUF0SwRnCirKDSmDIAcqwgIcI5UJWcQgzilDOIQfOz7MmhAAOls9M4xyvzVqK7+ + 8X/7e7/7u787qGukyCAH6ruuqWpAyzBC13VN04wGw5SSDR0YY4wxxhhjjDHGGGOMMcYYY4wxxhhj + jDHGGGOMMcYYY4zZIRq11iGnwXsv+tCff+eOquZxD2LPyIRZX7VCSIgiiEFJCZmhpVMTmLXymZx6 + Qhty+WMoIM8asXxShPaeOLOefbzVZo4EgBJj1nXzSCyzjlalwZXQrGOneak6GZKtraeSMcYYY4wx + xhhjzPGkgKCEDADegemTX/6bH7/jkrg59byVMSClo5o1IHsBiKjv+9JkvOu6uq5Ld/gTvV3GGGOM + McYYY4wxL5hD45vNzQmzHw6X2+l0z+D0X7zko92Nm+mR9Hj7aKxyBqAYzGNaMwMAQWYlfbMI0pKa + KVAutWtlNKqpWUSmse8Vw1E+1D1yxTWfPnDw4Y+882NKS1UaIAeAhQCIkmzlaJIIlXK4cs1WvCgr + AY7EAdBjTLaGhJIBvKi0K3m2ivyk4TOCAE6J1PNsm2bxoAxhVYaKcnmQ2+O1hXY2BvVYkZbNnw0O + soKUARbulFKbWyGogpWZcKyx1qyz21UlVV2friWKSjIL5VRyisxKEC53Ci55qMf3MZ78WEFgpxnK + iWfXZFHHPiJmkmmaJonMyMeyb1hLfAUYDGgpEGRFSn0nbUTvtXFgJpdURUmZ3I4nGTNryb0VARSz + uPqmCpR97jMxu86fNjjz5y/5FUG+8Z4rYxgLQcFCs5fSrJh1niRfgpzL69Nrrnw92ZhWDSXViNzs + pvXxwSuu+gz/DF96ziA0S5DGk8s5w4FAs+TqcmiTQmV2EJZM33mC8jxE+VgoO10MEYsiCXw5H8zP + LQklUoQUQObc0npe2fzb7375ylu/PK7XUtODEJhVVbKClQh1Q5rRtTpoql4TSFhnO4QBVkBBPVb8 + acvdaR/7wCfedNZb8xMqrIN6IJkAYvZEmUlVVXL23j9F8ejsRH0SJVuXIvJtG8oAMH9eBDlKVIIQ + iJ7niSRBeonzdxkCGFBGJqHMi3zr+eWOF9yKMMqTy8JOPOAyvLgkIcuou2P/zV/77hduf/RmHQmf + wQen63Wocs5KIE+AZIleKfLmrQdWb73/u69YOv9db3jfW17zrn1Lp0+7xJErJZcDZulEQotS8zkl + CGavCGHWxfFcavpnrwgBwJi9O5OyEFhZSSj4mPpArHWbh+vfufeaL3zrirQ07aivGlrf6IdDSI4p + Z5bguclIgpihnjHQMMTyG/a87fILP0KH/aAebcRpUiHv2q4b+YqV804/AzuOASTKkRElAuASBk4Q + YsYsbH52qlOGltJ/mZ/8gHKGF6/grH2mKFAmZiknghP0sMzz0nWdqjIzEf2rf/V//Pmff3ae/wXV + WSyWqlZV1fdpls71jEoSNvP8UCFyzgHw3uecyx9YPNXJrKRZl8jqlFJ5QokoxkhEJXqtTEyXJ9QY + Y4wxxhjzHEnOwTlmrqqqZtdKzrkMMioAYiaFiqjOZjl0lgg8Hz98vpcE0pTzfECFBILyYZ5VpGQY + 0yxRW0URCJLy8vKypDSdTodNE5x/8TOtF8q3SFUVVSXKOTOTZmXSPOv9MPuuStj6GkuqIolBUChU + Uya80D35zJfELCIi8y9KZUicOcdUNin1sVwTQjiO36eYWee2f9f2xHXTdH2abo5d8Dmn5dHSP/nD + P/zwh3/hX/xv/+vXr/o7ZueZYooQyWVgCIAoCMSsIqrKzgGQnHVxAORcHthsJI8IkmPfl4EFzcJA + zgmAA1QQCB/96Ef/x3/yT885+2wGEVE/bQeDQU6paQZt23rvuq4LIdR1nXMWESUc311kjDHGGGOM + McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGLOSclwZLT6xN3v7693z1ls8c6Maj0fJ4OgkELBpc + KQRQSpl5ez80AaBgrVwOLgcv8BqcyqzjowYoE+So8OpZq0Wg9ME74pcnS7O1F8G8X92s65jM9zlI + FACV9aJl7221/VSSzDlzYpUjb4+eapca88JYrLUxxhhjjDHGGGPMcUcZ6knAgPdwYdJHdvMonXmy + NQChJyVCmGNVWocDqOsagGVaG2PMi2yrKSqRqoqIc05ESnRH+UdKiZkXYR7GGGOMMcaYZyUEhbax + rSsPRZ9AHHKXV/yu37zsd0fX7brq/i+sTfcnh1BBMkiQGEKoAwB0LYhQec1JHc1CSQGZRykDQFIp + GdK1R0YvVQL1V93zN48deuij7/sHr1l+Y1yLgQaiyKxKwlmrEADEGKHZu4qZc86kZcSLS0AyFgm0 + lI8h3VQ91CkYKiAFSYmQZFXyJCIl/JWISFRVSVxOEGJfubGMaQAKWSR5R5SVIaQii3zVZxx8Y2aV + knegqpglQOxw1iCVKkHnRFWhjj1Ec87sWat0cGP/5nQTQwx8Ne16RyB6lkdxtBThPRSemQ9PDuXQ + t9PJSljJoqQCVRZ1BCUGHIP0pMnQfZHMqzBZhcSBhJQJIkmDCyKJA6bcPrHxGD3vfGEhYtYkRDrL + FHE4uPH4cnP6wA+1V4U0g+HB1bXlXSsa++P44I6kXIagXcmKhmSIEpTQUQqk5LwnVFrHDdlXv/LX + 3/2bLHrzvTesx83Bnmacp12eDoahnaQKYEVwzMwiSQTiZo829V1wnLOAAYdWk2s2AVxx1ac33nzo + /Rd+eEn35al3oZYoVagkJhFdnEkUmUqhLQmjxLA4JQAlPvkYngNSpuQ8OzhJ0illx5RBKcZmOJxO + EzNXNecURVJglaZd9wf+6po/+9593+mGk+hj4hgcxS57hi9pJoScFAofuJOUWJRRKbLAO6gAPUZh + 6PrlPXT2xz/wX110ztvTIR36gaScVakkx4sSoFDMA2nkqDPNtumDkwerZDAROQLPsn2JUM7Rnjbb + cdbsfNVL1j6H4DWn537rSiIsk34sg6ysAFWhbicbofEiiidVqBMpl/9yvB/jFiFk7Z0PHImysrBz + XjSKj9N67a7Hv//pr/27Q/pwvzKOVc4EP2LplZxT7oSlJC/10CTTVtulvbsenvzgT6/94XW3f+2n + L/qZn3rNW/fVZ7nJPo5ONXmfiRJJEihTlRNcqMA+a0pIQok4Kzg4l5OqKrECUM0lKFdVcxYAqsqa + nXNKmkQEGjlNeJrrtWvu+tIXvv2Xa7TJnhSISYMHMkLQTDlln1Fx4JijC9ApMA5vPvudH33nb1Xr + KxXVOU5dQJLMqJk5SxIkOcWXAQR2m23n6mYzjgXaNNWk7clhEVkNbGVakzKXN8gjHrWyc2E6nU7T + JFLn6yAtBw4SI2jro5A5eZRo6ul0WlVVyScOIXRdx6EiOBX8p8985t/+23+XM6rGdV2epc3PY6v6 + vi8/ljHw2TlcBPP8MJ3ndZUkLQAlEZmIFqPiJd8aO/8507wQ5WkqadaYZ1eX53S7chi8+JtnjDFm + u5xziZCMMS4qhcr7snMupeS9L2/f9uZrjDEng6qqcs5E8OyS5OBAoJQXH7aFyjRDGReEYt6l4AXT + p/xRsRVVXRohqMIBohjUTTuZeHa7lpen40kIIfWR/Qn7CkBEKSclYueaqk5ZHSELyh6DzAdoyzeX + bd9f6MUcZ5xNyGxRACJ+21cqcpSzxL6rfKDjtGmLmaMjrmfm2PcMwEGzOGJNmYkuevObPvvnf/aX + n/vr//1f/ssf3HkHA6rCADFSLtHggMjWsMAR3wdn6dcCZehW8jSpyHwP1OyyZAX+4cf+/h/+93/w + ile8wjO72UyVViHklEgRY+8cq6r3fjGYMKu1K5tijDHGGGOMMcYYc0LlnL33MUbMh18W4zyLMQ2b + gjHGnIQW65oXp6kyd1x+LL99urHl43u/L2dlD5e3EsyfghO9UcdHGdIvz3XOuRQklDX15Q/KkbA4 + 0hZ/vNgJfd9XVVV+PKKwoRw8peZwcTtPuRmLqsXFgWeMMcYYY4wxxhhjjDHGGGOMMS+mMsXJBFXY + rOUpiTUlaWioqf/g23/hs9/89KSPyhIBBwGBFQ6sgDghiAqcIwJyj+GAxxsyqiqZOp9co4OGQkWh + 5kFTr4wGpy3VSwPnAlEIwXvvfVg0pQ+hBo7IYGZA6OWU0VI6WZUHrJAskiUmEdHUdV1KfRf7rmvH + 7XQymYzHG9O00Q+mqeojel+l5PouxcojZzjrc/VSUSpMFpcxRqIjl7e+yF4itT7GGGOMMcYYY4wx + J5V5MzbAO3ifZyOlJDhyoN2SrY0xxpy6yppbIipBHc65srAWQNd1dV23bds0TYzRZpqNMcYYY4x5 + 7lghmIV3ColSyY1Wn+p8iD/67v9itKf6wo1/PhlutHnMRASEwFHytIMPGIyQEvpeKw/J24IblGke + 9KxUPqILAUrIJF01FY53Hrrpj/9q/y+949ff8qpLG5EmjPoYNWNYLXXT3pGOmhERddOpSKpCJSoo + twhWELYGujKeMyXO5Et9oZLMEisUoNxNOudccB5MmlVVmZz3wYVmfbIOER3EcV4f9xuuRpvVA6Rb + kRcKkM6Lo54qiTNngRKYiIidOnUvzpcXZg8FJCspgUBEDurTOK/vX3/UBRZBzrkix0wxJT6m2kFF + CNS2GoLC4cH999EgDbReP7A+GNYMZgVBBBmqmlVA9HL6xsZajvsSWD2LfGaFEqpqsHp4rR40HVpp + +kdXH87Iz7tukxSzqlmAlIXlkbUHzz/jTe3mZBAG3TTFjclpp52+vr5W+Z1+ArgkhZAKQ5RQouNB + yJxJlQAnjFQPtXLifvHiX1v2y9/64TdXDx/CAM2wWpv0K0ukUVk5R3RdgkNVc2LJPVjBEJmHwiqh + BDaHXfnwwf1fuulzvab3v/lDu4anaw9GSJECD5k0J8lA8DWQs0QiBSAkACspIE8uQX7Oj5Ypa0YW + x56gkrJjXw8G48l0OBpmj9kObAAAIABJREFUjZv9Jnz2Axl3hw9PHv3P1/7JPYd/uMljVIi5S1mZ + OQSirKSzOHAlCCAEIXDgmGWzw7ACE7xi6IIeducsve7jH/7UOSvnt49LrT7lGELtnEsRs0QeMLSc + i54uL122XZ4siJyWNnNaYpW1HDx9nvZp2ubO1X5juqGVDprhtJ0c6+HMFVY3D7kzOW703oXNzc2V + pWHftzx7TxGA5wXU5fJ5p80/F0KOsmanKMdP1l6qFJvJgf7Hf/b1/3gQD+flcYccgSagG8uAtp61 + cnrR8rL3enCyOmRePnPlkfGP/uLae2+85/y3vvrd733V5SvDfZ4Rs7JkT95lSkmdOCQoC4iInfMk + 5KGaUq9KzHDEAGdRyaI55pyrqiIikJb2djH1vq4mFJMb63Dyles/+7Vb/iquRDi0kkAIAV6QI7oe + YBBBkLu+V2jl3ZCXXjl8zUfe+Rujfu+S7o4aMwtISMHqoYiuZ+D5vSpPHimJ86R1OrT6eGZpp33d + uBizMLYnKinAytsONlaS7dN5fcrLu/asTdYSxY12c0h72rYdjpouHcPnH/NiijGW3pEhhNJQcjhc + avuUc/7iF7/4z//5/6IKZnTdMz2DpXFkiTour7sScc3MOWfn3GQyKa0kXzKNOI0xxpiT1qIrdOkE + vT3fetG4mZnLlS+lNtnGGHOKKsnQrNr48Nqf/MmN6VjJ1YOmfIcinc0uYDamuuNr6WejN2BAypf9 + coeeqZ1MTt+zN7bdxtp6VVWenTrZ6fLeMqZER6Vw931f13VVVcy8MZ6Q6gXnvWZjvBl8/axVxye2 + HcFWYrnI7Ju4d+U9eu/K8lEP9Dhb7JwytljmjFgBohzjr/zyRy6//PKrrrrq//7jP776umsFQFYH + EMBMab7W35OLkrZulLZSw0sfjZwSQR2RqHiQQmt2TV3/9m//5n/zO5/au3ev5lx5r7kMps5vxmrF + jTHGGGOMMcYYc3IrYzgl07oka5Yyiaqq+r4vcZsppUX65oneXmOMeZLtEcIl9LfMFG+fUC5nsHIq + W8w7v/D7LfVjRLS5uTkcDhfXHJfbP1WUpeUlp9l7P5lM6rp+CUzWbz94yrFUDjBmXhxFpUBxUauA + +dL7cgtlJ4hIVVUl8Lv8x1LKqNv6EJec7GfensWBzczlaC9r+XfkwRtjjDHGGGOMMcYYY4wxxhhj + jHlpKf0nHfsaruvop8699Kqbrn5Efhy5VVAGu9IxT1gZAiGGiATn4xjL1cBN6zpXu+Le17/2Teed + df6ueve+5T27hnsqrqGVpJoEPqmbzbETSi9NVVV1HAAAR8Zai8jJ1nJtB5EwQ2lWfaeqgqyqqrmq + qkxZRBQQzSmlGLsJbdz+yC1fuv6vB7WuTp5oNQ73hphiaEi6IxYr8pP3rTHP3ylf7mOMMcYYY4wx + xhhz8mEgAwICnIfzmRilGRuVEIbZIGlpniYklmxtjDHmVOScSynFGAeDQbmmZFqXNGsA5dLahRtj + jDHGGHNMCAjZZ5bkspCwZlIWOKhbrqtuTD933i/vrc/4ixv/w2Ha30vrB37atr7mqtY+6rhHVaFq + kHoQCWgW6Dwfn4LQbHCK5j30XQm3dqkfrB+c5j+95k/ufOSH73/rh07js1j9vtGZ/Woe8bJKalc7 + VWnqiplTlzz7kjChJAJWyrP4x2N5vEKiXAKty+CZknLZ2mGzpEKsnLOyAMqq1JNknvAK9ZimqkXd + SdNThdQjEBSMkj0JQBnE8zzvpyhbJIJqiWsFFKqacy5t+HZUKbIE4MmTCggaNIZO6+6Bx+9OlAgg + Ieco6/PLzKDgkVLK2j3eP3Ko2z+UleHyKGZ18wxr1heQ2HyKY0XJtJZ5xAWpkHLu09LSUkafud2k + ww8cvE9ZVZ9nBggD2548VsqPrj/4RP/YK3e9enpoPBiMYp/7aeu93+GaWqZZDG168h2xkCQnIJDC + CbtccXYs4ZXDwS+8ZU8ty9+49cuB+dDk4GgZbVaJaJwweTAzgaI6gie4DADKsgi0LsPdm/1UA9p6 + 7Wu3ff6xJx69/O0fedXy67wb5CkLnGdPDiqCBACkAj8L9cgM3batXp4lo2U75ZQCqSoSsZCDr5iR + UxxPlupmMh7niqjh2HRjt3rrAzd8/prPrtaPtvWEfQUiR55JVKVPGhhO4QSkLMRgSU4ywSk7QT1k + qKapUocl3nP2rgs+8t5Pvnb3m6cHu4EfjAbV5tq6qqYugf0RSS2L1Bxs/eLkrqvWUh2eVbm8HBLl + ahiE0oFDjyYk8gxSETnWumYhjejW+/UutUvNKEcpLe109j6wfRfNM+l3GJFmyVnZOSTkjto8yKv0 + 6N/e8qW7Dt3anK0TykTwGa7DCFDqUSKQM8rLqmx2YFc1pJnX+gkHcNAfjb/94M0//N4Prrv0jZe9 + 5fWXrrg9suaqSAMMA9gHhkjOSUlZnaICSFOuvAIRwg4EkNcKBCIKg7A+XiciF3jSdc5RqJpx2oh1 + 29aH/98v/F93PnJzdUa13o45MClnQkqZgQAEgmNOAV3qa/hAja6nPe6sT/7i7+/Tc0dYUlUhziSE + 7JRddkIQ1yUWnwKf4snWvuKJm6y1B8lpEtTqJWewKM1eiMpY5JSXAzHNX6HlLUMAEcmc1qaHIzrf + eFL2oBjjCc6MMk9DVUtbyclkUlVV6ZipSi7U191wwx/8D/9UgMGgnk47lA+HT3M72ztIlraSMUbM + u1X2fZ9SYmYRWTSyNMYYY8wOEZEy+1zmpkuP5tIdu3SIzjkzcwhhMW1tjDHmBBoMBjFG592Hf+5D + l1122XBlWaAlFwfzSYoy3PDixFrPR+J48c9y733b7dm1e3Nz3Ts3aJYk5cnGZj0cZD0xY3chBFWd + bk58FZYGw1/+xV96//vf3wyHTzeFcbIN2ZRuCt77knhUwpB2LS934/HO3rHyUU+YgpD6PtQ1q1RM + H/7gBy7/uQ/efPPNX/rKl//jf/r06sZ62yURZcAxskByqpiy6PZSbwUcA5JU0ISKSPs+ErBv1653 + vP3tv/qrv/oLH758OBz2bTsaDSGqqqIlsvzZNtnGlIwxxhhjjDHGGHMyKVGdIlLKJEpRxOL68jcl + lfNEbqUxxhwlpeScW0RZl2BpEWHm7YnXZcj6ON6v6qzXcM55aWkJT440fvmoqmqRrxxjHA6HJ3qL + jo8QQknsLuUHIYQSLJ1zLvMgIlLmdMrfb080L4dfOTyKRRViybQG4Jwr1ywWmJT/+AybJCJlV5ds + 7LqurWTRGGOMMcYYY4wxxhhjjDHGGGPMc5SgjjVONwfD+vT6Ne+44IN/ecu/DyuUS0yKCgAGVJEZ + AKoak/VUaxi0e+p21y+9/+9f8rp30ZiCVAHekXeRSq89UQKYybPwbEWe0mJO/Cka+SmDJDDjaZv9 + vARJH4m2+hcplTo91V6Z4IiIlZkV0JCXaPfwvKU3XPBTf/XVK2574Jal00eHJgfAyGRLEl+CFnUj + eqJfEFYbaowxxhhjjDHGGHOcbVtahMzs2B09wscne06FMcYY8yxSSiEE730Jftv+q7quu65r27Y0 + EC/LdE/UdhpjjDHGGHPqUSYt+Q2iJaFZQOpYOfVRE42ave/4yfeOVuorrv3PT8T9hzafGIyWU4ox + T51H3SBntC2OSsud/zCr7psNUJGi5NqCEH3U0aTn9M0Hrrrzxz9855ve9zOXfPDxjYdX/OmtsPdc + h5oBzYIknhhaYqgBSAmTnhUWHlu2qWQXlUBaIiQBKjuBU1RAk2YFiJzzrExC0rnJRA5XK1hLB6+5 + 8aprfvC1J/JqvRvoQVoelwAACYSfIZSYmUWhKiog2eoqtaMUSAKAvGNi1ZwSNLu2xVhG0/sf/1Gn + rXNUwavmLmW4Yy65TEmCDwpkSW3euP/A3afvPcu7SpRUnSdHCiCTKoiInntk8EsEQaCcCUxQbAXl + 5piqUdOmyYTWYzO5+7EfVstVm6fHVGFXQk9LmDyDBVz2b+Z016O3feg9lx88/MhyvS/GDq7KkrDz + h1x5RQFQgpACNEsMJgDIpCXYnpS8eO59zDRwez74tl/avW/3Z6/+9K7l3ZN2HSTeQxQCrXxwzDF2 + mhEqUpQSVynD4OWFDKCLWFrhrhsLyU0P3nho9fCHL/mV157xU6OwW6Tps88xO1RNVZH6nKJTnp9G + ILOnRlhZ6RheAgJEdCB4F3zwLhNEiMg5artNrUVrjcP2/sN3XX3zV75/743TcLivN1FJilk7Isch + hEjd4qggZVZWMCkEAqAfy2BQ5xg1YnfY5SbVuUtv+s2f/9SZ1Wvbx7tRGDnnNtYnVd14VJNuUlWz + KPmtyFyS+TUnO1You9IpjQBiFWJhKOc2tzSSwxuPt5iE5Tor+q71fivz9blQkkjd2uRgr9OEzjFC + GIw3N4ZNIyJa3l8UIC3/YkC258XvGCEIQ5B66mMY3//EPTfc/ne7zxmt4olMCIADqEfl6w7d/BBl + Eu9msdYCUSlnWk/sVJ30oj2t3hd/cN8N9117x5XvevPPXvzad+3zZ3bjtp+iRu0YpEQAETEIxOyE + SSCAqKKU72cCK2Oz21xaXkoSJ3EShhwRJ2nDL+mdD3//iq//P2v+kbynf2TziWapVlUGnKtSnArA + BBWkLFE6VTgdNXmwjL2/dfmnzvTnjrpdmrmXlAOUhJRZiQCGJuKtZ+SUpZozpU7XDrePKWsIPqX5 + p6PZRyPMPy/NX6c0Ty8ima0IIJDzfezGcb3HJFEMyBxCP+05nAKv65ehuq7H47H3fjgcVlV14MCB + ffv2wfmr/u6a3//93y/tH0um9XDYTCbt092OqjrniCilVAKtvfcppZSSqpZWpCVBs7SqXLRyNsYY + Y8xxx8wl0Ho8Ho9Go9IXexGlUDpKl79smmZ7z2hjjDEnxMbGRlVVRHDMK6Oltu0EyjQbZaB5YDBe + WKw162xs9lkvaXaHspgsKfe+NBiura4Omto5JymXNIIX/vCft/I9dDgcqmqKUWNsqlr6+HS7p2zr + 0Y93pz3lBIMQgnNZsgcJSEWdIouur67VYWdLyMpk0xFIsbK0PGmnUKp8aNvWBff2iy++8MIL/+AP + /uCOO+64+uqrr7766ltuueXg4VUGHCiLOoAAR0RESUQBFjDAoBz7819z3vvf9773vvfd737Xe5aX + R6Raki2WhqO+61LfLy8vj9u28hYjYYwxxhhjjDHGmFPDYnkgEZU0zVJPq6pLS0ubm5sAyh9Mp9PB + YHBCN9YYY57CYglzifitqqrMHZfLssY5pQSgJA0fr1UD5abKnEKMUUSqqmLmEzvLcEKUsOdFDnTf + 9yVr/ERv1wuScy4VCE3TlMdVjqJyZSkUXFQplPqEGOPS0lLOubxvhhByzqXCcFu3bpRU7HJrC6UK + 8Zk3SURKmUTOuSzwt0xrY4wxxhhjjDHGGGOMMcYYY4wxzxH50PU9kLwEHg8ufcNlN9z5lQP9/S2r + 8mx1Hqksqipih+UmLMsrTnPnfvIf/N6o27O8vsv3PpCDlEozAVRJmATKSqzz1mpEjhZ1aE+51lFn + QdovH0E9CRYtrQAAQkTgspeUlCQDgGgmcoPByPXVxz708Y0rNh6e3Fv7QSdjFshTJFsTQFDrd3QK + U9WToeLIYiSMMcYYY4wxxhhjjicqbe+VgQSiyHDEAjBQlhCxgmYRB3iWRUXGGGPMSaws8y4xHuUf + ZUVu6evqnCsrY4noVF94bIwxxhhjzItPCQywMlQyQQge4pRF3GBQ92lStfWFy+8844PnfOaaP9F8 + x+rq4aU9K8lVbdzwnkUTBMEh6iKXATJPF2BAS1LsvL8/C5xCgMwYp75aTpsxSZ++fPP/d/MPbvy5 + d/y9N537tqVqtyOvvaJDgG98w3CaF9GPAihKnCcxZvGjz5EwYhlAA3EJ8AYAkIi44MghQ6P2PUmG + dDzFcnt4+uh3vn3Nt2+9fi1vYLkfNiFKVHgCl1TtWbrwM+9n1VkvKQZBWZmZX4yvMExExExZYk5J + Amkjvdu8Z/+tD67ek0IGl5AETQQ4aH6KGIZn4Nh1MVbNQJNM0uoPHrjpkrMvXR+vNvUul51AOYtq + BoMIhJOhhu3FQwCrCEEJGexYoLNodudCF9v1uNq8kq+58/o2rGfpyUHnL6LnqKS686zclgXMQHL5 + 0c0H79p/60WnXzo+vL7k92kX67ruug5up6NFFJDMskijJS31pzOZABanKiRQdjks+d0Dat527qXV + B/3nrvkLz35D16pR1WkfNXXaV3DOEYhFSk44pJxMyo0rAzKsqOvEOeQ8Ga5U923c+emv//F73/yB + d1942SCsDJvdNQ8o5q5rWV0IQSWSMkNnw+mz7Tu2mmMlgFzSxD4qa5e6vu0d+8HSqK50XQ8/kR75 + zm3fuvrWr+1vH6FdNJFxn6USMISYmVRyVGjloFqCwGdF0uW0LIra1VWu2km3xKNBu+ctr3rPr73n + 48uyVzeTVxkM3HizTZmHbhTbSTPwJZ75yRanx0WermArwufkLAsW4pJbnuGgLk3i2ur4cXVJNWfp + wEoEEj222CFKVPmHDtyLKvbTdkhhOh1778EMKW3a5u8sYFB6lls7HkTAcCDO0MgiIXY8vuvHtyY/ + JUqbG1jZh9yCBBXVqRVtfCYBCakwJ5q9dzMBpIkZ5Dir9BOAUTXVVKZ+JPdObr3n6h9ce+sXL339 + e97ymnedue+V7dq0wVJFA80qGqGdaiLNIjWDmBng8qoVVUGikCdpXSvpedy6FJb8o4/9+LpvXPm9 + +65v69WxW1OXpcZG2y0PliRFia1nOPiclUQJqKGMWtexN5z2yV/+7145fM2wX658Mx5Ph8vDXqcA + WAIpGFEgLE7IHdOb0UkoaVJqpZ489PjdvXZKjkDMMR/9sish1gRAtpYBbJ2X1Ncux+n+Qw/vGb4q + YKntU11V2eb9TkoxRudc6Qi5urq6srISY7zlppt/7/f+8fraBIBzJKJNUz9DpnVR+kXO3r63NZdc + hGUuPkYer56nxhhjjHlKOecYY9M0JelTVWOMZW560S266zpmDiHYVLUxxpxwg9EAQNd1zjkkZahn + XnyTOnro9fmNjpGC6dkv5+8KqrNc7a27V0hVBwAbGxvB+eFw6L3v+579CXsrkZS9933snfMCzSk7 + Zt62x56i8cBRj3qnPeV4ERMImiVrpuBYVR2hqULOeefHl0o/Bt32MwC0k2kZbGRQU4UoMXadI2Ki + N15wwZte97rf+0f/KMb46KOP3nHX3Q899NBDDz20tra2ur7W9z2Ra5pm9+7du3btOv+815577isv + vPDCEAKpDgZN23beO1LEGBmA5OBcqBtJ2fOTDp7nF9lujDHGGGOMMcYY8+IgohKKWVYFljDOEtS6 + ublZcje99yIyGAzKv0/0JhtjzJOIiKqWaWLM1zsv8pWZWURCCKqaUjq+1fPlrFiq1BYnz+N4+6eK + lBIR9X3vnEspledC5NRuP13ms47ISj/ibxZr7Y+OqS7/pfzN9l8xM4ByTQiBmctU2iIJO8b4dJvU + NE3ZnnK/5fCzkkVjjDHGGGOMMcYYY4wxxhhjjDHPhXNu3E72rAzX19eb0Z7Tw0/89Bt+5gvf/tOw + 1PUcZbY2UFjBCigq8jJu4iT80q/+xkp/5l46La/3e0bL3XQsUCYCe2ZPpKpZSQRO5lUTKploFrRM + eOpSCj26PdtLFyk8eQBQt6gtISIoNJf2c4r5GluGI6LuYLtr6Yw0yR//5d/+F3/yP9OSGwxGnUyg + KoTFbbz4j8UcRydboZHVhhpjjDHGGGOMMcYcZ9tbogkBvOizV1IHtg8PMVAyfk7tFVnGGGNethaL + XUMIXdeVH0vrinJ9WQr+lIt1jTHGGGOMMU9JSQAIiRMC/FaYIsS7SmKCMlq3MtoFDh//2U9edfvX + vnX3dQcP7/c1D+qlzfV1rrE84kkrxNj67wqheSAESfkoTwon8/hngiqGu8I0xdi3YeQytfcfvu2K + ax//Rv2VSy/86YvedPGulV2YesYoJpZOK65IS9YmA4l1Fm17rFiFAFJmBWkZLiMAvvK9dn1qk4vc + qIQ87TZX4xNXX/WN2+773jQ+jkFKlDfTRtKUIirlWZz2IrOXEunT1keJKJW4UNISSbj968wOEQIx + RCWriiRmroZ+Uk83+sPX3vKNw/EJGiGLxBhdxewR6dhiPITgmEVylFaJwtB//57v3feaey46612b + m0LlL5gEUElAYgo79EhPVgJSIGdmQLLCzXLZASIlWTptsH/6wN/e+KXURIGIHktEO4Ay/ksQ3gpW + F0Lm1Lvx12/8m5/88Hl7R6904lPSvu/djmdal5R3kXlmBil4ljwNJZS465JsDSRS1ozgmm6jHVV7 + Lznn3ft+/vS/+NpnHuseWT38uA5QDXyWOO1l4CmEqusiOcj8lp3M/gFwjDJabtY3W2bkqst5uinx + K7dfcdNd17/vkg+87Y3vanQldm7kdjd+0E6l8iUJmLgkjfP2W3uuSNll9r7S3E/6afBuePowIR2K + +6ey8f37vn3DD658ePPebjBJw/E4JlehquAAAhGUSERk8ZQIABaa5VsLAKeoHXcb0731vqpbfv+b + fvHvvfNjOFxrH+qKe2431w85PxwNhl0XoWCwzgb/y+URB9MpMDWgQgwFpJxYlSmzRMRde0ff+u7t + m926X0anOfcYetKs5Y3gORIS6GT/4YcfP/zI63f/RFzt2NXOcR9bT4zZu2G5d5CKEp5vsNFzxJSF + nSdyoqIE9UiUHnjonroO69ONukZs4WgWVq0esog/IqBkyCtAwuw9V6KxT0KEeuhUuG37xFD0gwEG + o+HDkx99/tsP3nzPjRecdeG7L/rAiPYOdZmz05SdSmBy7GKMpF5FSJWYnHNwBM6ZY0eTzrVa94+t + P3r9N675/g9vanlzkw83Ky5KnOY8HBLD5S6SqCStG69CSaQO3pFPfebWv3b3Gz72gU+8on7Vctpb + c7OxNmmWR61EUHkjFQaDMkCk3ikB+eQ/aJ8WSVWH3k/H8YkH99+TEWOMVd1oBsp0HkRo9imC9UkP + UwgO8/k9Akgy+qqub779e2+57GecQrNkxJM1lv7lrjQJDSFMp9OqqlT1gQce+NSnPvXE4fXyByKq + ium0I6KmaabT6TPcjve+ZFp771W19Nxk5tIaslzaYLgxxhiz00o38NKmuUxG13Vd3oirqio5qSXl + uuu6qqqsfbMxxpxYbduWxvqLjvzeO0csKW9P+VXC04yhPYsyfqgA9NkvSWeTJjRb5V+mA1hpHrxN + GA6HRFS+HlZVlWRnpwye7kE5djlniUlTZvbEHHOsQpBtmQd0VFLyUzzqnXwbJMXR3SSUyr2rZsma + nHMqkrIwM+98f4RFH4YjBpadc7X3fYrtZBqCG9R1SS6RjIodEUG0Ynf2Wa84+6yzAYQQkmQRYWYo + l48cPrBmyTlNJtO6aQCsHV4dDQaURUSCc9776XQK0bquY4z2IcQYY4wxxhhjjDGnkDISUuZZFpms + qkpEpS4C8/RNzPM7jTHmpFJygkVERIgohFDSrPu+X1R2LQKty+nuuNyviJSisjJJvbjll9sQ8Wwg + 3fu+7xfLMV4aO6EUBJZntlQGlgx151y5XER3lzfKqqoWcwQl07rkfOPJR6lzjohK8UP5Vc6ZmZn5 + GTKtMZ96K2/Tfd83TbOzj98YY4wxxhhjjDHGGGOMMcYYY8xLSEp9VVWSwRSCuumGvuO17/72rdc/ + ku+NfqqEDHid9xoT9uQ81Re9/uJXnXn+aLJHx7wrrIxXNwZLPmmOgihKORORhwLEDiDaiulVna3i + VAbJ7HIbx3zkb1/Kl0iSALCykLByqdMjolJmML+crbF18CHXiJkHBKfvett7rrntb5NP2FbzIgQ5 + eqGpOdWo6slTZWPlocYYY4wxxhhjjDHHm261theUNBTGU8Vv0A73jDPGGGN2FBEtVuT2fV/6VpTV + 3WUpbJlEtBgPY4wxxhhjjlXmBMAJc+aSpaokmSVLp0mZvQth0k4Hw9EZ03N/7eL/8txzX/X56/7i + 4ccfGDQDrVLUiSYVgSvVerO0TQHNwq2VpATQOuUyZpVZMiMrOGucoKqQdKK1rpw3Orx2/2Y+8MD3 + vn/lXWe95YJ3XHz+T5+98qrcL0E8iXfioQ4KwM3TLuWYcoAZcOIxy9r2JE6JFRDObZ6gSdT0yhsH + 2sfuv/9Ht959090P3tEH2ZS1MOpbTFxd9TlFYHmX08NukXjBAKiMz8nTZXASleBOqKpIKeqiF6Hd + nhCyCEjYEcML5OGDD337wRvufPBWqXplyrkMJooyShrxMSX7Rsmhdl3MQhqafPDgo9d95+q97zx7 + 2JwJ5UDsCQRVIlFRyMsthJOQQKwkAhZaxGgoSKL04+7wF6///Gp8fDUf2rt7qZt0x9S0TAlKR2Zm + KCFzHufpgfEjn/vqFb/6ro/nXC+7XaLCL0YGqiiJkuZ50jYp3CzrhaWEEJMkl4QSA3C8OYl1GPg+ + QJbesGvX7/z8aVdc9ac/2rj9YH5EtHM1uoxWlUDCpC4DYGEn5WZFCQLUdb2x2o4GAwCTbhqGPKGO + hngit5+99t9cd/vX33fxh9963rsJgziWZjhELzw7I4kSy3wLjylD1wlT1Co0kVwU6V1u3eqjmw/c + d/COG2+/+rGNBzbyale1MSXXYHkQui4GCTnnXoUIzqlnBABKqiSE5ETmrxEWsEJlOnLDFTnr1372 + t95y7rvxRFipd7Wx6/pY1yPRHshdu6riR8Pdk651Xo7M41Ge/zB7aPOjpTw9x3YK3WlcCl4BQIUg + lJPEyNOH9j928w++l3QKB0dIqTSkQz6WkxUISRFlfON3rj/jknNHtKeuWHMWEfFlJ8wi5wEAilm4 + 0U7tH1ZAPauHeCVRjlBmovXVdVWpQuXranU6cRUJ6wRxMHCcStE8AOSym7wAkNxrhnNwgUQ0tpk5 + DyvAcUoiGVEmCC4J/ROCAAAgAElEQVTq5O6NWx7YuOvK2/761Weef+Fr33r+K954xvIrGixr76d9 + dHVTSs01i6qARBA76lDHA5uP/OjRO75/33fu3X9nCj1Oy5vdZjUaPNFuUIAL6LKSJIlpVFUhN155 + LJMMEEe0Msy7Xr3r/H942W//hD/nNHdaP3Vr48lwZVebW9LkQU6VkUE5E0G9zw6Asp7CsdYAkW5M + Dn/3nutWJwe4JhDlnIlKUvXij2aXJdk6zw7CrY9TAMA6aadLTbjr3h/+6NV3X7DnoqVQ5zax9yfV + 69cUIhJCGI/HJd7y4Ycf/sQnPnHgwBoTBGDnJOeyqENVny7Tuvy2NJcsgdYAVldXF2tCYozee+99 + jLF0pQwhvGiP0RhjjHm5Kd2ZQwiLzuAASq/n0jCamYlIROq63lr1aowx5gQJtVcVZpacmqZSkb6b + 5pybUJVRhlmx7byYlvTYBh/oGL+Ms6KMb0gZzCFAQQrvfSl8KlkLdV3lLElOwEhIGS0UEQeCavmC + SUSenaQMgLeNzzzD9i127M46qgS6XOGccxxExDlS5pwzQZg57+QeLUPTZSsY2wb/gSwx99E5N2gq + EenbjlgBVL5KKWUBM4cQqopzziml2HbMHJhBDIKI5tTHXoJjZuzdvattJwB2ryzFLomKqqpCkIPz + vvYAVLWqqpj7bRv4TMfqjj9TxhhjjDHGGGOMMc+oBG0657quK9Mr24Ouy9+Ua9q2tQRNY8xJKKXk + nGPmsiKgpAiXvOFS5VWuKf8+XpnWAJi5zE2LSM55sZL6ZThVLSJ935eo5kV8+IneqBfqiNXxOWci + KnMKpZiwFCgCiDE2TdP3fYmyLschgLquu64DMBgMSoFiKWko77AppbLHUkpVVfV9X95t5WmmqBZL + XUpRRNM0ZTN2eDcYY4wxxhhjjDHGGGOMMcYYY4x5qchShTCZxqoe5dQ6pX3DV77j9Zd98Yc/7lRS + 6VpJIIUTJgVLT2ly5p49AT7AO/J97sPQr6cNdUxVDa5JHImSkGrOUC3tLgHibYsP9agOTrPNSUDp + ySYv/UsSYSgpg5VUtuXTiMxqWgAAs+qXkF1ITb86ZQou1K955fnfufv6zmmUKRG0LJJd1Kco71xn + NvOyYmUoxhhjjDHGGGOMMTuEAHYAND9tuzESUt7eY+65mI80KkACBs9SMbj8ZhZ6wVAH0qN7xhlj + jDHHRWkXvlhSi/lC67K6u+/7Et1BRBbjYYwxxhhjzLEhEVJWKvVhLntlySQCkGpd1yrUp14d9eN+ + hF3t2viiMy4++1fO/PoNX/3m969yw5qHbnOy0Yx8Smlb0dos0RaLxEblEr6oJAoo4CqeTtPSwIlI + ykqM/RvjpkHMm77m/f2DX735setvuva8My645HU//cZXvTXpkk8Dl2snbn5rTIDQ1n092VMn1AqI + oUoQSuqSkCaXMnc6yOv94/c98qPb7rvprodvPzTZn12HRiMjoa2W3HQTFHvfAITJOC+RLoba5iNy + Uq4hKSnXICnjaSV7mBTMiUgrirqMPaf5s84YvILl+ZRUzar7qPQQ4nlAL0CigBADs4xMIcmcyItw + EsT1eOj7P/7mV7/1ubi87ocyzaIErjmLpLS9SpDnea7PcpmSVN65ilR02uel3YPbHrx5sh5//Vc+ + kbBMWGrYsUKFCFQSOxiYBajPAsGx+PfWs3SyEgLArLPgEyrjplvbv7XlBLCC1IOJFGARFkEWjsop + k7RhfMVX//R7j3wLe3PjeH282TSzpJDnvv+P2LqyN5Wlatzjq/vD5M5Pf/FPPvrB33S7z4XAJRfS + gKU0R2OeFYbOjpP5leVRzm5Zj7FydH47WyW9i3BrJbCykqgySEpijA/EYCKqqemmXVA+szrnt37h + v/7KzZ//5l1XPvzEA9UeGnDMCqFeSBRgPOklX67sYmyGg75LRFrVoZfYEaqA1bQx2hf2d/dfceV/ + +LsbvvHmV138lvMuPve0VwcOIXsWz0qkJRrWPcOBJ7OT2//P3p0FS3Jed2L/n3O+L7OW27cbjZUg + QSwEQIKkQJAUuFMSSWsLjRSSZ7xMzMRYD7Yj5nHm2duDHTEOPzhi9GA/eiImHB7FyJZmRtIoJGoh + KZLiLookCIIbQILY0du9VZn5feccP3xV1bdJAOwGAXQ3eH7RkVGdt25VbpWZN+vk+bfSXMemGFpT + J6t62rJqPz1y6juf/+Inv/zI554eH635YOJDnzknEocZbCjZGZ4SpdQXo1orKiAONycS+PliaALE + uKtpVud333jv33vPP7r9mp+pT6JHb3ABIXVmXqsRbDGfqdE4jjln83rB9gBu2+flzb7dHRnaetvt + tLebGePCLU3ZwQWMgYeBhzUf/P5f/O43H//64vbl0/WsdMgd1GC2+/7iYj8vklG1fvqBj+3PT/7i + +379zMFq3i9YpJpvJ4wNLM4OwOHEtgm3Bjsf+VxsnrydC8PzHYheeMmQuzucmIQsudMmrbZL6+rT + UPf6XKyUChFMqm3jt+0XN21oBEqUiMysVmdG3zOZTRMYJgImFAOgPAdQBp0400NnD777mQc6Xezx + dTcef91rT75+/9jJfr7XdbOu68h1mqbDw7OnD549uz79rUe/sfaz5/R0TWudTxOvC4GWWNmhJwhD + gLEgCfb3Z+NqZMuqLoROONmCptnbbnnPf/pz//Amu3FZjpeVWqVjx44XM2JPlLgau4HUCIbcvu1i + h11QDH/57WKr2s7fqZ1A7dKLzLYh9SBTLgd+6htnvvonf/NH496KEnruyjDmns1bej2zs/lm73Jh + 1f5msN0vg8gnLcDh7330//7t3zx23fyaWTfnaS6WyeSHTwN2k7T74F8B+4GfHkTUrlGb4amnnvnH + /9VvP/bY0wSYAUyt+aO7t36mLcDs+V7KzFrD07ZnaL1NW9vK+XzeYjVzznExPIRXjR86l3i+Y6D/ + 6E8dAJQAQNDOYWDt8XO98kW8y0X+JXLh3yOO7ckn//C70gW3+51/fOFzLmJWQ7g8WpPo1gj7h1o5 + p5RKKe2oDSDaN4cQwhWi7ZmHYdjf369mRDSfz31z3RX0gidIL5vNGRT55t2ZeZomZm5lTurW8gPM + Xt7EhU269o+ONxOWUutsNpumAnjOeZpGEWlX857/i5grwjRN7a/s9ud2G2m2PTt9xeWch2Fg5hYF + Icwp53EcDZpEwGxmpRQUEBGDJGciMvdNlDiRSHIxIXbXcb0SZiKahpE5tcwnVW2begvtaKcl0Qgi + hBBCCCGEEEIIV52+79sdgrugawCtFqJdQ4sEzRDClSml1G55plYJui0Ga7VebaSImNnLFDjdLkEP + wzCbzdrbvRzvcsXaJTEzc4sYV9V2KLmqdV1Xa20lCgBSSrt76lsWdasYxJHU6vajXT3DOI5texiG + of20bZ/Yxn63JZZSal9UPV+gddMqItrzW11ESimOyyGEEEIIIYQQQgghhBBCCCGEEC6SdDLViXNW + Lb2wa+Yxv/vNH/zTv/s32bOaOxncANCmI5Nn6W6/8fX13EBWBMnYK5n0C4UawepEmgggeMuxPhLP + vGlW7+6AwbEdYtflYvNkcoBe9UMDgTaNstD6Ym3KS4iZwJuugDAHg9ydwczL+f6ZyXpevPGWN+vK + Jx69s/ZauztTneB0WW7UDS+xK6HiKGpQQgghhBBCCCGEEF5q1P4lAJ0btABkm7wQ31wiJccmVOGS + 82BaGzt2M7CSOBFBASfBNCGTJ86lMHMHAGjRN1f9TV/PSVWPtowH0O42vNzTFUIIPxXa/naapt2Y + 3e2yRGRmLbqj7ZkjxiOEEEII4VWJaJMSd3kSB17FnBkG500YLQNkcGYAjGIFziQQCFjMrfPcHdww + 59l/8e6b3vzat/7RZ3//u6e/NT+xP9SRGVOpws5IWoyZcidVFZzMTV2rG7c0aoI5qFonqFUBtBXb + C1xhjgmgVGSpZ+2Jvzt96uuf+mL38flbbrv37te95e7Xv+2a7joZepTcYZE5E+BaVd3dBUJb7dpY + a8RjZG0cmIhoslpo7Ul5Zis/eOz09x47870vPviZJw8ef2b1REmjJ58Wg7KJELmT22ooswQjeEXe + JIkORuQOMnAb42RW2ZBTMmNzz50Us2LIGZXAyqn2eZi9fu+O99/zC++4/X0n+Qae+ktaY9WtT1nH + SomKq6Sk6kSSQa6mMCMohJmdK6hy4nEYi0yyLN989O/+/LN//MBjX7b9Vc0H1cEMOCY1MDqH2za2 + 0xl0UUPJVk2NNpcFC+nB8tTXV3/7O//2f/nQ/b/yrje9z+rSz/menMjUT4dTJx1DjYqSFxJ3z2bu + XoCcO1QV4UqFyHVShlxRWRlGLTKcxTbhsuxggxMKEYmQVpCZO8E6Emgyldwldh/qepS1dUXzMMjB + 1x/+yl994aMPP/2QH6vFDh3WzTFOmnibAX8Ry5+wqR3Fkdzllrw7DNg/vjw7Pfv02VOP/tH33vrG + t9/3M/fdds2dyzM3zOoeu1k16Fwogd1InUlEanF3Y69J2MxEpJpdUrK1g1sqc9JNZIjRNlEVAEAO + wmbPY0AtSkSKyRzcwd2ldHNc82tv/89vOXHHX33pT7/zzIN5uar92bWZM5LBTUAO9tJqiBnmoIRq + hRIAqBYhLBxeQBkHtUjS/nh9bHroiW9+97MPf/S6+fX33vWOW2+889brbu+x5+sk2ov3ME4pwdxs + U23Z0suIHHCDKsypGhkYTlAZDnHq0XPffvDrX//2ow8+dvD9Q5yu/WjHdKJhd3VejNhbXjjDmYRK + NaPKjGpQhyQwmRdCTR0Jg6DGkH2/7u/d+1/ef+sHT8xvLM/qXl6Q0TQNfZ9V1QDmDKBUhxOLwrRl + 5R7dZn/08WaFviIxt0xUa513vZZq8Nx359YrTuJwBpKDHETu4Ap2gpnlWRpRV7bmPR/SwRcf+uzH + vvjnT5z7nt5QVmXFCWYwAhhEbSYu9vMCWClI3bQ6cepPHvz/Hhm+9cG3f+i2E3fROufSd1iyd15h + ygx26gA4mVoFkxCbeiYmr04o3D76wnCxCmqV7EcSji+CkYHdvc6ktV4ldZRSFnvLg3rK3TumsUwM + 5IxhROpzS7Xx80nb2A6dfROyDYObARBGe55td/UwmG9+Z6SxsK59ONCzT5x7+CtnPwWweW4ZS9y2 + FlLAjNTZjKt37mQGAzi5oYIBIkgFHHNiGE+jUZfM6zDYIqc0zJfjte970y995L7fuA43yeSqiUCU + qOraCcltuzRauvnmmyxnM8clLc+XGzlDIZJEeD2NfcrVq0AM1JKkq5txtc4o+1DXp+zxf//5f/Pl + 73/u3N7ZvJSpDiDr510pI20COhkAGwMGZweDYGByE999WgHAq+ecFb7uxkeGr/8ff/Yv7r/v/ve+ + +QP76xvm4zWic64JmsjBZBAvbpTIDInhJkRU6opzIrNItn4JCaUWON113cHqXPveUEScZb1ez+fz + 1dnD//q//affeOgRcrAQ1GHnT2naxe3WxnTX27R1nNxlXe++hWx9Ic2spZ21V+j7zQlkXAy/2h3t + Krvrctu6f+LCu6fCq5ZvUp/tyMUOan+a44evfzjBYNhGVnv7S9QBoLZYawccheDtFRzeyjscTLA2 + BLA9ZWxDuiBe2rbnjRc1rFqFmMCb+/jIqmligW/v7CM42M5P8yYQm/zCGYZhe6a6makLfhrC5fec + 3cbb7rq1xmbm2G+HEMIVwUgowTCbLaapAkScqvqPhjLTD+/XL4pf4s7ettem2kWZ86+jllgAWFUh + hnlK8nJnWmN3bRDAhUuAhNWdc5q0QsjJq1VO0ibb8MOnrJfL800ACzvAwgDUAJJXYFLJwduFuNsu + 2hZS1VPuHajqxMmBUpQ5eZs8tC4OR/tlbK/bbcY5vHWEMICYE9pZ/ebB+Zwnd989IKJL3kBDCCGE + EEIIIYQQrgA/+g3LrhailUlEdmYI4cq0K/vZVXnhgv7Im/Kwl/ZN22Xhdk3YzGaz2e7tXng6F4vF + arVqN1m0SW3T5u5d17Ubt3cPLouWAt4mr8Ut78KqW0nVbhZahHN70GrtcCTo+krTQqB3UdDjOLba + vzbmaK+Sth7b01oFe3t++2mb05ZpDWCXab370c6uIhHAbhnuFqyq7lZ0uzG/lNKWHhG18OxdZDu2 + AerYfjGBOC6HEEIIIYQQQgghhBBCCCGEEF5B22/hgfiy8ipkBDcFw1EgsOoJnVXsdyd/7u2/+Edf + /L1+Xw6nw5wyoSppNTCJazee1uuOH+dzY0rdoRZQhhK5Z5rI3cmNoDDA2PnCW+oIz9mggrZtly77 + HZKvIMamP8nO9pZGZofZpiHJrpUiYIXHsYJ4tvBr1+vTrzv52q+Mj5eEVEjA7AqYkiu7kRkZRzOr + q0orDsG2aoiZx3EkwuXNto49ewghhBBCCCGEEMJLzpw2Hc7YKlDZ4WCBbaMatv2CfzLsUGqXCBUE + VSQBk4BaoIGDrFjJfGkxPFeLXZR1KaXrunarWHSkDSGEEEIIIYQQwquBb7IkgQvSZzcjj4xxMgLr + OVssTk6D3/fa97zprnv/9DN/+Kef+2OaD4d69tjx/WJj1Wm+11upq1XNGWUqlCBJWsSmurqDjl6v + uqCeiTfTw1ZRq2DKazEk6z//5Mc+/8inZh/fv2H52jtuetMbXvvGG6953bJbzvNMMotkIqracobI + 3RlEwswgIpArWpCvT1YOxoNnzj35g6cefvjJb/3gmYefHZ5a+dnZ8e7Azgx5oA6UpHp1hzOJ0vkF + RCDfhD1wx+7O7m5wh4CSJErE5uNYJAuYDoex63jZLcaDadkdm07pzcdu/fl3feRtt7772nRjLnup + 9mSXdolJJLdY0S7T2tejqEsikqGadCAxl1QpK0x0KD5ZsqkfH37swb/5q49/49EvH/Jp3V+PWJvA + tjHxtcUEG+C8mZy23i9iSNu16QA5VKahOyhpBJX/9xP/1ye//BfvfesH33bHOywVnnLe78ZRBc6i + JlS4AzhrIWflfDicW2I+DtXFuq5jZiFRr5e0fF5uRrbNP9lkAzuqsk+5VlLmStkdcOhkYBFQN2mt + mHjptPCnDx/78oOf/8p3v/zYqe89u37SZhMlG8rIGSKwtI2Hu+jlv+O0KRIFQI7FIh+uV2Rjf2J5 + qj72sQcf//Jjf3Pr8Tf8/F2/8prl6647cU0ns2lVtTjYOPvhOCRO6HIvqYMPpfa8WK+mlPKLqMTd + RDi3CXuOH20e8iaN23bTT05ifVeSaH//Hb9wy41v+LPP/YfPf+cTh2Zdd1ZmGAd0qas6TOpdT0Su + jixUi4HMqcWIMLfGZAQXcnYlm2QNWjljxOlz9anvfP6BVOcnZjfecv0dr7/+9tecfN1117zmmv1r + XCEQodR6b5mZmbkrCYxMyVbjwemzp5549sknnnri2fWTX3/sy4McKtbKo82LylDJq2/mno0B2O5y + PcwItai5pl5yFtJpUrhgrNYlSZqSdnnqaOI7b7nr5976S/f0978m3aor9XGkrtWD+vn2cxcU9b5w + L7Yf+ekrUxBMAjd34pTHaT2WFR0j6nwsK3gZrTI5UzLQ5F5Mc5eqjYf14Mn1Yw9962tff/grj559 + ZIVzOh9UirK10PRNKM35pMOL+rw4IWcMVad8dk3rzzx86huPPXDnTXffdfM9d9x097F8fJGPd/2M + PRVPpnAzN5IZQzAV69G7J6+FOG3TGJnOpzK/qJJ1IlNzKIMAgUqHxa03v+EH33okLXm0oV+QVZ8m + LGb9OI7Mm8PQJhV5+5a7CKLdNPh2qi74//bHLWxeMQHbqt7N4eD8VrF98e2WQ+e3oV0lfwYEPBQD + 0M1T0TqOtevgE27cv2795HRjvuXv/+I/eccdH7BTM5xLzAQ/PxVHs6Q2wU5H3vRKi+BRKTarB2Wd + cy5SSkrmFYCZqdfUMbKv6/rZ1dPff+SRbz78je+cevCp9MiTeKKbE/czdlO4uyo8WTvUmrWyfmK0 + mPMWTX6+XH+zyFlgpU7w3FGV8uh47qnPf+/Tf/fxd97y/ltP3HXbTW+8Zv8GKWKTMSN3VHxSuBUT + 9ELZqi/nS61VNnGi4aUxjuOxY8cODw+HYdjf35+miYjW6zXnLue8Xo3/7J/98899/m8ByDaf+Dm5 + +3K5PDw83I1pLSZbI8vWf9PMUkqtd+QrMGvhlaGqIrLrEtsag7r7bDYbhmHXFXTXxjS86tGRw7Dt + Mq2f25Gb5dqTjlaDbMMSbTvO1AEkodVqSJlT7njzRAc2R1zf/i61MS0M7yKGTpSkq6UkTtCKRA4G + u7rJboZoE3+4O/Lzbp5x4VkK7eY+hBBCCOGld7kuNTgBYLThle0FFlH70ZV2ueZK8OLC0UMIIYQQ + QgghhBBCCCGEF4eIdi0vdnnPL/D89hwAq9VKRFpBWos6bpVLfd+P49hKlS5jpnUrmsKRXPBWQbdL + ZWbm1Wq1m6k25e1XdgV4bbFcUVS19VVvFYAA+r6vtTJzG9NSpVNKu2e2dSGyKfhML1h/+MJaiPXR + wO+2eI+mmO8yrd19V6vWihh30xBCCCGEEEIIIYQQQgghhBBCCCH8BAikbACEHGKc6+z+N37wr//2 + E8+unpotZjBTr5LAgmpWBavhcNJp2eVShtTPJrV2i6YYCK5k7DB64X5rF/ppTV9+gdjp1vvr6NDJ + IBPAjB6Vl3l5oj+RayqobEmcHSoERgUqQxl+Pi88XJ1+XOXRKyFirUMIIYQQQgghhBCuVgRkhRGY + AAIJygTp+HAa8mx/8ApoevW2U++6rt3al3NumdbY3hj2nC7/pbgQQgghhBBCCCGEl4ESME9r1ev3 + bpmmQZ964rfu+Uf33/q+P/zU73/z9AOnzj0+yWgZh9PIQO5BjtmstUDSanAHEZiJhVu/m6PYAZjt + 0nBp888Y1ce1jjzHgHOH/Oz3n3jwYz9gNulp8ZqTNy+7xd7e/nK5XPTzvu9z6kWkTJOalTqux3G1 + Pjw4OFitDtZ1+MEzj442KAqJVapKIy8pz+Sp4VlncAIxESxBzEC+iSq1Vvhku5BUmyYjggBwuEPd + nSoRjWSYQUXByJl1gJ7DtXrjsdXJX37Xr73nng/u4biuOdOCJU1amIQv4UoSe/Xq6uK1K4+d+s5T + 6ydKZ0gkIMCUpmK6UhuGla4Pp3Lw7e9/4/Th02fWpwpPvtAqZaRifEEpoBheXJgoObJxy+Z0Qhtq + mhRTKYfdsf7hcvbhv3ngDz67d9OJm26+/vUn96+/5vj1ySUJSFg5kaMziLHwzA74nbe/O60ZILfq + zlpM8hV1ydHEnVoGLQEEJQfVMa+/ffCtU+UZ85F6OKCu7MSOqazN6pnV6UeffPQHTz16dn1qwFBl + muqApaUZqxcRCMMVViEMv6R62S0HQNt8EWBCUUYS91RAVFSfPvvYan3maw995tpjJ2+69sbrj9+4 + v7j52GJ/NuspUc7Zi9TCYpkL0ji79/Z368iMl/uaL2+zbA0AnMkhnrSyUT3Z3/yf/fI/ueNbd/7H + T/7BkwePDMNaZjro2HX9zDGMgySkhNWh9912KXgLiN3FrvumZNYdiYx9tDrizPKa2cHq3Gl7+snT + 3/3i6VRWkyt33N9w4oaeuy51XdcJZwAOVbdxHIYyrIbDVVlXK0bKiTTZ+nhVqm4TqAJgAhN1m/hA + hjPIQFUZSgCQMPUdqUJV1yutDgi4I8BJSCb4oZ3g699/70c+8OaP3HzsNj5MXr31ZWut5Xb5l1c+ + IyYSY1tPmvrsPU95POBzX/vml3w2Tn5Yyrlax6LTuk6H4zCWYXV49szZZ86sTluqlG3AMHXD5Mrb + RnPkYGNyZmcjU7aL/7y0j24RCEFmhlKeHr5/9vtPPvCDL/pEi25vf3lsb7m/t1guFovZbJG528/H + Z2kuzDzl2/bvvmnvZqYkYG5b2ibTOjmqErdAyUvanzPLpJNlhRs7S+1meuytt9/3qQc+OjuRDxWr + 0TMDDFVl3xxxgCPJkM4A73batsvwPvIuP24ytguwhbAT4Ns8Hmfy1ObJYL5d2m1XL456COm9X/QT + 64FNSEgZ2ZDXfXkEH77nN3/xHb++T9fXx2VvljwDehUHVZa0/l79+nfPfAuwopOqTrWY1VLKmbOn + VuP69NlnTh2cOqznLJmJVh5GX3MCJy46FTMSKJQE5uYt4PPIENhkee7W5u4BC2CmZi0IG4Sh1Cfq + +CcP/b4XZOv28olrltdfc/zaY/NjXc6z2azLs0W/XPbHF77Hh91bb32Hjk501S79K9Js3pU6goyZ + h2Eopcxms77vnaWU8t/9D//9R//irwAw44V7SjLz4eFha75ZSum6TlXdvf1W+7aRiMZxzDm/MrMW + Xhmt6Wdb3bsQawDta+g2VNXItP6pQJvDOm2O7ybEINtkHx7Nfm7nQkfGbDccA1nXTk0YABJoc7bg + LAyA4bacd4DBa1mv83xORybAwdamBCxOgLR7+n7skCDDWmezviioSxVQEAE9bcO2CQ4ozAEDBBBn + tBMzAAQmHAnWbgvD2p8227mLw1cIIYQQQgghhBBCCCGEEEIIIYQQwgYzA2jRyMxMREdzi3+ImS0W + i/V63SqU2u0buyYYLVMZQEqpBRtfrhLlYRhExMyYWUSmaWoFVG16zGzX2WM+n7eI7mEY2owfHh62 + 4qsXHf/88mnz0gKkU0rMvOtSssuQzjm7+2w2azNLRO6+u9Hmxc1UW8W72HJss8DbZtAStdtbtBr1 + 9ta7GsW2Uf3ksx9CCCGEEEIIIYQQQgghhBBCCOGnGTs2/SSdW1clpwpiMbr52B3vvefD//HvfrfI + SH3nSFaVBYW9dKvvnvrO/d2QfMEGZvdawK3PobIzOyzaKL0cyIiUADaD1Zml65fXL84ttExZRSgR + TYkgrslL8iJeDTmCWMJPKGKtQwghhBBCCCGEEK4umybKLcOAANleI5wmdAIrZTGfreo0nx+HVbIK + lldrZ+F2p/hBwz4AACAASURBVJa7t7vFdne7Xe7pCiGEEEIIIYQQQnhFMacEPji1Ysf13c02lFsS + //Z/8t986fuf/dy3P/nQMw8c6ulRVySWUpqsFlc1kIMZInAD1E1N2kUkMuxCrAhwMKzF3LbLLpts + TUKaQRVDndY+kcEdIsgkTz/5SOLMlIgI6u4OMBEJsROIyMgAd3eHOpns01hXZsaZJLO5ThWHA7oO + YJDDzV0JTmJkBJArgTbxpWBscnO7zCB3V2+x1oCbGzkIwlImtUK9zxa6vO34Hfe9/md/4S0fXkz7 + +dw8+bznbhzqhGG2XFi5tGZDOXUOU57OTac+9+AnP/3Nj5/jg8LFFU4GrhAYCwOiaj6mhQ18WOfu + AhNUhzNYxNTbjGxTxGwTbkq46FRrsIOcybnVIbbfbPnWlFHSyJisoNSDw9XT3/nu17QwvGOX3FYN + E4BUKRtD075ff8Nv3XCt3LTIc/YsMiMW9XI+dfVyY8DOx9a2q6BqwJTGP/jEv/nuuYcmXVF2hbk7 + QIml6hpigBkZ2H1G6lOxOjsxK2UaihOhS+QGV++JFJdYpemb6FPy85F4AGpFPxNSDNMqOc/35gyZ + prNyPZ6Yzj75+Hf58a7jfeasOmlZE4lIrioJswUdu7F7/a03v+l4PumKS52iF6uFW4Nd4Fh2szMH + Z/Kx5epZvfe173nTP3zrJ77w55/86l8+Ux6bLfpxGIqVvXmvKGOx/WM8TAYHYbNLAcAGEMiJAYer + gdhF2JOZ4cw05DmS2NpOewUdhxAK0sPDU4JWQbsJCW+7IjVzcbD5wo3M4NVRHQaYQ7ilc8Md7M4O + 3ubGt8zaljhOwGrEvGsZpeiSzEWKWllVqsTIeVq8+bX3/do7//4br72XTi/KE8SsZpWZU0qtSRkR + tcvUr8xa+cmY2pgyl0HVgOzc6ZnVk3/yyX/3jP2gyMp5dFYRVjYlNau1DLN5wjE/HGoZkWbIcyRG + 68nGBm5pjs7kzIC72cXvsBzDiK6DCNWiRt4vO2g9MzzdLfKAs8+u3Q7bO0FEhLIdeM+zBNmn637l + vn9w7M6Te9gDmLY7TDZ2ciM2MiOkS9lXsQNGBBB5hYqTIGVd3H79XXfd/OYHTn2BZ5CMAvSZ6lgz + b+MhAfjm49L+uzlcUhtzPheZ2k7e4fR8Q9s9Bi7Yhxi1F95syX5kOfM2enO57Mei6/U4AZSw6CWr + yJnu1sWdv/y+33zbze+dleOdzbN0Zb0GE0EuYQFdYapMf/b5P/7C9z/pbgatpgolItUiIkSwpNar + LQzZnVRd2SmzqGotm9hOb8u1HWcvHAJgM9uune04AKiTioCZyQxGLJCUTDDKoc91rFjbs88Mj2Kd + CHDDTOZWvVbFyMdw/EZ+7S3/4HUn0k3Qy7HgXr1qrWbWGkoO47hcLmutqsos/+u/+N9+7/f+HRFS + 4lqttXd84e8T215910ESABHtfmWXbdy6dr68MxZeEa0DLBHtmrG2kbXW1hu09ZBteectADu8im0i + nDeHYAMAN3g7nd264ISHN79GF/yUQAzfjPbNuQLYvBqlVIYh9xnuYM6zOdw3v74Lxt5NDzFdyplm + FoHDFJJA2/uFfDd5BACyOX0w3r4Xbf624na2Qe00pgVahxBCCCGEEEIIIYQQQgghhBBCCCGE51JK + aUVrLZnY3VsBUst1/lFEtFqtUkqtvA3AbDYbhqHVp7W46Jzzrm7tctklK5vZrm5KVVve8zAMbeJF + ZLVaMbOqppRUtSVG55ynaWoR3Vea1pak6zoAh4eHs9kM28Dp1Wq1WCxaFVmbwaOtS3Yj2+q71Pft + uk5Vd5nWuyXs7m3LaVWLRDQMw2w2c/f27rXWruvaE6JeMYQQQgghhBBCCCGEEEIIIYQQwk9CbPe9 + MxtVwAFNmsYz+f33fOgL3/rYD/RhBdQrA2ZQgeXyzScfGtKYJl2mXIsxEaGAbNeqkp0N7HSldCZ8 + 1RhhrQVOsQKk44trxImqAXAyODvtulZK5BFf7a6QNoaxGYUQQgghhBBCCCFc1VpUgwPoeliBM8Zp + SP1iOHsK1VAd6dWZar3LCwFQa00p9X1PRHE7VgghhBBCCCGEEH6qiDEXdNSZqMGMFEDvCx74g7f+ + 0tte/64vfeszn/rqx793+PA5enYta5u7ZTUCG8jhChjEIbRN7CJ2gpH5Nt6K3QgGZ97E3G7TYSdj + cnEIkXQkxKpainZ7vTqqTe6t4I03v2Leig6JvKVqExHDynpkRmLo5LUqBB1BBFC0tGxXkBszEzO8 + qqgR2EGAGMRAnuDJK5u7kTiZZzMxZBAhafY1H7OT+7jm1mNvePeb3v8zt79t4cds0EU6xj17FYh0 + SFIUA4gvrTiyTBOIaE4yoykfnKMnDvpzlp0pu7tidFcDi3N2B9UzI9ICxCgKU5DATErxJH3LhSU3 + cSW0QPEjpZsXgVqAL7HBHBW+SbZmRwV0BJEnhnMppaRE/aIf6gAAyjCvYgBSJ1XFJ/BE82N9VztB + 9iruvblD9MqJtYYzw+BsSABtt09yAo6VoZwa/ZCTm7WoXWbJ0zRwZklkZkWrO1JGSulwHNpLZgaD + a1EY+kU31vESC/3YnDdZztvlD4CAWpUNIiCzqRzCUAnVgIRMOTmNGIG15InnhdQ0cTGbrCvl1MxS + N9NuZKv6sl7w5fNza6BNZjw5l4NyYnntOA19369W59zlF9/6W2+57d4//PT/88gzDxxM47zPw3r0 + jnLXnVlNkhIIRLXFHosxeQcHcSGCO5k6FCYAGO4dk0Aw1TJBgG4GGIZSU0/FazF134UBgog4sUHN + oQ5ikEAYieAFCUJs7l4d6lCDAiKbD5KD4QwYuYGQeyDBFApo0TJo590enezK/Jbjd77//g/f94b3 + LOtxfZo6uCSYe2sl1pqIEVFLu7xC6kFfGEPV1jn1zCC3YVobr4jWpw8f9eMrT0OlYlQnaznwBjae + 86EVGHiGucAdReEVu8vwRmA282mzqcD4UnYPc2If3QE2NrPBh8y5W3RmBqgRWhc2g7pPREM6mUod + fMA46CGPnpPWZKPlLLsgdgBKrFyNIM6XFAPPpokYTOpG7MyJq+53133knb/x+EefWq2/ffw4n5tW + 7p4zeRVD2r6+ORmofWVjRzOnNzsoZ4aRAWTsMIDdDNg+vnBIYAAOMWCbxa4MJxjVbYA24MzG7CwG + cnbCATz3Xda6SLSU5bknxpNyy3vv+cj77v7Qjf3Ns9KL8iInK1Y1M2dDvYL255fI2L33QzvnDBJU + KsxEwu466QR2IqpeK0wrDIChJ2cyVwiQEru7VifC83SPBDlL22+QYZv8CSAJ2OFm7hCHMGvVaSwj + kXTcianUEdVBKXHO+fTh2dQxLxnFqw7DKPMld0OkWr/UiEBEzATknA8PD7v5wsz/5f/+L//Vv/rX + zDBF3XSBbF8mPvfGb2atsaaIjOOYcy6l7HpTtvDjdhSYpqm1tgyvAq0VaXu8Cz5n5pzzLu5699OI + M391c0BhBghBCHDg6OnxNhzad1UacGqHCG5nzuwAEbczEyO0c/fUThLIAVDuAOT5or1orVNKyaF0 + JCubwEfj0/25DlXPd/opGcPKZjNGgSioAwhOm+OOeDs5BwAwgwAquz/9BeZgO//nxmZbvyBX+3mO + myGEEEIIIYQQQgghhBBCCCGEEEIIP21yzq3NxTiOfd+3kbuI4h/VOmO02jNVXSwWLSnZ3ff29g4O + Dmqtu8jkXY7yK6/18XD3VkHXRraZatnVq9VKRI4W0bX06yu8rK6trN2D5XIJYBcd3TKtsZ3TViom + IrPZ7PDwcBd0/SIyrZl5miYArfhwV4HW3qi9YK21RaS3TOsWIp5zbsszMq1DCCGEEEIIIYQQQggh + hBBCCCH8hMiZfdtAkjY9ssQB46UfR1d+5tafPfvwmVN6anKdZ1FVBqqNT6+fevzg8TfMbrYhiRoT + XCZAncjAu5515HwVdGG7eihxoc6ZOulLLeRpttyvqpZRvTBzgQEMkkq5Yqk+A11J/SHD1SlirUMI + IYQQQgghhBCuSt56KBOw7RtcRuSe1DyxDMN6vz+BoWI0sL8qY61b9/B2b1tKqdZ6Ge/NCyGEEEII + IYQQQriM+tzVaXJyTlasoII5db7AOV/YiXff8gv33fnuB5/52ie+8pcPPPq11frs4fqUJ2UmYljd + JMt2Oe1aDlm73kTnA6scu3TltIu5JbOcM7HrNA5FmVUE3PNog8IdTkzCQpDWnYnSJjpbyUDWGjax + IwmSJCdTU1Uwg4gYrr5J6iJqeVvuXnBhQqkRwCAzBoglQYjICGpadTJXqqmzvYWeeMstb/u5ez90 + 9/Vv7YeZnaPkmdjdaDR1NzFjzynnnPNQ1pe0/DvuCmopRfvqWbVbez8UgenoABPAYCi7MrM7lktZ + TVonCIMzTMFEuZt5NQDkRpt5NDsSGLa7HPhjhpu4MjOCE4PgMDI4YZaIOzZVLUiJuj7VomcOh7SA + O7gSE5TcCYaqhJxSLYeTDbXWXpRArTvVJS2cV4xuk87JmZEAHrE6xFlLVRKpOjOqglAx52JlKJvc + NmZUx1RqEmQRAlDd3UXYYdM0tYurF7v8gU32m7ORAUyOlnHr7QMkSEQgd4cIJGEiEDFbNuVqtdpE + KMLoGUQ2EHI3sUDIk5OOVSDPvxheEgZgG8u93Qk4+q5bnT2Yz+fTynpeLuZ7q9XhbXv3/NPf/Odf + /c7n/voLf/Xtx7/Zz6ahjAfTerlYjFqdawv8E4eRiRuAti6YSYTcSd3h3HY3tRgDXRYWWEsOBUZz + B5jPR88SmBi1KjGIkQggqGNSQJGBauoGA4ggjJQhItOkbfUcKbllh6XM02g6YZFzTzOfaGF71/U3 + feBnP/Izt/3std1r6hnONO+6zrQQEYzcvX0WmLk1CKu1Xi2dwkRYrbpLgnTSrfWcJOQZHerBmCeI + qrszASbJmbmU1oINzAzzqk6EnNCuxLfvKbaHDMM2Fv0iPy8AOpKq1d1TktR3ZjZN0zQUTnAHESAM + QvtsOfsKxQXzBVVzy4SUJS+yi/kIAHCQGRE2B7K2AV7sqiGAzDsmd3emSkoMGEud3X3Dfb/0jt/6 + wy/+2yd+8HA+RpWdklcoeXbi7bv8cD33LgBS3OBMDobBDWB2Q5syeq6hb36djAFmMt1EYoN3x2gH + YbeTYXIGiJnHVT2W9/gs0zp/+O6PfOjtv3HD7NZjOCkloarVuq5rAjP3RD90RL3qGESN1FmdoJgm + mE4AkBI2ewyCEETagRi6bn39Nn0hVR1ASs/91dJzRnbydoM3gjtcwaxgyp144iydWmVbMyPNcoVP + Wlcr3ZvBTAurMzo6V+sK5joopXx1r4ErTEppmiYiGscRQM6ZmX/3d3/3d37n/0yppc17iyhW9Rf4 + PrG1jySi9pzzp8dmR+ONiWjXhDS8CrQ+oa1XaTvQt/Hr9Xo+n7eOq+M4tqBrVb1ajvvhxWlHdAcU + 4O0pKIDdSYVvn0MwB23OeLd/Ph89gjCwveWLQewwAwAzGDsLYTxc9fMZpkLCMN8kZ+PCzGpSoue4 + bey506WdUeos9xhHdJkSwwFTY1EG2hztsrrbKQwBMGxvPmzzu9tLMo48n57vXUMIIYQQQgghhBBC + CCGEEEIIIYQQfhqVUlpZWisna4VGbficz291Si2oWEROnz49n89bnvHBwQGAXbn+5e2b0SYjpVRK + 6bquRTIz8ziOKaX1et1msM1Im6ndmGEYuq5z9+dbCJdRy7Q++sDMAOScW83YLvS65U+35xweHjJz + q1Fsw0t9XzNbLBallFJKe6PVatW2nJao3baBXTS4iLQpcfdWpn4FLswQQgghhBBCCCGEEEIIIYQQ + QghXI3Ly1hcPDFJxiFFCVt//2Td+8LPf+LTMTi1mmEbNkhJVqzCevv6dr9557zvLuTqnnryqQRmb + fmPkrafWZZ6xVyMicSISoAKeFrPjMGGBqTpDHXo+hFiAdKQhSrjK7BobXu4JiVjrEEIIIYQQQggh + hKsPAVCCMeBgQBwE5A5l8gLkpEvJxx3/+gMf+cef/Szy/NV6EWgcx77vj96vJSLt5rEQQgghhBBC + CCGEnxZk63rg5KlPgNdau9R1XVeGQiQzSQAwrO5a3nvnL7z1kace/swDf/3A4587LKcGXXOCZZQ0 + FkxntSBvXrIlV5FvkjVdtmldBHgFMZxBcPJBRzKizDIX9VrNVE0IRGAC4GYVqO60i78iIiIHuWOT + A6YERVEHEpjJmKqZOUTgvg0SM5hrK7ZiYwI7wQElOBvECMZeucUzlySalrjm2Oz4se7k/Xe//+13 + v/uGvdeUM5pOzTMtAM4iCjWYiBm8JV5OtZ45OLecXVpIIREJy6gtINNg1RUGkBM5kytokxTW3vD0 + kzrbS8dmuZRSxpoYzOp1vU04BciM7IcCw1qU5o8fwozr0TxsdgbAhrI2MhXiLIIRY6nMfGw2n7S6 + U7KeYE6jiQIMglp1Ma/KRELmKKC1A3RF5aCSAWqsDjNncbCzeUt4ZQeI5nCmWlLOZgpQy6TMTC2O + 0E0dSoA7pqqugCEzUhISVjUAxhe//AHUo/W1TthMiRgzAz5VN4MIQCgKFmi1cZoyJxJOmZmRHD4B + hNoCgKshCaaUagdxf65Yu5d0kbq1iGIycjYws7Gk5aJbr1f9fDaT7uDcap7nNMzPnfJ7T/zSW371 + ww89+tW//NKffu0HX5glKA1dmvTotkJQqexogdPuDiHAyeGb3QKZmoIMbmptEXXLpKq7MktrSddm + 7kiJ3TYXgzfhtS3WnQkCIUqb/Qt0sGLabbqPmZNhEygIJazW1KflMksa0myYvWb++ne9+efuf/MH + 5jjeY55rqqx1XLtMiXMpChKgJeNyztndVbW1Y3sZV8pLxEBIuVZnzMg7Sll4qE6Tq/di2ZzIyFlb + 8rTDtCMRIjMraxNCx+wEXRsldgKw2w8DADnEjS56fwVgKqXPMKCUOtaaElIPcTCLqpqC1AG4s4A8 + oYoawCkZU6mYCo0FVlSyAc5guLBDj8QPXxJ2A0lR5UQV7q6JEuqs9+6Db/rV4XD62Nf+8Mzw6Nid + VTjIQWtCOyZuk+DBgIkBtIm1bgnWhMlbFjIBfn4PT8+5Q90GTis6QOBG7kK224BB5/f5yqYAhNl5 + hpnYfndq+Y473v1r7/r167ob61m9tr92Gr2UMaVFms9LKUzei5eyAvMuw/Kqww7SKm4gMjIRgdBI + mhJKAfv5FNJa4Q4Dct6MUnMzB0Nkc5Lz3G+x/b7Jtlt7W4NTQUrIHQB2taEW9wJQMk7mLbO0jMUS + 5w6zGaRSVVdCNSgB0ieb9bw3ecHLuj//KdMih9uD2XKv67o/+P1//z/9j/8zEWqFJAK8VgMgnNXK + 871OO7TsWmqqat/3wzAAaFnXIrJer/u+Z+YXaD8ari5t49llWvvWfD6fpql1WU0ptW+idy1Nw6uV + ANvAZxgY29u2dsnODMjmIM4AKqEC2CVAw3ap1wR0xC0h2zdPwaoeLFNmVzj6TrBewwjmMEILkPZd + ejQBCqq4pD/BnFAGzAi+RhIHiBKDFeLgAhZuZ+IAwalNZoK1Uzpupza2nSPg/JwrbWfzxS3ZEEII + IYQQQgghhBBCCCGEEEIIIYRXl1Y/tqs4av99gaIyZj44ONjb22u1x/P5HEBKqXXJIKKWbQzgMmZa + H0VE0zTN5/NnnnlmNpu1SOY22W0W2uy02QfAzLPZrP1uy/y+jBP/nGqtbYETkYgwc1vsAJjZbFOe + zsyllPbM9tOWZt0yp1/E2lmtVgDajQzYxlq3cvT2BDMTkZYj3pYnEbX/Aliv122xhxBCCCGEEEII + IYQQQgghhBBCCD8ZB9mmi50L3ADUMs4X81v277nn5nd97uknlKez1UkkKcicRb/2zS///F3PnsCN + 2fdQ4SkbQSmBQDDetObgyFR+CZEjuVstTM5Wk6dj6RhVSpYmVCeDg2BEhVEIhVA9ln/4iUVbpRBC + CCGEEEIIIYSrz6Z1PjbBPy2uoxZIhgit174U5WG86WSP6u2i8KuPmbVMayJqmdYppSvkDr0QQggh + hBBCCCGEV4yTeQd3TF7ZKacZgDKogW3S1EkZS6LuRH+dDvWNy/03fuBNz+ovf+U7X/zSA1/83rOP + DLSiPlHORQb1qaVbmm8DlnexoHz0IlMbZ0ly0epwljSZFTUAfSdWlQjEcN8GYJEz8yYdDu4OcxBt + IieNSdWdIELqrtUJyJ2oqjncQQw4zCBASmTKcDaC8ZELXw7WlC2lqVvY8ob56+5+3T1vvfNtd9x4 + d17tYZRcZzPqqpmBEqdhKu1qkqScEw3TVKGpy8tjC6vKl5IattZ1Tj2RkAhzgjMDiQDvQOxe3Ksz + lMEEYjp5/NhQy3AwgbQTgNGWCpMZbSLC2qW/3axhm6P244cEO5KRyc4EkDEDBJHEAMicmNJ85u5T + GT2DnAnG3ppqEZwUUAVLJsli2d2LVUmFJF15ZaMtbc2dlB3kxpTYIUJEQmBX90lZEsMdyCxm7uau + 2+RrapG8pookkC6b2WjGIBJqTbYucvlvbR46bWLwADi4qDEgiYRcFZODGXAkoBMkwgRVm9xBjmQk + OSUqJFwNIDFDl/Lk0yu1YM0AkDGZuR2sh+V8f7Hs16u15LTXL4Yy6mQnZ6+rRYdhdec19936q3c9 + eu6bn/7qX3zhG58eZVXSqFKV1akab4J4s0ArzDahf2oAKxPV6mAIxAhMggSYj5PCHdjsK4hYhIjR + +nm50y44mxzkcII6DK5qAhFnIeKcUtuVbNaLAZvyZ1Fe+j4f9rl0tx1//Xvf8YG33/bOa+QmX6cs + C6iXWpixWCxUVYvl3DtgZm1nZmbtinTf91fLpemi3qUuYTaOBaNhxi1TvM1RbcmG7ZNhMAezThUA + sjARmZk7Z8nmbg7f7rLaemj7qkv4vBAkozgAUAdpX3y0l1U1AzEyETmbocU9ZiEdXTqQGkOYOSUh + VScltN8AXNhZHATQJWY2E8T/f/bu7Fey7DoT+/ettc85EXfIzMpM1lxFVpFFFsmiRFESRbWEltyS + NVjtBgy7G4YBSy+G2zBsGJJaNgw/NOCHtjy8GPaD/eA/wQYaaBh2qxuybFjdbUC2OEhsi6JocRKH + YlXlcO89w15r+WGfiHuzWCQzS1XMzOL6FRGMjBvDGfc5cWLv9QFmi3Y9fLHwTlRDtVLO+Is/8tcP + hv53P/n3X5m+OsnpGCO1Nbl3JD8C4lzTrF/37vsZ37fw33H61kPwPv66pVk74O0l6hAvCmEoQhjS + WXelXP3RD/zEx1/8Fx7fPtudHG7Gg+3QLbcXVTEJj4ogSYcvtpBvnKn9ECEpAgdqnYEIwgzaQQQe + 58nWhWCLvfdWNREAKGt+7TjZdyog6dylee4OrC1ptB8YEYvvmskCERFoXWpRdkEWFG4qLWy2AOeg + atHOfKxOY0xej/iwBoo/sLquq7WO43j58uWp2u/8zu/85m/+pns7jKBW77puWQyAhZGM77oDtLqT + Lep4HMdWIHIfYt2qQ2am9TtMW+Mk24/OqhoRZtb3/TRNwzCoavtTq8d6v6c3vV24xloD33b2gl2m + Ndcz2TUZWuP8KK/rg74bcOdYQBeVdigCwi4RmG/DBBEYl3/74z8h41KW2kPU70iMDgB0YnnDr2Dk + d0qXllPx/+azn0ZPSGEEtGOg7weIggLoPsHaAUAUOD8riXU2cR7Ujd15yD6cO3eBlFJKKaWUUkop + pZRSSimllFJKKaU1CLkNlGh9ivahyG/4/Ig4OjrCLgm7df9oXdFqrftH2svbm3/f5uWiNnm11jbN + LaC6RT63XnP7SW2Z1hdnp/W2iogHMNMaQCkFgIi03mIAWnp0u99Kl3RdN8+ziNRah2GYpgm79PF2 + e68fqqrjOLZuq+3lrXd666moqvuk7a7r9ksyIvq+NzOSmWmdUkoppZRSSimllFJKKaWUUkrpLRHi + zlZBouxqLbp2Mp9NXRz90k/+jT/6H//RzdPbwzA4xaqrcMLZV175/CsnX706POLjLA7x0spORKu2 + QUdkEZ63mAQkOFUP1nCXQKc9fF8RBEGgVdmCExWwB68+ZHr4ZKx1SimllFJKKaWU0tvuOxcUfjMk + 4EQwAG+5KE4IIAJ3uEevALwX6tkEq+/Uq4j78Xjtn20IWUoppe+bFgLTEh3aI/sx0m0Adhuo3A6C + 7f59nNqUUkoppfTW2tedaVlfb+mVj3TPHKiMEKgpomhQXECHWJSYOaG4AJxl8P7ADzD5thw9+dwL + P/38r3z5lT/99Bf/8LNf/uRXbn/hNt0GmTFSoZ26oc5m1L4vNaYWbcUWDBkQuAHmEwWAmFkQLei0 + VuuUiFhrKLXg6mhBsMB5PC32W86+ihMcEVChQOHBkCIUMMICIQIBEAxzwEW9kM6AgV6KH+g4XN08 + +eIzH/mh5z72wqMfvFKuYJL4JgYZALFwY3hHh1vM0kk4e2y4ICoGbkzczA0L7yWjy+ns/dRuy1Ac + QQij0AvmqhyCYgIwqhiAANXDbBZCCsA1W5ey9g7UC10CL+xasl+S3/OWQDnPHoPE+WupCDiAUAew + 0EFECRdIOCIiJFghCChdQXF0LgNkM05nFA2wFHXze4r9fntFYYgQJq1LZcUaJF0F3nc6TsvQddGj + 1lPt16DTArZg2DYfLQ4cUFFEC1qGUCTO88X9Lpc/AIavAcsASITss+mUCrgHWlgqEW19KVBYgSqE + Crj2Ex28FvTFw0snY4zY2GJzvM3lyRht9RKUYEWLKabrRicfJaQMEvRlmckoRWt1Z/QsnW9s0uf7 + l5786Ht/+Uf+jT/47D/7zBf+4Avf/KO6PePhfLrcXoh+A9ZBAEQNcyBaHmh4aEuoDmNIOCkqoSQt + JlxIam8p47usa4qvldEACBCghPhuxQZRaQyn0iNIiFBczNwNqrLl8eF07SNP/9iPfOATz11//zEe + 0Vk4VZex0QAAIABJREFUFRW6zyCkE0CmBRIqFLc13XZfLKy1XQ9LpjUAeHUKwhhORlfQQ2i1BLvQ + tvdIQBFt410EUQCghrcUeAkALi2TPQTrDxYAEHSiC97t/hKAASEOwBkAguveQwIKBmo46SBFhIF+ + 4iG7OPVtFExTgZmflb5r24kaWsCzOp2FvOedxaCAa6HXRSnC4sZ1P62xLZd/9oP/4uWDS//4U//g + 869+ZnvM0c+6DUJ0WczDSxFQa60iYtAWFt42FhGSdGtt8n7C3NZ2AxcSdrluYBSoOzykutu60AFx + SIVUdjg4wCFOus4373v6hZee/+Efe9+PD/NWopdxoxgCPHNAO8BFIlgjKikMIrqAIPzh7YnuBKSY + yFxn9t0Sc1dUaUtABAwiWqAoABAeBHneIAOoFgC0vPGICALnmZ0XTnaDbu3YwDUFFCEeqKw2hAFu + aw62U4WQgAgBdfQgXGIMs8EXn6M+rAv//mrfRNq16GEYTk5OSJZSprqISN9vT6fpk3/46b/zm//h + PN/xPWVZlt069YjzqqCteuayLK1AZHtyu9/24v3F7deFWGem9TvMfoXuf3Qm2R4chuHinzLT+h0u + gOogocLd9zUF4FBgGa0MCgLEWFE6TFM91FKABWBBBEgBxDELAsuEM2Cu8AnAf//z/9KrX/rKYjPg + DNFwAu+L0HDC27eAi1ob1o4qdzn5TjjFiP/s3R8IQlydMqmcFv0vP/sZdAVeMWxBohCiQXX0k6ML + dAoEEFAHHOs+4QExnycZClEVJTOtU0oppZRSSimllFJKKaWUUnrnaZms7bZldraUzYvP2Wd55mju + lNID62JNj/1ol30y9JsLJP6eWm+i/Ud/985F+6ddnNTW3rZsbHfv+75N8/3KtN5/9D51u2Vat557 + 7QkXp/9iFDd2va3e2voq96p1DmydAEsp7t62h/bXdiy7uMr23QXba+d5xm4h7EfQt42n3V7sbbh/ + k/07AGjdGpdlwa6j+8XH2/02Pft/Xuy3dvFO9lRMKaWUUkoppZRSSimllFJKKT1oyFZaIatPPmSC + 7u2WDkBCGHA6GBFVOtUq79o+8bFnf+L/+vLvviq1FtOiATeeno3x+5/5h+/5qWfOzuR4eGQxRYiL + g9UBdUEIIdHq9aW3AkNKdBFel3kYhggneXhwfGt5GRsuu04OJL2GdmpRhVkP5GHSKl+1PiftdlmW + 1sDeR9k9NKWUUkoppZRSSumh1JJpJMAI2RXX94AQgX3utQGOe09uSCmllL4nkiSnaer73szMrP2c + 3IZ2933fdV0bhdv+eb+nN6WUUkoppXesYIulBHZxvi6+i+tFC9NkQEIlRF0A1VHoPNT+uaMPPfux + 5//ax3/+i6/+2Z997Z9/5s8+9c2Tb9649Yqr6dBDWWudTqey2TiXViZpDdYKSEuUDJBOBomgCiJA + W9brUS1E9nWFdSIiwtubXAi6XisfKSUizJeoHIahzstUqwj7flCy1rmOcal/pM7GxUja4j37Zx99 + 7rmnPvjh5z52pTz2SP/YgR/J2MtZ2WAopcx1dkLgRl+XVci6uO7oFiv7a253TwIODFrMJE7Qnx0c + Tdc6HJrAlo0DrpPpUnUGIC7qoq4EABNU0IC1iycgWPNkJSjrQlkzwygBJ77nLdBCib29cDcvdAbA + WINjff+hQXNZ1DksW4kyazVKoAOE4Yd+vd6AbrXooYjPFVbrA1cYKVowMgh3QgN0ind2sx9OL+si + g5dYzgJThLu7mtJ1v+qda+5pu/XzuPU70mfvfvkTFQDXN5RY0+j2fT3bG8b6fDpR1dFC0WeFEYIQ + 6zZxtASX0xFig8qlgyt+stDqGiv8dmmdg/f7C/YRxY4WgdsuOK+zE3RHjXDA1KT40HEY/HDL+a99 + 8Jf/yks//cVXP/eHn/9nn/3yp2T+xsxpmesSkMKincMCS3ilALq2BgF4OESwa29E1xhaMgCJMKzx + ghIRgCHWKmjhRARZCxmE0yOipVkj0OvGpirWFW566za6eeL6s+997H0//b6/ehRXN7ys81aWXqMT + ARmxtqKC0JYjLOAuMf4hvuQ+dBtbHOYdirjPt32a6zGu+Sm49K3lERdB1TCTqgqTkCCjdUNvB5Q7 + +i77fqchArybPWV/u9/RAgBj1xieL2EJMFprJhLo3CUggWO5uokDVoF7xQR10FuPeQUYUL/nPu4B + WQSASIi20G6IY21TWMNOfbs5+sT7f+bpp979f3z2f/knf/y7pbt5cvtWRGyGAcJpnIy1DGruTlDY + MtgjYBHhUbhPVb5jwsiLwzQEaG15uM+GYKC0zPHF1UuHodRBp3IYl5+99twPvfSxD7znw9cO3zUs + w3BrU1xBGsWhQXEKAAYYru1QG4jo2ob9UP9+pNb5je54fmxBxWSTT1I52ywibVuVFj4NoM011zb3 + rtvzc7uN/M7w9TtvTWpVA7w3pfeGAYDylkZsfJhNMEr4sOnlcne9npnZIhkL+qa0FOpxHFX11q1b + BwcHqnr79u2D46OIuHHj5iuvvPJrv/ZrZ2e167gs3/GYGRGHh4cnJyf7R1qVydbFf55nku4+TVPW + Yk7pB46InZ7q4RGAah4RcPadINBR4fDJMGjX4cY4XdoMMMfZ3A09Foc76gyqeIWNAP6DFz50aTbG + fLXr+lduPAbB+fl0+1Lisvv+vn5VaPe/7Xh0N5xwtgO8qVMhgFTIWZG/+8zz06bT46OXT29zu/nv + PvNJnFnZHsC8LxsoMNcF6IYSBhUgADMo4S5DD7hkpnVKKaWUUkoppZRSSimllFJK72giMs9z3/e1 + 1n2I5jiOm81mn3JdSmmlMO/rlKaU0hu42IK1OwDcvQ155k6rANt13f2e3tdrkwrgxo0bh4eH2NWu + vS8TIyItCrpNwP4o8LBoh6o2ze7eIrfbqu/7vu/7Vg5YVZdleV1A9d1oidf73PSu61qCNXcdgt19 + WZaLQdcppZRSSimllFJKKaWUUkoppZTSfRf0Fl/C8/KLHnQvdHMx2XTbn/2RX/rjL33mpr1ch/l0 + GgXoehxdtk/+6e//4sd/+fDw6PbtW1oGI1tlNtKCrUpYdip7i9V5kSJSCrRaWBk4TqfdJa2wrghC + WQkXBB0m6ogsCfLQu+89TbLSVkoppZRSSimllNJDhiGyCzwQv1CVPyCkAxFRFbNiVuBhGiCWUkrp + IRMRfd/P8wyg3SHZRn2P44jdqOn2p0y2TimllFJK6W3CQInSgopD3NbQSgGgXhhr76CgL+KLzOCu + nFxYV4fudLOR40vD4x94z8d+7rl/9bX51S996wuf+/JnP//Vz7382tdNl+328NZ0ywjAKV40KA6E + B3QX9BgIAuEVAQT6vmCtYhQe3Fc0cgcAEiSUJENIMPzCzBAuhIBBhnlfSieDmS2j10Ap24Oy8Ve7 + Yx49cnjtmUff/b6nX3z3489d217vsVHTjWxk6ZbJlnmG+BnDzaRroZ7KkN5aYDOCHgzj4gTgEgK0 + 2G9pC/Oul78M3sHUKvvh8Z98988/efyMb8KDXRw6JHSsuiziYKhTQuhll15W15Wz3gggEkCI8zxQ + GSHfKUTz228BCJzhd+R2h7g4QnY9Sh3wFnHdPkVchmUAuGhUQYvBJq2c9E+XZzfTJuxUNAYRKWXx + 7xwReR+sEeC72OUOLvRtP8tf//ivfnP6Gn07lA51Ms4s5nUZvOiF1duik2Mfr3ue03axg2zc7fKn + S1yMtUYLivZvq+G4W18BQAISHvSqWPe1KGXpo5SpzOwcdbzkx4/JNY46d2Fva9/dFhMORThQAA84 + 4bGGz68Z4C7edhayRlSYBCiQAhSJDlKtbPXqwbUfe/e1D//cj51848ZX/+jzn/zsFz/1zfr1haeI + CswRMIa7hUFLi/8FANLJCPGIcIu1xSJi3TFb7KhHBAIKKAuAEMKq0LC7gF4BBgSl54anZbNsjuXq + M1eef/HZj774zEuPXX76SI66ETSvUT2CCsLdWSfrylaANtNOBFEphBNvJl/wASEhUcNHlLIRUkCg + Pnv0/n/lJ3+1bpaqhlCJIi4aDtagVTmPVGx50vslsDbedLT1xnAQKLG2sXfTXhHRwhG9RVC3WOvY + rfFmDROHAAaM4CxFOHVPHX3kUI4pQlqFBOAQRWvAROAe529yN5yoBIDeOvW2R1vVGlyq1a7v6P00 + zvOIq5snf+Ejf/Ov/NDP/tN//r/9yZf+6Et/8ec21e5QtjJMcVanJVrMuqCltosQACzkPAR8v1IA + wCzItcmIMAAILxL9pp/nOap2dSh10GVzKJcvdVdfeOal9z3xwvOPv/e4v1Jq12ETp8HRuhgUYgRF + guumygABDUgUBgJ0SECcD3cuZV+3v/Li3/rYkz8VBY6l0iCode66IczZjg0hAJy+m9O2qd7t8XRv + tyGtDcIbxmCbeFVDsHihdxEDGOQthWmFs8xDV7UK5820eVSvy6hW7nsf8odS3/e3bt26cuWKmZmZ + u5vZMAyvvXZze3Bw89bJ3/xb//rZVINY6rcv4fMzABE5OTlRVTNrpSrNrJWYXJ/qDmAYhoiotWa4 + dUo/QNx0uwExL3PX99ifjSxAj2WcuoMBRMAvbTpiAWf0wNkplgk9UOdff/bFR7U7q2cbxXsNcjoO + oMfJgOL0hQ5GO7PSdja7/+SWJQ2YIIAqYED9HobuaaALGDCLzyqAaZg6Dysu9cN0Op7eun2972j4 + u489tXnk0rdujzpc/c8/82kcKLbSDQwsUFmqddpDCALSL1aLDlFNVHMgYUoppZRSSimllFJKKaWU + UkrvPCKyLEsLgt3nqrZE2M1m4+4tGbTFmmamdUrpAWRmLau4lLIsy7IsImJmIlJrHYZhmqbW0Knq + A5hpDUBVW0e1g4MDAJvNpg3Tvl9ItmzmruvatIlIy4d+8LXpNLO29gFM0zQMQ9/3+4Bzd2/R128i + 1nofVt3eZFmWto21YTNtZP2+L2JKKaWUUkoppZRSSimllFJKKaX0gHC2Sl9slRhbKUITLIZNNwSX + ZarvvfrSh574iW/8xT8cu1MOZB/TDJumzfb0//nTf3r1hccuXzpYxgioo1XKEge7IEJc7J5KfqXv + hq59cfpkJ84q4v0QYTPNlzm0DxURQ8+hk65GFTrXcprpobRWa73fssZWSimllFJKKaWU0sOHAcF6 + 6ffbHocDRlRBbcELeQ03pZTS22b/U0cbdltrbfUp9k/YJ1vfpwlMKaWUUkrpnU8CgCNaZ8H1FD3o + DGGItKRQrrGsJgZ4J2q1MqSw0IqPXshNuaQbuVKuP/b4Ux99+sfncnZjeu3LX//iV1750uf+4k9u + Lbdun9w4G29HLKLGYhRbfHaY00lCCK7fBebTVoKHpBQRsKWgmnZdyx6OMESEB4AIGwQAwhkGOAtV + 2CvLfLb0ZVDZeA1UOTw8evz6E48/8sSLj3746SvPXrv0Llk0zkqPbb8MrKA5gIjotWwPexadlzrO + 1aWKC0PFVb0wJAhHDdq60OgWwXB5E52p6NVdIrxK3/XPXHv++tXr0Wk4Zekk4LJUcRMEoS4MBAoA + rgHkDqCFajOI88TcQEvXpiPK63NQv8cm4Wsk9hrnKbt3BNYU5zVBdp0DQF2KSVBm9Zao7XTpPM7k + 0nK5Q1kwubjDzjeyB4UD1QnivJ6UBIp1H7j64WfwXBeHHYrHDBiK1zoP7Fq3y/2c7MO/2z/PF3Z7 + hPdQUkrCCV8ztndvsgZv39nXc7dO20oPwoO+yJpozhB1hZRFzeTM68lQe85dBBm8p0m6VxLrNsNY + M6ZBwbpROXbh1thtUSQVEkr4epVAgqQU9PNZFBkudZc2nI8Or7/nhz/wy5/4G//f7c996Vtf+MIX + PvcXX/vi7fGGc0Sp0GpLrbFEGIQsHUUAcUTALKy1cmhx4CQABgMhzohABCB0SJSCTkBAPBTBUjYb + PdyWo/e+9/3vf/qDLzz+4iV9V3d2VOpBd3MbswcM4gqIWBBkEKqlINTXa/ARbSOJh764mBMqBQJI + 1Fq5hJOHw+Uffe8nJl2CUCsMVd+FMNNbpCIghHMXa922Aon93rFm/SIEENx1VrK4MMiQuJBm3Y5W + 2LVQFzqpC2Aho3Eug8xn0LNjPxVUg9QYFCGBsmvcWuT2vS2foIfs5iJEowZdsRhMOh2XWRybfrNV + Gevc+eZouPwLH7r6Mx/++dduvfrnX/nC//vFz37t5a/cXF4zTrrlZNPks8NCuC/kSqjAd9fTHNj9 + 1kOKFAmJoLuHg6SyLK+cXSmXrxxff/zq009ee+aJa+9+6trzjx4/6adSfCjWyYkytIQKKOJ0c0RQ + EUJoa+UEwYCsAwn2i+Wh356Ldc8cv/ex7dPo6KjQdcPu+41Xk1jn92Iidbv7hqHUb3h7J78Qei3t + ROt1tyaVIWo9oBEdGOCZYtZQF4zCRavhtMzKpYsg3ub2/J3KzI6OjsZxJNl1nYi4++k0Xr169c+/ + +OVf/dVf/eY3X43AMOg0fu9ak21/bAUl2yOllJaW3cpNtrrMmWmd0g8QAl2HACL6rofH2dm03W4s + THuNqN0AxIw6c55LN2AeoY5x/jsf/QTOTq3zcnr2wszNfNJv+5snZ5e6MoUPgIAzKoUSgUD7kvw2 + jQYjIEDAnWif1SHqXC+z28B8Ni7zMWEvv3oF6svN337/8y8fyKvb8j98+g+oijJ0FCwG7VEdw0At + BoQrAvIgFnZOKaWUUkoppZRSSimllFJKKf2ltNRS7IKrp2kSkfZIi+c0s5b9OU1TywS935OcUkp3 + 2IcTt9Tqlm+9f7B1Dzs4ODg9PTWz1rLd5ym+U2t+Wwh3KeVBmMIWzNx1XTtGPFyd6GqtutOW7TAM + bZG2Poftfts82iP39P5tsbh763BYSpmmqa24WmvLIxeRdtB83aD7lFJKKaWUUkoppZRSSimllFJK + 6f7aFd/zoDs9gAhGhBIq/a3Xlp/8yM/94df/wGyeyjie2vEGBJap/p//5H//mQ/8yq3TmxtexS4P + pRVlSm8HWyoVqkPXb2gLxuGqPPnybTkYeh/DzGQpR7jSLZdi5tBt6lzv9ySnh97D1EMopZRSSiml + lFJKKe1dvErbivIziBZLwEDLfsA9xzaklFJKd6nWCiAi2rDeiNgnf7RaFdM0DcPQhi4/XCOWU0op + pZRSesjQGQG6YE0fXQUAOCMIB6LFJQcAncY69D2pVq1TbDeD2XJ2dnb66tIN3WE58uXIaFf0qWev + vzhfm+OlOtrJydmtG7dfefnGN77+ra9+/ZUvv3byrdN6e8FcfTYuDgsGSZM6qBmWiAi2qQtvnz6D + QnGCIrvrVhJbLFrYKQudNHboNnLY6fDM489ePrr22NUnrj/y+CNHV7f90VA2Hfqy9JgiXo5OtEjn + DtRwR9dtrIYhCJkns3EOukC0igCIsoYX3xEhKWhxyHTnGm3IULnrLpImVnHW9xvvylnYsoxzBE2K + 9GpFAx5Qg7FHUAOAVJFd6PH6/3RBCwMGdmuzAgFWwB3l7tPOLuZVt5Q0Oc9KIwAFELK/ashwMCRc + oy2BzsQJD9pUl4797Xq6Kb0NXsXNwajFKPE25a+9Ce5SwQBcAiEeKPSpuA8jO9kO3kuwRk8JLrag + Cw4OxR2hvEBb8u0O8JcJfJXXvfb1y0qcQHD/aLDdOAh1FQpoDNBERFADYKEOOkwsw3YwG9/0tN0V + Gngey92mjtE20fNn+XpRWsQUbqAHPRABLqEILaVXqTDzZd6oHPfHwPF8Oj0yXH3pqY/ak3Xx8XS6 + 8fVXv/LFr/3ZN1/92tde+crkZ6ONc0w+WaW5e2XtDsSwuFcLj3CSLSS4FRRTZwTpFGinXc+t35ZL + /bVHLl1/9JHHH7/+1OPXn3zs6mNXDh/RqljAWegdrcAcPpWhc1oRKbFBRdSAB1VQdKIFYa1+GcBw + DTzsScBBmHIpdsYRan2RQB2nsdL7bmBAvajLOqd0oxpLQADud5A3ett1KQHYHXPuigQIFzi8vVp8 + TUwXrDnZDF5Ito5B9fLZfKZLHKD0ctRzIOYZY3UGA1AEAA8JJ+81KZIBruHlXRCB2Cdkh0sphwyO + dcHsDBl4iHq05RGLP671+Wd+9GffG6bLayevfuvGN7768pdOl9s3Tl89GW+M0+1xOV3qvLidztVa + cDUMAMnClnqtfd8fbo8ODo6Ojo6PDi8dHV26XA5fvPbcsRxqNyAUKEQhBt4URIGJQpUlwmt1eEgJ + dBMA+CBR1GWNdmYFBPTg7pejFnKJhzysPUTMN+zDvEYICIMsKC4MAUTWEyGPNaIe+8ffVKw1vnus + NeCbcAkABUFjcQKgYBAoEcpK7UO6Todl4bDpzebv7yJ7hxCRcRxLKcMw3Lhx4/DwcJ7nK1eufu0v + vvG3//a/8/nPf1EE4ZhG++61Jt297/t5nlvB5VZlslUFba9qV7nzynZKP2jWw7/XAiGI6tttD/G5 + TrXO224oYrCKalgct2//xz/8ke701cvEoye1AKKCxQ/ZEfLq2dlB343zcqjlNCokporjoDqwjs4D + EAG0YWG+mwAA4nBQz6cqAOKubrEAAIqjW18IJxbgsB/OpolARxh8dlw97F87maXeuq5df9OunfA/ + fep92B6Mgb/3qU+j7zEYhgGwxQzadX12P0kppZRSSimllFJKKaWUUkrpnelipjWAYRja4+5ea+37 + XlXb/WEY9mmgKaX0QGktWMtg7vt+P955GIZlWSLi9PQUwGazeQAbMVWd57nv+9Z7rd3uY7m//9qo + 8H3MNnYL9r5MzJvQ0qb3S3We58PDQwCtCzqAiBiGoQ2NfxMLeVkWVW0h1iRJtgPlOI5mttls9h+B + 3ZaZUkoppZRSSimllFJKKaWUUkopPQgYkCgAdpnWAnDTbaez00Hr4eH21RvTc4994KUnP/p/f/Wk + 1nppM/Q3+37uj+PyE488O0/L0WbgCAlYuNABAgIEeH86ObxTSUhHdaey4yTdwie0+7d+6T+Z+7la + BEw1FJRRrvbXDu3afLqUPrsopL+sLLaVUkoppZRSSiml9HALYBEAUEAcDBKhAXXXeMgzCVJKKT3A + SiltzO2yLNvt9uKfxnFsw24BiMjFocsppZRSSimltwd3QcVriaUWAhqs+5RQAAxoCACyANJK8Mxn + 4xmj67phGLb9FoA7YKYYWArJ3qqEHfjxZV5/4tKzftXiBTdZqsxny8lYT26NN2+f3jw5uXU63Z7n + cfLxlZNv1ahmVt3MbHEzs5Yd2L4j9FpUtdMiIoru2qUnt/3B0fb4cLs96A8ONwfH/eVNdyALo6rU + ouy7GLiUOEMYCouIkBEecBcgSBLhNARAkgxFuIr0RWPxfbSwS90tohZY1lJ7gQvpp3efab0+Xwma + SHFnKQM5eGgHZQTD1CUgRIdQbe/cssUY64euka0thrMlkFdQiIogEHJPlbMCLbMZACEX4mB3KcVr + PvEu5HP/MswtQlZdi5sLStcLewgWm6ou1Y3UIhK7WNQHAl1ggLeoUYeAEKkSKNGpsxjhhJRwF6qA + MxUU7tYFgAspvL6u/b9MtbK4ULGLDuxjUNcwVAm0HVNCAMcaIQwPKoZAi7V2mitEqR2U0qNirGYy + 8+2NFPeWsu4QgTjWdS0AAkSLevVdLqwDEpUCCWlzQAdIjYiz02mz2Wz6wepc64xTI7WPHjMGboEw + qVfk+mOPvvvDj/+46Vw5ny0nN8fXXjt57dbJazfPbo3j2WRnr9x8ucZiZtWXgDlAAUiB9H2/7bfb + 4eBgODjcHh4dXToql5599H2bOFIOJTpdejHViTpqmDGgoBMqPVSCdJ8XLNXQxdCzV5GAe1hdFnRt + 6xKsjecaa+281xbiwTItM1VEA7Sgq7LDUAA3iLNzYYABkC4gULy7EA7twOuyflurtUZaMwKscg9x + h7uocIoEECoXWmOFrOGO3GUwQ2TRjW998Rb6Xu1MaAFrb4AoTg8xwIPqcHl9rvz3oNESIRcXVFQi + ABEXCzroMAGHvi/ScSp1rF13XBdnVKXDBV1s+6vvuvb0+x/9qGGsWIIzxSkWYUZEN9TwiGhF8QpB + ajsyMiScEXAHQgq73jq9aZfKkUqp1as7Vd0w1VYZUCLConaiw3aIiMUXhwTbEnRpDRk9wimxZlrT + /TxH8+FGQKFk1KCC0pouqLgysG+mBOpoAx5azjfRVvT3un2DTSfOD6ZYD7Xnt4SWVutwF4AtAFCA + 4k4RbEKh9NqLyDhX6Cxvb3v+jrUsSzulvHnz5iOPPHJ2dlZKefnll3/jN37j05/+bCloSdZ9X8y+ + W4MtIvM8t+zq9rbt8VZ5eZqmYRjaNe1W1/Jtn7GU0oOEWgjEUumGEIzzdhCg4PQWrCL4bz773GPo + L4/1SUY31gNBKQILVC9d/9oybyFXDrYnp2c9UMMrMVY8/cjxy6/eOlhPliTgDsbuy9V6whMEIICg + fcf39SvL3d16+1IHlACAAGz3jfP2NAVwIIBKXbwDXjuZt4JSdJqWy0CnmMxkwWvz/F+8/0M3unJj + 6P7bP/4j9N1WCwqwGIYNBJlunVJKKaWUUkoppZRSSimllNI7UsvdbF2bAESEqrZo2GEYSJZSlmXJ + QYIppQdQyzCutXZd5+7zPGM3urnlW7co667rWvDwA5g03Pd9rbVNmIjc3+zttjBrrfspIfkQjRNv + Idxm1vf9MAxte2gx4cMwtE1iH3z+puPD3X2z2Yzj2HLT98tnnmcRadHa7v4QxYGnlFJKKaWUUkop + pZRSSimllFJ6Z5OQXbVDD3EwgkQUcfQoHWUa7WA4WOr0iQ/+9Gf/5JPccp6XY7/+/KMv/MyP/8z7 + HvuA3ei4iAbEAbo7XBCES5XIYhRvJaebeYgCoujFpTN77tKHTu3s4NLxPM+QUQUY0Ec/YIMBiy/B + d0JtsR9AEQ9KacOHo29QSimllFJKKaWUUnqdNZAmYLLGWiOkhXlIhHgMhqG2KsX3czpTSim9g5lH + MYM2AAAgAElEQVRZKaWU0gZLk2wJH5vNppWraPnWbQDw/Z7YlFJKKaWU3rEYIr52AXI6WgIoHNJq + 6xgABDWEsctPLDrOM4CDYaPbgzpVd5co1T0iHBH0kAAXACGhNQgWdME+nL64IAS27R6N4o8fWRwa + 3uWgAwE4JGwNKw2s6VweEbviSqJoSV3tn6XOJLuy5nI7wmQSnIVCBYognNY61rGXXk7rWPpC5zwv + BDb9tlB8XpxgWwJhRYQi7l6n2rEAcHgL9US7YEZvWcgSZGhLu0TIfhne5fJXZ4eNjw6HQBG9drqY + 16Vudc0W9daVM6Rllgqq0xFryCi45gW3/wXpEeAujZWOEL/rnpoS0DV7GAAi9hm06xxJy5Dl+mRH + MXTrZsMaKHQUD1oZJ6daHxuPUFDN3AQA5cGKRN0FdYsHQ8QICiTgQUIszBmL0qDwUFXE8rpc4m8P + vvU3yjO96wkShIAXorIJb914CVnTNlsINwBoVEF4QAi4oMVaw4uCYW5hZlLCEKXfUMTN38ZcZTqw + rHMBbV2QgX0+KxiuiIA7oWtAuztACKIQ3rZ5AP12MKvLBBFRGdw96FpkWWYpKiLAYO407wjV2CoP + xK9u3Q8We5cFrS3DgUPLADa6wxwAPQCBkpQQOGAQqLKIyHh7FCkd+xKdoIgRToGH1aEvDl+WBXDV + EsJ5rgdHR8uyLNXNZ0LYIgSFCNMwYGlh3gxB8LzdejgxULyUIsUNwDydOMFuQ+noKt5WoDvDpFYN + AMXWPujY/SohF3f/uPjmEnQE/K6j14MeFKcgKAC9tKXdJnX3vi1qPZyQsAjrOnV1CQNqWJUOfdEZ + HlEAIsQBEEYA4rs3vBsaaNHIJpNprQCjqG0B3XabxarBgjHOcyy3NizbzfZsGalCJUUWX+o0Q0I6 + wiHY9NwSZG09hiXCMHvA9h2IGU4qyQjSiHYsWLv+K8goPBNhhbkxKI4isu36ZVmGoZOi8zzPy7RU + CosThVsAgBPu4u3gGwRJX9dg7PIu23p8iHOVA1gsSEYIVcJhYJECrLPqAMKdLWdaGHBQ7n6WYz2t + 2hGcL683epOAU5weNBMPGCCIjiFalGGsLhYSIYID1dJ3c53e7Nz/QGslJmutfd+fnp5GRN/3v/Vb + v/V7v/f7pUNdgDWyupJ6YWW9/tSllWBu9ZcBtGqV4zgCuHXr1vHx8bIsItKqM3//Zi+ldL+1QwgB + E6AT7eCnZ9IV3BzhjiX+o498TG+89hFgG2O3LB2hWiziZLYe6MCzZb7SDzfniadnCgylLFbVcaXT + V169VYCKcL5BJdzdScv+3OViw3W3JzSyO3XnLtO6CpxQRwdsy2as0w33Q1UV4VKF5bR9rWapVg9K + uTVPx52ent58rPRHr9X/6qn3Lf1w/Pyz/97f/59w6QCs6DfQHIWUUkoppZRSSimllFJKKaWU0juK + u4sIgIgQOe8b00I6h2HYR8DmIMGU0oNJVVuPL9zZK2zfY7P1NGt5ww9gpjWA/TDt1iZHxJvLWn5L + tHEfLRd8WRY8bO1/13UtZHofZ76fi5Zm3R5pm8SbW85tZbU+h20za2Pt26D7tgZVVVX3B9mUUkop + pZRSSimllFJKKaWUUkrpfisMqBNERQTgKBLqy7LtCqGn8xm2sGV5/2Mv/tATH/vc1//4Xe964hc/ + /q89964XNtrHGSJ0I1uaSoBE1VbNyltBqyDxbQX90psTdG5Ro55VKzFvXLdDJ2BXDuxWDFFKP1As + QulyVkd4aJcL/6EUEVyrht7/SKEsKJNSSimllFJKKaX0lpN96vRaLZ1GmEMBgAAh8ebTpqO9CWIt + qvz6FA0HIAEJIZAXcFNKKb199mO8SyltjHTf922E7TAM7r7ZbNpQ6vs9pSmllFJKKb2jrdd/WmqV + 7POY14tHAABBtLTSFgA8L0spotB5nuEUEQLjPJMKCRE41aOGO4RFleYMBkEXDwgKCUVL+nKuMcnB + QMBbSbuIQDAYuwl7fU8pUohoMbGig3uYVTJEIYj2PrqfAzLa9TaPOWoZylyXCPZDr9BlqbN7ocCW + 0gnJWudqVUQ6KbGGa+/5PuzygjXTmkGB+F1nWrfX0l3ZD8NmsZhs8TCBaCkMJx1Qco0VW6NFGUoA + seZwhzgjACKCwWi5uQxCgoD6vQTpEtAQENpmkq64I5izdVxrkbFBMIJgEEESKlDSCSE5lOIuJG2Z + WBTBXjqS7vVels/3g7TIYQpCggi4UdgywiWcDi0R7kEV0inn28SFxdGEYLeU3ty0ICQEDAV9XSFw + EgGuG0H73PYfnCDXy8YKBiIACui1kmSIUorqskxgLLbo23zF13c7yD6lmGsj8wb7BQHKurAi1t2c + DABWZ5KAmBmpqgrSbJGiANyDEJW+hb+7RZ2qkGx/pIMBuEJRVUKCBL1l/bVWLrztJ0KATgQZAlrf + HSI8XOmEg75OX6ebeRohUbRzxDQtIrLdbuez2QlVpRQGqhsDgRC2cGRI+G4JnF/3f0hJSIA0X5ap + H7q+3xgipMyzdaUjhQSgIRaiwQAQQvHd8YT72/URrrvSekswyHvYfahOb5tRBNbDAkTgoDB83YlI + AK1pAiOiLuMkIhsdYmAg3Ct0zV9H69r+ZtOaGQg6WIMRIEKCQpdpWsgICQJd12lRMZvsDFpCxKNG + NUj0fe9RbTGhkhRoBOAkREJIgvP+xyFe2KfCCVChpCIEoLsbwju1cAG09PBAhJkJ2fel1hrLIsJh + GABYRBcSruvib7MQ0aLmua6q3R/f3NJ58Kxl/oSquri5GRSA7LbCCCrpoILefp27p3m/c2smzhfd + G70NW/vNEIIk3UFxEqx1gUDCSynhdHcIlsy0frOmaRKRzWYzzzOpJH7913/zH/+j3wvCDQBIuHsp + pVYXkRZf/Z2oqpm1bWkcx4ggeXx8XGttVThrra005/dl5lJKdycA+l0e7i9+F+TFf/Pb/rSj4gAQ + jjoDIQzcuPXv/tiPH948PTwbnyK72UvYoKgBCVRUYdlqD6+IGKA356kn29mxRZ0DBYC5AkLYeZeP + O76IvOF8fttMfA/tNKidrsWdnUkIntaxl+5SwIBpqQN0MVNor92JjQNgZgMwL3apE6n1ehnm8fY8 + npx+6tbfe+mlr0v9r//0c6gV3QAViEAUfP2KiDda2vtHv9Nif91XtJRSSimllFJKKaWUUkoppZTS + 918bFUiSZOtE0YYNunv7k7svy1JK1i1MKT1w9j2+AKhqrXWe577v2yOtTWvpxQ/seOcWk9x6rGGX + kXy/JqbW2rqFm9lD2pWuLU8AJC8mWG82m3Ecl2Uh2TaSZVnutSiwqraI9P0IejNT1VJKe8926GxD + 7++la3VKKaWUUkoppZRSSimllFJKKaX09pFdjYs4z1AJIaCMWqubDYcHp3arQ9fb8S/86L/8U/ir + zz37vN6+wrPBq3WlKKXONoD7ohlrqbr0NjirY9kMQ+klGGYienrr9sHRsUqRCEFMsyEgqizyYNaH + THfvQci0RsZap5RSSimllFJKKb3lWnDHGhEgAsBjpKA6gwIuu+wDIMQJeaM4kO/mzuuzEugMADSc + ThQNjxoeQpTuLxPA8uBrA6Hb/XEcN5tNGyZ9f6cqpZR+cOzH9LbbNvh23zLvx07fvwlMKaWUUkpv + i/btOyIItMpl95SxlN5ywfOwvn1gs4Q4/GLRoIA41ytLAoHD4SShCDgIkV0GZIABobZrXGFBMLAG + AUvA6RfCt3z/2Yz2BYFwEESIo4WNtXTYO69ThQi8RQi7LUDLJA04WvwvLyZ87fo/RgtpXtCzgGD1 + gMs68QGFtYXB3ZuFYxfq3N5Nok3x7ptLy0DdBz9z/ZR7WP6AiUBijMkFbYm2d3N4BIASAMIAGLCm + tEasHx5rFGsAjPafXXxzfI+oszeaHtj5y9tVygthvBdDaAEARswRaJ8bNIbXfWytxBwVg3iEghEW + gTeMN75vQoLuAVACIPYbpwOIgNNbxnIBQIFVroHr7eXffvk0cI/L/E6GFmwaQOwXFFtY7sVPCa7L + 3FuWNdvr6roRIrAWTXMA5lVUAW/BqG964r63kGC3rn2u22es23OblbZHy34JxW5728V4I3bJ3dEe + bEHj4QiAa946QgIBq+vOSJSWxhtrCwY4Wj4togWvI9ZkUt4RLuuy5oDH+s7WGhxrTV20DQCY4ehk + NyMUVQC1ziIQAOHulQHh+k9AQA+oQ9cPY2tXHmquFMCl66s7IEHA0KsgagC2bn6gu0YLDrcL2cvt + 9nx7jjv+YO3u3e8+0bLcCaDtlAaAEe1IEPA78rJD9kehUgYASwDSNhUihNwd9ULANYxc7mWFBWDi + gCD6FreNIOAhbZv3dQswt5ZVrQpEoP2LDHp1QLQdMdcc6XalrC2XaNO2+7gLhREFiBahuSZdQkCC + Udcra+br7JDR/kUlEfR20GhrBbQAsDv4rknxWI+uuyPy+fH3Ybc/Xpu5ACKMWBvh3TPA8OCu3bjH + H5B4Z7uN823e3uDZu2fQQQgguj4zSvupsJQZgEQL137IG5PvB1Udx7GUIiIeVVXd3cwcQuHpNB8e + Hp7cPvvt3/7tf/A//69mANBa/LaaW0HPduRYT1CBVmp5X+uzZVp3Xbcsy+s+fX9ZO69v/+BodUVb + FdH2G3S73wqPtt9B2uO11tww7qf2fSR8dzp4frTn/gkXspN912prO7lsJxhcTwvb+as7OkE43Gsp + EjAbbxftcDZisX//xQ9dnup7at3UOphprN84ZgdIX0fZWZi1k0iHKWC7Y44ZWslk9/WUX1ra9O7M + 5rvO6z0fLgJ3fFuTC5/STq1mX9qXv3J+Jh+zTX17bfsGS0T1CEx1JNBBDmPqT+f/n717/5FtT+/6 + /nme77pUd+9z9jkz45gxDOMZzzkznhlfQJHyYxRFECX5B+BHk0RcPGPw2MYYm4DwcFGEFRPk+AdM + +AEFhShKhJDQIIKUKHLAEBLiiQHHSRThAWJm5lz27u6qdfk+T374VtXuc/a57TO9T/fe+/1Sqfbq + uqy1qlb1qt5rPd/nc1b0p7/zk/eG/s/+k3+s3nV6qm4T3pl3dZVJpShN27mOQ3nDu33400j2hi3i + V7fa8TEPbgXeyXv8y47e0AAAAAAAAAAAAO9FGwZ4PFfezsUcA02v/sgZcwC308N7p2OmtQ7njm9t + oHVzHKnt7rvdrpUttSjuG3R8027n/v8YGl1rdfc23W50967rWrx0S7BuX3C73e74XEnzPL/HZbV5 + ti3SShNrrW0iIo5vVPvgHSPAqVsAAAAAAAAAAAAA8PRp47xv+Vl4vJVo7ZSq7/vFWbYmEErPlOS2 + LFMx97rJbfyW51+qpfrrbjFaWinurVme52pVUphS3pKt9zN/Krpa3RbpxTd1bu3MzDrbxnJycppr + ptaUag3zXumReaXNCZ4krc1R6+jb2m2Z6WY35W2sEAIAAAAAAHiKtFialrfgannWpjCVeKQ8hQfC + JKnEPgbFDtEHJfYditP2YQ9pz0TD39bFfrPZ6D03rgUAAAAAAHiavGUMsz9U2/cOx00Odz2Yz/5w + 1lu5clTroeW+caGHdXi7KsNjtvS7zOctVuARk0rf/PS3fMyjpFk/PP9qb3r32l1+eEToDRnK/uCZ + D35+tATWd16fR7Ee3+62LfLBGr3pbblNgdZH+eBte+Mb+IY48+Mt7SmPc4Xe6sjvQ0u8+phjbPxx + Dd9mI77fY8qP5G3enIc+rUfv5VNx5TFvnv8hrPfN/E2PeZuHvcM6vOmX7l14PrQm+RTkWD/sjb/j + b9yfX3273unDdk2/QftFvHlBceX6rZZ4mD487/hVoqu/4+9vFffzzPLQN92bP2NX1vrqzv8dFvvu + +5/9PN/q2+RtvdUv1Fve5W/49+mu/n/zxvog9pxXvfnj9847dryt7Xb7/PPPT9NUazXfN5rcbDZp + 5fz8fLM5nXbLL/zCX/orf+W/fOe+nS3HehiG1n1yXddWxL+ua611GIbWZbKdYaSD5LPs2Jv7TR+D + vu/byeg29qOFo9/USkJ6i/qHB7nIR6m2GfPKd17RleDkK8+tITet09r37ibNk+XaRerevR/87b/9 + 9NX739n1L9T07bYo7ZAbXfeLbbOPB39EvLcX8Vi/m97u/79X///x8J8CV591ZfVa+UXtUl3VSdVm + rWeZP/XJT5zfufOz/8dXdeLepbquS6loWeSDxqG4tK5r577/v7C5JJmU8sMWfLAOh8TrZ6G4BQAA + AAAAAAAAAAAA4C1FRGa22rbWN+PGM61vPzNb17XruhYB3t69k5OTdV0ltetWGKb31YekRVNHREvI + buHZbUu1eOzMbMu95hcGAAAAAAAAAAAAAMBjcaXP2L5L0oNOfa3zlWfrFFGkvrxN5cJbdVx8ulta + 3ZArnawObU32fU7SpAetC/E0uCUJO7RVAgAAAAAAuH725obIpkMQdbS8iGPeQtKc932KCHefpmkc + R3ef53kYBtFxHgAAAAAAAAAAAHiS9X2/rut2d3F2dmZmtdZpqdNSS+nv3Hn+4nz7la985c/9uZ+V + 5K54c5r5A+u69n0/z7OZnZ2dnZ+fZ+a6rq1VZcu6bjX9y7KYGYnFz6Z23rlNX823NrNlWdqn4hho + 3e69ydV9tqUU+yIL3ydV6421GfbgljZZ8kqgtbtMaQrJpXleh6EzhfpUnXTvnvpR2+XHv/f7/eLy + 25flQ150796iPN2HMu8jrF3vOcL66eKSTfMLXffcZfzRT3zuYnP65//Jr2hTNRZlG5zU17V6GbrS + KaRIedtoSrmlLA5bxA/vqVqmdcyKlDp1NPoFAAAAAAAAAAAAAADPlGP90m63O9awtajmG12v225Z + lr7v27vXsqX7vpfUdV1rP3KMu25Z1I86/4hoVWQtIdvMWqx1u13S1UBr6soAAAAAAAAAAAAAAADw + 3pnZLUmzPqL3FgAAAAAAwGOWruwkuaL17LV2I7417r6u6ziO7cfjoK/bdgAOAAAAAAAAAAAAwHtX + Spnnueu6Usr5+XnXdX3f933/+uv3h2H4xV/8xS996cfbI83MPevbJ1sf20een5+b2Waz2e12x7aS + mXlxcXF2dtbaWeLZlJmtt2lrOao3nnFe13UYhnme53kehoHeozeuSpIXKVtwdUoZ0j4aWXalEmMf + eu1XspP3Ydcu1ajj4IpVteryUgrJv/jJlz+yW3/TvI6yPtLmXS87G04u5su26GhPT5lUrgRdPyM8 + NJj1VcXyzLt7F5c/+fKnX+n08//4qzopfXENY7GiXOV9uNxNaVJUKaVismPK+PFdM8miSocdecsc + BwAAAAAAAAAAAAAAeLbsdrvNZiOp1kqR0nvRqv5arVdEtETwvu+XZbk60dLBjz8+qmMtWcu3bgVm + ktZ17bquxWa3gO1reEkAAAAAAAAAAAAAAAB4JmXefMYOsdYAAAAAAACPzaFLcpqHZJIr2o37YWT2 + 9h3W8R50XdeajDfubmY3f8gNAAAAAAAAAAAAwPu11lmmcRiXZTGVod9c7LbLsty9e/d//B/+p9// + +/9A12ld2yPf6cxg3/fzPEta11VS13Xb7bbdlZmZWWs9OzuTNE1TS9F+3C8Nt1Appdba2oxKGoYh + M1sP03Y+uk23cOs2cbMr/IzzQ/7xoejiEGgtpTIUebjLW8T1lV9rUxyeGMWlXHVxIbl26x/+zGfv + 1vrx7TSslx/e3Dnf3T9R78pQvDZfjkVzKE0pWe5Xo0jxIIz5meDSiUqEznf3q/Riv7lzsbtb9TOf + /vxrJX76q78sv9Tduxr8vO6sbEw6NSn3MdVVKi7TIQw8JZcs2mQnl+RkWgMAAAAAAAAAAAAAgGeM + u9daN5vNPM9935dSWm3bTa/XbbcsSymlVX/1fd86jSzLYmabzWa320nqum5d12Pp16PKzHEcp2ka + x/Hy8jIzj4njXde12yOi7/vW7eQ6Xx4AAAAAAAAAAAAAAADwASLWGgAAAAAA4DGwQ6a1JLPZy+Jd + FyqhNB0bLONb0XrNt8Fdfd9LauHWN71eAAAAAAAAAAAAAN4/MzOzdV1rraenZ60X5Die/K//8B/9 + vt/3+yIUoXHs13WVlRZZ/ZZah8rW9LOUsizLMAwRsa5rZs7z3Dpauvs4jh/kC8StMs9zS6pufU5b + A9MWaL2ua+t22h7QuqDe8Oo+80wqOkQf7xOSlVIo27XU4qw9rgQkp2SK0uKUMxRh8yyZ5vgDn/ns + h7e731TjZFlL3Q0mXy83UtUySc+N/W5altxnWofJpciWaR2HeT87UlmV8eFxs65rLLvnSpHp/qvf + 7Nz+zEsvf62Un/u/f00nfqeUuWiVVqnYfkuEFAr3MD/EWu/v8UIZDQAAAAAAAAAAAAAAeFa18raI + GIahBVq3sORlWW561W611mNkmqazs7Nj4HTLsd5ut5Lu3LlzcXEhKfP9VPj0fb8syzRNki4vL909 + ImqtraKsbabrfD0AAAAAAAAAAAAAAAB49ry/ypbHwd/9IQAAAAAAAHhEKckObXfNJx+mUqpJ9uaj + QvHQLXiP2nAvSaWU8/PziGgt6W96vQAAAAAAAAAAAAC8fy2LWt6lle08XU67zeb0137t1774xS9e + Xi41ZK7dtKw11zW6bniHWWXm1dOI8zy3TOtpmoZhKKW4e3sM5xmfWS2yOiL6vm8tYo+p6n3fZ2bX + dcuytLjrd4hRxwfApJIqua+8SKlKq7RIKZPMZUVe1BW5S+1SUl2qKKqqNEuTlq226xd/80tf/q7v + +dT96aM1N8tkManLC+m1iK1kGw/p3rR0nWq08GrzNMlkWZWhjGcr01qSqkdI87Q7q3UjrbVmrZtO + z5XcnG8/F/1Pf9f36NWt7m2HdXHFKs2SpSxVFFLMykm1WpWnLBSykIVU1WLHAQAAAAAAAAAAAAAA + niktjzkiWjZzK2+jTuldZWZmnpyctNq/iCilrOvadV17S8/PzzNzGIZlWbque9T5L8ty584dSeu6 + urskPyilDMPQtpG7X1xctAcAAAAAAAAAAAAAAAAAj+qWJFtT/gIAAAAAAHCdUorjD4dY611Xtl0X + ruOd2R5JpvW3oI0rk5SZd+7caQO92i0AAAAAAAAAAAAAnlDu3sKnW3/JcTz59V//9f/g9/xHX/va + b7Tekq0OvzWafIf2na03paSWbN0en5m11nEcW1NLM2sP4zzjs6zW2j51Xde1aUlmtixLi7hu7U1b + 4vVNr+yzLd9QkxFSykNyucmLvFNX0u2QlGypkNIkC0WWumiedLHV/e1PvvTp7zg/f/711+/OU9nd + H2LpFBZyV7dRunZzbEZPqa4q5h7WspktW7GHqlSfsRTmMM2hoSsn0k7ZSSfSVjJTLHqxc7//6ocv + 5//4Uy//oe/9bbrYbrbbTWpQ22qhjDZ+qeWR1/1cfb9Nn6m3EgAAAAAAAAAAAAAA4OBYwNYmuq5r + tW03vV63nZmVUloRYKv4qrUey71aueDVGrBHnX8p5fz8vHU1acnZOmys9mPbRpl5dnZ2ja8LAAAA + AAAAAAAAAAAA+OARaw0AAAAAAHD9lqg1Dj14a52Hzda9WmuxrJCHWZjyultep/bj08zsqR+r1oaW + 6Uo/egDAB6mNuZ2mSVJERESb0CFEJDPbxDzPN7miAAAAuG5XDzi4+9N9/AEAAADA49N6R5ZSlmXJ + THdv+dMhX0Pahw133/j6K7/nB/7Dr33tN9K0rO2Jknxd4x1n/2D+kiIiM3e7nQ4J1sdA62NTSzyz + 2kfi2H70atfRqzKT+PNbwaRDwrWkIi/yUmXVdVm1SFVapFmSdtn+DdVZ57O+fv4nPvrpP//x7/6O + 1y9erPNQ5tXmYiqZQ6oP9SlNatM5xSgbZF1akZd0O3wowlRd1RXPUrWCp3rTutZJCmkrTVJvqqtS + WtboZb2Wu8v8odde/+MvfbdevdT9C5sn1UleZVrWZVDp1KW6VJ/W7Wdth7FNz9L7ifet1tp20cuy + HG+MiLb3bmeo2/f+Ta0hAAAAAAAAAAAAAADv3bGM7aZX5PY6lgFst9t2S0uzPgZLt+HtulL0dRzq + /q59V44lYX3ft63g7q2aUZKZtWTr4yKOT+n7Xmw4AAAAAAAAAAAAAM+M4+lRM06VAsD1aAUw7t6y + Hm4WHbgAAAAAAACu2bIuxUspRSmZNGz+/b/+1y9Lqa50tTTrfAyZ1gAAfJDamNtxHFt/cHef57kl + f3RdV2s1szYxDMPVluIAAAAAAAAAAEjqum6apmVZxnHsuk6Su9da53k+OTlZ13WzOT2/f/mFL3zh + a1/759Ihw/ZR1FrXde37fhiG7XY7juN1vwgAHyzbX45tYl2ylOTaLuqKJKVUpEG7VZ1pUGi36P7u + Z3/Hv/effOb7vu389Re3F8/X3SbWLmtRLZlFMqlIXairKqkimczkkrfM5cN17nO1n72Sj5bhHaYq + rdIqq7K2Zx56G3qTpa2z18tvt9h8/V99+bs/+4WXXtL9+9peaJ2Udej6dVqLiqlsa26X3EW2t3Xa + XpJpjfeolNLGOR8bTy/L4u6thXQ7Ye3urT91uwYAAAAAAAAAAAAAAE8ud28VAicnJ5Jqrccs6m9d + Gybf9/2yLJnZyg9akPZut5OUmbXWVpAAAAAAAAAAAAAAAAAAPJUojgEAAAAAALhmfddLSulyt0uT + 3HWyWTZjfdCB1+LQ8BcAgCdU6wA+TVMpxd2naRqGod212+3aeOA2sSxL3/c3ua4AAAAAAAAAgNuq + dXucpmm327VekF03TNPS9+O0W77whS/8/b//v21360OR1nHl8tb6vj+mXV5eXvZ93xpQAnhSmWTR + Ci78kHC9l9Kmb4HWWTS7Jpf3yvnCLs51MX3puz736j/4hx+e5heGMRWSijSGxtChx62lzKUiuUwq + KU9ZlVVZSHnY3VjK2nXKH9o3PcVSWl1LUTWFzOQmNxXPMi25LllTg9uH+6FM24+ebs7OXzra9PcA + ACAASURBVP2O11/9qZde1m6re/d1sVVV3w/tbRyKld68s+26ymM8KVm377BLB44iYp7niBiGwcym + aer7vv0J4e7uXmvNzL7vd7td13U3vb4AAAAAAAAAAAAAAOBbsizLsR5A0uMoBjjO85hgHRHjOEoq + pRxTtNsKAAAAAAAAAAAAAAAAAE8ZYq0BAAAAAAAej8zT002ElCnp1WlXD0dijl14LdvRGUYuAQCe + PG2A7jiOrUV4m2hZ15vNptZaa23jdfu+X5blhlcXAAAAAAAAAHDLTNNUSjEzSV3XjeM4jmPf95L6 + blyX+KEf+qG/+3d/SVLfl1LsXWb3kGVZ2qHszGxtJdtBbABPB78yHiZdKoqS6WklLOdO6xDTZk1t + l5/47s996Pz845vTXC6389Qddid5CKsOqe5rOVp0tlepSouySlVZpVDI9tUdJVTymRuN0962w3vQ + 3r2W8a1OOhmH01J2dbW6Rqz3L88/1JWPzLsXX3/1y5/5/Bc/972aV02zQn54I7fbNGnou1CVyx59 + P49nk7sPw+DuFxcXktop6cxsf1HM83z866Kdtr7ZtQUAAAAAAAAAAAAAAN+iVlXY6gFaScC1x0tv + t9vjstrA+fZjqzls5QfLsrSlAwAAAAAAAAAAAAAAAE+ZZ62RDgAAAAAAwONlkivKvu+xzKQaGsY6 + bgivBgA8ZdZ1zUz3/bkGM2sBIZJKKW14cERERBswDAAAAAAAAADAkbt3XbcsS611GAZJ0zTtdrPS + a40vf/lPfeUrfydTfV+WpZqVN4bYSmr5s287/77v13Vth6klRcQ4jm0awJOu/fZbKqTVtPOcTdml + 2arpotdSlp3OL3702z/25z72qZOv/8ZzXZ2XC0l3+9K6zq6mbdF5r13R4qqWuQ+xVlVUi9XbJcMj + PNJSkqc894u2Z6wKxFNj1VhVUmlaTbPn7LmazMtumi3rRkrF3dPx1FTXuZeeD33ktXsf/fr9P/zS + Z3Wx1bK1dcllHaQXNtZVFSkj1nWRlZt+iXgy1Fp3u52k09PTzIyIaZpKKZLmeT7+RbEsi6R2OwAA + AAAAAAAAAAAAeKLN89yipsdxlHSN8dKttGCz2bRx8cuytJrDtoiu61pZQhssT/0hAAAAAAAAAAAA + AAAArldmtsKYm0WsNQAAAAAAwDUzKWo1s3VdTdI4aNrZ6aYejsQcj8i4nrk2xwCAp0attes6M6u1 + tut22iMi5nluD5DUcq/bNAAAAAAAAAAAR60LZDu2vCzLdruVtNlsMvNnfuZn/upf/a/63iLUGkGu + 6/qo829PaYtY17V1mXSnfh54gqWUktKV0qFJbEgu284Xrqq6KlOXk147/+FPvPxy6MXdax/ru826 + K1ndtVuqSVWK/axMUpjSFHZYgrXlpCtdVUrLNB0CrW/s1d8wkzqpkySlZfWoHlKYwrIW6TJUetuF + XrmcMtUuz/XaZHzU9G0X2x/5xCd1candxaDFthfKyDqt61q8L+VEKgxxwntRStlsNsuyHL/Zx3Fs + X/rDMLQT0+M49n0/TdNtGLkHAAAAAAAAAAAAAAC+FfM8D8NgZmY2TZO7X2M9QCtQnOe5jZRvY+fd + PSJqrfM8tyBtAAAAAAAAAAAAAAAA4NrdnrYY3U2vAAAAAAAAwNMlpQj3osiudNOsUa7Ts/PddOUx + Lgu/LQeIAAB4P0opXdfVWsdxnKap7/taaxu7227JzIgopUzTxJBdAAAAAAAAAMCbtATKdrR5WZaT + k5Ozs7NvfvPV//q/+e9+4Rf+C0nLkjJba7p3mfmGEvz3kCvb0qzbdNfty+ZrraWU634pAD4IuU+w + fsMPbvvJ54fRdpcK6f7uj3zfb797f3ppndb5/LnRttPUSSalaZKq1Mk8faxtXiFlmFwyySVLSWq7 + nLazaQu1/WLtmKnteoYqP/zwPoTts6w91aVKqkofOt28crnbrTkMpnSF7eo69vqXiz68Kbvd9Fys + z/d3fvrj3/kvi//n/+ev6IU7OU+5eU7qquT1+O7f8MvE7df+JGip1cfT0KWUZVlKKRHh7mYWEeM4 + 3p7xewAAAAAAAAAAAAAA4P0ZhqHFS5dSaq3XO/NWWtDKDKZpuri4aDe6u6RSyrquXde5+8XFxdnZ + 2fUuHQAAAAAAAAAAAAAAALgN/KZXAAAAAAAA4Olikne5hsyUOYzSMini5OQkW/Pdlmnd+iC/r9ap + nvJUmkmKK/180zIsZWHpSk+VapInPX8BAI9DrdXMJE3T1AblRkTf9+2W42MkjePY4q4BAAAAAAAA + ADgqpWSmmbX86VLKK6+89rf++7/9J//kl9c13pBhbfY+YikjosVbthzriDhOA7glHtRN5JXLQzdc + /f0PSXa4SJYqUp/VatUSupz+yOc+/+Jrr794ef+5eXdiWiMlbVySisk79aW0oTTlcLHD0Jqr1+0u + P0QtX2PlhT10efDq7MHl4Uc+rD0s3ukh1yauXOvwtnjKpY10frkzKVMROS91reumdGvVCydlu6sm + DVnL+evfMc2f2M0//v3fr3v3rKhorrmkZK2gxpRqmzyUIcXDn4fDWsSVdcGzxcxKKV3XnZ6e2oG7 + D8MwjmPf98e/GdZ1bWe0AQAAAAAAAAAAAADAE6qVAZyenkqqtQ7DEBHXWA/QCg8kTdOUmcMwHOsN + 2jD5tgKZSaY1AAAAAAAAAAAAAOCJto81sZCFrO4n9vxwefzdK/BeWMT+IkkmlVTJB/fqsJmOLUqA + bxGx1gAAAAAAANcsJOs7Ze4Pz/adTDmvlp1azHSmZbrC9h1539ehvvSQp1maPE1SFFVXZiii2Gnf + nV3Eou7qEeGnzTzPbaLlpy7LcqOrAwDPlhZlfRyX2wbltl3xcTDwsWm4O+cjAAAAnhJv6vxCMAwA + AACAd/WGwSr7kS0hi1RNVe+7NaMM425Z/+e/9/f/6E/8VIRSilQeOkLWurw5xPRK2u3xPybu3qZb + DHabPh6gdncOVuOdXf1PbpvOzK7rHr4X1yKlqoi3+r1OKaQq1cNvfh7Cp0NrVayWWbSmtB+Kteri + XJfLn/j05z587/4LObtNO60hrYtcWkLWspKrMqorpIj9JQ9Ryg92NHllp3Nck7q/K6V0pb8hbvs9 + scOraJnZnVRkLjNZ2z/mlUvY/pF+JV277U+P0dcphSzNQx6HhzwmKa3SKnmqC3VVXUhSSItU2yg4 + KauKqUi1Vg+rOxUzMwuzIvV1eXFdPvLN137ye3+bLi60PR8tTFKVUnOotlmuq6IqQgpF5pu/AyK1 + 5H6D4BkVERFv/gCs66rDV7+7l1JuYM0AAAAAAAAAAACeNO20S4sIPZZbtOtSSjv50n5sp2MA4HZq + lWaSzKwVkr3JsboMt9MxPfrYNqTVBrSo6ZYw3XVdu/dY03Utyz0uui30WGfYCg/6vhfFYwAAAAAA + AAAAAACebYfKIknKVCmFs6g3LszD/Nh94i2DjS29XSQP85SHRViVLbJZtkg1TGEecmWR3NI95UlQ + 8o2yCMu0DM+0MEVX1VfvqpsUFmEpC0+39DSFBxvsydLqNs2sVaqY2TF25wbRmQsAAAAAAOA65ZXr + Q//cULbOwfuexp7yB611H/kgX2tg3CZjH50tl9ZU1ylTZRh39fLi/PL5s1PNl+/7tdxybfhZGwI9 + jmOtte/7NiztLd30+gIAAAAAAAAAAAB4oA1SWpbFvVP6V3/5V770pS9tt+ujntjLzNY+sp0rNLNa + K+cH8d61nqdtpIcOeaiZudls2kQbTTdN042u5tNpXzCRD8osWvLz1exnXRn3YlInT1mmzTW6Ii2L + dltd7LRb/9inP/PcxcXzufo8Z6of9PDsPeWHFOvcXx7IKw/Ot7l8K/IQmH11Po9UNWIp2fFV7J/b + akg+gNFB9bDmniqHdci3fodbFLeXlORpkjJMphyzvhh2997lD730ec3SPJV52+K7zVUzc121jyvO + i4t76dbmVlLlsEQGQwEAAAAAAAAAAADXy93bcOxWfdGSRC8vL2ut67q2SoxrzBAFAOBhtVYzazHS + 7QvI3d29lXW17iLHssPrWqgfSFqWpU23ijIAAAAAAAAAAAAAAJ4a+YYuDXHofqHDtZGLfIuke5ql + hSzfcbt4env8B7NeeHxuQ7MsKkQBAAAAAACeMGkpmT10ZKmuGu8Mu2nWvNv4cyd9r4sLWa9bcBDq + ccjMZVmGYZimyd37vs/MNloMAAAAAAAAAAAAwC3xdmcr52ntum4Yht1u9+v/7J//wA/8wP37O3fV + eLT5d13X+ldGRCnl4uKihRAD71GLRW89uI8h1pLWdW2frq7raq3jON7oaj6FTCry/T7ClKYqheRS + l7KMdldxyR5UAlhYtw++ds2rYtFaf/Llz9955ZXnYnfae11Xl+6enF5sL29VAUHY8bUqc59I7crj + Ps/1YI/Z7n1Tf9yrIdaS+ge71zj8c4sKRExZFFVKU7XsUpJmk6SiPJuWj0X3ky9//5/66j/SHVe3 + pPe9JDP1gxTrMnV9P965syh6uaVU9zOt6oraiMhbtYUBAAAAAAAAAACAJ9JxaLaZtdxQSZlZSun7 + fpqmcRzbyfRlWbquoyoDAPA4mJmZZWattZTSarra7V3XlVLmeTazdV11KBq8luW2775WPNYStXe7 + 3WazuZaZAwAAAAAAAAAAAADw2Dxagx5LHQKS/S16NVhVeptn6+Zwe5pXPJPconOTp8IipXApPKVq + SpOlLNzCJVm67BG7NeGmXa3DbAUzN7gyR/RwAQAAAAAAeBLllan9wd3TUbvXZpc676R62vudadGy + PuJR5SeGuw/DEBHjOPZ9HxGZ2a7f0k2vLwAAAAAAAAAAAIAHNpuNmU3T9I2vv/K7ftfv2u0mScMw + POp8ju0p29zGcYyIZVmueXXxlGqNUFsmekS0UR+Z2ZpxSzKz1im11vpuM8MjysNFStMi1f1PIQvp + cEntr1OxmrJI0m7VvKhWvX7xBz7+iQ+/+vq31/Ujrtitp4P1nd/fXppa/PUtkqY0tXFitU08eA/2 + TLLDUJ+QVSuhErKQ5VsN/AvllffodkmllJZp2ody70c5Ri1R70zb37zNP/jSZ7WbtG5zObdIS223 + U02VfpwzQwqt+4/EYYsWyd5ynCQAAAAAAAAAAACA96udE/eDdg59nudxHCVl5rqufd8zXhsA8JjM + 8+zuZlZKaUVcbaJFWdda3f34NdSyqK+Fu7fr1q5kmiYyrQEAAAAAAAAAAAAATx9PK1E8O49i0Vt0 + Fp1UfJ9mHbJVUlqkRd6uXh3PHEt5ytIszdPDVE3hUT0kKd2zc6mkTFEy2uPxRLsNxZm0cQEAAAAA + AHjypVuaqjqXFamTLKZ7r91dVk2rrm9E1q3SRpq1QWJtEFobpfZ2bnp9AQAAAAAAAAAAgGeSxYNL + k6707byodK9887Xf/bt/9/3758uSpdhuNz/q7Pu+16GBcssedvd2I/CuWiPUY3NSSS3cuu/7iMjM + Ukoppd17je1QsXcl0zolkzpF15KaPVVSJeWhDEUo5cWmJSQpVsWq7cUf/9Rnv2fKO7lLm2OJE8mW + 1Bp3u9M73enNvriHWcquDCMKe8PlqpBSlubZnmSe5lfDrVNaTItpda2u2XK125Vr3dYwTV1qiP0L + LymXuqLnuu4jw+l6/zc+vq0/+smXdHHhWmPdpel0M1o1yTNsXZZeLkUqVEIeqrIqpciZBwAAAAAA + AAAAAK7FsiySWsWFpGma2i2t+iIz2+1d103TxHhtAMBjMgxDmzCzZVnWdZXUdZ2ZtV4iVxuMXGMd + VysSW5YlM919HEdJ7bsPAAAAAAAAAAAAAIAngr9NqvEhozosvQvvatetY1fHUsdSR4/RorP0w3Mj + LMIiJLqr3DALzyhZTdW0pkVYVIu0UHpJL2EeMq1FS8mlaHnQ1glPglaKmZnHCWKtAQAAAAAA8KiO + R5TiyrRJvq6S1HUemRd19+G7z9+N+jd/5+98WmOtjyPBIqINll7XleHQAAAAAAAAAAAAwBOh67pX + X3n99/7e3/u1r/1GO6VZa3bdI9e3t2bKEdEaVrYzhu1G4F21DqetC+rVAR7b7fbYC7X17DYzYq2v + X0pSPWRaF0VRtB/2cc5qNQDe/k1pHF1ryFLbyz/4sY99x7Qdtq8uy7LZ9CGdbrqaCiljfW291G0K + ej7mdJv0DpUNx9Tq9mk7xmC3CZeOzz6OKkwpTXooG/tmhSlNIbkOAeWHV7Gt2q7r1+f7Hx3vPL9M + H77c/aHPfFbbrSvy8lxRFWvUHEp32p0UuaQqrS3cWg+26i3augAAAAAAAAAAAMATq+/7ZVnaYO2I + GMex7/t1Xduo7cwspbh7rXUcR8ZxAwAek1rr5eWlpIuLi77vu64rpazr2mKnI6LrunEcI2IYhlYr + eI36vm/fcbvdrtZaSrne+QMAAAAAAAAAAAAAcIPSonpNX2tZq0d1VbfWFCItJPm+xUW7dvJtb1i2 + pHLXvqNIhNdalur1Sny1H2LLXcn2erLdhkxrSd1NrwAAAAAAAACuR1dKRN1OcXIynIXvXv/mST88 + f3rnaY217vs+M2utXdfN8zwMQ631HYZD35LjcQAAAAAAAAAAAMCzK11SmEvaXU4/+IM/+NWv/tOu + 83WNrnQRsa6PfHKz67rtdiupdZN093Vd+76/9nXHU6l1OO26LjNbP+7m5OSknYbOzNYjtbVGven1 + feq0MGZJx0zrkExVqnJJRSqS5HkYA6ddFJu1O/8Tn/zUp6fptJOKNp3fv1j6rlxW2dCv87LE/KHi + lzXqTb20h5jkMpMys72W1BuCqK9Op0mZliG5S8owyZXHh7QCCEu1Uoj2XL9NZRGe+0zrdt1JSq1S + SOHqO3vByna+qMqzRZ+Yhz/0Wz71s//P/+vPnWqZfLPZZ2LPSpcGP4x+3A9+TFrlAwAAAAAAAAAA + ANckIlqVRTtLvq5rKaWdH9/tdpvNptbq7i3gs51bv+E1BgA8jUopp6entdazszNJZta+cdw9IlrE + 9bqurbvINX4ZZeY8z13XtXm2zGySrQEAAAAAAAAAAAAAt9xb9Zdo2cZXWvccIpDD6lrWtFB2kizK + 8dGWaTpEKMviQab105lv8kRI89X7kFZb0yNMrlVWLeWhzKLsw+RSWKka0mQKZ5M9OR4ufbkNSTqk + owMAAAAAADyBWj9ihSTLfXvirOnum0252M6y2PTjZl0366KbPwb1WCzLYmatK/0wDJIiovUcBwAA + AAAAAAAAAHCrpf/Yj/3Y3/t7/7BlWksqpURGVx45Nrj1lJTUuknqEFF87auMp1WttfXdXtfVzFpD + 0lrrMAzTNLVb1nVtDVJvemWfLra/+DHTOtstnvKUu9zlCpdplqpUVhVV7XZfePmlF7eXz0ddprqE + Lqa4M262a71Y6rTUYei20q7eug3mhxd9rGxoAwX3Lzj3P+4zm01pKatSlbIlWR93bcf57CfydmVa + NyVlqTyMVmyvIU1mWiLvT2vNHKTnS3f6+vlvmeqPfO579Po9WSiqapWkXlbc5CZvrYLTIvc1M6JZ + PgAAAAAAAAAAAHBdIqJlWndddzw5vtlsJJVSWj1GO6t+k2sJAHh6ZWZmtkqtlmPdigAjou/7Wus4 + jpJad5HrrQ/s+97d2zzdfVkWMq0BAAAAAAAAAAAAAE+X8Awd2j/koT+FpSyt/WSH9he4BSJsTQtX + WqqEpH1wtSns0JmkemaLJaf9Bq4DMT8AAAAAAADX7MGA3DaVKelxD9NNycwyc13r0Cmjap1Hy2F/ + 51Oo73tJ7t6a1LdbaCMOAAAAAMBjlVcOdJjJ3d0pPgEAAADwTmqtpZSoUnqYd+Pm8mL3Uz/1U1/5 + yt+R1DKtU9rNU8qX+i7n+9rJwa7rzKzrulrr8VTsMZBYj//8LJ4mpZT2gTmeej5+llov1ONd/Bf4 + 2rV84i7VqdU9qJpWKeSe6kK2Sqm15iylVi33tb3/45986bdeTkXr66lxI5cGaTcvXoZS+iJfl9Vd + i926aol8q2lPhVRcg2uVBnMLFTN3pWt1rUXVtboWU8u4lrvJok1LIZXbt9NrqduH8GlbVGaV1UrK + SqpUDSaZwlRj3WR9YVk/+tq9n/ie79PFuaZLlax+GPO0qISbPKRFWhTK1Lt9XwAAAAAAAAAAAAB4 + L9qp8HbdTo4/nOV5rNb4wNcOT4MWVfuBLW6327WJ1nag1vpBLh3A+2ZmZlZrdfezs7OrlYHLskia + pqn9OM/zt7KUUkr71jOztqNoCzrWhrVOJgAAAAAAAAAAAACAo8w0s1aC0XWiGONWsJAduy4cuzPI + rBzDOszMTBG1hG/WzXg5jvOwmcfTOD3RSb8OvnR9dCVKieJplgqLtEiLuHVNLJ4ltuZ6vunmE69j + 5hjlJMa8iDHGsQ5D9IP1VteMnfvca+ktPWnB8SQ57kXdPTNLKcuy3HjnGNoqAQAAAAAAPFlaVPb+ + B1deOb4TunrYWGmZprx1fZoBAAAAAAAAAAAAPDOGYWj9YUsptdbLi93P//zP/7W/9t++v7mt66pD + u9vz83Piq4EnV0rRyh8yFCHLlKq8yovUS6pSSFVdsSGXcd5q3n3p5U9+6PXX7k6Lr7pzons7KVVN + KZVQCXmmp1Kqpts2TC73L0hVClP1/RpuXF3qMvShzUlnLklVyyqXisklM5nta0TCdT8iO58l74tM + q1RT7m/uLH+z2ouV5DLJqyzMwkxtS6UspUO0uaQx6wvL/Pwrr/7wZz+vedW61HmXdiiF2cU61zVC + ClfstvfNRT0MAAAAAAAAAAAAANxy0zS1qNqID6Jx6rqum82mRd6aWWupTH0R8KRY17VlTrd8+pOT + k2uc+TiOpZTMrLVGRNd1OgRaAwAAAAAAAAAAAADw1GhxuWYmi8zITHc3M0UO3g1Wsi7LtKvLbJFj + 11u4R+fhlt0h5CQO7SJwY07GojrV7WS76Grva//8nbtmZYk613XRar1riNAU61KnmQKIJ9ox5fpm + dTe9AgAAAAAAAHg0lg8ODJpC6Z4P35vtbg77AgAAAAAAAAAAALhBu3l19+HkZLfbFe9/7ud/7i/+ + xb90vPeNNfXvdHKzlGJmrXNlrVVS3/e0lQSeaPmGf/a7gCKVNsbNJZNMmndjDU3Lj/7W3/rtu92Z + 22C+zhqm0luuysUUii7CD3MuoTD5rRi2s5fSIqXtg5z3q2dSag4N0pm03W1nyaWT4TTmi1Llh7el + vZQwpfT8yckr2+2dvjtf1rG4u6d0sazjbXrJYQqTpY2pIq2Hl+zpncL2W20fbj2bPNWr/msq/o37 + f+wz3/vT//s/GF58cV1n6waFtPHibtK87oau64ZR66K+P4yKBAAAAAAAAAAAAADcIhHh7rXWcRxb + sPQH2XnzQXNeKSJauPUHtnQA709mHqOmh2GY5/ny8vJYK/itm6apTQzDEBHrul7XnAEAAAAAAAAA + AAAAuD2OpTJmtma0yp1aqzqlLavlarWUIu+223WTG3e3cGUxpadSqtbOpwf9HG7QusrSN2VjGiL6 + 7XoZXVwulyend3fzOtuibsnc9aWcbs5s6efcyUileYLdhmRrYq0BAAAAAACeYJ6SQiYdWhi3DsWW + JmVKyRBLAAAAAAAAAAAAADfn5ORkt5unadqMp3/5L//lv/AXfq5WlWK1Plox/bGJZK21lLKu67qu + EdF6WQJ48lkb0OaS1n2mdayLqyqqXr3/xe/+9HdNu2/r/ZuXkSVO1UXEqky5KdyypWAflJJKxYPQ + 7JvWEqnT9hMyhVRSkk6KrzVO+820LCZfpNfny847j2rKIqXaK7E2h6mGS3JPaanhNdJtdFfeojFm + KVUzkzJdkrW08v2GTbVAa1OaUrJUmFzKtX5E0X3z9R/6/Pf9Z//Xr3YnJ6qzssjL9nK3OR033aCs + Mm+J4KIqBgAAAAAAAAAAAABuH3eXVErJzGMq7QcQbt2WVWttNUW11q7rbkPHTwDval3Xvu9bZ+3j + r+01Jk+XUkop8zzP8yzJ3d291SJe1yIAAAAAAAAAAAAAALgd4pBtLSkke3197eu7r63dNjLDNJTe + 1dcL/eYPf+epzro6ltpLpnSTLEOWnmRa35z0WsxqLBHSXG1eNrt//tqvLsNueTVXmZXBPHK9/6Hh + Q98xfKhO2Y0u3aKWI3gS0cMLAAAAAADgiePa911uBwfbiKxDp950U+jQCjnk9PAFAAAAAAAAAAAA + cFO226l4n5l/42/8jT/zZ/5s6zNZ6yP3i21tZ93dzO7duyeJtrPAk86kkIo/+LGo3aRYVh87r7Ms + dbn9ic9+z8urTmb5GneLoqqzvmY97TaX63nbF4TvCyhKqkiS1dsTav1GJinlh5WbahTp1WXXUrh9 + 3Ozm6L14qDtkWtfDMyJ1MU8vnt65f3l+1g3FVJclIv2WvVZrwdXSbCqpQSmpSlK01PK2uVapmro0 + KefUc6fFdhbLxcdf0x/91Gf/9C//L/rQCwrT5uT0ZBNrqvPI8HVVx3goAAAAAAAAAAAAALilMnNd + 11KKmbVU2mPlz2Ndbq319PS0hddK6rru4uLi7OzssS4UwLXo+15SKSUilmU53nic/ha1wPthGOZ5 + brsmMq0BAAAAAAAAAAAAAE8uu9Jh4mqzCTOTbM3obN+FIiK+Pv2Ln/lbX76481qxdJWYclhOXijf + 9m//6//uv/Hpf+tkvjPOXqJYlpLmUljcrgYWz5jqmpUqGWWRLzufvrH+s5//23/y1fz/fDOsKuva + ZbXny/hvfvZ3/Duf+/jdO9++rpfONnvC3XgbLdq4AAAAAAAAPFHSlZamsJTJMy2VJinDTGpdj10K + yaopyLQGAAAAAAAAAAAAcHPcOjP7xV/8xR/90R+rdV8+P/TDtMyPNJ/W4jYiWpT1NE3jONZaO5JN + gSeZSzKXjuPkXK6UvHRaZ5l0OX3xYx//VJRxd35m2oWK1JfuX9Xti9rM6zQrRilSvDchWwAAIABJ + REFULoVJqZRSslsW89wGgEXKTJLSHgQ/r1JRKeZrRv/cc//y/mvD2enlUnd9X6LVhCgOr8eksbvz + yuXF2bhZpnlWDNJGJmm5+TFKD3hqSIUUpmoqKVO4FMoW1O2SZcsyN8mVUZX3Lquk562cKuZvfPOH + v//7/9N/+o91cqrtVsMmQrla13XqTWbt4wMAAAAAAAAAAAAAuG3MrJTi7pnp7seCn4h4rMt198vL + S0nLsvR9HxFnZ2ck1wJPhHVdj8nWx1/b68q0ltR13bqu8zy3ZGsdIrTdqT8BAAAAAAAAAAAAADw9 + Wqy18mrwdZzP9+6Pr702fsNSo0uSq7e08oLWblrXk86j1F7psrBD+47b07/iGdT3Y61LrEuNeR0n + fy6+Ef9ivXv/XpWNKjq12bsY5v7C+5wvdk4kzZMpb1GfGGKtAQAAAAAAnjwuKe0waNMkU+hNx3Y9 + LFbX4iYOIwIAAAAAAAAAAAC4IX3f/9Iv/dIXvvDFWmutcpepzMt8iCN9r81qzSwzM3Oe577vx3GM + CDKtgSfXm0OJQzKla5Zmqay70yrd3/2Rlz/ziUUfsclNa8qkWepNL57eOb8835Suq5LkUqRKKqUw + RYbUArJvC5Nak+xMRbu2fdb12Ti+Ok292VT0G/dfK8+fvm5ahr5aqYf3yFKeKhldZIl6crZZ5t2d + sRtWlcjMfLydvx9dkTplSFPG6tqaSmrIdCmkNC2SS13uk8hDPpZaiilyVr1Yti9Kd6b6I594+Wd+ + 9Vd1905M23J6x1IpzV4k9aIiBgAAAAAAAAAAAABuNTOLCDMzM+3b5j5GmdkW0XVdS9RuEbaPdaEA + rkUrBTxmTtdazczdI66nKKbWKmkcx2maWmb2NE3jOF7LzAEAAAAAAAAAAAAAuCUys1XotEY9ZjKz + rLGxcaNSa+2Kj2Nv0cfFukyzpyxd2YW5S7L/n717f5YtPevD/n2e933X6t6XMzOakbCLm0AIcXEg + YMeVVKWKciqppCp/QMqkXEViAgkIxQGKABa2i+AYgyBEdmyXgytJ+UIVVcYpQRFXiLFTWNgCFGHd + GWk0IyGJ0Ug6mnN279291nrf58kPT/c6+1xnzpmz9z6X72d29fRtd79r9erufdZ63ufrphVwF4Hr + KzwZnY1kWtfWIe/nZZP+cjtqUy+yb2lM/VCTmnsyb+sRdVzCcnNXOBtwPJwi2fpByLdmGy8iIiIi + IiIiojOi2867MEhzMYfYdj+uRWPie927ZwDE/dqv+6069bqqm/qNeddEREREREREREREREREROfD + RD/x8Y+/9a0/sFpt4hoRiQaRdysyrQF0XRftbh+Ecnwiup3T789bFkdc9wZ2hQCyDbpPGPe0YTX8 + 4Ne9+SvWm6drrT7JtlpCi8jU6vHJlEtaT3Up28eKvOTt4wkAGLa50ffb6QVy4FpA9Q03z9fFHRwK + yAhUxZB1VFTVJlrN5cknKqSKti79zO+8B30HVWSF6vwkiAoQM9QGxw/+W9/S1akzX0qe1if7/aK1 + SdySIzmyWTIkh8AlMq/F50HePELZ1Z74bsBB/VoAud1lBUr8YvyuCxxufuqhBObbaOoKKAwNY3MD + smIJqKKtTp5x/eFv//Z3vP99+sRhQ4UlTXJtKTxe69PjvXbTPA6PbQecM0lERERERERERERERERE + dE5UFUCttZQyTVMk1J5DtY+ITNNUSomLXdfNWddE9CCLEOtxHOOzIudca72PHxrxmMMwAKi1Yhek + TURERERERERERERERERE9FBzILprxEUzSympN7i4u7tpTpDUJkueXJpXm2zoXVXaIhW1kqwA4oIm + Brl1hxA6Z4tcpvU0WfUsGQUmpd9/ebzcUppqEx+zZmiGWIKINVf206DXipU0RERERERERERnROAC + NEiFTNVqE62SZG4T7Lu9e2K3f5CbH3Xb41dh267DcEDUdz16xeLhFciGcjePTUREdLdU1d3Nrvu+ + 6boupvUCcPfWGmf2EhERET1i5r4w7O5ERERERPQYElcAHkc5Tx3rVNVhGHLX1VoXiz0zA/Qzn/z0 + d37nf3716Nh396nNEP+a8Nsey1TV2POcUoqdzDd0qIy+tymlM1lCesy01lJK0cLYzOLYh4jUWlNK + 8S/fuL7WykMer2D3NnWZE6YNu9lvsr2LzjdUuMJKc0iu1XMRBXpvGE8wDj/+1W/82qPhdZDRTXK/ + rkOGqJs7RNALvLYkcD+VZg0AUIeJ4+4zrQ2yG63vRnst6nl3jQBq2/Pe4MvSDdPYJzFzd2QgCzaO + haABzaEZU4UKJPWrara3vJL0SxlHfflfP/whqKJkiEB0u14ivlqBpNeCmOdlcYvzP/+HfwgH3Lf3 + 94o6fs+3fZserw9d99b1wHCgydbHnbiKubcGLwniMNs+cAWySHUvgurbaPAmMIEJ4JIdBShwABPQ + 7mJlYtqNODvctysylk99Gzpu22UzgScgAQ0w3+Z3Z5teJ6VeuYq2gYug07QHlNSQNIK44zilpd1K + MsChCZB5NcIM5kBisvWj4lU2reauSyIiIiIiIiIiIiIiorsVB2JOH2eZ44HHcey6jsfN6W6llCI+ + Fq/6SN9r5O5zpnVc5KHDR14U9pxONI+NLSLVIyy5tRapxlEpdNFDfqy5u7tHBeD8ckzTBCCKBuPl + mz867peo/ppLEwFEzRiAuWxsHuGdPzfmYd9wz3EcSynzpsiNjYiIiIiIiIiIiIiIiIgeYedTB0J3 + dKr/D7YNHAAkUZgnVW8t59x8bG6SNJVca130mGq0eGg5wauVukx1D56rAjABOlNxa3q3HTvovhEH + WiudDj4psJ9zHh0uoh1q7bLCpwxvKJ77tdX9zE4aD58oO4kqGneP4pl7EyUxc8ekey6ZY20oERER + EREREdH9JKc6CQPY9mE2y9tGzeoyAR4NdSOI+q738+12EO/25sr1F69JDvUbB0RERHRfRF51hJT0 + fT9fGXN347hyTLhV1WhacaHjJSIiIiIiIiIiIqKzVWs9PDzcjKOIjOM4juPx8fp7vud7Ln/pSq03 + JlhHBfydH7Dv+2EYALTWxnE8q3HTY2+elXHDlIxSipnFwY7NZhOdbS9qkA+peJPLqVNcX1YhcMU2 + 8TgXaQ0mtXjD2P7C13/jV58MT7ln+KKUz05Dlwratl/tDQUSN3+a3MP0OJPt45hDTw/zuoeS0+NP + moqm9TT2SabmvcbvYnQcLrvL6xE5H6Otzbun9jeGk5N68Mff8BPv/pfYX6IUdAVtwuGlJhoLlmKS + j2Gb1J1OrbhXXoCKafi7/9/70HWYGqr9hW/+1r1al7q3sFrXR0/3C5mGoXkBMpAVkOytDu6dYHIU + AYBhGzwupxb8XupO/FQG9umXw6+/8vRaPv00JkgOAJ23J4bxh7/269/xwof1ySeQxoYEV6vQBNyq + 6kZitfnuR7Zx1+wTTERERERERERERERERHQH8zzBiPaMw+UREGtmXddtNpvFYnHRwyQiutE803mO + EI7Cs2maVDWlNE1TXOPujBm+cFGmVWtNKaWUaq0553OYhD6/+q211hqASEOPm+YvvkikvkN73xh5 + nG+t5Zzj+7HWGpnWMaf+9Ox7IiIiIiIiIiIiIiIiIiKiM2Xbk1tknrhsu1aYmcNagzuQAHNDE4VY + Fo9uKtbUk1dxiDMm+UKJuamrW4KjpVqzS7Pq6gDU50YaMIhDDToHnNND5xV7cL3i70ZDJDOLcpd7 + y7QGY62JiIiIiIiIiO67bQtjAbb7ahVN+4rcIA71u+g5TERE9MCKacMxl3uenRtB16rXHXRkpjUR + ERERERERERHRo+R20xjcfbPZNJecu5OTjYh+//f9wLPPPn8twVR1LqO/cz29maWUItM6Olfex/ET + nRbNuOP86XzrOAgS0zbmQOs7tCul68h1/9f5it28tViLuo211lqn3AEjUgeVhtXL/+WXv/lrN9PS + 1WFX4f009YB6g/g9JSy/Wk0dLmmXbD2/2DfM3hO0BDgwWNtLnQLefF/RDAVQgWr64nqcloeXBceH + +18U+d8+/EFkRW4YNlgu0RxJkRO0h6iemtvjgMQqk1tPGrw9hXQ4UKhhkVDHX/j0BzFMGKYf+KZv + /bKDNxythk5kkcRsaimNdXDUw5xqbZKgFc0hwH7ph6nCJQMGd2kTtonTZzqJzYHp1Nsr5tFVeBPr + mnw59n7sm/7dv/ah9+GZMqB1WZNHgY7KLj7dd6/UNtP6upUX73POnCQiIiIiIiIiIiIiIiK60Rxi + 3fd9HC6Pog4Rievne76W5olERGcnPsEik7jW6u7jOOacVdXMIsw4bo07M9n6QZBzjuDn+K6JF+tM + nzG+xeK5Tl8fw4gNw8xKKev1erlc3u5b73QhWZyP+Oo4P4srI7T7bBaIiIiIiIiIiIiIiIiIiIjo + 1TIxQ5Mk1V0coto8VfHmDjFBNPZpkGriLsLmDBfLgQYH3JO5tHFontG8QhpkAiAORVKHwsWvtXWh + x02t1cy6rovSlyiMYaw1EREREREREdEDwyGCJtteyhmASTZRQB0iUJdt9DXg8zkiIqKHSmttmqbF + YhHzcs0sIq7j1oiyTilN08RMayIiIiIiIiIiIqLHQSllHGq/t1yvh67rvvvPf8/v/d6/yRm1QTUB + iCahAF5N7buIRJvIzWYT+5zPfAHoseTu0aHbzKI16umGpLXWruvGcYyNkJnWr8hPxxLvzuwyrU/f + b3u9AHDPXQ8DCmCT1BM0fKXJG5cHq6tfQOkW5mObAOTkNp3t+JPNA50zpXVeFt/GOm+XUoAeaNO4 + V9Tdp+pNYCJD0iOX1WLRnjz86ff+PhYLpIRugWKDrfLySUAVCqi7u0lKECCdWklt+/y4u6ljomgj + umI2Wk5S9uq07pcd+vI3nv0IquN4/Ivf8m8vmy+8VxGv7fUlraZNLjKZNyAJzDFMQ0GO8SjQABdM + AvWzrW8xgQMuEIcANoejO9Tr4Wgnx1f/u2/+9v/phQ91SyRIdUmSRACLJHAAtm07HS/UdguDQ/nW + JSIiIiIiIiIiIiIiIrqdSPdMKc3JrwAi3Lq1lnOOSM44pM54TiJ6AEWZWRT2xGfUXGwmIqoan2/z + x9pFjpWAaZpUNaUUc9LdvZRy/mOIJx3Hse/7+WJsLcvl8tWULI7jWEoxszkovdaqqvGL6/W6lMLt + jYiIiIiIiIiIiIiIiIiIHhAmTbOgORyG1Mwq3KSJW3IHrEmFNMBMSmJ4ykUTkYoKmItVhSVxn0Qn + oEGi8cl1NQni17V8oYfL6WZHdyVKU+bSlyhimS/e9aPd2yCIiIiIiIiIiOjWHIAB2jDHWis8i2Vx + QExNZdtAd9uGmIiI6GGUUopDFCcnJ/v7+yLS931rLfpWRMZD13Vx9KK1Nk/KJSIiIiIiIiIiIqKH + 281HOV0BDFNb7O2fnJx0ZfG2t73tt37rX6eEWuFA7Dre/rZI9D6+3cNHi+TIGL7nmnuiVym2t7nv + dtd17j5NE4Cc83w+DnzgVNNbupljLobY5kBfF2h987tZAFeIYF2hioVh3OBk84NvfNMbToZBc0Ya + pmkpvWBaFKwnP9MWtsm32dIVaAIADZp8G2bu8CbbSVzqSA4FEmDANFkFDOmq6np/70slrw72/u77 + fx/m2DsAMiRhbdDclycbrE5jVk1aJFbCvNYEIteSrRV3xwW2XADQlLOjbtZ9fwloqBMu9bCGw/6v + fuZjGP1H//3v0NXQ9106ulKAYmlClT6NrR1q52NLcAcaYLCYbWiCJjjrmYexel2uBZ8nB+Cd5pNx + 9fp0gHXD0Zg1Q49T2RcADuxeF4HCbFu3I3DR+buHU6eIiIiIiIiIiIiIiIiIbsfda60pJRGJAo85 + 3Prg4GC1WgGotQJYr9fL5fJiR0tEdLO5E6u7mxl2HVojYzjirs1MVZkx/CCY2+bG10okjp/D88a3 + WzxXbBWbzWaxWMzbz1xCZmZ3KBKL+7t73Cc2NnePqkhVjUeIb8x7bhNMRERERERERERERERERER0 + XxlgInCHiDSIiSNjsA3EFCZegVZRAQDOgOQLF7UNzSvEPfnYRtMpSWsOAdwVKHNbF3oE3Fv9jLtH + AQx2pS8RDHFvY2BlFRERERERERHRGYi2udEzVxSSpqQukJt2B3FvHxERPaTMLI5S7O/vAxiGoe97 + Eem6LmZ9d10XxzNey2EMIiIiIiIiIiIiInpYlNIPw9CVxY//+I//+q//Rs5Q1dauy8COTOvYjXw7 + 0Rk5Mq2jdTKAnLMID67S/TcfxZimKaUU2dURaF1rjWajcwfSaEJKd+a7Qoht5PB8LXbXni6cEEF1 + 9BleMQ3YjD/yNW95E3K3aG0zZimdlJdt81Tqqo13G/N8DyKmOnrNGgQwgWKX2N0EUfYxL1oFtOvX + 4zQtl+vF4vPwd3z0oygJMOwdIOfV8XCwl9CAXmPZvWqfFwBQHSq7NXUj3d52dyrgQHIUIHdLtIZc + mqomaVpzNsiEkn/6d9+DyTAMP/KN3/gEFjjZLCQr6kHfHx0PTyAGJbKL1rZd9LYJ9CzbCItfS7aO + AhsFBJisZiSzcbHB27/523/qg+/DfpE8AdnlujB1gQIWW+E87O1LGJsmv0mIiIiIiIiIiIiIiIiI + riciKSVVjTDOKNiI6yPTGrso0OVyOUd+EhE9OEopER48DEM0aY3ZzfF5NU9/jjP8HLtw80uwXC4j + bhy7L5ozfd758SNO28wWi0VsFXFrzjmyz6dpusPjxFD7vh/HMb461+t113WttVJKVKOpaiwm682I + iIiIiIiIiIiIiIiIiOgBYXB3V1eITm6S1NWP1ivAxC05YKoOE7AvwwNAAairuBrMk1w9vgKxuWdG + vI5wAOJiTCJ/bInIcrkEsFqtIiritXToYk0VEREREREREdEZENNdX1yIoMvHJU1qu/bMp9v8OnfO + EhHRw0hVI8VhHMdSSt/3Meu71ppSivMx/bvrurh40UMmIiIiIiIiIiIiovvNFYCJAvDqgvSOd/zc + L//yPwFQKyJRNBofY1f1fudM67i/mUU/x2j1eLaLQI+3ONhhZqWU1lpKycwiTD063kaj0nEcU0ps + a/sq3OoN7oCcyqSW629K4mpSJ1w9/sE3f+NXXD1ewEYFBJNNyfH67nA1HmUg3RSKfX85UHfnE5Dh + DgAtAq2bbp86OcQjPFlGXbw4rsvTb/iMTX/zwx/A3hKLHilDCgBUHCyWcFgdtSRIgiMLUOMJxAya + ME2tlHQ6Djxht5x3WU6y3TplG5ktObkjJXX3HBPSSgdzYMJigWw/86mPo9b/9k98y6XV+pmTEx+G + A0AE5hB4BxgwASbSBADUzzDUWh2AmMM0FsKTQ2PzSahqJ7UllycvH/3Vb/6Tf/Fj78MwoutNNLat + FpHkArhCtjHcMVWPRTlEREREREREREREREREr4aImJmIRIGHu3ddN46jiPjuWCHjOYnoARRTmFtr + Mal5PgNgHMe+783M3SO9mMU/F+5089xaa9/3qnrWmdYhahFjS1DV1Wp1cHAwjyfn7O7TNKmqiNxu + SHH9MAw559aamR0cHESZWa3V3aMILe6sqrd8ECIiIiIiIiIiIiIiIiIionOmEDNXU0niXjWjtXr5 + yksukY2cxav4fJxbb90/hM6FOGCumtSKYWo6Xj76AlpVx/YFEhOHiRrgYi7G1+th5O6vJYUaQORB + iMjBwQGAYRj6vr/n/kgsqyIiIiIiIiIiuq/EornwblauQhV9ebnPU4pbd5nWYnqG/X6JiIjOVmst + 5uXOjSpKKXPfitPnsZvoS0RERERERERERESPtl/6pV/6O3/nFwGkJO5uhpLL1Gp0N/ZTeagppdu1 + fTQzVa21mlmUyK/X6+VyeS5LQI+jaCQaUzJitkZrTUSmaRKRiLiO9OvzaZ/6sBNAI0g43vF+KlVY + gFO51NeubhsM6+9705vftKnPQJLDHChIltvUjsajDrKBLxXTWc6iMkGDiCPBdTfCBrgAgrnUA64G + WSs2qftSWZzsPfMzH/x99BnLhC67pIoERwG8uhRBM+0zxFqbkhT4tqBkmjwVMYeW1LDLbz693m5Y + Ta9EgORwQXNMzUsWAepkfVGBwATu0IyEJoCmdHgIN0ztf37/+1Hr29/4pmcSxNs41iJI1xe0yNnX + t8xbTnxX6C7TugFDA7JrEbF66Hrl8pW3fd1b3vmJZ5EXqr0YIBCBAy6Q3apUwGECvRYTTkRERERE + RERERERERES3EqGbtdZSyjRNcdAcwDiOANzd3SPs8zW2UCQiOgullHEcu66Lyp+5EMjMuq4zMwAp + pWjbejpymC5EfOlEMWEUZUWhYJRpnZ2c8zRN7j7Pjo9hqGqULM61YbHN3EHXdeM41lpFJKU0TVNs + dSKiqvF1aWZz4+AzXS4iIiIiIiIiIiIiIiIiIqJXQ1XFoQ64qbhoa214+fjlptUFcFXL4g4HdtHJ + dIGkeZLUpGuwquOVky85TBziEIG7AQbPgJq6SVXnq/Y4it5c0zSVUty967qo9ry3R+M2RERERERE + RER0vwkAiCM7EgSascx/9p/9X2MC4CYwkbjDObT9fdz47V300IiIHjXzTNrTkdWqOk/njmm9FzM4 + IiIiIjpL8WeeqrrHKf/RTURERET0eIlOjtsOjyW7ppPjzaLf+9Vf/bWf/MmfApCS1ObNoKmMtd3y + Xw3RAnLekzy3iYydzK21uUmluy+XS/7Tg85ObHVz1Hqc3rzJuTt72t5ZxBLr6SBmB0QBhcAFo7UK + DHUywLANIUZrGCcMw1fVdmk4gbcGqAMTWqsmpoDBO2Cys80mdkhLaQOvIhMwAiioCZaQHEtHMuxZ + bu5Tv7e6dOlTh3t/5VOf/JlPPIfDQ+ztIfdAEqCgFTHApHOIIQNiDkUqVVEzqqIqtBMXEzGFKUxg + 1zKtY23KXWRaz78ljiTo8vYoXdfpqfzwSH5G0pJcAW2SUXrsH+Bg+VN/9Nz7cn1xv191aimZYAAm + wIGlaDJXcz3jT2KBKxxRcgMIUIGqgMIrsvtCpfmm+PSVTbFawyZBRTOMDYYGjMCAtpspZQlIDtj2 + 0e96fdIDqbXtnxbTNM1Xmll8esffD2bGvxyIiIiIiIiIiIiIiIjuVkRyxvmYmj1PDxSRSOu8uNER + Ed1JpCPnnOOTKnqzRnyyqsaZvu+xqxSiixXH9KNosJSiqmedaQ2g1hp9e+N552+6CLG+q0qDcRzj + jLvfUHIWDxKPHIs21zPcXJwW56P+gXUORERERERERERERERERPSA2x3qRUrKFpQPIRUTcVVx8aYC + h0PGT//R82s7mVKbYM01oxdP6mwsf8EUWHTdyWrd5UWtNunms5c/6e4lFSB6uTjEAHWoi7m4iV30 + qOmuzW+0lNLJyck9v+0iJEJ27nk8jLUmIiIiIiIiIrrvDIgGzBAAKugT+lwVAFzg2505CjiTrYmI + iIiIiIiIiIiIiIjoIRKdHA8ODnLqjo/X7v7UU0/9yq/8ytvf/vbW0Pdlvmdr7Q6V7tEh9HSPyL7v + 56zKnLOqRstInKrCJ6IH3Pa96jidQT21agA0GZBzmdoANwHEgXGDsf7Yt3zbwbjZBwAkUXVsqylk + O+mlAe2MPwbEAfPFYjG67+33krCeYAZxmCPnVKDHAJ585rnNyYt7i5/78Aex32O/YFFQMjRvM7wB + wCAGNajh2tSva3PABFBYAmQOtMb1mda4pwxm3y5I2kWMb6+7IdHZt6fNsa6GrmBRsN//H5/7zE98 + +AOfffLwDxfd51NaqXoqPZK1loG9ck7zj+Kljy3IBA6UhF7QTdCpjvDDZZevXP0fvv1PY3WCaQIa + squiWnNAJDkUclOM9fXbJD28UkrxV8HcDHqaJlVNKZnZ3JQ8Gl6fQ9trIiIiIiIiIiIiIiIiIiIi + evWiqjCKBlNK0zTNVYJnbQ6xnmsUz6HNegRpp5RiYeM0bppLKOP0+Pj4rAdDRERERERERERERERE + RESPJ3WIi0ZLivhxNHhL0ye/8MKg6/5SP3lrFXWw6P9z0UN+3K3XJ69//dPr9ToVDLL6yPPvL32/ + Wk26q3RwaUD0Kznd2IQePudQvvIqMdaaiIiIiIiIiOgM+KkfUeQOXaoKj4bEgAnzrImIiIiIiIiI + iIiIiIjo4TO1lkq5/PJVE6iqm7znPb/z9rf/xHo9lZI2w1Sbp1ResVK9tWZm7h5RlH3fD8Pg7rXW + cRzjDpFs/eAU3xPRne3imXWXqqxxrabcgIapYQKsTykJfFxjs0Kb3vbVb7p0+Uqb2hHQ52RuBgGg + jmzIgABVUUXsLJOtFVBrZbQCfPZ4MEFKcEcBBDhufqVfvLjsPuzDz7306Z/9yAfwxD56aQpX+DZC + WQF1qEPb7icuAkhAdoufZCZuMINFevOpzGuBAy53H8HsgNv8s6tPAWAOQAynXxYFBMmxLApr0AwT + 7O/jy55+xwvP/pVPP//84WHbe523kqAKZGCYznwaW6yJXZC5xDRHdfiEUrEADkQP+nwynTy56OUL + L//5N74FJ2vIUHUEbF9TZ5BqDZijjF0A3T00PRLMbBxHM+u6TkSGYSilzH9RqGprzd1LKZvNJud8 + 0eMlIiIiIiIiIiIiIiIiIiKia3LOkd8cGc9zqPM5PO9isZjPA6i13vE37tvzioiqAogKB1WNgO3W + 2jiOMRgz29/fP7eEbyIiIiIiIiIiIiIiIiIievwooC7mAnUIAEGV8T0fejee8pdOXvTeF4tFSWWa + JmW87YUysbJILx9dzgtZtavPvfSRta6gvlz0p3qRnO5UwnqDh96D0F+L73siIiIiIiIiorNg2PYs + RoOOSNBsgltMpRLg7nsRExERERERERERERERERFdCBEZx/HSpUu12nKx/5GPfOR7v/d7j47WpaS4 + Q865tRb3vEPFfERZu3trLWIp4845567romdl3E1Epmk6l4UjovtqVyThQPXvUJ2MAAAgAElEQVSq + 0AJJMAHQmsBh9c9+xVd8uWhZD08ddCXjpLYxooghyZF2j2SQpmdbXSHwDlimJMAbFp1VJMFSsWnY + lPJiLi8s0l967qPv/NRzOFiiK3CDuKPaqbleDgBquzRrh7Zr078M2MVOw+DYFpa4zhUmr20BDAqI + bX+2C2UCCMwBh/n2zG6oimoNOQEJ3V7D4mUp4/IJqP6t55//TM5Hy72XoRNEF6m95gHe2Q3B003Q + ZJturkBJsgcMZt6sVV9tTt6wWHxdt8AwwUYRBxrM1VG28yO3qeoNaKefgx5+qtp1napGk+u+7wG4 + e3S4Hsdx7nm9WCziDxIiIiIiIiIiIiIiIiIiIiJ6QER+M4C+78dxjEP8evb9sGutm81mDrTuug67 + fOuzft6ohBSRyLeOi3Gm67phGM56DERERERERERERERERERERAAAM4EBcKgBQE3jRz77gU+8/NG6 + v2llczIel1I24+gqbM9wocxzxV47ql9Kh+23fv83x26ztrW72645iQAQ0+3rxDzih9uDkGkNbkZE + RERERERERGfAgW334SZwQKGw7X6YZFBXF5jAuW+GiIiIiIiIiIiIiIiIiB4qXbeYptaaA/jYxz72 + fd/3/ZcvX01JxqmNUwNUNQOWs+JU1OvNWmvRkPHg4KDW6u5RYe/u6/V6bh8JYBzHUso5LR4RvQa+ + yxKeL8eJuxVJCZIAG0Y0hwHrEcfHbzSToyuv6xfjapwqtCTN6uICT0ACbBdLrGc/B0eAk2ktgA51 + AUwVmg/X+eDzewefOFy849PP4fUH2Mu+KOiW6PYAy2gJTW7/WRcDN5jHEyigGj8u6qJNtYk2Ud+F + W4tvf+6KAyMwCppEEnSs+ms1LLtljGmGBtiAOm3nGhpqSp4PsDQrOHw99vZ/9vlnf1fHzz6xf7nv + vzA2zbDXnr39SovggMAV7gIXqCMBSbBuXoECpOZP7+8vNWMcuy9+8Ue//hvQpoQmbnBHAwxpt7x+ + Q6w1PRJaa5vNBsDe3p67m9kwDNHxeRzH6Do9DMM0TQDieiIiIiIiIiIiIiIiIiIiInpAqOrpY/pm + 1nVda2de36GqqlprzTnv7e2N44hdgeKZSim5e9RD9n0vInOdZAyg7/vWWqyWc4j3JiIiIiIiIiIi + IiIiIiKix5WZWHRymJtR1DQdlcu//jv/RJ4ehm61Ho5qnUqfq00XPdrHWlO/Wo/G/gSX1u997ref + v/zssays+GStRcbNtvuHQSo8wxMjiR8BF55tzW2IiIiIiIiIiOh+c0DcBVWiOTASAEvJoQ7dtR72 + M+72S0RERERERERERERERER039Va9/b2pml68Y9e+q7v+i8+97nPATDzrusifDoaPkY3xju0Wcw5 + x/1Xq5XvyurNTESWy6WIrFar6FwpwmOrRA+NW86RERGFe6tWa8oFmwG1wfEjb37zV5WUm2GsveYF + tLqtqkkUVwAGMUiDKJD8POosOsUCmNwUnerBZ8f2Ylf+8h/8wd974QX0/ZAXm7w/Sg/JXn07s8sj + rfrasiuQdj+7rGq1Xey3Aw5tUIO27cUb1pdtF/3uZhzF5+2p+G85dXptJAAAj/NN4/8puzlGZMNC + tDomAIfdP3zxkz/50Q+8tOyG/f0NkuNsX4GIzY61F6U1BmlASlIEa6CkVN2vHB83q6jDU5qeMeB4 + wstHMPM6IQMOMSRHrPOtyFvnl8kjIaW0WCymaYo/D1S17/toMz33ue77vpQyDINf+Lw9IiIiIiIi + IiIiIiIiIiIiul5KSUTMLAoII+X6rEWedCRbn5yc5JzPJ0O6tdZaMzMAwzCklFQ1Uq4BxJmokyyl + sM6BiIiIiIiIiIiIiIiIiIjOVFOYAN6Jdy5oWodu9aHPvPc33/vrU7n69B9/wjC5urPVz4VysbyP + MR9/9uj5//tf/+pJOZZDYCFNzQWOXQSONIGLi3hWVhzQa8ZYayIiIiIiIiKi+80BR8P2RwCpQEUy + yFm3+CUiIiIiIiIiIiIiIiIiOkvT1Maxrk+Gt771rS+99FKtEIFAx7HWaiISjReHYQAQfSdvqdYa + /SjdvbU2jqOIRNfIaM54cHAgIsMwlFIirpKIHg43FUYIZBqHknLSjPWAVFD9O7/+LU+1qbu6ehra + Q6s1g4zN93qJAGaDVOiI5KLZvBjOdBqVAw1YGVJSQT5C+kLOn3/y0v/4wiew7JE6lANFn5AT1B1S + CjzDCpAd2oAGM1SgCqp4FTNxS44EVSiQI11ZoLLLWZ7Tr09lLtsuzdkgdschX0eAzrVzzVCBevyI + +vapFFD47kcUwJ6XHjrWqSmkT8jABqjIDi3l8rTGQY8n9/76x5/9oxGDLpuc4RQkE7jABLFyOoca + poQh4eXqi0U/ASetLVN+KpeDrhd480k365/4qm/G0KGa9WWt3squXscBIMMS4AJnrPWjwt2jsfUw + DLKbDZtSmqbJzMws/oows77vL3SkREREREREREREREREREREdKPIlsaubjDORK7zmWqtuXsUNPZ9 + X2s1s/NJtt7b24tYa1WdF3musYzc67insC04ERERERERERERERERERGdDVG4wAETAMm9GNAUJ3o8 + 7h3/03/5f77vD/7VldVLnmxqkySxu+l3QfeXix37yUsnL77rn//jo/bSSq5udKqpnUzeFC4ABK6K + ClR1VUuMJH7YRaOMi5UvegBERERERERERI+e62YK3bQPzxSynVTkcNk20j2zoThQgerI25F5/M8A + 3T71brzXXyIiIiIiIiIiIiIiIiKix5UY/JbTFXTR96vV6ru/+796//s/ktI209rcRFLUx0feZORb + R+PFW1LV09mTXddFm8icM4Bpmkop7t51nbvHlUT0IHMgJqUpMHdYdQEAa+Oi6601nSpyj/Uxmv+x + Wg+qpQaBmbeEJCl5a2ge8dUGOOCCSLnW3TX3QHbFG207xQ4A1Of6iO05B5ZL+fzadLn3BS1XDvd/ + /kMfQlEsF03Eodu7OaBoFenWs7p2Y4wPUtnWadyQqiy4fmHkhl8+fd2r46fOxGq/oWRlvsN2MRRm + mKayKA1obskVBTAgwYHF4tKI1mVD5z//qU/96Ju//vVDPZgs21xucu3hZPedcc+v0Q3ml8wEe4rV + ehCgAtJqBRxIol5UxuHLU/9T3/Jtb//Q76W+c5QKpFhAMcAUit2Weeb9j+lciIiqppTi743TN+Wc + I9w6ujzXWvn3AxERERERERERERERERER0QOltZZzjiP783H/Odf57KSUWmvDMOSch2EQEXc/h47A + qnpycgIg51xrjQDv1pqILBYLd49CiHPL2CYiIiIiIiIiIiIiIiIiosfQNqNaYYA6DFAxQF0sdajt + ZFroP/4Xv3z5G1bf8af/k9wVtLyoi9Lyrj+FApC5E9GtWxIBmBts8PTG0+tXk/nujIkB7mIW8TFi + DtS8/sCnf++f/qt3fWn63BX54nK/e3kzJm17B1qrQWACB+Cqt38t6CHygGTIsz0HEREREREREdF9 + FS2JBQIkIMXe1hQ9fzOiF7J7suifK/BdO+GzoW6wCT6NpqLaObyady6Y2zMrfLv70qDAjZ2UiYiI + bidmC6vqNE0xhThSRuLWuUv46SuJiIiI6BEwZ84JEPkxwl0JREREREQPtzmKNA5iBk9ZxnEspQzD + sFzsT9MEqGpqzf7r/+atv/fef9N1eRyrqjYzEXHfdpaMvcfuXmsFEP0fb3jK6BEZ91HVSLBW1ejS + CCB2LAv/vUHn6PS2OvctjXai4NZ4d+LzRB1oAGAlKWCqBZpQKxw//rVf/TXTsJhsd28D4K31sv0d + 356YzufuPi95DqtWSAEcaHATmCBL8oo+L1d1lYB+N+6TUdYHy8/15eee/RhywnIJVWhKgMMaYFAX + TEDK1z2RAtuZeKefO66W25Rh3OpauS6K+m7mj81T2eTakOSGO9xwURV9H/UtIooUedGAIAE9VAGk + HnsdCn76hU/82Ju+pq+5Ha2WQBMIUB1FkjgULcMB1FPTpe7q9dpOfXTsuhQ7gBybkseLCABttxzm + nlUzoJury97hDZthryvjdhEMaHo6av1uBkMPPjO7+a+L+LiOLs+qys9tIiIiIiIiIiIiIiKiVyNK + NW55Op+Z78zQTSJ6MJmZmeWc54+pONNai8PHpz/fLnqwj7uYex5fLlExeA7Z0iG2gYiUjiedN4zF + YrHZbACUUqZpipzp+/Kk8+NEVcMc4B0DEJFxHFX1hmTrmJt/upjtvgyGiIiIiIiIiIiIiIiIiOje + xDFNEbjP/SdvkdVLDzJ3h0EF4vA0mAMwcegEg226YTz44q89/8u/9dI/+/Kv+sqvff3X/YlnvvV1 + +sxisadI1kRdkxS4wgTQhCQicVg7Dv2rCqI7hPD0FqfV3AWAQwwwFzMx18nVLI2WbMK4Orn6h3/0 + yeee/9gnv/CJF0/+cCwnrR8tTW1j+4JkcDN1qHsTNECRgSQOQeO78eGiImamKZlZfJ7WWqG3Crg+ + x5eWsdZERERERERERPeVAL4NhwYgMGAbdG0SzZZhguS2O7+79myoG9qA1vqsEzANKJ0aqsHyXbU/ + JiIiup6ZicgcZR3J1pEysl6vl8tlztndp2nquo7tKoiIiIiIiIiIiIgeKg5gmsaUNKV0cHBwcrxJ + KQHS3H/oh37kd3/3vQDG8VrW7x06S7p73/fDMESUdexSntMoo0ll7HMG2y/SRWitpZREJFqIxmy6 + uVfp3M12GIa+7y96sA8HAQDza5NlbBiHkrJqhgC1/Wdf9mXfsb/oNmO6Nn1m+/+bKih8PsJ0D7UV + HgHPDmAOS4YA0tDQinZH9TgjqxjcVDGIflH081338x/5MJYdFkuT5JAEACZAupaSvB2SnHrY0zfd + cO1dutfDanKHS3e6/7Uo7lPXpBhJxF0nQO2vfezD3//6P/ZNpbdpSBkGpAlp18T+hrlR9/B6zRHm + s3TTo1y7QnzYDHuqi4QvXTn67//kv/PXP/BheCvLFK91usWrQ0REREREREREREREREQ3EpE4bh4X + 3T0KPADs7+8fHx/H9SmlmEh4YQMlIrq9KEvbbDaLxSKKfFR1HMeu69y91jpXqc0fd3RRWms55ygp + jJKtvu/HcTzrcOv4alPViD+vtUZ5WNSGbTabKCGL+fIRQX3WYms8PDxcrVaRbA2g1ppSii9cEYnt + ed6GiYiIiIiIiIiIiIiIiIiI7sm2i4VsD86by7bDhIq4W5VBFnWTT65Mlz/7yRc++qnf/42Xf+2J + /OTh/hOHe4cHyycO9y7tLQ5zKiV1qrloSSnnnOfj3WYGuPC/W/8HA8zQ2jRN01jH2jbVpurTF66+ + dLT+0uXV5avrLw02uFTTVtM47q2bbkyjsYkmV3FTAAYRVIkWH+lUU5ab85DpYWLnmV99G6xNISIi + IiIiIiJ6lBUDJmBTm53kS3vIsG3QdvTsVWDbvleuRXETERG9MlWNmbpd143jGJN4I746WlTMmdac + K0tERERERERERET0YLt5WoIASClP06TiRydHly49eXx8vFwu3v6X/vK73vVrDsRuYdwx0HoWDSij + L2T8Vq211mpmXddFc+TW2rznmeg8RXvQ1pq7zyHWAOIAR5y21phpfRdcARUxhcXazN2yNYcYbMT6 + +OuWBzKtz/rdbrKNnS4A4BsBgM6RY25W310ZxpQ0q7TJJuDYMD1x6XNiv/Cxj2LRo3Tw5E3SqXhk + cWTfxj/741ZfkRT7y//lpRf/0b/3Z658/NlspbZNUZi1DDgwIDuQUQE4YHJzSPn9pA4H3H1yd2Bx + PGCoSKP4UiVuh8CiF3UM5PF6vYiIiIiIiIiIiIiIiIjuxny4fD5onlI6Pj6O4+aqGpGxnCpIRA+g + WmtkAC8WCwB930e+ddd1AEQk57xer0sp/AR7EKSUlsvler3GLmh8GIZzeN6oAYu887i42WwARLR2 + RFxHBWOtNebOn/WQYjzDMJRS3D0m6c9b6Wq12t/f7/t+mqbYwomIiIiIiIiIiIiIiIiIiO6L070X + zNwMJpY1l5JVW2vtqr9cnpAj/xzMcZTyKqsXdTGDuAJQRC+H6DtkUX7mAnF1MZ7ecHptrbsCEHNT + A+DihmapucIXzaQZWvVqZp0q6DHg7vMb8lU08TpbrKwiIiIiIiIiInqUZbP//T/+T7/r3b+d9jtv + cIdmVKijJd9mWu9aLZuAOyiJiOjVaq3FpNyYl1trjY4VEW6NXfwDgJzzOI4x/ZuIiIiIiIiIiIiI + HhYi0nXdNE6XLl0ahiHn/M53vvMf/INfikzrWiuAlFLsE45c6js8mrvHfWLXsaqqKoC55WJEC7MD + I52z6AcqIrExx2bp7nO720hkj603tlK6s23ZgQMCQUyakal6KQltRJ1++Fu/9cvG8WRYH5Y8TfVM + B+NIgBkAeFMAsCYJaPA2jMuMoVpt5kAri3F/7zmb/tZzH8eiQ9e7pk3zRRFr0HjlbbdoblCVxy0k + OSV4xnL/O//5//v3/8x/dPmFTxz0MgzrgwJMMKAqHApP4gY5j8lSfS4NrTZ/Zn/vC+vxB97yDX/j + U5/ENErpXAAooHCDGKAGKJOtiYiIiIiIiIiIiIiIiG4SFR2+a4jo7lEBElmbMW0wronw0YscKxHR + rUQxWxSkDcOwWCz6vr8hKTmlFHHF0zQx3PpinZycbDabeEVaa/F1E1WIZyoqHmNTOV0AGQOIiOv4 + pkspnUOmdWyHMYy+70VkrmSLXPaDg4Naa87ZL7xpMRERERERERERERERERERPbpUJVqEmFe3Btec + VTtsfDCBN8CnvI0wkQiwVgcAEYk2HHFU29nN4Y7EogeLiEhCEhFAXcXdDa2hNTcDXKGKLGLVlPUC + dL5YU0VERERERERE9CjLhv31gLFBRznIkrZ7dW23I9IBAxQWV8OVTXyJiOjVEJFpmnAqvERVY1I3 + gJi1G0HXEYB9saMlIiIiIiIiIiIiotuSW3eEdBNVHdu00KRZfvEXf/EX3vk33SGC0wnW7j73L76l + uf1iay0aht7QfjFuzTmP48j9yXTOItA6zkemdYRbl1LmuOv51jn3mm5J5kzraxwGQLWoO2Szwerk + dePmcNosUreexrOd0OICEUdqaAp0DQAaUAXR63VR8TrBylEXy89r+iza3/7UC+gKSodcNlW0SANS + Amw3kU53izrP/np8SixcoT1Kw9P9n/sXv/H3/4P/8OqzH1wWtN36cGkGrd6LWMbmHN4qx3XqgQa0 + k5NLqd+oYtygA7yzmBXp21fKBJyvR0RERERERERERERERHRLEeFZay2lACilTNMUMZ/uHhfjnu7O + g+ZE9GCaK3z6vk8pRQxwSilq0ty9tRafcsy0vnBPPvlkvCLYzUw3s67rziFJ+vDwcLVamVmtNSrB + zGwOQZ8DrefN6UzFfPx43mEYYlXEprtcLqdpKqXE5tp1XVw8h1EREREREREREREREREREdGjTW7q + vOBw1W2/EBMzs2TSRvUEB8QFgDU3KADxJOIWmdbqgIiIiG9bOziry25DmmSYQ9wt2i453IG2XYNZ + JGs2MXe36s28qEZqOD3yonnXRY8CuEXXICIiIiIiIiIieoQkt73JMFUkQWsQNOB4fZzl2kwq33Zg + dsBu17OeiIjoBjE/ds607vu+tRbnu66L89GlIqW02WwueLhEREREREREREREdFdc3X2a2uHh4fFq + /a53vetnf/Ydu+hqUdWcc855bi55h0eqtc57jOdM69jJvFwuRWS1WkUjyAekwp4eK2aGXZPQ6Aoa + 1ut19C0FMAzDNE3RQfWixvmwkDnlWQBYZB0DUYxgmPBDf+pP5asv91Z7oJxjJLQAGRCgKqoCikXC + AhgdOaeXIZ/O8rdf+ARUsVgg9ZvqmmWYYMC17UINABQQvS7Z+jEhgKTjik1X8PSTf+43/5/Pi65K + d2VCEwBIDnVror6djnjmw3lmsXQgK9SxNHsd7G3f+BacnIBvVSIiIiIiIiIiIiIiIqK7FPHV7n58 + fBxzA919GIZxHMdxjIhrHjQnogfTOI5zHjCA1lpUtZnZMAxzGDCL0x4QUam1XC4BmJm7l1LOIdMa + wNHRUTQCNrNSylwbBiCl1FqLYZzPYIKIxOYa22dEbo/jWEqJ6+MrmJnWRERERERERERERERERET0 + Wugd+z+YbWvDcs5dn3NWAOo5e87SFe2z9kly1lJKKalLKUUfIXdvZrX6NLmZmzcz4+ktTg1TQ3M0 + hwuQoFlS1tKl6LnUWqtjtcnEJEvqUrrTC0aPHHc/3fXoouSLHgAREREREREREZ2hZDjsCtYnePIQ + RTataU57i/0ERcwd5m5JIiK6J7VWEam1llJqrcMwdF03z9eNI4vTNJVSNpvNYrG46PESERERERER + ERER0R346XhZg0Jghpy7Otm73/3bP/qjPzZNDiBnnaq11ubE3xBdHW/36DGDou/71WoVmdYAaq0p + JRE5ODgAMAxD3/e11pxZ4k7nJ7bGnHN0LPWd5XI5jmPXde6ec04pmRk3zlcWc2RkPi8QBTQp8P+z + d/+xsq1nfdi/z/O+71ozs/c595dxHEogdjA2GHAKCoiqVds/KgWpkfJn/2loXVOKjCAlMlBSiahN + CjEVAooq0aQJVdI/+C+toiRINRSqlrbUBhsbG/C1udhgY98f596z955Za73v8/SPZ2btOeeee+85 + 55796+zvR0tz154ze807a9aeve9az/t8j8f/8Gvf/g02PdElWdtJG2+kctKmMxyMuIkntwLobkQ1 + wcXThGpwwICT1D+f8y8+9zmslshlbJ4VfU4N6As0Yq1l+7ociBEXgeAcc7kvAQeOButXXXMAhidW + f+eP/+jvfue3r/wFG2pyZFMBLG1MXBsUsDPO/n55s85Ac13mVOtUBr9hA2pFbZpVPH65KYS99YmI + iIiIiIiIiIiIiIheU1wQj4DPuFAOICYGxszBiNKMe+L2oodMRHSHmN081/8sFovNZpNzjvq0mPIc + K0y2vgyiCe96vQagqu4+TWdZQbQnfpHNY2itpV0b6LhHRGJI8yPPVN/3wzBE7aWqttZyznNZZvyC + VlUet0RERERERERERERERERE9Ai4iOvuiztaBplZa15rE2kicIc7ErK4AzUuW28vZ6s2M5G4yO4i + EIEoTHCaySu8vfvWRQxugAjcpAFmqkB1B1wh2/IAdXc3M/dtPxyi88RjjoiIiIiIiIjosSZeN2t0 + BXUwVM3JgSwKczjgkLtbCLOZLxER3Zecc8yPjXm5qjrP6J4n0Mas3cVi8TpZJkRERERERERERER0 + kV4d9rmdgqI5dQA+8pGP/uAP/uBmU0WQktS6TfaN2SaqGueE9yOuX6211lq7fft2znnOw845i8jc + JnLODz6DF0n0elpr0dO21ioi0au0tdZ13TAMcU+t9a4od7o3n2/q9h5VCFAr6vQXNT2xHjBOmtEB + tU1nPaEloQlccTq1TtzFoQoVTJAjSZ/v8t//7GfQd6ZaJaVcVDFuWgKyIQEigBgUDjRoTMMxwK9Z + w1gD+oVOhiLwUtAv8dST/+VHP/qlrr9duiopAR1a8Vq8nc++6QABkkirkwId6ltFf+id70IdpUWm + 9bmMg4iIiIiIiIiIiIiIiOgqi8jMyNGMTOvWWmRXR0zs/j1z/CcR0eUxTVPXddHed5qmcRwB1Fqx + l148jmPf9+7ufnd/DTpn86+SUkqUY6WUzie5eRzHWut+bvSuGbSLSNSPxZfnkGktIsMwAIg5+PNs + /dgVMZK5RTWPWyIiIiIiIiIiIiIiIiIiOiPT1Nw9Z815e/U+JSlFs0Lh4qaQJKqqSVREssY19u2F + bHeYAQ1iEIO48/bVtzAXJJEiKJAEKdDkkqAKVc+ASpU2mVW4J0gBI2Po/DHWmoiIiIiIiIjoMZeK + Qgx9MRGb2/a6wLbNpGOCV3x1FSczzVOw2EOciOj8xVzZmLWL3Wfy/gdy9K1guwoiIiKix1XUlF30 + KIiIiIiI6E3JOY/jaGYppan5ZK3vl3UyEfnUpz79/d//gZOT0QHRZC6+6/gZzGw+UQwg2hzPm42V + +L8Gd49zxfvNFgFE12PZOafXTLRn7ou6f9DG4dr3fdwT/7R/6NI9uQFAs2FbgGCoAqBh2Pxn7/7G + Pze1bOMiZUk6nX3isDqyoXNsgBEoi9UIHCRNE8ywBk76w5eeeObv/tGzWBWUhaJkl7im1S+SRKa1 + Q8UqMMImKIACFACYay6ul6LIDoEiKRZLPPXUh5577svLgyNNnaYMv2HoLSLA5Xz2j5m5AAIYFsPw + ltYwDhg2AJoDsv091RpLSoiIiIiIiIiIiIiIiIjuYb4UPq/MkwEj5Xr/Hl43p/u3u1bb4vg5n0mm + 8yE6z3JlPdJ1EBVoUcAWScnz+74/3znirnlInL95BnqsxIcDdkHO7t5ae4SxzfEW3/VGR1g1XvVZ + JCJzkjT26sfcPT5P4vGx/mgPnnu+5Ng5IpJzVtVaq4i01njcEhEREREREREREREREdEFEpHdlV8A + UNVHeJGXzo84YLvlVJTbxIV1UYjC4eZmVl0M6obWvJqYizU0g5vARE3U9rfoXF57Adzd3dt275mL + mZqrWapN2qTNFJ7QEqqiAcZKgceau4tI3Eb5ymazufDyEJaHEhERERERERE95kwABZK17XliB+y0 + ufJ2RbE97atX5VLAfNFCRMZxjC/ltV3oYImIiIiIiIiIiIiIiIguJddaa9d1KSUzK6UslwevvHy0 + Wq3+5E/+9Hu+53teeOGluNTWWjOzOeX3nsys1ppSKqXUWg8PDwG4+2azwa4BJRscEz3GRGENSRUw + tAbVahWtYjO8dbORkxfflpcnYz0a7LAkv2uu2yMfDACgZKw6bcBXNieHXT8N1ik8p5eTfn5RfvzT + n8RyiYND5LydbLcdlMEBt0jqNmybHAtUHGJIDj37ZO5LRYG0i/RGxLzTul8AACAASURBVEgnRbfE + 8vCnPvOZF26sjq1l4KDAgAogp/OZJhf54iZQR2c4mMb3fd07UBusqsLN4vdOTvztQ0RERERERERE + RERERER0fqIYKecc1UT76cJnJ54RQMTBAhiG4Ryel4heLX4GAajqNE3RgfccEu6jtUhKKVZyzg/X + Tj1y0IOZ7adfnzURib03f6DNWeBERERERERERERERERERETnROZlG11tcnrrAhe4aCwXPdbLTh0C + JLfkpm4psq1fFTHOKOvr7OHqWx4t/iQTERERERERET3OTNBEo5tynIsSRJT1HacpFVDI1TpZNM+8 + cveu62qt5zONjYiIiIiIiIiIiIiIiOhxYmaqqU4G19b85ORksVh88Ytf+p7/6H23Xr4tquZYLBYA + oDKMr9fptes6AK21aZr6vj86OortRxh2Smm+nHcZKumJ6Cy478KeNfs49QpY/cDXf/3T682TuXul + rm+kcqPkl6Y2AOfwQXBUsR6tlO5J7YdxTBBLnS1Wt1aH/9Vzf4CnD1AOYHljVgW+m00HN8CgBjWH + AarQBE0APFKUIXYuL+DSEFjyKl4h8DkB3IHS4+bqv37299bL3ID1hCWQE5rX8xmYC1y2NTHJsWjt + a1LCsEGbvA0iatUVWG/W5zMeIiIiIiIiIiIiIiIiIiKKONjIsk0ptdbcPfJZz5qZRZR1PG/f99M0 + ncPzEtFd4kd+vV6bWSkFwDRN55BwH+HTZubuIuLuwzDMGdv3L6Xk7tHMRFXNzMzOJ9k6pRR7LMos + RYR9VIiIiIiIiIiIiIiIiIiI6E0Sv98YkrmRRqRax5piP+ga4ra/7D+eyz2XbLa/pO2C3JBbdDdB + Mo1FXNWvUmoMvUmXpBMXjzkiIiIiIiIiosecA4DCVSAa54PmgOvd+WDZnRC+QueLcs7DMMzz1kop + m81mzromIiIiIiIiIiIiIiIiovtRSsk511qjwP1gdeP27aP3v//9zz77uVrNzFar1Wazwa7R5OuI + jrTxsGEYoilk/FP0hYzLedM0nU97RyI6b4JUYO5uwDhKSjJOWK+/GrIcTjZ1XIh4q9NUuyRVYGf8 + SZAVqx4GnEzjYHWEW9c/X+uz0/STz34Gy66VHoo6QVUn2BT1FG5wQA2AAw2qwGmm9bXluxzrmcCB + JhhLh4Ml3vH24eBgDawBzbo++/KNGNHpcBwAivnN9fo/f/c3YBrUHYaUM9wPFkv+4iEiIiIiIiIi + IiIiIiIiOh9d17XWaq2llNZa13WllIeIlX0IZtb3PYDWWqTSRjosEZ0zM6u1LpdLVQUwjuP5/DC2 + 1iKFuuu6+LLv+4d46mEYAEzTNI6ju69WK5xLE+H9BOsos4yREBERERERERERERERERERPTyxO9sz + vAEXAPDo6iDbxbG3SCwaC6B3P4DLnbsLuwwYmW9PY8N375Jvb+U69zahi/MGncWIiIiIiIiIiOhq + cxFXWIJrzF5SAGIQQBWCFucrXQFLon73CczLK+aPxfrJyclqtVosFhc7JCIiIiIiIiIiIiIiIqIr + Z7MeU0pPP/2W4+NjNzz//PMf+MAPfOITn04pRVfZk5MTCDSlOk0Qwet2ZozvikDr6E4bKdc552EY + +r43s1KKmUW3SiJ6rEh0he4ghlLQGjbD93/jN7/j6GgpSILJ3AAFmqbmVc9yJpUDJwYZUDLUAIWj + HCMNT7/lp//wEzhcQpfiag25w+BNRQxo0IxdeLNiAgAtMfvLt68RArhBsJs1dk0oROGAVVFUyQYk + QGMvpP4HfvV//4F3vPM9ZfFEm46n1mX4WSZb72daiyOOJQPUcWDTjY1hGJAzWsPqAOaGmhI7lRMR + ERERERERERERERERnYcoH0opmRmAcRzP7akXi8U0TaWUqFmK4qWIhiWi86SqqtpaA5BS6rpuHMco + LDzr5414+0iDjnh7M9uPi74ffd+7u6q6u4icnJwsFovNZnM2oz4Ve0xE5iLMua0KERERERERERER + ERERERHRmxQ9Muw17ju9ou/bBBPf5ZjYrvom7hHXuD++3wWAGSt0XoOgyhwKM3NguwvnXW++e4xB + z7QlC104ETF3AFGaArx+T6/zcK166BARERERERERXUfqCleYJGgCNE4VKyLTus0nKl3hVybTGkCc + X4u5ZKvVqrV2njNaiYiIiIiIiIiIiIiIiB4PXdellF588UURyTn/+I//7d/8zd/quhztEcdxLKXk + Uqw14PXq36MDbGvNd2KDZhbX8thdkehxZ44pJXFg2DgcaBWvHL+ttps5VfdmcGAByUhjtWZnW6Rg + ggYcHCzXFW7QLq0LviDTT/zex6FAWbikOrpmjFPtRAQGwHYxzRCdI5sBwHcLADGoQQxir/Hkjy+Z + Z8VhFyRtcIcrnnjmFz7z2VcOn3jBcGRQOfMSlHhDkntyAAkQAMmRa31G5Qfe9Y3YTCg9HKJ40N7E + RERERERERERERERERET00EREVbHLtY0vz+e67WazKaVEim2sM9Oa6EJEqr2ZxafBNE1d153Dz2PE + Zg/DsFgscs6ttYd+0v0GJn3fbzabcxh/zhmAu5dS1ut1fHJGMScREREREREREREREREREdH5UomU + kz3ikLn5kJhuM7KvX/ONB+ECl9O2JdtF4K8qQ4h9Kw5mWl83fuGh1kC+6AEQEREREREREdEZUkcy + gSlMT0/ywiFosLp/TtJOuzFfiXRrVZ2mqe97M6u1llJSSjnnWutFD42IiIiIiIiIiIiIiIjoyhjH + ulwuDw76cRx/9L/4kV/5lf9NBONYo5ukmU3TBEG/WAybDWSX6voqtda4YDcMQ7SkjHDr2E5Kqdaa + c1bV4+Pjg4ODc3yJRHRO3CZRGSbt+wQHavvb3/ZXnnjhpYbWJagBjgkONHctuUObznI0slreuHX8 + yjO5bOp0NI4vL/RDn38OBz3K4TBqSeiKQNAVgVuGmWQDXCCq8VlXJHKbkeZPPzeIDWgOLcB1jEpW + dWhCFTQABnXXLD3ccPjEj/72xz/0bd/S3/6ynv2sQxOob9+CBnGkhAZ4BhZeDzcj1hNWmMyyV8kZ + uBr1MEREREREREREREREREREV52qRp9NEZnriM7heSMOdn7exWIRBUvn8NREdJf4MSylABjHses6 + 7LKuz9RcsrjZbCITGsC8cv/MLMaMvXDuc/goi34pOedpmp566qn1er3ZbJbL5Vk/LxERERERERER + ERERERERPebk9JJ9BJLsX8K/K19ZALXtQ0wAQP2udg3b79aIaJbtw+jeDP6qyGC54x0wYBsbEzvy + 4iOO6fphmR0RERERERER0RnYnlqNs4EGAOJwgSeIQWx7KtBV/Y7TuGdFDIJti/lYBxSa9sOsr1Sm + NYB5DpuqqqqIYDdHi4iIiIiIiIiIiIiIiIju02KxOjpZr/rlf/NTf/+f/bP/VQRmEIGZ5ZzNTEQ0 + p2GzecNNqWpkWotIdKKMq3ittZRStHR0d2ZaE11F+1OeXqusQLUzSC6QBrSK46Mbx8dvUZ1aaw44 + Vrmc1EkAhauZPOA8qvl54/v2Z7WpQ7ZVEXDABCY4Xh8lKEw2wHHpXlj0WC2hGZq7BADj5J2KWVVN + 6llk9xSxJYcAKthmXcvpIPy0xuLa2AV7u2xLTXRXEJM1tWapVyhw8+BPc/6q0ndt7EbffZPEw7c7 + b34HY2+K65uYUffqmpss2JzUp/rywb/yHT/9ux8rTz4B72D2ACHkvnvJRERERERERERERERERET0 + UMws6oXcPRJh3V3kzC/EmllEWcdz5ZyZbE10IUSktSYiIhKNQWL9HJKhIzw7pdRaWywW2FUwPtBG + Ise6tRYrfd8PwxDbPIMh3y0apwzDICLL5fIhxk9ERERERERERERERERERI+3/avvr9X/YteawwDA + Tx9lu+Rkf1Utz9wGZP6X02+71wX/7eMdabe1ezYSefUTXS8efUvmfbn3jmxzau6IGncAMNPdfvPT + PJltKxQHZNeoQ+w8wm7ojD1oB56zcP066RARERERERERnSmfz+eZwHx7nnACKrxvroA7XBRm7g7R + tH8a95EzwagGNSgqMMg0SYsOwdKQKzpDdrigKVzNr85px5j9FTiVlIjoAsU82JjhH7fxET3PKz6H + CcZEREREdP7OoZ8UERERERE9ciKyjalWNTN3L6Wv5pD8i//wf/xH//ifNINDIWIO7Hojunub6vZK + qAFAdJkE0Pd9rMQ90zTNzRNLKfP54bhz7k15fi+Y6D5Ep9E4XKOlaazXWudjOO6Pn4jrZVcCET/9 + BhjMYA7z3R1++ih1ZEcSANMGw9EPfes39cMrC5s6ifkz6ahKlTypZPHkVe85a+01CKAQhSgggAkc + qCJVxCGAJGjCdhaXCZp6U8vZj2xEfvIL5eYvfOYLKDetO4itTQCKO0xTgilcxaHYS7YWRFb29s64 + BwpoQSpIet2m5MQOcSjQkBsKUBQZjlQw+IhSsdKf/eTHvrJ45kWsquOg1xNAdKHoGwRAgaR4ByEm + WlVNxB7qN0NyQNAEc7fgKHlxx2GXDsfavXwLuWE6hjtUo4Zn/+jdHcOx7Owd03Q5Rdd7v5f9h/FP + DiIiIiIiIiIiIiIiovvUWpsvlMc9r774Mk0TOFWQHsT+zNOYc3o+l/BUNToPzL0I2IjgsRfFP/uF + PfuHnKrGClOBz19KaX/6Oc7r90g8XYRqbzYbPOy7H2HSkcb9yiuvlFLOJ9N63m8ppTiY95urEBER + ERERERERERERERGds/1LvSJQVV7EvAwsolCgEXSy7bsBuMBl1yJoGzsS76Bil5vi8e26fWQ0DAFU + XHW3zE0X7ujOIHffbrew684RDToUUL9jkd3mtt+LOxs7PPYLAK0i426pItW1ulYXm5em2lSralPd + vjsyh4Ubtu9CcnTwDp5M1ASCpmiCKxMxQwAg0syiPiSrAhjH8d4frLIXMn/G+MlORERERERERPRI + zad1HNidYwUqYE0y8qoBKWFqriqac23j2Y/J5v843GAtzg776fgMaICdnhYmIiJ6Y/O0XgDuPieU + xERfEXH3eMx1jHkgIiIiIiIiIiIiumRqrSmlUsrt27dzziJSSjlan7Tmv/Zrv/6TP/Wh6IEYOZGv + M4OolDJNU/R7HYZhsVhg17z48PBws9mYWa01IrTP7dURPTRVjSsaIhItQaOb7Wq1KqWISM7ZzFpr + bHO8n/Mrr1rZPqJhvVlDG9brtw7TTZHRgZiEhgSoQQ1IjuRvauKM3tHkVmU3OyYmvwmQHDeX+bi6 + Lp566WD58599Fqkglan5blLdXCOhgMZoToe0N7HnrqBrQBM0Qa9dZK7cNd9JNXaC2TiOolpFWlvj + YPmzn/r94eCJATga7JmDm2vbGGwhqyJlgOMRzWVSRFw6fDvBzgEYMALD0JbuqzphmuCYZ1vidWds + sQE/ERERERERERERERERXU9xrdzdc87uHhMGRWQcRwBRSVJKwXnFEhMRPZCU0maziao2M0spzROf + u66LGrZSSpTPcb4z3T93j1TsaZq6rpum6Rx+D0atWtRwxortuhgTERERERERERERERERERHti8Bj + k23jhX22i0OO2BET261sHyC+/a6720hsv8W2sdl3Xa/2u29P862xC66e79xb5iDt/WGbANBrchs9 + UvZvt3ve5/dCt++Iqfgd/S9k9y2793T3jrvuQq9BV5q4A/CLfh8Za01ERERERERE9KjtnXxtQAOi + A/Ja5ba10SAJzTGaA65IZz2cFGceTQs0QxQKSItB6un5oVjlZCYiIrpPrTV3j5iHSHGITOtIOolJ + s2F+ABERERERERERERFdoK7r1ut1a+1tb3vber2utZrZcrn88Ic//IEPfCC6ecZJ3eiH+FrbmaYp + unzGud9IsAYgIrdu3QKQUso5RxtQJlvTJRfHfBzDkWatqnEMz31Io3N3SskvfP7HRdlLd5b90gJX + uML35koZkuJgUbBe/8g73/PUSbPqucOQ0AQJVmDJLT3UjnScxlADSEByxAjEt//iQBO4IBtKw9Fx + 7ZaLz+bxxz75W1gqltlr7XVbJ5GBAmzzsPV1447pTrI/NdEB0ZySowFIqwNMIzp53qoeHGyAF49f + WZTe0QY/HqVJkjZnibslN/G7QsofRhwesZk+oc/SvD5Zlt/79nfhpAI2uiHebr97kb3qGcfeUc7j + gYiIiIiIiIiIiIiIiK6BKO2I8o+YLRgiDjZiNeNy+TRNFz1YIqJ72Gw2i8UCQCnFzFprXdfFP43j + 2Pe9u0/TlHOOKqALHSxdJTnnOLRUtdYa0+rP+knjiRaLRWRaRz1bJLUTERERERERERERERERERHt + UfH7T6F112q7RgrJkQzJkJvmFusmMIi1ZC2hpQftt6DmXcOioWvoquRJdUoYMqaEKaFmWIILXCDY + DsMFJnYdbgHAs7ZOrNPWiWXdLWm35IZiyA3FLRmSZTEVgxjUVFzVoxlGE1RIVZirwdWRHImRxPTm + 8RgiIiIiIiIiInrEfNvZ1gA41KP7reSjhR4tUne4XK+REnLWTR01J8gZdnLXaP5rgEMcCZBtV2Hd + D7JW4IHPDxMR0fWWUgKQc45skpiR23WdmXVdN01TpD6ISOSgXPR4iYiIiIiIiIiIiK47M+v73syO + jo5KKaWUk5OT3/iN3/jgBz8YmdallDi1a2allNfZVPQprrXGrapi1+Y4+n4OwxArqqxXp0vN3ePQ + NbPoBBrNbefDO659jOMIIFKur5WYEhbdWBX7VQa7AOF5fe9LGTeA3DgeblYvwCsjmqAJDC6wDE/u + 2Ashvn8Np4MRIAHqkF24dQzF96ogDlJ/G+Wlp2/gRkY2JDkduRvcJEbuygzj+7F9nz2KTvbmI7Wm + WsQV8W4crNDpf/8Hn3rRJS2X8cCKyeCe/Kh5EwAQeGSTpzfX8DeOAcj2rQewbnB4hoy3X/m6tEJ1 + WFPVbQj3vV7Y/vu/PSh4PBAREREREREREREREdH1EKUdUeaxf1lcRI6Pj+OeeEwpZRiGCxomEdFr + WiwWEfprZjnnlFKU+sR6fHDFVOi5UojoDUUVWRwzKaWcs5mdQ/1YSimltNlsItA6kq1jUj8RERER + EREREREREREREdGrqb9xewSNTgtyui7bxSARaH364P1OI/fPd/0/TCOvGhYZKQKTu9uSnJLrcmtQ + 7GJrtl1S9ihMdyuAKaCuunuMuKqrxHsnUDSRBjTATOBQ226Zrh5/cx1XHi0eQ0REREREREREj5Lf + 2Xl528vXEzT9e7/yL15Z5BeP18tlckF1W3R9reM5jSySrU2TK6AGVEHT7TljASQ6NV+iM1dERHSp + uXtrbb1en5ycuPs0Te5++/bt+RbANE0xV5axJURERERERERERETnRwxyz+ab6i7ustmMgJrhc597 + 7m/+0A8fH6/dXVVrrWYWMdURXH1Pqioicfo3OidG90bsFcr3fV9rjW6hRJdZSsnd53T2ruvmTPfo + bBs/C13XjeMYHW+vj6h/iCVso3/9NRagCWAVx5vv/Uvf8Of7PmPMwOEi2qpKgwGtg8Uubg/Y5dUE + LqclGTEYvSOQ2PcLNhpkSMuvqP7C73wEN3vv+gZIXLSK75lfm7zmDDiaOWAw2x0O4nvTElMCoI5m + 1SAWoeKL7guOrzRAO7TWZc0Fg9lquZ3wmIC0m8z4iFLFt+//ImNT0eV0KLJ86ehvvftbcXRLMW3f + 73sdundtxR4qdp2IiIiIiIiIiIiIiIjoKop5gpHzGrcRounuBwcHMW0wikDMrO/7ix4vEdHdxnGM + 0N9pmlprc9FalMPN6wBKKZeqFSxdZvsVknHYnEOmdWitRVVbJLXjkrUwJiIiIiIiIiIiIiIiIiKi + y0N315PvnWztCmzDSMQ10o/nx+8vtvft4tvl/rmY6+g6uI5ABZBMk2luOdecm4qruIqpmDq2rR7E + oXYtbgGY1ppqTWNNY0u1pWpiJuZSXWoTa2omFingJgZgu9O2+daKXaOP7XsldddDIzvSA7xbdMlc + nrIQxkgQERERERERET1i/uqezsgQRcnHi9zdWG3WLSXtso7jkDWf78g0huQQO23Pa9tevNtka54y + IiKiNxYTcXPOOecIe2itdV1nZhHtACCCH6JvxUWPl4iIiIiIiIiIiOi6iy6HpZTDw0MRee65597/ + /ve/9NJRyioiZjbXuL9++8VolSgi0TzRzCIM291zzq21iAGOSODLUzdPdE/R1jbnPE1TSmkcx2ma + 4uiNzrbu3nUdgJRSrFw388/waaD13feerqsabEKTr63AybHAB2DctGSCmNUGT/C025I9eK/X/al0 + c7L1TONLRwNOUvki/EOfeRY3ViPKBB1rhapZw65yIubg8XPqPt29o3YB414N1bOUTjtAXZL3BVL/ + h88/d3t14FrEfFPthQmlYBq2WeJ3vfn64MnWtre+PyuyVvQJx7UW969erJ4YRnQlo8LtXps5fS1y + x1dERERERERERERERERE10LEdsb0wLuSO1trpRQRicvo5xbnSUT0QLqui1nM8YEGoJSiqnFPpAJH + 2c8cgE30hkRks9lESvr8a3EOSj878RSllL7vsetczF/BRERERERERERERERERET0oOYODOpxkw0w + YBecfMey5XvLAz+fmRrEICaoEBOYeLQB2QZa7zJQ9N4h3I83MZMa+8fFgO3t6bsANEXT6M0CE3Ox + bej4HDcs2w4pCsTuxbZzC8NlrrzL0KSLhxERERERERER0VkwAPBthjQc0ISSbsEHd1XAzJsJgHy2 + 502354J1rwGwq0JlF7+9nTV1z5bTREREr621FiElAGJSd0yUjZnec1RJrXWe9U1ERERERERERERE + F0QBzTlvNpvSL08247Ofe+597/9Pv/Tll1VRq0UbYgDRtTNaMb6OCK6OE8LjOIpItE2MYOC5haK7 + s50iXXLRsjYS3yOpXURqrfFDEWHt0zSN4wggGuBeM/aqBXBAFKLbAgPZLYBhQp0++I53PnM8OMYR + vtJUoNm0NHXxuUrBIP7gnw8xM00gsj8PbvvU29XiEJd1Si8uuhefvollh9RXoCAt82KszXOq8b3x + EgQWochvbk9dB3LXHCSBAw2QTpEFDWIiEIceWcXhAZbLn/r0p49qc6Bf4W1P5c0GfUJymbfTBO1h + JzbNx4DCFZZ2NS9JYAYFksgrmz9bjQPGAeuTOYf77mXe3Cm7MzWbiIiIiIiIiIiIiIiI6HEWhSJx + xVxVYyXnnHOOmpC4R0SiYoSI6FIxs5zzOI5RAufuL7/8cmvN3WutJycn7n50dOTuZnYOscT0eDCz + xWIRFZVRPJZzfsPSyjcvKtY2m80wDPGLGNe0bo2IiIiIiIiIiIiIiIiIiB4F12jJ0ESqqomawHFn + gvVucYkH5120yYOQbRbKbjGFKSpQgW3ctes2yxnANrBZr8utANETQ3zb4UROe1zodnF1qEFN1KWa + VhdzsabWZPsuWexnh7rvbYL1MFfbZci0BmOtiYiIiIiIiIgeuf02vinWBVBFUu9KheSU2gSFZJU2 + nvH0XfGmBvHdqVyBb0d4R0dmmRtPM9maiIjuS0opwqrjgkfkPcxR1tGoIqbOYpd4TURERERERERE + REQXqNZ6eHj48ssvj+P4fd/3fX/8x1+UCP5UBTBN09x1Me55LdG5OFp8bjablJK7x2nh6OEY22mt + xYni83l1RA+ttaaqtdY4dOP4j2bcEXHt7l3X7Ue2XyunBQbx0+x7RQUCyHaqmAsAS16xXr/FcKNZ + AzxhsAZYcqibOlzQgAq47OaZPTgFYn5WtIkVnwcAATLEkY668uKi/PynP45lZyY9lrKdWgcHDGbz + +Oft8uPqdd1RarLbVw1wYHJA4K3BRV0EqlpGJHQZi35zeNC67tYJNif1IMOm7Ua2Exvf3E+VyXZJ + QILHb6+iaI5F0ebTk1gurH3gPd8CB9z3j1i/K9b6zhdLREREREREREREREREdH1EvYe7xxXzmAwY + t/MUwlLKHBlLRHSpqOo0TV3XRakPgOVyCSCKf3LO0zSVUsxs/qAjekOq2lqbpglA13WxHr8xz/p5 + 4zAupdRaa62qulgszvp5iYiIiIiIiIiIiIiIiIjosaPiMscnO9ShJrug692id3y57QLhgMsDtJSf + 05pxR1rz3NLB1A0wcduGOvs8qmtxO++fZLtd4tDtjtK5A0a0RakKUzMFABM0gSmaoqmanG5XXNSh + MIXdudOJHhLLqoiIiIiIiIiIHqW7EqOTI8V5PFGINlETqW4pAeZuns6+FW7OCjWITYDVBhEYiu+d + Id4f/dU5XxQd8Ocs1YseDhHRNSUiEeEwZzxg16ti/hJvlIBCRERERFdR/C+5ql7PTC8iIiIiosvM + 3cdx1IRctv06W2sppeZSDdNU3/e+/+TZZ58DEH/Rz9faohkidlff4q/9lNJ8jjdW3L3WmlIys7uC + fudHppSigSP/l4EuvzhWU0oR6x63r05kj/j28x/eBZJdUcHpj7EDooCa2xxQvZlGAwyANQwNZgu0 + wdedwhUT0AABEpAc4pgEo8DlYaoTxOcAazSg7UbWgOoAkCGANskv9eVn/uCTWBTkorpIpjCBoZSE + uTBCAQWrDe7fNt1898PhgEWytcABKQkONCRHQXLoqBl9+Ynf/90vJzlYJB8gFd1u/8e3z1MNH2Ke + nO0m5gFQIO0O2tYAwFW0Sy9h3Wc8uRlwMqLZsDtc17VuE9axF27t2y3QZdZai4/o6BwdzCw+veOP + mehFflEjJCIiIiIiIiIiIiIiurruusgyB8QCiBKUixgUEdEbK6XEyjzBeb5TRGJFVTnZ+ZzFL5H5 + VkTO5y1w9yhc9J2H205KaT605nV3zznvl0c+2qKyuZ5zrouIoggzm/+JXVaIiIiIiIiIiIiIiIiI + 6AKxk8wloae5yFsu5kC0A0JDtAYSV3E1g1l1tJRKSsUaWnUxnbWOaAAAIABJREFUSUhWPcv2OriZ + QcxTNX3AS9KO4p1MKpMKkkp2QzWYYKhIWVNWNcSSG5IjIem1WQRJDeoQ3+4EdTVRQOONtGRNraoN + YpNaU0jG2FAd/arbTGNzE9Vm204d6gB0lw5exbd9X+iqaK3NVTRRizIMw8UOCUB+44cQERERERER + EdGDuONsukMA1+2d8z+py2mj37OkbjaOmDZAG70d9MlHk6RwpAQDZD7JKNsBXZWrASIyjmNMgVbV + NzOdjIiIiIiIiIiIiIiIiOix0XVdtBVW1dVqdXJyknM3DEPuFpvN5od/+Ic/8pGPRVn762c+Rm/H + CInMOUeUdVyVOzw8fOmllyIzMuccsdnn9fqI6FxtSwj8jhoHgwswwRRaSmeo6gozHK//5je+56tP + bn/VcnWyPjkx3OxLmwyGtIsxNsAU5lAA4vdfOqEOk9NpdRFp7A4BSodxQAMmeI9+05U/9QmLHrlz + VbEI5AZkG7A9v6aIMNZ4naw4eH2+dwsAsN1x4UCL6Um+/YeUUAFXQVas8pcXubvVvrbrp3GscNnN + h9uWqThMAH/IPGmTbSD2HE4twI0uvTA0A55aHHxlc/uJfIihYRr7g4NNG3Lqc84NMDgg6c7sdtll + rl+V+pnrZv6TI/4mEZFpmqJztJnFzD1VjTtrrfsty4mIiIiIiIiIiIiIiIiIiOg8xaX8uIj/eLQE + cfcozoyyhJRSa63runEcz/R5517GZiYi7q6qc6UEERERERERERERERERERERAIipbufXR/Ix0AAs + 8mJlB61thpOhel2t+tTLNA3uk/Y6ttEbUkZRbc18gsqD9lvQOiFJr5q1wRuSe3aRmpJoO25THYpq + KcXMzGrK3WR+rXoV5d0OdXcTIGLIBYA1dZHqaiomgBsAWMUTK9yueOWVUQXdYjFOGwAGJESzFVWX + aL4CqXjIjh10MUTuTDS6HBU17M1BRERERERERPSo+V6vXNveJAEcyU39tMkygLPugiuOrk6QhGnM + i8PJUYr64FJEDGk7TgN0DrjWq9OZN2ZYxaQvVRWRmHl10eMiIiIiIiIiIiIiIiIiujDr9TrnnHMe + x7FVL6WYSFn0m/Xwoz/6Yx/+1d8AAEkieP12itH6cF5PKU3TFOu3bt1y98iVjMBItkckeiztMq31 + rntFUgMczWAKzYAIsNlgshsnm8ObB7deOSrAYUZzG6ytdqUJLgAgDogb7iqfeGPq2yH5XtC2CzYD + DpapTX5cDf3iaFH+pz/6Q5QOkmQu4dh9pwBRI9EA36VcO7ah1/R65vfrVXvK9h9jSAoXDM37nHCj + /9nPfupn/uI3v/Tyiz0kIxkMQBMASA4AVR7mkFDfbuTOwcGAW2M76LVCXtgcHywWeRh/5F3v/tAX + vwi3RUoNNllTTQodrC00XavpjledmcXfHl3XARiGoe/7aNkcf4q01qJ59GazWSwWFz1eIiIiIiIi + IiIiIiIiIiKi68jMcs7RhNfdzazv+2EYLnpcj8ByuVyv12ZWSomiyrPOtAbQWosI7ajbjEqJqJE4 + 66cmIiIiIiIiIiIiIiIiIqKrxczc3d2jgUNSfap/8pvf8q1/+NInNm1dbuhxu32yvt0694Sx2mKB + TjEN8MFKkiLZ4JNXe5AeHCKimrVmDFpaWemqa72PXgfv+14SDK2NbfJabRKRlRbxa3TJ29BiRdQd + gJiJQ5t7GzFWmSS5FId6tdracLjqbr8yaI8uxff4MNaDVefDBFfA4HkXZW2AQ+zu5jB06V2SNOsZ + Y62JiIiIiIiIiM7S6flWg1s2xKlCE5xPS9xsWI4NU0XOFWMvXa2Wi26zt2Ngu3HqfqPhS2+aplKK + u+ecp2mKvvkx+eqih0ZERERERERERERERER0YVQ1rqCp6sHq8Pj42BVu8tM//d/+83/+r+IxKaVo + pPg67RTjApyI1Fqj92LOudZqZq21nDN2WZLxpOfx2ojoYu2uxjtQvWZJCkswqzVBgfTBb/ymP9fq + OA3iKACA9dhWWaVuY4xdkAxwtDdRM+F7tQ0mUGCVsV63EVjdeOpLQ3u+W+1yqrcfTS5ogESIdXyz + wgWGcyreeKzsDgMFErbp4Nv7ZQ4bRxIsklSIJy3L/gub4V3liTqdyDbc3OeNxBviAnnA+VayjUiH + C9xPc60dWCqG0UzRL9PJerOAPH1wgFZhDoXbmEQV2mBFU3yLnP7n9HXQJaSqEWh9fHx8cHAQf4e4 + e/wpMo5j/CuAxWIxd3MmIiIiIiIiIiIiIiIiIiKi8xTX8YdhMLPlcqmq7i4il60h74MSkWEY4oWY + GYCu66Ku8kyfN+of4jbqNmutpZQzfVIiIiIiIiIiIiIiIiIiIrrEolvDPdJFdpewVcQcopqfWDz9 + N777P/6jFz/zf330//jdZ38n993Nw6cHOW556vt2MmBq6BNKFh/dapWkkhXyAJfCTWpK/bve8a7v + eu+/8Zfe+q60LrLOSznssTDDOE1NTXu15BNqgsjkD9pi4ipTUXVHrVOttbZxmoZqo3l94dZXJky3 + Ny/92fNf+uKXv3Dr9kvVmuZ264vP33jy4OXheHXYb4ZpvTl++pmbLz7/yrKII/LKxaC7ZGu6wqIK + 5TJU1DDWmoiIiIiIiIjoDLhGF97ThGUHzLJ5tm332yYK+FlnSWezG6PjZESzHtrgOSsMGCoWGRLt + nj0auIpDBVclFLqUUmvNOc+98gFckpNuRERERERERERERERERBdlsVicnJz0fd9aW6/XcefP/dzP + /dIv/RMRxMW0Wg3QlHOr9XWuV7bWAPR9PwwDgPlK3LwSvRFFhJmRRI8lh8qdX0eZgQBZNFKNMdWk + CQYMm37Y3IQCcJWc1c07qbVaiqKJbWCwKDz5w8QGx2AsBuK76GFHrehLcsl/uj75yurg5z/9uzhY + Ipftcyga9mKtd7nLUdERs/RkDrum1xEx4fE2OMSRBQJUwCOXet5/AgBtrNqlCV2xzc8899yH3v0t + 5dbmSfVkbX+rOkdKP9BY4t1yWPxeO82kRovOyNVaA6a26jRX8fUJpopmOD7Jh4dZpcIma0nV9zdK + l15rbZqmxWKxWq2iTGiapigcmjOth2FQ1VIK/z4hIiIiIiIiIiIiIiIiIiK6ENM0lVLmTiCPjWma + zCy6nUQh5TiO5/C80cK4tWZmfd+7e845dvI5PDsREREREREREREREREREV0VIoLdVea4x91XeqNb + 67sO3/tN3/3eLx194dc//uu//dn/96Xh+enktq50mZp0QDM0SZKKahMA9UGe1rpFbnX98U/81mc+ + 9vvf9Bfe+29+y7/zzre9u2uLNHUy5aVJ7vrWcLI5dmkHy1Ux1TNMaLlsFE3EVUREBDApgJiI22ra + 1HXFmL5etfit2y99/k++8IXnP/fRz/4/tzYvtJTXt9er5WqwzQvPv3J4mGxo2w16ZFqzTcqVtP8T + enkw1pqIiIiIiIiI6Azseh/vdbw1uCW3aIXcFCK7jslnqRi+KvX/8q/++9/9sd9Kh17RqkuyhH57 + XihOPc69fcWvTJfemGEVc64AtNZSSnF70UMjIiIiIiIiIiIiIiIiujBzk0R3F6CU8o9+6Z/+wi/8 + YtyZczYzd1fVVmvKudV7N1WM8vecc2Ra55zdPb435xztEUspOeftc8kVudBIRA/itYoIEtDqJPCk + CZsBmgF9ZrkYX7x1gGTANLYJdpA1iWGK1OjYkgItG+ZY6vun20xrtwhQdjHZbkJdJ3d/4ubP//7v + YZGRyrCpfd/Noz99IXc+rwOAAbZ7BKdsvQa5I9cciCxrJEWL2HIg3Rlr3ZXsgEKxOMRm/fmErym5 + TVOCK7CbYLVLyQb0oZKtY1xNAEcGHKiANutUsmAwN7dqWJXl937Hd/6DT34S/QInJzhYJbEsd27u + 0s35ontIKaWUomoo/p7p+77WmnPuui4Kh6KUaBiGruv49wkREREREREREREREREREdH5i8v6cdW+ + taaqrbXL2ZP3gcyvK9qbRK1C1FWe6fOqKoCUkohEmUStlZnWRERERERERERERERERER0l11wMrYX + sl1bs4Qs48HBwZPHL92+ia/+69/1H/xb3/bv/t+f/M3f/sPfenH9Z6OuR5wYqis8oXYGFbcHCZ0W + HB3VPmN1uEgVv/dHH/vc5z73rn/tPd/0de9979d/+43l02nq6tg6LL6q+/NiUk+qWDubHXAZqatI + Eld3d3cxj3fH0Yoush5IAmA++UKffMvX/IX3fN2//m3v/Y5//L/8A7SU+8Urxy9o3w4WqLUpYGKA + umgEyzgbKlxZc2lNHBgXPRzGWhMRERERERERPXKybdfrQMMuu/qOM0HeRETO49SMOtqtW1/z9Dsx + VnFXSRCFwqcmXYpxYjvCvf68V+H8Y8RXx7w1d48vmWlNRERERERERERERERE11xr7ebNm7du3co5 + 9333y7/8y3/v7/0kABf0fRo2FYCmYq0B2mp9re3ENbhogBiF77du3YrLc3OW5PxIZkYSPc5eFfdr + bm0aFl0PMxyvUTpM9r73/uW3v3jrG7o8jU2QR0lJ21CnTrYbUAOgFZIgBQ5Hfagc4SYAXFx0V/Nw + U8vtOm2QbqujAMsC177r5sTkJNsJcy4qu3Ds7dairsNfO8GbZrt6GAXEba4zUUUDYspgEoUgfrVk + MUy1SxmScWPx3z37ib/ztrdrazDI7rCK9+VBA613wwEAcbigKtyRTADkkoapFncACUgZSXFrHIeX + X0FtaEC/gMHRcip826+cKBMqpQzDEPHVACLoOqVkZqoqImbW9/1lmLlHRERERERERERERERERER0 + PYnIOI5d10UC9OPRDCReEYDWWt/3wzCcT1a3mZmZiKhqRFzbAzUQJyIiIiIiIiIiIiIiIiKi6yG6 + AMWMexWoamuTq3blYHM0dvnm0/mJzYvrt6SDv/aet//Vv/zX/8+P//rHP/eRZ5//fTsYfDncmm6t + G/qMEpnJ9/usWK0UzTa20VzxpAx1/dFbL//erY/96qf+1Xd987/97V//nU+t3mIn3m57rn3nagtx + uS4Xvk1gGCEuqgpRJEHSbYJNa25Tq15FEqA5i5uXt66+9gf+xt/6n//lP/yd5/6/Z976zAvrP+sP + cHSCKBkAdLdtBdRF4Praz09XwGVojsFYayIiIiIiIiKiM6IAJE7mAYDA1aFwBUQdLmg6/+tZSW7P + LA4+85UvovSAtjqW3AEqZX/Gl5yef7w6zXpVNXrRuvv+tKtYJyIiIiIiIqLzYfIgBWdERERERHT2 + cupuH6/7bjnU6cMf/rUP/thPxDXAUmTYNACllGlquZQ6NVH1125uGG0Qa60iEmmRAEQkVnLOrTUA + KSUmWxM9lnap0IDsqgkEADKkdMtp3BR3LJY4OUFtTw3rm11qUwXEYNVblzpvkyrMAN9WRzRx251J + 0F0W8n2yeTC7rcHFxI9tqpLHG4c//bufwHI1SSrz6AWACXBaJCHbf9K9WGW6H/c+A+RI+5PeZPsw + gcFNUkYFOm0ppVLawep4sz4EMqB7O/9VyekPMB51mADbiXwAYGa6+9dVl07GJkBJ7W05YRqx6CGK + 2rTrWmuSTiftSYzDAWHlyeUVDZrjb4+7GjTnnKdpir9e8P+zd6c/kqXXmdifc9733huRWUuvFElR + lLg0t+YyXMSdkkBhIFgewIYBw/4kSLIFWDBkwIBly98MCDY88tjGQPaX8R8gYOAxZiTMDDQSNRpS + pEZcWmw2yWZzE3eqKbK7qyszI+6973uOP5yIW1FZVc2qrojMyqrnh8btiMisu8eSN857HqCUkjPH + zREREREREREREREREREREZ2C+Na+bduoLWyaZhzH016pLYhMawAppb7vAZxMrDXWrVSmLivTvj2B + RRMRERERERERERERERER0Z3HNqZrrtVG1RzfZYuKqpqJSOrH2qbOzTFgL12Y2f54NOTcffjR//Dd + b/7gF7/z+Eef+MhXfvCF/b379/bG5Xgwtea4jitfkquvm5HWYgCgqFI8AWkcfdnXo6N6+f/9+Dc/ + 9tifvfd1H3zv6z740vt+SpaWMS+jmysAf6HvvQ1YNZTQ2FJXACYKQNerIdNOcPUrzSLuqG4ipiou + DnND9ElQsQJAJAGu0kpyAGbuqGJdHYb7Hnj4P/rQf75YLL767BPtXru4PMzmqCMgMDET2wibcYgx + 2frsukNOVrbnICIiIiIiIiLaLnMYoAoFkH19adUzpCvSOZI6GoMBRbyoZbty3XP7pNp4sHfuAYjA + dJ5bx1VJ2gl51dpXAFH4GUu2BjCNs2KgNRHRyRuGYRp/G+NgN29PeSdN05zeOhIRERHRVujV4ULW + NKnUWqxCpLjlFxv2M7Vu+TGNVGQnlTY3u/SbdqNmNLvuUHPt+scSt7Vd8VE/5rmjbXH3aZ1PrKtO + HK9jy93iBk4bMi3l2ON3ih3XIE6dknbUrSn+9tw8fHH3BDpDbb6GXDmsW1rutefPahtta69X171r + LyZL7hYoVkfq2AHa4utw3Jjmv93XwxvZ7Ah27TpsxbHZbrcH2Q2fR7eWMnnL4ny+9vX/hpt2G58H + Np+wu359OLH3/emQBX5LcsZs6f1XVcdxbJqm1ppzdvdaK1S0acpikZrmic8/8Zv/9W9N8bHjsLoV + 3SHL2APqtnpqbMZDxgVeAHF2iUjEV5dSUkoRJxmzinxr3IEfcohu3ebbxPT3Qs65lBgIdO+d5Kt8 + X5jAgSwGoEIBJBeYqyvaFga4/49veuTll5+dVRs84ootA2XoE2B1c44mDoWXF7VGVQBAHMkjCFld + 4GLFcbnN3+1mmJ+D7gkE7oCvw4kV60KJzXDuyLpO8QurAXV8P30hsfc0bohO5SUCNFf/WsLqoz9g + RTMAlYyqz0PPNU1TqrgZsJebZRkVaUQVvbWPe76Ria4OWZ0SDkDMs8AdAgxDjXFT82oPP/uj//7R + N/zel5+CdtAZClICYA6tU7L1eijjPfiMP1vM7NoP3vFyHZ9S4gPMKawZERERERERERERERERERGd + ks1yylrrZp1bFNpFgdxU80Y7Ffs5ChFFZBzHE4t/7rouAqfDduuuN+cWpWUppdjMk7HZZYWlEURE + RERERERERERERER0kkTgjqZpzIxfV94BNr6q3uhlpKqAuUMV5m61QpK5SNLqBgFgagaxBqJlZkdN + W/ztL/3g21/9vq//7VMf/+yff+WbX7isf3e0/0zpRnG4IwFmiHkCQBK4GMSjgwjgYgAEELdkEMAF + Jqh5WPTD/kPt0+PX/9nnvvHRL/3J21/7rne94d2vvvD68wcP5WHP1Cy6m6hEx4/oh5ZFVTFaLXUE + pGkTyggAyIZYqBqgMIGpW0Rru6i5OLKJKQC8uNYm26cOM8i6pUmk2KzveewtrEosIJAGqc3395eO + frJ9/X/6gV/5P/6//wndWFMtY4WLq1qqFTUB4ipuguJgBMBZEq+gEj2+VOBeSol+PcedRK3NCmOt + iYiIiIiIiIi2TOAOk0h72rzQI7kiuUBcFSYe1zJ3myOtDpN6pZevq4htLNUUitU1KgPU5QylWhMR + 0Slz97Zth2FIKUXeCdZDu/u+b5om5wwg7nZdd9rrS0REREQv2lWRTqpwl7FUTCExOd1+6vTWE4Wv + 61gG7dTMJSL6bl/MZwrmnG7sukPNtU12ttvuZxiGY1u03djg+IPi2NxOoF3R5lZsrsC2OvtEJ6yY + 23SyichJdiy6GSfQGGoz2Xp6cFv7YfqD9FiM8a5PoanH2bGw4W1Fn00NvDYXISLYTSn3lb234+pF + W58Mm+20sL3z4dh84qX+xDqghR3Ftx97JDZqWzHGcQ1nc81FBLLrlPMrz99NU6Tucbe+Prt+f7z2 + 08vJdIibkoZx9UeakzzP6c5Ra23bttbq7uM4mlnOOeV86dLBAw89+JnPPPZrv/brR8sCoG3yMNxw + oEU8X+LT7NRmMV5naq0ppaOjozj34hWD6C4T5/n0WT1i3d19Npstl8vpDeUe/bJjNXDryrCXKyUO + jtS2cMfokLR/dPhgNa8RNrx6V7reh5XVYLgX+b7lAtn8pyauVbTZm/+gX/7fT30Bs5lBxgG5cdgI + baa1uPpjAa55kIHWN0uu+h+ud29914pVky5XoF+Uc033j7/wxO++8XXjpeVckICjMiqgcAOyZvOi + t3JmTL977F9Ndzcfzu73lXqwOESTXJOshjailgF5dmW15UTHdBEREREREREREREREREREdFtinHN + WBdVjuOYc3b3lNKUZj1VXTLT+sREgngciKkWK6W0rfE7L6Dv+9lsNgyDmUUl2BZnHtXIUWkZdWXj + OG5x/kRERERERERERERERERERDviAnGDlFXrD08AtOaH9y4uy+L5Hz77qvNvfM3ff+TbT3/tc3/z + qY8+9cfP988iVc+1yNh22ZMtFhWAwgVeHXBNSZBEoGarrBMAcAgiQht753GwGNSH+f3dM8PTf/7l + P/7cdx77mfOv+eW3/icv33/l3vx8HdQGaaRTpHEcG01wVBvH0VKWrp2Z2bDs26QCOERdTYCYv5uL + VXFxAAIYXAFTN9x+g9StUr9RVxPbmK7bZbhCtLG9POpL5q942yPv+NR3P9q0M/O+ugMwga9Du6Ml + jOy6gSDtmN8BEUHsLEZEREREREREtHWRYwAgrp0aXCGAWklmAhczgQDJkex4d10iIqIzITIeSilt + 2wIYhiFuNE1Ta53P5wDMTFWHYbgXYx6IiIiI7l4R6DX19BnHUVX1tquYfkybmBuWYd2atA59dHcz + j5vYiKe9TU1usBGpa9XcbYvzv5HpYtRmvuaVK1S3bdbtbWY/uzscZi6yne0q45Wyv40oUNl1LGgZ + r7RD2lzWti7X5dSuZujutjrvsPu401sVqzM9qdcPbi35dTP1eTNwelsdwVR1F6nkP5aZHUu0XT09 + trQCm68bV/bb9mKMr5sljN2/XtW6ijiUeJWy1aZt63wQlc3zwWNBu78IH8vd3fxzezy+PVS7YULt + LVHRzTBpEYmEv1W49c5Uv2r9p228wfmgAG5tffxKEHzM3Lb6Orx+3Tn+yK7jpVNO8V68Wtp6O1lV + fm8SlaH0KaWmycvlcm9/b7FYlL7u7e09+eSXfu3Xfq3vewCqeIFMa1z91h+NO6PNYnT5HIahlBJB + v1MDUKK7Sbz1REL8FGINoJQS7UdzzrXWe/TLDllNFIjJ6raZlUFSJyJww3I5S81RWc53vDoJcJcq + XgTqLkCCFegz4+j334/cwk0F83ihUrYhPm0pQ2wAEnCuy7h8gA5P+/BgN6uLI1M40CIBUMhQSt7l + 33PqSCnLWGEmqCgJANSS5HiPTPFOKOvwdMfxsG4iIiIiIiIiIiIiIiIiIiK68zRNE2Ocl8vlbDZr + msbMcs5m1jRN3/dd17Vta2ZRCLTremkKkWAdtVi11kuXLl28eHG5XO56ufv7+4eHh8vlMg60qpay + nYrrEFWUkWmtqpHSHQWWW1wKERERERERERERERERERHRdhkAMYVBYA5E8xDXal4Ol1Ccby+ajl6W + r3zwVS9/8OW/8M5feuzJT//F4x/53nPfbu8bD5bPL7zOz6VG0/JogKNtIeLDMJYRKaGJ/gxugFZR + l2hQaj6gqyaCDEEqCxm+d/idZ/tnnvhXf/26V7zx3W/8wBtf8ffum7+0HEk7yoV2f+gXmkVUR/Ey + WunHnLv9vD/WAlhyAJ5hLmZiLqjiVVeZwMlNfEi+3rjT2dPbYWYwr2M5d/H8O9727k9/69+Lm7mp + CNw0+mHIFIfDYpgzb9ed624GY62JiIiIiIiIiHZhdfEugnFUTMSgZuJx2XbVC9ehbIJLRER3hRja + 3TTNNPLW3XPOANq23QyBICIiIqKzLlK+2jaPpbRtm1JSVVQDDNCbnLrLsUdE0i3N4cVNa42LMyoC + 1SzigIr49PhtToehxLaIuEgSkZPZrogaB9Q9HvHtzn/arvUjsXXXOY4vehrrf+x8MMNO91tKzeZ2 + uddYk21t1+Z5JZJUtzbnbU+BdZjl9OfbjirbNiOut9W26Ura+tXJ7ifQbuzYn7rb/ct3c/9MUaOb + gc07sut2WnGtANecY9uNUT+Wy77FmPYf61jC+raWG/3Orp3bts7zWNuYW0TYrk651bccu3LtM3dz + 6ddbUbulOvFjLw7Tg1uLtb46a3ya7a5ff8wsGuFhvY3xzOX1t3uTqg7DEF04m6ZZLpdt27qm73/v + 6d/4jd945plLcYaaISUxu+HTKxLlRaSUEoHWEeVbSolzLPpLqmr0+mRbT7qbxEkege7T6e3upZQI + cReRWms8CyIA+54j0wQANG6ratu6CMaCWn7z7e/8yecvvySh7vLjQyRqV8BFTLxWJCDBXXDYtv/w + rx9Dk9C2MECwXC7aNmvi69WpsqopYzp/5nuo5f/68pO/9/JXnZNUklfYkdUMpKxWdv6hvdYy0w6X + F2j3kQUKs1rhkq4J1JYzPkKRiIiIiIiIiIiIiIiIiIjonlFrbdsWwGw2i3zriDGOUp+u6wAcHh7u + 7+/Hr9FJinKsqCE/gUxrAIeHh1jXQEbRV845sqi3Mv/ItAbg7sy0JiIiIiIiIiIiIiIiIiKiM8Ku + l4higGZNDjG4F8coJpJT22qri/0PveqX3vX69z/x7cf+7HP/5tvPf202L8NicTguZvOc4GWsgM/m + cEe/hAnUYKLYyGEB4NVnXTaz5XIpSWcX9gAshkto8fizf/WVj33ppy689mdf88G3v+pnH+x+4uj5 + S/vNfq0Qg0iat3OVphbp+zElWTdOFLhCAFcTi15pLhA3FxeYOoBqSMDZbjkiIrUWFLzi4VdfnD/0 + d/ZdUZlaRwIADDCHwpOf8Y0lAKcebM1YayIiIiIiIiKiHXDAAUGFOSwDSWtc2oO4iZsIFFIhDt9x + yoDI8SQDdzBNm4iIblMM556yHEQkhnYDiACVzV8ex5FH6bWWAAAgAElEQVSDvYmIiIjuDm2bh2EA + YGbuKKWIyDiOXcpYVW7JzU1l8xERjdu7DoGOzMcIMF7ldWIKHpbb/y/n5uolrrZxvdzd/afuJhLF + ZNufql4VOx3xzwBEbv6Iv9BUNU9zNouCKo/o8Z2eD+4iou7ivpmybKrbKalKqXGv7rJxbou77+5I + vbhp5FljI9k61n+7sa9Yp9VuJjRvZf4xz2OPTC2idmczonszjneLi9iM453iFbc4/2NOJhD32HE5 + dlbcvnEcpwOxeYy2MvMXdmyhmw/evmufR9hqzPmxTmpTWrzobnedJpkWuCpEF9liZ7fNU2tzv21l + 5rjx4d51Z7pa62as9bVrQmeDbOc8GcZxb3/W9z3iA0fWsfQ/+OEzv/Ff/uY3v/ntlFAK9vfmh4eL + Wm908q/WJF6iu66LuU3v11OO75Tmy1OO7jIRaB2349U1wq0jMP7YT++5WHdZTRVY/SXl61oDNxuH + PulcFGOZLxcP7e2Nh0e73jvxN7ABDqnqyZCAKvLcvMOFc4AsinWqCszm3Y7XhW5Cyg4Vh1mcTgYF + Zmr7+8tLzw+j7bepjtUc4i7Ajj9+QjXJWH/r3e///c8/gfNpIT5LqVkv1mU65a+6S0RERERERERE + RERERERERHeyKO+J3OK2bSPZOn7U933TNCKyt7cHYLlcNk0zlQPRTrl7lFrVWqfo8ThAO11u0zRR + Ug4gpZRSWiwW8/l8W/MvpeScPYajqJpZlJmdTMk6ERERERERERERERERERHR7TABABUgug45RDRr + Y2Zl9JS0aWbm/WLRP3zuQl+qHHY/+/K//45XffAL33rsLz73kW8+85UyXyyWh4P0SFbFh0OoIjcY + LUOzwsRNYOrrIG1Fb8Ud3gJui3Ig0W0yY2nDkPvl4vBvHvvinz3xh+9944d+/q2/KPZgXs7acZ59 + VostxyMRabpkPgCoUCAbErxxARzilsQEFTAFBAYxuAJnuz5EXVOSAutH29u/8MqXve4H3/0+ssIq + ZJ0cvtrYBM/b6mdF9zLGWhMRERERERERbde1XZpj+JFBrgxDWnW/dYHo1CyeiIjoDInhxE3T5JwX + i8Xmj2az2XK5HIahaZpSirsz05qIiIjorrHZPiZn1GqqWRXFpoyrm5w6VvVQEpF5Ig5IreWm5/Bi + pqoqkgCIZHcHUqR2pqRbSQh2d0Dcr7PcnSYTm9l0W0Siis79yu3bnEbGoYiJxFFbbWmE7d7++kc+ + OlABuLtIUlURsVXsle9oWmuNxG6RBLhqFhGRvMXj4g731X4T0dh72zou25q611gxwCJ+2122GB+u + miPe290ANavuYlZybrcVVz/Frm+suW9r/jex3Ip13LsItrX3mqYzK+7iXqfjsj6dtuDatllbT/y9 + rmvzuVfxyVvarpTSCadZh4gZxs6ytFNK0wxjj5mZu+e8nRLQaeWnFNspNXlVn72bqVWIOlwd5ibx + uGFr81fJLtUq1vOvbuKogrSbLVrNWSXvdL/l1MZtR439JkiiPu1DTu+paZO7xWIB1739WS3eDwtB + +u3f/u0nn/qKGcyQEg4PFwByVgClXP97yXgFyDlHpnW0XIyMdlWNJowx3ez4SXR3iKTqzWaj8Xg0 + M41k977v4zuR+CPidFf4hLkAMWoL60FNq7+oTNvUasLREY4O9hdHqCX+xjiBD2HqWsUAGGDAoPl/ + /+ITaFt0s0agQB1Lana/HvRj6FiLizQKSYABTYY0WB780Ma9pj2nsugX8zYp5HAos92vULE6T7Pm + 4BBjhVeRVAEfx6Y5HoLONsNERERERERERERERERERERnSBS2RalPVLhF2HDXdVhXxo7jOJudQHkC + rUyVyZEtPY4jrh6UtCPjOKpqLC4GuW8x0xpAzjky1AFEoLWqxqAbIiIiIiIiIiIiIiIiIiKiM8QF + 4jCzMvaNNl3qzEt/uU9ZL87uP3j+SFNzsX1wGPrFUt79Ux969Kfe/PW/+9K/fewj337mqz9c/iCf + Q9rHojzfm1VH1QIHHMmhDnEFzCNu2gCFKgSAISeowBpFY1bKYb3UzPIPfPjjJ/75v/vUn7zvTT// + tle/+5GH39gvjqzq/vnzWfLBwUHbqAsMcJhDIBrBL8lV3NR1lfkiCqgJHGe7c4S7u0NVURP69md+ + 4rWf/tbH3H2jW59CbHVj1f2SkTdnUvQVPO21ABhrTURERERERES0G6tO1gmowOoCnyG5xSVUnx4k + IiI6m9w9RhGP45hzrrVGfPUwDMvlsuu6KQ2raZotxlMRERER0WmYypUAIKVUay3FIPiDP/iDj33s + Y7VWuY0ymM0c0PPnz9/22v4YqppSyjmntMpOFpFSylZmHh99oyvN5lK2MvMXcOHCBWxkqe6CiOSc + Y4umXbfFzjux36YbsaBtxbXeSNu2sTnTrtv6dsU8c85N0+ScRQQi9Y4oG5t4rS4KgYiqIDkAqAMC + hQB+u1OVZBGbLSKSVZNVaFK77TnH1AwiEKjD3QUiSXPKMg4V4nDf0dQqNEFFJQlMAHFXk1iHLWzX + WN3crTrEVSAqIskF2Gqs9VTFGK9cIuK225rUsdZ4mdyMf3b3bdX+iug0z1hEPK93Xa9pvn4dEzkW + Dr2V+ZdVxamoarytiJmblS1t1ur9C3DH1D9OUyqlwAWQHU2ru7qklAUKFcBEkojXeKEUvf2pRSqv + i2oS0Xih21a8/WaMfcTPx7QadrrfzFxV4mxHEvdaIeLb2WOcnrnpWGo32xuG0o91uRzatvnVX/31 + T3zir9su9cvaNGkca0qrT1njeMMPOXG9d4r1BfDcc89NH8/iInC0YmzbdmrISHR3iPfWKdPa1+bz + ebS7jdD3lJKZ7fqPlDuNr9/qrroy4Ku7pe+la6Dy37ztra/NuSwPG9HRd/t50gEFBEjx2VwwOg6b + jPkMs9aBoUfqkBod+kXbtqzMOEUOaGoBwCACE4hgWct8b+8ffflL/+sjj8rB8w938x/2CwMemOXS + l10Ps5pBh2Fs0MMrrIgCSNp0qxoeB4AYxxinGREREREREREREREREREREd35oshnultrjTEdUx2v + iPR933VdhBBzvPPJiHIsM1PVUkqUHTZNE4HTu5NSijLIruv6vo/DHauxlfnHwPla62w26/v+Dmkr + TERERERERERERERERERE9MJ0+n472uYBcKiYaGqSSvVxtKQy7+butjwc2zyDovRHSe1itzcshs7u + e/MDH3jDL73rO8/+zae//LFPffnj33/2W+n+vfnMDv3IM4DiFQ5YbRUJDoO7Fmmquw8VqaIVJMAM + pVh1aELbtl70oD/qdRwvjh/5+r/4q2/8+Rtf/ub3vfnnXvXQm8rRQpd7e/MLXipcXeBiQAWqAOrI + jrTqdKJVtSJXje092xnP4rBaRbxJzTjsPXTuZW7q7iKRGr5uDssOGWfTta0R74QSlHurrRIRERER + ERER0QlwXAkWSVOLZwccyeECrK/t7TzRiIiIaGdUNQbxunspJcZ4D8MQj/d9HxknMczYzFJKp73K + RERERLQdtVYRuEMFf/RH/yqqX24n1jrE5ZQTq6WJdjTuW16i6vF5ikAEO06JvWpxm7a7ddPMT+Yw + pYStpkvf0LGTYbstqo6dDHdAtdh17O938TfdZvrv1ivbIq38Srz39hYRf5xOAYQppaZpmqbZ9d+h + kbweAYfYaoDxZEoXbts2tkgEtqUXlGmPxd3pBNjf39/K/F9AZL3HrovuYFvce5t50nEy5Jw329Lt + yHw+j6Vsno1bnP/mFsVGYavP02PPI1WdzrptLeK6jj2PwnZjyK/3PJK6pTeYY+fVFAB87ty5rcz/ + Rsysbduu65qmURFzdXczV9X1V0+c3kPTnGQcTCW7YT7b/53f+Z1PfPwzquj7qrp614io+FprSrKK + jb+e6NcZF3vHcYwnZrT7nM/n0dMzGkoy05ruPrXWeCuMcPdobFprbds2Tv4p932L7U3Piki2TnHL + p3FMBnjuOghwcHi/17ZfNICdyJguA5IDDgGKok/pUpfQ5CVyA+y1qEC/PNybzeEKV469OkXmpqJe + PDVSBQYk7YABTbrU6oPd+ef6y+fbDPXDZel2vDICqKjX8cHmPMqIUVMWIFWYQlfnusAEV4bvERER + ERERERERERERERER0R2vbdtSiqpG2VvOOfKMN38nqoNqrXdCB9h7RNQ/l1Lato1DE9WJu15u1Amn + lGKoexz3LRZ9RVZ3Smm5XMaCYv7bqvMnIiIiIiIiIiIiIiIiIiLagak5FeAALPqHmhhQzUxFU9vA + Sj+OCmmaptbqKDknB0pfIHlP97xHRvcz5974sve+4j1v++Cnv/aXn3zq3//ts987d77pcckUspox + DHCBC0xgo4uiy1AFRlSDKhSYNVIdw3IQaLPXmo/PLn/YZizl8C+/+YPHv/HYm17+jve86ede/RNv + dB9zPxdL6gpAAHEkt2SQ6D8CMaiLuqh7gpjJ2U62zjmPpRezpm1b13k6n7WRDFzVPm1dBuMKOZHG + nXRXY6w1EREREREREdE2RZ9bACoQBwwigCgcGAtManEXtLkZl0PS7Ga77qHsvv0QmjtBDGOLvuHR + TBy7CY8hIqIb2Yw6m+KaIvskbjdNM6Uune6qEhEREdE2XKnKiisNmx1Xbv/Swwlfvai7qTG7drbu + 29g7N22nu/GEj1E5qdK4Y0dtd5t5x16iOzjsf/wv0U0761cnfccbIHfqE4HorieCtk1TtvfWvzma + 5nndtPJdmILeVTUuTka0/HZj0UUk5xxx8ruY+ebtlFJKaT6f39J84suynHOt9bvf/e5Xv/pVrD+C + muPYJ9FaPY5UTCOjOjoqbq6SmZVS4pG2bePfxjdxAJhpTXel6SUr59Xwiumrjenkjx/da5nWwQG4 + bmRaAwBEyuWDPJ8j5XbR77ur6LjjAV0OVLhCK2qD1CZ/zu25ef7fnvw82qYCnQMOFcxn86tzuOkU + CJBFAUgWrN+TGsmAY9b9MMnD1V6C9mgYukZOZmhT8TJDLsP43z76pv/zO19RK66tXhVibWCmNRER + EREREREREREREd2rohDltNeCiOjFyDlvFvgNw3DsF2Ls8+bdKASqtW6WOy4Wi6jim35hs6kFgFJK + znm6e+2NaYbjOMYg65jzPdsEI2oOh2Houk5ETua9JqKssY643nrR17FzaZoSERERERERERERERER + Ed0L4svfE22wSNsg6wZzq/+7uERXjgLVCnevApWs4qgookXEvYpJhmYRMxQ44N7WVhYXX6rtL7/t + p9//pl/83Jc//ekvffT7B0/V5mAQG8VrrlWGYlBFEhGHFGhVAA6vChMxqFUorFGHWK1LAE0LMQy1 + 95kscfSp73z88W9/5jWveO1bX/Wud/z0h/btvtZnecxacjZV5K5Jy3Fh2TyhOoaq5ilpk1Ly4psN + VM+cWqtKFoxeHND79x4wM/fV0dssQ3ExlzO8pfemKdBBVasj0nZETrlhKWOtiYiIiIiIiIi2L65T + prjlAgWgaBptG21y4+XyYjjfZhSIquOkInruLjECsNaqql3XLZfL2Wx2zw7nIyIiIiIiIiIiItoC + FooT3XUE4nB3jGMtIyC9Vfi2n+2xlLitoppQyklUugtE1KdmgKo4Q40BRQA/vt9qcYjD5SanAjU3 + ADmrVcTtFxj44+77+/uHh4fTI9G0MYKx3T3nHG03a6211inWmojuWdfJ+BWDw0vJe/sYh//iLW9+ + Q+1rKSOwn5tFGXe6PiKobhlZgGWtpck/yAnzDpIEEJ/WGgwnvhPI1bcdEOSopPl/vvTkP3zl6w/L + Yi83y3GcZywL0g3ntB2zZm8Yj2alzAbDOGrXLYe+aeer9VRAoGd6YCIRERERERERERERERERERH9 + OBFBXUpR1SnTutZqZvP5PLpYqGrcwDrDOKVUa41UZhGJxrLxI3eP3zezlFIpJaUUcc4i0vd913VR + mHdq23waNvdh13Vg9jMREREREREREREREREREdHpUQcAExWHAiYmWPWhcjFE3yZRAO4QQMUB2Kp3 + x/qeOFzEU+OKUazUn+hmv/jIw+995D2f+8YnP/HEn3/7R9+anauXx0tVarcn1VzcO1WRZAYzqzCo + iCCCmG2d0hwdlQA0bR76MtRFTUX3dTleevzvfvi1Hz712Oc++a5H3v/ON777Ynt//3w+1+xnaZ99 + /rm983ujmZWKnJomW021lLF4znZ3tNQTl+yS4tBM8dUOkSmq3KZ+K0S3496qbSIiIiIiIiIiOgFx + VXbVItmnRskJqpf6ZW7ao+cPz+dUhpJn7bAcGmUS84uhqjGEr9aaUprNZsMwtG0bIwCvxcRrIiIi + IiIiIiKi62HY2zadSF1nXH8+k1O/A9aBU07vuamoewW0mkWKJKDr6TaXApi7AFYdtZhq3ul2xbIc + alanx6ttbf6q2b3GUna0Fe62jvjUab8BCndAbnoadCwGRIdNNSs3ekdS1cPDw2ivOY5j27aRZl1K + ASAi4zi6e2RdM9OaiABoJBO7RiLxKqZYVHKLgwPUemEse0lbgYgclHHXscSDo1OpVoCcdXbJ6z/+ + 6lfRZHju1mPVrlQGiO54degm+Oq0uXIwJGG2h+H5cX+vPzxqyzgH3NEodtq72IHL41GCdsn33eCG + Msyai7Z+z4zxeuJIgAscJuApREREREREREREREREREREdHfKOZtZBC1HvnVEXIvItY0popdF/Kv4 + qapGuHUU3QFIKUXo9RRffXBwsL+/33XdOI6Rcn1PmXZvztnd3b3rur7vT3u9iIiIiIiIiIiIiIiI + iIiI7k3X6Uckq0cj3BqAAagCQMUTYIApIhJbgWwOoNZaNHmXk5j6YZNwbr95+Od/5rU/+5p/8LXv + f/4Tn//TL37/U8v2kpflYRkkAWru5g5L0CQVbu7ZLRbqgmg1oQI1jH1JDlEHBrSqMxnq+KPl98vy + +b/5q8c/8vg/f8+jv/D+t3wY6SV+2Fx8+OJyWdyzuKSaVFTdsmpKeazDyezWHYkOGNGaKjmyA2Im + kKsbYWjEjaOczlrSlkT10Q0ydk4OY62JiIiIiIiIiLbLohP9xhU9BQBVNHo5+cGyf0nX2DDmtumX + gwMmccmPblnXdXGVbXMgH+OriYiIiIiIiIiI6K6mnHLKKac3P3X36baIAJE3mjYfv82pSFrP2d1X + j9sql3JXUxG4T5nQ29xjG+svm9sIYNp7tz9192met3MsRCSlFkCkU9fqQL0yYOQG4vu1Yw0iVbXW + ulwuZ7NZSikaSurmd55ERHIlc7iUMTcd+oP5Yunj0Duye7N+pd6dNmtfbD/ny6WMJsPFi8gNuj04 + 0pXg7dWAtR2vC92c9ZuSXHlrUkiG6tPjYm/W1uUoQK3A7kOkG+SCYmVUAfoB7QzpSomPwQAkAfzH + vZUSERERERERERERERERERHRmaWqly5dunjxoqpGn4oIXQbQtm2tFRv1dZFdvVwu499GWV1MSykR + hh1p1n3fd10HIH5/NpudO3eulBKhzqe3uadGVacSxGEYYpemlGIPExERERERERERERERERER0Qky + u6qlg0YcsokL4FM7EVcXAIJVjrVCivmq34g6FJpSWwa3cUgpK9QMGMWWyRbtrOve8OC7f/rDr/n2 + pZ/75Jf+3ZPf/EyyZ0saBxmqmmQIUIubIitcAZ8yrRWIu2ZAyoCgFJTRNCMlyJ4uy2HK+iP4H332 + n37kM3/yjte99/1v+YWXda/s2r3O9xrvpMBqRTWgWIHkdBeUazgUQDKIGqLhFgAXdYdAPQOAVEgF + BM5GK3RbGGtNRERERERERLRlAgdMXK800gcgCV2uD1wsP7pU+5JUx2EURTdral9Oc3XPLHevteac + Y7AfgLZt3Z2x1kREREREREQnKy5/7Dq4ioh2h89fIroR1ijfDaKjYtzeUWPEqZ/jSTq2xM3N3Ipj + M9zBBtpWZumOUq7q8JhSUtVxvP77u5m1bTsMQ0qp7/umacZxjCabtdZhGGazmZmJCAOtiSjI9IFA + ADeIOxKguekwLNCX82NpktZiXZKx7vztQEQGIJXSzGY/8vTMfIZmPhY0EWitVmEJmEasgeUDpypO + CBFbD1lcHRR3Ec2//6Uv/u4jb5gtcX87W1o5KKXd5coIAFgjaUBJov/dO3/2H33hS8iQZnWmJMCB + CkCQHKw9ISIiIiIiIiIiIiIiIiIiultdvHgxbuScsQ5dzjnXWiPZOjKto9wOQBTUzefzw8PD6XER + qbVGtaGINE0Tvywi8/k8ArNj/m3bxt1T2tzTUWuN/O+UUgR+x4Onu1ZERERERERERERERERERET3 + KLFVQyJXiSYLrhpNCF0BmACr2GQFkFwBVGQTM63q1b1mE++186w+h6FqkVy8qzlJHvNy9Jmc73xv + tn/fa9739qff8q0nvvnJv/riR58bnz6SS5KLqS9rqSMkwwUuEEeCimkkZ7sALZYCM2hGcqhBBli1 + 0maf67I8r/cDcvjR7/6zx7//0dc89Ogvves/frj9qfvySxp0CqScAa+1utiZjnk2AQAHEiCw5BVS + Vg+JAhUe2eAKGKTA7626lLvPyfdwuxZjrYmIiIiIiIiItk8BOODwBADigCow/Oq//Bdfe9v75XAB + aNNokXr5aNxLbIX7YohIjOIDcHh4mHPuuu4FOuzfCRfjiIiIiIiIiIiIiIiITtKNvh+RLUU1xnyu + XcoJfy+z9cVN+2c3G3L9zGnc+nEREbOr5lZrfYG2j6o6NdMEMI5jPO7uh4eH+/v7Mc/FYrG3t3cP + ttEkouuxKdga4oAZEoBxOcyg/8Ojj77CsBjsob1c+nLDV7ftGcZ6odXk+N5yefnBB3//8ceQu9xk + lIhQNsAdSWKdhbHWp8nXb3hpdWhw5VzSjNkM43Ck/tLZ/OnlYk/QZfUdn0SNNkvrhwo3w9ECxdEP + aGbHVvjKBoCnEBERERERERERERERERER0d2m1ppSiuDqiFuezWaRaQ1giqaeYqrjbq01Mq1zzhGD + XUoxs6nIcBxHESmluLuZRTx2SqnWqqr3YDFeSimlBKDv+9jPtdb5fD7tVSIiIiIiIiIiIiIiIiIi + IjoxLoZoHCJYJyJHOwiYGNb9IGwVBa3iABDNkNSxzllWmIuKihrc4MWt2mBl2cl+hkoxmLZ+zn3/ + FfP986996O2ve++nn/r4p774F08/8y1v+2aujdYqVtxX67MKdtFYjQpYBYCUkJG0QlPyhJr1YLHU + Bk2DZ4c+d3B8/zPfe/bzf/D421/9vve+4UOvfOiR/XyusU5dRDV7e2L7dutMsNn0St0hBlSTSB5X + wNZ9VSIR3ADmsJxV7r6tdnC3ibHWRERERERERETbJIDCBAoDBBWoQAuIKs7t45kfeNOopGKjGExx + fp7rcMPO8vQCpk76tdZosm9mMa7vtFeNiIiIiIiI6B6hV27eEWUwRER3gFXB7m6mJ2DXr+esej1j + TiCbkk7BOoh6W8dXTjjBerXUHddhm5XrLnTXG3ur84/fF4n/BECt0xymj+tXjnVkYLt7NIustXZd + t1wu3T2+bosa9/l8bmb3YBtNIrqGXUkjXiUTm8MA7WYtDvpZ8TQsu1l69qhk4ELOQ7nO6+cWJcVy + sL0Mz3imE8xnQJYBUEDMUR0wJOWFijtDXb0bGXz9vuQAcNiXc1kxDj5rDg4OXzrrFsu+FtvpACcH + DqyfJZ2J9YJ5yhgK9lsUSFpV+BjQgoHWREREREREREREREREREREd7OUkrtH0LK7R6VcKQXAbDYr + pZRSRGQYhqnQrtY6m83GcVTViK82s0itzjn3fZ9zLqW0bZtznuoAU0pmpqp3SOfZExb7Z7lczmaz + 2CeqykxrIiIiIiIiIiIiIiIiIiKiUyBm4oAp0pUkaUA2wq1dImFF44cav2arOOupl1G7l4daFmVp + LkjQnJLu52TWj22T+lqK16ad9WUsC3tg/yeH+uB/8OirP/iGX37q+5/75Jc/9qXvf+4ynkn7lqR3 + ARwmq84mDoWrFmRxEbfRxlLdoVpz1mFp57tkZuPCZ00GsKg1nxvyhct/+fS//ux3P/bISx99z+t/ + 7vWv+HsX88OyaKR6OuMt7zwCyGGCIigu5gJAIpIcLkDEkzuksr8f3T7GWhMRERERERERbZ0CEv1t + V03i43Y1vXD/5cVi6eOs62zos2LoS9rlIDQTAGIiiGvBsrowG+snq0vAZzKXommaWquqxrjBaLLP + TGsiIiIiIiIiIiIiIqJNUz/EaAu4DkLezvdTmzHMIjIFP59K/PMJxE5viwg21/TY3VuiChGp1SOE + UwQiGvHVN5JSim/ZAESmdTTZVFUzE5Fooxk9JV/kahHRXWI1qAwbY8wkSiIqMPYzRacy9HXWQAvG + HWdaAzBDm9EX2P7+P/nCF9G2KI5GIFGmoXJlpemO4YoYQBinkmPWZThw/uIzmh4QWS77pCK28zfx + TlOpFQmpIC0LVFHH1HYAHLA4eaa1cGWyNRERERERERERERERERER0d2qlJJzjjq6qKkDsFwuN+9i + nc1sZsvlMjKtVdXdx3GMKOv4zZjbMAxt20YpYylFVeOXAUSd3qlt7WmIfRuZ1iISO6RpmnEcT3vV + iIiIiIiIiIiIiIiIiIiI7k2rngoitmoEIRAHoB4JJq5y5UFADDB1TG1HTLAog7shp5yTu5dSfbSk + 2jXNcjjKbcqpWQ7LnJr5fL48LPN8wd2ydm97+fmfeekj3/jRU5/58l8+8fXP+GxZUm/ipmZiVSAO + F3g1pCRuYhCFZAUwVmsbKUMVSVnFClxNko82jHXIe4CNTz7z2Nf+7dd+4sKr3vWmD7zzde+5Dxfb + sRNPalk8iate2ai1yIeObhjrbO/t5rZMxSK31FBDHSYGwMVdvCSD66y/cP7I09g2ks1Kh5kuz3dj + Jy7GXitnkIhcaXByZ7RNY6w1EREREREREdF2qVj96J4AACAASURBVACAxtW7tHGVUNM5eK+56XJr + Qx8XbpOI7vQykevojmaGjFE1wRQOX8duOyAGqQ5gFXR9lkyd9CMm4XRXhoiIiIiIiOjes1mSdXpr + QUR0p/GdTU8AX8+J7kbXLVneRR2zu59uefSpZGm/2Fm90N1bYobNl293uBuAqWrdHdE9M+5ODTen + G/Et29Sdc5oVM63p3hHNZzcj3qdWqimleI7E49F/9rTX94SpASomAqABkGCwguKwMaexms0SxDF6 + REvvmGCsGNGV9j6gRWpiTBg0lh2vXBxsdadIMAUgCkEFEgCMcGQ0kIzZuX/yxa/8Ly/7yTQeVXPd + 7ti+6zGrAiQHKu6TFsse54sjQ5IBCaowrAdbnrECmnvMTX4qYykRERERERERERERERERERFdV7Sn + SClFcZ2ZRRZ1/HQz03rzrplNUwBTVd7m3WEYsC7PixlG3dELfIUdpUp3Wu51FEpFXaiqxlbc6hya + pjEzM8s555zHcYydGeHWXdf1fQ+gbdvYb9sSy8KZrRyYVtvdp/rPSFVvmgbAFJo+PUJERERERERE + REREREREdGLiS/A7I4OVbpqrYt1LAXCsUpOBqxqF+LrrgwsMmwnQuv4FCCAqcLXiAJIoEtS9VKTc + ucENWVoYqpUmq3iBeTLdr+f2mvlLHv7JN1941zNv/cG/+ewfffnpJ549fFrmteZhTL2pVIGJNU22 + YgXIGRCrFTknH5OKupaKEt0rxJEE6oDD3PvUD/uXjuzJb37hK//y8X/64Td9+B2vee/L73uVHWQd + 5ufyOSmlliFlmBWoJG1cpFapxdWlVXV3F0hKAEzMzAATkdj4yP+e9mFkfvvG3rONPSWrwGzo+l+Z + 2C09aRrBUIama6uPY7JUzr++fefzi2fa3JWxtCnbgL18/iF5mfdJc2Mjdht5QzsQxUVRmVPrrnuu + /Hj3Wk8lIiIiIiIiIqITsL60KlDAYAKFxEXGjPVlRER/+JO47q6lFAgG9B0kQ6ECX135hQMwCAy3 + OIyMiIiIiIiIiIiIiIiIiO480cdwavVYSokWnKWUWmt0M7x8+fKUb33a60t0yqYc62NtTKOtaoRe + L5fLaBJ6Wit5ugymMWjKAVFYRSm//uibXz8uVeEVFdhvulJKdYsqhB3JAs/pcMjPm6Ldc1VJQK2r + POvV0K/1b4sx4voUSWRaA5DNc6JAbOhL6uaumvPMu9nR4vC+bn7YL3Z9tJIiJRlGf/Dc/t9ePvit + Rx/9/e9+HfMWSBuLNoCZ1kRERERERERERERERERERHczd08pReJyRFBvceYiEkHO4zj2fd913Qtn + D0flUhQpiUikQW/GbJ+wKDXMOQ/DkHNW1diKW51P0zTuHrtiGIa2bad47HEcU0qRaR0/3e72xvGN + aslSynK5nM1m25r5rr1A/Wcki8fOjMeZaU1ERERERERERERERERERDfLr+ro8IJf0hsAf4GuC67A + 9UKUpyznq2elLopkVaQ2nerMzrW6/5/93K/8aPjeZ774qU8/+ZfPLX6g87b3PiWzTg8OhrZFN2uG + fgTQJukXtc3JxQATXcdrA1IhCkBN4LkYzNMREkqd/ckTf/ixT/3Z2173np975y++9MJPX+6XM+2a + 3NhYc27dvYxjtZKarm07ADCHV4Wae9QwiCbVZFaObaO6QuxmIohjF4m/4M68ARutbdqx1qFWb3D/ + xZf/yj/4r+574HxfljDrmtZHLC4P83a/y3uLw75TlhDQ7bpH2yoREREREREREd0j1DFTbVXQLzpc + aAE4YNaXmrpGBKkCrpJWFz8d7M1LREREREREREREREREdIZFX8to9bi/v39wcODupRQA0RcyunBG + g8jTXlmiU7b5RNjMtxaRcRwjx3oKtI6fnubqniy5aqjYRmK0A7XOrDaGtoH32Gt0MfYj0Oy+4mAo + VmbN733+s7BSvGkEyOk6i72HDtQZII7VU0cUQNt1DowVcDuq9eHUPNcv5jEecZeqYTRvs/zo4HB/ + duGB/fMRiC4OAEWAGGTlqyhunkRERERERERERERERERERER3JXePkqH9/f3Dw8O9vb2+77cbbm1m + 586de/755wE0TfMCydDRCllVI9pZRLquM7NxHLe4PjcvArYjWRnAMAwvItMaQIR555zNrG3bWqu7 + T9VZtdacc4RbR0jztmKtIxYaQNM04ziq6gMPPHD58uWU0lbmv2s3qv+Maa21bdsIC8d6J5/2KhMR + EREREREREREREREREd3QZqsWMxORlNJFOV/HNKvNS97yqg+85Zc++83HPvHFP/vGpS+UeqQzm+/B + KobeFK2Yu9e9uZYyRA+IyIo2h7sY1KFIcHeIAaMAMFQ7GBtHM3z8b/7w01/7kze88q3ve/OHX/vw + 2y/Yw7l22drspiiea0Ud6qLU2jaqouoKT+ICpMbUAXFzWed8OyDRGUPjdnSrWFU8TC1Z1o9YrPCL + 2W3qJklnZRyalIqXg0vL/Xx/XbhaU0oZBzQ62+/2FVlqO2sa32bZC520dZb6KWOsNRERERERERHR + XU3Mq6dakXLrBX1F00JT2+kAJABQoAKqkGgQfDYGYxERERERERERERERERHRDcRwjpTSwcGBiMxm + s+VyqarRGnIYhrZt42782mmvL9GpcfdItjYzM8O6UWyI9qzDMMSz5p7KtA6yyvdVwXrUlAIwlHLO + 3Jbj6EjAMFoF9tNsqP1O18cMA/wwCbKgbUTgAMxkI3V7On733NG6wxwbMLUaaBfHyB2CrIBm7M+P + lofnoOqI5+DuNILRMZq3Aljtj44ggBd4E+tm06rz7CEiIiIiIiIiIiIiIiIiIrpLRWxwzllEDg8P + ARwdHW1x/iklERnHMWZbSkkpvUAydEopkqSHYWiaJpKet7g+tyrqCSMcOuccIcrufqt1htPvR6Vi + 3J0CxUWklFJKUdVhGLa4/pFpnVKKXHB3Xy6X0wqcCdet/3T3iLLe3JYztFFERERERERERERERERE + RHRviihrVY1vw6PNCxwJ83207trp+fe/5sJbX//2L3zr0x//7J9++0dfac7pso6jjd3erOq4GMZR + kGXVFEIEDnFLEI0OFuIQcXebgqWrYJkOLfXIdfDhyWce+8qfPvXKi4++49Xve+drPzAb92bedKlx + FzdTYNblUgrExUUcEEkQd/diEeESzSiib4av06xvYSdc04Ljx1JNtTcAqs1eM8MobZuP+qN529VU + a61iKaMtxZdjSaqNsk3GWXVHJFoDYKw1EREREREREdFdT3JOY8FRj/2KnCEyDL2089WlVVldyBRA + gd12CCYiIiIiIiIiIiIiIiKiHWuaJvo8Rn/GnPNisQAQmdZmFo0mVZU9DYmiLWz0YAXQtq27R0vT + nPN0O8Kt48bprvCJ8tVU5EpoNGBwYDnc5zLT1KKqoTpaSX0ddj3IqU3pQNNi3qJV1NGRC5CTHlvl + 6TYHXd05ZHU8Vo2Ja/WkAvj//KlP/u4jr95D6sdx1+9J1ZEUS1utT5cUBoHCptjtWNcdrwcRERER + EREREf3/7N3ZjyTZlSb275x7r5l7RGTWxm6yuBXJLhZZ3IvNnexms7unZ8BpqDEQNNCDBAjQg94l + QH+BHgQJehAwLxpBgIABpMFACyTNaKjWsDXNZrNYLO7FvbhvRbKarMol3N3M7j1HDyfcMmplZlas + md8PxUgPjwiz62YW5kGzc89HREREREREdHqiTCiK60QkOsPO1UEvXSxZVc2s7/taazRofqHvN7OU + 0mazWSwWAFpr0c25tXYk47lR7j4Mw2KxiOqpePImSg0jvnquVLSDDs9w91hsbKJ4Ph4fyfgjkPvw + 0tz9HBV9vVD957zdYl/Eho2NTEREREREREREREREREREdGbFre245R3lE2bmrkglFR3H/Qy8bOd3 + 9jYX77jrZX/48Y9/52ePffaxT37zF19ad0/vr1ZDmWTZQVudmgpMIiLaJbu4JzdtLTkEEEEDqsAE + EOQO++taBDX55c1TXbo6bi49/sXPfeb7//I9D/zBQ6/74EV5edn0O2mnh0zrYZGzSxKX5i4CS2LW + WmtJZC5BsG1stkaytW/v2gsA6DOyiQ3bFigmN1MRUUoZh1q6fj2spqm26i7ovPO1l5wy3Jvk1Kck + zVGStjYxZ+bcmQuKzkiyNWOtiYiIiIiIiIhucbWOyZeohitr3LELVe07A1Jc5IzrrNvezpy0RERE + RERERERERERERHSuTdM097VMKU3TFDnW0ahx7gjp7i/SK5PoNjGOYzQtnaYppRQtQaMla601GtfG + N6SUbvckeDeIAQqz/+w9777zqafNW+662kYHzFuSYl6PcwQyNbfS/ejKU+gS+hI1D5tpXJaMZ2Za + GwzA7b3DTp0+d86bAwZF8yQKB1SwKPspaUo6Tcc+IsHasNel0Xw1bTAlDCP6HmqAykHNjMaMQb5B + EhERERERERERERERERER3ZJEJKU0p0dH5vRRZVqH3d3d/f39eBzlSXNq9QtZLBabzSbnfDhM+lSY + WQy11ppzfvFM7hdfTq2167phGFS1lBIli+4erzF2weGI6yMZ/5wGPSeXRxVlKeVIln/cXqj+c675 + nKYpEtPHccw5M9maiIiIiIiIiIiIiIiIiIjOspSSu0dVgGw1yGocOxSVklx1lXa837U7WrO33fn7 + b/6zN//oyjf/5uuf/OKPPnfFrtSURlvn1ODbaBXA3QXmYu5oQAbcIQ7VSL3G/oDcIaUCqLpMmPZ1 + Srv4werL3/v0Nx/+yt988K1//NB972/prtK65e4CBnU0aVZdIIBCD5KqdRtfbQIXg1gDkqkcZ33H + etzUVi+WvvdOU/KuB1oRrTYmS621VusIUc0CvbnkbDpFhxt/+YHTHRHAWGsiIiIiIiIiolubCaTP + 2eo//5N/8O8//BkM01Wbcr8rQJ4vTsm1f8/ABSsiIiIiIiIiIiIiIiIiekliOkd0NgQQPTejet3M + oilnSmkYhr7vT3msRKcqIqvNrJQSvxfRUBVAKWWappzzNE3jOKaUolXraQ/5hBmgDogDYgepxNb0 + 6v7v9P2ipsvjZg/oCi5PULfjbpJaoVdb+5+f+CmkxaCmOvali/jkqHmICojnSVSm0+LbYhRBgyCm + HY4mSWGGpPXC7lO/+PXLJTVvxzqQ6tgrOo2tAtCUFEDCpmLZQyPT+tohRERERERERERERERERERE + RLeqlBKA1lrU16nqnK98JPb391U15xyZ1gBeJNM6Ep3dfbFYRNlSjO2oBnOjYjtEodScBj2/kOsn + Il3X1VqjRnEuzYpY69Za13URzNxam1/4Sxc9fyMcen4t5yXTOjxv/ef8TLyWiAw/Ey2NiYiIiIiI + iIiIiIiIiIiIXtRz726rYu9Cv14Nop1aN6w3y0Xuu3R1/+ll39lU7tt56BUffuBj7/uLh7/5qUe/ + 9Zmnx1/IXldlbFbV3cRdDAqIjAkJWgF1JLdkKA4TVEHqFpv1ZhpwcUcFadhUU0ixcnf96eYr/+Lh + xz792O+9640ffufvfeCVF16r+7Kre5qSKWCikpMlIKmpuLi4iUHMxZo2cRVH8hdpshJfMgAemdMv + 9s3PZd1SMfpmvFqrFc8Aaq3mNWd1tKzaLRbuMPNmrTXX4274QsdDRM5OPtDt1lOJiIiIiIiIiOi2 + U61KS3e1hs2Ei/2i7yvEoxOvAQkOFfZzJiIiIiIiIiIiIiIiIrolzN0Yo4+hqtZa3T36QkZPw+jL + 2fe9u0cbR6LbVvxqRCfWWmvkvotI9DaNlqnRlfUU28WeOheIAzCYotkuWpnG1uoS0uBoaEBKau0Y + k60N2pBk7wLahOUeVKxZlztrNSWFKwSCawnKCttO9KKzwWGigClcs8KBrPDytLXX7O1MV1fHvbeS + YJgsCbJiAlDrf/KOd/133/4WYBAVANAGU2wPJL49EhERERERERERERERERER3XKiIsjMAJRSaq1R + LHS0a3H3cRxVFdeRCR3f1lrLOW82GwBRwnS0Q7pOUVJ4OJM7IqhvdDkiMi9hGIa+7yMke45nnjOt + 3b2UMk3TUY0/tl7EckeKdqz0SJZ/3F6o/hNAbMDYmId3EBERERERERERERERERER0ZkV9Q/zXfso + 2BCXoY5Zi9dm6rt37Fgd9zdXu0UHF598mRbZdnJe/jsP3fv++9//7Z8/9v8+8pdD2jSsR11X3bRk + VWDiUDQgcqbNXeEKOLDs+6v7m5Kwt5eHoYpZLkXER6tDG7oe3VJ/vvruT7/444e/8/B9d9//8Q/+ + w7vy3Re6i8k6HYs0ESRxFX9uScnxVyCIbaYh5eSt5qIqsIZlX4AC2FTHqbWUkrsbkLSIaGxYopeC + sdZERERERERERCdKVc0sKaxBs7jZCbSJ70rupwozOBwCQKP/rkQ/Z8U5jLU+PHMsOoyf7niIiG5D + MQn2xTENhYiIiOi8EBGWIhHd2vh/0IiIbnnR1G/uZ4dDve3odhP7PT6mlKIzY/RqfO43848EovjV + mFvBxsfnnj9f6JfoViYAYLVJTgDcTQRoE1rtW9M2CdTgCkyGLNLsGDOtAZhgkrzWjGUPVTMUVQdS + yjh8TcMBgOe2s0q3f6ZEoYpgqkPfXXn66kVNsONtxyyS4M0cAGpru6XouIE12LU0dAAGJP4BdYa1 + 1lRVRKJZczxpZnGWjgoiMxMR/pFDRERERERERERERER0ndq2x2WUlKtqPK61ish8FwbbrNPTHi8R + 0bPVWuNWcnzadd0wDFEOFC0p4rZyfDWq6eLxHKV8hMWW0VEk51xrxfVVcsbZNWqTFosFtiVMxyoG + Flup7/thGOLJw5sxHtx00dS8hL7vAcRdflWNzR6BzdgGjb+kF3OIiERO+TRNcyx0HAaHY6FxHXHj + p8Ld440Yz9kysQFj8Di0eYmIiIiIiIiIiIiIiIiITkDcZRYgCo1Oezh0bkQdwuGOWADEtbcCMcvm + Mmz8imeXJIM3eAGSNhQrpV30ceeC3nHffQ9+9A1//vnHP/e5b/31jy9950r/m5bWpvCETvN6v3bI + Kedma8vixYcBeRgu5GLQOjmkSEET89YyoAI3DAbrqvf2BL71q8s/eOz/+MyDr37n+9/0oTe/4u13 + 9b+LVULLRbuxDqXPYxurVZWipj55KQVuzSuAlJJKaq25R9nFtd8OO1Rqd0P9WAyQpIYmqg6HmQi2 + tSTX8lmgooCjNYeeQNg2HR1Vba2Jqpmp5qjbOXUM+yEiIiIiIiIiusWJIxkEFWgRaq1x7fKczxqO + 6WqlFDOLaYQiMs/uIyIiIiIiIiIiosNYBExEdMvbbDZ33333er3GNuKaJ//bVrRijAOgtTaOI9hW + mIhuhgFobhnJHaoZmytA+kevefWHrCU4YAY3oAlc4vsdx/bm00SGrvwXX/kyur5BkyqAsU4QTSLw + 562CsBuc20XH6WAHqQBoDZIwrLG7+88e+9p/ed/946XLWebU62MxWLuw6K9uhp0uX5jsp5cv7b78 + FWiG+JNJDg7ea0fM8x9UdMrmZtnRZ/xwvnVELOBQTdGcr0BEREREREREREREREQvYs6xflZtSdQg + zXO3W2sRgHpKwyQien5zOHFrLaVUaxWR6P06n7Lm28cnUEQXK43k5hgJzmT9XjQcjw01DMNyuVyv + 1ydQdxrVrX3fj+MYtY7RMOSolj+/hMNLjqzrUkpkeAOotXZddwb3C4AY85w13vf9XBFBRERERERE + RERERERERER0ixADHGim1cUBmKi4ClRdxVFMISpe4Lsl1T5f/OgDf/aO+9/5zZ9/6bPf+9R3fvmN + /XopL/XS0+vdvSzmrW5Sl6rX1QYloVXYOFkSSUmLQKy11gxJYVAgO9BSbVqbQr0a6ud+8Olvff9r + b773be974A8efOXb7uru2Yxpudi5cvVKyf2i6zebQaXb6XaGYZM70aTubs1am0RSzl3OeZqOLJ/Y + BXAVFwAONUBhgBrs4KvRF4ZtVc6tqN6Jx2ehVxx7cxARERERERER3crUAYgANVlcZsymUGlAg6mo + xCUqObjgeOZmXL0wMyulDMPQ933Xda211hozrYmIiIiIiIiIiIiI6PakqqvV6nAfxrPZbo9Oxmaz + 6fve3WutEfTInoZEdBPGOpXSAziIjS4dnr78+rvvyr/8FQDAFWjbyU7HrYnul4ySIDKg7aBMQ+26 + AjHYttzh2iydI+t1S0dDAEDnHZOSj4PsLNEqmk3HmId+zW7urmyGrLi6rgX4nd2dy9OEVmEGgyc0 + IJ2rypnbk5lFt/FoSh6FQ2Y2twJvralqKWWz2SwWi9MeLxERERERERERERER0Vl3OCnzcL51a22a + pq7rIpkVLD4horOq67o52RrbVGkziwfRgCLnfLi68lhN05RSilGllOaz6FljZhGcnHOuta7X6xjz + ca836lrHcYz1ikh0DjnCDXU417y11nVdvNm5e+yReO0xhrNWZysiMezItF4sFpvNhm/BRERERERE + RERERERERER0K3GthgGAA2rZROGSXAEVV3VALFo/NAEEDrXqvkoX9OXvvfeP3/bK9//oN48//PV/ + +6XvfPqOl+1e3vy6FkePjVkTScsdb+iTSqvVR5M6VRigin4HdYKYwhMAmCqqehXHInX57t69fvk3 + n/vKp7/w2nte/4G3fPjdb3jv7ubCHbv3lKYY2w4WLsnGKSUZpw2SiIio5tyJCJqt1/s5X4sG1oMU + mJvbRglQIDnUoAq4wFwBwKNvhwEKidYv8SkbrZxXfhZCrRlrTURERERERER0y0sGdTRxqAGACxxJ + UIF2ni8PxfW1vu8BrFarnZ2dk5lGSEREREREREREREREdNa4e/RkTCmZGbaZ1met3R6dmAhxjAPD + 3aMnI48HIrohDj1o7zs6gNwpmkOyPH05uQMQwAGTCCR2OeY5MjXhSpeQE0pOKABKyQB8qpLywTwu + wUnEI9N12O4KPfgEBqgACXCHAKIKUeSEblnhkhXVj3P/yVSbAoNhp0Masb+/6volXGAxbU8NSIei + t+lsUtX4u3d/f393dzcKh9w9Ojgf7lS+WCxOrCk5ERERERERERERERHR+TUXlpjZXHcEQERKKXO+ + add1m80mKlKIiM6aruumaSqlYHvjODKMAUSz4GEY+r6PrOvW2rEOZmdnZ7VatdZUdZqmnHN0wzjW + ld4EERmGIaoKjzZV+sXN7Zvn/ObYUEe1/HgtsZdba/N72fweF8eJmc0FBmeKu9da5wN4HMfItyYi + IiIiIiIiIiIiIiIiIrq1GGCC7EhqOXpTiENhcw604aAhBASK1KU+iYxD7fXihTvvfN2Hfu/j7/34 + p77yia//7EtPXv2lLVF98CyesB6H1rxLnrKJoBO4w4A2QRwukQOt4iaWIRDHlc2VpGvpFLtiDd9b + fesXj/7sk4/8P3/49o+94zXveu3e6/u007el1GxVs6r0ffPq7jB3b2YidtD04CgcbA24iisgBqjD + xbfjjxYZCheHCrtknDeHc6zPSKY1znNuERERERERERERXSc1gYtBDaLYNgEWudYMOB4c9Jg+J93s + U0rDMJRSRCRm8W02m9MeFBERERERERERERER0SkQkegb+6zovrNTskwnqdYajRfnLsMpJR4MRHQT + VNTcSlYAY526apjGC9bUrQmKz/UFIu4C6HGeaaroZqcDGiQ5xKO6YaqSDzKtXYBtycO5Kn+4hem1 + XSDAQcY1IGitpZwAoClMTMUEfsyp5BVNRbtsAEZgkctCM2o7vNJtsjWdXa21aZoWi8XOzo67u/s0 + TRFuPWdaD8OgqqUUZloTERERERERERERERH9VhEpWmuNnNGu6+IWTMRqRtlJrXUcR2ZaE9HZFGWT + hzOtI8Y4sq5zziLS933bOu7xrFYrEYk72gBqrTs7O2Z2dJ2Lj0zOudZaa41Px3GMlOvjFm8x8b6j + qmYWIzmShU/TFMfA/OqWy+VmsxGReHVxwMTuOJv7ZR5/ay3it+eKCCIiIiIiIiIiIiIiIiIioluA + OJJnAI5kyNvsaoOYx0MHoB7NH8QAg1kdx+ZwgTQU371bFneVV7zqAw/+6urPHvn2px99/OEn2xPT + hWHtKywagOruBmnoBVlhhmGEZG0CEzdpehAdnYFa9sS9iqeEBM0GW9vlQa7+71/9n/7ysf/zna9/ + 94fe/Iev23vjxXz3crgwrGttbmoppaTZWmvVsuRSstVDDSyiF8qNbx+NrQA9+N+hIBkXAAbAtg06 + ts08jr0kho7cXKhzRjqDMdaaiIiIiIiIiOgW58+4XqkQwABFEng8AgyqcX3y/LR2rrVGU9q40BYt + a2Pu9GkPjYiIiIiIiIiIiIiI6ES11haLxdzmL55prbGN3e2plDJNUylFVaMD47PyzomIrp9C0ABH + 1xWMI6a612xbdwAg5jypoB1rpjWAKeGfPPoILiwdOtba5wIAOcMqclQ+AEACIAeP9XyUP9w+TFwB + NEdKqU6Dqmoq0OQpj5OVY+6MnJGhaGbrhouKK1bHq1chCQAEsj2knYfN2ZZSSinFnzrurqp938ef + wV3Xxd88UVA0DEPXdSfTcZuIiIiIiIiIiIiIiOj8mpMyp2lKKUUWbM7Z3Vtrqho5o6WU1pqInMH4 + TyK6zcW5q+u6zWazWCwA5JzjZFVrjbjruK0c6dfTNB33eFprcVO71ioiZnYGb17Pw3P33d3dWusw + DGZ2AqturcXuiHcWHOrSeySiA0lrbW9v7+rVq+v1OlYRrzelNKdZxzvdEa76SES+eLz/xvBYDExE + RERERERERERERERERLcWhQPQaGoSmdYuBph5fDnu5tv8cdEta62ttSQqIjZBLKtISjv35p0///3X + fOhdH3vku3/9N4/91RNP/2h558Urvi/JREyAqcIEqiid1oNIaDtoSCIQB8RE3Q1mTdzdvMFdTRNa + Mtfx0R99+hvfe+zB333bR9/+Jw++8u1ltIPt+wAAIABJREFUbze33GpCg4tJ0ixJzGutiqNp8aSO + 6NHhYpB5axzUdVjUWQjgCpiyTcY5x1hrIiIiIiIiIiI6diZoChNk23aVVsAi7NpE1AUGRBD0+epk + P3feF5FxHEspZsZMayIiIiIiIiIiIiIiug3FfZNoIxvJ1hH4d0bqlemEiUgpJXp0RgdGJlsT0c1p + 1rIWtIac4EDTf/rnf9FPFQKPqVknyARYdIAPbVrk3h2ttpwTRICI3gYiZXv7mM4OhwEax0xSTO2g + bTQccBmGqVt0Pk7HuudGVGkAcHGB1Qa5yxdy/x/f/6b/4Zc/i5EkQQMs6mc4be+scnd3L6UMwxDx + 1QAi6HpuPB09wfu+5x/DREREREREREREREREv1UkZZpZBFfHPZeI0lwsFpvNJiJgD4drEhGdKdM0 + xaksMq2naco511qnaVoul3OAcdxBPu5MawDR8iJCo/u+H4YhUq6Pe703Kk7v7p5S2t/fB6CqJ1Bn + GGWu0Wk6HuScj3C/xNK6rhvH8erVqwAiyjqCoucQ9Hh8BvcLgN3d3dgj2B5ORERERERERERERERE + REREtxKHOpIJXNykAuYSLR4UUHUVF8BdKsQgDdDNegRUNaV0kPFscAgcqIMl6e7Jr/jTBz7+sbf9 + yXd/8u1/8+gnfjh++1J9ylClU+vb0CYDJJtErLWr+jYNWiCAVqChOVoyKS4CAcRRUoL50NYu9pW/ + +8KXP/H5V7/8vg++5WPve/lHL8g9qaQ2NpiV1KWkrT5Pf4Pos3GjjQ8i7FsPgqwNgIsBHi9Aff7i + QTQ4nV9npy0GY62JiIiIiIiIiI6cAQdx0XotK9qeeUVPHXZwJfBEaFyPEmuCpHHx8mDmsB9+dH7a + 8oocDNTdY55hNK491UERERERERERERERERGdjmjtp6pmFn0G54hrut3EXbPFYhEdOVtrMSfjtMdF + ROeMAEkTYOgSgFqRFT/93vde7WLuDrSD6U3uMLiYuN7gHXsBPMoptj+7LVh47rQsMWSIIuciXQNE + kHOCwFuTlOcFbh/oSZZk0Avx2JeHdkyUppSUHXC4AlD9r7/+9f/mgQf2oMDxteJ1gSy6rk7DaoPd + Pl0aJq3rO++8ALGom5FzUzJzWxOR+MPG3c2e8WsenanNLGqK+McwERERERERERERERHRdYoQ0zln + NKUUIZqbzQbANE1RkhTPswSFiM6aOZk4CufiUxFZLpfzWeskbx/HOTNOp8MwRAcMd5/7Y5wR0zSl + lMystSYiIhKlp8e93ri5X0qZt8nRJjfXWkVkmqZ4RfHWVmud38si01pV49vOYLL1arWKB33fR2lE + 7KBTHRQREREREREREREREREREdFRMomWIgZYtBiJPiPqCj98i9wBqEO7AhP3VicT9Wg7ELUiy25Z + W5UmO6nYNL79rvc8+Bdv/+qvPv/57z789e9//crmStp1SxvHBnCTCG0xdQgA36a0NCiABM+o8Ohk + kICMVCfrSob4/vQb3U0/GL7xk8/84NH06Afe+IfvePChOy7ciUHEINa7+7ZphQIGV4ipq0m8ZD3o + rOKARKuEyKv2+Ab4HOyNbcsU04PWK2YHQdd2kGMdWTNiuBZxTefG2YzUYXsOIiIiIiIiIqKjZXAD + dBIASPOFPDEHRJp5VcdkVhKG6n0vPh1vlHRyU4dJmi8p+jMb8cbEMpl79J438/wrVT3dkRARERER + EREREREREZ2WuevifMeEMX63rbmDYRwMZ7DrItHZFJ1MDz+eG5vi0I3p24oA0zSV3EOQFBiawMRb + bIzpYAoWxL2KONKhCVHXtXCFGGAS/3ryuYChbDAqkBJgmBwdsluGFEhWQKO6QQGH5C4ezosFoFDM + hRB0GhwxGS52iQEwWBIFDqKrLQMQiAETuuV+v7tol7rjnCin4nUcBOiAYWgFcodPl+oAtCZIMABp + PmZux9/488TMnjtPL07X8fdPtJ8+hZERERERERERERERERGdQxFiOqdZz/Gi8230+dYMM62J6Cw7 + HP07n68iOzkKgQ7fR46ioPmZI+wVG9nJERcdUcpn9hZ2bJY4/59Yt9zWWiml1ho1rqWUCNg+wnDr + w68lagkAmNlcSxm9rQ8/c6PLP3zk9H0/DMNLG/Kzlw8g5zwMQ2wuMzubhxARERERERERERERERER + 3W5EGAZBR8K20dWmroBZBFoDgEEONy4R9QTArB40KRH4wb31BoEAZq5QSDZzRVIsdV3feefH3vqh + D//4TY8//I2//uqPH33a/64uUX2aUFNWgZpVcfQlCVqtKAnV0PwgPnryONq7NmlOCaYwK6qGqbZ1 + 7a4+js9/86tfuPe7r37/A3/wvvs/fO/yNWkQTQUGkdTM4UgpKQTuSWRStObm6DQlEWuTuEmGYWpi + pm4i8AxXdXWIAOpmYgoTGGApavmiPwuyRdcWANu0bDpH4kRaa00pATqOYxSGnG7aNdvVERERERER + EREdAzGfG91uQ6sbLIskLeYoZQGf+r5Nkx/rBRp1RIx1k2js7AoD1ACHAvaM2cOC6+4vTURERERE + REREREREREREdO611lJKImJmAKJjqbsvFovNZjM3IR2Goe/70x7sKSilTFNThVktbn3pFCtxB9Ak + wa3AE1ABE7mpmU4KwADZVixITB2DJAXgLkiAle5lr3o1JAH6jBat8qx/D3/KyZBnh23nT5lA22pM + O900QQsSDFmQ85XW7pST2GXbdSQFkrfOGg4OPQMA52FDRERERERERERERERERER0K5imKUKLD1cH + xYPWWqQdu3vXdeM4Rsr1Ua06ArbnRO3DgzlTolCqtSYiy+VytVrNadPHulIAsZbYREe78V9IbP/V + arVcLiP1PBLHb2JR7j5XmnVdt9lsjnCcccyoaq11zuc+sdBxIiIiIiIiIiIiIiIiIiKik2LXHvrh + +/f2jO96RguIZ37pWTzSnRMAtbKUOy/95qnXdm96w8fe+Ef7f/q5b/7tl77/yJOrJ4a88lZRJJVi + VjdTi59Zb1B65IwJUEOfABdpFZJd0LQ5DFJNzAUm2G+Xd+/p/274yb/6/D///Nf+9vd/730P3f+B + e++4r5c72lpKXnZS6lCToWgya9Za6TtFslprHbOqJDVUEwWgBhGFp7k+wKEGVVQDkh9qquIKaMRe + X9dmoTNpbmeEbVmIR5j5qWKsNRERERERERHRsXiehGhzQEfzCklwa03k2Y2Vj20sMAFE4SKucCRF + PfTlBmh832lfriIiIiIiIiIiIiIiIiIiIjox0aW0tebuh2d9RJPW+Nhauz0zrVutqpo1iyKJYLpq + 49TMIlla/KDEQABx6HOqJH4rARQmOPhZF8DhgMG7sqhtvWlYAJbwm3H1n3/yEwyqvjWknQ4CVSjQ + rKVhAERK9ukk1m6HJufNh+y1YpnnKfchIiIiIiIiIiIiIiIiIiKi82eOkU4p7e/v55z7vm+tzd+w + t7e3v78/jmMpZZqOrGphXlpUJUUx0hnMtAYQYdJRIrVarU4mO/m5bXlrrUe7C57XNE1zyniUhL2U + AO85DzsyrXd3d/f3949knDFCEYljJrK341giIiIiIiIiIiIiIiIiIiKi6yK22n/67jsuuF98+skr + 9+Y3/6N3PPCBN3zsm7/48r997F//uv58vz7VenhCKxDkUhapWrOp1qqKhSiao1l1lzRaQk3XGlGk + pmq5bzJdbtKtut/Jvxi+/n89/o1HfvlvXvfyt73/wT9+3d1v3bN79i+vd9Oy6/ppvW5t6nbKZnOl + mvf9Mu92tbXJpoSkXkrVYkkdAJq2lmoTOIpDDUndGlp8NfKrXQ4eqBvEAIcAztICeqkYa01ERERE + REREdFzkGf8gaYLIZWtT1/u0SQ6tyAluxzwMj97PCgC+/c8gCt/243XAAF5uJCIiIiIiIiIiIiIi + IiKi24e7m5mIpJSiB2g8Gc1SAYhIay2lFB9Pe7wnLeVcpynnjGbwBsk2jjulG+oIQLf/RU7wTfe1 + FYcLAJgguuOuMe7qzpUJ9yySt7ZSxd4eUCHMtT6n1GEHBTQCONpoaUcbrKhi2aOO9UR+vUyQHAb4 + 4Xhrf2bBDJOtiYiIiIiIiIiIiIiIiIiIzj93b61FdPHu7m48aWYppcVisdlsrl69GonOc8rykZim + KWqNWmtzVPNcmHR2qGq88FrrXBZ1AiVSqtpaM7NSSuRMi0gEbB+r2ClmBiDnHAHnInKj+2WaplLK + XFEWh9BRZVpjG5jdWluv1/FAVc/g8UNERERERERERERERERERHSW5ZyvXl5l6e7s7nKVYb16VX// + 777p5e9963seffzTj3zrb37y9I/G1Fr20dp6GlUF3tyB5oaWkohKJz5tO6IgwqT9oFPFTt83mSZf + j1atoArW449++ZNfffWHX3ntnW/8g7f96Tte+571uBrXeW/vwlJ2hs1qd2fRRMeprsZ1SiWlYpMp + ElzVVRyQmhwwg2oVuKgYTKCu5gC2vVlgcIcYAIkHri4GZ2nBeeV+JrqcMNaaiIiIiIiIiOgYODSu + LYaDWWyKcdxcWKzRLq5RxsF9gl/78rGZryFuV+SAIwlcFIBte0xDAPCaIxERERERERERERERERER + 3RYi0DoeR+vP6AFaSpnjruev3obtQadxLKUDACjW+/C21y+nq09vqw/sUPyvi+PGt44LoBB3+KFk + 7J28sz+sL3ZlHKdquAJ7sq/Y28FtFyx+qzEgAVCkhVaguhURNEdrZWfXrlw+2cFs63rc4BZHdRyD + x13HQ0RERERERERERERERERERMdNRHLO7m5mEaI8jmPXde6+Xq9LKdM0RZryOI7x6VGtOucsIuM4 + Rm70/v7+nKt9dkTAc4it5O7HnWkd60opRSZ0pEofbaz4C5lXFy/8pl9pKWW9Xi+Xy/klxJNHdfy4 + u7vnnCORvZSCM9O8mIiIiIiIiIiIiIiIiIiI6HzwvOj3Wmu1jvvTZREgWalJ9y+IdX9837/3/tf+ + /W//4huPPv6Zb/3861fsKdltV6anl3t9J2lYb2o1z+4ZrUEU4ugmANmAmqypWRqf3oyLTlT6OjXR + vLOTzcbVet26n353/1ff//8eee1dv/exd338ra95zzhtZL/cnS4OmzqhuWpSTabJkkTNhrYhDZAm + DnVRy6lpS+5SIYgMmTlTZuvaQ3UAZp5YW3C+iEiUnUStyGkPB2CsNRERERERERHRMRFAARfIwVUg + BRQ7O//gE//35x969/2WklkSgZ1QN1xxhQNyaHUOAVwi5Hp79fFMXLMiIiIiIiIiIiIiIiIiIiI6 + dpFUXWuNJrZzj9ToPdpaSykNwxBB16212yzW2krXwWHTpKIo3X/0lrc8uF5l1Wpm29qDKDaQg4c3 + XHMw/8BB4DEAYKyDQGDNHQXYubh7tc/oEm6v7X++RdnMMytiNCbEicEE1ZFTBioUWCx+denS78pJ + 7N845OYpeuKHjlyBwfQm8tmJiIiIiIiIiIiIiIiIiIjo7BmGoe97bLOBQ85ZVcdxjFjilJKZHWGm + taoOwzB/2lrb3d2NIqWjWsVRiTDmnHOtNaUkIocLqI5JrKK1FlnjADabTeymYxWv7nC12DRNhw+M + 67dcLt09MtGnaVLVIzx+RCSlNE1THJyttWmaFovFUS2fiIiIiIiIiIiIiIiIiIjodrC/Xom4ZCm5 + E3E0swpYvqPcNa1q0r3fv/dlD7767T/89Xce+drffvHxzy4v7l566qmrNvQ73WLHNzbUClUIoI5k + GVAIksHFTLBzh44b82ksuoDl9eUh5bqzW5pNl/bHneX4xPD4P/vL//4VFz754Xf92fse+ODlYerS + QqUDkFrSpuKqjibNxUybi4nDXcXNxSAmAiCrR8CMxQAcgJhcS0GO3h50zsw51sddqHNDGGtNRERE + RERERHRCaqtFFW3aedW99qun6rjOWiAGt9/+wy9BXJRKB52k1QUi2yBrhQkaDgKviYiIiIiIiIiI + iIiIiIiIbh/RLnbOtPat5XI5jmPXde6ec472tTnfdvMv3Gyapq4sMYyYxqWbwOxQpjUgDsdNxFnH + 8p/16XaxKSWz1lqDY6csfnFl3e5+OZKysuF8eU6m9VaGAlkAYD0NO7lgs9m952574ucnOj4A4gqD + Raz6Qe3M4YR1IiIiIiIiIiIiIiIiIiIiOqfMrO/7Obp4s9lENnCkEQNoramqmbnfXOXLC65XVVtr + kXwcodHY1imdHRHGHMMrpaxWqxjtCaw3Apsjs7nWegKZ1gCiDKzv+9VqVUqJV30TcePxIyISB1hE + mMdrOZJxmlkckyklACKyWCxiix3J8omIiIiIiIiIiIiIiIiIiG55JtXKpJ2K6TiZVMlaUuqKYDOM + mjV7qfu2o3e9ded9D7z3nf/ue/6Df/3ov/zOk9/48ZXvTXW/9YMLFOgSMAEO0+qAIQNapqWltlqN + qijJs40ZslOKuUxXBhfcsVvccXXT0u700/EH/8tn/8dPPPK//tFDf/TO+x667+4H2uVuWE275Y6U + 0zAMkgVQtQIYpAE2ljGiY5IDaAKFRLj2tumKmAvkoNpFD1q3sB/LeXa0xUs37bZrq0RERERERERE + dLxcAUCgwLPSqnPKmCZcvPCL9eriONzdLVBrtZb0JK7zmQACCAxIcq05tALXPjsTF6yIiIiIiIiI + iIiIiIiIiIhOSDSoFZFozJpScvfWWtd1wzD0fZ9Sii/dRBvT804UpRQIkDKGTWm1L9laxba+wPA8 + 1RHXya/94DOKFQSw1kpK0lpR3Z82ZXfvn37pS8gJJ1JfQUfJ8bwHSDOXJA7knDEZuu6ffOYz/9Ub + XneiYxM/+GDbQ1lYOENERERERERERERERERERHSLEBEAEQY8DENkWru7u4tI1AKZWXybiBxhf9hI + a45KpDlX+6wxs7ksKvKtsc1sPu5VR4GWiES4NYD49FhXqqqRLx6Z1jnnm8uKjij0lFLOeRiG+PRo + x9lam4/MSB8/m4cQERERERERERERERERERHRmVW6NE1Da1q077qlO1r16p5LgXiDF+vU+2zZmy1x + 4R9/5D/8+ebHX/7ho49+529/+pvvp+Wg2cb1WhUmcIEJHAYHRMXRJ0CgAvNW20aRRCRrZ2ib9aSq + yGlsG8mTYqht9a+++L99+ot/9ZZXv/sj7/yTN7ziwdX+JRuw3Nn16uIKh7gC5qJwhZi6iwtgCgAK + NENTxDAAwEUMqq4GBcyBm+3+QnSAsdZERERERERERMfEFIfnaxmg6HqkNPVFumyrUdyzJD/ma3wN + 3hQtsqy9wQ1QbFOuBYiJVrdX720iIjoKIvK887TjyXj+BCYSExERERERERERERER3bS5OWn0ZgUQ + LVMB9H1/+Eu3W6Y1gGYtaRrH2gGwmutUkgBwwAXmUKABACyevsHuvi/07QIvqlODmgtgSJCMxPkv + 5828gwXPKEsRpCRx5CRJKIrJ0BU7kdIVk2cMTmAwQ3MkTHWS3F/7Im9ynmHunnOOftPYnp+jT/Tc + Ypv3qYmIiIiIiIiIiIiIiK5H3F6Jj+4+P8b2/kvcl4mbL5wtSERn05z7G3HF8WSttZQiItM09X0f + 57Q5hNjM5nnQkXN8c6ueb14/d8J1nE5jPC9+/hSReXiHl3nc4sxfa3X3yHg+sZP8c1cUG3DejIff + iY7Q4Xzom8i0DoeLFm56hCml1hq2MdvxFoxDxQ/Y7qBSCt9/iYiIiIiIiIiIiIiIiOh0iYi7AXC/ + +ZutRCdGXWVAjyVE1dS9mQAJDtTodSEGFXc1M3XttNOq96b7XvXm1370gb/32Pe+8KmvfvLHv3m8 + u3Nv3y4jVxdzc6hJ9oZqFX2DOAA0VZMMSfAm3hSeAcDMTRRNW0Wtks3HS9L+5om/fPhnn37ra9/5 + B+/4kzfe81ashqXsqJek2d1rdbgW3YUYZAJMI0bGxWEqcHGgGXCQfh2v9SBtpp3SxqabMYc4mJlq + qbWK4DlRDyeNbX2IiIiIiIiIiI6UAK6ACcyBbVveeSaSQrWJnORFoZx1mqYqDQmAJCgcU7XcqwHJ + kTh9iYiIiIiIiIiIiIiIiIiIiJ5JNVVrXddjfw1HZzau1kvAD5UZGMTFb67uIAKG1eVZCdcZup42 + FzVVawnd6IA5JENuu2TxW5gCBmj8q4qkN3kYvcRhOP7x6+//F794As2gMEDgZlBlMc0ZNU0TANk6 + 3Co6WoGrarQpH4ah7/tTHi4REREREREREREREdGZN4doxoNIzTwc0jnHi0YELPvSEtFZY2allHEc + Sylx43i9Xi+XywgDjnDryL3etoLVOJvN57dpmuaM4evXdd04jnP+tKq21nLOcV87RmJmcV59kUzi + yJOe87ZF5MTOtK21eYQppdgOpxWf3ForpcTWiIHhUPbzSxeva5qmuS/wTb/SqEyI4yo+FZEbHeec + aR0LmV9pHJbXf/wQERERERERERERERERERHRswiQLAOAqwtM4AITAwCxQ99oJoDCBL7yve5iG5u3 + 9JEH/t7vv/VDX/jBZz/1tb/66aUfbOrVqhvJbWqbcXJk9AWlwh0VcEFVmDR1Sy7uDQ4FFGgCwCBm + qbZcLm1W0qdFqZ/7yae+8t3Pv+tV7/7gg3/44L1v79uujpplsdy76JPWASX3tQFiAonQGxe4NySI + QgXm5gJ42nbtAGOtzzU/9URrAIy1JiIiIiIiIiI6ejL/a45ntVRWQA3R+/akLg+ZpVRSUbQJw4i8 + gKbS6wAAlqAwpGvDVHBCExERERERERERERERERER0W3P4UkTABgwtV1RlYOaAoOouLm4wEXgNxlJ + 7AJzAKLPWELrIe5uwBWMsrwL2sHBkoZzRuxgrz3vFwGNEhtRqEGOPdZaHbgWpn7wWBz3XNiDGURL + Tlfq0Odek55YUQ/dqFJK13WttWjr3Pf9MAzR3dvMIso6ej33fR99yU97yERERERERERERERERGda + BIhGfGZ8qqoRbhp3YQ7ndDLTmojOoMgYjpDprutEJNKRI8H68FcBRLJ1yDm31kRksVhsNpsbXW+s + bhzHiIKutaaU1ut1rdXM4tZ23L+O2OMXWk5KabPZLBaLyNvGNuA5bosfn1iFiMTWiKhv1ecv8zgB + kUEeGyp2jbsfVaY1tjHS8dYW73E396YWkdjzDso5z9HmN2HOQY/jxMxu9PghIiIiIiIiIiIiIiIi + IiKi5xI3AKYwoG3bWQiQLNqWGOBN4WIOFcdCijQgpT4vp01N4957X/nH737dR7/7xDe/8J3PfvmH + n726ebK72C/Lutnghs0IT0DSpqiYXABVUdgEdySHxOocFtHXmNCpmIzjJnVqZf+x3zz87U994fUv + e+PbX/fu993/kYv6u08+ve70wu7OHVdWq5KzN6km2SWpJlWgmUzNJaKyxXGQmQ3BC/X1oDPsWdUg + ZyHYmrHWRERERERERETHQYEGiBy6AGRAAmCSTAFATCCAHftYBMmtXr4CCLRET+DJ4dtm03DAtmnc + nM1EREREREREREREREREREREwDAMi34BALnAW5qmTkQOJxWLOxIcgqY3NUMmyioOPgI4KGHwZbdY + jxsHmqQrrSIlCLNpz5vfdkhcK1FRhaid+EQ5dagjVcdTl/CKu9xayUlxqIqGzh53j1bjOzs7q9Vq + GAZsJ+yZWd/3AFprOefoIn26oyUiIiIiIiIiIiIiIjr7ItTTzOYmiZFvGuGaIjJnrEY07ByATUR0 + RkS8NIA5BXkOhI6k5PgeABEVrKoRRdx1XfzInB98Q+sVkci0jh+PnOP5JDlNU9yzjtPs/OnzWiwW + cbKNU7GqHnemNbZbqeu6GJu7R8T1aSVbz/nNsVMi5DvejI5k+bGolJKZ7e7ujuMYS77R1ysiIjJv + vTjAbnqc6/U6HsTx49sWxTd0/BAREREREREREREREREREdGzRBqMiTkiFAaAqkNcIaauJhZNMUws + AdNogDpccuo0q5ttPA32lrseetNH3/KRd33k4a//1Ze++9lL46+0qJW23C1DG2trgHdZGrzWViv6 + AhfEskJyNGCYUIqlnLRJa9V9asWnLn/5l5/9/pPf+dxjn33o/g984O0fW+4sL+0/mXe7TZv6rk+e + W7U2uNSqCk1JxQ1QwLblfoy0Pr+iUsjd/SyEWjPWmoiIiIiIiIjoyDkOxUUfeurgM9dscSXxZJrg + eq1+ca97ZdrBquKCwUZPvQEK2baZ3g6SVx2JiIiIiIiIiIiIiIiIiIgIALDo+6lO4lLcMU5LR2tu + OKguiDlU9hIKH7azsBSAuSk82rsmTatx0wGbIv2dd6/d0RpaQ0ov6fXQqXpOTYoBgCsACKDwYy6i + kecL2haYVsOFO6I5v2qpVrN0h6p/6GwRkcVisdlsVqtVtIoupUS49WKxiD7O0SU8MhXm9AUiIiIi + IiIiIiIiIiJ6ERHqebg3YuSbRlbrnHLNTGsiOoMirDqCpVV1DqjOOc9B15FjPU1TpCa7e0opzm/P + ihO+fofPk5GNPecxbzabxWKB7Z3rOXj7ecU5dhzHvu/n8ezs7KxWq5ewVX67OLGv12sRiXFeuXLl + woULx7rSF1FKqbWaWdzoN7O+76Me4EjEYiOOes4yv4n9HnsqBhxx6aWUG81EBxCHTZQ6mFl8jJd/ + Q8cPERERERERERERERERERERPUsTDCmao7g6kkEBuAFqyA6dDsJZanJJ0Ygip9QVM9uM62pj6lPR + 4g6Z0rRKb1y+5c0ffvPff8eff+7bn/nydz//s/VP9v1pZOmSolmr5sBORl6WsU5wdaBBxWO9SLB7 + dvurV9frceoKum7p7tZQYfnOxaXNpSvTN37wte/91Tf/8t1v/MD73/Khe3ZfkW1vqF1qpWi/3Nkt + UjB5HTcpK4CDJcMBO+jdcfCRzoczkmP9LCwPJSIiIiIiIiI6NuKHGuJuHzUtphFy7eLiOO4+uLnH + 05ev3Om7GBRu6NLoJpK6uLw4Z1p3wqo8AAAgAElEQVRv/2UzVyIiIiIiIiIiIiIiIiIiIhrr2Oce + njDaf/rQQ6/abO5Y5DZUiZKHg+8SwNWfPzP4+s2B1Q7AvQGesG7+xK+f/G9/+mN0PVJiQcO5Ms98 + e06eNZ59rDhwMtHDsg1TPyiWEYgjQzA1jJb2egegCgOmhp4x6mdRa22z2UQXbwBmNgxD9B+P56P/ + +DiO0eKZiIiIiIiIiIiIiIiIrkcEZ84Zn7XWuPOSUgIgIpG6Ok1TKeW0B0tE9AxzBrCq1lpba13X + jeNYawUQ0chxlotTWfxUa21/fz8isQGY3XBv30jIjkUtl8sIYI7T5nK5BHD16tXd3V1sA5VfZDmt + tTkpOUZy3JnWAMxssViISJzb3f0UM60BzG8x7h5vSbEHj8rhDGlVzTlP06SqL753nutZCdOxnJsY + T+zocRzjQc5ZRGIwN3T8EBERERERERERERERERER0bO4wOX/Z+9emyTJ6jvP//7nHHePzKwqaAZ0 + AQmQGImVhG6MuGjF6DJjNjs28wb2Fexr2Wf7fF7DPti1tVlbm9FdoAsS0IOkBgSSkAyBRENfqioz + w93P+f/3wcn0jq7uaqqq65JV/f1YWZSHh4f7iXC3SDM/5/x/PffZt/IWFr1OymtFMFKkXoPCzW0o + 5/NeHtNuOs5HtdZaazLLbrt8o52v8+n8Qycf/s+/8mO/9NH/+esvvvDbX/ivN9uLy/7Uy2JlXW1e + qua25qQwKZLFRfB0mFvo1ivnR0f5+Lgsa6u+KiXLsdS6tKUcpRrV1F6e//m/ffn/ev7rf/qzH/qF + 3/zkf7w2vPt4vFHrerpfhjoVjTn3D+ZuZrFVfiHQ+ql3RVKuibUGAAAAAAB4RFyXtwtfV1jZlfyi + SK7sbdV0vqdGmPaz3nPjJG7WP/h3/+k3/uaPV7XVLMvVpFAUNVO5EreqAAAAAAAAAAAAAADAVTGV + UZJqaKmlxXHJr+7nd5mqFJfztCwkk0lJ8vtMtraLHZhd7q1JblLENJbzpeYbwzBNGrIstapMdfRn + yXatmFzKsicyVS5J3qqyqQzLPK/ZpnKkkAYyra+onHPPS+hVyPvK1lopF1PkeqbCbrfrRcmfXEsB + AAAAAAAAAACeDu5uZr1jJefcwz57sOiWZr3VTCTTGsAVtNvterL1uq4555zz1ps8juM8z/1Xrr+6 + dSWnlHoEdQ8Vzjn3fOt712Otj46Ozs/Pz8/PJfU9RETO2cyuXbsmaZ7naZreogvbzHpLlmWJCDM7 + Ojp6DLHWKaXT09PD5rl7rfWO2ObHpkdr95jts7Oza9euPVhc9N3knPf7ff+jVmvtydYPsJ8ePt2H + LvRrr5+++71++t9Wd+/J66enp31la+2+rh8AAAAAAAAAAAAAAHCH7DK/7Gc3b6YmT9kll9xCl+Uk + kiK5siLms/NpHFNJdalza9nKlEeFaqueUhqn5FbnJdx+NH/ove//kU/+xGe+9I0/+7O/+oO/+95f + n+WX40RlVElqVXK/yLSWh7knl5Qn7Wvz1izLk1bXkDScyKta9UEy1RptfPfuFb342W/8t7944XO/ + /K9/5ZM//5kP/9BHT4b3xnnSavLiEekiituksIge1E3UzNPIzHRlMq1FrDUAAAAAAMBDZ+pR1uki + tdpkIUlZUrjMwyQpSRF6feT1I3F8rJs3T9+bj96TTPPiut7URiXF62oEAwAAAAAAAAAAAAAAHLC1 + rkMuKmkI17I+N5V5rj3HWpKr51pfhFLfr9geJDsYwuAKdw9pP69r2UmmiMz0l6dL9KDzu18a/ZQ/ + 2fEqIUm7oUgu2TjtkkprtaSktSpxzV1RrbVeDDrn3Eta9/W9vnYppa8ppVDWGQAAAAAAAAAA4AdK + KfVk6/60L/Q1XUSk9GB9wgDwOLTWeqb1MAz9ac+oNrNlWXqM8bqud3Qim1mtNSLGcVzX9QHqw7p7 + zvn8/LzvOedcStn209vT9x8Rb9153VpLKU3T1Ft+dnb2ADHb92td137Qw6/lSWVad/0j9/PYk63n + eX5YO3f3YRh6VHb/Y2dm/Zu/r/30093T0HtO9oOdqa0w8TzP/bLpJ6Kfi/u6fgAAAAAAAAAAAAAA + wOul3AuamFyXCyGpB7RIUgpTr5qikHw3Ta2tzVO2kktSC1XLOZcyzHX2WtOQp+HI3dvask3r6p/6 + yX//sZ/6+a+/+Jd/9vXf/qt//MKrt0/LsSwUJoVLCnO/DKaJZLmULK/ezDSOitCyyEIly1zhTUPe + +6krhpNRR/lL//C5v/zm8x9830c+/tHP/PyHP/6e3Q+vZ2nwsbScogdZm1tcfI5gjB/eLoanAAAA + AAAAPFRxUHLZ0ipJGi0p3FQVVdnn4m6ukCK7WYqQ+SNqTgrTPm4ks7jlddAwZKUj5axeOjiplwg2 + l1wqT3u89TZr+o0eYCYhAAAAAAAAAAAAAODZ1kvZ9mBUd++lbHvh2pzzVrA7pfTOi0dNIZW8kySt + k1pxn2e/eEFyWUgWLouLeVz3e4Q+ASwiy0whyU0hjcnk0aRr07VvzyYdqe6ldPkPTwPTxbQ32x7e + 8KpdLCdJ8cgnyb3p9elS83NpUbomlRTKuSikwZ5w5DbeUs65LxyOFEop9WLTW8npd9iPNgAAAAAA + AAAAwAM6TPTs/S/bmreYuA0AV0TvQT7sIO6Rw72+RF/WZWTy4TalFHdflsXM+sbjOC7L0h/7L+H2 + 9jfV91lr3Zb7rmqtPZu57zal1Ecf9TU9D/twzFJ/1GW+tbs/iuIYZtaPGBE9j7mv3766+w14fuj6 + GdHl2ZnnuX85vdnbKXP37ZTdl3Vdt297Oyn9seegt9b6idu2P3yqgz+L29Hf+grptjjtaZp6UPe2 + JufcF/rHPHyL+CsMAAAAAAAAAAAA4MrYercllVIigv5MPA3c5EneU6Yv6l9EDiX1QGtzqcpaCkWz + CKVkllOE1eYKV1PJObXIuchVo1m2Mg77ZSntWOuu1Pmnf/QXP/DBH/nRFz7wR8//7otn/2KTmimK + K7QF0JgUEa2t2opv1Mt6G6YIefQXmpJkalFvpdt2zSJuvXzrxRc+/5c/9rWPfPqnf/0TH/nVG+tz + 1/MNLTlHCqm6e5FL+bIUDJ4KfchKH7WSUlqWJSXdwyCUR4vyHAAAAAAAAI+C93t3/fZdKJlc0Rej + 2cVayVIkqd19Pw+BRa8QvJoWSUnJeuVgS5IslKw3+KLZj7QxAAAAAAAAAAAAAABcHVuO9R1lQIdh + cPdeQHa/30fEOzIeNYWFhaTI4Vlyk6QckhRKl9nWD+iOCTUmKUym5lGl99249u3zWcMNKWkc3s6B + 8GS89UxUe/3iYz+9sTXCmsxlCslCJskUclN+yx0AAAAAAAAAAAAAAICnVU8y7sv7/X6325nZsixb + 8HAPOX6APeecl2XZys7WWvuxUkq3bt26fv16zrknW29HKaWY2TiO2xHvJSz5HvWdS6q19gTunPMd + ac1Xx5uel76mf5n9pQeItd623+oC6/L77yPE+kvn5+dHR0c6yLTexo/1xtzvcXuCdSml1jrP8263 + 2+/3Pcr62rVrL7/8ck8xL6VszQAAAAAAAAAAAAAAAG/Pa+MuLBTWI5/NlZJKj2MJk+Sm6BvnPKp6 + bWv1NadhGLNkFubyMY9Lq81rpFjmpaWYjnZny9k45nU5+/rffuXL3/yTL/zNH7+0vvjcB27cXm+H + 9UEJnnRRKOWO2htvrJ7idsdTb3JlWVKeNK+nf3/7K9/74r/8yZf/4FMf+dWPf+STP3Ltx9I+pzbl + PA25tBbRnnQkMt6e+xyQ8ki8A8sqAQAAAAAAPEr22qPposDtxc1Bu1i/BUdbKIx7fAAAAAAAAAAA + AAAAPAG9gGxfPsy3NrNeTlTSFmjdX32SzX3WbVNsylhiqd+7eXsxSyeDTNqfa7rxJBsHAAAAAAAA + AAAAAACAp982BGiLEJ6mqedMD8Mwz3NPIG6tbRHX98Xdh2Ews/1+PwxDKaUnJddar1+/vizLOI4p + pWVZ+oGmaepvXNe1RybnnB8sUftNbVHQfc8RUWvdMpuvjrudl5RSPxHuPk3Tuq5bKPX9MrMem92/ + 4XEc+8lalmVd19babrc7OjrqB+3fTz9fEbHb7frosvs9aP+qt2TrWmvPxjazV155JSL65621llIO + B7MBAAAAAAAAAAAAAIAHZ54ieSQpSamPMwgzl8LCQzKXlGTS4FKdWyllKqmpue+rq7q3UKvaHZ1E + tggbx6FYWdf9areWd9/887/7/Oef/8N/+N432u5M1+fjPJy3257cQknJJAul8ByX6dn3U7FmzMlX + xVxkQ7ES1m7ad27Wb//z337tt//u//6FD3/6Nz72v7z/+CfKbT9p122JVBKpN0+RPgSlD5WJiAcY + kfIoEGsNAAAAAADwMF3eE0xZrstY6ws91jouU66TFGFhQdVrAAAAAAAAAAAAAAAeu4joxUC3aqeH + Mz1qreM4LsvS65OSaf3oxEGmtaR1rSHtsuV3vee7KUmmo5NlXcZh98SaCAAAAAAAAAAAAAAAgKef + mfWk4R5v3OOEt/WSImKapmVZ5nnuw4rua/89Mjkijo+P+87Xde2jj7Yo61LKMAwnJyfn5+eSrl27 + dvv27W3Y0pa7/LA+b/+Y286vYKa17n5eDuOue8r4HZ/oHvVs6f6tttZ6mnXfWyklIoZhqLXmnPtw + sn7KxnHs27ydkWP9uuoN7odorbl7a61/xnmee7o5mdYAAAAAAAAAAAAAADwUITWTlBSmSDKlcFck + uct1OQqgqVgkSXkMl0d4CzfJLHbjqFQ80q3T29PxTkN8f//dvLMzu/mXX/2L33vh/7sZ3z9vt9rR + vg57T3WV1lVlkCSLZKEk5ZCFZ6ne57iDtrqZbJDC3ZqZR65u/uK87o/Of/fr/++fv/CFT33g13/z + o//hZ577WMzJdSVykXG/zEwhYq0BAAAAAACeTX1yWLqMsb6ovmz9QQqzUJhcMnNTkt3fNDYAAAAA + AAAAAAAAAPD29SKhWxXUcRwjohcS7eVK+3KvKtsXnmyDnzFJUmgbM7FNssk5teZnLeb9+ekgFVPS + WPjyAQAAAAAAAAAAAAAA8La4+zAM7t5jknucsJnVWlNKPXa6tZZSioj7zbSW1N/bo5H7bo+Pj/f7 + fUT09WaWUmqt9aRkM7t9+7akUsqW3PywMq0lRUQPVDazZVm2ROerNg7qbudF0rquJycnWzR4Sul+ + M637Tg6TxWutR0dH/bxsB+pnfBtI1t9oZrvdTpfh1vd7SfQLqS/0s99Tz/vh+jbTNNVae1z3/X4u + AAAAAAAAAAAAAABwBzeFUl82KYVyeFLLUU0t5G4KlWY5IjcbJU9DrPU0mudcsiW5+14WyknvvXbj + Zn3pLG7O11/946989nNf/r1b/vKSbs3pzEaPJDfZqDEpueoqRbKLo1+MMXiAyOIIaVDkZQ15VQql + qnC7cTLcPFvKbrUxffHbn33X0ckHb3zg2vButyuRi4wHdhWCrYm1BgAAAAAAePRCUnLzLCmUQwd3 + 9si0BgAAAAAAAAAAAADgCdgqtK7rmnPu2dU90LqXCo2IvkHOmbKhj0g6fGIhWUS00I1p+qfa8nMn + Sqbaogz2+m0BAAAAAAAAAAAAAACABzZN07IsOeceNb0lHJvZMAw9XLnnTN+7nnzco6P7fs7Pz7eX + +mNPrY6IPjwppZRzbq3VWnu084Mlat+tPRFRSjk/P08p7ff7lNJVy7S+w+F56Y2f53lZlmma+hc1 + DMO6rve7235+W2vXrl27fft2Py/9FPco6x4sfZgpPgyDmfUvrZ+X+z1ovxhaa621nqjt7rXWnHMp + pbXWN+gN6JfE/R4CAAAAAAAAAAAAAADcyWooWUhKkqcIk8vC5JInqckt8uU4gDTPZ2aWy5hS8eYR + oewpL2f1NKX2on/rs1/8g8995fdeiu+ld/m5TlOONWSmMLnLqhRaqoaidFkaxSWZHmwgQMpWI1ZX + DeWkbBpasVTOT/fXrw1LrLdvvXqtHPvRspQ5jTnmRUFJlqdMHyUSEQ8wIuVRINYaAAAAAADgIbvb + HbskKUwtlZZSuPptIuYUAQAAAAAAAAAAAADwJPQKrVvx0JxzLxsqqRc/LaWs69rLpNZae/1QPCwW + 6hNrTDqcYTO3ONmNN/dzPrm2yJVCw5WupQsAAAAAAAAAAAAAAICnQkrp9PT05OREUq11C3juMdK9 + UGxKqWcb32+mdd+/pB66HBHbkKSeZt1Dr3ta82GC8rquPV+5j1x6iMVq+yfqOcruvtvt+uGGYXhY + h3go7nZe+le0rus4jj31uQ/out/993eN47gsy+3bt3UZYj3P8xaS3V/dnqaU+sJ2po6Pj8/Ozu73 + 0Ou6ppT6hdHDzntV4n4WtnNNpjUAAAAAAAAAAAAAAA9FkrtWk2QpKZuSKyVJMqmEXCEzJXmK5lok + mVJOQzTN82o57Chq2d+O73337B//6E//+5e/+edn6VZcq277JSJlScrpItY6mSJkpuMpt2pSClOY + JLm5hSTZ/Y0ESYsrFCYdZyWTVvlS3etu0nJ7zZNOknxueSh+kr794ovvHt+Vr0QyMu7J1RwlQk0l + AAAAAACAh8ykJNnhc+v/J0mKHnudJLlJihRX7p4RAAAAAAAAAAAAAADvBL10bI+s7vVbewHZdV3N + rBeK7WVStzKyeIh6oHW8LtVaY9L5fjku5ZVW/8vzzytnJXP1iV0AAAAAAAAAAAAAAADAgzs5OenF + YXuicB8+lFJy95yzpHVdSymS+sr72nlrrQcYp5RKKcuypJR6tvRhXnJrrW/p7r0x8zz3WGVd5is/ + lA8bET0+eVmWPghqv9/3cOur5k3PS865t3x7qX+996vWug0Ji4g+VKyPGdsyxft33s9RDyDX5cnq + 73qATOsent0HofVM655oLqk/llL6sLSc89WsWQwAAAAAAAAAAAAAwFPnMuO5j/qISH5ZMsakZCFF + 38ZLSFKYFL5qbtNi1/w0vfJX//ilL/3tn37577/QdmfL7qzanAZFqIZKNkUJ92geSSkrXO5KRRYK + c13E0LgkJaX7T7ae0uhRW1S5WlNpKpbKVFZfSpKZkhVJZ/vTdZ3fdeOHtCfUGm8XsdYAAAAAAAAP + k712m1IytR5x3cswS4okS0pJkrtCSknuFzcTAQB4uphZnxzbZ8n2CbqHM7T7PGddzhx+km0FAAAA + AAAAAAB4M1uR0F4etD/20qiHtnKieHRcSiG38JBJ7q5kSlIK6UHK0QJ49vSu53mep2na6kdv/dS9 + FHjvxaaTGgAAAAAAAAAA4B71fpa+3Htb3L1PGOy950RsArjK+lzm/ku1ruvhNOfD5XEc53ne+pT7 + Y58EvXUub13P/Qfw8GfwcDRRT0Q+3GbLKu4Z1f2g7t5jkg+nXfeFPkJpe2nb+RZl/RaZ1ltjejO2 + z7j9Vh/mZ/ct+8fsmdaSrmamdfem56W3fPuA22iBw++hv7St3D54rbUnYfdTsx1oe0tfmOf5jpZs + G99xjnQ50qx/yWbWI8PvaIkOzlRvwza2Yfsg2x/f7ZPyBxcAAAAAAAAAAADAlXXZgX5Rk9xMbyjL + AVwVFqm0yVJI4cmreVO4JTMrZdifL8XS0TCqeZvXrJpzluW9zv349q303ef/6c8+99U//NvvfX3O + 53Gjh8yYadfcZdWSN4/cerp0KFzVkzzM1ZqUXArr5VNcUkgu3Vfhg+wqteVczlWXUEkWLWkZSoxL + uI2tDXb7rBaL3TjtatqtasGQg6fJxVAWSVLOeVmWq/CjSqw1AAAAAADAQ9VvDeqionJITSrmCsmT + vCil27VGyiVrbWpNmbt8AICnUJ892ydOl1Jaa+4+jmOfeTtNU621z8gdhmGbWAsAAAAAAAAAAABs + tjk1frDSLtYnV1KWLEn0NgGQLks5T9PUWjOzlNKyLOM49onQW+KCu+ect3LkAAAAAAAAAAAAuJvD + fE1dxlofplxvgalMEgRwNfVQ4VJKDxgex3G/32/J05JyzjnneZ4v6mq/pW3qtKT9fr/b7XqGsS7D + jCVtYdKH6dHb8qO2JW33BGV3v379+q1btw5zl9d17T/vtdZSns2Ss9vAgO0z1loPE76naeph1UdH + R+fn5w/x0K21vvM+rX5d11KKu2+Z1v1S6UnnrbX9fi8pIlprW4I1AAAAAAAAAAAAAAB4VCJFmNyk + GhaWUjKXJZftl5rHqaS8r4vasjvJyeJ0fmUt6027+cI3v/jZF3736y+/sJzs/Vrd16qkFMm8pEgm + SUnyixwaKUwXI1HML4ekeJIUcpOFwg7qqtyPYcjrunrWMCT3CFMqudY6DtNca5zqWkzv273/hj1X + 9iV59pSedCYy7sPhOJ944nHWl57NMUYAAAAAAABPWEgh2UHZZUtqUmsqVo+P1tvhrggNQ/J6VW4V + AQBw78ystTYMQyllm83bM613u91+v9/mRfdk6yfZVgAAAAAAAAAAADxVTLI+mMJMVHMFcKlXo57n + eZomSdtCD1To2/TlHnf9JNsKAAAAAAAAAADwNOidLL0Xpsdt9ojQYRi2Lhgz67MFmSoI4ArqvcM9 + xVnSfr/POfdM69ZaDx7eFt5iPxGxxWD3NdM09d2mlPredBmW3OOT+69iT7OutfY3bqnYj87R0VH/ + UD3O+datW5J6kndvQ621/25fnbq3D91+v2+tuXv/4JK2cOuUUkTM83yYKv2w9H32wOyu/93sy/3y + aK31NvQTccd1pYOLDQAAAAAAAAAAAAAAPHRh0jg0d/cc7nIpWQqzlIc8rK2uahpizsupnSvN59dv + fvYbv//Fv/vzb33n79OkfD3Vpa4367Xr09xml8sWvwy17rVQ3HoKjSdVmSwk00WydEiXm8XFm7bt + 70lL/v3z/fH1sbU27/3oSC3a6Xp6Mu1870Mb3zu99yff93Mf/+Cv/vIHPv1c/vH1lsuq7ucQuFKu + yAgfYq0BAAAAAAAeAZNMuki1TrE9Gyed3z47GlopksaS9rNPielGAICnTy9F0SdXH86k1UHpcF3O + AaZiOAAAAAAAAAAAAN7IpJC8z92STEohPxxGkSRLYmAFAEmXHdBb6fC+0KtUn56eHh0duXuvDT2O + Y09feNJNBgAAAAAAAAAAeAr0XpU+T9DMeqZ1z3DtQdcpJXcn0xrAFTSO42FIcM65Bw+nlEopW5T1 + Dyz/amY9prr/9B32OG+pyT0suf8kSkoprevae67XdX0Mgdbd+fl5P3pKaVmWnuvc45xrreu6bl/I + M9xpvtvt+vnq4wf6Kesv9RPRE8d3u11POn9YZ6eniQ/DcH5+vixLPwv90CmlHnfdr6XDd/XLqV9a + vdkPpTEAAAAAAAAAAAAAAOCN3Hzvs5mlYikGM7OQLIVsXlfPbda86MxO5lN/6Ut//We//6X/9t38 + rXVadD3cvahMJ8dD1POzszQkM1ePypb6goVHuhiRcpFGI5nkW7J1z7K+XO41Vfx+CqdM18riSxrz + NLRl0ZGNR9NxfcXfM773F3/ql3/lZz79off81En9V+n0aH9ai02SX7YFT4ce8bA9vQrB1s/sMCMA + AAAAAIAn4yLQ+uIxXSwmhZSledW1o//1//k//+YTn2oud99NY7x+PhIAAE+FrSBFn9IcEcuyDMPQ + 59+21raXJDG9FgAAAAAAAAAAAPeiJ1s3XU7WshQmkyxEuDUASbXWnHPPrpZkZr3088nJiaSU+nAt + 7ff73W73JBsKAAAAAAAAAADw9OiZoFtXi6Qe2npYOTEiDkNeAeDq6CHWZjbPc08d7iu3JOM+IVqX + 6cJvuhN37xnJOed5nqdpkrSlZfdfxT512sz6b2bf/7IsPUZ6W/NITdPUmzfP87IsZtY/cs9R3lpe + a12W5fj4+FG350lprfUM8m2G+zZ+YJqmZVnWdc057/d7XQZdPxQ9LbvW2v9Eunv/67ldFf0lST37 + fMsX76dmu8wO/+YCAAAAAAAAAAAAAICHymuezaJojMjZS2vm7k3Nh6ajmo+XF2/+wx9//ne+8NXP + vrK+mG+opsVzDaWmmNs+1vNBaZiKtZp6WrSpmdzk9lrE9WvHkySlOMiuvqyRkh4orjiaK+nsvE2D + Toaj9lK+kX78kx/9tc/8wm+9u7x7smy3LGsYYshpMKqxPJ36qJKIiKsQak2sNQAAAAAAwMNnr1VS + tsOVko4medXxsI7FLU1paPOcEnf6AABPvXVd+zxnSSmlnLOkPqt2WZZxHJ9o6wAAAAAAAAAAAHDl + pMupWf3/MOW4nKy1jbgwhcyuxAQcAE/eYf3xnHNrrReG3nqoI6IXCifTGgAAAAAAAAAA4F70bpc7 + Aq1zzuu69gzXvjLn7O5kWgO4gg6Tqqdpioj9fn9Hl/H5+fkwDPf+I9ZzkXPOPag4pdQzic2s1iop + pRQRvdu6d1I/tpTieZ63x5TSMAyvvvpq7zSPiP4NSCqlPNs/2luYdP/s+/0+InqkdI8e18HQgt1u + 1/Ot376ekF1K6VfCOI79Ummt9WTxfugtX7yPZOhh2w+lAQAAAAAAAAAAAAAA4Ac6KmNra2tNEcpK + YzJz5XVON//mOy986Ruf/8q3nn9x+VYcr+ld9bydK+XaWo1mWcOUU0qqbZ3rmLQVPMkhJYUUhznS + sT3IdRBOY0ohhZq5dBB3fQ9SpBJDUjlW+K303uMf/fSv/Nan//Vv/sj4wXQ6Hus4tbbWNaWSUokU + zZe3+33h8YqIPsLkSnmWRxoBAAAAAAA8fnF5WzC/4SUPpSRl15jPI5pHmOc8RNTH3kwAAN6unHNE + lFL6bNtxHPvk3nVdh2E4nOA9juM2+RYAAAAAAAAAAAC4ZyYll5KkOJi+BeCdKudcSunlnud5Hoah + tdYTFGqtvRJ0L1Gty/rUT7rJAAAAAAAAAAAAV1rvT9lCYXswZ0+z7o99Td+Y/hcAV1AppU9t7mnW + 8zz3TOtlWcZx7P3IR0dH+hUnz4wAACAASURBVEE/Yiml09PTk5MTSbXWcRz7endPKfVZ0tseeo61 + me12u3bpsVWb7W1Y17XHbPcf8GVZUkqlFHfPOddaewuvYA3ch6L/ker54hGx2+36ZSCp51tfv359 + nufWWr82Hu5xu5zzsiz9cP3b1uVFsmVa9/jtfkZKKYeXGQAAAAAAAAAAAAAAeBSyl3FfWhubrRrk + Uz2zV783//NLp//8R8//9je//zffPf1OG9e47tWau1nKUTUoj8UseVvb2lpJOt6VdanNUq96IrlC + JjcpRX8uKSlKmBTJra9zyd08HQzZsHgtHvte2h+37cRuvP/6T3zil3/tEz/9azfsPettH+cxmqqv + phQ2rk1rhNlqQ6R73jmuoIgrcf6ItQYAAAAAAHiEUr/JGJJkWU2SxhwyS6UUc3mrlh5LU0yykFyq + 0nC4frulGUqiCjQA4J6ZWZ/UvT2V1Gf8TtOUUnL3PiOaTGsAAAAAAAAAAADcwe/4P+RvfD0uh14w + muEdwy/P9RsnzvVXHt58rHjDAq66rQ74PM+96HNEDMOwrus0Teu69mrdvRg0mQoAAAAAAAAAAAA/ + UE/c7KGbuiyP2J/25M7eO9M3uyLFEwHgDr37eLfbufsWJzyOYw+fLqVEhJltv3V3c3Jy0rfsP3c9 + nziltEVH927oiOi76psty3J8fBwR7l5KqbU+0g/bg7q3BvSGtdb6dO8+77u35JE244nrJ72frP5H + ahiGLUa6lPLSSy/1OPN+6g/jqN8OM+uH7pPoe6h2/7b7xbONVdgum34h9aslIsi0BgAAAAAAAAAA + AADgsHaEH5QTSQd1R+wirDnduc1ro9jcpLCDIQFxkSVdtdRSfah12r9cX/yb7/zlX3ztc1/5py+1 + 3Tyn07juXrS6lCRTq56tJLNozZsn0/Egd+33NSeFJcVW9sSlpPCDliSFXElhUihSpL7ZW5XOkFJc + 7K1/UmUv2YtFzm0Y6/QzP/5Ln/jIp37ugx8/8ffks2lnRyWnWmsa8lJXl3IuJnOF5GHub34U4D48 + 44ONAAAAAAAAHjPrtzYvvMmstlCRHaXqxUPekj36yrilrOuqppBkc1Jda04l6bWblS6FZE1yaXh6 + akH36XbbXK8+LxoA8Dhtmda6rFVxuNwfe9A1AAAAAAAAAADAVbZVpN2We8nRXmr2HdsfbTKFZObu + Kam6HsE3ETIp7gwsDnOpKZJFemrGMeBtyCbvc/gsreERGqQsmRSXE/aGkElV1qf6PYSjmksPp2wx + Ho/DstSttb5yXVdJ8zxvfdNbUfIn1lAAAAAAAAAAAICnxDYTsHev9NTPLdA6IrbeGb2Du84BXHEp + pcN5zdvCFjDcf77u5Uesb9N7n7e33xERfcd+xnE8jLLuwcY9yXjr134LfXhS32dEbO/qv73bKKYe + 2Nx/n7eGbbY1fd73Dwzwfjb082Jm2wk6/OA901qX6eOS5nmepql/1f2auccM8l7VpLW27WpzeDHc + cWFsrepnp19U/CUFAAAAAAAAAAAA8LQzMzOLYJI+HpxJW/hz65UjLqKp3RUpZD3OOtxVpBQyJVVv + FiqlJEteqzzMUra2tCWkUkq24h5qaS319nAzvctf2X/3S1//07/42ue+9dLfruWmX6+evCVJ8pD1 + HOqIlKSoTRcZLWFyV3LlJClJflnzxCWlkNtlZLUpeZWlHP0VtdZySinlCDX3CIXJJJNy37nLLYWS + RzQpD6nufZKO8nG6PR7VGz/74Y9/6mc/89M/9HNDnfJ+zD6YcnO5XJZaa2Yph6lVU7IeNxNkWj9l + tgEkEZFyWtfVTG8YlvK4EWsNAAAAAADwkNldn7qkpKRI2ZUe1w33tq4mld1uNw06O9W1a0M5WqtK + 6W3bmvGk71Tdp3Vd+8StPqOvz5S+x6l9AAAAAAAAAAAAAADo9T3Oknr514jY7Xb7/X5LRe1VTZ90 + Y59BbxipYKFQzzbuydbR52cp3jAeA8+YWuuulOpRFS1k0o0b127evN0nPoXJ4pFeA8ybBQAAAAAA + AAAAAAAAb1ettccY94IYfdBRKeVudTB6snJKve6wvWnQckS8Q5KqHx1376O/+gly99Zar1jyprZh + Y7ocOdYHlZFLDQAAAAAAAAAAAADA2xRSs9cqPFivKHIR3fJav7xbSuGK5BYKH0uOiHXZr81LKUMe + JffWxuFIyea6n/22ZdOo83z6Uvrenz//p1/8yp/8y6v/0Hbnete8xrJIli5boIvwbLsMqI7LNZeV + UA6HatxZjyLsYg+elL2XSHGTj2NevNW1paSU+mezlFLztroipFBKYeYllVEl5jhJOzvNO3/XL3zo + V37jl/79h9/3UTsbpvPj3F43quGiUfG6VpFmjYeIWGsAAAAAAIBnXN6Ndb+c7899P0qDamnn+3Jj + 129wmtJ2JzRL+ekpA73NEMs5n56ellKmaSLTGgAAAAAAAAAAAABw73oZ2dZaRBwWHq21llL6Y2uN + TGvgUQtLc609v/xdJ8c3T89u3byddTCu5U1y0AEAAAAAAAAAAAAAAK6QPhhJUg+i7kUw1nW9Wxyy + u/ctt9FKZpZz7inXh7s6XMD96sHhkvq3GhHDMPTv/E23b631lyJimqYeUv44GwwAAAAAAAAAAAAA + wLPKzWuSLLLL5DkkTynMLYWSX+ZJJ7lbzbFkxVSGeb4d7idHu5THWn1e9ylK1rFXq95aWu1GXnav + fuPFF/76H/7HF194/ub8ymK3dVw978/mOYp2x7bMIcm8SCmFZK5wN0lub2jkwbPepNfWbLUvLFJ/ + EiZPaqlVKUwpy0xaFC1cLU2mFMlySsm9+eK2LKXlcT5539GHfuGnfu2T/9NvvP/dH2p7X19ajspg + wRCRd5CIK1FMhVhrAAAAAACAZ9y8X6apWPNJ6b/81n/83/7sL/LJrt+acqlPiQtJMutrn5Jc64jY + ZoKdnJz0le5+t+l8AAAAAAAAAAAAAAAciojey5xz3krERkSttdchNbPWWi8Uu9WcBfDwmcbdLu/n + XR5O1/2t07MppeR+VIalrn2TMHlIkvdlKV2JmVkAAAAAAAAAAAAAAAAXelKyu29jjSLiLYpg9Jda + a71AbSmlL9wxVInBS2+Tu9dap2mStCzLOI6S7pZp3V+a53kYBjPrGdj7/X4YBs4CAAAAAAAAAAAA + AABvX1K4XHYQEL29csnNk6LlmkLreT0ex2aa5/0SLQ9THoZWY23noWontg63vvbd//EnX/39r337 + +ZvtZbOIoa1aWqxKbZjk0rwPs6Qorx0lJFO6SLa+V/HaxklSWOqtDcmbUpGZ+nKYspmZRaTWamte + LI0aBi/X7NqN8T2/9av/6Sff93M/eu2n8nwcN+1amfIQ67qmIG/l2dcHpfSRQlch2JpYawAAAAAA + gGfclLXMdTwebF6e27vOm3Zea7Ii08W9Wrd+1/PyPU/DjUoz61Py3N3dh2HYJo8BAAAAAAAAAAAA + APAD9UDrvtwzrXu49TAMW9z19uqWew3g4QubW33F23Vvx+XI6/mQS7J2u64MBAEAAAAAAAAAAAAA + AE+LUkovfLHf73e7XV/TRyK9xbtyzjnndV17jvI8z9M0revq7tM0bZnWfYPH9EmeLSmlaZrOzs6O + j4/72LCIeIuM6tZaz8CWdHp6enJy0s8mAAAAAAAAAAAAAAB4m3KkobqU3RSmphSW+sCKFJ7lksLc + LZrVlry0NEVe91GTq0wlWZhauA/r8O64Gd//2j//5Z985Q+++p0XznTLii95v9az3fFQBquttZ7G + 0rRWTaUo0kUStULmbi753Zp6mS792ga25blEuniMJHNFMimZWyhCtapJpchGeUv7s9iN146HZOdR + 9uMH3/1Tn/qZf/dvfvozYz0Z2m44lbWWI+V5VislSgwWdtdW4RlgdnApXYVQa2KtAQAAAAAAnnUh + KWedna/DyfC+NOr0XO++lnPy126AptduhsbTkWnd9cl4kg6n3t3tvttbT/MDAAAAAAAAAAAAALzT + 9KTqWmspJSK2buXz8/Ojo6NeEHae5x503Voj1hp4VEw15fdcf1e9dXuta5adr8skUYkZAAAAAAAA + AAAAAAA8Rbaw5N1u11pb13W327l7KW9V+nVd1z5CSVKPXq619qfunnN299YamdYPrEdTHx8fb9nh + kra88DfqG/SSJicnJ+7ex5gxfgwAAAAAAAAAAAAAgLcphYonSc3ULMmSTD172k0pZHIpJJdSCoWl + NE4WKVubVWssS1pUap32n3/hD//qH7/w1e98+Tzf0knMsTRfU5btdNbWei4lWVY2pSEd5exVtmVa + y91cPT36fmKFLWT+2jiQvpMw9cCXaIqQuVKSueoaba7vOfmx5ZU1tfzRD3z0Mz/76x/78Y9ftx/1 + 2yUWKykPWTlVr5Fc2YY0lH3M7aF937hyDmscXR3EWgMAAAAAADzjIplZeNNxLlNdZVnyMJm0zZd6 + beLUlbt/dVfuPk1TnzMmab/f73Y7EV8NAAAAAAAAAAAAALg3vczolmkdl46OjpZlGccxIkopvTLs + W9eWBfC2JNWSv/3yy++fTs7n010uo1q2FN7kki4mAUYf2GJhUrqfaYEAAAAAAAAAAAAAAACPgZn1 + sUZ9uFFPTe7Dk950+4hw9y2vep7n4+Pj7S2ttVJKH8iUUnJ3YpUfzMnJSV8YhqFXKdlqlbyplNK6 + rtM0RcS6ruM4juP4uBoLAAAAAAAAAAAAAMCzzK2XkUiSUiRdRliHKaSaZEoWniKbK0V2S6+u8263 + i2hrO0/XWy2vfv4bn/2jL//Ov8z/tLfTdrxGrtXrEi0NyjtbZlnRkFNKWpvPs0w+FbPISU2SzKUq + U5gkmZQ8eY+4lqSL9W8UJouUI6VIbt5SDfMwuUlSCkVTku3SlNza4tnK0XAtvp9+9SO/8amf+fWP + vPdnrtcb6WbW0nLUacqrr3WNSFMyq4rqS27Ns7/54fEMMTMz84i7DSt6zCirBAAAAAAA8Cxzs2jh + 0rVr+TvnZ3kXUshraDC5KSmS5GYKHaZbPwV6fHWfxTfPc8+07qXGn3DLAAAAAAAAAAAAAABPidZa + SsnMaq29kmwvCDuO4zzP0zTlnPtLlIUFHiFL//vzX/o/PvaxWy/fKlJTc499tCTlN2xLoDUAAAAA + AAAAAAAAALiyetWLUkprrUdcR0SvjPFGZtYTlIdhqLVO0ySpj1Pqwdi11nEcGbn09s3zPI6jmfU0 + 62EY3qI+yZY13isI6zKA/G7nEQAAAAAAAAAAAAAA3KOQanbJe6Z1iuSyJLnSZZi0S8lCKZRcSj5c + S6d62XK91V56/quf/6Mv/863bv1dei7O8m3PTfIanrLGktz8/DxyUosUq0uSaShKUrhn9YECHnJP + ii3W+v6qWLh6MLc8xUUgd2clySP7NPpO+zQuww/f+OEPvu8nf/M//4d/tfvA9fRD5fzYzvPkpaSQ + LbXuSzHXsNZqKmUcLbTUStoKHj9irQEAAAAAAB4r0+VtwIjHk8FsphSK8zZMo8uVQilcPki6uEOa + FDJTlSRl6am4U9m/uj71rs/N21YCAB6biJBkZn06dP/T1qMddDnvWpfTp59wWwEAAAAAAAAAAN5g + qzTaezckmVlfufVE95femZ0doTAzRaSU3EWfPB4Vk4q92tbnSi5VTR6SJSkUIUl2MY0v+rYEW7/D + RUSv3N2f9t9nd9dB3zSDiAAAAAAAAAAAAO5RT13tj7XWvqzLyYOSzKwv922eZFsB4M2s61pKiYvx + La/NaD5cXpZlHMfH056tw7r/Zt7LoKOeoLyNX+pv6W8/HLn0zhy/9LBsg8E2bzG04PCr3vKt+SMI + AAAAAAAAAAAA4B0uQsMwuHtKFsz5x4Ny85YWyZOGrKlEtmYKS96UTCY3ZUXOUvMWzXNby+3vnH3z + +b///J9//XP/dPsf0nGL98bpMpdSLFIzWZabu7uFiiV5SabL/Gm3kIVShKleBLFcDhlwKel1NSzi + YDTBMJRaa2tKSRaKkJlyzlU1JZnLQoOKeyzRlLQ0PxrGNJd6yz548hOf/thnfuUnPvFD194fa7F9 + SZ4sas4RphZJMSRlVTfzklIzLXK3lIaSwlP44zoheNx6mkMfkBketVYzPfEfVWKtAQAAAAAAnmUp + 5PZaOd+WpP7vjuzqkEx+8b+YSgUA+IFaaxGRc+5TdlNK67pus6ZbaymlLeKaadIAAAAAAAAAAAAA + 7sqkon1RTXJT2vKr9eYR1iRbv2Ot6yrJLh3mW2+FvHsn9TzPbyxIDQAAAAAAAAAAgDfKObt773bp + y339brfb7/fDMKzramZkWgO4mrbe4T6peV3Xo6OjPuU5IiS11lpr4zhGxFvEGAMAAAAAAAAAAAAA + AODxGMq0xhpN1VdvLk9FZSilKZa2RGpe2rnmtGs11pfnf/nvf/hfv/HSV//p9jfn3d7fvZ+jStpd + L21eLJQkD11EsESSkkWyrSaFuUxJMimFJPnl+JG+iYeS3ryE/vl5zVmlWLbcWosaIa3e3JSL1NRW + eatZw86GYkO0Ul+ND9z44L/9t7/1bz706ffoveP+aHd+omqSPDVJoYhUW4wWPRYmSy3kYS6rYcVD + ZFq/E1y1gUzEWgMAAAAAALxThFJNruSyNy/tG9T8BQDcs8MKFIfZ1e6eUtpKVJRSIqK11muIAwAA + AAAAAAAAAMCdTEppSalZku6cX3fHTKw+HdAZ5fKONAzDOI6ttZ5vPU3TPM8pJXd3916sPOfcWpum + qZcpf9JNBgAAAAAAAAAAuNKWZRnHMaW0rmvvZ9HlrMD9ft/X9zW6nDz4hFsMAK83TVP/KXP3Ukop + pbXWO4tzzv2lnHOfCr1NfwYAAAAAAAAAAAAAAMATkaIst+o0nURq1RdLdZomtbbfn5fxaDwaT+vN + tZzGteXvv/fVP/zSb3/577/kN5Zbyytt8GEYwrJUPbR4VVF2ZVdpKZSapZolKbubPEySv64yhV0W + qggpilK6fOqSH1SzuDAMilBbo0YtZmMZzSzMa1rXqlqVkqaStCQ7L7v1xk8899O//m///S995JPD + umu3dTQcD+O07tdklkLJs1v0ChpuLuWLoO2LmhpuEUWzhZKy7pK0jWdPRMQVqJ9ChgQAAAAAAMCz + LpLUJIWppYs7kEnR71S+dvNU0hsKAQMAcDcRERHuLqmU4u7b7O7+KKlXCTczMq0BAAAAAAAAAAAA + 3F2SpWYmpRRKIcXFeBbbNpDrjmEueOeJiGVZJB0fH5+dnc3zLMnMJLn7NE2SWms9aIFMawAAAAAA + AAAAgB9oHMfWWu9baa2N4xgRPco6pRQRpZRaaw+9ftKNBYA3ERF9UnNKqdZqZn3Ks7uv69pfAgAA + AAAAAAAAAAAAwNVx49qN/X7va4xl8lhund7K2cYb083z7yq1dmP+2j//1e/9/m//7fe+sg77+bnz + U781PDeOGufzvdc2DCaLtSrni5oUYS5JJrsoVOEKWVyuv+TaalgkKZknJUuhwyjr123vSpIlmVtS + Njd3X9raisqok7Hktkvn+cRv/OyP/cIvfviTv/yhT5TzaffqtaN8oiGta1uWmnIJpcsD+GWrPExh + zU2SUrhJOS7bH1TWeJZtKda9VsaVCLUm1hoAAAAAAOAZF0lmivS6m6Fx8WC92O9lAeAixUXsNQAA + P4CZpZRKKWa2rmtKyd23TOtlWXolix593Td+0k0GAAAAAAAAAAAAcFVZklJ2JSm7TPLLoS5JCskl + Z1jLO56Z7Xa7/X5/dnZmZmY2DEMPt97tduu6DsNQSpFUa+3d2U+6yQAAAAAAAAAAAFdaa83Mev6r + pGVZ+nozK6Usy1JrlTSO436/3+12T7KtAPBmDrOrt/5iSSmlaZr6+v5bJynn/ISaCQAAAAAAAAAA + AAAAgM5vn36/lHEqO2//P3t39iRJdt35/XfOdfeIzKzqrga6QYIguGElQRIAsZEgAY6GlMykmRf9 + A/pn9KR/QG9j0puex2RGM5pphgMOBsRCcAFIoEFgAJDYCKLXWjIj3O89Rw83IiqrN3SDnVWZVd+P + VUd5eni43/T2jja7y/lpsHE8Od4Ot1/0n9x54tmn//lvP/vV//zNf/56W8W8Ws6WZcjJhqOzTT2N + O26aikzpTZaypjTNu/kgYRkl3FKyOCSw9Nxo2a5ahd2bIFxCuhsh/dJw66jyQaOPkqlJaYOPZRo3 + ufE22u3VjXzqQ7/4id997yd/9an3XPcbsTVZUbE7bWvNBx/XdiyVM1tkKuEWcjVZNWvNt61EmkKe + 6UNzSxvaKClcjUoJj4ZLkmktYq0BAAAAAAAeDRamMCldKaVM1kv97jokTZJYgQcAeP1aa5Jqrb0+ + Ra8JPs+zu8/zPI5jRGRmL2nxoBsLAAAAAAAAAAAA4LKz9JI+SoPU9jtd2k9vcSnCdqsEL8vCLNxf + rbXNZjOO47IskiJiu932Aeu+PzMzc55nMhUAAAAAAAAAAABeDzNzd0nu7u59SaCZtdbmeZ6maVkW + xl8AXGb9m6ovdu4R1+v1erPZnD/m6Oio1rosS0T0fGsAAAAAAAAAAAAAAAA8GBbjaiqlLMt2o6Ws + itbLD29951vPfOUzX/3TH5x++7S8mNfbNlKm4+NVrc1MxTOKFUuTot2tSdGTqNO0T6/eBVqn7d5N + 83NHRs9nMYWrxi7qWv6yQOtumjxr1tqKiqsoVGu1s/HEn7yxfuq33/c7f/CBT7//iQ/ETV9eSJvW + mWFFNngZlGathaKmNxWlKSzKrmXem+OpULqF7XK3+59dU9/0G49L5TCL6ZIkWxNrDQAAAAAA8LBL + P1fsVz3Wuv/d9t1DTe4K62/ZIewaAIBXVUrpYx6ZWWvVfggkIiTVWg81LDKztTYMDEkAAAAAAAAA + AAAAeBXpniqhQRp3exT3zmFJk2wfaH0plmXhfiuluHsvRD7Pc995fjzazCJivV7XWhmkBgAAAAAA + AAAA+Kn6MkDtx1l0rkLiOI7zPA/D0NcPRkRmllIeYGsB4OUycxzHZVkkmdlqteqZ1n1Y2cxKKWdn + Z5JKKX3h8wNuMQAAAAAAAAAAAAAAwKMs3X11e3OzrubyRPvB9vt/9fTn/+a/f+H7L3538bOYas1Q + 1dE41FbnO9tpUN0s67XKqDk0p1LK0ZSDwiy9hEyRFlJtfu46Up7LipZiH3Ut9WRri7uH6twnD2fI + zEw3L15cJZWrYfVYvO2P3vu//uY7PvLzb317bOL0mTiZTlaPDfO2uhdJMUfNGIZhOBpba8v2bLAs + kszTSstBcmnyGDwi7G6odkrVpX0mN3A/UZ4DAAAAAADgAtm5LZPvOiPdnl+tnl+tjpd5FfepyG5a + r+0bUu9A9aW3x/qPYaLgLwDgjemLt0sprTUzW5alh1j3PYdMa0mUCwcAAAAAAAAAALhyTFKaTH1R + lkmWkpRSmDxDkue9x79s6kGcWyvl976X9x5g0mFpVZFKqsQgpWWKisKPggyTmquFF6Up7NyyP5PS + VN2rR/OsbouNYa93FNJSUwvP3UNoijQ181vjcDqMcuvH3H1C08Uzd1m11lprelnB8YjoUdZ9T89X + YKgaAAAAAAAAAADgp4qIPvKSmRHRg67NrNba1w8ehl0OidcAcHn0L7GebD2O43a7leTu8zz3L7T+ + bWZm/SvuQbcXAAAAAAAAAAAAAADg8tvlQEsvLb1wzxyyu7HQhykZh404HBN3j0+lhbdb/qI9lf9y + 53t/+eX/9qVvfu7Hp99r0ybWLUprakUWkdtt9aL1ZIq8dqJl0WYjDSrFqlSzmLnLJbeUZHYoWWL7 + RqYs3fJczQGLMMn29U9MYfJ9+zw9bFdZRQrJLYZBPrbJtqXU4W1P/NyHf/OjH3/3p992+q6T+kTe + zlCYbNm0RVlKyabMdB/W7mExz7M8p6NBdWupNCkV5v1aymLykpJCtgutOR/L7SlZ7NqTg6S0u/dS + GqSQ1XvvP66YyzMtk9ocAAAAAAAAb7KUpLBD5531Dj63lPVOzGn6wz//8y9/8vfedev2arO56PYU + y5rK1DB4GaW2yFxpvq/pKvXuyH1B3itSlvewaPB8gVoAwP3XK4b3kY/+GhHS3XABvqUBAAAAAAAA + AMCl1Vo7BKO+pDx3KaUPc/T9j1w8akopMy3ajqUOKiuVWU2mpS/l8rSUhVy7mQZ9KVTcm1e9Wx6V + MldLDYMipFBx1V2K8N2xpLLfCGnStNakXFKLxSSdW7yGh1AomlmrR+Ozt+683deT2ouxjJJJs3Lt + wxzLmfktL8uNo+/cvvUPd+aN5td59pX0C7J3Pv7Wo2FSi4zI4jmOt7KdHa8VrpSyWphKkWRWfuo5 + 8QCVsvsXdH4w2t37VKJDFfJH60sbAAAAAAAAAADgZ1V2Y2Sm/VBL3z6Mthw2WCoI4BLqX2KZOY5j + 39NnAWm/3ln3LoIGAAAAAAAAAAAAAAA/M3c3s8z46YfiCvNeSsQlU/QE6J5mkrZPq7ZI6/VFQnIb + rLVUk5kV65PQPC1qm30oZhFRzSyipmx7dOfp0y9/8Uv/9e++8ZXb801fxXK8WbS4ZyjS1FJymSul + JVOuJXehuykp0uRFiswwuaK6TOkKk8ZQmKorpSG8hFuapdKyeYSnDUpTbZLkLoVqyqvGccjMjDCz + 1lqGr8b1aKt2FlO9/qtPvvvj7/vkB371Q09Mb8s7ZdWO1WQyM/N0N5ekSJn2hWpCkpspLCJcU2oX + u+09x1rn4rd19z8ozwjz2OfIFDXvwTcxpVn0ZGuL0OAxSmFaZDVtJNn6CjGzzP64RSnDsixmeuAz + myjPAQAAAAAA8Gbal2g+iJe+bZKbVqvnV6vlztl9aFKLNozD3NqybM82pxp6l+Jh2XDImqJIku8a + eCW4ey8vbma3b98+Pj4+7HnQTQMAAAAAAAAAAAAAXA2HHOuXVN8exzEi+hj0ZrPJzEcxHjV7knRT + iXmprU+KSFlfeRayS8LKPgAAIABJREFU1Fol1GpKUtmtSZNMYbL9LIlpmm5v5nWRuTZVa5O7lpBL + IUtz2y2tif53kyQt0qY2ecpjt5ANDzEbNIzPHx3f2dx5x5O/8PXnn/PUtbc8cTafLTVOTk5uPXfz + +K1Pno7D//7lz2tdfumt73hR2r7u06+knyj/4z88rWHar+1LuctTLq1GtaaxaDApag2RiAwAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAB49ZZ+wm6bmoV2stZTeC5Eo3VTTov+YNV1uxdy9V2tRpHlOq+ls + PrUxa5lnO7Nr/r0ffffPPv+nf/3MZ2/5szIN14coUes2dLf6SN5TAMZ7wZE0maSUSZaR1tuhMLlJ + qUgVSeeygcNCXktEmmQhi5TqojTJZaFlVimaxkkeLarkZuY5rsdr3sa4k2VeffTdH/3dD3z61556 + z/V43DdrPx1LFO1r1Phrhkl7Hn6Fl3uNKir9rvq9x5jSZU0WYamUcpDiykTL4Jx84BHWr4QaKwAA + AAAAAA85K0WSmdz9iWuP6fYtPfGW/lbsS0j3Dsfcd1Jeie7HXlhcUmvt2rVrkiKCTGsAAAAAAAAA + AAAAwOsUEe67xT/n863NbFmWHmp7CLQ+DFI/KkwhhVqqSVFHr0txNXNZqIU8TbuJB0NYk9JytyIq + JKVSu/kHtzbztcnbHIt0LLm0Da2lqn5cpqz1SypT0uSZtqSfraUhq5ZiqzRjQsDDrBStr/+Hb/6T + qrQ901BkqbbRepBcKTXXvGi1Uhll7RlTeyOrtE6lU0lPHWtpkquGUlo2Or4m17LMw9F625p5DjaW + 4bXWDQIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADyUSsaqhixmV/WcS6QpTJ5Zmjy8RPGUVMJbWkiy + auM4LlrubG6l5dF6PVhZtrPVGFxaaTOc/e0/fenP/u4/feuZbyzTadOmuLtba0trzYqVVynz0JOu + XbtA651UKsIiTJ4eJpfLoqX3wielDWERHotHWEgqqRJatjo68mEYlmVRpA+DtzKfzYunTcoaJTVp + On1ueWp68oO/8rH/6WP//jF78ppujLcGLWkpLyEL2aDXDLT+1/B0T1eazKUaCpmnu6TokeIW0u53 + T40X1AzcH5cn4ppYawAAAAAAgIecmc3LPK68Lks9PdXxkSJ6+ek9l3TvniugVxLvHW3LskTENE29 + zvgrHn95uuQAAAAAAAAAAAAAAJdBZvZk64iICN07slxrnaZpnud5nqdperQyrSVJVmQqRYNsuOnl + GbNxWC11O8jKNC0pL+OyrRptlg3TqDBLpUnSPvNallLmHVkZPZaaEUerKVu7XZu7PN3S09RMzWWq + YTqNZZhWdcnnh1RRylPNnfUvDzXznKasytbK448pM701Xy8Kk4fq2Hw8uRYtl1bLan3mxVp7/Yv8 + mqSVnl22j08nRWmTKaVcyW2p1ddHTZ7FB5XaQrWtVqzcAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + j5rYpUmbwiR5SMpdJRFXuMzkuyPkUrjbZntHY15/7FpabDan26zl2G/n7dvLi1/52l997puf/cfb + 37q1euH0+m0f86hNJUtrS8tmRaVYzVyWLK9c6cGVYbtIlUMT5ak0pYVLYT3xWbE7KtIiTGFKk0wZ + StNjN6Y7t+bTm/M0aTWuLCwyShmn4zJvq1Ury+rJ9c//zkc/8Yn3fOoXjn9lOjtebU9KHUYNwzD4 + aLWdbZfFyoXde0lSif67mDSk1TDfVcOxkFKK/ifMJfe84NbggmVeiiAdyvoAAAAAAAA85LI2N8/M + ItP2TNtFcbdfKqQi6WoW3661DsNQSimltNYOKdcAAAAAAAAAAAAAAPxUfay5Dz1LmqYpM5dlkTQM + w2G7h1v3jQfb4PutSpL5qFzfPLo2lbVKNLUhS3Xbmi1m//Tjf95uNUuxXV7xHH0+wiA1aS2tNLzl + +vHRtLJ6dpRtijqEK30uSpPlmB5Z1uGuKDenomKhUDZLN3/9Kca4alLWZCatiqTMRVZMVuRLLCuf + StG8PZumo1UZFbLW1IPTXyeTqq5Nj5m0zPNUhliqT5MyhzJJ5c7mbLU6KiZP98G1SMNVnU4DAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAADwM2iuUw9JoZLy0rzIJVmqZLgkW7InRsvDJEVOzcbM2k5v3fQi + m2zxs2fjuS9+83N/850vfe/Wd7er07OTU41tnLQ9q2dLLWbubkWZWWuka5x0T9LIYTtdOaQUCu+J + ziZPhclSMqXk2iVxS5Gm5pJUQkNzT4VFmtL04q15GnR8vVhYrTUz5eY23PmX+cb05JOrn/vQ+z7+ + 6d/84yenn28v5PHNkyHL4IOvvdbldFlyNvdSyhRZ31DFizdkFyae7lJzhXnsdoVbmEL93ltVuqTY + Z4zjajHb1TS5JAk7xFoDAAAAAAA81CxMXkqpbbl2cnJiRSaVodbwYVfuOWUml65Y9eeIGIZhu92u + VitJrbVSis51wAEAAAAAAAAAAAAA8Brmee5J1cuylFJ6dnUPtK61mllm9gNKKX1I+pFiriLJBi3b + //D0N7Sd5aFiykHLrLFoNf3i9WshNWnzimfQbjpCkUwyaVSN53/y/ZsbxVZaFFXVpX4lKUMWslA0 + pWu9ziWH1TTYeOVmNeANc2neahyktHGQZEprbe2j9+fHPWJxL4pWZCHl658hYlLkII+lTeNaKZ8G + mZQpt5CO1keSliWnwRQ8bgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4JET8nCF3FOWbumePf8jvb9v + bRfpbOE5hEVt2zJljJFalrE9u/mXL339C59/+rPPtX+5ac/F0dKG5WxpsWiUxqJxGC0yM6Xsf8lU + Sqm1vUqTelGSkmqm8Kx9v/dwadP+RZ4K7WKeS7jl4KkiNa/NYxqVqRrNWxliHGK0WsZY/9bbP/Dh + d3/sQ+/5yOP2luW5GG6tbozXY9vMrNVaM6OYD4MNo7K0CLvgGGLLkCLNJaXF/hdNy17IxZUhtbBI + C9m5CHBcBZl52TKtRaw1AAAAAADAQ8/cW61ezOaqzS3VUKb7rv6u77tbpXNbV4G7R8RqtYqI1lqv + JK7L1PUGAAAAAAAAAAAAALjM+kBzRIzj2ForpURErVXSOI7LsgzDsCzLPM+llFrrMDxySzBaVcuc + jk5085auX1MJtSZNOj5WcS3bzbC6U7dVMlO8bLi+x1r3QOtBKvI7ipOyVl107Ug+KkPVlftY65AU + aouKK03z1q49ttRWQjbsz4iHkklqWo8pVaWnirlHuhU1yVLFhnEVijlbMYVnvKE5LqFBpYSKFVUt + Z2fjyZEkmUmqmU0paRo9pTunm2vX1jxsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgEeORK6VLVWqu + araLtN7lKO+zpEsUSSVdFlXb7XT2g7N//NzXPvsXX//ss6c/Wj0+tWEZpqHONbftxsnaSm7mraRl + WTJlplKsTEMqao3Npr28rIunmql5pqykMt2kzEm9GRmeCutHuiRLH8OtSlJahNW2S2WRpZvb2dyK + 63g6thds3Fz7rXd+6JPv+7fv/7nfWevx+ty2KG8cHeXc5s2d9XTUqtzWvWxKVavtLD3dbQzvl7sY + kZ5ShHm4JJOFpyxVJMseM+PKKvNQTaV2RVtwJV2SeJ1HrqYSAAAAAADAIydTUmbGUp86Pv6Tf/fv + /pfPf6lcv3bonTK50ns1Xr+CJaDd3d03m816vTazS9LvBgAAAAAAAAAAAAC4/HqadY+srrWWUlpr + ZrYsi5n1iOueft1ae9CNve9MZVSRRUt//ERZVYo0qrqWCDc/OXqhLq4hS9ZorzrhIDUUW1qaYizl + ubbRtbUGr1YkDe59hVRKFlJK00oWqqHVUY2chtGaFKyiesj12R5zNrOxqrlksX+k0iL6OkO5FS96 + Y5nWkuSpVEohucZrRzHXLF5GXyLNbZD1U4Z0cn2defXmzwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + APwrlXBJMpkkC2X2ZOWQW3qawlKKOmxlm+ZzTNvvPPOtv3j6s1/+zheeyR+Xt2h6Im9tb02DbTeb + o3Eott7c3phrmsqmtuJy94hYllxqLUWlyF2vHDNikT6H3FKerlTsQqxdFmEhyVOS292oaZdCFnm3 + coR7DLnRY35dZ7lq1377nR/6w9/643c/+b7Vnesn85Mlp9DStK2nTWaljC0y5CZJZiaTDe7Nm6vn + el+gnh2+b7xbSoqSKjlYhmWRlCazKsv9v6OLi9nGm+8SpuoQaw0AAAAAAPBgmNmut8guuBButlKs + pZfQurajZatx1Mvjq3cNCenK9Dm6323qer2WdNl63wDgoddjHvr/1CKi/6/N3Q+5DrXWcRx7IMSD + bSoAAAAAAAAAAMDL9SGMnmatfXb1y4eeM/NRHOywnjQcNkRTpqwpi2JwaXJ3KXJQpqo1Fam92vQH + V8tMk6UiWkoaU4rQ4NqlGTcppWKSK+SS+3j4NB4JpiKpmDdJVpo0FCmllEzpSplkJlmqSCHlG1lW + 1ySVu+Hoth5MapK79bkyRfI+naZ/AeTL59bgwetDz9vtdrVaRYS0W616eM1MMzsc+aDbCwAAAAAA + AAAAADyi+vhd3+6jeOf3XLTDcOH9vCgAAAAAAAAAAAAAAMBDb5+v8aDbgYtUMrLenqYprcw1W7ZS + vHiqqYTLhtq8+dLWZ3Xa3Fx++JPND/70v/zJD2/+8IU7z2qd06qczbdrrUcrLZFl0pI1og6jPN3m + sjZrWVuGTGXc1XWIVwmK7qnVZnKLfZi0Kz3MJWWazCU1k6u4WaZVzTHMMnlTNLmXYkOGeZuG7fRY + vvWDv/SRT/32//DuJ98fZ1lfzNWwVm6VS5qnFVmRvJpckoepekqpQcrmQ7vwyUhpWkKllLSIjGKW + aYPJS/E65BKlFFlUzaXHjpsF/01eKb0yRp9WV0pZlsXsVTLd7yNirQEAAAAAAB4VJbVudV3bufK7 + 93bQUpAXAPC61Vp7pvU4jrXWiJimaZ5n7Rf8R0RrbRzHzWazXq8fdHsBAAAAAAAAAADwhvQZBWFS + U7ZdvnBf79Xf9bRdHHX0IOA+6+D8a5dKl1Jph6hsS/kuQtj2KcJS2N2z9U/vlnMZ8xkedillX0zo + tn+odu4+NvL+SGSU8we8kYucF/vT7wOtY9+S/gaVzS+jXnp+tVq11szM3ed5nqYpM3WuJH1ElFIO + EdcAAAAAAAAAAAAA7qeIOD9U10fu7kO8dERkZimlDyxKMjPGDQEAAAAAAAAAAAAAAIA3ZD2Ny7yt + GcNqKuNRa7UtNSIHa02tjjFP25v+zLf++e8+/7U/+9tv/+XRk8Mdu12PZh/c3NxUpKgqJkl2rtbD + zzKPpydMp6yfKsPlPePaB0/LyBqhyJrmmdbUwrRaSVuNrUw60alrGd+6/vlPfvjTH/zlj7zrife1 + W6X+RMerIxt9s9kUb1UtdsUnBqXJFEqZPNU8LOXpkiw9TWFxgRnE6T54WEaYJPeh1tqizst2tCOZ + p6W7yayptZZmZioX1xw8Ioi1BgAAAAAAeASkSyqZQ2urVnshYOlQB/rcEtBdZd773UAAwJUzDENm + DsOwLIuk1Wq13W77Wz3fOiLGcZS0Xq9JtgYAAAAAAAAAALiK+vSBoqJ90rBLksuUpiarxaUmk9LV + +loyzwyTZ/bDe1RwKk0WmUVqqUFSOUxPMJX9hIXDZ3IXZxwmyULp90xvwEPGos9mKQpJKT8Xee6y + 6Evods+DZLvn5A1kW+fLpsOUwxuSMvap16GUzJk8cznVWodh2G63q9VK0mEjMw9F8Pt2j7t+kG0F + AAAAAAAAAAAAHlV98K6P7rXWSimZWWvta04v9LoRu3Hkft0ea32hFwUAAAAAAAAAAAAAAAAeKul1 + cfNptG3GdjnbZnja6MUXX/xkezN+9NXvfem/fu2/fP2fn95Ocfzzq2fPnrWx+eRLVFN6cQu1qtGl + c6UewiLKnFK8dvmQc/N90mSp0mR9f+6CV9zUPGrUJVQGTWtlatnG4Do+8jvbmE+1tnGoK7u9+rUn + 3vtvPvo//s6vfWJcTsZclZvTYCWOMmSqGsZpqyoLS5VIy3D5Lr5a0XZXkyRPWYal4iJTpMOkLBFV + 8kzLUlLNV8rBrCgiN0tNLaZF0mAr9yGiXmCD8GbrU9rM7PDjZZjjRqw1AAAAAADAIyFNSg0RRW2/ + L+5WnAYA4A2KiF5HYBiGWut2u+0r/Gut8zyXUoZhiAh3b62RaQ0AAAAAAAAAAHDV+H5SgUu72Oly + brXYfnGMq6+TscNnzOTavSr7tIRMyXZpwXcX08TuMy+9bj9fuOT9GEspZK9wNB4S6UrJQueePKV2 + IdMpM51f1vczLMgy9bO90iOU9x5nvSU8bJfRMAySVqtVRJhZ34iIYRju3LlzdHTUB6kzc5qmPpz9 + oJsMAAAAAAAAAAAAPKL6aF0pRZKZjeN40dU3I6KUsizLIT+7DyBe6EUBAAAAAAAAAAAAAACAh4wV + T2WkhdKnoqLq263uvDD/5K+/8rkvfOPPvn/ru/WklqeKK19Ynh/HHIaeA52ZKhbmu6oRdijyYJGm + sF1syuuR+xInJWQp9c+lhykspAjT8YnMtGyl0NEkW7R9Ph47upZ10B1/99ve90d/+D+/98nfOD67 + fm3zxJhHrWXNGr74UMxkMoXJPaQihUVJD2s9Xdql2Lc/D7suXtRMK6WUyBppi5ZtbHKs2+W5YVr5 + NI1lKLFYdS1e5/TiuxIuuGouRaK1JGKtAQAAAAAAHgEpi9CQaodCz2m7vlf17tdzPwIA8Hpk5jiO + 8zzXWvueHnTdt1trrbVa62q1KqXM8zxN04NrLAAAAAAAAAAAAN64dO1XeVlf4mXn39UgWfTpBi6F + KaXwfSpxKHT3EylZKmz3KklNkqKfta/bin6cdgHGfv6C5y+Nh5L5YQFfUV/SJ0lK7zHXZvuUdNPS + V/+9/nPf3eonPbdScPdguzwk5f7RLcIlVWstpfTsaklmNgxDa+3k5ETSoST9ZrNZr9cPsqEAAAAA + AAAAAADAoy0izOx8qrTZxY79l1JqrX0ksZSSme5OsjUAAAAAAAAAAAAAAADw+qXFtp0N49i0Omuh + Ujfji999/u++8aO/+uLXP3NLL575Jm5EM49tSDoZhgzl3Ko1mbKoScVlrmjyuCcGJV8+gehVKkfc + PTL7H08N2hc36QUpVqPmM2VokkapbMuo1Q2/3p49+vVf/ODvf+rT737b+6bt0Wo+PlofR2hTt+N6 + GjTUuWWLwUdLr7WOZZWmsCpF8121C0+V9JKxu6ipmppJ0hDuF5bt4qnVajXPs1q0yBxajO37L3yn + Hp1utWRaLioxjHM8MT759uNfDyvMjrrSLkmyNbHWAAAAAAAAD7k0pe27W029GzSkYtK5IsD7arxx + T+leAABeRQ+rljRN0zzPwzD0WGt3L6Usy1JKaa1JqrWSaQ0AAAAAAAAAAHBF3Z1UcFjxZZLtMqiL + st09qv8VeumrLHdp1pYh7YKEXQp5SL4PwPb9rAWT7xaemR9OwnyGh9m5/PN7dub+edO5A3aLDM8/ + Gz9FvnYw+m4KjYeUipDrJanquDRaa8Mw9I0+JG1mZlZKkXQYs26tkWkNAAAAAAAAAAAAPCh9OO98 + mPRh5emFXrfW2ocL+wCimR22AQAAAAAAAAAAAAAAALwe4a2V7Uanvp5imr/9k29+/mv/6avf+9xz + 9Xt1NS9FWVQGKyZvsmhSKxqWTEv5pFJUQxEqtishkbvKIR4Wu1IiP60NPdP6kGzdzE09SNrDIi12 + ZSKaSmo9lONyTXfKcisfP37qnU+864/++N+/88avncR1Py3H5XpE3nlxM6yGMg23z04tcj0drVdT + 1MwWYylKC8nlYUqF5HauHdYrVqSnxyvEcl+AujmzzHF1NA7jRtt/efGH/8+f/N8/Ovt2ecs457Zt + c5Wrt/j1T7z7D//4g790MrxFcbHzsnARzKwHWhNrDQAAAAAAgPshTGFqh/5ZC+17bLWrMi1JabJL + 0WEFALgaIiIizGxZlnEcl2UZhsHMJJ2dnR0dHUkax3Ge52maIuJ8AQIAAAAAAAAAAABcdnn375Dc + d7MOmmJRSLlyWWbY4tnTh71pn0N8Vx8hipftl1KWXkxpMrllKGWu0gOtc3+89/VpEhnDj4A8H25t + YSZpN8UlpFfINX/9z0QeHkC/Z5XjuYfNTG67oGyGNi+tUsowDK211Wq13W7HcWytRcQwDLXWzOxB + 1+ejrx90kwEAAAAAAAAAAIBHTh+nq7X2kbtSSh/Xuz/X7Qtd+3AhI4YAAAAAAAAAAAAAAADAGxJe + 63q7HW9+60dPf+av//PXfvi387Sxk7aEbDouUSNrW6K2ai4rcpeXqkyXrChTJZShlIr8UL8h7CV1 + R/x8NkqvBBEWOld6omveU6dD6f08klxhqVh0bTjR6XD6on7u+B0f/q3f+/D7P/7OG+8a7qzGs/Xo + RabNXEspR0cntdbc6PHphpnVZbud58Hc3TMjfFfawlPKu/G+zRQmT4WFpw/tfkT/mrQafbvd1vl0 + O9d5quN1eyF+FE/cfnF4vpYYVpZ1fWvzWB1vDsdqp4vtQ79xJWRmn+SmS5NpLWKtAQAAAAAA7ruQ + pCzK4f7mSEdYSgq5zGPXkr5HLoVUJArzAgBeJ3ePiMw8n2ndI657pnWvODBNU9/5oNsLAAAAAAAA + AACANypkkvwwkyAVqegrvULhPXZ4N99gd/ArJFi/pl0B6XTlbgnZ3UxrPEpesrDwMJvlVdkbqXi+ + fyxf+8kyyX/aZfFg9dRqSdvttpTSo6z7mPVqteoj19qPVlOhHgAAAAAAAAAAAHggIsLdfT/doFfe + 7ItSL/S6rbXD9qHcZ2/MhV4XAAAAAAAAAAAAAAAAuDIs9hkpLimlsEjLVmrzljbP49nf/OMXvvwP + n/vGD766TKf5RN20W3PVaj3Oy2xmrqFYtTFlmaYItUx3mRR1V9ZhkM4HV2cPhz60oUdHZy8csd9t + 0r11J6xPO1I027dc8pSHSniJaWzruOlvv/bLH/uD3//wu3/3qeNf9O1RuT2uY1XS6zyHaZrGiFiW + pZSxmNe5WaQNZSoWERGh4qkWkqcfLtorYISUirDdvbLczUMKiwstizLXJi/TNKRl5DyO9mJ7oR6d + LiWiyC3bcna6aB62w5jRltEprXDFmN3XpKLXg1hrAAAAAACAC5PqJXbDXFJRSIqsRa7FFW6WKZny + pV2kbypPWUazzMw0y1zJhti1L1yl91jtV4X6RbblzdRXkEaEmZlZL0f7oBsFAI+Ww0r+Q2r1+fjq + w9cymdYAAAAAAAAAAODyM7NDReO+nZnDMNRa+54H2roHwdRDpsv+J0kmL9KkNJnJTbuFVk0ymTJf + MWm4D+5P0zTPcz8qlbtbavtJCiaZn7/SoRmP3q1/RL3kX7TLbTeb5RXLi8fPlH3uL32c7KXb+2Rr + HrxLqkdZ92/pQ0n6ZVkkbbfbw9j0MAyZ+Sh+dQMAAAAAAAAAAPysMrOUchg317lh9MMY+oNrHa6Y + vvjU3fuwXU+zvuhM65dcvS9xzUwyrR8RhwFivqwAAAAAAAAAAAAAAHhzmZlkmWmmngoRkazkvpzO + T/pSZkSYSp/Gk5mpZpa9koOl3DTPdXXteNZyM262o7Pb9sJff+uLX/z6f/v+i9+qwyauzeEtoqpo + kqItxQ/Bz5HZc6llkpmUuyIQd5+NDNku5bqklF7kksK8qaRJalLsJveYJGUqTS65uYVFcw/Lohjq + NmJVNKa01ZQ6yhM/W73j8Xd95IN/8OF3ffLG+JQ243B7PeXYlnDLVCvFipS1mVSKSdEy5JIp1FK7 + EhSZKd2dY5TnCqe4FAo/F87d37vQ6SnN3IajpW2WtpmGOIry4nbJ47YZo9bRTa0tKavFYrJ53hz7 + NabLXC19plNmRoSXcVkWMz3wSU8k/QAAAAAAALyZTPFaHT5RvZhMmlbbsCXa/WhSah+e3UtOu7JX + /A0pTLvu3FCUVy4EfEm5+3a7Xa1WEbEsyzRNtdZSyn1b0QoAAAAAAAAAAAAAuOpaa6WUQw3lHsCc + mev1erPZHFJR+/D0g27sfWeHl/P7XLWWoUjq92e9Xp+dnZmbIl9x6VVf89YDwg+RtPM8T9P0kmu9 + wjYeVYck9ft0pdfaAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcNkdYq3d3cwyTJL7YJbmpbWltdYy + 3Iuslev+3PzjdrTcsRe/9A9/8bmvfuaHt/6prc7q0ab5/JIz9zTrl11vV6IhrR+wK9kQJt/FP4ek + SJeFDhUkrMmk3CVGH87grpCiKTJKKcPoJbyprcqwKspNm3I6zmM7K7/yxLs+/tFP/eavfPTE3rJu + 1+OWWxumsnaZZZPlKzR1vyd7hPZr3cVzydb3Hnc/oofTlx4CbmlKb2kRYbmopkYP81jSLczD+v0M + e2kzcTUc8q0fdEMkYq0BAAAAAADedPaSrcPPmSoeUomQ5+ZojDvFJ2W92Pb0jlFLU6Z6f27TtOub + coWKazal5CbTVarOu1qtep3rQ6Z1a/cjKRwAAAAAAAAAAAAA8HAopUhqrWXmIcRaUq11GIb+2lp7 + FDOtX12/J6WUftO2262kngv+ivqN7QfUWsdxlHRPpjUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACA + N0P2PxYptVp75rS7j8OksWxzOY1bbXX6vP/gS0//+ef//jMvbH7sR1auRZamfNUSIq/CLeUZcS7o + pPm+HVJaP+HutLujQoqpZD+uhoV7milKtlRVS7WlyJvaLa3Nh/n6ann8PT/3od//vX/7/rd/YNWO + j+sql/RI92KjIrc1UrYLXbmqLCy37iqmzDSpZbiloplc5jJJrnSlSX43LBxX1mUItibWGgAAAAAA + 4KL57h+TesecmvhnAAAgAElEQVTpatBR3l6X28ucF5/CHCaTPHuqtVxShrI36m6PcEohlavTxdpa + M7Ne57pXEs/MXjX7QTcNAAAAAAAAAAAAAHAFZGZEmFkpJSLcve88RC+bWc9v7q8Pur2XxbIs4zj2 + kXpJmdlv3aslWx/CwksppZR5niXN80yyNQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPCm6CU+cq/v + nFaeZq21uW3v5GmLdqo7t/35/+/P/9+vf/+vnpu/P1yv88lZjTCzec7hDZYD8by7EdrHVu8CrSUp + TCnJFJJLQ8qyB7hEpEsmDaloMffCJcVlaapS5BCrG3F0osc/8J5PfPw3/s07rr/3KG6s2nGeRS7N + LYeSbt4ial2slHEYWrv4DJgLFMpwM5cs0oq3lqWUSJlFz5exfjfVb51IZ7lyzkfqXJJ4HWKtAQAA + AAAALpIpe5K0JLOIbG6Du3z53/7kP373dz8eP3nWdYFR0mFqZmbmmZ6ylGXIQialKf1u6/YbV0Wv + Kt572Xql7O1222uOP+imAQAAAAAAAAAAAACugB5o3bcPwczuPo7jIe768O4h9xrjOGbmMAyHvOrX + HqnPzB4N3vWdZFoDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAb4rMPMRa90ogXmSmbT0Nj5hcR7n1 + 29/+yTe++Pd//pX//oVNedGPs46nZ1Gn9diyLa0d35jmzWxvJGk3LLQPt/bcxSzHPoMlD2EsKZks + ZaGSPei6tlKVQ7hL0WSKHF1HZfRlzI1N9tiN8rY/+PU//I1f/tBTN36htKNpPvJWYl7WZRynMVvN + FlnTrExlzPA6h7unXdXIEk+lNZNli5RyGKKmu3vKrJnMsodZu+RKV7qu7C/7iDOz3Mdam+nBxlsT + aw0AAAAAAPBmO9fd04sxe+/ZS5dnj5a2k0mb6fbSatN0X6pe7zpwU2mSt7QwSVnkyn2iddGuM/eq + MLPMdPda6zAM6/WaTGsAAAAAAAAAAAAAwOvUk6r7iPNhaZaks7Ozo6Oj1lopZbvd9qDr1hqx1t12 + u12tVv2GuHtrrQ/fv/anejT4MAzu3u/w/WktAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8HDrtVN6 + DRAzM093b75s7ZZft1tx5+vf/fvPf/2zT//gK6f+3Hg9bYrb240Pbjbc3sxltHFdbp3Oq5+pvEpY + T7befbinXCsVJrNdhIuZXCEp97nXPdy6f2rlk2WUpcRN+dn49sd+6WO/9Qcff++nHlvefs1v2FKy + Nku5mZVsmpdtDuaSy8xtKO4tm7WUX53AlZezKJJlKEw2hNs2aqa5epRMv5Gu9JTnVf5F0f3Uij33 + B7HWAAAAAAAAFyUP3aOSUhnhpbgUUqoNo4WXaRgV9UKbUXIfqq2UFJYqdVas5DJvppRK74E8dFhd + hf7HeZ6naTKzZVnGcTzUGX/Q7QIAAAAAAAAAAAAAXA09pvqQaZ17R0dHfUg6M4dhKKX0POYH3d7L + omdal1Iys9YqaRiGvvGKSin9eEmttVu3bq3X68MeAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAK+L + hdTzR+7d3WunqKUy3cx9aW2bd+rjp3/5zc997quf+e4L316OzvKpSG1u11bPdHJ0nE251PU0qbS6 + tNUotTfWnEO4cuzTrC3d980rPcE6dxnMYTW91v5m7gKoXVFCY7N6ezjOt7737R/4+Ht///2/+BuP + jTfK2fGRv21zcxmnHNbj2eaFLBrG1TzXcXWcKtEsa4tYLNo42LQa5lpffnOuFkuXLM2a2p3tJlqa + KXvuzL1RMtkfBlxBZpbEWgMAAAAAADy0ekdeKnrPqaSUUmauyNGtyWNYqdxZIkye6bqwzj5Phe0a + 1bujXCHVotab1vuEh5QOTbgKmdaSpmnqRa7Hcez1xCX1UuMPumkAAAAAAAAAAAAAgKuhtebuZlZr + 7QnWmdlam6Zpu92uVqtSSn8rInoMNiT1ROq+MGYcx2VZXuPgiOgHu3tErNfrzCTTGgAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAHhT9FjriAipuKeituVmffH//L/+j2fs+3f03HK8qeOyrQrXuNJ6dbTd + zBY+jm65tLmZy/exJq9TD6tOk6XS7iZbh8Uh2brv2Z/Xq7yZLFXSh/ASGloZ2qSz8SPv+thH3/up + X3nqvY/7W6e2nu4Uq+NS63p9HLlZNmfrcd1sqfMyjlMsbYk082EaBvMWsc3t3OZB05t2T++/dFPI + ipmlt9naadvUaK7SXiFvnExrvDmItQYAAAAAALivLGXWO/zcbcj28r6/N1nxcVmWVJRxpTqPkuZl + UFWuXiHB+kpFQh+KXPdMa0lkWgPAfXPIeFiWZRzHvjMiDiEEEWFmfDMDAAAAAAAAAIDL7DDuPAy7 + 5RVm1neuVqvzb5FpfV5mHoaBaq0/9eD+2jcA4F8pM4dhOHz59O/niOiv/UeGqgEAAAAAAAAAAAAA + AAAAAAAAAAAAAADgXykzpTRTpsZxbK2Z2RsMPsabrEcZny+D0lfX78p67JbcZ4sYxvHHz/z4x/Hj + WyfPyZbmTdJoCimqIrcqslJbykzF5KloSineyHp9M5NJvbqIRUiy8FRNjWNZlv+fvbv7kS077/v+ + e5619t7V3WeGM0MPSVGkLEuiXihZcazQEWXLsmEEcSzfGBACBEluAuQm8N+R2wBB7pMLJ7kMAgOJ + kRcgCXIRO4ASCYIiyKRtyZIsShTJmTndp2vvtZ4nF6uqTs/MGXI4PH26+5zvB4V99t69+9SqXdV1 + sV6eX5e0zEvvfV1bWXZh5633xfq5ue2jPKmfPfvzP/LWT/3NX/y7n9798OvTW2rm1zb5VNO27Dmv + 17aWlKVHl6t4ulbV9LDonpH7VPTSx13xpoddIyZrplwlSz7uV3/y+E9bRm+p8qyLLZQP++W+asws + Isw9IuRqrY0v2LtFrDUAAAAAAMCtSJOkHL26KeWpN1duGgcuWR6uvCVh6m0rtRSvuV5LynWv1rV2 + ueSjMSGT3On/BwB8fKeMh5FAcMq3bq1lZmvtlHUt6Wb0NQAAAAAAAAAAAAAAn8C2bZLs6Ga+da1V + kru31mqt+/1+WZY7bi4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC9cSmkhs/C+TpdrfeIjDjsPkc+W + SovD1Sal0hTff16JpWSuGHEsoRHUYupSmXX5pE+TStHV9V6pefGtb5719el1u97a4/ZDu8/9ws/9 + m1/50i/98Js/0R/PF/Gpsk7RuncrKsWs2xa2yZRyyyJVD7NDO9NNytaltLT0NLeUHnaqtad5tB7W + t1zrG/V3/8/fmXfzVkofsTfjTltIISNg5sHLO0+0lkSsNQAAAAAAwItnUtHoynXJpX6rT1fPpqur + 9bxIks/2yP2/+Oqv/P3/5zd0JvnT7qG0MHvQHawAgBcqIkY18HmeJY2a4BFRa42IEWJ9fX292+3W + dR3XAAAAAAAAAAAAAADwiU3TNM9z733kWy/Lst/v3T0iImIMW5dSeu/LsmzbNkauAQAAAAAAAAAA + AAAAAAAAAAAAAAAAAAB4qYxQ6vSn21NM9fs00+bWlHXEQCube4wo6zAdspLNuzxdprB85v/zUVzd + TJZ+yLQOG81TC+WsMh8aUYts8trjLHL7xtVnLn7sq1/5W1/50q+8NX+mXvtyWadSLDL7tXVJc6a1 + KMp0757Nsyjd0g4vViELyzYOvJdQlWw0aARsP1AZms52T67f05Lf2v+rP/jW79mZ2hZWPBWmYwry + s99u4JMg1hoAAAAAAOD58tFHaSmZTHKFbsZFmyQVpUKWH/F/PD8pXV2tU5VSXkqs/eL1+sN10XVq + OV5hkVLITy/Abr1dAIAHz91HWPXl5eXFxcWyLJIyc11XSfM8r+u62+3Gfu+9lHK3DQYAAAAAAAAA + AAAAPGinIenz8/Orq6v9fi/JzCRFxBi27r3XWjOTTGsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwKsm + LU0mKTxk4Yf06ibzU0CKpTxVpJBS3uXdlZJM9fsMUjmkTKfyQzEny6zrS/VrXZzV2Xf9cTtryxfP + v/jVv/k3/tJP/vXz/Gx/dzdvj3ZlUTTFFrFlyszclZHRuyynUJh7jKjqDA+ly+RSmHvKU5KXY+J1 + eP9wSx6SYk/2V9OZP/bv/MG3vv7NJ384na+h7jYpXdokPejc7ldc5uGvy8wi83R4t4i1BgAAAAAA + uAXpklx6Xw+QSce+VA8pQxZpt9vfZ9Kjs3m/X63kuvV50tW777x28aZaVxzzq3PsRMofdP8qAOBF + 6r1v27bb7c7PzzMzM7dtW5ZlxFev6zpCr7dtm6aJTGsAAAAAAAAAAAAAwA/IzHa73fX19dXVlZmZ + 2TRNI9x6t9uN4elaq6TWWq11JF4DAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPAyiWOe9HdfVG+pEiqp + 5gqLEXBtUUtU68UUrgxvUZqk7pJU7PuKtf5g3sohOjulJq3To1zOzs5Lm9u7+YXPfuEXf+aXv/pj + v+xXs94rSy273WSRva09FVbTXBa1mFKyVou7TGEuT8ktuinkskiTZZHKyFopkab03MIUtxwBc6vC + IqV9PpFvUR//k9/+3/L86tuP3zl/Y9mvp/f8hvzQGTwEZnYKtL4PwdbEWgMAAAAAADxX+XTHpENl + 1MM2wjJUTFHycGXYsV/11mxP1mWu29rm87ldrRevzUsPXV3rTeWxhab0Y4czxVwBAB9HKaWUMsqC + Z6a7L8vSWnN3dx+Z1r33aZpOEdcAAAAAAAAAAAAAAHxivffr6+tpmrZtkxQR+/2+1tpaG+czMzPX + dd3tdnfdWAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbktI5aN+ZiGFlCkP1S5JSlOYJLlFmNKkdFmX + 5CkfGdH6vjKtTw2RRtDJ8XdLn8/8vF+p7pfZXv/Rt7/0S7/0V3/6L/zM3B7Vd87Py2vzpN63dr1F + NtNsNpm7mad5ZPRorpCpeInmNxofski55GmH11lSaZIiFGnPyn5+UDat569Nmp787r/8zd/5vV+v + b2x6ohabVJSuNMkPrzHJlnnYRomMu26FRKw1AAAAAADA83cj2dpNoafp0SH10bebUqqb+ui3vbW2 + eKoU7717sSdX69mk3nv2VXOVjr3GSrvZO0zfIwDgYxhDHdM07ff7ZVnGyVKKmUVEZpZyGM2c55lk + awAAAAAAAAAAAADAD6iU4u7bto1h6HGy917rYYncGLDe7XattdNJAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAABeGmlpHy/SOMw3XzbbWbaMcAtJZpHlenN56BSWUuMQbRvfV16Jve96k5Re+jzFzq7m1+P1 + v/jjv/BXf+5XfvSNL13ka+W9OfYqPqlrv/berdq8myZ336JHbmmWmZGZMslNWiPDa5pMI6g7ZGnq + N1vQTZl+CL2W/F7EBH9CaeFV+7i8evcb/8v//g9zd/XuevXap+ydd2Oqzwwx91OsOPCJUZ4DAAAA + AADguTumVJtMURTHMz4ir0OSxQtLj44eZZ6aQtFlUqQipZTasXfIDlncSaY1AODjMjN3L6VkZsT7 + hqyWZdnv9yPZOiIigkxrAAAAAAAAAAAAAMAPrvfee5c0RqvNDrOdImJEWY8ztVaSrQEAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAL5+Rae3PChjx9LCnqc9pClOYeVbLkNbTL6SpF1mE5J6yPCQkf7KcZE9Z + eIm59Gnu51M7++rP/bW//KVf/JE3f7w+OZufnE/9wrZpdl9zH+rF6m63y7AeW2urFHJ5cZlCVqyY + WWb2HuaednipluO5njYwzCWFIk1pslTcs2Trw/1Ol73vvubhMEcg94gpb2V/tTx53P/kf/7H/90f + Xv7+5WuP53M9fpznZ9q2kB1fpg63gkzrByczv8vhXaE2BwAAAAAAwO0YSdbZj0nWrlCtJinUVELq + 1qOEu/qthkm7lW1tMRer1nt6rVMpUpP2RVUpWZWaLB9cpvWpi83Mtm2bpulu2wOcjMrI67qOKN8X + /Pkcfxqnws3ArYqIDw94rOt62jczCoUDAAAAAAAAAIB7q/d+CkaNCHcf+621UsoYdBvniUcFgHui + lDJ2bk6NcHd3HzvjDF/aAAAAAAAAAAAA35dRA/R0mJm11taapGmaTmtmAeBe+fCUnpvfV2MiUO9d + N8aaAQAAAAAAAAAAAADAx/SBOUVmZjbihXGX/GZAssXp/bDjeU87hCRLluFqJTdZWH7Uuxdh8lQ3 + 6RPlJFto1lz7WX2y/Lnlc3/5y1/9K1/+6hvzZ6Z2Vh+flb54TJGuml1dli6lYu3rIey5uOSyyByp + KW6ZUppUTaGwkfmS8hyh20/ngRwSrNNDp9Dr7/8F3Bob75ekdB1aON6cCKXVSM/UtsUW6um5nl39 + v9/+v//XX/8f/uUf/1O90Zt5u47d7G2LGl1F3dV1rKpg9+ml4uNx9xHuEBFepm3bzHTn2daU5wAA + AAAAAHiujrVSU5LCFDJJk3QMulZaDxVJ5qlSp2z9dpuUJlmYUqOP1Q8dwaOTMQ/NftrdfLuteW7G + 8sL9fr8si6RpmjKz905FWtwHtdbr6+vdbjcK3I9M61EQ/7afejyjpNNfBwAAAAAAAAAAAICPcsqx + vpmNKmmapogYY3zX19ejWvddNRIAAAAAAAAAAAAAgFsyRsbNLCJ0LJg4tiPT2sy2bZvnedu2sWAW + AO6PWuu2be5eSum9j/X1vfebZbXneV7X1d1HvjUAAAAAAAAAAAAAAMCDZpLS00LHCGo//eyYbD2q + qHi6p0zd1J7mkXxEgG58spySlJl5luzTW4/+3L/xC7/0lZ/5xbd3P9TekadbKnwNC2mfUh7aFuPZ + Unrpt5LiOGPFzFxhZmGRluZ9zbV7yPuT9uQb3/yjr/3zr/2zb37t69e//c32r+q5pt0UeytWTVIP + M6X1TOum6ZTebTECs4EfBGWVAAAAAAAAboEdOnCLSVKXUuqhWVLEVKr2m8KnMm/bd+oLyZH2VB5i + rL275NIoyX189oeSZn0ylhcuy5KZl5eXjx49MjPKiOP+2O12Nwvfl1Ii4rT29VaNRbYj6H087wi6 + BgAAAAAAAAAAAHDTzaG0m/nWoyT3GIA+BVqPn95lcwEAAAAAAAAAAAAAeN5KKZJ672Np6hgZz8yI + GD8aMpNMawD3UO99mqbWmiQzG8HV7u7up5Pruk7TtG3bWH1/xy0GAAAAAAAAAAAAAAB4qXj06eLs + 9R//wk/89F/48qfP3vrn3/hnv7f//bPpNe8+kq3TFBZp0T0llTBJ4/xLv+2udLWM3vu2bW293rZt + 7WvPdnX1+NuPv/1n3/7mu1fvrLkP9Z5tzVVLzvPsNdZ17T1G3Rv3Z+WRp5Fp/XCdpmu+kACT74GY + HwAAAAAAgFvUR4y0lJIXdal60bqqzFp782L1LOL6Vrv60lKZnsoMD09TG7HW8pRksnvQS/XJjA62 + 3vujR48y8/r6+gNBwsBdaa3VWk/rXWutY9XrbTsFWpdSxlYSmdYAAAAAAAAAAADAM40y3O4eERGh + 4zD00Fqb53ld13Vd53lmMBoAAAAAAAAAAAAA8JIZ4+ZmVkoZA+g6Dp2XUrZtq7X23u3ortsLAB80 + FtTXWrdtm6ZpzPYZc4GWZdm2bXynbdu22+3ItAYAAAAAAAAAAAAAAHjuzOy99977zf/vt373d782 + 52yrvJfJp751KTUCrS3Ce1pIKuGS3Xng9AuLtd5nz2OGsZvMbATFrH31aj4pzlvP3qy7q5TivfQt + t22vomVZImJdN5dM8pEIfrfvN34wH0ixvheh1sRaAwAAAAAA3BKX4vCvRj/QfuvnU1FKZdZ2reXs + 3VrerXbRNMfttyfj0I60biZzycfTuskO7Q2lP5RuyG3b5nmWVGuV1Fo7Ozu760YBB7XWJ0+enGrf + t9YePXr0+PHj206YjohSSu+99z4Oe++1VioFAAAAAAAAAAAAAB82Btdaa2PceZ7nzNy2TVKt9bQ/ + yt2OnbttMAAAAAAAAAAAAAAAz9EItB77Yw3sKdz68vLy4uJCx6Xckvb7/bIsd9RSAPhIY2X9NE2S + 1nVdliUze+/7/X5ccH5+fnV1dX19nZmsuwcAAAAAAAAAAAAAAHi+tn59dnZepOvrd5+03E07r/7e + ttWzKkV4pPW0CItjrHW19DsPnH5hWy9zmhSZmS0PwsKrN0uphSI9uqulsslXLzKZiruZtb5laFm8 + b8dUmzSljygcPFBjFtM9ybQWsdYAAAAAAAC3ImWmsYS367Cs7Wwq0VRcylStqvaH25MfXnwJn6Pf + XlvCNHoWLW0cpkkm2cPuZ5ymKTNPIb7TNK3r6u6npdHAHTrlrI/Pp6THjx+b2Ui5vlWj93mUDBhb + 1tYCAAAAAAAAAAAAz7Su60iq3ratlDKyq0egdWvNzDJzXFBKORXyBgAAAAAAAAAAAADg5TBCrFtr + Y6z8tCI1My8uLsaZcc22bWRaA7iHeu+llLHEfts2M2ut9d7HQvtlWfb7/dXV1Zj8w7p7AAAAAAAA + AAAAAACA5y0evba7urpqTbu5LGfz1p5kjzKXfb8eOdbhmTqGpEjdVr/1xIZ7JNWUcpO5SVK6mRVL + s2jZInpKMplJLk/Ny1TdW7teW8iuzVQnmZ3yjx92ygw+4J4kW5PxAwAAAAAAcDtSSslU7HCkrqlI + KbkppeL/7v/1f/zGX//rr69PzttttSJMqciUp3I0SD6ao+M/IZXTgcUD6og0M3cfydaSRjFx4D44 + VbQfK/ZHsft1XW97pet4ulEjgFW1AAAAAAAAAAAAwHc3RpkjYpqmUeI2IlprkqZp2rat1rpt27qu + pZRRxfuumwwAAAAAAAAAAAAAwHMzYl9PmdZ5ZGbrus7zfFqvOk3TXTcWAJ6hlDK+r8bcnmmaWmul + lN57rXW/35tZrXVkXW/bxrcZAAAAAAAAAAAAAADA82R67/F1nbXMit6v2hNL1aKe3cohLMFlMfZS + npL84SSiPAcRXSO+JmUpjXsgRYRXm9zDMyJ7k5mKab/um2QuM3kppVj0dn2d9X03zW88XqWQcNyO + V+kvEgAAAAAA4EXKp48iFamODr2ektKLpqqa365qfrtdNGEKk2z0UUpSSSlD+RGRt/ns0/dN5qGh + I9l6FBa3j3anjcUrZyzdr7VGxPisjkzrvGVm1nvPzLHgVseCAgAAAAAAAAAAAACeqffu7qOs7diX + ZGbbtplZay0z53kupTDuDAAAAAAAAAAAAAB4+Yx1qWOI3MxKKZLGWLkkdx9j6LqxuBsA7pXxfVVr + NbN1XSNC0pgRJOm0El8SmdYAAAAAAAAAAAAAAADP3TzJTQplykxyhSlc3TKVmalM7+bdaiuKkmld + +epszTJLmqVZylN+2CnFMrP3yC0lFZNLETqbJ3dzV60W0ff7FqFnTnsx5vQ9cPdnWma96wYAAAAA + AAC8dCwkKUaU7KGqtY2Ia5PclDI3mWme98X77WfOmmltmi+m/eWmHnOkWoz4apOOz//Asm9HxfCx + dXeye3HfnBbwj+7g086tOj1Fa20UDgAAAAAAAAAAAADwXYxhtVJK713S2H54aC8zGYADgDvXey+l + 7Pf7ZVlOhcgj4rQdiQunK++6vQAAAAAAAAAAAA/AaVSl1kNZwjHgctMIgv3weQC4J06DxZLMbEwB + Orm56h8AAAAAAAAAAAAAAHx8Hxhtd3czi0hmEuGplKJmyBRmkuJwUvJTHkoeApgtw6RmSovQK7M1 + pR3jayRluBQml9Ju3EZJJjP13m3cqUgzlSKTPjTzJaSQhSmYE/OwnGpimJmbj5lOZh9+i18own4A + AAAAAABuh50erlSa8kaCtFJKlzzkytvtoonI6ppnbZebpPOz5bw19a449VLG8VpXuhgGAAAAAAAA + AAAAAAAAAHDPjEyFZVnGwjx3X9d1pFlLioixfi8iSilUJAcAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + 4Da5p5dwj2rhlq5jWnOYNGKvTWkxElvSIiwk5auzlZTKY3T16c6EjZyap1vLww0cDhk3uvGbp5uu + Q1I4Hrp7Uhmj3nUDAAAAAAAAXjYpmQ6B1n108Y0OU5NL7mmj67RL3edut50iPZcps7ctatG0u/jW + d76zfOqR1qZsrkkKUyiP3URkWgMAAAAAAAAAAAAAAAC4f1prtdb9fr8si6TTTma6HxZnjv11Xed5 + vsu2AgAAAAAAAAAAAAAAAAAAAAAAAAAAAADwUvOUKQ77ijieH3nM/Zh+YpIyTgevXCjKMbnYU8cc + a90MtL6xDR1zrw+/at8lwTqluPEf4oG5J5nWItYaAAAAAADgNqRkI7daSqlIkkKyU6/f6N/rXsL9 + NnuKPLz1Xqq8KFNPLi/ffuPN6O0f/dt/52//xm9ZShaHXsxXru8WAAAAAAAAAAAAAAAAwINRa5W0 + LEtEmNnYiYha6+Xl5dnZWUS4e2bO8zwysO+6yQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvKxCFk+P + TCblMdb6JKWwY3Rz6lYjWu6VMKWeZsHEYeNPjxSSPJ/ux0cEx3gqJcvj/3bztuOhMTu8zfck2Zra + HAAAAAAAALfi1PdjkkkupTKUqTSTqSilfBFZ0qZ0TbI1XZl68t67r0+7iyd7RR5bGrL3NRgAAAAA + AAAAAAAAAAAA7pvWWillZFdLMrNaa+/94uJCkvtYvanr6+vdbneXDQUAAAAAAAAAAAAAAAAAAAAA + AAAAAAAA4KUW/qyM6mO4tQ7RzS4pTZHylIdMr0oks6da+XBS9Yiyfv+xJMlS9rFijl+VG/jyuSc5 + 1h9ArDUAAAAAAMDtKlIJSSquUDSlmaoXueTqJuXtBknX+exyvbpY5K7YtExVbdu1rgxJh+2Njlsn + 2RoAAIoSNmkAACAASURBVAAAAAAAAAAAAADAPdN7r7WOnVJK793MzKyUIikiMtPde+9kWgMAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAcNs+lNksS+Ux9KQc863HP4dr019Q4+6c/cD50+nvC7G2OAZfhxRS + yuIVup8vl8y8JynXxFoDAAAAAADcCtPIrZZJ6pLkGVmUUpOqj7xrbUX9trv4tn4m05Zr6uLR5D49 + vn78aLco49jVCAAAAAAAAAAAAAAAAAD3Wiml1tp7X5Zlv99P09R7j4haa2stM0fQ9c3o67tuMgAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAL6c8bP2UbT0yl8shqzdubA/C/FVKYXYPufTdc2H8RrRx2I0c + 61fpTr1qzEz3ItJaItYaAAAAAADguTNJ8uNOKPxw1tyVpi4p1cxCZnH7/TOZ4aV22+ZJjx9vbtuj + ZdmvT6SuCJnLlMcOX/se/xkAAAAAAAAAAAAAAAAA3IGRWi1pv9+XUkaU9TRN27Yty7Jt2wi0bq3V + Wsm0BgAAAAAAAAAAAAAAAAAAAAAAAAAAAAC80iwspRv5uZbyrJLCQoo0STLJQ5a1RLWYPN1SknlK + GvsuyZ6RsmxhLknpsrB0KSzd8xDMbO+Lc84066a0VyWteYR837wJeTybFlKEhZSySAtJYb2VlhZh + Sqm7LN1TygiTKy2tpMKie6YpzYi+fohG6Yz7g1hrAAAAAACA5y1cNgKiQylZ5ORdMtm69XmalM2s + S11r7mx5Vt/r82TKntlcW9euSmnX0bO4elOkrKjUTUqpSk6yNQDg4+m911pba5JKKRFxKheeeRid + 3LZtmiZJEeHOsBYAAAAAAAAAALi/zOw0xjH2M/M0GnLfloIAwKtpRFmPb+ne+zi5bZuk/X4/hqcl + 1Vozk69uAAAAAAAAAACA7+m09O+003svpUha13We55tnWCeIT+DmfIwXYFmWbdtqrWbWWquVYpsv + v/EdZWanRc2Z6e7jK2tMATIzRpABAAAAAAAAAAAAAPjExgwQt5vruF/chBA8B9YkpTxMrrB0i0Xp + 0pOc1BRzdc/IVVObzvMNe7yc+2uPzl978/U333rtrTc/9danzj+1m8/OpovqtfpUa518Gh+MMMnG + Sv/xwThs7aNnDeWrNI8jTWZ97W3btojI7C36vu232P/Zt/9kn0/ee/Ktb37nG3/6zh8/fvKePLXr + q19eZsuiZko3hZesEat5yDRvtXpJ6620UFFWvS84HPedmUXEaX9dVzO9wEl2z8ZMOwAAAAAAgOcq + n/576g7dFCFvLS6maqlsoSmUIZ+2rd9ueywkl5QyKS0lKU59tSnZ0w4iMq0BAB9fKWWUEliWZdQH + 772PcuHjzLhG0n6/X5blblsLAAAAAAAAAADwTKfKtmO9x6hpm5m73e76+vqUisp4BwAAAAAAAAAA + AADg5TNGySOi1jpyrEspIxp2nueRCnw603sn1hof0zPnY9z2k9ZaT8tdSykj0/qUy46X1fheGl9T + rbWxxjki5nle19XMaq2ttcwspYys67tuMgAAAAAAAAAAAAAAwAvlKU81V1qEZBaSZHF+vnu8v7R0 + 74uur9+c3izrox998yf/yi/+8tuvf+7tNz97vpznplxVNe3KWds3z+pmSncpM5Xele71rjN57zEL + r9YyYj7MpJJnRGzapj+vJ/1yzWtbevP9n73zJ1//F1/7vW987bf+6NdtZ2tch7Xr1ud5MrN5nvdx + 7ZKne/hm/XjPx2QYkq3xAyHWGgAAAAAA4LmywzbenxJt0ln1aCouK1Vt1bbJfF7OXkirwpSnyG1P + V7r82MeYclMcWhvHswAAfDe999aajkv6e+9mNioLtNbmeT6dX5aFZf8AAAAAAAAAAOB+GkMYvffM + PIVYSxq1ucd2jHfcaTMBAAAAAAAAAAAAAHj+xtI/d9+2bZ7nbdtKKdM06ZgRezozDu+6vXgwPmo+ + xgsw8rMzs/c+ctlf2FPjTpjZtm3jM5aZ+/1+t9tdX1+v6zrOtNbOzs5aa6fLAAAAAAAAAAAAAAAA + XiHpyqpUyegmmdLVyxOlf+vdtlS9Nr+xvpufrp/7yU//7N/6d37186990dY666x61aq+yZqKTYpp + sjOTZaakyJRkXopClszJ+Ggl1vAslm5WzGzMYJks+mU/s91Sm/a9e3t0/tkvfPnL688//hd/9jv/ + 7T/6r7715I8fXWQpe3Pb+rWU4eomc4VcKcvqKctII9MaPyhirQEAAAAAAJ6z0WmaN864fIRFtx7F + XVvTVDQtau996713XkAnq6csJZOnQm4j0dpdbqOhJpWbjabfFwDwvZRSWmullFJKZo6tJHef51nH + ogNmFhEs+wcAAAAAAAAAAPdQZkaEmZVSIsLddaxmO4pxm9ko4T22d91eAAAAAAAAAAAAAACevxFZ + bWanHOveu5nVWrdtG9e01u62kXhAPmo+xm0nCp9i2sdhrfX6+nq3293qk+LOres61jVLmqZpJFsv + y3Lzmt67jgufAQAAAAAAAAAAAAAAXjkjU1kxJtaESR5SvPa65j63d+Ji/9bf+xv/4Ze/+K896p+u + l/NU5ra2toV7nctcS4mWbYviVVIeAq1TZpkyd0WX5XdtwSssbfKqdJMyRgj1mEZV3KoVV1dG9Gwt + e6iXcvZTb539/V/7zH/9P/6Xf/De1/e2T621ZJhGKrmZappULc2UrhZy7v4DcvgLMsun7rpNxFoD + AAAAAAA8XynFMR46Rlb0MTQ6Nk3VlVLvmlwmzbvX3347fu87t9yokFTy0CxPHdZ7mstdIZn8xpUA + AHxMtVZJo7iApMzsvY+TY4G3u4/1/6OqxZ02FgAAAAAAAAAA4INGAeWxPwY1RjHlaZpO5ZVPPz3V + WQYAAAAAAAAAAAAA4OUwxsHHqkBJI8R6LA80s23bRuVEHUso3nYsMV4OHzUf47arb5ZSLi8vz87O + TnM8drtda+30CcdL6eb65daamZ0+ddu2zfM8PpCtNR2zz++srQAAAAAAAAAAAAAAAHfAx8MzlAqT + TN1lqf2V+pP10/lD/97f/Y9/4vWffWv/Q4/89avL65Qmn4u7q6qbRVqEp1WracrsYZIylFJkppu5 + mFr2EUxt3cysjFuUlmGuYqbJ6ra2MWGv1jrVxUwtd/vvlM8/OvuP/s5/8p/9g/+0TU+u1u+Uc7/q + ESY3hSklZfGolrJDQg4lcR6qexFqTaw1AAAAAADALTlkWqdLKqaUzCSTUloW2ab9qqn+wbe+9VMv + oos1PSWT5eha9JFl3aTqUspSx57ekIluRwDA9zRW8u/3+2VZJI2dsdL7+vp6t9uddsi0BgAAAAAA + AAAA99OoYjxGPW5W337y5MnZ2dmoY7vf70fQde+dWGsAAAAAAAAAAAAAwMvEzG6Oho842DFc3nuv + tbbWxv5peP1uG4wH4aPmY9x2LHpEXFxcSBoR2ma2rus8z7f6pLhzpzc6Im5+R7n7six8BgAAAAAA + AAAAAAAAAMKUJkt5KO1wpqQupnrWP/WVH/3qz33+5y/2b/t7U9u21+dHTS3dMrO3Hl1FVmstU9m3 + TRYyS6UsMjMtFOnKuOvXeJ+VqZrJUpJKmuSWcnlr6zzVslskba331jOzeHmtvPXeO+88uvjMr/1b + //4/+J/+8yhXra8j+3jkV4dlSbd0GTf+YbsnmdYi1hoAAAAAAOC5y2N3np+O85hprZFsndG6n5+p + b/XTb/TLb99ue46rO+3YJXVcWKwumeQmG+0U3Y4AgI9rLO1elqX3bmY3l3bvdrtRt2LsTNNEsjUA + AAAAAAAAALiHRmHuUw3lPDo7OxsDH5lZay2lfKDuLQAAAAAAAAAAAAAAL41T2PCpQmLv/eLi4vLy + UlJElFJYJIiP76PmY9z285pZRIwGjP0x9+O247Rxt/b7/bIskkaY+rZtZ2dn4yur9z7Pc+997GRm + KeWu2wsAAAAAAAAAAAAAAPBChUVahDRFlUUo3JUmj9nXi/rktV/9a3/v7Pps+/b+h998+91vvFPn + 2HofOdWTuxWTFNH2615j5oWZLMzSXWaSzMMs/Q5f432WFk2ZkjJM8jGLKlIWtfp1fxJbmFmts8+u + tAjPdX5U33i0e/1H3nrnp774F3/7T//JH39nPfuMLje5JGvKYx5OejI36mH68LzNu0VZJQAAAAAA + gOfPn/4b7zubygwr5mXSftNU/4N/+N9//V//+RfQJEsdw6ufdkvZMYS7nI4BAPh4RnD1WOwdEWN5 + f0SMVd+j3EDvvdZ6irsGAAAAAAAAAAC4b3rvo5bxGOAopYwxjnmexzhIKWX8aIyD3HV7AQAAAAAA + AAAAAAB4bkbI6yn318x67yOH+PLycuxI6r1P08S4OT6+Z87HeAHx0pnp7uO53H2shL3tJ8XdWpZl + 27axrrnWOr6mpmnSsfZrKaWUwmJnAAAAAAAAAAAAAADwyuoeaZKqhdxahsxV21L2j371l39tur4o + 67Jb5sv33t2d1X27suLhktSyecjMZPJ5TP6JUFpGSJkyheRKs3uRzHsfpZSlhsJk8kyZKVXCwruF + Fa9WJEVkzybJbJqKete3//Tx25/7/F/60ld+8+v/+PNfeOOPLr9TquQmC6lJIVmaQkq7GUEDfBLE + WgMAAAAAADxn9r4dv3mcJsm7QrKyTMrQZO2Wl+/GoR03Q6vDMtWyKFKeUg8Vl+SRze1hLCfetm2s + f46IWus44+4R8T1/FwDwgxsr+ZdlkXQqRTF2xteymY0dlnkDAAAAAAAAAIB761S8eIxrSDKzm+Mg + px9RmxsA7olRi7y1Ng7H9/OYMnSKUngBBfEBAAAAAAAAAABeAqc06xEDPEKsx/Z0eMK4OT6+Z87H + eAHP+4HhQjKtXxE3Q6xvflPd3GexMwAAAAAAAAAAAAAAn4yZZYakTLl7ZrKS+2FJixbXmjI3Kb3W + JXJfu5aY3rLP/uwXf2G3XUwxuyy9rz29ereeFiNpJXMkJtsxODllMrMihYWnSWYy8bH4SG5ZPCcp + lJkZaaFTlk3GcZaeySxNHlJe21R3ZXd9uf+xz//05z71I7//7X+6nHmLNFMqXd3Upexm3cyTqX0P + jJn13s2slLrf79115xk7fIYAAAAAAACeJ5P8+ND7FuoqpZD64eEp0+Sqtd9mF2vYqV2SlKbwkKJk + KHppvSh65liO13t3qw+ly2iapswcmdbrukoi0xoAAAAAAAAAAAAAAAB4WW3bJsmOJNVaI2LMIJLk + 7iPuer/f321TAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4c+mZinSTFNlcUTJ8y5/84pffrJ8902tV + uzRl8dViM+uu7uoeYequNKVlPs09GQ95jlQWeyj5JnfF0i1lkqVZ+nhIrrRUUZZUkcph35RT676l + yW3Z2RufeeNHqp17egkv4Z6SNVeTIk1pHkSK4wfG3zAAAAAAAMCtsPfvjUzr0zalLlcxFe+33UOT + hydIU5jSMixqNm1NPSyj9+3QKvtAEve9tm2bmY3StPM8997zu7rr9gIAAAAAAAAAAAAAAAD45KZp + mufZ3bdty8xlWVpr7i4pIkaUdSml974sy8jABgAAAAAAAAAAAAAAAAAAAAAAAAAAAADgFWVhroiU + 9fQe2puHZ3iLn/7CTy/9XNvUm7bwqLVrDp/DLOUpT1nK4vAYZ1xZldViOj7qXb/Ce81SJXvNXqPX + 6CWjZBxu5SHiulpUi2o5WU5Kj9K6X4dUbZ716Atv/4TbbOmebofQlZBCFhrvCB6ye5Klw58xAAAA + AADA7RhdP/bhH4Q9vaSaez7roufFU6GRbN1DSpMkV6+R2of2oX69nJ9L6lLxqcdW/WH0PJZSxo67 + 997HYWaa3eL9BAAAAAAAAAAAAAAAAHAnMnNdV0nn5+dXV1cjx3pMFoqIZVkk9d5rrZk5TdPdthYA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAgDtk4dVKpsxStnVTNVlq0fTFt79o+5rNUt5cbiUlU1FWWZOU + cpPSZCk9jU/+UJpJShYv8kU9JBaeId24P6kwl6Q8BMOE3PN4By0yeypNmc2Kn33201/I8NDN6OM0 + dVeTdHw7uP/4gTyMjCIAAAAAAIAHJT7YbWdPz7vkUpGXQ8/MLWcwp3uanzK2x7NZztH+m7/9q1q7 + 6tS261Sa1Hqr/mDKubq7pIiQVEqJiIjIj3bX7QUAAAAAAAAAAAAAAADwyZnZbreTdHV1ZWbuvixL + 713Sbrfbtk1SrVVSa435QgAAAAAAAAAAAAAAAAAAAAAAAAAAAACAV1yJ6l2yFpZpCpOki7NPffrR + 21PU6lMpRV4y01LKtPQPPCTX2H4w/dYkpSnF49kPKWSbrB8fKctD0LWFKUzhClP3wyM8smQpIQ/L + zT918VZmpmdYpJ3ue1imhVvect4NboeZmdn9SdKpd90AAAAAAACAV4JJfgy79kP3qtL0oY7XW3jq + lHToTrRjl1SNuLhu2jettjw6WyMkn8tD6iyKiNbaPM/7/d7dp2nKTDO6TQEAAAAAAAAAAAAAAICX + UO/9+vp6mqaRYB0R+/2+1tpaG+fHmr11XUf6NQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAryxPt5hK + qBR1Kat616T5zdc+U3TmOXuqW7grs1dT7eoe8eEUFQs9I353ZLDEi3glD1PajbuT466a7H231xQy + HS7M9KxWJmWxbmF1N12kRZQeIbdT5E2UlI//0/qLejV4DjLT3U9p1vck2PohJRUBAAAAAAA8PCNk + OQ87fjwxevtGuPXt8w/05HqqZr/ooTZakcXcZEr1FmW69aTt58LM5nlurS3LIqn3XkqJiI9Ktibx + GgAAAAAAAAAAAAAAAHi4Sinuvm3bPM/ruo6TvfdaD0vkzCwidrtda+10EgAAAAAAAAAAAAAAAAAA + AAAAAAAAAACAV5KXqDUnqaVkrtYt8+z1T/1QW83SIiLUVDNzvxTzviknS8+bwSqD3QhoJvrjY+sq + ecixdtMp3FqS/BgKbgopZeHpFqXaHOFyTTaXUlQiLNOUZpkaQTemsHST/B6EIuOhozwHAAAAAADA + 8ze68T4QWf20Z/VGR6FS9vRw/IKFHa+x8Hx6/hO3J01hp3BtSfLUnF19lRb19OIt5aZSH0amtSQz + W9d1nmdJ+/1+WZZt26Zpuut2AQAAAAAAAAAAAAAAALgVvffeu6RSSmaaHdfCRowo63Gm1kqyNQAA + AAAAAAAAAAAAAAAAAAAAAAAAAADg1ZJ+yJ9Ol6WkzEy3sOymIvNuluX87HX3Wqcp1lWZNS0j3bL3 + ZqXI3G5kpOTTQOs8BrGctvhuQp6n9JmRQG2yY5BN2DNCqTNMRYrNzIqrZJpaT6Ur7ZCA3VzN3FIl + 3pd+g4cl875kklObAwAAAAAA4Dkz+Wnv/TvPOm/h7hFZisJkqbS8blqW2ns3uWc/XJ6ZKpL0tNP2 + Y4owbUWSpi5JXRYm1162SlKpTRmmLrOnrXwARqa1pGVZJJFpDQAv2CgRftpGRCklItxd0thprbn7 + OAMAAAAAAAAAAHDf9N5PwahjdGPst9ZKKSMb9TTqQTwqANwHpZSxc8q0lnQamD4NT/OlDQAAAAAA + AAAA8PH13mut27bpOApzqpaYmbXWMZh+l00EgGc5rWs+fU2NST4fmA6k9w8xAwAAAAAAAAAAAACA + T8DdzSwzGIS/F0aa8Y3sklPF+N5lZmbWe5Mia2xaM1SKypo1NJkene1k675fF5ObewtZ6Rmapi6l + 4v9n7956JMnW874/77siIrO6Z2bPHp5EUtsitUVSm6IO3jBpW5YsAZRtAYZu7FvLgO/8AayPYMBX + 9qUv/QEsWLAg+4qWYRmgCB1MSZZoiNYBkrwpUeQ+zEx3VWbEWu/ri5UZlV1VfZzuyaqe/w+zc0dF + Rq5YmXHI7BUr1nPHuvrqemz2+ogXcvWPMm7MPMjD/FQPIy/NZFO5rJfTtmSdPUfPGm03DrqqCs/t + qN0uchquimqdh4hqSg7Jh6N3Z+pKGed5NtPZ4605kgEAAAAAAM7BrsOta61lKL1BMVOZevzo8eV+ + L/kz7X8mSfGGDYIZ1l9rHiXMU5I1WZVEay8A4M1EhKSe6yCplDLPc7/3e7/fu/tutxuGobV25ooC + AAAAAAAAAAA8x5pjbWY9x7rfRPfo0aNxHM1sGIaI6ON3n7uyAAAAAAAAAAAAAAC8Zf0+wZ5p3S+d + 99ESp2mS1C+j11r7gKfnriwA3NTva15PU2snHzNblqU/u8Zdc8szAAAAAAAAAAAAAAB4j2XacQwV + 6x3DSinpVmu1ou1Gg8mrbLbYrzkokRaSPOXpKW8aXpSR3JNNTh/xMp7rf9H/k9b/TqQf/usD/l9d + WqT7ULQpOS2z3KSmqPJUzy2fvEy9fwzwxbAXAQAAAAAAnNlmulD6sqiGfDNk03x19Xi6sHym7SZN + 4ZH2hsnWJVSit+2ap0nWzGTeG4iKVCSXTNxODAB4VaWUWuvV1dUwDP2O7j5QxW6322w2krbbraRx + HHvuNQAAAAAAAAAAwL0SEf1eLEk9zdrdSynraN2SMnMYhj5s97nrCwAAAAAAAAAAAADAO5GZ7t4D + rSWZ2TzPfX6/ei6JOFgA91CttXfvkWRm/UzVx2VeE6/7U70L0FkrCwAAAAAAAAAAAAAA8G6tPb76 + hLtb2Fi2XsecbXkqz+Fi+tpmePzo4ms3o2zTjoklRNyejUle41HZDtoM9kGdp3neFv3Qtnw46MPS + pintwmyKMoWNteW8t4yXl4t743QIo7XT5tlxzAMAAAAAAJzZ9z7//Kot48V2kOKqDoNlRpF5yp5t + QUq9fqa1hSw9Zb0JMiX1Yr26VFwmpXqE9hvlZQMAvqJqrWZ2cXHx4Ycf2rM+/vhjM9vv95Iiotba + 7wYHAAAAAAAAAAC4VzKzD2IbERHR/2yt9dFsa63TNEVEH6p7HacbAAAAAAAAAAAAAID3Q2a21tZL + 5zpeHM/MDz74oC/TM2Kvrq64TxDAPTQMQz9xLcsiaZqmeZ7dfZ7nUkpEDMNQa6219t5B564vAAAA + AAAAAAAAAADAu3JjaJT+Z+8ykWnFN9M4DOUiw/e7tsztJL665yJ7qJBve3ZLixrNx8k2Ywyy0ZaW + bW5DlpJemmKfbdeyZg6u4smIOA/TvRrLiO6hAAAAAAAAZ2X+0Y/8sHZXscy+8WUfbrkZpnm+HG2S + ZLJDunUq3rgJ16LEcTK9F1PdD1nWJusR2h6mlMoXflcAgPdfH4HCzHqug5lN01Rrba1lZills9n0 + +aXwzQIAAAAAAAAAAO6jUkoPse4XPqZpysw+xO0wDOt0H+62T5y3wgAAAAAAAAAAAAAAvEVmNo6j + pH5XYGuttWZmmfnkyZOeBTsMQ0RcXFysl9cB4P7oIy+7ez+b9fud53le860jYhzHzKy1EmsNAAAA + AAAAAAAAAADeY2uOtSR3lzIi0nOJpdoylqk1Xe5nq3q0+ejDr32UHmkhKXuudbqySJK1Y9A1vmzN + fPjg4vP5qmof7Wkr1raXvrkKXcb+cjIfx5LuVh5perwfyzD2IBq21wOzZlpn3os+TfQNBQAAAAAA + OCvTP//+d3/fxaY+2T+uGieLOX1QkV0vk5Ip7DD5ZnqUtaVJspTSm7l6sjUAAG9qvewxjuN+v+9/ + 9qEr+vx+K3i//ftstQQAAAAAAAAAALjLegljWZZSSs+u7oHWtdY+TndfoJRSSjlzdQEAAAAAAAAA + AAAAeKsiwt1baz3Tul8oz0wzc/daq6T+KIlMawD3kLtLioiIMLNxHHua9TzPwzDUWvtjH/y1n+7O + XWUAAAAAAAAAAAAAAIB3og8Inxl9osaSyg+nj75WP/arZleZKWvjmBePyw/N343xw83QBlmVMs2k + CBWJAJPziv0y16zbiylK28379qR+Yp+03W6yxyUGf1rrojJ9ZFfjftcuSqqdu8p4U3lPQq2JtQYA + AAAAADgz1/D1r3/6O//6xz/4cP/k84vobUZhMktJSpd0mnH92tZWKLtuj3JJzXu5LpPysFx+sXUB + AL5SzKyHPbTW5nnu41b0u7u3262kfi2ETGsAAAAAAAAAAHA/9UsYETGOYx+1NiL6eNzjOC7LMgzD + siz9Okgf5fbcVQYAAAAAAAAAAAAA4K3pcbCllP1+3+8HPA267sv0Obvdrt82CAD3Sq21lOLumWlm + vedPREgys77AOk2mNQAAAAAAAAAAAAAAeI9FRB87pcdaRw0v9nsufuK/+c/+OxuWJedhnNJKC396 + uf+hix9/9P0Pxzq1chVlaWrNs5kkHyL8XiTtfhV5arC4sBJXi5lN8cHXHn/rv/pz//X4Qcn94yHG + qWQO+Wl9MuX2h+1r7fNZhc31kPRuTueuxU2E2QMAAAAAAJyV+X/4v/7ly2n8bN5Pg2vwkNSam0lx + umBvC3zj5qVDpvXh/0KSZfQU60Mj471ruQIA3GuZmZmbzWae59aajiHW/e7ueZ6XZTEzd5+maVmW + M1cXAAAAAAAAAADgLq01d++R1X1akpn1Kx211sycpqmUcg9vCAEAAAAAAAAAAAAA4K3YbDb9nsE1 + 6FpSvzHQ3ed53m63/eZBALhXhmHQcbDXfh7rZ7C1/4+O57R+HzQAAAAAAAAAAAAAAMD7qrVmZusA + KRFhZh8+/mDIMvnW6xD7bDuNGi82j+pusZQr/NlUFJyXSWoxeGlLHTQMzeMH7cfsJz968mM/Nv/U + x5//5Pb7P/rR5U98Ej857R/Xq8NQOcAXNJy7AgAAAAAAAF9tLo3Dk8k/noe6b1raMKjVdJNJaQo7 + LKU8JFu/rjDJ5Gukdc+0VmzkylC2Jg1m0Zq76UENw91a6zcQzvM8TZOOdxueu17AYVfs974Ow9AH + u3f3iHd7Yaavoj+a2TrNFQW8C/18O8/zOmfdw/vuN46jjodDnwYAAAAAAAAAALhv+kXnUkprTVJ/ + 2L4YnAAAIABJREFUvD2I7TriLQDgjHpnof1+v9ls+hXq054S7r72HVq7FQEAAAAAAAAAAOAV3b5H + e70xsN/H3bNjAeC+6Tf163ge61eT+5z+2IdsPmsdAQAAAAAAAAAAAAB4qPod3P0SfCnleEP3G8Vm + 4B0bxzEieiZCrbWUMgzDb/72P/zf/+FffmLf3Ww2rWXJybSpe//lX/yPfm7zLc0X6UtYmLykKYce + rCyyrs8kpfRxF9WnTVW1IYqyRh2yaJkH28q2da6W4+TW2iATG+vBWYfF8KEfqmrtzFWieygAAAAA + AMBZuWvwy7HMbs1VQtGjrD08DmHWUqjfRHf8+9XFSat+HpoU3aSS4bu9WvSw61R6KamoikH+IG7I + W6Osl2WZpqnWOgwDNxPinuip0u7eWluHvH/XmdbrKtYDoQ/ZTKY1AAAAAAAAAAAAAAB4D/Sk6s1m + 08ccd/feg6j3zejdJPrEyR3RAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC85/ot9mZmslRKaq3t8umv + f+dvfn/4V8MwxBJjXgy6sLr9xr/6xk9/86eGNtgxFNnSS5rksnNH7H6leUjS4BatB8ccR01IS1k1 + yTNKePNBUpiclPkHLu/BFiTWGgAAAAAA4NxK2Zehmffc6aOUjhHXUknZIdD6TWJxUx5qkmSShadK + tk980L4qMpWZmco099dLzT6nPiJtZo7j2DOtdZLme1veh9Y4fGWUUtYQ69aaJHf/EmKtx3FsrfU1 + SurHRWutD+sMAAAAAAAAAAAAAADwcPU+Qvv9frPZSFonMrMHWq/TPe76nHUFAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAODL0vM4el6HmWVmRO5j3pfL5dEuTOEhRa3LxtMfZS0tLFxh6UqXZD0lBWdV0iSl + JimaSYqUZBFldq8mSWHSEPIcLJ9NucFDc09idIi1BgAAAAAAOCszuVczya8Dpa8b/iyVMoUdkq2l + 12sW9PAws8xeZlr2QoaI7eWsXdVS/SIzs5TSpNrqVB5Mk1Fm9gbxnt272+2+nORg4KX6MMprvLSZ + RYS7v+t24WVZ1ume++7uZFoDAAAAAAAAAAAAAID3QO8jtNlsIsLM+kREDMPw9OnTi4uLtXvGNE29 + 88a5qwwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwDtnZuopucdAk55WUKPKI6QsilykXHJclisp0hTp + Lj+JQCHs4+xSMktLFUlpnilZS8/UYilPudzSPENSEEb+MK0H7H0ItmZsDgAAAAAAgHMzSV7CPbxI + JrXjM2mvF2J9J0+XIuwkLds0Rfto337lz/0nf+bv/Gq0eSzTfr8fNpvh4WRat9bMrLe19VFoN5uN + mflJPjhwLsMwRERm9uGSW2ullC+hSbgfEc/7EwAAAAAAAAAAAAAA4EGrtZZSena1JDMbhqG19vjx + Y0lrx6Hdbrfdbs9ZUQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAzsTM3G2woeR2bFtTVcRonmlD+rxb + LN3SPftN+iYprb24TLx7PVbcT9NlLIeUZC11yK8pIVdahiQZ+SwPyY0AEWKtAQAAAAAA0Lnkll5C + cknyvG4kDJOnJJPesDHJ8lBm9DJNSnm27dXu8byoxViKpM1mk1LEg2l1LKVImud5mqZhGPqQta3R + 0o17YVmWcRwjorcL90zrLyFkelmWYRjMrAdp98T3d71SAAAAAAAAAAAAAACAL0FrrXeE6P0iWmtm + Zma9H1FEZKa7t9bItAYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAfHWYWXbK/qeZzMxUMq216lLNefCN + ZXz2+Q8kSR4yT1+jUMLkPSwZZ5IW0iG6xiWllCVsSFeaac2tSckyTevCeFjWA1aSmc4bbk2iCQAA + AAAAwHm50i29pLxHUFufuwZbH+Oov8gqbjCNqY/LOF0tiswI85Ipk8o7T919m/b7/WaziYha6zRN + Pdk6gmZunN84jn2ip1lHhPuX0Zrf1xsRfbzmYRh6Y/SXs3YAAAAAAAAAAAAAAIB3p5QyDENrbbPZ + 7Pf7cRxbaxExDEOtNTN70PVp9PW5qwwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwBlkZlPGGPuyt6Ii + KdKzVi3f/ex3mkeYTB7yNdfaz5qti7AIl5SezTNM8nQPT5WW1kzZ02cypNZsSQupiK32oGSm7H4l + AxFrDQAAAAAAcG5plnJVy5CpN/mFeq51Httt14ZA70++TvEhhUyex1eaLKXlcswPlLKQXGbKkHTf + 2q+eKyJ6prWZ9UzrPmrtuesFXOth0j3Z+nTi3en52adrIdMaAAAAAAAAAAAAAAC8H3pqtaT9fl9K + 6VHW4zguy7LZbJZl6YHWvR8RmdYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgK+M6LEmZiaTpMyMqJkt + IqzIR9VFljWv9t/99LvhrXktYZbXWQZhh0iTnpPSI1Ou12AhkaH8rvUPOCR5hqWksDQPT1ccYq0V + 1tIszEkif9Ay78X2I84EAAAAAADgrFJals1YxklVVe61yctYszSPsPCMMdLVm2xdac+0275MmJqp + eUqylKd5FAulqQ2tlUVRFKNCUk3PB9Rc1JN61wTfPigtcA+ZWd9L33WmtY7HxemKyLTGu9Ovc0zT + tM5Z97eep74si447ZJ8GAADAfdZ/xdn77twfM96OiJBUa10f53k+c53w+vp2jIi1I9096VGHNxAR + rbU+nZlsygdn3WSnp1a240PUt1qtdT0k8eD0bbcsS/+i1PEbE8CX4/SfjX06M9cr0fyjEgDugx5l + 3c/JrbX+G7hfj97v9+M42rEfEf+oAQAAAAAAAAAAeBVrf04dO4/d7n102rUMAO6n0749mVlKOb3L + novIAAAAAAAAAAAAAAB06wX01loff7KU8oqvMhODOt4vFrJnhic6bimTReowyt9g7pGjyUw11FxV + Gobh6dOn//hf/r/zeBXWMtsweGYLi1ALj/AIkyRPL+HD8b8S7ulrDHaYgj3irfJ0D/MwzyKV0Nis + 1BK1tPBFtrgtbkv6khZK99fMr8HZrSNmmFlmRoSZXty5aQ2D2Gw2ksZxfOu1IuwHAAAAAADgrEwa + Nlf75fLyydcnizkGH+YawzTV3FlmSblkvRUpTXLp9aIIwkIWJSXJ0pUmWVimRVqVSeZSb3EO6eVX + DgAA0DGyfb/fT9PUWuvXPyQNw1BrnaZpHMceZNX/PHd9AQAAAIkQsvdF731VSunZRa21aZoi4nTY + Kdx/fXu5e621JwX2TnWv0rkZ90dr7cagb5xpH6J1qw3DcHV11XussikfnGVZej/jfqNIa22e54uL + C8ZhfFj6odc3ZWb2nz39ZHvuqgHvs36UmVkfpNvdIyIzt9vtbrfrB6Ok/X7fvyUBAAAAAAAAAAAA + AHjPuPs8z9M01VrXniq73W673a59V3ooLF3LANxDp2ewPiEpIvotz3aUmWtnSwAAAAAAAAAAAAAA + vprM7Orq6uLiYhiG1pqkPpTfPNdzVw1vz5p1bSGZJEtZylMtlaaU0qL58vf/6d/5uW98a7fLj8av + t9oUZkoz7xG7nlrjq1OSRZrC4vCnJMkPCzyTro0vyE9iqq9HELuOMH/20ybT+oF76TBxZjbPc+8K + td/vSynLsrz1wVeJtQYAAAAAADgvV4sPP/qafe+3l8vdOA2qmtz381UppuONvdkn3ug+X9dpc+P1 + zENUtkk9LFv+hisAAHxVZeY0TfM8S+oTZtbv+t7tdjpGzfWnSLYGAAAA8BaZWe8Iuw4vde4a4Q31 + yMDWWmuNAcIeolJKPwDNbFmWYRj64Un86oOzbr7tdttHHb26utput+euF15D33DruLGZeXFxIRLK + H5p+Oh3HsX85DsPAGRX4EvQDrbWWmacDcNda++0c/U48Mq0BAAAAAAAAAAAAAO8fd1+WpQfB9ghY + ST0RdrvdRsR6Vb2UQmckAPdQH1w7M4dhWJalj9naWnP3Wutms9nv9/1EV0qhyzoAAAAAAAAAAAAA + 4Cuu1npxcdEvr19cXFxdXfWEVFIq3m+u5lKmLA6x1s1bHZ/++m/+6p/+pT/5tenH9nUz6tFQxtHL + Mu/cD/tDmkLKUsMUHnEIOJGnWXoJv869NpKtgVfyuqOnmtk4jvM8bzab1lqt1cwi3vIRR6w1AAAA + AADAuY3TP/uX3/nZzWa82i1zHcuo1KZMTYtSntfN+GFSyl+rcAvd+YqU97RsV3MVuVKm5JIBAOC1 + rBc/lmXZbDa11hvJVWuy9ZkqCAAAAOA9VErpg+XpGGvdh506b63wusZxXJalD4DYBzp8F93j8K6t + QY+11sxch60kbP5h6R1V9/v9mta53+97IjIekGEYMnNZlt4W14eX3e12hLA+LJnZM637AJqS+mCa + 7q93hRDAq8vMiDCzUkpE9MMtM2utfRBbM+tH5Y0rIAAAAAAAAAAAAAAAvAeWZenXx/tl8f1+7+59 + Tmb2i+b9qvp+v5+miWRrAPdN79jTJ8Zx7PnW68z9fi/p0aNHl5eXrbV+ZjtzjQEAAAAAAAAAAAAA + OJ8+sMY6PFHvICSJWOv3mClMYSlLuRQppTevy3B1uXz3r/y1//E//4//y3iyv/x+++Tih3dPdo8u + tkvbSZHm0ZOtj5nWaSGF5CEvKck9iqRwhhAEXs/ai+mlwzZGxDzP7t67QvXT+FvvBEWsNQAAAAAA + wFmZVPTBJ5/U7/62ilsNKZSuTF/TrPtiqbTDn68+br3n8eWSpJRkz14WKLG4/LAId+ABAF5Vz5DL + zH5rd2b26xk6Bjz0JKSeBtGvUgMAAADAW1Fr7V2pIiIzezesYRhIRH5Y+jCIy7KsIeURMU3TPM/n + rRheS998Pdm6927smdYM9/awZGYPQr68vCyl9JTrc1cKb8LM+qbsI8xGxHa7PXel8NpqrWbWT62S + +hjBAN6dPvR2n17/odFH6F7jrtdn19xrAAAAAAAAAAAAAADeD6eZ1pLWzmMRUWudpqn3RKq1bjab + fiX9nNUFgLv0M1jvoD5N03q/82azWZYlMy8vLyVtt1tOYgAAAAAAAAAAAACArzh376OE9XH8JF1c + XETEfr+cu2p4p8KlDE9zucLCvNYS+cH46//kr3/zN372T/7cLw/1o+/94Hd/aPsj81KXaQkPi0GS + xaB0jzCrx5iTkGRZJIW5pDTvMwG8ln4efnGwdR/1qI+22qdFrDUAAAAAAMD7xiWzT5e61Gg1hkfb + drkr5ksuoyzXtqBUmiJfI9D6mZVknrYq9T8OcyxjTcpOibvwAACvZhiGzKy1LstycXFx+tRut1vz + ctzd3dcIFgAAAAD44szMzFprOomdW6OR8VD0BOtSSmutd4+7uLi4urpipLCHpW+7Ncd6HMd+MOaL + e0fi/umJ8sMw9BFI+y0H564UXk8PlR/HcbfblVL64IzErz5EN5pS13MsgHeknyr7hYzTI+7q6uri + 4qIP273f73vQdf/tet4KAwAAAAAAAAAAAADw1vVE2LXbWGaWUno07GazMbNhGJZl4SZBAPdQ7+FT + a+3dJnuf2H53c8+3Xvs573a7vvCZawwAAAAAAAAAAAAAwPmcjhgmqZRydXUlSW8YgoGHwnvnMEt5 + SlKaaolP5x98/MnX/8r/+RfV9O9/688+Gj5WbfPnc5qayVweh/QSTw8Nyuv4ak9ZhmuRFBnJIEnA + G3npsI2nUdYRUUoxs7c+/irdQwEAAAAAAM7KXJshP3ocn32/lJKXO0k1l9En5XJMmb5uSAqL8ppp + IK5byRPp4SHJlFLYMdbaRLI1AOA1tNaGYRiGodbaL2PM8zxN03a77cNV9HzrnqBz7soCAAAAeH+4 + ex9MKjN7/yrikB+ieZ57PHnvJzeOY9+IxCE/LP1gbK0ty+Luy7LopO8jHoppmvq26wOP9tT5cRz7 + TDwUmfn48eOnT5/28Ra32+1utzt3pfAmpmn63ve+d3Fx0VtcN5sN8eTAO9WPrzXTOo8uLi76VY/M + HIahlBIRDM8NAAAAAAAAAAAAAHjPrF1TMvO0j0pm1lo3m80aActNggDup1JK78ksKTP7Kau1tvZL + z8zeOdbdybQGAAAAAAAAAAAAAHzFreP19WzUPhDcMAzz/JYTUnF/pDxVsieXW3hGmlJKUwy6zCdz + mf/Kr/5FNfsTP//L3/vs069/8KOqpUSxdMv+Eg+ZclR6mkzhaqa5aDGrksImiV4ZwGsws1ccQLXW + amallFpr7wfVx2J9u/VhWCUAAAAAAICzMmnwP/+X/qd//if+ZPzgs5CGcZANbd4VM0lxbEqylCyV + b5jNk9bTsW+VGTFczwAA4DWs93j3sIfW2jRNfRiLHrWy3W574vW5awoAAADgvdJak3QamttjO4lD + flh6ZOCaf7wsC5nWD1Hvkm5mPQ5ZElnID9E8zzoO6idpWZZ1Gg+Iuz99+lRS73Pcvxz7QXruquE1 + 9Cjrr3/96+sgm6e/eW7jqxN4K/otdmZWa+0J1utVj/1+v9ls+k0dwzAQMw8AAAAAAAAAAAAAeC/1 + C+J9kMTM7I/9Qnl/KiKWZRkGxi0EcO/UWsdx7NO9n888z9M09Tn9nNb7UnK/MwAAAAAAAAAAAAAA + kmqtmbnZbPb7fQ9VnedZYjyN95g3G0LuCldICkmpNI2b8tmT5ZMPhidPfvC//Npf+v9+6zv/6X/w + 559cfX8bH5QYpZDcMyR5FslDh6DrQ8EWUkt2HuB19B5N6/RLhxHrozu21oZh6GMG9l6db3ccJA5j + AAAAAACAs3LT6Hq8+awuMZV01WjRqtvxjrh0yVxyyd+oUdYyJYUU9kx6dRlG1UWtjq0tVztJMuWL + Bsa/d25nF5mR0A0AX55+1l0f++3c62WMPjEMAwEPAAAAAN6F0w5YBDo+RH2rnTbxsR0foj7EW2au + EchkIT9ca/hxD/I8b2XwBtYzau9z3LEpH5z+bdjPpX1Q4BcvPwxDf0mttc956UsA3FZK6Rc71tG3 + 16sem82mz+lPcckDAO6JHqKw/unu6yl6/TlEJyIAAAAAAAAAAIBX0a+zuPuaZq1jJ5bWWr/40v8k + 0xrA/XT77LRmWut47biUQqY1AAAAAAAAAAAAAABdKWUcx/1+3/986Xg1xxHIlUknogcpTM0U7mEh + yVIlVFKW2te2+cA/q1fztLvafPpr//T/+O//5//2N37nb7WLq6q9XO6Kmt5sU8qgOpXZ9UT51Mti + xRaVOTbpF6liZn1XiYg+8hW9NYA79X6bfYSxUsqyLC8eHqOPSJaZfZwxM1uW5a2Pg8TJHQAAAAAA + 4KxMGoqGsriFWbhcaYo0u90OZCkpXW8yGH3KJJdSMk+Fqe7n7QcX2u0VMU6Pd1fL9mK0B9i629um + t9utSL4BAAAAAAAAAADAV0Zrzcxaa/2Gn4joPc7HcTx31QAAAN6JfrudHfV8637rXf9F5O611mEY + 9vv9ZrM5c3UBAAAAAAAAAAAAAAAAAAAAAAAAAAAAADinSIuwMEkpSS5FykxqstFy0L4tw7SE5t/4 + nb/123/1X/zmN/7vP/6H/vTv/dGfisuysW1tm2W/FLM2L9uLMVT2886sjJutNOz2+6JYY62HYehj + Iu33e3LQgRcws5Qy70XGDscqAAAAAADAWZmruIqHuaSU0hSS2aFVN02SS81SrlC67M3WtMZkHxql + zPRoMIX0/Sf6aNpuR5kyszf43n8R4e59CFp3n+d5miZJD6X+AAAAAAAAAAAAwBfU0xwzMyIkuTuZ + 1gAA4D02juM0Ta21nm+92Wz2+727R0RE9H5EpZTW2mazWZaFn0YAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAgK80a2lV6Up5hiSZPDUUSSHTLiS7HB8NPtXvtX/6137rO3/7t37lW//Gv/nHf+GXf/8nvzDt + PxzKxVAuNnHRlr0yLzaPI23Zt1DbTBfZFrPsd/1nZillTbkGcKf7doAQaw0AAAAAAHBmKTcblK70 + u563NYj6DYs3SQqT0orytLjdZ5//D3/mz/4Xf/1vyE2mluF2Zx3uI3evtW42m/5nKaVPZH6RjwsA + AAAAAAAAAAB4GKZpmufZzFprpZR+U0drbRjoIg4AAN5PmTnPs6RHjx5dXl7u93sd79aLiN6PqP8c + ykwyrQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAX20h1WOCrofcVfsfmYo5rZSL7VDbXNt+KJomPa31 + cr78/F989hv//O/9+KNv/ls/++/9oZ/69iN9pNkeTx8Omuo8W5Zx3AxRlv3eXWYpycz6CEjuPo5j + RJzrPQP31mmeTmbek3gdxiwDAAAAAAA4p5RCVuSW7qnsIdQm6dDGGpJM5Ys3JaVJLjUpJPeUXdiH + 0+bjGtotusg5lnFbQuFye3lx90IfgjaO3L03VZ+7XgAAAAAAAAAAAMA71zMd3f3i4uKzzz7rOY5k + WgMAgPeYmW23291ud3l5aWZmNo5jD7febrfLsozj2H8O1VqHYeiJ1wAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAfDWVlKcsFZKbmlwWYRoGXe1UovlmHLy0VlvTZVWRps1wWS938fTp/nf+yf/1t3/kH/3e + n/vGH/3FX/hTXxt+7AP9kJdpqj4pR0+Tarbawt37zf6ttYgopZz7fQN4VQxbBgAAAAAAcF7e/6+k + SihTZnrrscxhSjtMrAnZyy7ly4dN2i2KGDZDSKl2Wqv7LDMz090ljeMoqYdbn7teAAAAAAAAAAAA + wJekXyyb5/ni4iIiMlMS8Y0AAOB91Vrb7XbjOC7LIiki9vv9MAy11j6/dyia53m73Z67sgAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAnJNLlvKUFDJFuuRpkkJZxtIy1fY7SdNgw+jRtFRbImXhg5rtZLvP + L/+f3/rN3/qVX/+Vn/jaT//Rn/53/tjP/uI3PvpG7PxqtmmzfTR+OO9brTUizMzMMpPcEOAF1lHC + +qBhZ0esNQAAAAAAwDmZ5HKlWZqll1Sm1mHmr+OopXKc86aJ0650qfWVphShycpmblJRCx+sKZqi + HFZ135lZb4wupTx58uTRo0fu3lor5WHUHwAAAAAAAAAAAPgi3D0iSinTNM3z7O611h50DQAA8F4q + pbj7siz990+f2VobhsMtcr1D0Xa7rbWuMwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+GqydE+FKRUy + pbyH2O6u5mlTJldEiyotWfcWmdN22M+7cZjMbL/fj+NmGMdPP//04muP/lX+w9/+jX/yv/3dv/jj + H37jF37/H/uFb/6R3/fxz1x+b/+BfW0YhtZajwtx93sS1gvcZ/fnMGF4DgAAAAAAgDMzhVI9adpS + lkpTam0/Sskk63nXrnjd8pspTZYhPfPyzVbfr3Uaxl4JKVL5UDKtJfUx+iVl5gcffNBnkmkNAAAA + AAAAAACAr4h+vay1pmPE9cXFxZMnT8ZxPHfVAAAA3pV+I6ukUkpmmlmfHxE9yrrPGYaBZGsAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAwFdaumc5ZJ5IadEDSzx9s5ms1XmOwTWYMiVzn4b97mq7GVvVkm2c + HtVc5v3T4cMyx+XlrGHSo4vH31n+0Xf+3j/71d/4az+y/ck/9a0/89M/8s0f/aHfo1DuffBpyLHN + Odgg+VqRsENUir+lJN8w6SR+xdOfvyxwD7mkQ7D1ueOtGZsDAAAAAADgvEIZinksTbmkzNKljJC7 + ZDJJ6R4uNctIO7b4vmLpZtWV0pBpWqRMk1JKb7XZxfgkqopkTTkUs5Cftu3eZ+6Heq6j0wIAvkyt + tVLKfr/fbDYRoWNoirv3wcEzs7U2DMM8z9M0nbu+AAAAeF39391xY65JkrspMiSVokzFzaVOlrdj + F5lXmL/OXP+tf73MyVP92ResVFIpFpHry/tLMq8n1vmvUtoruvGmTlss7vwQ3p07PsC7Fnj1Wj1v + e932OmXa8SW5zsmT199e4PSp2zP1/GaiTOt6aS9di7vfWOzF5d9ZyRuvesECL13vm3neB3j66d14 + R7eXvLPkl75Zvdrn+bwSMturLNkXdvceZPXSKq2et/O8+iffd6eIeMFe+upFZb5q8+aLt9dp3U6f + +uJ71Ok+/IL9+fZTr77z6432t+cUFFo/hNPT/WuX87LtcqPA40lGvc5vumpzz7u+k7yU6+Potd7L + jW+j5/W3Pq3n7Q/wrXvd76Hr173GbrYeC6d7V5/ubVmvvou+1sF+53rf1rn9BXV7g7WY5Z2veq1T + 4p31ef2aSK+/R7jffMn6c/EVi7rxE/GGFxfyKu/0pefMOz23zNuvOC7YWjMpWutLLXPdTNsWda1A + j77u01xWA+7UL3n0Y6Rf6ejTtdZSyvr1sV4BOXd9AQDqP2/07C8rd++9idY+RZy0AQAAAAAAAAAA + AAAAAAAAAAAAAAAAAOCL6EPiZMqOw8q525c8viK+GFcOyklZ1YOlLTzDJGsqqaHfoJ+SKWyONpfi + UVOW7hG6NJcG1Wwy2aRMXbV9KSoX7Xvxu5/uv/+P/+bffWSPv/GjP/VH/sC3v/V7/8gPb35inB+P + ZSsbtEjp7t48IpdQc7OxDHVumVlKsaKIaMo+UEAf4s/MLLJPl1JqRk/CXscX6OHc2cdYMkmyVCgO + Yw2Qb417LSRlWma4D7VWux5RzE+X+TIxPAcAAAAAAMC5WcqstkXD4C2a8pC61AfTlyRXSoqecv3a + rfTpJpnCM2W9/cmk7AWlXNbXFVJ5e+8KAPCe6wOFbzab1loPElvjq4dh6AkQfWKapmVZxnE8d5UB + AADwFrgNPdC65wX+/M//wW9/+9stlrr0Li926Ix2fHzy5GlmZCoz+hyzQ1+xiGgta51by4iaaZlt + GKbMFqGIWmu0tvRnvYyRNcNkofTI2mpG1v1u6XNuPF4+3UXWaEo1UzHPDOvT/bW1zXWJSB1yO+PS + AAAgAElEQVTqdUfd1ZtiZMdljnPMFU3mx4aavH40u17y9FXPK7+32jyz5O3XHh/Nb9aqr7eXs06v + 9ZE9U59XeSxueXgnhzr0dUW7Y/nTNT7zTp+fBluKZx7avm5HNt6Y88KcyLufev5LboTMWn+MiGdT + XA/zW6vrK5+NC727a5e7r1U6TYtc++DeWMWdcchmFlFPSn15XuadMatrFukx2tPMnolxPS3vrlje + 6/cb8arx0ncWePJ+73hft6u9lvE6q8sbmda3411fK578TrcrvKYL3wgId/fjfpU3XvKCt3B8yy7F + ix8zb84xK/3RLFvLvkxmO1nm5e/rhXW7+S7eOO7djuKuzOY7S34Tvav0Gv98PKgP6312B9XJMndW + 6GXruj5pSIrW8kb5b5QNfGemtY5ptTcqcEc9Tz/n18rAfvbUcF3tF+8nt95yv99AN067krlr3cq3 + ArOfFzt91wrv/u7oQaQ6OTzXp26cS2/szzfOe8/bXi9IkV8XOF1F3/Svfry8+n5yu8Knr/Xj53yj + QD/5/F8l9v70o3vdz+fZ+ty9G65FrvPvPBxf+qk8Zze5LuqlJdyowGt56fZdN8QaqXg6890lna+m + abq6uhqGYc20JosXeIE1x/rGsTyOY0T0Sx673S4zOY4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + PCDx7PhOnod8kuMoWp4WkkJqLkl+PcBTSLJUCYXpkC2dSq/NIt0tvUr2KOb6g89/8Dv/6Nf+wYf6 + 5Buf/IFv/8y//Qu/749N+fjx9iNvw+6qZdNmuhh8qstSax2nQWa11mWukmw45KQUmdJNqXRTKCwt + 3CJMLuWaV519aC3JIlKy9J5uTaA1HpqTcePOufcyrBIAAAAAAMCZpWSW0/bx7nvfd/M0G0vxybNW + SdkTHMzLG41v76lBh2bf3l6cpmYyqaRKaAip5ySZhzzP2lYFAHhAegjKfr/fbDaS1glJu91uu92u + E2RaAwAAvE9KKVGjJ1uXYr/0S7/0F/7CXyjDGk54M17abZBFj5Tuc0yH7mKZz0Qim7mUEXkSoWg9 + DDszShl6PLaZzLxPRzRbo56ffRyGMbNny8a6TC/nGLV5HRzd13VnOa1FRKu11br06T6/lxORrdVa + W2u1tXg2ujt7DWttEW2/n+8s/8mTp2vK5On89bU31rt+Sn29tS79Pd5+bX/VPC93rvfp08v1s10f + 10+mtVjfVy9/mjanJfdatVbXd7p+wr071Keffnrn/jPPcxwrdyOGMzP7U7XWWmsPfVyzSHt6cX/2 + 9LXrAn3mkye7O9c7jqXHqB931EOcZPHDX5nXnblScjtN1rwOmfXnpd+e5GrasXxJ4+ARJ9msx1Wc + LnMaFlqOLXNrHmr2Hp/PTd29VYikzKFY5rFSJ9mZ62Jr2OfN1M/DB/GS9+tud6W1PhNbfvp++/s6 + 2VjHTnN2vepDMnveejsn7HR5O/x5sxqHKFaZKW7V8Ph+725pfe72ffZzPq3GjfXeDh6+UeTpprxV + SNz5aMp+dN547MdzZpOUWVNyWR5f5cdI+8zM5ySFW5qk5z17o/ImW5e04zOH+OFsp4t533uVbn58 + p5lKpZ5blVurW71io/h1bnH2eOP2zP6vzFsbztYo+ts7ykvWdaxbHN5Qf30p1/HGEdervnuHebU0 + 7dMj9CQV9/qsJWkYbh13ypRKsTwJ/bXjae15G6Gfck9DkY/ZzM/PDb5ZhPTsUfBM7PdJvP1pYmg/ + RT6bqf2ildyOme9zWlvuXHI9Wa2VOY3NvvNU9oL1rjnlx8c7anuMFW835nS11psveE23V/riz/n4 + +dyxXjM7OanezGO+8fhSN+LDXxBjfxr3fmODPm9Vz4sDv1HhF6/3zgJPA7zvKvbu+Tfe7J1z+uz+ + f89W5/o+jteMOX/2Td1aJE9XqZBUa4zjmJk90zoihmGgqRa4U0SsCfSn+dZmtixLz7FeA63Xf6cA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwL0XaTV87oMqWfZB69xTSg+5TGkRUno0C5nk8gw7hFi7 + pyxdkqdkIYVMYSELuZSKkHzIaWnDZ5fts9/9wXf+wd/4tYu/9cHP/vgf/MPf/PYf/qlvf/zhD7fP + ve6WIbclPTyv2t5SZmXcbswsay7zMgyDQp7mxSw9JaVlqzE0WTQNskgNlt6TVjzlGX1cvjAPUzML + S1fcHLUJwAsRaw0AAAAAAHB2Ji+fz7OV7bAZ6rLfL0suuji03ERYOS75JtHWJZSmMKUdgk3S+v8k + uWxtUT0kOAEA8Cp6fsNms4kIM+sTPRxlu9221vqzksZxJC4FAADgvdHjGN1cFq1la2273e7nK3fv + fctuPKZaRpM8s0mp7PN1jFk8hHBKkpok8+t8xUOiryRlbfvDbJmbm5tJ5vZsCdePkcshSNUk66lj + qczIRbeyMHUSr3jDtBkyfYwScWipcfcel3g7WXMt8DQ1c/2dLOl27Pf6uMZ+K908i4+pFk2RdQ0I + N+8h3dnnZFh/XOeYSl9mfe04bF68xtP19rWsc3r5piKLVtM8b5SfasXHO9+Fn+avnhjHsX8gt3Op + 1w/zRsDkaSpnf2FmrlFza8n9qeetty7R07LXnMu1nJ6l3a0VO12sV/iQE34rtLh78uSJ7gqhXF91 + WvgaHBsRtdZlWZZl6UnepzHea853RCzLzbjW7vLyMk+su988z2sQ+OmnXUpZiz1d7zRNp4v1itVa + 53m+c71Pnz5dP/N1M73g/a4xrjc+z/Vt9k18rFvsdne/X3db13n6WMohZnvdAn36dob08wKDT5e5 + rRR7Nof9bmZyN7M83U1uvOqOKPEXFniMg5ZuPWYPvX42iHZtP05FP+ddL9Nbh08eTSYLhd3IOXbz + VNysufryqbxe/hiX/kwJecwTjzyNe+9rdPNcA+bNDrV6wadhx+UOMd3PPp6eOsz6fpinx6n79cnk + Otj+8JLnrvTF7ooxltnN8tf5p3G215HYd8Uhv3hdN1J616Jqvdln+s76nKzxuSvu540bc563sNnN + rXB7zo1QZDtcgsj1VC+p/37IvLl9n7fm20/0OYffIfLMOB7veXux09reuQ57zv72vIDnfva6td7D + D491zkvPIM9b7101uV7+9J2efg6Ztn41vHCNL6lS/5ReMdb69gf+Ki9cv7zWSPVXKfx2Ve9c7+33 + eDvE+jlx1NfPv7j+t0u+vfYbc278ennF8iVJ/rr3SPRWWXevtfbfTn3maxUCfEX0H6L9Xwf92Dw9 + M9Rap2ma53me52mayLQGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8FCERXoL10lM9Tpso6cOOSbH + QBOXYo0tsejp0d5jrfsYomnRB6FLU7iUskVuERbVlUXu86ynu/js7//gs1//q3/zY/vk57/xR3/x + Z//db/7Yzzzyr1ktrkdqUjNZqxHZ0n24uNjUuaUki0yTh6Wl9xTuOK7dLd3TLN1Snu10LBhLmdwP + o6EC95qZSZYvG2btS0OsNQAAAAAAwHl5izZY2W+n72f7YM4h4+LRYy1XaiGXJFekHSIL3ly6FGkZ + OjQZS5amZqkSMpPcdWwOBgDgFdRaSylrJoqZHbL6pFJKn+gJEMSlAAAAvDfyEBodQ3H3QwahmUlx + TNq78WiHHmCWUv+vh4QdCzxmMfaJ/pPymFB7HdM4ejmpRBwDrF/Y+Sazt3KYrC9vx9DEkxzr68DJ + O8to8yFO29d8ZaUyW11O85bXnMJal17o+pRnmlKtHouJ00f3QRmZaf0T6ymwEbW2vowpzA4d9ixz + qc0szYr1gFileZGyRZUiFdnCrJiiWE9OrjfW2B/bYbv0OakMKU251L0UZqU/mlJmZmmeUhxCaN3d + rBSXLCLVex4eWP8v4u7453luejZUcv3gj6mWtn547r4syzEktadBH1bRQ0PXcFYzK8Uy+8vvMI6b + iLHHtfZg8r7LDcPQE+xupG+exmOf7qLPi80+XfLUGtK5lt/fZk+X7DW5/uyOAaI3ynzBete07xuf + 6mlI541Avuett38Ia+R2j51+3j/l1g+wO01zvx2kuqaS33hTkkopp+vNY+R28b7em2GutbbWaq0t + oq3xrhHNvUS0iGyt9mTtTPUNe0iGzojIk3TykNLMj3tX9GWePr28M0R2npdal9vrnaZNL21Z5mWp + tS4RmRmlDHeud1nqGut7ut7Ly6s719vX2N9XL7nPH4axl1xrjyY/1K3P75u9H4a1tpN48pvngcvL + XWbLtP7Yo3nNstaIqK1la0uEMls/J0Sox+W2ttx4tpfQS46otUat87KcZl0fo7iP54a+U+cdx80t + p6frk8dhsIi8zoQ9vHHZMdc5s39d9EPjMF/Hx9cLkz1RijKfffnxK+Y0tfr49uTHlR73CmW+qBHe + /e7Q65vz15Pnyfta49tPV3G6at2MQj8t324nc79A/2bN41ftYcWSWY8Yv7l8hJT9x8ChPscNEf2o + 6t/W5ofT1smxcsfj8Wi4fjyWc+xH/uyzt0vLyNNn+/kgtc6/9Sg9b/nUnevt7+i4Yz6nJuuzeXuN + dz2aryXkjdL6dLQ4Wf6ZdR3PeceaH2OwnxeAfefM530fRcR6tn/22+eZb5zjUzLztfB1vz1+gncw + u3u9a4E3Xrh+Md16F8/89nhp+c/ziondp/VZa/IW+o7n7dpen5J66f3jWJZFxzbbZVn6125rjaZa + 4LZSSv+h2f9BOk1TZvaDqP+ToU/3cOs+cd4KAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMArCosw + ueQnGdVSpEV/KizSJHkfqK6EyiEDWyVDCpP3wJQ0NfMwhal5hKmkttLkpjLM2ebMFqqu2es+Pi2P + ijL+9r/+/9m782BZrvs+7N/f75zunrn3vgUbSXDFDgIERHCBaMbaEitK4jhRHLnKKSmVpFihTVXl + X6fKqlRKlSrFSckVJ45MiVX6z0pctpOqRIkUO5EdRZYomgLEDdwAggQ3EMTy+Ja7THef8/vlj99M + 37n33ffwHkTg3gd8PwU25/X0nHN6mZ65p3vO9w8/860/2syb777z3Q/f8cF7b3r/XG5qu9ZrcjcX + wH1vKI02Api7u4m4q0Swiruqq1ijntSWw+C7lJLMpLjU5YihlqOVMbwm0ck0jeGJH8q4ZD8kjLUm + IiIiIiIiIjpmWRqIPm92x81nxosXfaizRV/NIq1JfTkGfXT1voL+z6nPNPILFDCHAuowQUkGNbjC + dRmBQEREdA1qrRHwUGuNyIcpC62U0rZtzHf3eHYKuiYiIiKiG1rSJCKlDqUYgAhM1TQFBB5OtjaL + OOd62RRYphHrehBv3/eYYo1X6cYiYiXKOXzPzVW+Z651cuwnGo5lPFT+tMyRhUwxye778ccA2q6d + 5tsqFRlAbvIqtjByPSODeT2AUA9Oj8wCR9MkILk7EHmTMCvu3jSrW+gckXfrXgA0TeOuqyRQk8if + FnU/uvwsMDnQkmhqzlO8ZeQcw2zKctPVFqjT9lzFNhcRWd+/KR3dxxS5uLFl1iOQp/1oVqMOrFIY + V1v+QPL0av50M9ayugiuPrLe9SNnStIchsU0c/2QcD8cvy0CVVltz8OmZaZa1svBKq57Wr5t85T9 + Gas3vWq9hNXaHREsOtV8KCPzUCzo+jHu7rPZfvzetEndYz/6Kms5QqYhIrWOR9Yaf+tFqbEeUVut + dqjSVc2HS4h3Vq3j6jlZbW1Py0h2wAXi69PNbqPaWIs7KlxFHa4QS9o4qptM05ivkmMZUXcT82IV + jpq0gdg0H2IxFaSYf2jatfNqo1WYl1hGkKKuQ0tGjW4ytQ2uU705tUfWe3k5Mc2pddR47bSMqNfi + oq6SDy0frZpaGPXWWruuWx0Vh8OBIyrbD0YET7HZERAeJxMRRFx3LB8x2/FsSjnWOZacYrzbtosl + I4x8Cueu1UQiRNYPxX4fGWJ88eKlI+dHmHetVmuZ2gl4lO+OUsaIJI8E5SnwOx5PIejDMB5Z/qVL + 20fOj9eWUksZa7VD22H92VhfM18vYVrfK9W7s7M7beHp7IJVmHqtFvVOe0c1Rb2rIPMxtskU3B4l + xEeGme3t7R35vh6GQbWWEueE6URx5LJXczCi+IBDAdsxTQqbPoPXngVWcw9OI95bdH9enPCOnCOy + WgVZPTuVE19d1hLBo169cveV2X45+58GcsT0QBT7wWfX23CVEqZpbpYx6tNaYxUKfijwPUqOZQ5l + NPsyx/qIeu3gPl69Nw/NxnQcHn7iQC2+9rk5VRNvkPjCoyK+eixrj5fzRbxWB0wkxfxp6o5Dcw5N + RTxe5S7u1T1etb+MSBKJHPYjXmvmVy//KtOp5Kne9fbHs7FeMUc1T+287hpXB+01yjmXUmLvdF23 + s7PTdd2VssmJ3uCGYYik6siAj+zq+KOjlBLf0mOBlBIvdhARERERERERERERERERERERERERERER + EREREREREREREdENZDXk33KqblhmXZsLLEavAuDWuAo0mU3jHVWFOlxiOEt1QZX4p66GmzIX64da + DJ6RWjRtNrOhmiRUlJ16YUDWDd0uL730zHf/9OuffrPe/dBdjz54z8O3nrl1oz01l02tyRaAq4iK + a/FS4dNoW+ppNaSnu8TYpF7VqhYXd1FxiGtarqMzcoVOOBGZxtw7IcnWjLUmIiIiIiIiIjpWDlSD + 5L/8//3ep370Q++ZzU9J9n4hgioAkHwZSOAC+HJ67WyZKyNqgKupOZDc1ZfBAkMuSHXZEqyyM9jT + SkRELyellHOOfKy+75umiVwmADEnsptSSn3frzK0iIiIiOiGV60CEAjEmybPZjMRMbMEObo/YS2y + OqxHBbt7BP1NUYhd00wxve4eSzugWJUjU8EiImUVd31ZtYdrDF3TrpfvZvH4Sgl/tZS1eG0VXQb2 + Dv2wnoytohCIiNX9+GSHY7WaIkd36Ey5xYfmj8MIQFWXVS9DkWUc+6napAIsY79rLeupz1O9R66X + CywiLtdnAiISaeXRqrRabwARbxyN0KRRqYiM44jDAZaxW49cXehaxnI0Mf6L2PJYmWmtp/0rQN7f + FLqsN/JR144lAHKF/ei+vC0ygmGXGwlo0qHlfbkiEVAtq9eunrxSn1nSVcyzYBW2HfczrjKn/cBx + GMtMse1pta3XjvppH0atVzh+Vu+7aXeutsZ+m9dzr8cyxDactnbsyVrrMrEUq4je2NdyhTW2MuW9 + r2+8JqWpriPvjdufGXG2q3e0CJax6wJA3eqq9bY+Hfs9wOCi4iICt3gHlrGPSFQVF00iGknt41jc + TCTBXaBZgLy2x2PDRnR9WusSvSxWdljsLqNPYSIQLHexxzG4vwscXsXcqntdxpqKJIWpKiBuJcpx + W8WdQuGWNB9Zr5UBMJUU7Vy9Hy03EZUqEcrr7hFha1YFJiICF/EkAlU0qdY4Tx6OR02p8egOXgXW + LmNryxhtS+IQjZhbER/HIYJjRVyhWG7tyHp0AUQcjqzImgE1MxVPSbOmNmegWY90nQJozUrEu6bU + XFe8a0qNWTGDe3WXKbA2pSbaGfMjOHaKvJ1KmF6bc3tk+UfG30b7o8z1kkXi88TWg3Xj2VjfqQT3 + GvVeHty7v18OtjxaEvVOrZpigGPdp9Ki/Gk7r9frLmaW89G3jKqqmUWe9vpnqFzhPHDx4sX1N/X6 + q8ysrsLMo0B3T6vzQyllHMdSiplFuHEss/7aePlV6sVlJ5nohiqlrNcLIOqdnop6oz3T52Y8iBdG + junl6sqU67xeTjR4HMda61Tv6ovGga1hZtOGWj9bXrp06ch6+74/VG+U2TTNVPJU+KF6l3nyBXDE + x92B1PDI815/HKcxNxy8RiTTByiWp8zLqUqt+zdHT0fNKn47Gm9wAFUEqy8Ky8exzBTZLG4Qh5vD + gYrp8+KyIHgVrWbuBod7iY/fOIPi0NTrfhY46vrUHRpnwvjMXZuuPk8PT5OKrbYVlu3fr1djS3sF + 4F7WviObSDxrfqV2Xnm6erMd+VVH15YEVt8bSikppVorgFrrfD6Px1d6XxO9kUVkdZxga60ppTiR + AmiaZhzHnPM4jsMwpJRKKVf6PCUiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6aaZR + hzRGhRJEoLUrXJZjGKkhGZKpA1UtolJcp4GP1qcQR4JJjHskZkmQksZwVcXraCIyT62Kunqtw2hF + VdKGFh+3hxcvpd2nnv7C73xlfvuZd77vjg/+yJ0feNvmu9q0WfbGWdpQyerZ4QZzcQXUW3G4lFGL + a/Wo2BVQcVHL6iou6u7iEIPsj8hEdDKtJ1ufBBxTiYiIiIiIiIjouJlCBDkPZzb3vn9h3u8ByE1T + fATQGOBeNTIxcORw9Vd3KAlbAHVIBEIB6hVucMAYaE1ERNeh1hoJKH3fR5CDu0fAQ9/30zKq2nWd + mV0pJpCIiIiIbiwCcbiqVqvDUACoaqlXyLRei2w8FOI4/TMeTF8Xp9RGrIKrl4/9iALXFziy3gMl + iAAYx1HWspBf9mtqczBme4rJjBizVQ6xT82+vMaDrhibGvGlU1hp03RTbKq7mNUprjViU9dDT4Gq + moH9kNRV0OnRcbDiJpIh5iYQg+tyCsupdVS4rqbLZZI203yrgNRp/oESxNyi3iuads36kTDtiJgZ + qZ9TAPM0E0DEMR7awr7ysjtUVpsmrB9v6w1YL/9a4l0PZIqvFXL5a6f46mnJ9YNn/YXTu+ZQxOy6 + eO36s5fnvK5v4ZTSVEtUHc9OG/x6cy7XN/j6G2Sqd319Ly9/fR2DiAAmSLF+h6YiDixT3t0jKzfO + QrLKOF3Go0aYaM4pwpXdPYJLVwHz9dA0DlqzcmS9qiISTUqrlk6BuwLEX8er2FFHzrqqd9mSKD/a + v57IGjtnine9bOrrJZu5e12LBy7TNNqg0d273A912ubTG+XQf7WOUwwzlh3EDphqOribzMwBSykB + Lquc12gMYCnl1f5fnqki5tldYnnARDQqFYntbIBNwcyqGi2/roTXWsc4B6oiAr+BZTnuMIuzvavq + 6rBcNjje/apx/KrZ0dtfVQ7eP72crvZXvH3Wj7rI1l4eD6stL7WO629tkTiGk/tlCb1wIHK+p9Oj + r46raZ9OSy6Pt1jf1bEdRxqinLV2Rqs8pf3zzCGllEPngTgJr5911505c2Z1hBwocPpknOarqohE + H1Hsjun9rqrlsg/QSHG+Ulzooa8Tkyh5OgtFpao6rdf6Sw6db+O1V6835xwL4Kiz2XrhUfX69ozq + 4ox96LQ5Ta90+o101UjLnj4Wj3x5iP669VWetvblGdjr7YmQ7Egcn/Jc44M12j+uHNnOKHkcx+gD + nFY5pWxm8cLoPJx20NS8KRR8SlWfGj9Fre/u7h5Z71T49EEWr4ryY40ibnx6dip8fYMc+hyfTDHq + h/gqoH39DbK+K6ODNFb58s++CFCfvpRe7sKFnctnHrzWd01iv2P1BolPkMu//BBRiLNfRFZPqfAi + Eu/WiLiO9OsrfTgSERERERERERERERERERERERERERERERERERERERERERERnUBqOdlqVE8xA1xg + CggkMqor2qq5dLm2DumbcUiGWEzcAddp8CIThzh0NUyoQwtqNVdB1hRjT8HcSq0e43ElzVbhxSoU + 6LCtu6Ko2PueDc99/tu//9jv3Xnr/fe/7cFHH/xXNvTMLG2INVY1IamIeBJf1u7iVeACrFJXAFXf + f2o1VB3RjeFKY6C99hhrTURERERERER03BRwQdvsiJpq1hwj4q8irB1i4snFsYrmuI6yPbpOI+sC + vowvAoDR67zJs2oYBjgwGDpFrcjpKgWeKMMwxOjhfd93XTeOY9M0x90oIqI3igh4iDyYKcUhsm2m + cKCIADwUukNERERENzSHA6hWReC+TMtLKcEq/IhvfWtxuwfmrO4Km8Ipj3jFwfkHFogQwEgPPLKd + U0rgtEwUpXrgVpmXvXtnrYplvWtVT88eHUp4xEq5Qo6eyvKxRLy0VUAELhCNZwVTdDTc9ufHq6Y5 + UZrDrlIXBO5xL57CsT611RpFOuyR80UUiARdW5WzPtWrhy7uB+yuzXE78Hx0Yx3agEds47W9IPG/ + a7gdayo8joijm3dZI5czrlb+UQ30w0+t6p3mrEdSH36/TKt2pfW6fGMescB+UdOysl91bAXReO4q + 76kjHUqzPVg0DpV55Nt5P1h2/VVXT0Zf1SZyeN5+uQIAFpHPV11yfa5c8S/XAwejXHaUHNpsFgf0 + Ndd7pU1+aN+LYHUDrR1c5ogWTied/RIcEFufChTicDs035ePfZquL7l6FqIOd4i7V4gBKuriAsHa + q/aXj6lHxLX4qvy1GpdnucvauewXP2Iq4stA7uUya6fs/aP/8vebr+8QvdIh79eXWDnVuP928+X8 + g0fCy984Kgff26sSjn5fHFr4svmHW/Wy7d9/mV8ttvNKBR611uYOVQBm+yHWANzMDhys8VpFVr3S + +l7h+8LyfSc6zTaPryu61ti1utYfV6svW2+pA4BV5rtfZYM7qnssuVynab3i2bXXrL/w6A06ln5t + vfwqL4+KpvXd30HLfeEpS8pNh9f2GspRXw7pZanqOI4ppZTSMAxN0wzD8Df+xn/+O7/7TyLAG4C7 + NE0Tabur00ps7f1jeDrdTG+96KqNQqb878sz14nemFJKMY2Pv5he/rEdCfGvffOIiGhd9EbGnULT + l5n4ehPT+NozLXnc7SUiIiIiIiIiIiIiIjrpLr/UMk1TSmYWN5y4eyklZ45bSEQn1HSxWETWbq7b + FzNPyCCwREREREREREREREREREREN6iUkoi4Mzn4RqKu4iIe8c9WY0QsAQRdh93zONUm7ZtumKe9 + 5s633Lvox3O2vVMWpS5Mi6dSZHAdXUqVmrI6vFQX1abpzLDoe2lT1gjMdvcCAZK5Q+2jBLMAACAA + SURBVF1ERUSrAS4KcQOstlIhMPiOXpKtnT2/eH548YmvPfbbX/7Hd77p3kfufPSBdzx02/x2jLM8 + tI10ZjWlVCEYVbRpmwYwq9XGIbeu2YqXag4Vg7p746K8SYROqrhpM8bgjDHHlnOOFW8PJSIiIiIi + IiI6bpEThOxIy25cAIC6mLgJ1B1w8evKlJm4OixyXNaDYVybbn7x3IVbN9+E4jDHXCG4gTKt3b1t + 2/gJdNd1tdamaaYB/S93pflERERERERERK+6KdYxHhz7VLDKPnyVprzTlOiEibDwG3F6hUzrK0+Z + zEp0jV79D2uZ3pXG6bVPzTVlF/FSFxDsLS7dcsttv/Jf/1cXL178g3/xyYjZ3dra3N7efWW7RVVL + KZHdm1KKQGsmPhIREdENJL63xJ1CIqKqwzC0bRsjj0fcQjxIKU2jlhMREREREREREREREdHVRab1 + etpr5Fg3TcN7S4iIiIiIiIiIiIiIiIiIiIiIiG5oyVRdATOBC1wAQBy75zFDbhanZv3mj//IT/3U + B3469V3Xbezl0nu/vXPh2Re/8+3nnnnuhW+9cOH7F3fPpTn6YbdIaVqtGHZ29iRhNm93+6FpkogW + K5AYFkBLsSpuUt3N4YokogIFIJ7hVtVc4ckMAzAku5Tq7IsXLz71x1869cmz973p/vfe9+g9t997 + qj0739gYRk21kdRpkXF3VEjT5qbLxYdaR0M1iLlCREXATGui68RYayIiIiIiIiKiYxWZ1gKYZFMX + H5OpuTqSQyCAm+DP3vVZFQaF1Ei5Vljt926bz+3C3m//hX/j3338cwaoYhgWbTv7YazYq87dx3Fs + 27bve1VtmsbdY2haIiIiIiIiInrNvcp/kvO2sBsMu2joFWMmOtGr6mS9xZSf78dOXv1DwgExTq99 + atU0AQZY2eg2Ssb5l148e/b0r/3a//jRj/61P3n88Vqwvb3dNE1KzWKxOGq3rrb8UUQk5xwDEEe+ + tYhw3GEiIiK6gZRScs5933ddB2B6sH7XUDyOuOvjbCsREREREREREREREdGNYLrIIiJmyxuK3D2l + 1DRNXI5xdxEZxzHnLCLH11giIiIiIiIiIiIiIiIiIiIiIiK6PuIqruLLQGsXGKAOMT3bnemGrZua + t/zVv/gL973pPTvPDbdsvBk7mEk18Vvq+PYz7/7QbahpvLj7gxe2v/eFpz/zzRe+9tylbw7YqTO1 + bncUjBjmHWDmQFKUilKqJOQ2l1oAiLkDLtW1CgADfAaImpsXaJnGP5JmHGXwrR7YfezC9x7/4z+4 + eevm28++4wP3/tg7zt795q23dfVUIxvzZiMVHYZhQHGtniXlRqHVXSypqqMc3/Ymuj4xINixY6w1 + EREREREREdExc4EI4JpMAbiYCwyipgozAVbRDvaKfucbL6qivnocI9nnWXdpb29jbG867ZDkCRXW + tBmwGyJ5SFXbtjWzGJ02fikdv4s+cnn+TJqIiIiIiIiIiOgG4PpaxKwSEdFrc7KNWji95qmou6Np + VGre3duez+eiw972ztmbTn/843/vr//ixx577LNuqLWO4/gKrujlnMdxjAtqKSUzi/GIp+GJiYiI + iE64nDOAruvim0w8MLOc887Oznw+j+827t62bWRgH3eTiYiIiIiIiIiIiIiIbgC11pTS+j0kZlZK + iR9xu3uttWmauEZzfM0kIiIiIiIiIiIiIiIiIiIiIiKiV0IdVQyCGHlKHKl2/UW/qbv5P/q5j96W + 315enL399J3DpVpHS1K6RrImg6MHGj+b33Tb2bff/aMPDnnnhcWzX332C1/8xme/9dI39uolzzVl + GUpv7trkeaMVdSi13yttG3UDBgHgEIdDXIBl9oqKqy+HYEJfas4oedEPC89IHXo5/+K55778/37l + jL7prtvve989H7j39ge25Ey2LnW5Ta27mpkXiHgr2SF1LBxOiW4UvnLcDWGsNRERERERERHR8TMA + MBWHOABUgcCP6u2USKR+BRww0eSGVUh2Gfq56Gw2e2avh5k5RGokWt8QvyeOUWjjB9LxY2lcNdaa + iIiIiIiIiE6S64tRdP65f4M5affxMSP5RnNk5yi9IR3/LZZEr2M82V7daxP7fZmUUq11GIau6/q+ + L6XM5/OU0g8u/ODU6c1P/MbHP/qf/vXHP/MFMwOgCltv5jV8Zx7HMeccQY8AVLXv+xh9mIiIiOhG + UUqJTIX4SiMiOeda6+bmJoApa2GxWMxms+NsKBERERERERERERER0Y1gHMemaeKulZRS3/eq2jRN + TN09oqxzzn3ft8tBRomIiIiIiIiIiIiIiIiIiIiIiOhGYgITOOASmdZtO85v7m7/937qr7x1fme3 + OL3RnN5+qe/yRm7cFNBqXr2i1opFQdLNZutUOt2XxVzO3n7HfT91/8+d3/vBl5764ue+/qfPXHii + mS3Mh35YWClNm9skRWsdHQpVEYhVFRNAXaSqmdpylMi1sZ5U4Q5zSEbXiWouw3h+2GmavszLuQvf + +9Qf/N6pdOahu977wXv/3D23vrvd29rwM63PMSYBmqZxKaPAOIQdnXgicgLCrPcx1pqIiIiIiIiI + 6JgJAAcELqKwSK52gQkAhVQAEIOrAxDYKpf6GkXskwC6is2Of7sqqtftS5ubmxBkwegVgEr6Ia7d + q6fWGgPRmln8WNrdc2Z/FxEREREREdFxMUCveUr0muHxRkREdBXX/v3teqc3ONdjSbYupXRdt729 + nXOez+dmtre35+6bm3NAZ7P213/j7/3V/+Dnv/GN7wjg7teUZb2maZpxHAGISORB8uIaERER3Vhq + rfEFJmIVaq0iIiIpJQBm5u6qWmtlpjUREREREREREREREdG1iPtJUkopJTPrug5AKQVAzjl+xA2g + 1hpPERERERERERERERERERERERER0Q3ExVwQ/5kAgDqSpaY2W7L18Lt+JF3Spuas2ZJBfbQxZx/H + 0cwaTU3TKhpxALJzfq/putPNbOxtsTvcJqd/8u47fvw9f+HZ/qknvvn4l5964oXz39sbLvV7OzUP + aZbc+upwdwgAq1ABRMW1TOM7reenpIyxhwhylkXxYRjbjDO3bgzDcH7vRQM2zjaX0H/y2//ssa/9 + 8Wm55cP3/+Q9b37gvjc9uDU7Xfd8e7Q256bpvAKux7GxiV6eH4yzdnc/AQHXHImMiIiIiIiIiOhY + TR1EiqIAkHw50n8VUXhyABBfLmjA9YZOR2m5qosuR7YXGFDMcpPUsNjZhhsqmpSw7Ey+ATRN4+4x + WO0wDG3bxki1V1r+JHTGEREREREREb1+mSw7Ia59eh38RumwuEHc+DmTr8AbcqVvSLo2fYPgwXk1 + ym7d1zV+vB8zXz/lvhrTG1n84OE4fpZQhnFz3mzMNstYAKhqmztJ2N3bO3PmTK3tqVPpf/6t/+nn + fu6vPPu9F4DrjrUex1FE3L1pmo2Nje3t7aZpXp1VISIiInpVpJRyzpGa0Pd90zS1VjPLOZdS4lYi + EVmPvj7uJhMREREREREREREREZ1oZhY3kMSPtUspcUUGwGKxmM1mtVZVjcsu7n6Vn3ITERERERER + ERERERERERERERHRCRSjhUayNQBxqGtT84888FAeZea5he7tXsw517zIqmWobTtLKdVa+8WemaWU + EtLG1nwsvQ3WprTRbABeSx0u4f7Zw3fccdfP3PnvvLR4/kvf+sKfPvXp7158ZigLbceF7wwYIK6N + u5t7UUCAdPkIfIbSo501cB3GUVLaOtWO1c+d393IONXBkMZx3MWYmmZs627Z/j+/8Q/zV5vbZm95 + 6F2PfOC+P/fOt9zp4+be9t5MziQOYUcnnoi4nZQjlbHWRERERERERETHSgxe4QZXeBZXdYjAEVnW + tvx/gb2ixGkTcXG4JBS4GhwCuECQs45jzQnzjRkMIqhmqjdM0sA4jk3TqGoppW1bAGamqmaMgSEi + IiIiIiJ6TaljdSPMq5JpTfRnxqOOiIiIrofrMtn6Nbe1tXXp0qWUkqq6e0rJzGqxrY2N8+fPz2Yb + 83kncua3fuvv//zP/8Jz339p+T3neq4hxhDD4zgCmM/nOzt7XcdkayIiIrphRGo1gL7vU0oRZd00 + zTiOXdeN4xgRC6WUnDMzrYmIiIiIiIiIiIiIiK6RmUWmdc651hrXWWazGYC4KJNzjulxt5SIiIiI + iIiIiIiIiIiIiIiIiOiNbj0I90rhIrGMiZnAxVyXuSdVUAUuBuCedz2Y+vlmOrXYHXNutNNxXJTq + STpUG2qttaYmd01jZn3fm5eUUtbkYx2HklJKkJm35WI9M7u1yNjI/E0PvO3PP/KvfvPc17/ynSc+ + /cU/3rbzO/WCpR6tmZQKcwCyHCBSHRINdQXQNXkcSvWSmiySxr64+7xLYnUc4VJTUhcf6mgY8xx9 + 3dMGg22/8PXv/uGXfv/W+Vvee++jj9z76Fs239qUTi0na9SyWlKfbn6LIZuWA0yJ6/7MaMg0mpMr + ABOoH5geQWw5aJUf2BtTuMzRr6I3NhHxk3Rg8PZQIiIiIiIiIqLjZcAIL6iptUYt1QpRSIJ4FQAO + h5jAYRqdrNepIkEgWpJDBXCYtw5YrTlBHFZ7OFCRms5hV+58PlmapgGgqlMUd9M0zLQmIiIiIiIi + erUIAEx3vbhDABFJIu4GwF/VLoWTdLfN68CN0fvzw/TGW+MbHnv5iN4Q+PF+zPZjm+1Vm9J1G8Yx + Nw3iDSJSagUgIv1inLdzhaBao3LX3Xd84hO/8ZGPfOSlH1xqWx16A9DNZ+M4Wq1Amn5Ucqh8EZRS + IglSNYvobLbhPsZgxO5Rrbi7u0/X4Ihe38xMVWPq7vEWiGnO2cx89ZfwMAxd1x1va4mIKFIT4kRd + a42Z4zgC6Ps+7iYCkHOO8/mxNZSIiIiIiIiIiIiIiOgGEbeIxDRSqyPTel3MZ6Y1ERERERERERER + EREREREREdEbnIictCjWN6b9vGQAWCVDr0Uyrw885IIi1dyzKtxGwET6pt/IeMdtD7Q7N5UibWoH + 1VJrkqwQuLhXBVQFjjqMANqUAbjBAUlZAIuKxCVhrAOAuWz6Luqi3t+eufveh376vr/0wu6zT3zz + c5958tPPnvs6ZkVa28XO0HpNyA6vUEviqq6AwS0JkrrbKBizw11g7gpPMIHB3JEVLkCJMVEx6F7J + VTb3tu3iN598+ne//L++beutj9z9gUfu/tE3b72zWWylft5Yk1wAz7lxLaUYzFNKWcXMrFZVQMXd + S4XBVVWSmsEFBnXABL6/5W3axgoADjHATdY3vK7vDiZb07rVoBkmmkspKaVpAI3jwjtEiYiIiIiI + iIiOmzhUoLo7VpeUsno1K5DlQPGyv5hf/1irrhCZsiHE4YCLGlRQ1QGBi0EMsZRweHoiIiIiIiIi + IiIiIqI3CGZa3xhms1nf96WUCGgs/eJH3vvQb3zi4x/9ax87f35HFCrSLxYA4Nq0zTgMR5Yz/SIo + QnzNAEAkude+7yOvNzKtAUTW9WuxekQnQGRa+8GfzY3jmFJS1fjVR9d1kX59TG0kIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiggvEYUC6LC/ZBC5TxgnELYuqoyku0KJigpT09OZWsk7r + XM2gHvnN6tc0ftSBOgUmUBg8J0vq2YAOqGqn5jfN85nbH3jXv/bIz3znwjOf+fKnvvj0F17cfU67 + vuaaBUgKcZcKEYiMZVSBiMDcHEmhChMp+yMnQQD4geQWF9QUAy7tARgsP2t733rsa//0k7971233 + v//eDz/0rvffcupNWroMXYwm1ZvcZlevPpYxieacax2tQlXbNruglDoMJecsrlgFxsR0GVB9IDdG + ANiBWYq1uHFmWtNVHBry6Lgw1pqIiIiIiIiI6Li5wB0dFlvNsGOoJoa21cFNsewVVcAO9c9es+hK + Xh9a2wQOSw7Bsp8XGZagguvPzSYiIiIiIiIiIiIiIiKiV9Fiscg5p5REJKXU9726P/LII//9f/d3 + Pvaxj43VanFVVdVquFKmdRBBZPSm1NRa22ZmXiLZehiGyLFWVRFeNqQ3iimmWkSWYe+rfPemaSLQ + WkTiAbPeiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6HjJNSeXJEdr6Kq3hiwCVQc2 + cn7T6dsal+RQhzvgCjGX685EsQiWhgqgrgbVSJy2NF4cT23cWsbh4vaFtzdb7/zAwz/98KVzF5/7 + wpc/9fR3v/Lt898cNwacrju4uHDThDyDQL1IRRWVIcHFR0PGMltafD8i2nw/gEVh4kgwcQBlMezl + jc5OLZ4ePvfk45/d+Mypu976wL1vfeDhuz548+k3b/iZve1RBmw2s6ZpvdRFGXPusjZm1g/F3XPu + Ts2aYRgA0/0VXd/y6pHzAjhgstYYB2AC6Cp+2+QVBs3QG8RJSLZmrDURERERERER0fFSiKJrsDds + bzSWMiSlRhZD0QwDYlTsSLau1z96vMLME4ApsHq91zJisx1A8lHQAXAw2pqIiIiIiIiIiIiIiIjo + 5BARVTWzvu9ns1nXdbt9LyI/+ZM/+YlPfOI/+chHc4Mymru7X+1SX9OkcayRzltrbZpmGBcAABMR + d6+1Rmpv3/cRpP1arB7RCRAH/xRxPUkpDcPQti2Avu/jzXgcDSQiIiIiIiIiIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIiLap4DLy0Rcu8AFDlRAVETEK9TyTadvhgsAEYnEEnWI65TffB2t8MPNEEdy + VbSyUE3pDFqB+4hNv/X07Ja7Hr2rfnjnmRe//qkvf/KJ735WUp7P6+DD3u5OTZaSpi4BKF7doQqY + SoRYe7TNYt2nugAoLFYWgMx8d9yRsp0zdCsN46XPf//cU88/8U//5P965833vO+eDz18z/tuOXPL + pZ2LMsg8b6amq8X7sRcTVVUVGIZh0P3oFltulsipRoZrbDETm+JdItAawJRpvdwmzH+ho4iIA+7O + WGsiIiIiIiIiIgKQUBY41f7Hv/2/PPn+R61WVMy6NNTqAhPoqjPUBADSdfYp6aqzctWZKy4G6NTD + aQJIcVR4Ans2iYiIiIiIiIiIiIiIiE6Stm2HYQCQc661ikhKsrGxsb29+2M/9mO//uu/9rGP/Weq + MPOcUyll9ZuLmO7/SmQca9vmYSg551JKKcN8PlssFu4QkUi23tnZ2dzc7LruOFaU6BiM49g0TUop + kq37vlfVpmkAxNstHu/u7m5sbBx3Y4mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIj2 + c52vmGztCngV7Oa620ELmiSDK+CD6WzzTFVUQVUAGvkk6hDguiN2fT0SdxmCIl7btlssFj5g1rU+ + YrE7ZEk3bd665xfLOHv3rbc99NMfPrf74le+9cSffO1TT7/45WFr94K9tFcXGus2IgGtJpjCNaJb + DAaJdpaptSbLFakCFzSpqAIVqCIQUS0YLvoL7calL20//8SnPn3q8ZsfeMfD77vv0Ttvu3vTbpoP + pxrvUqNJckIyM69Wa1VNAIBi4i4GMcAgrVhapgBLATRaAjE5sOXEBS5qTH6hy7i7yP7jY23LEmOt + iYiIiIiIiIiOnWC+CS+YZ8tJoJLz2A/IEBeHwhHDzavjFXQ7igNyeefv/vj1yQ3VWhiQ/iyrQURE + REREREREREREREQ/dKUUd885N02zWCxEpNa6u7vbNI2I/8RP/Pjf/tv/zS/9zf9iGEqt9epFxS3s + tdatra3t7e29vT0AqhrzI9kawDiOIpIzbzWn17+macZxTCmllMwsMt1LKSKS0vIC+jiOkWkdue/H + 2VwiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiKxPXSLpWBwBDtQRE1olDLHuVebch + IqKOacgi1/UQk2um4gmINGx3MYMpDMBe33ezuYgMw2AVs7YR0b3dvptttDKve8V27NbmrT9+91vf + f++HztkLjz39R1/53hNPPfeVnf5iO0uavYy1DKNkcz3csEizXj4WVIUDLgDQj8gJaqjuMM9ZcmMV + dbteREJ7utm2xae+8fxjX/3k287ecdeb7//wAz92pr3pVHdmhtbHlEwa7bpm5mNUGptFDeYCiIm7 + IiKuPbaYwHAgnDgyrhVEVyYiDrj7SUi25lhjRERERERERETHS80Miipo2jw6Kiy7NzlVr9F7ZLLf + 46h/xg4lBwRy8J/JgLHqWKEJys5NIiIiIiIiIiIiIiIiopOl67pxHMdxNLP5fN54U0oR1cViMWvn + f/lnf/bciy/96q/+aimeRJdXGS/7iUjOOo61bfMwlO3tbQAiSElLMQBt29ZaI9m6aZrXeAWJjouZ + xQE/DEPbtqWUlFJkuo/jGKHX0zuCmdZERERERERERERERERERERERERERERERERERERERERERER0 + gh1IpxZHqj4DUNHAk0ny3I5pU2fJEIHNAOAKMeC6w5jVAV9FYgsAc7EqDphp2akXVTV1SUX6OrhL + yiIll7Egoes6R11s7zUyf/v8rtve/pZ/866fPTec+9K3P//4k5/+2gtPWtqZnak7OBc53eJQA1xN + 4KIuNiVbJ4c6mhEARoUYVNVbcdNRCmqthrZBEqjXih2dtzK3Z8cnv/2Nr/7+U//77be+48E73vPg + Ox+646Z7zugtaa/u7Q4zbIhlICWgqqukqga4pz3zaVPHRhBEtjcUa3nbq2dfQVg4vVFEprWIHG+2 + NWOtiYiIiIiIiIiOmWp2mAGAS9IsHQTFRhERoMqy31d9GUd9vcnWfqjX0lT0QMdla0BfMBR0GVAc + XJ6IiIiIiIiIiIiIiIiIjpG7i0itNeecc45w65TSYrE4derUhfOXTp069ZGPfOTChQu/+Zu/OQxx + KfCIH4eUYiIYxyICd+SspVhkWqeUhmFommZKto5KX9sVJTo2ZhaZ1jnnWmtKacp3j6m7D8PQdd1x + t5SIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoasQhruKursmQHDCIS3bNlpvatNJB + HFJdAKgC5jFm0XVnMAscMMB99drIddaUq1uxMlpNkiFwePWczOazWTXrd/dEZKs7I8BwYTiVNnyw + Np2+5Y63fOjdP/H84nuf/8ZnP/+tx7/x0hMl7wEOmAtMDBLh2TBZxbg4kiEZAHVzS+IqLlalukMz + ksIMdYDCcoLkodhgLdK8MbfvDl/93he//kdf+L1buze/+/aHH7n70Xve8u5x2Mu109qpJSADKpYh + BbBVHLgBCheDuugVRmtipjXdABhrTURERERERER03BwQdVSkphaHoFqFiPqyvzU6Q6tC/LozrW3V + eamAOFwEjuQeWdcuIvDW8Fs/82//h3/0R2jMM1OtiYjoOqiqu5sduCrWtm3f9/HY3SNq5ThaR0RE + RESvOqbcERERERG9BkRkHMdI24050Te70bWLne35RrfodwXpl37pb168eOEf/IN/aK5m1jRNKcXd + AeScSykAfO1qYwRaR/mRZj2Oo6qq6qFk6+lBxP2+tmtP9OpS1WkalzPiID/0B6+IMNOaiOjkcPfp + 6w1Wp/G4bG1m8U92XRIREREREREREREREV2vuM9k/TrLOI5N0wAYhqFt21IKfypIRCdNXCaO+9/i + lBVns6ZpxnEUEVWttcaFZt4CR0REREREREREREREREREdF1iFBpVjV9zp5TcrzMtg14F6grYKlbE + AbgYXFV1HMeUkglqrd2s3dvbgbvm1I/YaDOGZL1Z9SRt0sbFTEt1yHKvqjgAdbmOJGaBKQyACWTZ + GgXgkqrBAZHOZW3gI7Ga3Oqgntu0mUzQKxytzN2rCdTbpkjaad+uW2+78+5//d6/9OzOdz739T/9 + 3JN/8vzud4Zuu7R7I/YqoLLMmM6KBBFBVVeH1KaBulgVqwnVYQIXCKAZYuoOd4NAAbdeK0RcOxt9 + /G65+Ow3n/nj7/7zrXzTjz705+99ywPvuvme2Xg67c7mvtVYskEcoo2KSLFa3FRVVCFwc1H3amaW + RVNKAtTq4OgHtCbu0jQzkQQgRhVzdxxrUhDvDSUiIiIiIiIiOn4CJACr7llfdQGrowpcrArE8cp+ + HucHe59MoIj0UTVBErQVZ/qCvmLDLAKwX+mKEBHRG0fkVatq3/dTkENEm5hZXFqOX3eragxacazt + JSIiIiIiIiIiInq9KaVEInXO2SouXrz4K7/yK33f/6N//L/lrOM4AmjbdhiGq/8caHo2fkEUPyKK + 3xTFP2McTw7oSURERMcuvuHIynq+dSQoqGqkKaxfyCYiIiIiIiIiIiIiIqKXNV1eiYDYuFEkAmLN + rG3bxWIxm82Ou5lERIdNv3Sebm/ruq7v+3EcVTWlNI5jzHF33gJHRERERERERERERERERERErxcK + B9bzp2U5MryIJCSDWfWUmjPdzbfhXZtyZoZWUkobs07mXZ3pzjyXBp4BccAEgHn8//WxKRYbEEAB + hau4RJI0gOSIwGtxQMzjH8tcFIWri7mYiUWitlrOnsVFXata8u6u9973Fz/4s8+89NTjT33yi9/6 + zIt73y/NMNpubhVqo40AJIub7Q3WqaWUqtvQuyTMN+GO3R00DSAKRSQICwCBOlQAt+rFFWML90Uv + O3uy/X889o825ew7Tt/50Lve/9Db3/vmU++Y+5ZkzehqcXdLSVvNMUaTu6NayppShtZaay0VcKjK + K8yZIXrtMNaaiIiIiIiIiOhESFCYRo+riWPZu4pItp6IH46pflkGQJAMDhg0Zqq7RS+zIzk2x4Kx + wK5SDBER0QExSnj8ljvSrAFE0LWqri/JTGsiIiIiIiIiIiKiP5vodD3wGxIAIqi1ztqNYRhm3byO + fR37X/7lX14Mw2//9u/mrKVYrePBLtujicg0HnGttW1bM4uoyOgBXiwWbdtOv1W+TAAAIABJREFU + vcFEREREx6JpmrZta62Rbx0jj6tq/M5zGqm81tp13TiOEbRAREREREREREREREREVzKFWHddF78Z + nAY2jfnTkjGfiOikiTNY3PxWSnH3YRhyznEpOaKs49lYmMnWRERERERERERERERERERE9Ho13eUl + ImLutTaa3ty9/b/89/8OGlgda40YFC2D33zq1npJ1BqBuxgQwdIVgHqCX8OgRVGpwGCALdOsATgU + AqjYctwkdUBM3AG4aJVkUBNToKhBqi0bUCEmDvXIu1Zxy+Zn03y8WKVsvLt55D2PfHDnvZeefv7J + p5774qe//C/OD8/v6cU00xHDbl+bBqdu3hj63d1FEcFWl1ywd76aYHPelFpNzAQQKCCOZWtFzMUt + OTyrmFc367Gbu+7C4vntF84/84Mn//nnf+fWM7c9eO9D77nzA7fJXbO01aBN1VPRDOnQqAMu1ptJ + 0Sy5yS5epLqJm+k1b0963Tt0N+YJuTmTsdZERERERERERCeAQwH4kbHSEXINBfQVdSgpgP0XyrK+ + 9QUMrTnMwFxrIiK6ZjFQ+Gw2iwseZhYR1/FsRFmnlMZxZKY1ERERERERERER0atBVXPOi8UCwN7e + 3tbW1rlz52669Zb/9m/9rfPnz//hH36y7XTo4wpgvUo5TdOM4xjDENdam6YZhiHKn4YqjpGLwWE9 + iYiI6FjF+OMANjY2dnd3+74HEN9SzCwuWNdac87uzkxrIiIiIiIiIiIiIiKil6WqAFJKU/IrgLhj + JC67lFJyzmYGIB4fc4uJiA5KKZlZXDiOc9T0u2YRUdU4v02nteNsKxEREREREREREREREREREdEP + nxwKH1nOFbEKVdlqzmA35ZxLHQCDRuY1xnPWpHZVgi5TUeSVtMBXrZheLXB1m+aIm7pHGIoBJgIx + AFUdMEjkpExroVGoASoAxEbM8mwjNWUwG2TWbZy6+ew9N9/3Mx/8t77+0lf+5OlPPfHM5y4OL53a + SKMPF58/N9uUlNwr+r5q0q5r4bkWVxeFudoyF0bgQBW4CAQuJiJQh0TSt2lTkeBlWPg4+MXz299/ + 5rNf/meP/5P7bnnfQ+96//13vPumjbO60DzmDdlsUwdIkxpXKbB+XAxW0EiXO9iJyC2mE+iEZFqD + sdZERERERERERCeCAGZYdpHaeny1AClirR1Y5l9fR/i0+nJpcbgAgAvsYEi2C0wMYlH6K+orJiKi + N5yUUiSX7O7ubm5uikjXdbXWGLeibdtIto6BwhlzQkRERERERERERPTDIfvXCkspKaWUxd2tmlm5 + 6aYzP/jBD86ePft3/+7/8Iu/+Iuf/vRjolARs/X71xXA+jXHcRyjUzdGIi6lzOfzSMsGICK11mlk + zxjLmIiIiOhYiMhsNlssFru7uyIiIk3TRLj1bDYbx7FpmhiFPDIVYuByIiIiIiIiIiIiIiIiuhJ3 + j1tQ4hYRAFO49dbW1vb2NoBSCoC9vb35fH68rSUiulxcKQbg7mYGIH7RXEpR1bhqbGaqykxrIiIi + IiIiIiIiIiIiIiIien2L3+CbISWoqpmZmQiq78K8wlRVVc2Qm9ZhVfrILVGHugIqrjgyJfuqDAlQ + CBQibhCoGwQCFTcAuhw0yQFAbD8TZRoPwFVcFIA1MT5SFQBWIr7Fa/Wa1JANVr1IY/nmdHb3fP9g + 96H7P/DozgcvPfXcVx7/6r98+ntPNb6x2HkxzUY0qQqK1cGKVFdHJzlZElcXq1qrWklmYpYMyxH0 + HYAoBIDi4u6w0aJtOxvrWEwhhjTq7hde/L+/8N3/Z+tfnr33rQ9+4P4P3//WhwX10nbaaE5pyfAM + VW3mWaqLVUcCh2yiA0REVkf/CUm25p1VRERERERERETHLNKmBYDDpmHoo4d1JRmwzLS+bss8bAEg + kYrtAosEa1eIu6CoQR1iHMmViIiukZnFOOCbm5sA+r7vuk5E2raNX323bbtYLGazWeRbH3d7iYiI + iIiIiIiIiF5vUkp9329ubpZSBDIMwzAMN9105vz5CzfffPbjH//4L/zCLzz55FOlOBxN04zjeKWi + 4tb2WmuMR7y3txczI+g6Mq0BiMgJuQmeiIiI3pj+f/bu/ce2877v++f7fdbae8/MOYcUSUnUhbJE + 2Y6sm62LozixoxpNDLTIT0lat3XgxkXipK0KtynaoMgfkB8SGUgTIJarJAiCIkaBFP0lSFO3desm + UWRdKInWxSIlStSNFMnDyzkzs9daz/P99odnZp9zyEOJpEnOUHq/AO2z9pq913rWnq3B4nP5flpr + 2+12d2MTEdM09TuWvj8zM3Oe581mc9aNBQAAAAAAAAAAeAUws1KKu2dmL2PaJ4eYWc+01mnQ9d7e + Xl9ReKbtBYCn6yPI4zhO09RHivvq5v73arf8uW/wdwwAAAAAAAAAAAAAAPxgc/dMZZpZMcuI5m7D + MKSFp2e2iJjnmoM1mXlTpqSQl3BPmZSmsHjuNYZCJ0nYlgrJLSwjTK5MhSwlteten6Y8zWSxVJpb + SjoNT0mXPE2eaial0mJcb1prqeajlRxiqbE0X8raLrjKfLhI47tuf/87/p2fvDw98vBT37jnvn/9 + wMP3f+vRb2qs64tebYmyDOaqGaaSIWUPz7ZQupqF9/zskIXM5CalDg4Ui46myaUyymyQWlosGbbR + YdZ7H/29z3z9Uxf9jre96Sffdfd7f+JN717F3hAba0NRcRUPZZOMEBjchJk97wz5lwxzqgAAAAAA + AM5SSr3TtJhkvZdWpkipuZTqvbc7Yc872tryJDk7TEqFXHbauXtyzJiG0FD7M6WLjk0AwPfj7j2s + ep7ncRzX63Vf9V1rLaX07b78e7Va9adn3WQAAAAAAAAA+IEyDENmHh0d7e3tHW4PN5vNLbfccvmJ + J2699daj7dHBwd5v/dZv/dIv/YV77/2ipGVZdMNIo193HF+WZbVazfPc6xH3gsURUWt1981mc3h4 + SGcvAAA4cz1TYXfr0ne21nZlx80sIjabDbXIAQAAAAAAAAAAnpc+zmJmZiYpM/uIjJn1oGtJpZQz + bSMA3ESf1dZa64uadxuS5nler9cRkZnr9VoS48gAAAAAAAAAAAAAAOAHWGaaWaYys5jJLEKWpTZX + mg2+1GmzWZVhkQ+rUubYymThSveUZY8xiecdVpKDpFSYTpKtpWwK2UmNo5BJnnLJpSgRRaGb5q5Y + 9FgVSUO4pDRPKcMiS4RVyXzwwa2YFMfLYbbcW43WhuWpZRNveN3eG9/2k+890pUHHv3yp+//2Je+ + 85kn9N3YaC5brU5PkidBMC5XhrKHaltkZsokj573LTMNg1QsMmurLeVSkdWaKtN637SvK9sHvv3d + b/zb7/7Owe/d8va73vXeu//om1/1o7faHZtlf2zrrLLh/IQX4+z1/5/utndTNM8W06oAAAAAAADO + kaax2ZCqN/TVpqf18Ot4vpnWytO+WlNa9iNEWijLyY8iTdVPu23JtAYAPDetNXe3U5LGcdzVrbh+ + u//orNsLAAAAAAAAAD9ottvtMAz7+/vLsuzv70/TpPQLe/tXrlxZbdau0sb20d/8zb/wy79835cf + cFdEnL71hlHHWquZLcvSyxAPw1Br7Tulk3ft7e1N0zSOIwmRAADgbLXWWmuSSinXr9aLiH6j0vf0 + WxruWwAAAAAAAAAAAL4vd5dUax3HcVmW1lofcJnnWac1E4dhuH5oBgDOj3Ec53lerVa11lJKKaUP + FkfEarXq899KKdM0rdfr1lop5aybDAAAAAAAAAAAAAAA8CJJl4V6vkh6RB2GlVlkRqoXkC+m4jak + rJQyz4tpLO7LUqM1KycxKN5Dpi124SbPnaf3qkZ20phdYLWfxFOnpZ1kWofM5cprKSqeHhYuxWkg + y+7AvVWRikxJrpKSe5GyRV1adZeXYgotqbQ923crqr7vdsGmW++84+1veudj9Vv3PXzvZ7/6e/d9 + 5w+2tg1fwiItTk5k4VKmMvs/cpO7W2TLrFU+yky1pqRSZFJrSpVxr7SsV9tWRcMlWdYnt8dTXP29 + rz/6mS9/4o7xzne8/t3v+dH3v+XVb9nbv9iaWQySebrFYGmSe78mhela6HX/xPzGmOOwp+/ZvbJv + +tM++Rt+iW6ptOs/WOAmqM0BAAAAAABwlkwq0rK0MpqWsOGg2fHhcnzbBbWt7LRH9bSvME/f9Lw1 + U5qGrJJ5FqVHLmUcVJsPQ5rLBvlYI4fyCl5RnPmMLlUAwEtjt2z7+sjqXsDimdsAAAAAAAAAgD+E + 65YEXLdmoLhnaJmb5K3mUFa9jvDeeqyttlZX4/jq21/10d/8zV/+5V9+8MHvmClTJ/nWbpIU5qVE + 03WDbFlrPdnKvpqitNbcfRzHzNx1Du9yImut7k6fMF7p+tf7aSPOPetdp0W6Kc8NAOfE7obk+r/M + uxuS3W0JmdYAAAAAAAAAAADPXQ+C7dt9rHw3aG5mtVYGzQGcW6vVSteNEfeNp40gr9drXTfcDAAA + AAAAAAAAAAAAnos+gygizKRk5P38uHk9InePqJLMFGpKySzUZGnmUef16G2ZJI1FUkbK0vvRwiQL + pWTxPAM/wq8FKveGnaQsn4Qxp4dk6vnZJ2/J0/loIaVFXIt2vnY5YZIi+3FMrgyTskpyS7ciSREm + l0nypmyqkme6ydfz/hirPb/4mtfe9b7XfnCyq/d+5TOff+Az93/rS/Nw1Q5isqMlm0aZWUQ2c3eP + zLk1S/Uve6TctB6UqVplqVUpTdEipFi5QtKsVA6u9NjGcfPlYTt++Jtf/3++9i9effudb7nj7p99 + x8/fsXfn/nhJy+DLZs8vDFlyDoXMTNnSZEVWislqrZE9krzXuok8/Viv/3B6HnmaLDMU/cPJk29G + eLp60Ljcs3/I/cPqvxdKRZ2lPjmz1zQqpczzfNYtkoi1BgAAAAAAOHPZNHpRa1qtH8l8tfI1tx4c + Pnl44FJe6xKO065Vf/7BzWFKk0wyeWSTS16GsS5zkZZpWptpaZLKKznTGgAAAAAAAAAAAMA0Tfv7 + +1NbYpn39g7e8LrX/sN/+A9/6Zd+6aHvXi6DWq8/3COrh7Gd1iN+Nq213YKizWaz3W4lXbly5eLF + i8uyuDuBkQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAnEtxQxL2qetzT3pMco+jfgHHf3qEyo3B + yac/vcnBT+KZb3bQ63d63uws0g1Jz/0fi7BQmkcpMaxsvbYL+5oWm372rtf8zI/9ycfm737+wc9+ + 6g8+/o3HHvAyDRdWR/NVeUbWZtUHW49FyohYFa81ctEilaJSlKmqtgt0sVRJXYvozppDLqrNqg3W + Wjuan/juQ9/89Jc+/poLb3jnj/3Ue972/jtvfdOVw0Ntx4PVhbXWaukaBvcWMc2L3MZxLOa11tZC + krsXN5kibvglWipNHpLs+s86JZPCTj5zT0n2LB8wcA1FxAAAAAAAAM6YuVRTbir+C7/7v3/25/7E + cPmJO9fSLKW5TJLSw6O90GRrT9m1fsO+HYowyYqN0l6T0pQvrJsYAAAAAAAAAAAAwHkxjuM8z+v1 + 5sqVK+NYzeyuu+76yEc+8qu/+le++93Lkjab1XY77+/vH09bWTzbogN3jwgza631PdM0lVJqrRcv + Xpymab1e9/3zPK9Wq5fl4gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJ7BwlwZkSllcQ2DyqBx + zL2mi1HrZrj9NT/2oz/79j/zyFMPfe6Ln/3clz/5yPKtOl5tQ41hrn4819aaMlTlbmuzElHnWHJo + OchNXk/CX3qgtV0r3xTupogWS5EN41CX+vjxo8P+OI/H3/yDr/yLT/2zN9xy1wfe8Sd+8u73r/Ze + vz0se8Mlr2PdhuewXu+lWV3m7XI4juNmXJtUa21zk0IuFe/J1p5uaR5uMUhqpaaF501iw8Pi+uRv + 4NkQaw0AAAAAAHDGIuTFcqlWXCtdPRjvbpeWx54a7SYvTtOzlZX/3ly7N5oslB4RpUiDbXxYHc9a + qmqtrY6FLiMAAAAAAAAAAADgFSwipmm6dOnS8fGUmWnlp3/6p3/913/9V3/1V7fTst3OZnZ0dCST + lxK1PdtBVqvVPM+llNbaOI7Lsug07nq9Xmdma20YBjKtAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AADA2TJLd5ksMyzT0iUrOVitdRmKRnkdxvam4VVvfPcf+VM/9e99++pXfv9rn7z3y59+5PFvT4P5 + MOfKy2qYa4u01lq6hnHUOCwxLbPWLkm7GOk8jZWJUCkys4iMTPdYrd1Xg4356NXLxfSq11x6ZPnW + //bxf/p/fvyfv+bCG372PT//ptvvft2r3jS2/ZjrlFna2CL21/uRdZ6mzBy9rIcSViJaj7Tu5/L0 + XZy2p/eWuIV00qow+Unk9skOEXB9/mRm5gvKH3qxkVEEAAAAAABwllKSq5m0HkvOGsuTx8dHx9PF + wdQy5dE79yyUfhpNHS/gRJbSaYemp8IizWrKl2jteGOjpirZUOhLBAAAAAAAAAAAAF7BTKW4R8Sy + LMMwZObewcWHHnrogx/84Ec+8pG/8Mu/Yi5lmilTz5ZpLcnd53l299baer2epklSRAzDUEqJiMzs + idellJfx+gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJ4u0zLDZGamVGYq0lKrYVUyxsxUxhJ1 + rlZ0Ydw/GA/e9u53/Jl3/fmvP/KVT93/ic9/895HDx9aNscx1DYuYTUiLeSTlSijZGFSWMp1GiNt + 6g8Zaa5hNIuMqBEyszjOg7VLulqvZF4dby3Njq7Eo/d//DN7OviR2370PW/9mbe9/r23bl6/qbes + hr021dHGGKJlbWotw1JZc/S1pUsuRbia12azpJKuvJYy41L0fGu7lmN9klSTfi4ilCGZnf5OiLUG + AAAAAACApDQ1qUl7dVZos3+QV49D6bL+U0mytJSleeYunfq5M+mkg/CkSyolyTNTbtorw137F//X + n/93/+zvf1raf3GuCgAAAAAAAAAAAMBZcPda6ziOx8fHq9UmM5988sk77rjj8PDw/e9//0c/+ht/ + 5a/81VZlpnEsy/KssdZdn/4+TdNqtWqtZWatte/vE+JLKZnZWhsGpqYDAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAIAz0sJkMlkqLFwy81QsbXb34haZxXxVxrnWOi175UKb6qDhzQfvvPvn3vnv+5Nf + +NbnP//Ap+65/5OzHy5lytLCMpTubmbRln4e66kvCqXCNY5ea9SqYUg3C2WmrAfO1AiXXOl5lHE1 + FzftX9K0PTx8/MqDn3jgd8r//fpb3vKuu9/3trvecfvBHUsrEZFNg5WxbLx5ZCpGpSRPUyiaKb1J + UnOXQrL0tFBvlEKKMEl+fZa17SJrcEYyc5dpfX5QOwwAAAAAAOCMzUv46ClptZK5zXWp1XzIaErJ + IiSZXFHCX/BZLE8TsiVZyFQzW9O4cU31+OHvvPGtPyadv+4rAAAAAAAAAAAAAM/HPM9mNs/zpUuX + rlw5XK1W+2OZjg/X6808zx/8uT/5d//O3/m1X/u1WlWXNg6+1LjpcSLi4ODg8PBQ0jiO8zz3/e6e + mZnp7hExTdMwDGRaAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAM5NumZJMJ9ErYVXFpcjMHEpm + LMsiqZRSNmWlYdnW1WYz+N7hfFwfX/bXez/z6td/4DU/t/zRq1/62mc/fd8nHnjs/kfj4St+dTts + 5W1to6dLCuvp0ZLkETacpBW3pvSUy0xFVkIRaakwcy+elm7ufny83azXsbLHp0cemx/+1uUvfv7K + 76w/c+Gtt7/97W9+z7vu/qnbNncuV3I6mlba3x8v1iXkERaykEKWJSQ9LcLmWpPSJKUUIXm6SafN + DpKtz4leyOusWyERaw0AAAAAAHC2TNqM3qRUKqUwpQ/D0I6PiyQLyWXyDEnh4S842fo0sDpMJSTT + MAwt67yNvc3qTm0efOyyzJY6jcPei3NtAAAAAAAAAAAAAF524zjWWsdx3G634zhKOjo62mw22+12 + f3//6Oj4F37hF/7m3/ybf/2v/w8Rqs+SaS3JzA4PD81sN/G9lNJaiwhJq9VqnueebL0sSynl5bk6 + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAZxpsUA9+ycxsaTJrYZJUc5bk6yKpRtRlMStltdrO + i6R12dsM+3W72FGuxtUYq/e89mff9ab3PVYf+cJ3PvepBz75tUe/elivNNum15RcGSbLHiCt7XEb + Rq1WnpkRmalMmbI1lZ49s2SolXGVLedpHsf10uqsadyT7WuO7Rzb0h5/6tEnvvCde3/7U//ira/9 + iff86B/78Te8q2i4fPXRcbXnkqWkKD2/xtxTksJCuQuycc8I230emSbp+hfg7JnZ+cizPkGsNQAA + AAAAwBmzyMFskGlpyhz21nqyh1CnpJKtnHQFKmXNwyR/4R1MvfswJdVax7Foblqqxfbg0oGkcRj/ + 0BcEAPgh0lqTVErJTLOTQap5nler1fUvq7UOA0MSAAAAPzh2sXbu7u6tNbPv+yYAAAAAL5OI6GnT + /VmmVqtVRAwuyyalu/25P/dnL19+7G/9rb9dqySVYhEpKVPD4D3rut/298dlWXTaJ9z1bTPr/4HQ + 9f9AKKX0NrzcVw4AAH7Q9TuNaZrW63W/2+m3PbvH3ch1f+VZtxcAAAAAAAAAAOC868MrN33cbexe + zIQQAOdTRETEMAy7P1N9o7Xm7k/7+3bWjQUAAAAAAAAAAAAA4BUpU3ZaaiYiGYHHeXZSN6k/cUsp + rEc7q6f3RkrpaXK5pKXWcRgsFcscEUMpxS1CsnXxtdrm1tz/mTe8/o+/+RcuP/no/Ze/8K+++S/v + v/ylq0eH41jMSouwIjMbBldGq73u00kwTEg5KPqO0UpKubi0KlIsRSHTSaUok1wpybZLqcd2+MjD + 3/rYg//vxeFV7/yR97z7Le/9sTvfvtcOxrbxNmbIzNItZa22UsqynYZhWA+baZndLTNl1gOtjUDr + 8+S0OFj2v6nLsjxtruaZIEMCAAAAAADgTKVkpmXWMEhF7leuHJ50GZkkWZxsuNQslc+zk95CutZL + GKfv3u3qpypqJUN5w4sBAPjeejDJarWa57nv6SMfvTj4NE2llNZaRIzjeKYtBQAAAAAAAABotVod + Hh7uHVy8evXqpUuXfuVXfuWxxx77B//gH8VJhWJFnGRau8v9JNz62VwfFbnZbLbbba/4WUqptQ7D + IGlZlmEYqAQKAABeLP32Y71et9bMzN3neV6tVn2F3vUFykspVCQHAAAAAAAAAAD4vszs+kkgfXlg + a03SwcHB4eFh319KWZaFdYIAzqfW2jiO2+12s9lM07Rer68fTd7NZ+tDyWfdWAAAAAAAAAAAAAAA + ALy0dpks1wcFW+okjz3d0iUvqZ4Eszeuj7eHanWztxp8rNMcaWU1tkVLa1bG0by1wWveuffGvbvH + uz7w6s8/fs/HPvax+75632oYy7g+Pj42TxvsNO3l6YWb0mTXZcF4SooeQxOS5bXmplRtqb6YHQ+r + YdiUy/MTH3vwoXse+FevWb/+XW9+z0/e/f5XX3r9Jvc915ZFLYZ1ybTNhXWdlqOjo2EYzIop86QZ + 3s9yLYcmXfa9SkvhhxCx1gAAAAAAAGctQyc9mKmr05233u5HV2UWma6TTOuu9yd63vQo31ukKSSl + S5K1fhxLbxZhMou+EwCA52iXVz3Pc0+z1mnQdS9a0euDZ+Y4jtcXtgAAAAAAAAAAvOxC0pUrV+64 + 444nnnji1ksX5nm7Gvy/+2//G0kf+Z/+kaTNZthua8+0jlDEsy48cPeI6EWN+55pmkop8zy7ew+Y + 7CmSZFoDAIAXV6823quQS9ptZGYPtN5t9wLlZ9lWAAAAAAAAAACAV44+00OnqwIllVIODw+HYai1 + unuPjN1FwwLA+VFrHcdR0mazkbRer3u+dR8y7tPYjo+Px3HkLxgAAAAAAAAAAAAAAMAPg7wxW9p7 + WItUTtOj7TTwxVNKzyUvrS61VT2erh5r2luvXWXaLptx40WL5m2bY32cB/HE/PgDT97/bz73f33u + 659+6qmnxs04z3NabDabsKi1yvqpfHcKWRRJPWI6pRxOWma1Z0v39BkLlwblKIXKXEprTW2qKnVY + axqPj5bLh/bQA39w77/8/D97/aU3v+vN733nW95358W7Rl9FjvPRsj/ub1b7pnR5nRcr3j+GpP4T + ngNmVgEAAAAAAJy1TJUS2bwUrdcPP/TIXWWcaqyLdGM33wsKtD45SEhpsjTp5CjWf5DWzAdVKSTX + SR8rAADfRylls9lst9v+1N3HcZymqT9drVbuvixLXwpOpjUAAAAAAAAAnLlLly5dvny5V+2c53l/ + f3+1Wv3af/1fHR4e/s//9H/ZbqukUqy17I/PdpyIWK1W8zyXUnrN4mVZJPVO41JKD5XMfKGjmwAA + AM+iFxlfr9cRYWZ9IyKGYTg8PNzb24sId8/M1WpFrAIAAAAAAAAAAMD3lZmllN00j8xsrUlqrbl7 + rdXM+p6IYJ0ggHNoGIZaq7u7+zRNm81mvV7v1jt3pRQzq7Uuy8I4MgAAAAAAAAAAAAAAwA8Hl0Lp + PTK650x7SgpL9XAWS0mZVo6Pj2OIYb0Zi2pbWtvaOpfhaLtc9U0s4/aBR+/79Kc+8fkH733o6jfr + wTb3crU3toxhNS6xHE1H46r0BJiny2utUUZvkimUkp2G0aSnBqlIg6nm0saVVqMtkS21mGylNupY + k6+maPPXtscPfvr+373nt+++88d//A3vfO9bP/CaW1+fs2rOSouWZmU9rrftWAop+1ni9EMg6Po8 + MLNMZeY5qdPFnCoAAAAAAICz5iZTVVl5aCgHr7o1nnjMTvvy2umGpVzRbwcnAAAgAElEQVTW+z1f + wEl6srWfJFvLUh4u9zRPWdB1CAB4njKzZ1rv7+8fHR1l5jRNpZS+tLuv9x7HsVesoFwFAAAAAAAA + AJy5WueDg72rV69uNptLly4cHh4Ow3BwcPA3/sbfePzJJ//5P/+Xw6Bac1yZ0nvv7jO4JHfN8+zu + rbVdAdCIkLRarVpry7Lswq17tOTLeZkAAOAHW62132n0tXlmNgxDa+3g4EDS7sZju91uNpuzbCgA + AAAAAAAAAMArQQ+rrrWO4yhpHMc+8aO1lpn9aX9lZjIJBMD5tFvFvF6vSyl9NLmUMgzDPM+Z2Vrr + f+XItAYAAAAAAAAAAAAAAPiB17NXPKUeNJ0uhadkPbNFpl5byXuwdAxFY7qGlNoSUyw+hu3NV+2J + b28f+MIX77n3gc88ePlrbb3YnpZVjahuQ2u1ZTUfvITM5JlK6SRKJs11EiPtfZdnmORZLU/jr8PT + 1MybebqatbTjIWI/5ZOapxWpKFJ1UW0qrqgKm/cvDtrUR7ePX378gfsv3/Ov//X/96H/5L+/bf92 + hcZh7Sqjj/Px1sd+6kg7F8HJ6DLTzK5/eh6SrZlWBQAAAAAAcNZSGfLiqotW9tB89MZlee16L+et + buzgC7lML2y9b5hSUspTui4qO+V0IwIAXgAz6/EkR0dHknpySWbO89yTrZdlGcfR3c2stUayNQAA + AAAAAACcrV5l+JZbblmWZbvd9gDIWKZhGH791399nj/027/9O+5allTeNNP6Bn1m/DRNva84M3uy + tZn17V4MlDKgAADgRbS7u+hj0K01MzOzPh7d70DcvbVGpjUAAAAAAAAAAMBz1+OrM7NnWvf46r5C + cLenb5x1SwHg6eZ5Xq1WEdGntLV2MvktIvr0tnmedTrhDQAAAAAAAAAAAAAAAD9MXClZeLoUSutp + 03ndRJJm0WLJkku0ZjkcePX5G4898NWvffH3v/qJbz7x1cfn7/pey1vqotZMZdBo4xNPHK/XunBh + /2ja1iXGtffiSzeXJwkzqYhd3EwqPUKeFrJIc1nIQiZfrZd5qk1pSpdJ66L91ehe6rzM23bl+Ggc + NVxUDT1x5XLJ2y7dfqE0a0dLiTGXZbUa3UumZDe0Kk1E0+CmKBMGAAAAAABw1kLmPqQ0DLowXr5t + f3nqippZurIVMyklhan1lXLprmfvlLzZCcLypHuwd5hKOsm2dknKbK60uJZ3DQDA97MsyzzPZjaO + Y611mqZhGGqtpZTMHMexPx2GoS8IP+v2AgAAAAAAAMAPu729vcPDw1JKrbW2+eDgQEvIvQyrq0eH + H/7whz/0oQ/97u/+G0nD4JnZ2s2XIETEwcHB4eGhpHEce9HPbn9//+jo6OjoaH9/PyLItAYAAC+u + UsowDK219Xo9TdM4jq21ftdRa83MHnR9ffT1WTcZAAAAAAAAAADgXCulRERE9EkgfSVgD7TuKwfH + cdR1Edf9KQCcHz24ehiGzDSzzWaz3W53S57neS6l9A2SrQEAAAAAAAAAAAAAAH4YeIbk1/Kb00Pq + ySx9X9jJRppk1S2jHOfm+PH66Bcf/Nwn7/vEA49+5TCenG0qezbcUmrUZWll0Oiqi45jee1rL0zT + dPmpo9VKq7UtNcqgvCFD5tqTXbhMmKdcHimFhUuy6O30jCFkqWb+eC62GoZSilK15dSUUlm287Je + 62A91NaiZVOx4sN40YZyZftUzXphuLQqQzZblirJzTK92Q2NSWbQnA9mlqnMzDwXSeNUCgMAAAAA + ADhrJvXo6nFQlr/62//HV973J5ZvPzyYScXyJG3a01vpXUqh59OzlJY6PYOkMLnptBc1+vE9LDTI + zkWPFQDgFaGXn8hTkmqt7i4pIvrq7l4rnExrAAAAAAAAADgP5nnu9YWHYfCiaZpKKW2pzXO9HosN + H/7w3/4v//P/4p7PfnZZvteIpJkdHh6a2W5CfCmltSbp6OiolHJwcBBxspKh1kq4NQAAeLH01Grp + 5E6mR1n3O5z1et3vc3R6B0KmNQAAAAAAAAAAwPcVEe7u7hHRVwK21vriwV3K9W4P4y8AzqFlWXYL + mZdlmedZUq1VUp/h1lprra3X62maevT1GbYWAAAAAAAAAAAAAADgZWaS0mWnwcbpJ/9ayOI0w8R2 + +19+8YzZHH597aOTlp+2cye9v9fz5PHGo4XMPXUaGu2ShUmp5tk8mi/htXmLsp3rE1//9pfuue9T + f/Dt3380Ho791vbq5FsVHS9NTaMXFSmVKXeVoseevFqKDg68tYjIoahWFVee5sLcEPxivUGe8jSF + eVr0aG2TXP0HKr21KRVr5lmjRXrkWIZiqWgH+15r1KnaYO6lNqnJwoZhCLX1et22eXx8vO8XioZQ + hm7I2Qa+B2qEAQAAAAAAnKU0RZFns0ylNFzQ2J5cagzeQqZwpSSLQaaSLS1v6C19DmfoPacllKbm + snRPKWWDLXWWbG17tcnaoDaFtq6VdGa9xi/AbulgXzh91s0BgB8uZtaXdnc9p+T6LBMWeAMAAPyA + uf5mT1KvX2Zm+h6RdwAAAADOh11vbURI7uYZcjcpW9QW7dZbLn70N3/jP/jF//irX30gQi3lLqUi + JJOXEjUlZZpkmZJsWZrkPdO6nyKiSSrFl2WWNAy+q3E8TdN6vZa0LEsvdgycuT7K3B/7oMbucRiG + iNj9V/A8z/0LDAA4Qz3Kuv+h3t2BLMsiaZqm3Q3GMAwMVQMAAAAAAAAAADwXu6XZu41ddvUuJna3 + h3XcAM6hPlLcx4jHceyLXPqcn77quetx14wjAwAAAAAAAAAAAADwwvSSk8wgOiesR1Bb6LQS6PXJ + 0D3g2U5jql2ycJPSag9QVhZJ4UvaIqthUg49XlmqOgmBfkkvIKRd5LKn6YZQbYvYXYUilbIaFp6S + /PSVLouQmw+SMqJmSHKX3JaUFS8RS1s8c2WjqyikLLbysGmyq21ve+xPffkbv/+5r37y3q99sg7H + oaVtWitL88isylDV2D/YbGY6+bhNksZRSrV6chGZKiaPXcy2Xx+zHYrTy+wXrJBKyiWTLOV5LYHG + FZvwZVnMSinFhqFFrSkfypxNg4okk2cMlsr0aBkxllWdl1UMe6u16kl9p0yZwjJ0Xcy2pZIZNGfK + zCIiIzKtlGFZlqdV+j0TxFoDAAAAAACcsZTSwhQyDxvd1s0kxUm29En3Yu8ebb1X8QVwKdJkSlPI + SmarrQw+DuO83Q4ay1RVw+emIfRKGBLYFZ81s3me+2rD77GM8Mx74gAAAAAAAAAAAIBzyDw3w7A9 + ni3stttu+yf/+B/9+f/wP/rGNx4qg1qTUqvVMC/V3UPtex/K3Vtrw+CZudlsHn/88QsXLvQax8fH + x3t7ezrNtCbZGudKz7R+2pjysiyllP6tlrRer3v69Rm1EQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AACeq14nJT1SIctQUw6S0iRzWYSdBjXLlZ7uUuilj/XYZVrrugRupctC6bufp0ky5eB5siesN9Uk + Nyt1btnaMAyrsXhR1Dq1pfhYazNlKeM4KDOX2LbSlpx8z4/K1Qceue8z93z8Cw9+7mp9IvfnqweX + Zz9+Wgvt2T6E01jo62NRdkHgntced3vCXJLF6WValN0LTo+S/Zp7wnfG3qqkbK61teZDMbdQpDRP + ctPeKk2eNZU5+FinsLC9vYt+5G3KlcyK11qt7NqYUvHsH3UI58k5idEh1hoAAAAAAODMuU66Zk0m + KYbQEJKi7zfpWsr182aeKclSbqddwimZysq3U7jP1WI1DLdk/uP3/dx/es+ndctKmxfhql5qrbVh + GCRl5mq16oXvSym9pDgAAAAAAAAAAACA52JZllrrwcHFp5566vj4+HWve91v/dZv/eIv/uK3vvVw + X3Uyz1VSnZcyDqWUebtc9+5rqxTMrA/h1VolDYPdeuuty7KYKTN7iHWtdRzHeZ571jVw5nYx1WYW + cbqiKbN/afvoc/9uSyqlnFU7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEB282Riv1k8blhUj7Qm + q2GuKEp5mizMesjxII3K8TQuur3UycehXQi0W548SpJM8jSFKS3iJJR5KG2UPC3CWiryJHXFhyzF + bfB0i6hzTlt52yulhq9sUJastng0m6bx6tHw+KPTtz7zhY9/9qufuHz0SPPWVBfLOi22eX7X+8zE + 67jhN+InV3l6jSV2O5WSUmEnITQppalZT8t2yaWQaYqmbMOovb1VptV5qUscbNYHK1+WZZqqS5v1 + Bcmmp/J1F++Yj1rNudS1ahs9h2Fc2pIe5yIwGTdjZrs86/OQbE2sNQAAAAAAwBkrkvJav6Ekz97n + m7J4WqdkmuJZeoSf3bUeqRJKDynTtEyxcjXFuLJl3h5cuHSXbzSF4qXtJn6xDMMwTdM4jmYmaRzH + 7XZLpjUAAAAAAAAAAADwvFy4cOGJJ564cuXKpUuXjo62h4eHt91220c/+tFf+ZX/7DvfeaQUrzVW + q1VrrdXaat2tkXiaPjm+1rper6dpqjVKMfchMyNiGAZJ/ZFMa5w3rbVSyi7ieqeUskthn6bJzJ75 + GgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4h1JKeVjKXHlSOCXspISQpXu6UsqQFMpny8x+Ubkk + T1nKJdNpgPV1P450VzQbJE8NkitDJkszNU9JEZFqsaTKYG6SD26STDaHzYu1aksd62PTI1/46j1f + /NY99z3yha0/OZej3LR0mZmFuxR6SSNOQiYp+odv6WnuGdETrCVJaZI8+i/I5CWHITO1VM1Hs5nG + otXajo6mElqP+68abl22lsd+x213vvktP/bTb/zjt1283eayHjYWXudMW9SPbnGawh3S4CnJQ5F2 + 06bi5XB9iHVmnodMaxFrDQAAAAAAcLZO+uvSr+vOC1nopMc2pdOwayl7b+nzl2aWsnSXIhUmmVYb + tUmDtJ1yXNlTh48PFy4pqs5Ft9X311pbr9d9++joaH9/f7PZnG2TAAAAAAAAAAAAgFec7fG8We+X + Uq5evbpe79Vab7nllre+9a2/8Ru/8Rf/4l+8/MSVMmieZ0mbzWae5zgZT7zJwGUpxcymaepPzexk + rDIzIswsInoqcGYSD4zzYFmWcRxLKT3Zepomdx/HUVJrzcz6dh+SPuvGAgAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAMAN/BkJI2k9plqWPrSiNoY3SUqXzNNN6daDlkM6ibVOayGllV0A9kvRWE9XmizT + IhSuyOsvIO0k4FlDCWvm81A95RklNUS6wjLSMky5Mrk30xJDqyVjLau1PKnN9sn23S9/5/Ofvv/j + 9333D56YH2/DEsVsHOTr1uZQLUPm0DJlqRclouU0K/paXSZLhZ8kiJ8EzaRCLg2522lhKWUvxhQW + ilmrzSpLhi+51rCWpbbb3Nsrq7rxw/Umbn3na97x3j/yM299w09cHG9d27iycV4WG91VQmoZXpQW + 8eJcGX7wEWsNAAAAAABw1nY9eaZIFQtLmZQ6+ecPKeSSvHdH9hOaJC1bjaPqrL394Xiqq0urVpra + sezSH/aULwszkzRN03q93t/fb6211s66UQAAAAAAAAAAAMArTGZKqrVKcvf9/f3Lly+XUt797nf/ + /b//9//Sr/7lw8PjcSzL0rbb7embbrLsxMx2A3bjOEZErU3SMAzuHhG11mEYIqK11qOCgTM3juOy + LKWUUkpErNdrSbVWMyul9Ncsy9IzrQ8PDw8ODs6yuQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADw + nJVwSaaUIk1KmcJTlkWmHmKSUnqG1TRXlpeyORHy9DSFFK4IpSyue4FLca3AkYWr9Rd4SvLT1BWT + q6nNMS3eclXsoCy5TO3q1x6693P3/dvPPfDJp/Ixv6XW/akd1ObKKK0uCi/DOJi3nCNkJnsprzZN + 1SSdhGebTgJodtkxSk+P3SeQ5j6U46PZ3VbjStLy+FyivKpcKHX1I7e/5afe9YF3/sh77ti7y4/3 + fN5b52peDmct3kprLcIGH8ysqYWFLGVh6S5ZynKXvY0zZmZmSinPR/A4sdYAAAAAAABnKk+Dq81T + p72l2Tt2r2Van/SqmiQvGc88zLMJOz3GyT9x8j/TWJRVg2l7VMtGU7YjLdobX9p+0xePuy/Lsl6v + ewX8cRxLKcMw9IL7AAAAAAAAAAAAAJ6LUkpmZmYfepvneX+zcvdpnj7wgT/6d//O//iX/tJfrksb + ijL7KhBJNxmyzEwz6yHZmdmzq2ut/eCr1ero6CgiJJFpjfMjIvoXcp7n1WpVa+3jzpKWZemh17tv + LJnWAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAM6ZHgJ9XVEgC0kpmWRqklw1LCQPd09vJkt3eTNJ + SlPzaL7ylOcuU/rFFxbV5/S0lKeUcsnST64hrWeypEWY0mSKks16zIp5lKhy5SplLVOltaHN43TV + Hv3Gk/d/+r6P//5XPrfNoykmuxhlbVPkdqrNtdp4WqSnUmkeGaoaTONYamvxjIiWXSWlZ/L0fiG7 + Pc+WFZ2mlBaXJA+ZVKSSsgxPyaIfpElhJ8kyYbG3WqdFqavVtLc63mzq/pvvuPvHX/e29739p29Z + v2pTLsZs+dRqKBsbhjq3ddlYarNZ1bllMy9es7asuhZ3c9119e8Ezodn+469/Ii1BgAAAAAAOBdS + avLevdvcm1l52ivseaRZ3/C+3L03pNI7Dz0VTWUYpLTaXG5Vln6TnsXzalde3N3d3cwkkWkNAAAA + AAAAAAAAPC/TNO3t7W23W3ef56mUUkqZ59ms1Fo/+MEP/r2/93f/2l/7a8fHi6RxtHm5+ZhiX4nR + Q7L7sF1rredb9+31et23e3JwH+ADzoOI6JnWwzC01vrXuI9H98fMnOd5vV6fdUsBAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAA4DmK9CopLJqn1FIRGjxdKkp5enhETzHJCEt/CVOtJcmVcVrBKKWwk/M1 + 9YJEJ2f309f03JYwNa/haqbmU7MIqzEu337y6/fc+7EvfP1T3z1+cClX5tU8DcriGRFLFPP13n6o + zdNsRaWYJEVIGgZT5rI0e8blvriVkfxazowrPSQ3hWIXQNPjvc0kucXQDnWQt67a/u2r177rbT/1 + 0z/+x950y4+M03qoa9+Ont5SVaZmshy9eCiW2kJ1UZHCIhU+eMt2QzNexEvCi+ecBFsTaw0AAAAA + AHAeWMim5XgzriVdnSbfbHK6atm7b22XRf18eUoKpSwzTaGUVPLkUK02rVahWMWwF+Vgds35Skm2 + dr/W8zkMdHMBwJkppbTWelpJf3T3HlXSB952GwAAAPiBkZlmUqqUctZtAQAAAPCHNY5jT/ONiGFw + KWut7p4ZJpvn6U//6T/14Q//7Q996NcitJxmWrt7KWVZFnePiP4oqQdXd32PThOvewfysix9dG+e + 59VqJWmapp4WvCxLjxAGXjZ93Lk/9m9m/0/dpw1tmBmZ1gBwfmTmMAy11v60/xnvNx79tkQv9jJR + AAAAAAAAAACAH3jfYz1g37MbiAG+r91sInfvE5Naay/D6oN+lt1UKDNj3PCHwe73vpt71pc575Y8 + 96lr/TVn21QAAAAAAAAAAAAAAF6hTkbhTaWUPitDr5RAix9Q2eOQs0/oujaty6xIamoRMRSfl2m9 + XlsoI+WWZpFplmYqpvlw2vi+pyvdM9xrk8KUL/GMG0/XotUwmNnc5oiwIrmHMiKUOaRcpZh5FmVG + 2pwxrtcqMcdxs6nuzYd25fH66O994d/c/+0vfeORry46Xm0yVouyuVlYaxluGgb38Fiqpa9sHRmq + kSZZpEVVymXe87xv/ISfc9Tw9R9XhPokuwhZyl3unmk+NXez4iEPV0qzWmYObi7LJi05ZBl8VcLL + dnOHXv8Tb/zJd7/tvT9y5937fou2ZTharbRWuqdLYaZBCkUqLWQ5DP8/e/cWK1l23/f99/+vfak6 + p3tuJIcURVImRXLMkcSbSElUFDuRgQS232wYAWxKMOAEQpzECAzYDzYMI4kDCHIcO3ASyIlMJIYe + jBhOHiwJyYMNJLZ1MyXbupEWaVI3kybFYc/09Dmnau+1/v88rHNqzlw5TXb36en5foAu7LOratfa + VdV16qzL/+ezmkYvYYoIXVxKsvSXazCuxCHNwcyjRcT5Z+zVtoq8HwAAAAAAgCuWa7OxSJrHrSm1 + 319/y+Nf/synHx00nWdaX+7pi9s9vvV+0Ev9g56ylIYpa9YW8lKXGlmmYZARRgUAeLX6ev6eUJKZ + 4ziu69r39NX+h6GRXgXgipsLAAAAAAAAALgdmfnQQw996Xefmuf5D/yBP/DDP/zf/vk//xf7Vb1U + cUSUUlpr2+327OzsFQ7V64QOw5CZm83mxo0b165d65nWZ2dn2+1WF5nWJFsDAIBX0Iek7cLlfOs+ + JH0oi7/f7+d5vuLmAgAAAAAAAAAA3Pd6HGyPH+7rAfscD0m3bt26du3a5ZsxrwO3xd2XZZmmqdZ6 + DzKtJR3ezP3R+zuW9a0PvFLKbrfbbDbrupZS+pQ2SZk5TdOyLGbWZ6YdUs+vuskAAAAAAAAAAAAA + AAB3xXkyrkkKc+81gk6Xm3m0rrYrw5BuLVtb29imR9/w+PrsWYm5tEEWUtp5Eor53QzYtfSNDeuu + NuUwjj6WmjUizW0cNAyDWixnu2w5j4ObretSrg3Ptmeb7epmd7M+9a8+/6uf/OzP/PoXfk3X4jSe + Xee9j5ZmCrMmKUuGTJ4yhcx72otJJZVyKUJKcylSSpM9L8vlGz5B01jczDy91rru46GjTdRWa1jJ + iLZGyjWWkpF1tW1utn7kp8Os7RPveOKD7/7Ik49/cBMPTT5bnbSOCh9y9lIiI87zt0MWrlRKMkv3 + 7K96SpEWYc8LtfE0Sw+Lnrotu+3IGzzwmFMFAAAAAABwxWwq0doaKsXcXPP8b05PvunRh+vJzTHT + 0pVmlt77N2//+GlS7z02nadip9K01mUar9W6mGycp2men22LbL2T5wYAeHC11voIpZn1hdz9R3eP + iGEYIqKXsXB31ngDAAAAAAAAwGvK+cKDGzduHG9nyxZ1+Y/+2B89efaZ//qv/IikzCyl9IUrks7O + znrn8IuO45LMstc7PkROPvLII+u69ijKXuy41jqOY69je+/OEgAAvNaM4zhNU2ut51vP87zf7/v3 + kIjoUda9Rvk8z8QqAAAAAAAAAAAAfE2HAGAdSppKkmqt165d6wnBEVFKOT09PTo6urKG4jWlR0qX + UqZp6gtOJd2DOOHM7G/m1pq7j+PY047v6oPiyh1e5Z5i3lrradaSlmXpw8rruvaFz6x3BgAAAAAA + AAAAAAAAD7BeE97MJKVk7jXWr+y/+Pd/+seeti8N45xpCtPix/bQd7/v933wnd87LdeGNpcYwmpa + 9GSTMN3VZOu2tk2Z061l1F1LmZeSabXW3emJl5jnkqq31lP3KI+Um/ns0/bVz33h07/46z//mS/9 + yq28kduIh9tuWcbNsPVtRNSWmSlXah1DQyqlMKVHVfTg6tK8SAov8jA18/ReMenri3n2F9y3lPMd + NcJT5j74OG/Lfrd4UZhqVndtZ8/MtrZr48PrrXZUH3rPW578yPu/9z1vffKx6Y1Dm4+0Uct1kTSY + BtNYI/brfpgGKUxVlp7nj2vprpBK2AtfM0s7tFKX2no3X1u8WplpdzBN/RvGtCoAAAAAAIArZVp2 + +2kzj1L0Drwy/OH/+6d+7fv+na27akuZyaXW+/dM8ry97qUXdPum1FyWmsp0up5sxyMb/PTs2VNv + Pj+kwXU/9V4BAO5bpRRJwzCY2bqu7l5r7Su9++WhkkVrrYdbX3WTAQAAAAAAAAC3YZqm09PTvt07 + gX/gB37gq0/f/Jt/80fHsaxrkzSOY0TYK06Q7yWPa629QmittZTi7pl5qBPaL8m0BgAArywze/Hx + o6Oj09PT/X4vqX8ViYh5niW11oZhyEwyrQEAAAAAAAAAAL4mM+uVTNd17cMrh7kcrbVSSs+0lkSm + NV69w9upv4v2+30Pmb7bj9uHDvsq175ns9n0Ntzth8YVOrzKfTZaKaUPK/eB4z6sPAxDrdXMIoL1 + zgAAAAAAAAAAAAAA4EFlZlJIJikzvajWvLk+/dmnf/Ur/oVx2ra1DTYMdb6ej31489G1LMWjNEk6 + JDzf/VZG2Yz7ustVZj6WzWQWyhp1msY25y5uPaub41Z6uH756S/95m9+/pOf+cXf+MrnvvrsF3Xc + /HitebpkmqvMkrW1Rl1D8mEa5alwRXgqLkoimSRTSukRKZeULqmkPJ672TeuVg0udy+yqNmWZmbp + uTke9+uaLTc2l5xsb7mXLcPj19/yse/6vo888b2PDo/brXmqx5v1ujeruzNZuA2mkl5kNpRxtHFt + iymyn5HcFErv4TU90zotpHjhGeWl2TIWZFpfuUO1rl6Y6z5BrDUAAAAAAMAVmzZjKmrTVLwubTDX + dr61GZe1hIVycMnUrHdx3qYwpTwsPNNCbmqm6rJU1nZUZrV6su7HQePRsK4nykW6Rz3GAIDXtJ5X + HRGShmHoC7kPmdZ9zX8vPcCCfwAAAAAAAAB4LVrX/fHxdl3XiDg62pydnUn6z/+LP70s64/+rb/d + b9NakzRNU6/+ecnzxjZLKWZ2uE2fWN/LIvdU7EO10MykbCgAAHg5ZrbZbHa73enpqZmZ2TiO/TvG + ZrPpI9Q9aKHWOgzDYTkfAAAAAAAAAAAAXk6PtR7HMSJ6KGwPiDWzWuvl5YF9COYKm4rXisuZ1pLm + eb5nD31Y33rI0maJ6wPv8qLm/gnW9/cc68O2pHEc76tSsAAAAAAAAAAAAAAAAN84uzwbwu3y5Agz + k1lt7SyiTcXHIeShEmmnJ/u1meVkMQ9tHprLapqH9Whk3b3IkjW/spAAACAASURBVGaxjqer9sWH + jR+1ul93zVLzdjrd31zHvY6W/Xjz177yqV/49M995nc+9fR648yX6WgoD9m67Je2DEMpFmsNmWpk + ZNqkUiSt0ZRN1SdLeS6XyxiFqfr5M2YZJaOkLJSmZmpfT2WCFz5FpR+kyeRTKeZuZmn1Vt1FamPT + VI/9ZPPo8PhH3/vdH3nyY2+5/s2lTnar2FrcpsGnlrmv+2GU3MzUWqt1iTT3wd3dsgdYu8zSPYul + S+rB1mmK5147l+TZz9dJs75v3T/TmZgbCgAAAAAAcLUiFUvEUKZsGoaim3uN5XQu9cRTLvPe7Wfq + /6TMi61XxVJpLrW8dKc0uZtabYrt4H5ky7Mn3zQdadfU7peuKwDA/ayXCO/hIr0aRWttmqaIOCRb + 99IDPQCbchUAAAAAAAAA8NoSEeu6unuttff6mlmT/bk/9+d+9ytf/Ymf+In9fo2IniXp7hEvvRbF + zA6lQnsF5F4kdBiGfq/eyRwRrbXesQwAAPCSWmu73W4cx3VdJUXEfr8fhqHW2vdnZmYuy7LZbK66 + sQAAAAAAAAAAAK8ZfWZIKWUcx1prr5PYR2H6pI6IuH+KJ+K1oudJH+YUZeY9SJiepqnHafcs7f1+ + 32c93e3HxRWapql/WJlZf4+N49hai4i+p9baFz4vy0LMOQAAAAAAAAAAAAAAeLBlpmTmykyZvCgt + 5OlzCUW1JsXgxUw9ldmzxzy7S6GQKfKQbH237Pf7eTuNZWrromLjsddYb9SnfNt+46nP/fNf/vlf + +s1f/PL+C7Fd67g79VPfTs+c7Gyn7Wzj4LuluWtztK3RlFVuViwza20ZMreUSwoNrtpjv9OklExh + coWklNpFlG/eoelF4zjEGlHTlGnKiNaamrbj0RDTI9PjT7zj277zPd/33sfft23X16+2h04e1aqs + XkqJYhFNbpvNZm17U5rKMPgwzJLOp/BFqAdWS5JC7ipShiIt4iLcWs+FnV/cNl0mKXruteflvG9A + ItYaAAAAAADgaqUU0uBDi5zccp82b3R646wM1T1k6j2fKV10/93Wkl8PlyykMJPSU3boRrRYFcNY + 5O3GTb352I6+evaPvuf7v/8zv3rHTxMA8OBprfUiAmbWI6sjopTSg65LKZlpZn0pOJnWAAAAAAAA + APCas91ud7tdL/M6zcNut5vned0vGcMP//APL8vyD/7BT45jiaiSLtV+feGihd5d3EsbZ2bPru4V + kDNzmqbT09NevpZMawAA8Mr6kPS6rr3meN/ZWjsMSZtZRGw2mz5UfXUtBQAAAAAAAAAAeG3ogdbT + NK3rOgzDuq6SxnFc17XW2jf6nn7Lq24vXhsioi81zcy+cW+s61pK6Y/ehw7neSaR/YEXEcMwLMvS + p59l5tnZ2Xa77duHj7JxHDPz8OYEAAAAAAAAAAAAAAB4IEVEGYqbR2ZGyGSe5lW27pfF01KW0jDO + w5iyRdbSW2aE1vQWpjTT3Yw99hyO2kNl70vuTuOZ2K5t3H/x5m997nc/8zO/9E9u7H73pN2Mqea1 + to99tBjmUpf9te1ontmiKYap1LXduHU2FOszlMxDypTKoOLe1sXlYRHynt6tVJosVS4mEzVTuuql + FJhv3LLUIhuGoagoTKbttL2ux564/qEPvft73vt7fu/GtnFaNifHG5s3k2XLoQw2WM0WmeklIpal + Hs1TrNkLNLmnuxc3c7V+JpJkzSRTO//pvAEvzue2dE/FXc4px9fnvprbRnkOAAAAAACAK5byVI7u + dclhMjVpGOSuLNJwnnxt0fs6lXquX/DVsZQrw5QySd67ik1ZY5zGZ5f1+sYe3eTuJN9Q8o0hRRy6 + r0Lh/fEu9x3f3uMDAB5MpRRJPXSkL+/vK7oPUdb92kPiNcu8AQAAAAAAAOC1pdZaa91ut2Z2cvLs + 8fHxsiybcUob19b+2n/3Izeefupn/unPtpCZWmsvd5yeaV1K6UVCJbXWer51357nuW/XWksplxKy + AQAAXqi11r859G8Xh28OEdGHqvueYRhItgYAAAAAAAAAAPiapmlqrZnZOI61VjMzs8vh1pJKKT30 + +qobi9eYQ7x0nz7UL+/2g/Z09ojo44YMGr4euPu6rtM06eIV75nWPcq6vyXGcYyIfuMrbi4AAAAA + AAAAAAAAAMAdlRZ2KUkk0zwV5lKLrGmWZjVaKiVN82CRsah6rW2xdEu3DJPSW1qklZD7i1OQ02Xx + UnHXF7nRr8BChxtZpq0xtdPcrePZzXrj07/5Lz/56Z/9/I3PLcOtOizrtGte5WlmJllkn9jW6qoe + 2xyqaqXY9njIet6CzAyLwSOlujaTx3Ot9fOw5zxviSQp0hT9tKSSl5Ktsx9Sl2/53KmkJA/rz7xf + Oi33dMthzMHrYEuZfX7b49/y/ve9/9ve9qG32reOZ9d9V4oNSs99izR399QSVQq5uQ2pKKWUUqKG + WdmM53X+MzOj1Wh95kte1FjIiwZdNDB0KaLbzzcijAkz96/7J9maaXYAAAAAAABXy0NaYx29DCVl + VbZqaduYSps9Qr6XmjLT1GThXjL81XcuWaRcFp6Kiw7FkqZMd8tar7m1NV2aXRk7lSqPdt4DGqno + 2drnvaqSTHLJlNJ516SupiPysHi1Z6mygBAArsShRHj/HD7UB3/JawEAAPAAeMGUF9LmAAAAgAdY + RPTKxZLmaVvXcBsiorXTaTNn1f/0P/4PP/Qf/9DPf/JfmClSKbl7Xwch0zhN67J4KVFDel7uda8Q + amallEPQdf+x/5VxGP57ydBK4G44hK9f3nmop9zf2LwJAeA+UUrpG5c/md29f384DE9Tnh4AAAAA + AAAAAODV6IMvh4Xbh6HzPqlDFzM9WCSIV+8wcnc5zfre1N/s79tDA5js8ToxjmPfuDxM3HeaWd/g + QwwAAAAAAAAAAAAAgK+PmWWGmTLP5xqZ2X2TxPo61XOs8yKk+fBqWPhYhoyQhbmZTH1WWJmazD0j + LdJUyppaawztqNShtJYWPpeTXUzT9VhX951pPU93Pg+HDmVmtosJOR6Zkqe5F49YzKz0q8IOU85a + W624uzW1VMpTipPx5peHz3/6i7/0y5/+pd/64m+c1luaI45isX16Das9pTkzLeXqoc15ONPzx4+M + OG9hO79NZEgmmTI9TSbvGc+WOk+nTr940lwKvzhwhrxoMEVThDKVVsysxjpOg5mt6xqhYXAv3qJP + t+uFaIqkbPLw0sZNHI/r9puvve0D7/vO97/zw49ff+tQZ9uNQ0yWg9L6U2Y29LSXMJl5P4t+vlKV + lKaUnvtfZpKl9aDqi7hul+LiDeB5flLxXJq1zp+m8/lTh1syheZ+4e4RWpbFTPfDByrlOQAAAAAA + AK7Yvq7bYVQq62qTpJCXtoTlYOnZuw1NSoUdum7jNh7guf5EXdq4VNT1ojdRFrLaH+3wGKGUrDdA + F/e7Hzq2zGxZlmmadLk+PgAAAAAAAAAAAIC7aZqH/e50Mx899vBDn/jEj3384z/4z//lr83zuF9q + X1IyDENtdV0WSXEpzfoFMrPW2quFbjab09PTcRxba+7eL2utvcwoccIAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAFwWkixeHFd8nj6dklzRrzZFZiolK6rRJPcopVhtdVkjFD3yI+R+SLk1szwc0jJl + ZqbiLkk1W60phbtHZjSV9KEMZlmzyqP5urN9LavmOGsn//q3PvvLv/UL//LLP3tanlliyTm0VVit + sa6R5dJJ9AftASthz9v5grN/ntR5tnY+L1IlrYe2hOziIOfXuhRlVKvaNZmpn5dLXjKr6lLTVEab + fMzMNTIyRjfLYuHe3FsZ2+aoXD+2ax9870fe9/Zv+9a3PLGt13U2zKdHJcZ1aWV86TDpV8xWOSRS + v+A+zx3K84XPgL/0EW8n2gavV8RaAwAAAAAAXLHtMJqklA2j6pmWKjObxtTuvMfzosfwZfoB7yRL + qalE7xZWlSRr8uIXgdb2XL/jS3d/3kO9xn0vdu/uZubuvVY+AAAAAAAAAAAAgLuh1jrP836/n6bJ + zD7xiU/88Y//wKc+9RkzzfO4rK3WKmkYhmEad2dnr3ConmMdEe4+TVNEZOZhf99WX9tCsjUAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAK+aK0pGaamUKyJlalK9dXYzLaqllUGxptZhzPRnrSi1aTmb + QkpZXAQ9q5QhInoaiLmkWizCZH1/+lgGbxZrC4syaq+9H7dleOazv/vpn/0XP/0rv/Evnl6fKpuy + 2596kbvLIzPCwtzGUfHKQc+v9nw1tpBUPZopzMMkRY9b8X4mKaVCQ9goKVZFrJmtDO6uXhApVx1t + rK6qVbHkYotc4WbFsrXSNC7T1I7fPH/z+97xHR9+13e/881P6NSOhoeGs7muEZFRLKbM0WJ9idxx + QFJm5qVAoitErDUAAAAAAMDVOzs5u7bdam2aJs1Np+tZRPhz8cyWyp453cOt71q/kqeUUvT+VC8X + IdZNF7HWhybdrSa8Wuu6juOYmcMwrOuamaWUiKCcPQAAAAAAAAAAAHD3uPvZ2dk8bSPi6Ojo2jX/ + xCf+9p/4Ex//3Od+a79fh3GMiGmalmWprZZhaGt9ueP0AOxSSmvN3d29R1nXWodhKKX0bTPr2wAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4FUalCWVKVNzybyl6lNP/24b6qp1CZe5qUyerS2DhlBL + 68Ekdp7HnCap1tqrA4WlqZmludxst9+P4+iD1tyFVU0Rw34Z97/9lc/9wi/93C9+5ue+sn5Z19fc + rvV4t2Zuxq3CMzPNwtLNarY8T0i5A9IkyVMppb1EvEv/yRXKTFkLuY9eJrMWUSWZyU03b+bRrO3s + Gd6qtVYsBm9lqmWTm/e89cnvff/ve8+bv3082/rJ5trJo8fl+unN3dLqtJlyyN1yltamaboPol1w + 37lf4qwvEGsNAAAAAABwlUwq0rWjrVIZYXJFqtXYzKlnZSk7D7e2vFP9qF+zTXnov7L0YmoXUdeS + /Llu1is2jmMvZ7/f7+d57jszs/dlAwAAAAAAAAAAALgbSinXr1/b7fanJ7tHH33DrVu33vjGN37i + E5/4wR/8k1/4whciQtKyLO4u6eUyrSX1W0pqrUnKzFKKmWWm2fmA5eWhQAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAA8OqZlZ7gEaZ0SXVVfumr/2a1s2l+qO5aiaGYWQ1fqw+ROgtzyaWiHKTzjGsf + wjzTFWoRkZk1wtIf2lxLayf15mm5FQ/tvtqe+oXP/vTP/dpPf+X0SzlXPdJaLBHVVvmiDK++hlJS + elrxvv0yqdZ9X7z6kw1pX6Qe75I+NKkHXZvClKZmMqmkSsaYuzBf3a0MmdGWlGwYJisZatce8dNn + 67KP43ncLPN6Kx6eH3v7I+/67if+3Xe/7ck3PfL47iTaU3Zt+4bN9aN6plv73TRPk80RVdEeKtuI + uu5WKxMBKnix+ypYh1hrAAAAAACAK7YudSyDhWyeZaui6ejoq7t9cx16SNOeC5tOu41u09sVJtlF + eHXvxUq5qV3coOiQea2r7eVa13Ucx4johexba6WUfnml7QIAAAAAAAAAAAAeXBYnJ2fDUIZhfOOb + Hjs9OevDc+94x9t/9Ef/5z/+xz9+4+mbwzC01iJiu92enZ29wsHGcVzXdRiGWmu/lyR3X9e175nn + uW9ERM/JBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAr1JI5orsuc5RStw4+fKXb/72u66/IWrx + OnhOqnWIzSBfcpWFrMdBh+RpIcVS11DIqjzSzYtsdPP61NlTtaw36lc+8zuf/uS//rnPfPlTp+PN + +dHh1tEzq+TSMGhW8TCtY1r66FLLzMi2tibJiobBIu5A/kmamktSCYWipLuk9Ei5RfhFBospzoNX + oigi01pkyn2QvK6tLRHmD8+PDFn85vj2R975kY98z5Pf8h2PTW+ad9fLutFTfr0MPo51n7fWs9Zy + GqbmsbbWlmXwcjxPXkYPq6lmL99ivI7dP8nWxFoDAAAAAABcsWkalOcx0lmbbWatZ/nQUX36mfME + azvEW991aakS1SKkYl5SSllqcLWedp06b61kdpWF43t9fDPLzMzsP5JpDQAAAAAAAAAAANxVDz/8 + 8MnJrYg4OTkZyhSxbufx5OTsySef/Dt/53/7+Md/8NlnbxUrLdvu7Mykl5s4fznTWtJhIzMfeeSR + GzdutNYys8ddMw4IAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBtSK+yZvIy1VhDKZONOqvPfvLT + P/2m73zb9fHNrklttDoMadYstaY1KaQIr+rx1pIPgyTzwcfMstR2drq/dbN99Ze/9Au//Bv//PO/ + 8/k2rL61eu20xf5kd7Y9nrXftyYfrMmW2kw5zdOt3dkwaBgGdx8zW0amWku7Q9nPPUOlt7l5ZLrl + IKmELCNNUoRFulaTpeYmW5tcZVK1tdV1tPmhzRv8dHxje+v73/mh73zvx77p4XfYbsyzabscjWt4 + utwiFR5D8VLGzKx1bW7jNIzz2NZ6a7e4rAxDKO5d3gxeCzLv2Lv9TiHWGgAAAAAA4D6QqTC5bBy0 + axqGP/kPfuJffeg7w0Kme54dHVJLWUpKPy8zn3KT9St7rLU/l299Jdw9Itw9M91dUkT0/VfWJgAA + AAAAAAAAAODBln56elpKcS+S1mUtpUSEu/b73ZNPPvnjP/7jf+SP/JFWw80jw81bvvSainVde7K1 + pHmed7udLrKub926tdls1nU1s8NoIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAePUiIs3CS0gt + Fnf5oFr2P/+pf/KdT37Mx0lDSke+Ztiklireg0jClBZp2byGRbPaSo1Sa55+9eaXP//bv/6vPvsr + //rLn35m85W62eu6RdSIZkMZLJt0crIfRxunodbaspapeJZ9WzbHU2vr0qqarMhMPeI38w6crEl2 + Ue4opTQ1U4lQepgklyLkYQpT8yghd/OaSg2a18W9Tm9++G3f8qb3fOw7vu/NR29/bHyzn41+c5zL + teJTrG1QyGsoM1u2iIg0yW0YvcWy7vaWXkoZjyZLtYg7cVp4AOUdecffIcRaAwAAAAAAXLGU7Dwy + WpJrmiTXWJqfR0uHzCy93/QuKxmqMayLxmlt69BGuatK8+VbxXlTdfXJ1pLM7PKPAIB7aVmWaZr6 + dkQcPor7dkSYWa11HMerayMAAADupB4pd/lHM7ufZsIAAAAAuOt6V3BESCqlSFrX1d0tbSz+7ne/ + 6xOf+LEf+qH/9Oxsb6bI0MWfEsMwtNZ6THW/e8+0lrTf7/tGj7IupdRa3b3W2v/u6NceOqJba/3R + M/NwLQDggdQ//Ftr7t4HH4fh618M1e+emRFRSrk8xHn3rOs6juN+v5/nWc8fY8Xtaq2VUvqT2b9O + 9O8Vh8vDd4N+y6tuLwAAAAAAAAAAAADgrusD8bXWUsphasFhKFmSu/eBZoaSAQAAAAAAAAAAAAC4 + Xb3gZKZM6qv+M+Nr3w33D4tiMsvWUualeGasIRvajdN/+1M/93/+/m//g+95y7dr2ad8ss1oc6kx + NAtlembxKHUdah1OTvT0b3/1s5/6zV/57Bc+/aVnvrjkWQ6hN8Si07QqHQJMor9FxqLMbG2Vy6RQ + C2satLYmyS4mcbygoql9YwVOPTSEZ5o8s3hTqxGhMFemSjGzEhE1QxdZ2pGacvL95uHxm977lg98 + 4N0ffeebnjiaHh7W0ZZSdl7C3d3aYhFuWi2iR2ebPC9qH0Uqmkzulpapulc9/2+Tcqq24kIvxhUR + pQy1Vt0f+dbEWgMAAAAAAFy9lHqt9ewhzcOgMjTXRYD0vTOEtDSFfN1vxo2a1KRRmWomk8pFDykA + AJk5TdOyLKWUnh2iSzXZx3HsBeUvl2gHAAAAAAAAADyoWuuJ1P6xj33sr//1v/Zn/sx/GRG1hqRh + GNZ17RnV8zzv9/s+t/4lj5OZPdNa0mazOT09Hcexp5n2y0OgKZnWAHA33A+LnS5z915+OjP7WGRr + bRjOl2a9esMw9Duu6zoMQynlcNi71PIuM3ub+4BprbU/KL/Cvj69vHgvNW5ml98eughB7xt9CJvn + GQAAAAAAAAAAAAAebOu6TtPUpwRIOmwcBpQj4jBqT6Y1AAAAAAAAAAAAAAB4HXJFSSnV3CR3hVIp + jQ/bP/vM//dvv/ylf/8j/+GH3vOh481DZ3t5K2OxQSYfsuSz6+kXvvLFz3/x1//N07/167/zK7ty + a283l2Efm7UNrWVr0nCxrN/yPJTa0/uekNLOI1fC+lV3/3zds2mt0WrNQWUo7pKrFDs7rVHrPNnG + j+oSFmVrR8NueO/bf+8Hv/W73vn4E2+a3n7NHh2XTZyYhVmGLPp5SJm+hizMU4MsLCWFZfYnOUwl + lee39n7KrtDFswHct4i1BgAAAAAAuM+Yq0jFJZVU63HX9lzA9V3tdBxb/K/f/x/8J//0n/rD03J2 + Og3X5JKrmpqk3q4MmUvS/VXHGABwT7XWSim96rqkvrRbUq/Jvt1udVE0fFkWMq0BAAAAAAAA4MHx + EsskQtJmM9Ua81hqje//937/f/9Xf+TP/tk/269e17WU0qOs9/u9pFeItdZFV3PvZO51RfuN+/7D + HftBiKsEgDvrPvxcnaZpv9/P8zyOY63V3W8301pSrdXMaq3jOErqB9TdP9/+26qUsq5rRMzzvCzL + MAz34fP8mlBrHYbh8PIdNjKzB1oftg9D2AAAAAAAAAAAAACAB9g4juu6juO42+02m00ppW/o0nwD + XYwv90Hnq24yAAAAAAAAAAAAAADAvWMZJWMIyarFILlrkBSmXZ5Mb5pv1N/5v37mf/9H/+zvv+Mt + v+ctb377PG9b7E5On3nqxlNPPf3Usyc317aERQ4WR7FmbdbkITPLGIqKVFMpWfY1/17CL+opREml + KTzS5BfJ1pIsXyLqxJ636zwW+naFa9fqMGiwsWiQPFosdV9bmGscdH2jUof2dBy3h9/71ieffMdH + vuNbv+/6+Nhm3Fi1elrXNYqWyUaP7E1qrnA1i30J5WBtthykcFVZk6qshXr6tUzuklIh95TyXiR5 + 47XFrIeh6xVqcN1jzKkCAAAAAAC4Si/oJTIp5WEqXlLyzFTvK7WUzOKlasTfSVPosWaqqbNlurbt + 7WtNOfRc7UiFHSKujWRrAMC5cRz3+/04ju4eEZIysy/tnqaJWBEAAAAAAAAAeOD1hNHdbp2myX34 + Q3/4D946efYv/qW/HKGUtdYOtxyG4RXiSA9hpaWU1pq7u3uff9+LipZSdJFO2rcBAHfQ/bPkqWut + mVmvMd1/EUTEYVDy1euZx/130DAM8zy31nrg9F1p94X+fGZmj9OOCLKWvxF9DHqe54job4yIiIhh + GE5OTrbbbX97ZOY0TZQjBwAAAAAAAAAAAIAHXs+0ltRnAkjqmda1Vnef5zkzM7NPPGAQGQAAAAAA + AAAAAAAAvA5ZyiTLcIUpPCUNYVHLUnVah+ayWp965ktf/KV/+8ndumiUj+luEbWW2ryV4qWUdW1p + xcxkGarKzKbzNJXnUnE9TJ6yHm+ikMJTIVnKrQdg393zLUW1qbXVbS02ug0b2/ig0Yp2dVimb374 + Wz7w0Y+8/50ffvzorWX/8Lg+qt3GzMpgW7MyhKKqnbcyTUpXRlhReqhXqQhZKHuKjPt5pY7zKJlI + l+Syux0ug9eiXofEzPq8pqtuzjmmVQEAAAAAAFy53qPqSvXexSYVd0kylVTYc+HRrturyXu7SsbR + vmq/6vpWyrbsbdyUYjXkrkMe6f3SuQUAuDq9vLuZtdZKKYdS8pIioq8AP1jXleLsAAAAAAAAAPCg + uLxYIiTJQpK7n5ycPPzQoxFRazOzP/bH/ugzzz77Iz/y12rLQ/7oIbX65RxiSnuN0R442mfhm52P + WO73+0OnNADgzjp82N4nhmE4rMLq1aVrrV9HI82s1jpN06FEtbvfm5Ptj9LHVXu6do9evgcP/UCq + tfZn8rBUbxiG1trx8bEu8ssl7Xa7XqkcAAAAAAAAAAAAAPAAG8fxMDOtr33uLs836EPMrTVirQEA + AAAAAAAAAAAAwOuPpzxMqQhbxpBJykHyYbLTNUP7zVGpa9uvi43m17e7WmuE5ENxH2e3jMyai5d0 + r31Rf7aMUDRlqgxDj7KWlFbTFBZ2Hvl8vr+EPGWpNDVTmF6q3MMdqMPgoVJKqtmgYZBpbWdrqdNR + Pno93vCBd33XB7/1o285ftvWj64Nx2V1NRuspEWG2eqZEZlyt8FWLTqvr+Sek0fxNshqK/tUM0lp + Snm6ZbEcL55tuSlMkkI9Ezt0l1Nm8NpiZn1mE7HWAAAAAAAAkCQ7RERf6i8KSea9szUlDw8P6bzL + 9a4qoeMySKG2RG1le12yWmMavEmm3vmbRrI1ALzuZaa7j+M4DMPZ2dnlqzabzW63W5ZlHMdaa2aS + aQ0AAAAAAAAAD7xa6xvf+Mabz9yKiM3m6PT09Ojo6E/9qT9148bNv/W//FhEbLfbs7OzQwnRQ3z1 + i43juK7rMAy11h5U2e+yrmvfM89z3yAWFABeDw6lpZdlmaZpmqb+q+G2tNb6qGU/SK313sRa9zht + MyulHH5ttdb4/fX1ORQZ7zHhrTUz60+vpIjoA9mtNTKtAQAAAAAAAAAAAOD14DB8LKlPKluWZRiG + HmUdEf3aUkqfinY5+hoAAAAAAAAAAAAAAOCBl/LVh9UULpNCKiELuWlf00dl6Gxpk2l7TWva07dO + N9fGEp5pLaNFs2xSKKVBaqq19bJBxTVNYyll2deSkoWktPOaQmnSebSzPKXsNRZcdz3j2dezHMum + uPI0Yx+PH7352979wfd984e+/W0fPmqPze2hsk4lPJdslJeNNAAAIABJREFUsda6jJPk1VUkT/NQ + 9hTwZi5FmiyllKU8FZJlpFelh3lJC1NJT3k/4TSFKc+fspQFyS64LDN7oYyI7LHWZnbl+dbEWgMA + AAAAANxPUi+ol9uTrZ/3410uqLvsTpVV02zT2B98cNeaw2CS1CSTF4WItgaA17XMLKWs69qTRVpr + Pb56WZbdbjfPcy8Bn5njOPYxkqtuMgAAAAAAAADgTumrRJ63PiQzb968OW/ms7Mzd83zmNnWWv/C + X/gLN589+Xt/7++dnZ0dbvwKncaXM60lHTYy85FHHrlx40bvkabGKADcJffhuJ6ZDcNw+O1gZu4e + cXvLFN29V6zuBxnHsdZ6b5Z11VpLKYc47VLKOI734HEfSIc64/M87/f7cRxbaxHRX9aegN7fMLqo + XX7VTQYAAAAAAAAAAAAA3EWllP1+P89zHzs2sz6gLMnd+1LovqeU0qeiAQAAAAAAAAAAAAAAvH6E + ebV59an5YiYzuWRRJTdTqxrdptFz385uSSWP5nk52Q9DGQYzRVgo5K4yKELmkizdMhShZVkV61Rk + UqZk5wWJzhNV8vyimVRkqV6wyFP+XLEHf1GDn9vuIdl2e5UhfPYj25WNrr/rTU98+D3f8+Tbv+Ox + 4U125puTrVYzS1nUzBqSj8N22sXOslWrblF8dh+itVqjDC55iZBkWuQKefZo7hh7y5tJ8lb0/FpM + F9Hdlp6SqHuAl3bladYHxFoDAAAAAABcMZNfdDL2SzdJ8ovuxZQ91wWZltJdLB8cFmVTNJjkLb1Y + adEGFRVTHnKsy3n3rpFsDQCvX71SfK/8fqgdvyxL37/f73tl+V6QPSIoFw4AAPAa9MrJQId+gdsL + EAIAAADwQIgXLwhx92EYesDksiy9l3gqw9nZyV/+y3+ptfXv/t3/w10RcvfW2ssduvctr+sqaZ7n + 3W6ni6zrW7dubTabdV3NLDPdX9gGAMCDp/8KqLX23OL+4X+7mdb9Lu5uZodDZebhN87d08dPSyk9 + 07q34TCQitvVU6slHQqOH17HeZ579rmk/iozSA0AuHsOFRDyLk7pxb1g+fW/iN/IfQEAAAAAAAAA + d0pEzPMsqbXWJxX0TOs+lJyZPfdakpm11hhKBgAAAAAAAAAAAAAArzcpxUWxoJRCMoswuXupkU3p + 1hOv5UOkjue5tTWWJtdQZKOUqlXF1EKZacp0N7NxHCylFj2NOvO5hzmkU1+syIw0pdwUysuBK/G1 + A09etJTvcBdL93SLoUQpMViWoc7f9MjbP/D+j37gPR9+w/SW4Ww7L8eb/abEUFIRNZTpIbPBvaXW + tblJg5f01lq0xXPolZQs8yJlO/pjpq2SK4vLX3SCuoiVCcvzlaieIbkslBRKwn2NWGsAAAAAAICr + ltJ5snXIM6UiV7NoQwuVSZa5X7XZzNH22VR0F5Ot03Jf9vJQzENuY8lh9lCmrPR29urAhzvYSxSs + v2cOcaq9Xm2vWUzxegC4ZzLz8mXfiIieJiJpHMf+Kc0abwAAgNegl04G6l/wMj0z3HTx3Y9kawAA + AOB1JV64kS7JpFaz+KiU2aFIaJgVs/gr/81/devZZ37yJ/+foVhrMRSrLQ8Bpb2TuYdeSzokjPZy + orqUdd3LjEbE5VjrXmy03+Ywegi8Gn3Q+fLQ8+FyGIb+Tuu3XJalF8C9Ki94b99X7/PDs/Qqb3Zf + NV467994lWeBe6//UsjMWqu+rkDrg8N9+6F06TfO3RMRhwTrnrgsiUzrr1uPsu7/bVtrfWd/Hff7 + /eWnmq8EAIA7wSTleYR16CLN2s1cuvh71n0ouvjjwlKhbK2lyd3HMizLUuy5qVM9BTnPj85X0Dvr + 0l9Mz3tqX/p5NvOIUITc3P0w563/kZimZVmmaSqlnJ2dzfO82y/Hx8e7s9PtdluXdV3X4812ty7y + /j7pD3X+/cPy8M4BAAAAAAB4zTCzb2QwDgCuSp9FlpmHIePe5XuYb9AHl82s1sp6ZwAAAAAAAAAA + AAAAbtflaUUX1TmusDn4OkRRHXPptYIslVL1kBQ1RknKbNVMWRRqUltDsuixKDWllKfSFal0Kfuy + vciUmlKeNpwvwFQow1Ku6LM0ws7X312EQIelSsrD00JS2otvIz1/ZWCESpGnMtUkKzJXNJkpm6tu + xmWzWa+/cX7LB771wx9+4qOPP/TNQxtL9bIMQyuWg0ek1mquYur53Skphow0haw1k1RskEmKjPDz + FsT5mZ1HVh8mnxxucKnZ53u8H/y525NpjUvOF7SazGxdV7P+f+mKEWsNAAAAAABwf7iUVW2S5Pth + OCs+LjGPOtpMp7v9WDQUqd3NZlikquqiplzko0VVDHZoVnfR8RkX/aFX0BN6KCx+2CbQGgAAAAAA + AAAAALhXXm0h48i6mTfLvv7Vv/oju93uH/7D/1dSaympFwzti5fGcWxtLcX6VS92SB7NzGvXrt26 + devs7Gy73UrqxUZ7dKWZnZycHB8ff4Onh9eVQ7b65Z3rupZS3L2/Ued57gPTV9RGAAAAAPcLi6yt + baZ5vy7zZt4t+7Ozs0cee3R/tmutjeM4TGNrrZRy6/TkeHsUNXRe4EBxyD/G3ZSmrxkqXffLPM9l + HFtrazRJmRkRZtYyttvt9vioLuva6jAModxutxFRo63r6maeOjk52Rwfra2+4MjkWQMAAAAAAAAA + AAAAAAAAAAAAAAAA7hOeMjXPOCRFh3rySFxeDXeInX7h/S9WRyrPL19SX9YXkvek6pdqhlJh8lTI + e7xJf9AXZ1r3BubFer1xHJa1ZmocfZCva42qQWOJaY7jR+e3PPGub/vIuz/2rsffu81rvszDyWBp + 3o/9glWdFwnTIbni4kr3553XSxVWevloan/J54Qoa7wKeR8EWnfEWgMAAAAAAFyxtPMc6+d1ULrf + GP3k2ubNHic3dkeZ22ludb+uGv0uFrQrobmF3LTu7HibIS9aWx3KIF10E6fsci/oFdXXa6312uLu + bma9AmC/vJoGAQAAAAAAAAAAAHiR7XY+PT2J0Gaz+Rt/46//6T/9n/3jf/wzpVht5ynC0zRFxLqu + 7t7aK6VlD8PQc4VPTk4k9UzriMjM1to0Tf3H4+Nj4ofxKh3eJ2bWE9Yl9YjrcRx7oHUfj9ZFgDoA + AACA1wnLyxHU/W+H878ahmFY6lpKWdc1M4+Pj5dlMS/F3LzsliUitsM4b4+WtRZ3Sz2vVEM/7P2y + 0vwBkbeZJn10/Xi3253u11LKMAyS0jSWSVIoz/Y7K2Uex/26Wspku93ZPM+bebvf7bbzPB9tl2Xp + f0ge3idElgMAAAAAAAAAAAAAAAAAAAAAAAAAXmfCVCXJlBbtUkx1z3vui/9KSPISSlMtSj23JO8F + qwMtvWdC20XadCw++ZRq61lYaGMPX9O1bbv23jd/23f8ng8+8bb3Xx8fs3WMW8o0lxVVk1KDsjRz + pXuaSZ5pKVmkqZkWG5pJ0pDh+UpVj4C74f7JtBax1gAAAAAAAFcrpZBcl5OtXZLc/9A//Klf/d7v + Kjd2bxwkeV2rTMPwvPDrO84zjvZNa2ielpbTYLVqGgcpeu+tQrL/n717D7blqu/E/v391uruvc85 + V/dKQkgIEJJlAbJAwAgwZmYYSCWeykylkiq7EsdvY/ufVMVxHlV2KlVOZTy2k3hsPGMMBhkQBmFP + yNgzHmOT1ExmbAwM5iUjwIANGAOyBOJKuvc8dnev9fvlj9XdZ5/XlYTuedx7v58qbe27T5/e/d77 + rF7r9wV86fGYVFWVUooxtm3bNE0pIB5COKj1TYTF4oiIiIiIiIiIiIiIiIiO2vnz5+fzeYxD5vSv + /MrrfvRHf+y++z5Z13XXdaradR2A8s8LzEdVU0rlSVVVU9JwiSUOIZT7hkexSnTZyTmHEPZGoYcQ + uq4rielt24oI49KJiIiIiK40O8OtByGElJKq9n1fNbXBs7uIpJyzompqjXFzfX02m2kVkQ176i/s + nSddXC67K1nssrW1BaD0QDazLvXuniynlFZPndKcDd5ZdpHQxLZtta5c0Pb9yuqq5dT3fYxxq13E + ujqiVSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjqBxDAOnHTABGV4n40RLOIwGTOqBVks6/ag + SxXAtQy7FEdJRVHfrvHSZ5tpXfuK9VjxU7de/60vue1lz3/GHadx5lS4OqTK10UlhhCTp5yzqMAV + IoDCw5D/4gDMxSGwspBiLgox5KPaUER7nJBsa8ZaExEREREREREds5JsHYChQRNQBwLQxEeb8OzT + c5xbwAyO7Igxuh1iu2adsbbosdXCc1WJZ8QIwNq2bZr5jsWWY4y0BoC+76uqcvemafq+V9UQgogc + FF9tdph54ERERERERERERERERES0n9msFvG23Wrb9vSZ06m3e+655/u+7/s+9anPCmBmq6urGxsb + XdeFEEpS9b7MrNwNNLNy76+u68ViUV5MKTVNU8KJy53EI1xFuoSVo6UceyUcveSmA8g5i0h5vrm5 + ubKyctwLS0REREREJ0Xf97NmZatrY125YGNzcfbRR1780pca4IBgKLjwD7/zO9/6G79Rq2KouTDN + wMQxdRumQ7KcHb434rr0QHbBom0BVE0tIl1KGqvHzp+fraxsbWz8/h+850/+5AMhBAAxat/3K3Xz + cz/7j2EZ5k3Q2WyWDrNfNxERERERERERERERERERERERERERERERERHRsRMRX0peFZETksNKJ4IA + Ah+HVoqXROoygnIYXwmYixmsTLk8+q+MyZRh3GXJurbh9SEYW+crTX++v37+rFe+8NUvvfnvXBtv + rNt55bMo6il3uYOk4J11qCzM6pUNFxcVl+CoxhmaeC9wMYiVVxQeS4CJh6PYUER7+Im5mDLWmoiI + iIiIiIjo+C21FY0l6gSIcRGrRb91lUh2M1izdtXW+rmZHmKcdDS7HuE93/kP/uEn7zOYAtZnVTTN + jrLvrjBAh2Tr4ymrV1VVSinGmFIKIagqAFVlfDURERERERERERERERHRyZFzzjm7y6lTp86fP19V + 1dVXn3njG9/4wz/82q9+9at9so2Njfl8vrW1dYFM66JkWqvqFG49n8+7rgMQYwRQgqaqqnJ3kUO8 + r0qXjaqq+r4PIYQQzKxpGgApJREphxOAvu9LpvXGxsbq6upxLi4RERERER0t8Z3FEQAAJvBsOWcR + EZE2pauvveaf/MrrhjIGAETcXYA/et8fP/DggzfdeGPp+TslK8uOnsN0PDSGPqfyBECXEgAXhCpG + lXf99m+97p/+yue/+CUDqhD6nAEoEIFf/MVf7Nu2mVXdolVVHGa/biIiIiIiIiIiIiIiIiIiIiIi + IiIiIiIiIiKik4PlXGhf6oCPQywd4uqi8KUQk2FcpZV0EwHUIQ4FpDzx4XVge1ynSfmnnTu3dbpZ + u/76a6972jVNXeU+55wrlUXfm5nDZnVVh8r7hNZSyqq1Iagb4JCSaW0OuMIBiIlD4MGGnBWD+TFF + rtAVy/3kRFoDjLUmIiIiIiIiIjoJBDtq1AkAMfR9NV/t2rOLhFm9Kl2HxUZzyG31tWHz0UdvuvZ6 + dAtBFq1EFDDrWqkjBKIG0QxkQIAA6NjCe/RKgnWpUO/u7s5MayIiIiIiIiIiIiIiIqITpY6x69qV + +UrXLVZWZiJha2vrxhuf8Zu/ec93fdd3PfTQNwQo0dQA6rqenu8iIjHGruvMLMZYeuWnlFR1sVg0 + TVNSsUsUMQdB0RNkZlVVAei6rq7rlFIIodyD7vu+hF6XCQAw05qIiIiI6Mq0K9xaJWaVRd9VTd2n + LKoPff0b77j3XQbVGMwsWQZQxfDY1uI33/mO/+WnftocAQ5AYTbWXDhJg80vB+IHdSHev5aEiBs8 + ZwcgIYQq5mxd39/z1nve+Ou//qUHvlpVERrccpvNgUpFIdksmeWcUVUhBBEx5KV3KXuVjRJERERE + RERERERERERERERERERERERERERERHRFUEPwYSRmyYl2gblhCKgehv4JTF3hBkCGAZY6hVuPrPw3 + DeosT06vqqStT3zhQ3/+F/ddt/Ks22960Z23vPzma29byVc1fkoX89wiaaXadGpuuXYIehdzMRN3 + MRNzKe+oaiIO9bD9thwUSEdIRPYmWovI8aZcM9aaiIiIiIiIiOg4lWTo7X+UdlVRuCHONs8vVldX + ZxtbfbclQT3lEHCozUni/rTm1OceeghVJZCubeumgUOrJsMABEFZyrIUfkCttyPg7nVdu7uImJmq + sjY9ERERERERERERERER0cki5i5N02xubjZN0+csYhpERK677mnvfOc7f+iHfuSBBx7MOYcQzOyg + TGsA7l5+qqoppRhjybR299lsVu4bqmq5dXiEa0iXAzMrmdYxxnI0untJsy6P5fBrmua4l5SIiIiI + iE6E8qdol3qJIVbx99797ocfOZuBPlnQkAGFdDkL8M533fs//9RPT12FTaAOY3fXQ1Pywp9Ianjb + p9nqzHvrum4+nz38yNl3/OY73/Drb3zoG2cNiDEs+gQgVlVKyR29eRSf17Hv+/nKfHNzq9bArstE + RERERERERERERERERERERERERERERERERHTF05I/ra4mEEcAXAwOkxJrbQCs1ARyqKs4AAWgZTTg + MJlOMdgmcIELxLHVmTq0yqjSV7vPP/SZr37kzz9wSq+98zkvu/PWv3XL9bdXdbPRLVTqEDSYok/q + MGQXc7WyDOJjivbw1oohQtuObjsRnVSMtSYiIiIiIiIiOmbiAOACwASQ0lTqhqwzj32bIJLVYpAQ + NHUWtNRbOxTqsHbj1OmnIbUZXs0aOACHyNK7mqIsxHbD7tErleDKIwvTExEdF3cvwSHln6oqIjnn + aQIW7iQiIiK6/JSsOHe4I4Tw+L9ARERERERXNnfP2aqqMrMggIuJmycRueWWm9/whtf/wA/8wGLR + 9X0G0NSx7VIIIedcbgKa2XJDdHkFQHmlPK+qSkSm1+u6LlOWfOK+76uqKn/LHPXK04lXDrPyGGME + hj91dx0tIsJMayKik2PvfWqM3wrMrPyTn/tERHQx7E1GVgAppfl8fn5jI1YVJCTDr7/pTbkUL4Am + cwjMHQ4BHnz47L/43d/5rv/8v4B7FaIAOWc43F3Y9/WiUhF3F3OoqCPDBUFVq6o6d+7cyspKSkmC + 1nV9/vz6fHUeVRZtr6o97O7X/9o/e/2vfv3sI6XfmwNtymX396mHK8TdkRxtShpD23ZVVYuZeym1 + Ad/x1eNxM7WJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiC4Z7sOYqVKB3CxzMDdNTJDVzKBAFVQd + nrO4imrKfdPUybtFD63higREgfZWaw0g52zuqioylBtyUTNz9aAB6tmtN5cIK0EqhirApd+wRxZ2 + 7t9+/svv+/x7n3H1TXd+60tuv+mF167cUNtq0ze1rKVFNs8xBtHQ9wZoDDVM3AWmUDGxJBlqqmrJ + 1HWqUSAi0zFPdNGVo0tEQtC2bbF9yB3nhZWx1kREREREREREx8qBoYHIDFCYAECGOCwEVCoCeDYX + T8gewiHXOhODi4ijCh06NWk0CoJZgqoDgAFaEqsE0ONt3CIiouPT9z0AGU11w0MIqhpjdPecc4yx + 67opQYSIiIiIiIiIiIiIriyuENvxihiggIlqVVV33vnCu++++wd/8AdDEADdUqZ1CacUkdL4nHM+ + 6E3MrGma8it1Xbu7u6vqcj6xiGxsbKyurh7q6hIREdGhOug+NYAYIwBVTSnFGNu2bZrmmBeXiIgu + UyGEra2tGGPTNI+cX7/vU/d/7vN/6UAJvQa2/xZ2hwNvedtbv+e//K+s69q+q2Po+/7U6dOb6+vs + f3sRiUPcpXSyzmZAUDUgpdS27Xw+d/eqqTc3Nxdtd/qqqx597LE4bzYWW3ffffevveENj61vBkUG + VJGtJJSX+S49EhERERERERERERERERERERERERERERERERER0U4uSII4CwL0XUJCUEQ1WBaHpU6D + zGbuAV0CBKpIBpE+hCBRAIG4QySoqHqGiMA9ZbfeQgizSlvrxgGEMDGomfYJ0Jm26fz59W/81Uc/ + +28/9t5nX3vzi26769tuesFWOLN21VVRYtf2YqGqZ8FC7rJqiDG4e869ASFIdmnbro7N8jhCZlrT + kTk5BxtjrYmIiIiIiIiIjt1Qxn1sMXLAAEPKiLWGqttcrKzNNjcXdYXUIuqBM7o4xJJnbJyLZ64x + DRkIApGIIXIbcBPXuNy2ynptRERXnqqq6rrOOZe64U3TtG2rqjnnEELf9ymlqqrcvUxWskOIiIiI + iIiIiIiI6Iq044aiwuBqYhub51dWVl72spe+7W1vfe1rfzSlHKP2Kc9ms8ViASCEoKp9318g0zrG + mHNu2xaAqrp7ybk0M3fPOdd1DcDMVldXzUz1sG+4EhER0WE56D61mZlZibIOIeScm6bp+76qquNe + ZCIiukzI0qhwEQGgqltdO1tdefPdd7cpbQch72TAhz768Y//2X0veP7zYwgioapni60t4R+nF1tK + qY6VxGBmliFQVRGRWNelfcA7q5sZQlzf2Fr03T/7p697+73vePjsoyEKRFzUkbPtO++lV10ABXY3 + U4iXabhbiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoyhKiLvrsCTGgnkEAM3egmceNjZQ7rxsE + q6JlMRhsZRa7lBYpqSIEOJD6nBKaBu4IAg0aTDzDs0ERvYRfQ90UBlEAJpYBqzRU1rn3afHY2Yc+ + 88H74gfndzznJS+45SXfdtML16ozOF9XbVjVqKFJ1pr1op4lu6nmqkJThZl52jGQkOjwubucpJQf + xloTEREREREREZ0IYzulDk8FWJkvQlxfLK6uZH190TQhdbmawbvDXRKtq5x7rKwFoE2dxgbZQ5Bh + McXhAh/L7wkzrYmIrlDu3nUdgJWVlc3NzZIXIiJ1XYtI27alPriZAWCmNRERERERERERERHtIAbo + bFavr6+HEF7xile8/vW/+uM//t8AtrIy29xcqGqMseu60s58ASklACGEMmUJtM45xxjL6yXh8gjW + iYiIiA7bQfepAZhZ+cQvXwPcnZnWRER0SMxMgjrQ9t3DX//6H/w/7zVAQ7Ds+yZbA3jTm9705je8 + oW87g4cQNjY2V1ZWHu/vXXpymqZx95RSztmgChcXg7tZjDELutTPq/pvHnjgLW95y1vuedu5jfUe + HgK65AAsZwXqWb1YPKGO2nrQziYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIrqS5IVVUbWGufU9 + OiAIVKDZmhhiNZcked0bnTdx3nu3sMcqRR1UxPvcm2PWoD5dbW72cLjDzBQSKyC79QkRUzxKFggM + gAsyIGLJzdGrQmfRpEu2+OjffOAjX/jTM9XT7rjpRS977nfc9vTnVZ7yps9C3fcmrhqrCLWsbqaq + IlIGiPo4TlROVOAwXab8wHHJx4Cx1kREREREREREx010578NAFTR6NkmNKevyg9/Y15XblkE/QJR + 95vJxWTBDW0XUm68USAGMTNRDO8sY6Y1mGlNRHTlEpHZbLZYLDY3N0VERKqqats25wygrmsAfd+z + UDgRERERERERERHRlc6XbnDKUmaXpbqp2iBNU+WcvuOV3/661/2fP/VTP725uaiqqu/7vu8BuHsI + oTQ+X0AJsFyOuHZ3EUkpNU2Tcw4hsNWaiIjoUnfQfWoAs9msfNbHGAGklGKMHCxKRESHweBuLipr + a2u/+LpfWXS9lz9Fczd0sN35+aOKf/Wvf+9n/7d/dGbtlIsv+na+umbuS51x6alyQZcs5ywidTPX + GLJb36XeTEM0Ddn9a2cfecOv/cJb73mbmfXIDrjADA4EDUElp7S1K9P6gF0kPuzl6ck4YWn3OPSu + 3kRERERERERERERERERERERERERERERERERERCdBsCgt5jIzR5c3snrVqAn6hW2s26lqrc6n6nZ2 + 69Off9ftL18NV33hK5/7yJfed65/pLce0ZqYOu+6zcXmVh8bSIRn9D3gHgJU0Tsc0QQQqJvABFAH + BNERFKrwhJSBnFxyEkPtXskjvv7+B/7qg1/4vevm17/olpe/6OaXPefqb5vp6SrPkEPO5p499DCP + EksSi4icqKRhuuydnOONsdZERERERERERMeqVLCT5bpnCs9QoNav9Fvrya6vmrbrQlAz1I16bwfP + 7qnzts3zMzVQwUIdQ1kyhTowVFsTHyruCWOtiYiuXDnnxWJIFgFgZm3bxhjNzMy6rjOzqqpKrfCS + F3Lci0xEREREREREREREJ0hVVWfPnl1Zu0pEQlBV/e7v/u7z59d/9h//fEoZQMmlFpGSSnWBLvh1 + XXddVzKtm6Zp21ZEzAxACbYsbdRVVZV5HtEaEhER0cV20H3qlFJ53d3dveu62Wx23AtLRESXs6qp + 20W38dj6b//zdztcRLquE0ABA9x3dK/Nhq22v/fee/+Hn/zJ3PeqWv7UVfbBvbhUYqjE0eeUU2dA + CLFpZn32v/zSF3/9TW/6rf/rn5/b2BCIiGQvadbiLlUV+7739ORG/guYS05ERERERERERERERERE + RERERERERERERERERGTzWdN1rbvHqgpJ+s0UtbmmOlVX86fNr7/z5pe8+Na7rps/Q7dCZbM7b7zr + 77/6H3zmK5/8sz//+Be//JdnN76hcdHUc2vSxsZ5bRCiQkvpILhAFdkNGOJStjkc6Hq4QYAYEULw + ZF3qUu4QECJCjTiXr/WLf/eXX//Ap9934/zWF37Lt7/41pdfs3pdMI2iVdRgapsmLqUq0VSbiHWK + 6LCdnExrMNaaiIiIiIiIiOjYuZSwaAggDkABIAhm1f/4/j+6/3kvSCkFxBgjsJVbUz3c5YlADUUP + nF/gVIMAGBAAwKBABhAUADLgQGC2NRHRFSmEoKpVlrzkAAAgAElEQVR935ewkPJiztndSzpIuR0i + In3fV1V1nMtKRERERERERERERMdHXAG42NJrpT+9r62tQnH+/GMrK2vzebOxef57v/e/XrT9z/3c + L1RVVdf1xsZGXddt21ZVNbVF71V+VBqu27ZdW1tbX19X1cVi0TRNzhljsjXHihAREV3SDrpPHeMw + RE5EzGw2m6WUpheJiIguFil/zooGjUD6nd959wMPPQBgNlvZ2tpS2P6/BVQafvOd7/jB7//+a85c + rVXoUhIb+gvTxeLuUDGz7BaqOgZ97PzGN/7mb375da979+/8i43FwiEGhKApu6qKSs4Z8K7vAEjQ + pqoXi8XyPHc1IiigPh4G5RWHsaWBiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiuVKa2pVtZMBOd + y5ps1lU3f+aZW77luue++Lkvu/HaZ18VT/ebSc+HeTN3YOv8onrs6rtOv+auv/fqs4uvf+Ghv/j0 + l//ssw986sGzf33V6acv0kayTqOGKMlTNo8KccM0uM8V0CHhJTiiQyFuXYL3OQCxklWNOed2Yb2j + C15VfTc7v1Wvb9ojn/3UR95z/9tvfvpzX/bclz/v2Xec1qt9qzqFa9RnAESGcOsTlTdMdARYnoOI + iIiIiIiI6ESQ6X9DsnWEOgCfqW1aHaqu3QpACHLYbZihCv2582/5+//pj77/P6DLmAUIIDDAoQEZ + AGAOzQCg4XAXh4iITq6c85QF4u5TFkjXdXVdl+chhBACK4YTERERER2VvQEIBizX0zcA6t9MTkJp + uHoij0/W0uINC6Y75rIzBGJKQxzWQqcJZMevaJnGZc8cnprtddx/SU7C41NbOzFxuKA87lzHo2Ci + gA3HgNhSOER5bXlJllf2JGz5b+ZRxm07bm0rK17+MewF16UVv5jH8z7KW49LtW8Yx7hPtCzhtLQl + rHTXXimzmlYTYk/2KmGy65owzfsJbAqxozx6L2/TtXr6EFne+zvsd1TL0k8v+pX5uOw9QfZcpgDY + cAzvu62GX3uyR+meC8LOM/cSZeMmmi4d+02z/TwcfDV5AteZx/kM3S+LyLD3m9b2dwBcHkf10RLA + c7acc9XElZUVAFtbW7PZimX86Gt/+OGHH37zm+/u+14Ej5tpDUBVVTWlVFIt19fXAYjIbDYrzdeq + amaql/aZQkRERDj4PrWZlRvT5ZUYI+9TExHRU+Q7mwhKe7ULRGRjYwOxesdvv8sAiG5tbYUQkLdv + WEx9fR1woLX8pS9/+WP33ffqV73KszVNk9oL/Z1LT5YLHDB3F2hVJfdP3v+Jt771nne8694MOEov + aA8hZDdAHOo5iaqIlOoSbrZYLEoDwnGvDRFdSnzf22mXFDmgVX2fu8aXkct1vYiIiIiIiIiIiIiI + iIiIiIiIiIiIiIiIiA6iDshQXsnHcTHiZcQdSiWl8acKLFcC3D3srkwvXoqSqbhWFrVrTsWrv+3Z + L3rZ8//2Ldc+f5ZWtWuaxdx7zIAQQr+RzNNacwop2mbqN7tr4g1nbrzu9pvufHjroa9tPvCh+9// + lYe/+LVzD3qTYg1Ba9aH2vqpPpUNdfnMFYpsfTaDIgSR6CpwA+Bd37sjRlQxJHjvlpGlQg7nLcBs + 4/Mb61943yebvHrbs26/85aXvuTWlzVpJUhV+SxYJVbBRFzHSmO2XENs52Cc3UXyTExdS+WxA2ro + TTParpK3q+bVhX6LLi8nJ0CdtTmIiIiIiIiIiI6ZDNXubfvfLvAKUISVVnurve0WMSB4hPmFkg8u + ipTX5vOr2xbtAk1EEJecIYaogLiUYnsi0GOt47Ncd55VaOkEKofl0R+cOecpQricJgxpoEMVQihP + plrhAKZM6wmv0kRERESXJRGUOu/CUr/HbTsvFjuSRKccUS85smImrg61HVHBe+ZnwI48YwHgqmMw + pwnUl5/rzufDe02/vmdxd7RuGdSkJBkPUaniMAgAhWNHbGrJ7Bzax5azfmWM6xaHIAHuMCB66Xn4 + JPNTy/b0HX0Ht9dCARNzMcBNDNCxF+ZysOXxPl5wZZfWy6ZtPr6gDoMrbDveswTQSslwKLvpQrN/ + ikzUhy6tpjBx1+2QctiwnXfkp4/H6knY8k/6ceyMCyzvNrHxODeFmg953oYAmO6c9iKT4eqgsHLu + 7wgw3g6uLueIiiNYOYDMxQye1cYzTgGYq8LEorqKCzDs0Z3XgfJ86VqxFIpcTjfbsd2ApQXbnsP+ + Lef7tagvJW37Ybe3X+JkiKCGyfZZZ1AdUl/KgVGuydN+VJR+2K4mcM0oEwPiBuh0ZS6fJsexWheH + AMGGD6mycVy0XKa8nBUCwCFwMUOZdHfs+2g7L3m5t7pDlzqa23Tp2H38i0nJ3bmUc9xNrBwtAMyH + j6DlNbXxKw0Ahwqgtr25diVPTNttvGoBuy4jrpD9HrH9iz58GAHDfnGI2XjQ6vglBFB4AIaL4VPa + Cpe1Hdfbac+KwTWoWm8CAbyJlafeRdtF+9M/9T+5pbvvfltQiGjq+yCafcinBCDiPty1FHc3syl0 + qkyAscv+NIGqLidf9n1fVdXUrV/4h80Vz91LPOryi9vxZu7Lxw8RER2vfe9Tq2rpHTH1keB9aiIi + eopCrNq2FXgIQSE+tjcmIIl9/L6PfOz+j2fA3ZqmadtWllrplxuyMyCAAG+8+02vetWrQgywHIPC + drd1L7dylKaPHe1FV9hfJH3KTdOUP+pjCGbmOU+x0yISQuhSLyL1rFlfX9e6DlXVLhaf+/Rn3/DG + N/32//1uAfLYZFM2ZM6lDcrdDIBn23XTY1em9fJP9938JmNbxxW2d4gud9un9N7IZx8L9xSXQbvw + 0BJeHpfWV73cUN69jn5QDvYlQlyw33rtvOoD27WZBAd/Cu+zLfZsnwsEh19gznLADy7W9t8xm33b + 8B/vu8eFl/+keWLLaZi+g5Xf2nF+DHO6uAtGREREdHKUcanltvhyF4uUUmmHmYbK8tY5PUHLA5zd + fXq+qwnuUN+9HLfTUX0E70vHKKWkqtMFqq7rtm2XJyg/HVuJiYiIiIiIiIiIiIiIiIiI6EkQEXeI + AD4M9BYR9q++5Ay1sRwKZEXSsQCco8oQ16GqkiCLaoxd14UQY9S+byESgpQCP1UUdVhySV55XaGq + +rXr8s23P+vFd9x+x0033DLXFe8kbDS11MgRLgoFkA2qqqj7nIKbOCqJVdK8iSgrK+HMjWvfcudr + vuMbGw/8xVc/ff8XPvZXD312vX8Edcohb+q6zBCSdNmjW1VVlcNSL+JVVIeZuQKqEEEyIMKljAvN + AERQlWO2RxC42EK39FTf2eLjj33gkx/90L/8yPz257zwxbe94pZrn39abqz6taqbKSq3HIOK5pwz + xFTVTdxyVDEzg0gpiKXi7tldRFzcYC4waHkEMA5C2tl7aqkuWRnvAgwjO0yMydaXMxUzUxER7fte + BLYn3ProR7OwPAcRERERERER0XErDfE7ojsUonBAFDDIlIpTgiP0MJOtxcWD+2qfkBJgkJyRMqIA + AYADQ5KQHu8dA1UtteZLkfoyEHoqZE90vNy9DNEPIZTx/IvFYjabHcFbTwOtu67bGy1MRERERERE + lyWXMb1xaKzR8X9WXjFAYA6EHa05O0NkSxamDKmZ4vCpM5PrmGpcciLNZHq+8xFAiWrwoe1oeHR1 + GYOSl9/LFWICLcmmY0KD7peGfZAhTnWI4oUNRZwdLskQn2ym9b5ctpOtS5wmhnDr5QYyOzGPT5RO + /3dATF0BUxdI2SNaanmLi0MxdXY83NrstnRk7g3r0OEwkZKPC4iNsbsnYct/U4+lsXcpyLlUR8eY + IF7CXcdtocARFFMzIMjSUo01zU0cJebch2Tr8k8AaoLhNB9OxrHS+o6zWWxcx+H6IOM8YTtSTqfr + 2FJB+Z0RyDuOw/EiOMXMjz8d29LLu1ziNfaPwRRDO27YYnjRZPmDZOmnAiv3E6R0jF4+r63EpefL + IgB4OAUwfUAMF0mB+hiHbDCZUq/Hg9ME6uN00++7Tn2I1ccZjZ+bVmaGiKX7SWU+AJZnc4lSwFxd + TFzhUgKtpw3iGLbYOC0AZMHjFsiV8tGG0k0fgI1R2eU70n6Pw48BQVi6gsn2kWw7t/WlveWP2QHf + +NStmVWx0p/4if92Y2P9Xe96N2AxqmVgjKwOIeTcT3nDB5lu05Q6y+XeYplJCKE8F5G2bZummeov + ExEREREREQFwQdu2EkMlAkCSZTeImSBWtdTxjb/x5q3eqip0fW7bVnVnE+ZSsrVGseQm+KM/ed9f + f+XLz7rhGbGqUt9XIS41etBu5e/6kjLSte1sNquqarFYlL/iQwhb7aJpGo3h3LlzZ6655huPPfaZ + T33yV3/t9b/ze78PIATtsolgb+PBGFT5lDiYZE10JdqdaX2ZXgimqjd7y99cKhnGlwHe3DwyT/Q2 + 29CjhpXXiIiI6LI15Vjviqyuqqrv+2nsds7Z3UtdWqILKzHSU550ObSOINN6+ficjmdmWl/2pjH1 + pbdYSklEpitbmaZ0EpuqUhzr8hIREREREREREREREREREREduf3GhJTBCzLWuyv1Kk3givWN9VOn + VnKfNjcX83kj6m3bRUUQzVtWyfyUrHmnc6zc9pzn3XXrK247c9dKPhNjlIVoDuIaJQStzHZ1wlcT + AyCSoaYWgBiTBhHLs1py3/U3NPPrbnvWXc97+cNbD37xbz53/2c+/pmvfvr02qztN0VkXkmfu83N + rRAwW2kyNKXkjqhi5m2GCGIcElUcw1DAKc+7jAM1UYhlLBAAQe/o/LEPfeXsxz7/4avDDS941sv/ + 1vNecfO1z21kFUmsN2RvNAaJMAseY2y6vhXRoEFEkiMnE5EQo1kq9ffGuprAjhE6Uy0sALa0UxTY + MZCEmdZ09Fh7i4iIiIiIiIjoBHFASmq1D5VR6qxNQh6bDg+7DJCNhZaiDXlHCWLQAASMNVjKMshy + NsgxMLOqqsoAwrquc845Z2Za0wlRhrOWsa8hBDObzWZHEIdQ3iLGaGZ1XZtZzrmkMhAREREREdFl + SwzwIfhVSsktGXuwDRW44nYJMFkK4NwZa43dyYJjDHXJJZ1e257T+LvLL0Id4irj7w6PrnbAOwaY + el6asxqmAGNgR4PYlLC7XW7MxAArqZUZVhqvTABkFwAZrjKmYz5Rsr1GUyFy3bEoAR4AhxnEICZ+ + BEnDT5A8TsnlnZtiOFJKLPFYrlmHXE/FsAdVhom0BNYeXrugOkxKE19piyzhuAoBXF1gcIgBpsNu + ckG+hOuKybBBXcZYXBnOXHEYwtCptESxHsHyuGrJtB7P7yE9V0oQb0mRn143h+bxdBQoPMKHNOOl + neIop5I4YGPO8ZDXa4DKlPq7z3EVHJVNScCli64tRQWUK8l4ZXOFBxnqiZew3yE7vMRj7+2kuxQZ + TruNUeUIjmBQGy6PJsjqWcsVUuAqrlKuipLKzipb38UAczFxcyD4tFOmS80lLAvaABeIRQXERRyK + LEiABwx3KwQKD/AIlA0YgOGzUgXi0KVMguk0L68ET64OH84ULJ0kLjCHlxNTHEPu+6VcLtY1OOCl + NXs72L5c8226DJYHUQe6sJ3ooGMPfhl/cRopAUBKYPaw/S78ETbsjMrKp56On5tiZVwCDGJT4rsN + n4larjN0Udm5c49edfr0z/zMz5w7d/69731vSgYghODuqjHnDEBVc87lcd+5lNfLNCXyatetk/X1 + 9dXV1aZppsRrIiIiIiIiookoVMXNc87RRVVFQoi61bUPfO2hP/zDPwTgY2aymckB7fNlGne0vb39 + 7W//Rz/zv7ojxgrul2se6kVROiznlKoY11ZW+65bLNr5fKVtW6j0Oc9XVjc3N4NXVTP7f//Nv7n7 + bff8qz/8AwCzWbO1aLtsw1z2ZrIe+boQ0aXFHzdMeKnRXrFdvudS5AKX3bG+tmeay8l0u3Npvfbu + v+VtsLsw0PJ89ivptP/7HnyQ2N5OEUszOpKt/6Q6VEy/dEkdGPtu/7IKuu/+PfQlIiIiIjpZpuBh + 7My3zjn3fV/Xdd/300+PbzHpElNGWE+jqksHnhDCdDgd3vuWwxhjxHVZkkN9Uzp2dV1PydYYd72Z + mVk5CN2dncSIiIiIiIiIiIiIiIiIiIjoyhYNUSWZDMNJxBEN6ipAMACWFVktKQCcPg3vNgHUNVJq + VVFFIMmp5ky77rP+1K03vPDlL/mO5z3zjquqq6QPK7NTnjz3WaEhRnH1ZF3XRV3urTGM13BB0uRi + ohB3lQCUOmYarMFCc4sQztzQXHX9Lbe++KbXbHXnPvnpP/3slz7x+Qc/s2g2m6tjfTpu+ebCWhgs + I0ADQqUIknKpmZijeCmcmIYREA5AZSgqNfwIYmJwgcVmK+dmvoXwwJ989V9+4Iu/f+M1z7n1mbff + +bxXXH/6Odc013cb4ue7OergISU3aKxrN+n6DNG6notI37VB4t5qVGXYwjikRXUoXaXLQ0eCQbyM + cSjF+ji04UoxjVM+doy1JiIiIiIiIiI6Wby0HiocEFHxKLkU7j+qBRgqs3gpxG9QhcsYhgQoZLsu + vB7dcu1WmtiapgGwubm5srLCMaV0crh713VN05iZu5ch0IedaQ0gxtj3vZk1TVMGWqsqB9kSERER + ERFd9krmwVIUsbuU/OoSAqvi22XkzGEli3S5/5LY9uPynMdM6wPqEe+q06wYu8qJ74iOHNNwbdev + QiBQtaxLbxfgLjCMAbpLBZRL4q9jmnzItJ42AgCXMERpw1AyLP0i/F1s2NsQJkMrGqx0zTwxj+ZQ + GVsaL/wIIMu4cjJ0bzTHruzzMSf0sNKsl+kQNCzLaesuCoGVPGMxcZuCkHUsI34Ctvw39+guMMnj + aaalp6m6TucRUNJY7Qh6Hcp0xpUnYhgPku2AaheX8Swbtv/Qhl0y0vdcMIYI2PE8HU9hV4hNWdk7 + rzMGqHjJph02Q3BxdbhOB6KOKevbiz3E0E4XNMWOq9HyZPT4xLcftz8jxMd44JIsbhji2K1klHsJ + /XWdoogFjul4lhIMDOCbLJF/Qrggl2NNzYFQ4paH9bUxRl2xfHBO57AMYfblsHcBYOJqwzllipLO + rnAb05TLvaMEVysJy6JDzMQUaH0pb09A1cLSlcchNh116jDR4VB0DY6sUJ+uTiOBL/XgF8Bcdbrz + 5eWLxAUfx+9SKHfIfLroqXpJ1DYgj19CMFyE9nyDoouiruu2bTXgl37pn5w9e/ZDH/qwu+ecRSSl + JFI+LzXnfFCmddE0Tdu2qlp+awwS88ViMZvN1tbWUkoxxpPTuZ+IiIiIiIhOCHGEEOCeUnJ3xEoA + g4t7COG3fuu32i4DSNkAqKrZgU0EboDAHfMm3nvvvf/9f/eTZ9ZORVW3fOnGoB6BEIKZVVWVc37s + 0Ufrum6aZqtdhBA0hK7r+nbRrK184AMf+MVf+qX/733vy4ADVQwbixZAiJqSsXIDEV10UyjypNzS + ukTZ7pt0Oyz/aHp+eXx4XRKRzOWeMh2eb2rzcpcQERHR5cndS7J1iYDFOIJbRKqq6rquTFbXdelu + cZzLSpeOKVe49PPBmDE8/fPw3jelNNUccPfS0shQ9steXdfTgPoSca2qqtq2bVVVpedYVVWLxaKq + KlalICIiIiIiIiIiIiIiIiIioivMkOWcpdS/AgB1iMVgKgCwHXftAgjahNxjtcEsNJvrnaa4Wp0O + qb4mPOOub3/lXc99xdXx+rA5m9lq1c4s59RvmSZ1BcTcBa4IMcpBxQuzqAuCD28HILiLC8zqetaE + WbK+XW9dfU3PnNJr/5M7nvGaO//jB9e/8okvfewjX/gPX3rw8x6qalVdJEQBkHOfkknw6DCDeNRS + hcqjaRre1aeH7bJRpdhml7qsAehc27gCr/0Lm4/99Wc/98HP/cm1q8+8/dkvfsm3vvw5135L36Pb + bKNXUeqtPqnE+XzFzDY3F+KYzWvkvLPwHcaiViqmZWXFoTCTqV6fqauU8mU+1Xy7aPueTrITVfaK + sdZERERERERERMfMh0bDIV3DxmcORAE8oLQhHuUClbr8YhAoNCDvfHdxqB1rpjWAEMI0jHBlZQXA + YrE4vsUh2sHdm6ZJKalqGdradV2M8QiGPU8J1iGEkmzNTGsiIiIiIqLLnKs6hjzLpXTnki+qU9pr + iSYFhmxgMdvTsrNvrW2TIbN270/25MLq+Cv2eM1G253t1EsL2J7KUALfEyY9LKGHcWVMh6jUMU7X + xwBRSWrb7W5PgbnozrLkOpU2LqnhJhHYDsI87kdTwMSe4PRZkMVcvazUkEnsigMrONvh1mSXIUu9 + 7GVHxFJdeAcgqQS3D5NDDQHQE7Dlv9n9JXnMfd8OexZXg+hwHjscilTinV3kcJNrXacjftjOMoSI + LyXT65TCG8Z042mXAGN+8RBlvfS7rsGqIa+6NDQvvfOejseqbuIm2zHniqwAApZjsD2ITa3r8KUa + 9JLHfsM+Hlg2da0u8e6+7zsTAEAdYcjxRRb0OrwIMfEQHAFDKLtJzqEXR8xBxwB2F1NXQAVlDwzx + 5WXmBpQz99IljqrUcJWsbiid9V3NBWjUdSlltxyH25+bNgSyDxxlq04v2nBoio4XDFP48HuSq/Lp + g5KdrUAcYw3sUj6eFTYDynU+m3bDKTycswLf0eG5MgMMGbu+z4wXLgDIAAR5+r6B4Xj2CzxieFRg + e69JLE9szCM3CLZ3og3LTxeViM9m9cbGVl3PupTuvvvNP/ZjP/7BD35YBXUdF23vjhCqvs+AqqpZ + OmhWbdsCKLdszKxt26ZpAMzn81LGNMaInVVNiYiIiIiIiCYlZKWqKoWklLKbuW31/Tvuvbc0dYUQ + S+61iLjvuq0xEqiKJ1+0qW/Pv+c97/mh7/v+1HZBl5s6lyY/QYPQj1PqOhExs6C6sraaUupzqpum + TTn3ab6y+u/++I9+7hd+/k8/+hEHYqzh1uXUpuyAiLgo1CGC5cRxblsiemK274otXaWXr8+634uX + KPEdq4k9n02XRAL0E+ePs8923GoZb6Pvvh+Bnbv+oE104bfab7a7bzrsXdrDPuQus909eZztJjvv + 3O3mAAS242Y0ERER0eWljEVNKU2dKNy973t3TymJSMkJ7rqOmdb0ZJVR1cuVNw+7CqeZhRBKR6Ay + yJqZ1leC5QH1JdO66zoAIYTSWwzAxsbG6uoqr2NERERERERERERERERERER0xSqR1SZD/T41VYvB + BOIQy4JcIkkEJrCAagV5gX7Dz/TXrtl1d1z/kle+6NXPvu5bQ19jyz1pRBM1WEJKFptKQyUinpGT + iYuqqMSMvN+yjBUjfVchOhW49wlJA8IKVmDBs/fovYqK2TNnV13//G999e3/2dfOP3DfX33s/r/+ + +IOLv16kjTZuuqQQJThCFkPsIVlU4BCo61K1KCvFplAKew118yBq81grYur6PiUF4ixDth7tvrze + fe2rn/n0+z71r5/ztOfdedu33/bsO66bPWOlXaukttSvL/qIajarVcQsSxmhINnESm0xAPBKcoOh + 0mAqJfEUNgwckbE6mcAhLrp3GAvREWCsNRERERERERHRyaFDSf2pEoogaTSpIL34UYRIT5VxshrU + 4QiALKXE7F7C45NSKsMIy/jVvu9ns1kZO33ci0YEESljnsvxOWUkHLacs8hwwk6J2kRERERERHQF + WO4CNGbMig3xo0MHPQCQscauQQG4mCxV3d0vCXOK3933R3v+6YohoPdCsZpjSPYwB0ecQotdzMrj + wanbthRWLS4uUFcr4dMyxCGLRUUqcbpPuazwdkDvtCwlHbMUPi5ZmGWpTtAjnuijws23N+/Oas62 + 3/PDrewm4xsNkccuVqJwxSBWjmUZg9odKmNM6aX6CBniU4e1LisNcTWoAkvFzA0C8XCYbbOqQzA8 + xq63Vo4Jx3LfX5FhB5iM56YJ1IcztwTs+nYr8nTElbNG1N2gCjMfrkVYms/yb6WwdEw6gJKUvLO5 + 3IdK+yow6cefLJ+54uXAkqW1c4Ucckz7JW661jpsusqVg1OhUkLu3URUNYmZuFYWxDXrECQMmHhU + V0HCGN48Xkou+RqR6uOn6Y4y9+KicDVMbbMlF3mfg228E2QYN844PQzmUBeFi0AFBiRx1fKB44BD + RB02fKCj7JvDW92jYJoAQBIkDSEN5XIvAldI+f5gENMSEOUAEIYYdUDcIOI+DJwoOerjVrXhs7t8 + uNjS43jh3floYpDsMEeEwxAdyYZRAfvcJePF5KIzs3Pnzs3nqyl1s/nMTX75l3/5J37iJz784Y93 + XV+mKfcEL1xzdjabLRYLVS33a1R1NpuVmstmVtd1qWeac1ZVZloTERERERHRLiLi7jEEVU1dD5W6 + agx49+/+7gMPfm1o1HWfHi/AsosADgHe9vZ7vvd7vkdj2BG3THvMZrO2bQFAJeesIbj7+tZmiPW/ + f98f/8L/8b9//P5PlJtYoaq3+s6BEIK7C2BuOaUQY07puNeDiC43sl+u7WVQLOag0F+G+F5RuLt5 + w4eIiIiuWCUCFkDf9yGEkgUbY3T30qcipQSghASLCBOC6Ykrcemli07ptHPYXXRKjvXyu5S+Q9Pg + a7oslWtXXdeLxaIEV8cYVdXMMA7zX11dNbNyTPI6RkRERERERERERERERERERFcmk+2xA+oavBR5 + MpMh41l9KA0pIlWex81wRq976W2v/Lt3vObZp24Lm7N4rvEkAEIQE1jyoF6v1ptb5zWrqqqESit3 + d5Pkad9+O+IaPJRCcOI+VOgSAyxWKgY3B6DQUpwL0LaPYjFiXonNJa2sXP2MF9z8d1/8H3350S/8 + 2V999P7P3/fo4utaGZDcTNS99qy9+Fjga2/Mi0B9LHoo0CCLduGGWT1rqialrvc+a8YMKW3VccvR + ffrsn37q33/i6pUbnnnm5pff+u3fcv1tN1brrpYAACAASURBVDztxpji5vpmlWP0SkwqGconlspj + Bi0bXDSrAyhl/WxYcYyVx8TgaqXUH8c2XDHcHRD3xx2jfEQYa01EREREREREdILImBSEsXGzDaEN + IeZ+qJQvh14ZX00BJM1Qg6uUkGhFFgAIpUXzBIzUm/J6RaTruqqqzIyZ1nRCiEgZ8ywiOeemafq+ + jzEe9rDn5RzraZS1mXF4LRERERER0WVNxUvcozlQerA54KKA2ZhxWYpQlzDmMZUZJfh6KTNh+6n6 + wX9L7htZPWVOL73d3kXdb+aaFQ5B6fcnQ+/BEvApPmRgq48Z0gLAXGCO4DoErqJSuEnvwwZRdQke + 1Q2wFJ5c97zliUvo5bhKNr6YBRo8i4sD6YT92b1caXrcEQcuoriF5V8o8cC79v7OPX7o3d5KzvG4 + zNuZ1jBBVi9tpGKIw3JK2j+T/RKhrhi60pbepsODl4adcpAjm0CH1QwHzeoiWc7XHvjSj2S4jKD0 + AFbkcoQoINAppFq9JHaXFu8gXpZ8iM0WL9NDS/NVeZdxPpOsmkWzopzLggyYlkzf8UyHq3hUD+IK + 6T1smWRA4ApEeICXTpJSIm4BczGUEGYHLDCM9iAO7ccgcBcbI+fVoQYFtOSXB0tiaGDiqqYmWtKe + vcQRW1BTAVzNhuMi+ZBPjEv65BWgzmUt1EUNGB8BwCUDEBiGj6q49MloCpTPaGz3d995pS2brJw1 + MHEpmdbqSV1l+Bw0iCpSlrLZy5lyyZIux7Z8xAPlsyAAVTnZyyQqBhgkW/lg8oClXOrSg3+4hGKI + sd/1hUTKp7rYcOHdc/qP76Uu1ocE+PCNyc0RgTxONnxLwYW/MtFTEESaqqqjioX19fW1tbWrrz7z + xje+4Ud+5LX33//nKhCBuYtIuRFz0HwWiwWAUpy0dN6vqqpkkg1vFEK5jcLCtURERERERLTX9Ndi + zrnPaVbNVMIj5x69+y2/YUAImrOVOJbH6bw6tkLEOuYufewT97//gx961d95pS+15wyFCfa0Vxz0 + +pXAzPq+P3X6qpzzI48+cvqaqxdt+qP3f+DnfuHnP/HpTxkQY9WnHhq6PgEKkZwdQIgRTLMmoqfE + ppbffa/Au160S7ydWBzie0rzTLcwxpVduqt8aa/wfvv0ce6w7Iotf+LZz3unXH73abZ7Fml5eQ5r + a4/Lts+e9/0WbKnDxiXmwt+jxs4z041uYM9qjnO4Ir+QERER0RWjZFqXJODS3lWSXwHMZrPFYlFV + Vd/35RUOVqUnyMxEJMaIpS46h51pXd53uWsQxl5DdHnr+75cykqmdRnUXxKs+75vmsbdyzRlMiIi + IiIiIiIiIiIiIiIiIqIrjSx1sB/Gkoy95U0shVL2CmqoUl31c1+vztRPf8ULX/W373z1tfEG3Yxh + vYkpwqQOtUpIlkWSas5+rtvKq7NTOSOllC27mCAEbNcZ2zlyw9RVTNUjYKYJrmM5QXc3qLi4mWUz + N4QQQqxmMussZe9FDQGCuslhZledqp5+54u+Y+vFm1986C8+8hcf+vSX/+yx/uu21lo8lzQP67hU + KqpsB3WImzqiA0BWLHpvViqxsLXViun/z96dBktyXXdi/59z7s2sqrd0N7qxr42FgEBwASWQlEhK + lGSTjvFosxShsTT6MGF+GC0ez4gaLRGO8Ac7NIqwwyFrNOExJXI04uIIhy3JFIeWhhwtpLhABEAA + BEDs+9L78paqzLz3nuMPt6r6YRVBsYF+5PlFR0X1e1X5MrOyMqvucv6j0SiAu75vI5hRFEOZhohm + VLbs8fs3nnr8ntv5rvbgBde+443vuuHim8Z5Hd14bCvolSzAggCFlQmFFVDwTKGLeSIMgOucDpsP + qToze+XboJ6Y+4adU8PbPNbaOeecc84555xz7tywbMkl1CwPAUC8GZuNJq7nIajW9tyzjebp2grL + qFkqNRWJoDsiU/Tsx6e8smW5QDOrEwjN7JxqenPf4XLOMUZmrnOtmTmf/TqJNYmh3pqZB1o755xz + zjnn3HcINqu5sATjGhC7KLarNK+hPU/ZNCbTRf6isj0/sfh51XzP/Fyp2JmHvdwQNwbmgcSLVht6 + YXlgU8yH9CmwjKlWozqQThdRoC/8E7wY+DgPmZ7H6s4DVmEMsHLK0hsPsBYWRBkKKC0jeL85ZMzz + 7Mz5Ss6zeAEyFoURitVo3nOCnonkBBYlmF9xD7CY4vnDHGGsBDbo8173ZQumvjD3+luMakOozlfJ + QMqmoFKjz0VrNLsowuJXu3bkZY1ermGspIAKYKT1dazvBV0cdTW29my3Ei9GtaqR1gPAgEWgdd3z + y7B5gDJoGdbC9S2sBIDPjI415sVi2VR5GUNeFzyPmF0kWz9vZQxcWAxcGGTzI6EARLqjvLgyVMGL + c4KCMmuY/4X5w86M1TXiZZD2/PG7vPL+2aOkVs/lULL5gGydB13PT4wAjJgUZIJ5+HwubIXVKJMF + AciYqMAAghKMS33ut0cYMBmMGMYMKgCT6fzaWi959TH1TQw2A6mSEmCk9XJINTj5xadWygwGtL7j + DKRgUI0G58VFU5VAZoyzfWY+65QMNBiZgWABRLBQ+8vqQYh6PjQAtAy0BuZ9WMvlvPA08vzYJ1s+ + i3Z+Xtpx3dz5XMzPw/XoxWKUP+04qTCwDN5+8QcY9/fEzF3Xich43AKIMa6trX3oQx/6uZ/7uUce + eVwVhnnPoIiU8rL7v0ZW104TEUkp1TBsM8s51x6cupzaw/KabaBzzjnnnHPOuXOfqpZSAgsRMTMJ + b2xvPfjww1+9+2sxUJeV5o07AFDHCr7coiRwSdoPuRUuRf/oT/74lu++eRwaz0d8BV3Xre1Z39zc + lBhW96x//P/8xP/627/z8JOPK0CEYsg5EVG2AnreiOaSM4iISPO8NfIlIjudc+7lkb1EX/eZ3y7u + zBuPAbwo9ngX4ZcKtH5Jr5wN/G1s2dewsw+iHiEvuH2xFzwGz+/IeLlnvcZe+MKeA6v0GtP5SJUz + t3W8hHPOOefcd5qaZl0jYHPOIlJKAdB1HYCUUm3+qj+vUcHOvbJ6zCxH49Q7r8Gs5+Xyl8eqmflU + 6297y8T0Or++/peIVHV5vx6Ey4Fkr+PaOuecc84555xzzjnnnHPOOeecc869HrQWn9RFaUc2AFpY + C+dCABAKN2k8GlbG/fqbrrzlB972voOXXt+fzrbJk2Y9kGQrMUgqxSzXOXxkxiQsMnSJOApHEiJj + VbVaYe4lJ1xAxZRsAEBqxgpTJRhBLQMKYw4gCAGqapqSqUgkCcUyCgkJF7KCEdZpxo3Nrltbu+rd + 37XNJ7/+9D13Pf6Ve56+PYeZIhU2pWystqyGBwBnaqCRQVSbIKlLhNQ2AuOUZwCaUYAhl8xAaIjM + ZmWbgTDC4f7kuGnuPXH8vj//6v7mopsuf8tbr7nlyv3XjGQcSsvasgaYwAKpgAqjYF5XsBZ7pPkd + esHQJn35ap/OnV0ea+2cc84555xzzjl3zljWtiMswkrsfZ/99N3veddo4NGgO2dvnj3JyjiEtmQM + GVD0hoaREzXhJaZsngO13pb7xOeUunNKCAFADUJQ1dcmc31n7sJyorW/NZxzzjnnnHNnz6K4jxdz + fX2pBEppyKoixCJmBjNiKqWwkBATUSmllMJgFlFkAKCa26dquqzTpKo2DwUUZiZDYdUmJQylFEBr + ckOt+CQiZqY6DxQkIuYgFmngYEIkgCnBzIxgZiysqmrEzPU3BCE2oJBlUyJhZgaTqpZs9T8ggln9 + cs0cJVAqfQzRBoMZEYMow4qk1JzOsRuSxTAR5WARMx2HVU2B9VVUnppngRtTHXE4T3JVMgsh5pxN + SSAEIeKcc+BQzpkkSwZMaVn8moyXjRL1JWajnc0URPP2vQIjIhiICGQgqJqiACCyWsVLVVVVpDmL + G2BsqCHi8zLRVIdXUkFO7WiUh0RgIynGVkwCM8luPgtxLlTfVnmYCSEEVjNmy5QJbGRktWp2TYK3 + s53BbC8oSE9WjyeiyGAyMiWyYmYAEYXBjDmICKieDKzAiLhWglPVQMTMkVlVi2YNAyQDALTAiIyI + iM0AgxY7cz4hIkGI2pAylK2eSmoKOOZ1Bmme912I6vBlE4w0sRnH2BBTSkW1ryerUmBMEgJRzJq0 + qC3fAO6lqXEqpTCaiABlAQVCxkCkRkpMSqyZwIGtMSRudWbTRBpH3PfbjY3GPNFSmHQew0wJlIDM + FAnBILs3jNmAxIUpmBYthZmFBaQoxbQEYtQEdWOSQCRmxdDDssFqoi4AIgWpWR3jz9iZCaFEpQgJ + cSigYmakRGRCKQ1RCGpkaENLxmXIZhkSls9ell/cRTG9IUg39LAYpIGFRRpIYSmW0/ysoIGppdKm + 3Deh7lIjAZiUCKZZ56VI1RQAkRhgzw+FqNfseQoFzXc5GRNRUZgZg5gbFAMp0fyEUwO0icwWF506 + J2B37NxdqF4OauA0makOSsyC887b9+///R/85E/+1JEjx0QkpQKo7si0rv0jRBRCSCkB2JkoVgsu + 1w6U+kgAKaUY486aufUnL/gQ5ZxzzrlzRK0+3/d927b1Ql8L4i9vl5+BPVPBOefct0SMsZQSQiCW + jdOb6+ft/zf/+781YMgGQA0ASikE2MtnWgMoWUlgZf5F9ROf+MSv/ctfobX1tZVJztnMSimj0Wjo + +pcc73cuZF6+9kITp9NpbBuJ8Y//9E9/8Zd/mYCyLMkgjEWTMoRR5r+Zf+U3MIvpInR20XA2Ho9n + s9mZhznn3EsRicMwBBYsWimZubYu7kQAG2q39+5OwLX5hrwA78gbqz0OIQSF6Ste8s59DMo5xxiJ + aBgGEQmh6bpO4nzwf+29LaXMN1nNAGJaDtQ3sxp7bEyBeN5niflvmXfuSrM6FoMoiAzDUMO06vK1 + KIHqI+pOrvu2dl0s+3qWy6pdqPoqO8dfHKc9T24u86byrCXGmHMmIhEpJdcNt1I7hSHENdTwBUtb + Bn6f4+p6isgwDGQQkeUImVLKUMrKykrXdV3f7z1v38bWVillNBmXlJk5pSQiXGtUsZid6Sdyzjnn + nPv2Uz/yLdOsl1+Clq0oy0+n3v/ivnE7Wzvnw5hfw/nOy2PVJ1l/R1l+6cOLzlfLfGs/jznnnHPO + Oeecc84555xzzjnnnHOv1mIQEchHEO1WyqYMNYPYfFIMSBWqQcEYEiYhhH68kvZc2l79vnf+lzdf + /r1NGuO4NhCEYFoGY4tcYGAllLoQUZHSAsRc1ABDLSgHoJYSnM9C2VGqkQFAiTqmOo+Ca7VBIygB + JACBuJgCYFOg/kAViQuCBTJmm1fIU4JpAYUxrTR9M+LVd1148dsvee82bd772FfvfODLjx+9f5uO + lbbLTSqCQpSyESAhmpGWJGYizEVaCsSlIBcUExghw8iEqDGDFiVkZjBghkkAypCpYBQO6dbhx5/4 + wpN/sRLWv+fGd95w2Ruv2v+Gpl+R6XiN98UyTtOOIxdKpsTCEDaY1lF5NJ9LQkSkZiiLklj+Rvt2 + ZvMpR0TEfd+/3qsz57HWzjnnnHPOOeecc68nAxSoVU52RkTXmBgw0IZTrVz8GrYcxlF76OTpA6sX + oBiKYZVgAAtDdV6if56d8h1ZJc8555xzzjnnnHPuHEPa58TCDQsAU4MpzKBoYlTVkksdtxQlEhED + RZWZlKxYAisisuXBehKCQIRIMJTS9/10Ot3uto8dOdyVWUp9SinnnEvKOatqKYWImCSEEEJomiaE + JnC8aP3iUVxZW1kZteMQAhmbERtb0iaOgkaoQiVQgMLMcskixCGoah6SGYnIKDQpJZDWoGUOxBRV + NaWe2aCZzBhCRIVgrDNsf/rz/9fQbmUKwo32JiorvHrDZW+84cK3sI6/8f0JMgXElC3ME64JAEJo + i5UCIiGjoEpQlnaUdFBVGIPOjVuS2uhIRAQjQo3krK8XUDOqz6Q11EcshoEaFmW42yAGUVU1NTUW + IgkhsuWzXaacdV74vf4hZRSCNrHRXEpS4gJmsBkZN00ZBrLXe59/s7dGCmEQQGiahmGqWTUzAs8H + 0mIR3Qw2PvtNsnrmDumyBjkbM0lOmQo1zSiEqJpVCwkLKJmmXIiIhViEzRSlaObAEi3pdp8HRYpt + 5JEOMu3K5nQ63dra2Jpuz2bbXdellLa7qZntLMJORAxe4dVRM1pdXV9b27M63jOZrIza1VEc97Mh + UAgIpJRyIQUIDLEs42YN0KHvVbUN0sSQS4oSNcDMck65mDEFCSEETcNZ36m7mVAgZqEgqKduA4HN + Qiub3TZFDuOmk2TMRjIbNp858tBAM0SSKGXo13jvtfvewqFp5iccNgiMCIEssMoywH43UoIRFctt + 24hqN50JNTFGGwoLgaGAkiono1Rgg83iuCgGkBZYKXnWT6fb09kwKyXVc3YIIca2aZo2Nk0M4zhC + RilCaJgbmJRMecgrkwnDSs6WymzoKYON4misqstg+F0Xx8tGQ2+MJsiYEHMppEqsqhnQIXUhhPFo + lEFdl4I0o9WVLh0xGYioWE7IRtkCSeTt3KcyzIauG/qUUtakCjMbNaMmxNFo0rZtjJGNtcCKkVKU + kSBSAZmwiCCYURvGqqqlDv03MpgVQ5Z6Mq4ncwDfqbFSr5emafbt2/eJT3zip3/6p48ePUkEM8QQ + h5yWR3t9C6SU2rZ9uSH782gQohpIMwxD0zQAajLHsoBpzcvMOYfgQ+6dc865c0Wd59y27bxhanEp + r5+Ba9xavSMiy4hr55xz7puzvNyUUsbjiTE9+uijn/70pxVQvLpIQxZRLQAKQEDS8ocf+/j/8Bu/ + sbVxumYrmln9WupZy0tENF6ZnNrYCKbvf//733DdNV9/6BESEiAXgyqAEGPOGaWADIooIZccWKBq + pQgxG4gomzax6dMwm81CCKq62zNZnXNn1dD1tZGwXgjq+XkZ67vTPOF2l59RzFBw5sJmdCaouH63 + qrF2qlqTj3f7NJJ6tU0pMXPdNDMbr0xms1ntrCmmfd+HJgrLdDYbTVZMdSgFAAkTMQAykxBKKX3O + qD2bzLXdOauykIDMrHa11zytWd81TSOxGXK2lJiZmFQ1iphZjQysyyEimvfb2zJ67Vv+CUFEIJxz + NkI39CRChJyGUvLqZGU6nTJzG6MVNbOmaV6c7L67DMNQA8VzzjklMAUWMEWOqWSJYdKEk6dPN6N2 + ZW3t5MYpJhnHMG4mUFPVPAxDN4zb0eu9Hc4555xzzjnnnHPOOeecc84555xzzjnnnHPOOefcN4UK + oHXOyLzMH6kRhgwErI9Gs5N6fnPRjRe/5cfe/lMXjy6XrVEsEaiFGckIdV6BkgIKgigAUrBAYAyz + ndnVfxczHhRmIAMrsYEVBKvVnAQAWZ3II2RWZ7sQIMpsTAaAFpNhVAmAsjJrjIhibKQrtLbvkv3f + e9U7T6cj9zx9+x0P3frE8cemPC2NNmIZmnPKpBJEraSkXGrVgtIP4IDRBMV4NtVG5gWm1GpMSy0J + uCyVWExKYijPOp7OeOMz937yr776mcvXr37bde+46bKbU5yNeK1Zb5FFqCGg5Gw5ExHbfLYIU004 + VoMRiIWIKOuZClfOvTa8xpZzzjnnnHPOOefcuYPn7Z+10E9NuY5xKzZ5XozGznaWtBJK1++ftLqx + /ckffv+P3vG1QiMhxTAQN0IAcW083eXFiJxzzjnnnHPOOee+jTDVWsalqCqYgjATiXZZKDBHYiIB + EaWUuqFbHY9A1utQrLNQLOimbpzsTzz13OMnZycOnXz2yOnDp7ZPdqkvlpUSBRTLi/r+CsDMDGUe + rjAf8cY1JYhIbDCGMKSV0fp4zwXnXXj5/isPrJ5/+f4r9sn+tbhOfaAsQNuiMeUgk1rsm8GRYUpQ + WG+tRGIrpWTNRISQIEAt3KxGBmIiooRhEDqlJ2595HPb4aiOWwWXQXkI68163CfXyI0hf8Ox1ott + rCMadzSD8bTvpREVKSjZCoGILDIpGXAO/TMMgJoRFkMe63BIFgZR3SIzqlWwbf6KznNPies2ExO6 + lGvVbGYhNSvzkuy1TvdZwwo+M1SUcs3aRq0abywxgCmjWETXD7N+axIastd/t3+TLxZpX/ogIRcV + QwQzkXBgYrUaLF1fnzqClmF4NWN2vxm6o+V3GThMxiWVJo5CE0pJ3TAARlxUFW2rpkomaqYCU1hR + JIp5CN3A3RadPtEfefrEE88dfebI9uGnjj85aJdzNlMiYkExM5Sa2mKLguyL8wkJw8xITbNxkSaM + VprVlbjnyouuOn/tokvPP3jhnktWV/YGbclEShBuulxUM9WkcCGYElkaemYmcAS3IRpBC1LXSyN2 + lnfp7sUWtCemmtFQkvVC1oQ2UDPrurXV82bUTW0zrXXPbjz5N3d97vZ7vxyabrAuwdgwkcn1579p + /3svPG90cemJNKpFaKwnGkljAJAelF/fzfz7MELKGaaNhFEjVpJlamLIZsnKQENpko1L4m6aNzby + yfueuPvY1uGjRw8fP3liu5sWy0TzY7/Aaig1kTBzZBHCBfv2rrZr569fevn511y2/w0HVi6ZNOtN + abqN7UaawCKhYXCiUmAhinbzOIGd76Ndw0LQhiABMFMrSYRESJmIQruyr5htTfusZTxe4ZCP9kdt + sm1tylQ2p6efO/r000ceP3TqmY3ZyeOnDyV0vc6S9YpMZPVTELi1bDlrQFxr1w/sOf/89Yv2jvdd + deHVF6xddP7KBW2YhNJwiZooDyBag4nUGQ5ETEYkxKGUZKS1v0wBo/knpF0c0n7uI8ViDw+pa0fx + iisu/3f/7iM/+7P/eDqdpmQppxhjTf+qzxiNRl3XpZRebpEhhPrZuL4J68daM1vGV29tba2srLRt + m1KqATbOOeecO0fknEMIfd+3bQtgeecFWV/LuOvXc12dc87tfmQYtW1KaRgG4h5MH/3Ex7uczjQD + LOse/F2YWcu8IZQABX30Yx/75X/239ZhwUGEVUspTYg1ZfNsbdKuorCu68bjsREU9Au/8Asf/NV/ + OUvzFt0gnIvmITFDzSIHUI6Ea6+55tJLL73gggtyznfcccfjTz4JszZISkNgqfG0BtQc09d3A51z + 56ymaSLLxvbWZDJR1dFo1KchNPHFDe9kkN3fPqw8L69TLWOtzYyIjTDtZlCbTCZENAwDdlcHxIv0 + fR9CqG3CzahFSn3fY6AYG9R24yCTldWt2VQ17d2//+TpTWYOsanp5idPnTp69OipU6ceeezR6XS6 + sbExnU77vu/7vuu6YeiYOYTQNM14PF5bW9u/f/+BAwfW1tauvPyKffv2ra+vi4TauVC741MuAATz + QOuiWko2VREmWszeAQCQWoGRfDOfE+av6XymEinBTD/2hx/9q899TmFE0rZtzjmlfqVtP/jBD15z + 8OoQQup7MgjxYrjILlZ74syMhBtpi2nJhZglxNMbG6OViZpx0wyqX/zc53MeSrFSkuZipdx4ww3X + Xn11pzP7hj/7Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPnEFIlBdVZMPN0ZiMoIUbARLfC + Be0F3//G973/5n84Or2CTTbOSZQtkkaAyRCgRqoEI4YyGSvAhMIFeIk6P7r403jRYPwakj2PiwYD + DBOb3wEAMjBApgAYCkPQCDCggIHqmihgNq+tCLb57AmxQgoedM94JQ3jUVk7/+Jr333VTzx9+omH + Dt37t1//wtHtZ7f0WGkpxTwMAwSTlUgppX4gwuqqKGy2pQodtWwlo/4FQiEsU1oiQEYggpHACArN + g82EU4ftB0+efvK2hz5z559esPeSG6+96carbj4vXLmie0TZVKPyKIyiSUkqINNiZpmKQQtjKGpm + jXjJI/da81hr55xzzjnnnHPOuXPE8zOtAUBBAIfMoljUXSU722VQmkncmPaTUPatGZjmsURthBkM + xigA1SbneSiOZ1w755xzzjnnnHPOvX6MRbioWoEpB2aCGNgMShBhUJ6V3opKZBoRRvloepZDmeX+ + 2eNPP37okScPP/HsqWdOdMdlBYPMMuUsqYScQjKUYplo3iBVM2iJ5pV6a9luM5iZab1vAKwx5iAU + ZiqnypFnDj1277N3NGUchriv3X/pvisOXnjV1Zdcd8n+Sy2uaGLtghVhMHOICGBCMVUNzFZqkCQX + Vc0FQhSYMf85kSlSsaSBN2enh3ZrU05pDImIRUIjqWxuynGVl000fDHdUad7gevISx6xtUC0PvWq + s0hR1YSJNS1HTp4LiGs6MACQMS0MfRY6AwBDCKJKi2zyeX5wbatsRo0ZWQEpmZJQYGYW9GkG6NlL + S2UjJRDUSJfDUNmYwMQEtlnqhpAlNDJWQ+mGKe3aytFGKIzQtGUGLpITWo6WLeeMwAxb7ALFmbZa + vMbH23yQroiqdtoZCgWTYKBStKQ+W2CJRIzC/WDDgGmi2f2P3fvM8ccffu6Bw6ee7rBprRZOAw3a + Ui+9sSopA2AyMwXColx+PXiXx1cuIAID1IAUwbDJMeb26cce5NxIGrW0sn/1wisvvubgFddcsv/K + 8+KFrYyCCEP6NMySUqHIMhmPLZupmsJKJiI2iwHqmdavaNxOhmHIOQOFiEh4sDRLszAOJ8pRrOlT + G4/8p8/+f3c/efvQTG3/MMOskGZQ6XMq28fLEZpYmg5MIiSw5xXZJ9v92TxKTYhCTETgoFZm2htl + jWat9mF2ZOvZhx+694Gn7n388CPHtg/TGiXOqpnIMCEjqOaCUmN0bY7qOVwMR088vtKMRicf+ML9 + n6fZ+LzxhW+45IYrLjj43TfdMuTQ9xS0HfEEEixRt52bIMvzw3xZi9zs13MvfaO44VHOWTUTq5AK + MxnBqEslD1la4RUmpG0c1TB07ezOp+585LmHH3nskeObRzhomCDRbJa3EFOhwbgYAzI/nxhhyFs0 + ggBkmJajxzaefOhEIzmM7lwZ0+re0lms9QAAIABJREFUZt+l513+hiuuP3jZtQf2HBjTetmechmZ + FSiRsRmZKQZlZgWs7up5sjVQz9S7Yk/vcjHK9vZ0bW3tu77ru37/93/vZ37mZ0VAhGWCdYwxpZRz + JqJXiNlYBmCvrq4u3ybMbGZd141Go9XV1RqZuUveQc4559x3kPr5uW1bVSWiekdVQwjb29vj8VhV + 62W9aZp6QX+9V9k559wuJiK1fSw0MZumIX/0ox9loMy7Sb7hBRHVr6IkrLkoEESefu7ZT3360z/5 + Ez+e+gGLYEssulocAAZl06HvR5Nx1/U/8WM//tv/+ncefeyJbBCGqZIhEFRxyYEDP/IjP/KD73n3 + O9/5zv3796uqKkII0+n0rrvu+qc///PPHTnMINVCMv9s4JnWzrlX0Pd9Yl7fu3e6tUXC/Ww6Ho+z + lqIvceogA9kubh9WgtGZWOudjapClHJq23ZtfT2n1M06AKPRKJX8Oqzot47CQhOZuRv6ruskhmbU + mpkydV1Hwmw6TX0zGW9ubt56+20PPfTIE08+ec8993z9619/5plntqfbdS+FEHLOyz32grzjF8cf + M0DA/vP2XnbZZVddddX1119/0003XX3VwRvecH3tMytmVgoAaWIIQXMiIqjVPGYGGRPj79s3bouu + DQnhk5/61F98/vMAbPHSM8DABz7wASKqje2TyYQVs9ksxt1dDmk0GmUtfd8RkYhkVQaaGLdms7W9 + e1LJOdv//Ud/9K9/93fve+hBLGp0ETAO4Vd/5Vd+8ed/vmmiZ1o755xzzjnnnHPOOeecc84555xz + zjnnnHPOOeec2+V4EQ4NraWZeqw3e9JMvvvGd/7wW/9B2FoZlzUtCs5GqgamxdwZWhRZsvlzKyMl + KF5lSbFCYT6fpRaKmq8YAfM/RzCAGUqLoGtAQcVIjYvuSMtmY9SQaRgW9aDadsQWotHY2tKhSXrd + aO3K6w6++4b3Hpo+/rUnvnrnI7c9u/F0itlM+9Pbo5ajaFYM0wJBGxlgK8a63G8oYAAKZqiywtQA + JjIiYjEzaFEUWYmmw3Y60dnGxtaxJ+66/z9+5T+87bJ3XXfRjdddcd155+0vXdiczmIKUUPOHEIT + QjTiYjBSDoGZNenur9Hm/g5m9qIZSK8nr83hnHPOOeecc845d27Y0WRU2yelNhaqcAn82qU7WD+k + FcF4NHq061EsAwzj2hJLuijP4pxzzjnnnHPOOefOFVlZCwtRiIGAnLPmbKJhJINOO/RoC7dInDe2 + Tx2dPnvXU7c+eeSRpw490w3bMmJqKLd9FzqJnCmr1txXY4FQEJLlHzIzmC6CN+fJCkQgAoGI6g0B + alaK5ppJnSL3SiICxnY4dmz29N0Pfcnuxcp47apLDx688Ppbrv3Bse6NHJHKtJtykTa0bRwNXYIa + M0IUFsllKKrMXECkYGYgG7JSb6HdmJ1OcdAGqc2DIobMCP1MT82OKr3qstoGNvBifKQqWZYhSffc + yWf70EkLRCOiPvcEi5TpXBoQhkJYpFkzMxNHjkTU7h2TwayWvQZQNzJKmoiFmpZBxmQQCENm3WlG + FMRATaQGSpqRNFNkO6tJwGQMKJRMF/XTCcYpKRgyYpkIx+HxE/eXOCAWYdvVsdas0ToJXXPx+mWc + o2ZrqJU4yjYsH/bab2AdPUyL40QB1VI0G4OjZUvTMqWQ27ZpKRBpT7Pj6cSTG4/d/9w9X3/6rqdO + PpZDpyERKa+DQWygDDUtSkQIIkrEBiUFEZigyzB2LFuhybQNyyr6MEAVQ0k9EsdIUWS8vWUnj5dn + H3ryTn5S2jI6uOe6Gy678dqDN+xfv3BlsnfE65yafmZ5SKIsxEFgmkwHZrRNM8vsjd4vT7eGE0TS + hBEsaDYQrMk2xvb41GPHvv75v/rs1564bQjbtp77vN0NyABFcNOINYwAYZGYUxmDgAIaQMVkC1Cz + BJVXEfxz7mFDE2LOmrMmIomNSkqh0Ng29ch9T9z5lfv/5rGj909x2sa5tEOKStKoEYKqFSU1AgmE + qM/D/GLKYBDNQ6l50rYplWk+jiC8p9nm44eOPciHwh/dOXrrtW9723XvuGLv9WIXhG51hNUmxsE2 + jdJyDXdbAJKq9Wo5iIVIqpy1qHExbtfWu36zk55H/aYdfvLQfXc98MW7H79nI/TaMBro/pQ0F+2N + CkbGrCAF6q6EKVRhhiiAgQggWEQJWYFieWtrq+HTJ+no48ce/vyhv1y5a+2Kiy8/eP6133ftD63S + vpYmXALnINZGxMBSzOoUCCVdXBCXWQ/uW2dn32jdz6QAhHjcxNlsO8b2TW9+4+/93od+6Zd+aWur + j1EATinVI3+ZWv1yRCSE0Pf91tZWCGGZbE1E4/E4pRRjrBGYTdPU/56tLXXOOefcq5dzFpGaXQ2A + iEIIpZSVlRWgNhkBQNd1o9Ho9VxR55xzux8RDcMwGk9UNefyqT/99KHjR8vzIz9fIrXypZZjqgDM + jIhgRkQKfOQjH/mxH/mHRsg5k5mIaC7La5kzQxMaLb1mFZHxyuQX/+nP//Kv/vooUMrGwNqo+YH3 + fP8HPvCBd33v961OJkTY3twKainlQNwAA+xd73j7bbf+7Y/++I/ddudXVc3MhCW/VDCtc84tra6v + b21tbW9vT1ZWtqdTadvpMBARB3mJRxvIzqne4ldtZ6z1zg0pZnE87rq+74YQQq2JM+RMu7l/B8Bo + NNrc3hKSph2VUroh1a2b9v14ZfLc4SO33377l2+99Ytf/OL999+/3XcENtjiRSYiVlMAZWdDNLHV + /p3FLiQCM3HtoK/lk4RL0cMnTh05ceqOu+8BPgUgiqxNVg4ePPh93/u973nPe95805sOHDgA06Gb + QS2EEIgNpGYGq3ueXm0HGykb9EW9GN0w3P/gAwAMKIAwKcHUzNBOxqnkwBJjVFXN2rat6tkcJPCt + s7NvvR7Y9XbIiUNoRqPamBCCWNFu6DnIsVOnf+/Dv//hj/zBs0cPGdC0o67v6gstwCznUkqMjZZs + RUVe6jzgnHPOOeecc84555xzzjnnnHPOOeecc84555xzzp37DDV1RGlRzEcRbESb4a2X3PJfvesf + NacncRiRySiOOxsWkyl3ZGCDAVVSUAGBjWBMxkAAatnAv3v2AVmNxo624yeY51KjriJI538XWn8H + qLHN//qi4hNZAAQ2LyVnpEqaSY3QGUrpYWXUhIbJNJfBpG9XmtWxrl929Y0/fMNPPrvx+Nceuf2e + R+86vPXkdDhtbZZIRqqatShZrXcUaL58ImIDCgMoWTrMJ42WWvURakZIGREpCjctp6Hf7qZEYSTt + l5/8k1sf/uSe9sANl7/p5mvffvX537XGB9BTizb36HIygGMjkYYyTKezlTj+1rzo7px37hSL81hr + 55xzzjnnnHPOuXNOqW2fBBCg3BR5LSv/BEJRlK2t1ZU9AAVAVQ1FmGBS14uxoxbOLi9L5Jxzzjnn + nHPOObfLMSkFYiICUKyAlYJKyxvdsbBKNCpHt5576LEH7n/0nsefe/T47Ajt67d001qTVVHK2RIR + YgyDZgAMZgBqZmZ5MIMS5vWRF7f1nwSuxZPnKdeq9XlYVLsmNo4KNjPLZeAxnx5mp8uJGCOLnCxH + Dj/z1L2H7v7UX/2Hg+ffcON1N77hyusv2HtJi8nQpaHfXl3ZU/piBUXBzCJiSjCoMsGMFKbEhcmI + cHLjZCoJDCOoIZkFLsw2m2686j1qtQzxvKyzkRUeinRD3P6r2/7snifummFz4JlxAbCyMi59f061 + kGkho8UAzQWBEBEbhxBCCDHGpmna0EYZnbd60UhGk8lkdbKytrK+NllbnayOwyi2DUoQjTlHLoE0 + krFAzAqW2RtnARkAZUB5MbzUgkKaJna5M2gOODkc/6M///jh6dMDzyD6OsQ+f6sY5ZlNeM8br7r5 + J9/3j87bc3HZsJTBxYgCKANGUBCzgg0AFGfKyp8FDGO8MLacAQw5teMxB+p0miWFhgak493xpmkf + f/aRex6664FDXz/SH5o1m8Nols+bqWgNJLUBlhCAtqEYmpwGJgKItKihnmFQ7PlH03wFyIyILWta + JsYKEGAG41w0DQozECEQiFC0fXQ2feTurzVfm1x03hU3XnnzDVe++aL1y0cra2JjHRRJjSiwkEaz + 0g8KT4h5BaSjcZtSGsrUCNqghGHLTh+bPv3nf/nJh47cs4ljsm7TvJEGNBOMGIaQAQVny7kQGdik + lUYSk5IRCkFZGVlpYG4WHQ67VR5KSmmyZ21WZsemR1cvWDmdjvzll/7sjoe/NKMTUz45NFtFchZA + wBE5DaFGGPA87cgAI4sNzGAGGHSZd2C6OetjZJ4EhfVla6aQiDiSpPGvH/r0l+7+3LXn3/Sem973 + pivfMYk2nWpkCuBlOm917oxX/rtoITVRFcpAKtkI1BgFOjEcsoke6w/ddeeXb/36XxzeeASTntYs + M3UlpZyJIA1ijCArqikpGdhAVKcCSGQitZJL/QCjBCWYWdFkhsl5sR+2e52GUWQLXd4+cezoI8cf + uvWOL918zfe87aa3X3bgYJMmeZYsjw0jygxwnRgB4EXnTHd2TafTtbW17ZOnQwht277n+9/1W7/1 + Wx/84K90fWkaAZBzrgmXr3zwl1JKKURUH9y2bX3v5JxVtWmaUoqIlFKY2TOtnXPOuXNKKSWEUO/U + 6/W86UMEdYyTGTOXUjzT2jnn3N9fKaV+Z0wpEcvv/u7vRopqGbBXMXSW5h0oYDZVEAnLkDMDf/u3 + f3vffffddNNNOWcymFm9wO2eJp2zTC2XNBmPu75XK5rDz/7XP/M7v/2/PfXscxfu2/uPf+Zn/5t/ + 8k+uuOxyUgOwdeIkMyaTydB3TWgApL7bM1ndmk1L1g9/+MPfc8stRDSUUltzXtCM5pxzO02n06Zp + +mHo+j60zZDTeGXSDUNWfXE/Xe2o3L29HVpbuxdnxJ0bmFM6b2XfMAwhxrZtp5tbAjARdneKN4ac + mtFIwLOhZ+bV9bXnnnvuK7ff/uef+Y+f/8LfPPjo4wCIoLYspLTouwQBsMUO40W5pDpaYv7ZgBCE + s6optJyJuAYwFK2LUAMRRNjMulKGzY3T937tzq/d/a//j3/LwLUHr/7hH3rvO97xjh/8gfdOaMRt + C2IQGEQMMrzaeGl+/our8x5obG5vHT56pMy7q5BhpoAhAjlnABIDFR2GAVnH4/FuibV+OVk1mgHo + hh7AZDLpc3720OEPfej3P/rxj21NZwVGxGo267u2bfu+J4BBBOPQ5JzZ0LZt3hln7pxzzjnnnHPO + Oeecc84555xzzjnnnHPOOeecc87tCvNg5nmmtRGMwQY2rNBq6FZ+6j0/fV46X8okoC2aZsOM2gjw + fFYFKRsrQAaj5U9ViRkKq5HSr2qFahh2fY7N6whSWUyCUAC2qOxUCAARmy3mbsyn8lgAESnvCE7h + 5fOzWTseM0oaZqkbGgmBGjbut3RtvD+bzWbTg+OVg2+75ofe/P5js2dvfeALDx9+8OljT1sYeALj + lLWHMIoa1Q03QEEQs8JK8zJWKAoxIzIywDAecxm0G1RIJSAEglGmvhtys4rTlL7y1ImvPPjl/aNL + 3nzNLTddffPl+69qwgrnaANZQWNtCKEZrWtJ39Qr7dw3z2OtnXPOOeecc845515nzyvqQwDBgAKE + 2oaauc0s+hol47BBM5oAEM2mW1DlAhaZB6aQAZDdXYbIOeecc84555xz7tuMAiSBVUvKg7FJY5n6 + rX4j7sPXnrjr9oe+8ujR+0/n44NM86TXtZKKKWUisliYiBWqGHLmec1lpVKDRllITExh8+F9NbJ6 + keNodibDmmEAiAhEMQRVzVrMoMVMjag2fCkHcCBiWClKNmg6pX17oP1a/+x9t39u5c71y/cdvOHy + m268/K2X77vy5Ob2WNaitDkpJRGRQCErwFS0FBihECsTiqbjR44GCw0452ZETKlEkhEsTzO/uuYs + XhQen49QVFLjVGSW4+bp/Mx2fA5ryWRapE+pn4FJYh1PeY4QEYWR8eL1MgBkLCJ1fKaZ2WAYwBAQ + pcNFKDCzgAJJQGh5FKm5+MAlB9YuvPT8Ky/ae+nelfPGshpl3JQRb8WgzVlb/fno1TMpoUYAw0Ih + AUmXU44dr+L4cKhvT6RR32lfeLdW0BYNK5OV2fbJbZzsZbqVNpDa1TgWSNEBxqACgA0EBelrcKQx + AONluPgcadtGI52mrsM2NTqz6TNHH3vo0D2fv/8zG3qiT12mTGtA4EwpZ9UMADVBVhqCYVqsDH0b + CTCD1fLtRCb1rFLruT9vVDKb0mww5lYiMQNMakMqRTOYjQkNQ4gFbEVVkbSfUS9rNJLpY93GQ7fd + 89nb9rzxire8+ZrvfsNlb1yNexpZsV64NA1PhEIpiaDLSvTuBci4bBdD4YlhpWzjxKNHH/jyvZ+7 + 7YFbsVJ0lLL127OOA2JA6evrmJVAITeKVuOEAmalyTGU+i4egTIsKtjQqgXb5XnAhjJab051h3Rl + CHunn77j//nL2z9TJv2mnMjSWzAJwsScs/ZaDE2MZkVz0XotZTCDtL4l5rkXAAgQELHkRrOq9glM + zCGIGUoqJZcyWmUd0YNbtz/5xUcufeDPvvtN73r79e8eH10f59Ua5jdfQzOi16iD6e9P2Uwog3PO + BpKWiky38vE82v78V//TbQ9+4UQ5VsZ5OD/11JeiTcGEGTEAlrWkLplBgVEDq0eWgevUBSUyGkU2 + s3p6mk+rEIBpGJIZuEYaWzYzWNnk02m08dlHnvziY39xzUU3vf2G99x4+c1sYXY6T8KES2MEgBfd + d7v7SD430Xyyygv3bQghpXTBBQdOnjyZc26a5j/7z3/oX/2r3/z13/jv+36oeRKllL9z+cuEsJp8 + uUwpW8ZZiYiqMvMuehM555xz3yFEJIRQL99938cYSymqGkLIOdcrOxHtjL5+vVfZOefcLmZmqjrr + tmOMd91zz30P3J9gCrPaK/LNtW4pUZDaJjSYffQTH//N//F/IiIRLqUsmvSBV13E4dtQ/VbeTWck + vGdtfXs2tZR+49d/reu6n/jRHztw3v7Z9ralVHIJxPvW9oBt6KZNlFKymQXm2XR7Mh5x03Dkf/HP + //lv/i//c11yjG1KabfHsjrnzp7YNBsbW/sO7O/6vuv6a69/w/bQvdwpY9EgvYu93AUnggqMgF/7 + 5V/5F//svwshKhCEteziWF8jgCSVkq0cPX7sL//6r//4//2T22674/T2lgIiXNuX503FBAmBDPXL + pu14nUlYy+KTwHIPEkCUi9YHEBERqaotAqGJ2dSs9uErajUnJeqLElBrHD3w2KMPfvjRD3/kD2B2 + yy23/IP/4v3v/f7vv+6669ZWVlNK/Ww2ar81nfVf+MIXskKElQCilAsAZkBRW8tns9koxKZpFHkY + BuZzaFDEN2E0Gs1mM4lhZWVlOp3e9tU7Pv7xj//BH36MiZOpAiHEPhcDsYS+TyG2OfV1dAzNu7bn + L65zzjnnnHPOOeecc84555xzzjnnnHPOOeecc845t+sYSMEgNqBwBoEAslA29Sfe/aP76ULeGNk2 + q2izEuKKdP0A1JJ0zAAZBAC0TolgkBLDSMGYlwF88ZB7xTJoGsCiphAAMjDVIpp1ebXwoO2YtslK + sFoREzAwLZdkClKyxbKJ59tXy0caYCzAKDSzzRlIJ5MViquz2ayHjcYSRtylmWZtRKJOylT26nhv + vPDam986ta3Hjj30lYe++PXn7j6lh9FoX3oK81hrPH8GiSmEQEaqZgZmZoOqpk5DQNtiKFAgBDJo + HspoNEkpdaWXJst42CoPPvnEo3/28B9fvH7Zm666+W3Xvv2K/VeNhlXe5piYTTLxbi/R5r4Ry4JX + 5wKPtXbOOeecc84555x7nUlthawtkQSjGhPEBSogKIKeKVFHgLK+yiSeV7k+gpwQAkaTFqwsKJqE + 2cCA0Lwuy+LR3/FV85xzzjnnnHPOOee+5ZYtLgbojuaX2ihEVjMw2Ujrb00HJWRJHc241aEdDp9+ + +rFDD37uk589VY5v6UZu+qHpZugTQQjMEAIRSimqIAYTiGC2GDPHMCWYZagpgDIfBEgwgxBgIIbZ + /FmYV/KFwYiQukQEFgIZE2rGJAArIEDNSk5MkDaSolB/En0cIzac+u2toyefPPLQbff8zZ7RgXe8 + 5d2XXXDVBeuXcoo2Cw1GEa1mhKapeZQKCJNySTo9sXGYiFSpDFlGLRnB1LJONzdetH8Vxko16hI0 + Xzs1giLMy1OfaX8zkBppYS2crCk0Ttt0amOYjdaBFt1QAgoZG+YJFmTzQZO8GDqppLXR7wWv7zeq + LodeMGST66+McCYKuj6inKmqzDuCGK0oBAThOoLNmIiMDRNLdXxkUcpg44AQKB499LQ8F/m+RjRO + 4tqBfRdceclVF+299C1Xfk9TJqwiFkUjayBjWsRr8Hyw6YvW/Pl5zEq62P9nHvaCVk82KIHnL4em + lMJolDlPLckIA2/n0XRTT6Hh8tIFtPXlMz/OjGpdPGZRSdzObMHOpy8PiRcsk5630vOBp69w+7wV + MBbN2s8mYRVtJqHSo23aGNrtjc22EZuP011untZ4+Jfbqm+c0uJ8Mt92XpxtdLF+SvO0eijBSC3q + NrZSO/Sy/dAz933lri898ezDm3JiunY0TVJdxZJhBiYIUyApqmZGIDIiIpHCbEaolduJIAQCmdmO + k8mOcbtWQP8/e/caLcl11Qn+v/c+JyLzvuolyQ9kW5LftrAtGYzBQHdD2xiDe/A00wNNAz3da2ZW + z8yX6fkwPc1a/WHW9KLXtMG4x4MNa8A0T2NobOMX4Ick44dkIdt6WtZbsiypSiVV3Uc+Is7Ze8+H + E5n3VpVKloxKVRLnt7SusvLGzYyMiIzIPLFj/znGkTnULGUzUiJn5mZEMGczGMzMzJggAAWgQWee + 8rThabuxknTrhgevufm+rx1cueD1l77x9a9444GNC+J8hTKTtchEnoC9m9/u23bv242dy7bhe5bS + uWBvpO6eDYXZT7hjWKJkgNsiPpydT/ijgqzs0AhQ1n40Ces8xeZN937tizdfefvhr894C/s1S+p1 + zlFajjlngGKQnJMwvBwJyoMRI7lQgGO582OLAC/2CXxOLc+9BfDDu5t2jxHk7GBC2VrYKFvTdbxp + B+Y33fuVv7r2o/du3uHruu2bWLfshpJJn4QsRJIQwzzPAAOTMBGj7IxN99TLDxsiu7mRkjAJi7MT + uOxFHCBwRK+WZRpXw1bqjz368H1/c9cNd/7Nz//Qv7T+0MjXhMqe0Q3lOFA2iad3UdMQ0SAWymou + JfJl//+YicVm4CBOlmJG0Dzuj+586+4jt/zVF//8eDo85+3UpjmlJG4MFniCqkENgBMioVz3oAo4 + BEQkICaHM5lZ6pXJwSyAwdygBFMnKh+E3KDkzJEAztZPSNs12lb/2oNfuveRu1914Y1vePkPv+K5 + r+530MBZIzkz2KjMgJPbnk8XJ1t+TlgcY57Z2Q9nUYyx67oupRBC04xms9l4vPq2t70tpfy//5tf + 6rpumVtZgi1P9ziqyszuvkx/L39bsjpyzsxcJsAzLSG+qqqqqp71Smo1FofvEmUdY0wptW2bUiqB + 1jnnEELNtK6qqqr+lmKMfd+PRiMI/z/veU+CKSAsevJ49gn8pMEBBwm7GcwkBE1WvrEGCar5A3/8 + wf/j3/zb1fGIQ8x9YmYicngZTy4/6fGe7dmsHOXdXUKYT6ZmujIa/+xP/xNVjTFOtrfXV9fIMZv3 + zUrbzWYGDYE9OzEJyIAmxNT13iUh+sm3/cR/eOd/NKBt2nmfiOhcuti/qqpzy2w2O3To0KPHj8em + iaNWYqAeuie72k48xbJ7GuSZtWNZzPNjjn4y0MMjs5n1ORFR+bbVd/Mg59wQ9/Jym71O+udQtEC0 + tbN9xVVX/t7v/cEXvvDXvQ1nUMq0/fIYTyBmALocZyaAqIwhA+5me66pKYdt3/0JuJ34cYGImEq+ + NQdxd1vctqwAHBBmcyWHA9nNgS9c++UvXfvlwHj5S1/x5je/+e1vf/tlr32NZuUTN7WTPiqc8M8T + z8X44vSwEz575RUGCJCzOUAC5qAp2+I7tasRkWUlIhGxRTj36c/Cn4uWL1lTakZtMv3UZz776+97 + 71VXXZUcAJKbSHDN2cwBETF3ADkllEBrdzMj4chhPp/HUIcaqqqqqqqqqqqqqqqqqqqqqqqqqqqq + qqqqqqqqqqqqqqqqqrNv79UDp7vSo0xji5aV5V/OcBo60YmGCzde/PqXvOkADqaZjcZjB6bz44ig + RYLKHif2QnQCeBH5/KTbW1FpOucl0NrgQ6a1D40i97QzHJKtCWCCAQJnh5GzU+mpZov+nMtHhqd+ + 3EYzm897Yo5tY+7Tfk5EQVggbibgltcgbL1RHyLvv/T8Qy+78NJH7IHbHrzxuju/fOe3bu3yTDk5 + q1HvZMaLCz8TRCIREQyEksHNDBCyAw4iGJCyEYGEu5yICZHn1pv3iAgNpJX78/SBG+76669+5uID + L7n8ku+99EWvO7R2ATphatlC6dNITrwnFHzvyi19NffczbvraNEEbHE/83L5nNL1sTpbjHaTrc/6 + da811rqqqqqqqqqqqqqqqupsIgxDnCAGGSgBbIgOKIKkHmROWVUpBk05iEDP7BxZdomSQHMmcOph + DTGciMIyVaR03RuGTc/o7FRVVVXnMNpt0Hby/SUOBDXwo6qqqqqq6lmqfMxz95L0Vj/xPbUIECtZ + j1kZTnAMGcxm1nIIkJw6ECEGYyUBN2G7P24tZF3vPX7Xl66/8qZ7vrzZPaQy1yY7QRnuHDkIzM14 + CMP2UqbHIDaAStIxAChAgZ3InWzRjrkUqTmgDiImOMxBOGEDILijiWJmmh0AEYjAQ1blEHGtpVGy + pVLU6IzsACwEJeondmyCzW++ZBwVAAAgAElEQVTO77j+C19aX9l/yfNf/rpLvu9l5116AM/1brUJ + K9bPm9jm3tq1jUenh30t7/iRux+4XlfNjSSQ2lwYRshixyfHXUq+q5iZBDcgWWaJpswlc9Szs5vD + iRdDXkbIGKJADR7gkXhsCL2ZkqNFjlBDZnhGI16WDByBwGqkGMlYVbMlBCCYMynD3aOBn0TtJZdi + SjKA8nIwzmHw4MRWNpphbsEO5gAPpUZz6MJd8rZLkjJKU2ou0daAuQ1hzETwAHfLbuYZDZMzGiLn + bTx8eHLXzbdfLRpG16xeeOBFr77osle94LXPW7t4lFapD5RYmInITA2lJI6HrQoqIpRds4sICSuS + wUBgQDSUDF1nJM5OZftneIk+VQCgjiEhjM2161LcN97qH+aGkm2HpiSJBgDubtDlTqm03gbgZVMl + gOFlacLIAoZAXSu5qjQsbgMBXpJHd0szefHd1/b06SbfGwxsJSOdHBIYatkhpbTU0Ixh/TCyS6Xy + 1NmMzZRDJupUlal1HbGPPKswGL1B2dkIBmFA2cjNT1s8/NiMmP2EWG4jONBr30hohN28T8kDG1Oy + 3AibKihC2SzGGIn6GW1rO33UD19/39V/feOV33zkTlmVcIi3uk2Kqg5fVPuCAIej7BzKbsXFKQCk + nE1T48YgDOnQZCBiKStsqIJd9JRnkBtxRwZzELNQNIK6W6+BS2Gvlm2byiMYqEdLEAc5q2dl91GP + 0c4Ob957/a2f+fpHvv/lb/qhV77lOeNLfCuPaEOyEAGRs2fNLsLEkiyD4eSlrFkMMAkaAMui/lQk + iz8ljOBUyqkdtGyNzw4zhLJDL8HwDCM3Fc2UjJwpMDVwdpMyiBM4ONQsu5kQIMzOUzm+fejw39z3 + xa/dcN19h++e6ba2vUlW6Z3gEQpV16FQWZ2Izc0BNxeJc6U5IQS2lLMEuDvPCBANi+T4c2VJLrCB + y/6RvBwdylLM5qkZtXlO5hCGMzHFrbSd1zaP+UOf/cJnv3jj5/LKLG/0m/m4rKDsU8hBDiEiYjLO + OROzCpOb0nC2hX04jO6+SRdHZiIzN9qt4AdgQ7k8AIYbemQKQOAtf/jmI1u/+uH73vo9b//Bl7zF + JuPGR0DQbDGOSsi2Q4kcYFUVt6Zpsp7B+mUjN87kLLkREyPLAuXs5G4uzig7AQEzq2rufRRH3Xyu + K6mXTRzobj9y859f8cHDOw8kmuioV3ZlcxI2c8BhxsujIg+Lzhb/AHxIjjAjAwECZih8WY1vBAKE + dqceds8AoEJwZYpx5jNbQR9mD959z03fuvb7LvrBH3/dPzrYPjdvxZZWJTQzTz1yiGLZYe7wQKF8 + 9HAtM1RWnjm87IENAIxrsvXjOmEXsWdZafYgzXC7T40E7TsJ/I53/FTXdf/u3/2fpkqACGnOUULS + Ey6GCSG4q2rZOBYJHIshblUFICLlBhZffOoQ97OemTFz+bn8tlt+hhDMbLmR9H3ftu3Znduqqqqq + RFmXHfXyqJ1SAtB1XYyx3BNCqKeqq6qqqlOdmg/9+LmMQgLQtJtv7Wx/+BMfNYAIaTm6s+cbqwN7 + 2y6c9DyuZeQZmvLyzk6NgOPT6Qf+5E//5S/8vKmPxytbx4+vr6/3lstYLgA482Ks6ZmVIvkUYCRN + JGSmTGiCwAyAEFnOo6YtnwFC23SaEYQhZVB3GIFxOFyIDb7SNC+9+KILL7jgviNHur4DwYmeYemz + VVU9jUZtu729GWNsmub4ZDvEmJbx1QAIYDZnACWleHHiC6DhLBj2FNbu/tXJd51pDNgJh47yFYmH + +5Yz784gAhMsD2dQicwhxMlUADMrqcaeUxA50y9g7/Hu1GP3Ypo9Y8hqMI8xGmEY/wVLDLOui20z + n3fNaARA3a6++uoP/PGf/JcPfyhpTlkxBFqXIGlg7wtzDIP8J6y4oTR6Od1iUS7O0g3byWON/w/P + wQAsO3ZvKy1PbZguny0BwqKmCijwtW/c+rVv3Pr//tZvnX/o0C/8zM/8t//NT1/8ohdNJpP11TV3 + n0121tfXYZ5SgitjGOEUYgKrqYTG3R2uWcO4TVn7Pv3pn304BOmyAhBid2iy3Zkpw+MONyfmMqJ+ + 0keR062db+fUDzR7H+ikE4jsBCx6XNGe9lJlswSgqqGJALrUNyHm1K20o1mXiIiYs+bQtG6a3f/4 + j/7o/f/5d66//sa85wkUyJoBwBSA6u4MEJG5EWBwIkopNTGcWjZfVVVVVVX17HDSefPlbSzqK0II + 5QQN6tWCVVWdq1JK5XxxzjmEsPdOd885xxjLPq3s36qqqqqqqqqqqqqqqqqqqqqqqqqqqqqq+s7U + 8qFzxPKikVIJsbfR3DDBiZMDcGhk9J6dCQRzeI7f9+ofaybn86ihkOY8c4KZtxI1owQhe0lH3l3t + XPJKlk36+LSF9icXaexOuHthiA1TkgGlb6AsnmPoBLjbhuuEjBYrXQSXP0vzwOUSsJLczM4MJ8sG + cgocAbgxAeIGMlUFMpiAKN5gBu74eWF0/vnP+97zf7CjnZvuuOHmu6+/4/CtU97ux/2cppmTO1pv + OTOo9K4yIjh7aXu1fJm8XG5uYHMCHGHRIYsMgCabeAge9BvTnVuvu358/crFL3rJq1/4usuf+6ZV + 29/yiFWoByxEgEgwXOCi5WIHAojcCWZm4DY0KaXs7q7rG6PN7eMhtgCTMSAGiIPdd5dPdZaUWk0F + hCWlVLYNd9/7Tnv6V1CNta6qqqqqqqqqqqqqqjrrbBhXJQBmi3Y2XU7NyhjT+WHpXzqKaXvOEV3W + 9gyP17OIA33K7gqCIKEMLct33HemqqqqqqqqqqqqqqqqetKM2GBOMBjA5BAKliy5ra6tzvJ0p5/J + KMzzTHneHOQHjt9/5ac+9dW7rpmGR2w8m8cJx2V33yEmkxep0iVtmkr/3ZJdDUigXh0AMdRVE5wp + hADYMi1BIO4OJTOX4aFtN2vZAGCelQjEKB2fSvmpCLREHAPMYGGQmUEzZFHB5GRZUhY4ZSNYi0ne + eeTuw1+/+4YL4gtecvAVl138Pa/4rkulabocMI7Hu6NhP09p8+qvXZFGO8rJCE4ZcGeUcGUl73Ov + UHIuYctE5ORORghwkJdAXCuxzwbiZeLkooqRnNkZRuxCzoFDAKyDKhhoCJbdCSwgBgPccLAw3ZmM + R6PRqO296x0OJ4MpnvzwngFwzrQ3XJngJa2WSnLGnqbkvqcMjQwwOBYN1Mud4EWOow0vcPg7IhiB + zZRsWQxqZE4ADZvTTrc52T5+13Xf+OSXPvaC9Ysue8kbXnPx5c87+MLpVhqHldQpEq2MVtlJkzVN + m7SDQ0KIQVQ95ewMESntnp0MZTsv2e272//uS2AHQFk74jiK42nqwqgVD00cJ+z02cFKRMwQotJ4 + 3N1jjF2X3BEaZuaU1cyDLLJ/aTdPtPTBhoN9aFuOUyv5Fu3My5voMXqpkw2/Zbh7NgCQIGTWZ++n + YAYtXpdD4UTsTBADdYAyLDCCGzl7G4JTPwwdOwFsZAz30hP/O0hCPTE/mMDj8Wru5tNuHogpCDGB + JLZxPp2ORiNSJ5Ze+yl2fFWPpQe/eOOVX737y3c9egvWcvNcnnab29nbNc42pP+WGODluks5E4EZ + DLhqVgSWZhR7Tc4oeeDkTMNNEDkggDmcHV7SaglZtXTRB9swBcMdKafSVl8iGhIAqdecwe1iHsjg + VoqhnU3deIQm2pU3/sVXr7vuh1/z1h/67readSOMrAc7MSRyhJO7R5EENdrdhS7iTfjEmuazj5zh + DDKy5bZLi6j7ITZYhi0pwBGCqGd3UlWYk6lQiCFArcu9RKKICXZM0jzP7tu640Of+4OH+m9u7hw3 + SjImZzUalszAS8F32SYZsDIjBjixg21Z3k0oVeAlhx5kTt/RxnzGLPary0zrEk5kRuAQJluT1ZUN + Us9uxDxJx5rn+K1Hb/7w5z54z4N3tgdi59PeZuP1MOtzOfwt99hOplx+mmOoGabFk/JivS2mNiPj + YdENB6O9PfqBRUpS+RMaGu/3lB+Y3vXRa/7kwfsffOsbfmr/6DmYNSRNSokh5k4gIhKRKGRZ+75n + iWdqae55Sc5mTssXSEAQIS0ZhC6MlFLudWNjY2dra+O560fm35rKo1/6yhWfvOYjfTtJYW6iu9ce + AITdjV1LVf+wtxzOr+3+LAfB5WUGT2i+l1MxGamSARbgbVbFkfl9X/nm5+++/baf+Ye/+IoLXjPf + 3o686knXV9c2N4+vjFbKpwp3zU7sxiSlyW9J1zDCsNn/nUugOuPYLTv/9E//44ceeujXf/03Y5SU + SviEMkuZpnzczTkTIQTO+bG3CCJiZiJS1dKp+Wl7FdVZV9b4SSs9pSQizFxiU9u2XXburqqqqqqq + qqrqGYr9pITPxzPr5iKyMmp/9T+9ezHmCGbY7omRvYPJ/HgjEI/5FVM4q/3W77z/v/uFX+z7PobQ + NE3XdSS7f1THEZZOn2y691885MnS7p8wSFNuJFxyySX3HzkyDPLWb/1VVZ2eqsYYY2x35rO2bZNp + 27SzvhMRg/twAtYAgAUMqIKGG2V8ifckJZ8NvOfG7rGpJM/54kwxhUBUSgUY4EWmNQCGmzvUlQEB + 7YYHE4mIasa5ZDQaQfPW1k5om/F43KfkREm1GbXJtF1dmcymH/rQh379N953y9dvA0ASsu123iGm + RduXx3L6g/FTe4w+9TOEemmfRIrhkDaZzdORw7/8zne+69d+7Xu/5/Kf+7mf+7E3v+XQgQOhaed9 + T+5CHEIMIUCt7/uUuhCaEJrpbBbaFoTQNn3fq+N3fu93Z928X0Q4l6FRwglDn7ubke+eIt17hH1a + GPljt7dqmmY2mzEzB0kpkbCIJM3j8er2zlY7WhGR7cnO6vr6g4cP/5cPfejX3/feo48+sjPvHQgS + spn57pm7b/d+LRnn9aNZVVVVVVXPZssE63Kj1P2anfCFokxmZu5eviZUVVWdO8wsxtj3fYyxZFrP + ZrPxeLw303oZcV3rf6qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqenbwRb8vOaUqfmihtuceAsQgrgFQ + cwPYsBrWXnnha/bH86h3d0VwJyDBknHpyFie6PTzcPpM62/rxGspHqsd2Yl3nXrtRWlROPw8zbNQ + aZGHRb+7kx5qz/WJChA7izWUI/JIaS1j39978fPf8JIffHj+4C3333Tdndfce+yeOU+oKX9p5omE + mFgpu7nhxD6TwxWOJ1zWurwoo9wX2pA4zXPqeUpBJrb56ENHbn3g5k/NP/2aF17+mpe/9gWHLtxY + Pyi0mueWZl0bWncIxVbEzFTVzEXEyU0t50zglXZl1k+6rgshgAzOi3567Cf22KzOLl9c0nOOqLHW + VVVVVVVVVVVVVVVVZ1dpoMZl+M4p+GLIRkJE3+NQ+4+v+fTdl7/xvAnmjrjSYJbO6Axl1SAxiK6C + Me1l1SCM5TXGtGjNM4xw2cmDulVVVVVVVVVVVVVVVdXfljmxEhvBYAwPpmLWyGpHvYo9NHm4XZew + iu3tR1YOtofnR7949VXX3vL5HX/EN3rFdKYdxZCJAaOS6UhDpKITsg3pyyhjPGYl4tqZyNwdTAjM + TjAD3BbJng7A3eDMzu4OH1rX0SJtlwggBQ/pyBkwhbmrgwjMzMxCbllTb2SIDcYt9d1QXucwJzNi + gOEsJKPIIQTk7vDs9qP33PGV+z69Kuuvfdmbnnf+xS9/2asMrtTfes/1V9z4F1Mc68M8BxvaKBu7 + w91NfdZ1+4nK3HrJLSaiZdFfyYQ+se+y0zLOwEAOMnJERXSKPUsTOATNquYiQkQ9smqZGr0BsMC9 + rPNOmlsHEYiAHQIioaRPopLPFyvuhHqzkh9cUsSNy694Ec9syI48DOKdmIG6tMz3FIcO24Jhka5K + i8Xiu7/KwyM4QtN0XepjPxrN7tJj995005W3fvw5Ky98yxt/6rs2Xnxo7QKahjzXFiG4dce3m9Eo + ae64Iw4ACwfmBmaO5KRJOicjD+xC1kQjI/XdDOZS0jqMQKakca0lF7Ex92PxNZI+rsWOsmlymAyJ + 1SBD36W2DUTSz3vVzIFDiAQ3DOOrRruFlctIZpzYYH6ZaoxF9PWwAB1OZWkvxnaHxwQIs97bKEGa + +TyZ0Si2DnVXWjyNGdwzGME45Dbk1agrnGPkSO4ppUbMdt+jYRHybN+2nfapyutSHkJh2SEucE7z + 5BQQxZiZXOcZKUNk/8rB6c60aUPvU1+ZbcsjV936mevuueb+7XsS97amgHbbKhRGrJQolDb3uwxk + BKyM0SWYwQM8QBWdqmYNEbxbU2sAiIgcmhdJIgQiLAtzh6Bycjd3T04AgwTSQIHs6BRzVXKECBmV + N+DwwsmHlSuGhkKUMDk2bcj6tenHr/+TL93z1z90+Y+8+oWved6+i3h7Bdu2P4wt58l8p10bAWAT + ApGDoSAzMQM7nUOVuOwsxgDB45CYTgZSQ2ZKRmWRknoDj4oIb3W7b0cjEs8+J0bTBCjPJzORsLKy + kXg+CceP0eFbD1//pVuu+sb9N2nIyokFTWzA1msywOUx27ufQ0vmO2WgDDA8lFeoDCcYQS2OVxvL + 8xDDrDPnlA5sXXXLpz55w0cenH5L9hFi7uYzaRA0Ypqb0fCmMIJR3k0xdiYf3jKOIW/ehj74i7lg + +PJOf3Jv+ky+Y9tfuOuKze74z/7I/7A2Oi/MNTBL5JyzW3QzsyyBOYj6mV1l7Cw5AkicwdkJ5MzO + Jfe5y13btqRISdu2Fc+T+Sat67d27jyaH/rLaz/+lTu/aOspc2eiQDYqlwQMgVFcjuYAdkPWDW5l + L7n789Ra7Se1ExUGIAb0IFAjUNYHpvdv+c5v/OV7fug1P/ojl/8YbU/X2wP9dr9P9qMjsCsnhTqr + AnF5aKa92wDxsBk8C94155DSjfRf/+v/dT6f//Zv/64IqbrD3YZ4lfLxz8zc8TiF/O5eAq1Ho9H2 + 9nbbtjnnEgn/dL2U6ixYtqldpPgAi6s+Yowl0LqEnQOoG0NVVVVVVVVVPdMtL/5/gpd5x6Y5/OjR + 3//DP9DFyMJuDObw7f47r6F1NQK+cfvt11z75TdefnlKiZljjH3uygS059HPnevSn3GIKGsOsbn0 + 0ks/d/XVRGczabaqqmcEMxPm7e3N8cZaNtPU9X0HoAwQgYmZTQF3uMIgIaj2UDAxMZmqw4OEfA7E + P+/d4zVN0/c9AGYmIs15cZ7esYwtJiNmcjY3ZrBD3XPfETsTkSGlxPx0HJP2nsDfe3sRq8zLEwSb + m5tra2v7Ljh/+/jx2bxvRu3OdDpaGaeUjxw9+vt/+Efv+43fOLa1WRKtmClpXj4eEZWRwMebldMH + Xp9kWIhP8nyi7wmNPukXRERYRo8DQOp7BmY5ff7qaz5/9TVro/Hb3vbWf/4Lv3j55ZcHJmaep2Rd + 3wQBk8eIIF3KHCMJm1mfehJ55OGj73znO/OyboHIfMh3phOKDJ4Gpz4XA6DFOZTya1qcxd+7JfQ5 + xbZhZnUDyIlEAkwns2m7strnTG7Htrd/+Vd+5bfe/9uzbt7b8GgsQd2HM2X181VVVVVVVdWCqopI + +dhc/snMIlIGrErjy+XE9bx5VVXnIGbOOZfRj6ZpiKiU/ZQE672/BfBthgKqqqqqqqqqqqqqqqqq + qqqqqqqqqqqqqqqeIegJF0GIQwzBEN2ZgczZbeS4YP3Qcw6cH3fEujk7u4LJiZj8GV9yLzbkvbAD + ziAjd1AGqZMZmYMBNpAjgsxpvrwkhCEAyNuI1mZ5RUYXNQcvfOlL3vTSf3h0+/Ctd99yw71fuXt6 + 6zxuC4sLqaaUnBmhYT1dn8k9i3Tvou37xEwU2Y2EOARW9eN6dKc99sD9t195z0cuWH3+q77rta+5 + +PUvOu9la/v2aULQmLJi7gKOMnZQ6vrQNpEzg3M2ihQ86nw2Grd9uY5mT19NJXuarx6pnilqrHVV + VVVVVVVVVVVVVdXZ5ICCCRCgBAcJuIwCd31q2wZQBOqZOkWQkGepOcOzFKJYSkKIfcakx7pCOqys + eskRWYS7ABgikYCabF1VVVVVVVVVVVVVVfVUcUBp2YIZ4qBF1eB0ttOsjHvruaVt3yLK3drWF752 + 9Wf+5jNT3prT8Y63csrWgEYERDfshiYOiacAIAJ3eIksdiYCMTF8OrFRgxDY1TQZgYQExmQBTkPU + sRHAAmYKbrbMh7ah2bNmV47qpKXhMTOJcGQnomTqOSsgRE1gN7UO06m3cZg3JZRAawAACyQnTToh + WByxrSH71k56+C9uOdzS+viatRgaJ9+aPzLJj44OcnbTEoI8DGGxG9xpOp/4WN0DObyEXbvD/IT6 + voHtWWK2+AeRs1jQDqu0b5zX0hRBolFK6kRiUCZjiRLZBAl9733S3sikQSxLO8ESYM7sLLuxGU8U + DXHLtkgpJgdg8GUQ9TCoSGS7McuLHs/Y+89TiC22NzcARmDAGOwlV5UBkJefJYE1hxV25J0+u6Ft + kDnvTLff9+d3v+w53/39r/zhV1546XrcN5/1I4obKxupd5ZWJaeczVRiDBbm/ZQjG5mxGTlgotw4 + yME0vMzFUmIDGNw0TZ4nT5lJfEqt7etm2+OWZtu5oeTesFBgEZBZdndpYtf1gK226z6mWT/r+x6C + 0lBxCAs/fdXsIoL0tD3HF8nWdtIIqRPalnNnaZZGcWXUjHLvqesFJAyREiWvJUC+gcR5GOmBMdZ1 + 7uTEQ6ZzWWNswzuCFvnrtniDPFGEkow+5KMbOBoAZDVpGyVPqkKIoQmMyGEymVJLU2za+uyG+7/8 + 6a994t75XY/kh1KbOSBQCyPKYBBbIHaCAiXvGeXx4eaE6RTMkAB1pAwnSJCWBTmxunvJ+A7kzGAA + I47LJW+WzdzdDSYiRhmUAXcZWrqbo++GRBchciY1M5AQGdny/UKwZYQ2uc/meW3fBkCHtw8369FG + 3e9+/r2vet7lb379T7zqvMtDGk0zjWI74oYcwUqCNS9WhytnAE92+Z9R5MN/TmDnxVvG2ZnA4ubD + nsKczEnJeH3tQJrNtZ9L07inyWSHmUf72s7mm/bIXHZue/DmK2745B2P3qJrEz2YRKNAyoMk7bM5 + BYTA+eSy6eX+8uleCE8pIyjIAQZYGU6mDHgIHPvUNQ1NbaorPgnHP3/zX3zqqx894g/EA9L33U6X + x+MAtdlktm9llLMND0imAi/bokPcaM8m5GCQOZV7Tmmd/ySLvp1svG9je2dntNpf98A12x/uf/HH + /8f9dGgFa27kbkSBWCx1yVViIJEzmqpMQNBgbElU2QAWM1Fmh5qGiKQ9hAMF1cTiiaZ5ZXr/sTs+ + 8LE/emhyX3t+eKR7JIyp6zOXRrhuAA/HJgL8NJvbnl898YsuTmUEZjdo4Eiq6Ixj4BWo2k4+bmQf + uu6P7zx85z97878IvWw0hzAzMnEGArm4kzORqZkZUXkTDXMHMHkAvs1Rpnqyun4mHAH80i/9252d + nQ9+8M9ilJSGNOLyQbV8cC3B1ad7nNKL2d3NbGNjYzKZLFs2V896pUn3MuJ6SURKu1sAXdcR0anT + VFVVVVVVVVX1bMUiyvj4Jz/5wJGHFQDD7fTjEk+eiJgqgPe+970/9sEPTra2g3CX+lqT+5Qr3+Yu + uugilPDWZ/ZIZlVVZxwzj0ajrutyn3pTISYgMjmTG5mZmy52JExwy70Qu7u7OSAs7l4yrc+pDi99 + 3zNzCCHnbKoMYoG7WyksoDCc8TGYGwFkIAIBIQRyQI2YQwhmpx1ifTqVc2QAt6MVNZse22zHYzOb + zufNqH3w8JF3v/vdv/v7vzftU9u2BnQ5xyBd1r0r5YmkWJ100PDH+d13hk953MXt5ewRICwA1MqJ + UAeTiGzPZx/4sw99+CMffc5zz/+nP/OzP/G2H7/sNa/NOfc5t01wxaTvR7EV5q7rEqwdjx89fuyf + /vw/29zeGs5cMO99Ij+3ttnThmw7IafcjNou9U4UY5x1nXVd27bcNFnottvvfM973vNnH/pwlzKA + DBChrHstefMEYhaRnNLT+IKqqqqqqqrOXSWp2sxKSXC5XbJgy53lQ2OJu84512qKqqrONcvynmV5 + WFp843P3nHOZBkDTNKVM6OzNbFVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV1VOJAacn0m3JQa4O5sDM + QRFYnnPg+Zqs7/uWKEicqxqjkcCg06UzP6Pwok+jDVeGOkr3OgYb4ETsbGAnI4BKG0snc4OLGBGJ + oPGkpErUrFO7vrL/hd99yRsu/96v569c/81rv/6NWx7deoQE40YyNCV7sm1pzCBCRJw09TkLxxBC + u8qJO3ckz4e7e4/c9sA1X//ihQcvueiCF1/2yu+9YOO79q8fsCn3HRt5w21gUZ0TOxMByPMcRABx + BTvb8NIdgJExStB1vZD1bFqWa+KJXd/09Ki1oVVVVVVVVVVVVVVVVWeTAT3AwKKlfRia2DNCGzOy + J41hBOcVYTdWcwFAZ3AoNyWNEWwYZ/vTf/RTP/3ZT+O8FYWVLBvC3t4wz4YR5aqqqqqqqqqqqqqq + qnMMKzEARs/u5ORESlACr8hWtxkaIbZ2XW47fPPHvvDB+zbvnDepo6nHJGNEpll2Nw8hl5TiEzjI + ObJ4VjOAmUiGQGryAxsrKXW5S+RgMJFAiXNYp/2ijZuZglyaZrQ2Xhu148CxVES5k5rlnNVS7+n4 + dDuhVyTnREGNO0WXbT5qg7m7EZGYB7IYOLRRss5KLC454CyLoEf1DhHckjl6GDEMcPbxWjdPaXNy + pLGWOeQw5xXb6hM3cIIBQsPAlREUvjPdxqpDzYnJrORtuy+inE/sNM0wwAAzchl+x3CQxTEfeNUL + Xr9v7YDKDKwQImOAs6Fpglp6+PjDRx594Hg6NqOdPkwn3ba3qc9ICVEwHrdioes6Gp7iCRmKRJfF + Zo49IcqMRQK0A4tKsHKS258AACAASURBVAw38Al/cuL/T3p8FmMATmxkyuZkmWFkvNh+yjRkASBQ + SpQNJo5GAATm0Nl8iplFy/PN266+9uKDL3/L9/zkSy/47m6rmc2V+xBjZGYWwNXT3NCEEKyEuANG + ykMCdwawWDjMzka2rHlU1cBIKTdtA1r7/kv//gRHPXauJbGS4SErZmk+me1M0879R++OPOlop8sz + jT1acEPujkWX9eWqH8pMvQx8Mk7MtB7Smr0sccYJkduGRVmmOdjBjskMB/Y3XXZLvIKNdNwbHb/y + wpceWjvYchMlBB4yyAVE5Fm7iLXnrr14hVclcRCCw11RhmI9OIQWz0VPso84wRhpiGUGAwyQEQPW + RsmWzcFEDHaCmmVMaZ0nfPxovu/TX/j4tXd8btZs9jxpVyCBZr133sWIpmVN4MSBiMiWy0opODHQ + lMcndrOsyYQgIlDGHK2vNBYJzERkAQp3uMKzOxFziOU3cHdXJGpy7/NEDlaKHsMw/YpEzW6ZQWLM + mTy5aVYpg+eOZUS9MwxI6mHMO2lq7rzGM5pt5dnKQbnz2Nfv+fC9b3rVm976hp+MdGG3GVdpA4ka + eNkMnKAkRgZKABjxHKrEJSPKi/xeduJFDn1gZ6AlNycDq1HnPAOanUk3ktXII809xNs1SWH2KB2e + x52v3f03V33ts/cdu8tXU1qfT/NUgKCJjIjI2Z3KmQyoPeZm+Iw/X8AoW445mRKMshPDh8D17Dn1 + Suu2TQ985sZPfvqrn5yEzbDOnc2SuzQAm7ohIpMu97iM4YwPGcjBDkJe7nxscbalrDtyYLHPGzZf + ehLHCyPb6jZphKl0kfJtx7/6nz/+nv/pp/63lAIl9SwcKEojtGI5qWYiOqPpPezMzu4wSspGjhKR + Tg4jHY3Gx7cmLY/bZrS984iseF6ZXf+tq//0sx/YypvhfBztHtLGsqrxbopTiZlf7oTFdm/vLlWA + 92yhZX9bJnMs995PhGU3ArU8ihz7vtdkTpYEaHTaPbJ6cOXmR7/63j9597/4iX+1trqeZt0qbxAF + hQ3BSERGcDehPe0vndiZF/tNP4Nr4O+c0ajp+7Jhy7//9//Xzs7OJz7xVyGwKZg5m5akaixSrk/3 + OGZWMl3KP2OMfd8/ZtRx9WySUooxikhpWdt1HTPHGAGoKhGV29PpdGVl5WzPbFVVVVVVVVVVfytP + 6st4OeMw2dn53d//A2ZOZkxE5F5ykZ+KsRVVZZDDr7zqqtvuuP2CA4eCtGbGLMA5FoX6TGZmIuLu + Bw8eHL7hlwv+z5lL/auqOtcI0c72VjtqkurKqHVTBtxKaDXK8HogIiIzWOln407MJSLaTRen5xb2 + HjPO/L6nDKfv5iEvxCApaep7lLOGcCjK+R8G3NUXe0ZZvEzy8tNUcxujq+U+cTizsU90yjj/qb8t + x/SSbM1BJrPZ6uqauknTHDt27L3vfe//99vv35nPDAgcpl0nLNmsywoiuC/qHJ7gyigDxDbkQD/W + sj3hn9/ZKt4b4FxeHcTd4SAQA75IE3e4SEiak2VhMdeZ5vsfOvzLv/Kr73z3u156yYvf/va3v+Md + 77j4RS8i4ti2k/l8NBqZ0Nr6vi9d/eV/9b/8z3fefVcyJ4IDQ7nI8Mhmw/nAM2goDThlMZ24rhkY + Lps6dRsoa380GoPIkB1IqqEZNU0znU+uufqad73716783OdtsVAdaMftbNaVHGuDa3bA3ZDPjYz2 + qqqqqqqqc0epjtj7UdndiaicTF+mXNdM66qqzkHLsOpStV4KgQCEEJZB16UwLKW0tydsVVVVVVVV + VVVVVVVVVVVVVVVVVVVVVVXVM9qyJ85pk62dAVdCEnQCYQgjg5zYPa7uO5AcykJRDK6aHGRMOauc + 8SsMzqzS5MmGLl4OgJ0AhjdwImMmAxxkggw18kjUAMjkbuTE8KFJl4EJ7qQKZ98D6jB3peFCnG9/ + 2emp60gEZlaq9RCgpp5Vs4WGu2TZ+jamsBGP99vb84fufeCGL3zzExesPu/VF73uspe84fmHLppN + J/OZNBixuCs7+hBaMhcSp6B9pkBMsMVlOCCzoUmfnUP99P4OO3cyrVFjrauqqqqqqqqqqqqqqs46 + LoOMZeDOd/8ruQdtXEPameXcqbWRg7BrOpOz4zGwJWMGa267HmD02WPckwiAPcPUVVVVVVVVVVVV + VVVV1RlBJV8Xbk7OcEJPOe6LHvrN7uErrvrk52781Gzlkb6ZaXAEM0/zOYwQAojQddYKsKxvczhA + HsiZ3dwcYGaGU3JA3UgmWx0rtbyxImMk9swH1g4+57znP3fjeQdWD51/6LwDBw6tjNaYSmAql5hG + ggAwkKqa5QRT8Z1uZ2v70WNbDz+y+dDDjz54+NFvbU6Pwqz3LlM2cQdnUlU3UqPdsSYqjYlLqqgb + LSoBtTwHAYKj22l1FWGDcz+NcSRAn7QdIdmw0BylwTE7Qdl25ltGCmjDwbIxMTzvLuWh0/iwrIa/ + dUepR3SGMwNikSGXXvI9r+BXIyhgRBQwAsi99CfPJJAR7fj2HQ/ddtuDN33l9mt20vEeczTuhDTv + O8wkkp0aN/5ttoSStbpIXAVApgQqj0Pmi6JAcgbY2XZX/J5kVYAXIamFOYHcGHl4CgKcnWBkTig1 + kuyAG4Y45wB4G/N8jmwYjYRC6FKvsDCmuOFHJ4dXR+3Nxzbv+ugdb3zlD/+9y99ywfoLRmlf7jT1 + s0BoJbiq5nkcrXaqgJAxI5Mb4EbKe6KmhyBpGAAjWOpG7YppYgPT6g9e9g+STJlyo8xGzA1xBEUD + enQ9dUmm9zx8x813X3fbt256ePJgpzNrkpPB2Tg72bJVNlnJml0sF3qMaBMnkLPRkJZ6QpDqopCX + jNnDfgYdl3Ufr40OvfT5r77sFW984XkXUxdiCgFN5BiwG5TtlFPo3In79TGvQo3J1FVJQTDwops4 + g55MGOueGQcZA+7BIQ52QAnsIHIkbSSGGLvczXUeWs9tN5Hta27//JXX/eXR9EA3Pt7RtF3heWfs + WB2RE7rkfbJAkCb0miC70bwluLfkZ/dJg4hg1DqFHGKKnAM67Iv710cbB/YdOHjwvPP2HVpb2xg3 + qyJx//p+d8+GnHPf913q+77vbP7w1kPHJo8cffThY9tHZ9OtjE7Ru2ejxEwhwMV7VTeVICFGzQkA + G2NR4VuWmzFMAGJ3ZFYFnNCbJt3cWA9fvOOK+47c+ebLfvJ1F35fmHLsWvEoZZR+sYPyE95T5whz + UgDKcLiSAUwWGEQm5GCwlbc8w2Cg3LQjIeu8m+uMR6rj/oHte+58+JbPXPcXD03u81FPh2yr27GM + 0UogB5LDnAjE5EzklC1rdnlmV5s/tuUSUzLjcvAxNrBzzv3K2nhCk4ftyBU3f+Sz13+8a3saYWcy + a1axOo5JU59NBMyYdmkk4MWemZ1hJf0dskixKPsZ9t3MajIeJi751iAmB/on/s53QmJvVrhLbs2M + VvRwuvsPP/lb/+RH//nB8XM4h5w0aR95RBJclYWe3NHoSbLd3SnDwSYYjjUmgafdVERCCLN+EjYk + Nce/eOuVH73ug8f0iKzyVprkJsUxT+ZYHSP3Q2zGgPYGV/PiXWm2eJMO57HK/cu6ed97BHxCJHLO + lrQ3CqWLZXlRRrCIzNNpwkPdfe//8/f9Vz/wX19+8ffn7V60AdTdyQggAjmX44UBQ6Y1eTle25lM + Ff+7yN1FiEhS6oI0v/Zr79rZ+e8/97kvAjA1FgFQmpM+fqx10zTLHOu+7wG0bWt2Rt8u1dkXY0wp + iUhpw922LYCcMxHJ4piXUiqZ1pPJZHV19WzOblVVVVVVVVVVTyNjuuGmG6/5ynVlPFntqR8fJKLA + 1PXd+37zN//jL/+H+XQS26Z8h62eKu4uzGp24MABAgH++OMDVVVVzMygcup5Pp396N//B5vbO+oG + gIiIAxFpztPpdHNzczqdPnj4sMPdlIBA4gQskq2BpzvT+nGkpACYISDsieget6P9+/eff/75Gxsb + sWlAZllVddyOuq4bNfGiF77IcnYWIZamOdeSgA1EIsmtBFr/9u+8f3N7Z4igJiTLBsQQ2DTnzER7 + g5wxTFZCyk87FGxP9vqZJ76iy5nWxz1lwMTu7nAGCMQhpJwBMLO6lZdStrgAvvWOO2/+lXf937/6 + ruc//7lv+oEf+MHv/4GXv/Rl3Wx+7zfv+/OPffRTV1yFcgYH2A2zLjNSAr3O+eOjL07Td33vTBwk + NI2qb092PvaJj//qu95109dvSWZBAFBWj1EATGcdADdbVGuU2OzyWGfnhVRVVVVVVZ2bcs4hhJIL + 6+455xiju5dT5+VjMzOnlGKMZ3tmq6qqTjCfz0ejEQBmzjmraqkEyzkDaNu267qylyu7srM9v1VV + VVVVVVVVVVVVVVVVVVVVVVVVVVVVVWccOZcGeewAOJNaBBIMpiZsoonGzQYREXt2MzMYBQnkDkvg + Z3qjMQOZwxfRKlCSchEHGYPAzqByjYyRQ4wBNpAQwFTaZxl5Rm+saHIOs6luPrJ9+Na7brrh3mvv + nt82izvOCCGo564zBDSNpPwY193s7Tb2GDNqIEIIgYhUlcwDh5RzI6AAwE16CkiajvUzce677Qeu + v+czV3/ykgte+sbvftPLX/jqdd4f+vEY693OfBQDu5gZUyhXUtqin+NwQdEwL8/09Vs99WqsdVVV + VVVVVVVVVVVV1dkkiwY3CoDBpal+GdiD9ciCGJqRt9EbaE7ZtKEzO8xn2ZgBESF4N4NncCtggEsK + CPamBTzeKGhVVVVVVVVVVVVVVVX1HTAZsnbZYIA7OTkpu0d61I59/e5rP/OVjz2w+XU+mNV6F53m + JAQWioHNDObCaBqgZDcvYh0NAUO2aQZArIAngoFDaEYypp4bbw81z3nJc1/26gtf+6LzL9kX9jNi + iHB3mJuBpgQnhhCJqhOkNHnGMo4YnjG/wA/R6kW0wfQCStBZns59cvv937jn4dtvf+jWh+ffmvOE + Y7LQZXJXgjM5QMZuVtK8CSzQjKwgILIQRTi768Zax4AlSz2CZxZ4DyMwo0QAD3nDbOYAYzLfzJQi + RTA5EREJyOFOZj6UTC7iMo1wUqdmBhhOZJym/cpo3XgVZlBoyqQBgCM3TQgU0jzppu2L+y7beP6r + z3/Dj132jqtv/Osv3fD5h47cb6spjM2k6w1hkYL8xDA7w7lUN5YezUYA8p7O2SUs04D/n707jZLt + qu4E/997n3NvRGTmG6SHJoQEFhiJwSAhZmMDlkeoAtt0eSqq2y67utruqvJyV3evXtVVripXuddq + 9xe7MMVgG0o2xhRYDMZgZpCwhBASCIEYJCGheXhjZkbEvfecvXd/OBH58klPsh5Iek/4/D7kyozM + jLj3xp3iDPvPJZ55K8scxChZ19sLYZM5LbKiGUhls5dM68X62qIlcPH3MM7sbDB2ob7Z0ZCZdV0y + 0jCGMPrkNGA04dm0l2C0K/zNNy754r1XXvT8Vz/v9B86ZXRG6NjnKXAL9c5T1gHEcBFnmICUMCgn + h5EHHI6DxVaF8BhFglM2zapTXR3v6HIjwCg3kmFmiyB0kkbGLj5wfsbqnme86HlT3/+Vb33h8ms+ + edf+m3kFU/RD6HPoFltgK/l7cdwtjhe/f4w0+1Z89SJwm5UWKapMIIdY06TJjrSj0cnzz3/Jy57/ + ygnv0GmYzHcEjcEiuVAGO7u7wt1daYgYwGQabMieCBGgLCJ6OP6cjzWKdfubXTLLxQUUMrORGWVx + RA6cLBhblwafYjVvjqZ3zG9+32V/cfOBG+fY7OIUYmEUOs0hwhXDhsPQBkiU5NbzYBGZAaDJCAop + sdYEA2JoTOEWmjTeobvPXH3Ss8959jmnP+2Jp5wVEEWEObCxOzy7Gbwr5eKFmGwMjOHumTGcmhEl + EDQPh9bvvfXuG7911/V3HbzpnvktcxzsaW7igVjVYEaJBYCD3MqxwIARlBEiZnMDpyaOTBGJx+yW + NY6azem+GOPN0xvfeenbbnn6jRc986dO2/Ek3pyEPGaHu9Eir/uEG2zphEwl55512XQvtMyhB8gh + zk6BLBBakJHYoXSfjRQ7/O7+ji9844qrb7zijoM3ezPPq9NBDQNGkd0pzxSAiDsBDjOQg4RFAvNW + rX8D/o46+48rzAoiylGVARcxFiT2QNRM03x9sv/jV//Vx69/n67OPEY3OnnH2jB000MJAbGFOszQ + jqEZ7ka+CLdmZ/Mj0w62rgIEbGVal64hGJeuGIcey7Z1QpygG0wc8w7jleGeg7f4fvzVFZNXveDn + ThmfKWT9LFPDwsKZI+KA9OgV6neyLNkJYsLWkpfx7VlZCT4Mw0q7y917dIk2r/325R/54vsP8b02 + 6eY2eAsOmCcLAUMPxlESBcoJXGl50T/iEgkA7CBfnLEXiQ7HuD2JQYKUNasFZmYCEeBJERiaABpm + cvC2fvjzv/1jXc3fN37mWjgpoJHMrMLOTnDScs2lxRWtXJRr59ojr59PV1ZW5rMuiJhnkfjGN/7h + P/2nv3rttdd13WCqbdMuY63L3d/R34USZW1mqsrMpYbpMAxN0zx2K1M95sysVNwu73XOWURCCABK + Me7tJblrpnVVVVVVVVVVPa5tbyrf3lC/aEM4svXAgCGnt//ZOwx4yDzrba1kx9jaEkLQnM3Ygfd9 + 4P3/9t/8myiSUiqJGvyA5ay+MyVyycxPPfVUh5dH/MGzS6uqqqazjZ0nnZSHwYBRaN7ypjc3TZM0 + i4jC3UBEi/QjMzObbs5vuOGGj3z8Y3/94Q997YZvWumxpWW384l0Jo+BLZvBT92965Uvf8VP/viP + P/O8Z5z9lCeLiC2bRolIRFjIs5bLUGBu27i5vsFEJSDquDp8wS6FhQzUTFbe/e53/+ff/d1b77g9 + hJABIja3cgWPTdMNCUBsRmkY7v90VMYIPOj7tOwV421/cbSLyPLXx3Ttdr/fDJ3tv1IAXvr6idzc + AGLK2QAmZhbJuQcTEbmaiPS5NIODgtx6x923vvuSd7/nEndEUIKHICFInxW+3D+33fz4sQ1j+C4s + brzu/8BRlGEDpVfFGVuZ1iAD4mjc9b0b1g8eetd/f88b3/jGW++4VUR6MwCDgpiIaUhKRBykpJot + uouEQQSzEz/Gu6qqqqqq6jFjZkRUOspFpIyXKB3lW2nWW7fNNdO6qqoT0Gg0KsnWKSUREZFh2QjQ + NE3f9+UsV35b8q2P7wJXVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVU9yo6SIcJcQp1JDMEkZlnhUQDB + 1LOReRMCGcSdEfzEmhNzbAjGDsDhZCRaymcuJiZkcCaA3LZmcTLYWGAwEMid4OSKlNFbmzvevK+7 + 4ys3ffGamz5364Gb+rBJEWjIytQWd2ZmNiVPSRdVxRalFI94C5YPHvE4EYkAgGoygyqYEWGRQIAp + PAOEIA0TKysH3ug32xhXd9hNsy9+9RNXnLS2+6lPeNYFp/3wi879EW+5z13DLSuESESy6ZHL8L1U + Ne5xz92JDk9rIqLjO9Oljqmqqqqqqqqqqqqqqqo6rnzRfudUCqSx0KKsPcNaBAfD4cQ5o2lCTATX + v+tJvxvEAW6OpGgxbgOQ4aCtdqRFaZitNkfGkVkMVVVVVVVVVVVVVVVV1XeL8rLJpYwIdGNNod+Q + 9U9d+7HLrv+bddyFnbNkXUmTXllBUmh2JorUAqY66AAJIAccJauYFyWdzRmmIIByiDmSxR3Nrp1x + z3N+4Pzzznzmk/c8NfQtNmTiK80wzslcsiHBKDBHjgC7upm1UnKm3d2dQAtuHkAGI0IowYEr0MR5 + z1lnPu+pL96kA7etf+sr3776+m9fe9/G3ZkGEjZS52wwlcO5EaZwIALMAg+a3MkDc6kt7IqdqyNN + 1nfD6mQ85F7NSgLoMu3BnADWeZ4bDYbWCECJeQYR+SIN+gGb3wnk7ETOtnyIgcjCRmlTATShFY+B + AuDKmZKTe2sjRrDMvWbu2tg0P/Hs1z7z9Od85pqPX33zFRvWhV3wCKRjG6dJDl/k1C6yqJ0ylu1z + JezTDjfWgXyZBu28iEnditygMsQSBHMCO4xL1DkAwI0c5CxguC1eYBkXqjKQwZ3FuR+UWEPLBksJ + TggCdwy9hQbMNtUD2Ml3+c3v/Myf3HL2rRc9+yeevOvJNEiXJVJLHJi5hFAvin87gViZzSHO2ypX + Hx6U6a5dN1PTtmkcQRNCatq21V4ZHDlQJHc3M89u2ZnD6njcT2ek+uKzX3H+2Rde8+XLP/GFj+Ww + TxluXV5GyPJiROliOzhte3+WSSSLJOsygvQwRhmAahBl0VE7rJ29+vR/+IrXfd+ZT50dHFjHO2js + Hbc8gpI7FmnAzIHICGD2GTiIGAcIBUig3JsBVgKil6vPi6rsDjL4w2+SZVgEQAgEJjeGlYj0lBKD + WEh9QDuklenVt17+l595x36+qw8zjCnGmE1n6oHQG4Jh3HJEzDkPg5rAZJGISw4jGCHAxBlGZI11 + saWVs0556vPPe+GzznjOLt4duhjziPsAsLvDiEvOvDNBiMiXB/8imxZo3MfM6Ba74a7mpDOf8pTn + P/XFnRy8t7vtulu+cPWNn7vr0B0mw7gRgw6aKAIEdohvO0YMKaEZiSoNwxBFyFnnCkei+WTHaJb6 + IQ2I+RNf/fB9e+9+1Qt/9uzJuaMeUSM5s8NNynY70QZZG7GDleCgraN1+0I6ofyBsinrDAfiHtzX + 3fX5L17++Rsvv3t6ax9nNuoMmRmxhWekwYLwqGn71BmDFiOkoQZSA7wU99/2Gt8jY5TZmZ2VzMvh + Rg5SdiIMiZDb7mPXfOAzX/uI7uiHYMO8jyw8uJtNRgHsnSoJmgZpgBCMwIAuzjEATIFy0rNlr4ot + OoAObz8noweJ2n04hgHCQMbOVU5mGGMj773qls+efcY5zzlbdrd7KDixwcgznABiPHr5ymRKCjBZ + EI/kDGTnDCDnvDqeuOfNbjPswXW3X3fJpe/cR3fqZJ4kWQmTNjAjBvQzCIOdfTEgfnEp3PqK5X4O + mOHI/R8MWpyjvgPDYDESN2TZHW7klt0coxb9HE2As+Zm8KD36PxtH3nTP3/tb53CaTef0vo4ZKEs + LubkSubk5MLOZV6BkQNWw60fWZPJZDabjUcrwzBIkGHoVlZW/vAP3/D61/+TG2/8VkpaMq2xTCh5 + MCGEnLO7lyTj8mDbtg+RaFJ9zzCzkmkdQlBVESl7ApYlud19GIa2bY/3klZVVVVVVVVV9djZ2Jz9 + +bveBQZtpU6W9rdH6GNizhlAdhPgjnvu/eCHPvTTr3lNjVd8xIlI0gzik08+edFb8pDtA1VVVaur + q9P1dQeapjG1UWk1EnFzAQCCg5b9r4Gk3bHzWc985gtf8IL/8O9++xOXfvq/vOENn/j0p5LZidWz + 5BChnO38Zz/jX/4vv/GaV716Mhr3s3nbtiklAgkRhJ2l/LWrg9jho6aZTqfkNplM3GwYBpbjnGC3 + zDZefP/Vr13/f//73/7MZZcFZgP6nNumnQ89gVhYVbe6eLcairf8nZnWh1/0YTy01Tv58C8zDpSu + qYfYW8xsa1HNDEQiojlnW/TpuxkIJZKcyM0wJAUgQlmdgQFOQDI1Q4iSksYgKSu2/gEoPdkn8gXS + l52hBjhh3nUbs+mb3vLm/3bxxffs3+dAIBlUAcQmDEMumwUEDqI52+LdJxBBrWxxYnav/TVVVVVV + VVUAwMwl2br8uHX/SVsjg92Z61zyqqpOXKpaMq3LOJ8y+Kd8WB6GgZndPaVUhofVTOuqqqqqqqqq + qqqqqqqqqqqqqqqqqqqqqv6eKHUFyZ0dMA8guLMyPLaIwULLI2ZIYHInFjCrGcxDkPx4Hm/vhMV0 + kkVxKmBr4gaV+QUGwMDsBLCBnWGsCjVWCylLGjDrsXntjdd89ZYv33jP12ZyUCddt7aZxAHEHINH + kKurmzETEYiWJR8fNlv+AxFEKEY2M3UnQgmkDkGgoglE3sRgBGmo927oOg4cTua9tnd6xzV3fWP9 + 3LOfuzZ6gs4SuCVi1ZSzMi/qnjFtlaxkfjy/udWjpw6rqqqqqqqqqqqqqqqqOiGU8j9cGjoJjNwA + 5pZIQByUGodqcnLZynp5dCT12EQfTGLIlMAKEk0mYduUY1rmuJxgcRUPUWmulpuvqqqqqqqqqqqq + qkdEqU3m7gRwGT91IpVifnxZ5tsu4y1LMWL2EEPXdYzQhlaz9zToKN01v/Edn3rLXfnbe9t7cjME + CprRZmNQGgCCUMm9NZAJGGxCcRjMjFZWJrP5eoggwaAgQoiQfsTz0Wnjs571fRdccM6LnnzyOWGI + IY94r5CXRGRKMAQmD4LADDi7okTqMsGX6ZG0KPYMhyvMGSXlWgxsTo4GIRqbyljbFs1qu/vZ51/Y + PXf2jVu+ee2Nn7/25iuG5pC10gedm3sAC0zBClE0EgI3Kan6wIE4BEtcdr+hN3I0Msq9EwUhIzIn + Mz4c+qDQA5v7qYEmc3ciyjlLFF3utwYwHc5OJgc7w0uS9dafGMiI3M1iLH8zkIOQDFDOJd1YKJm1 + 8Ni4BB97RxFOdPb/8NKfR05fvvdz+/pNxMOJzQ+PgbYlTBOwFYCaIYRAcIUbMwfhpu9TlCjMbmQG + dxKR5c5mJAAs2eBEFMhhphgIoYU4fICqRZCQuHMInPJAAggGQwY4DoGR8xGrwMuNJw4BzLGoB01m + lK2hq2/59H17b/3pl/3C005+Zrc+EjTsngblBuSDkJiZkzjYcoyN+CKdHNg2DJRgICeyhgmWHMLG + LbWaoI0rlN3JWZyojAtFZnJPGqErNKY5j3nXi89+7fc/6UVv/Zvfv0dvWtd1iZ4NpmhDdLXylqfD + 7Yu8CB5dLsPi/SATLI8C0KCIDUhBObS68pQ95/7yT/3mqF9N+0KLVdHAJkIlY13Bi0BxI+SSkQwO + PGJlWrS35pyzrFDd0gAAIABJREFUBHLocvV9maX9HQ0AdQZGBKgOzQjwPOgQY2tGxOLwgbpZWF+X + u//ms++/9JufnLUHtOmI4fDeBgdckAAhgDEfjAPlZM40alq1TgycEZg4sLkmtpyHse04OZ5+4Xk/ + 9Mwzzz/nlHPjMMpTjZAQRGEORgmBEXJfjvk9+tqZOKJC3JVgDDW4hyafJHzSWXz2k8598Q89/Zdu + ue9r19z4t1+77eqNdO9ord3QDo0zzNXgCIyUEWJwgyYWgAXkgDszE0Cc+9yxAwED5nFFv3Lwmps/ + ePPrfvh/Ov9JL1ztdse+ZQtsQJRsGXB3xQNP3cclBMUDnEFgM2VgEQjPBsrq0jRq1mknrXiw+TCz + cbdB91z+pU9ddf3le/s7bdKl8UxJS2K7OHEOAFMwszxoV4aXbr03yzU+vKbkyzj474kbAQOcmFjI + wJx16Ju2gWpCjjvjx774oStv+NiG3KOUSahtYVnV3cnhDqIQYAbLCEJuDqBEoTtZuZ4QgzPc4Gzu + Zei5E4QkaBpEJHsm9yYgJZgitsd23JOjAUMRYN6bMShgxpvu/t7L37m2c+czTruwaSfkmoY0iSuP + djCBkbs43KMG1uAGZwIxwC2P3L3Tma/2X7vv6xd/9M2zlb1zbELUATDcIQAMOiAKC0XL2d2ZQUQK + dwMzyAggdwcREzkjLI9EM3N3XuQbOBGJUHZzB9HiblZ1MY7/wfqPRMqIfycq9zgQsBAwlBMrAGTu + kxgihty/6YN/8Ms/9c8nq+MmR9cgLhJj1ikOd68tvlPOgLHXUr+PpJxzjDHrwAJoboSzDjt37rz4 + 4v/2C7/wizfddEvWLMREpHmIEpIe/QArcWIAtjKtS8wJEZVizeWjULkKmFkt2fy9obyP5WupWltu + oe93fSeimmldVVV14nD3UnC8/Lh1dca2a3SNq6yqqqqOyoDtn+VK22zJ5jF4zpmDlB8Bf8sf/5EB + buBAUF/8/7JrTFjUVERKt9kiEvJYlFANlEhL4M1//NbXvva15RJG7uQws5TzeDzuhxRCcLt/GGf1 + cCwSmJh3795dHtGcQVQTxKuqejBb4UZHfMpwX37GcACHzyEOIhrHQKbzWfeiC5/3kj/70/d98AP/ + 9t//9p133ZMdImRWug5AdMS/Plpo0fRdLjRtG/s+ARD33/1P/+FXXv8/rownNgyuOQibZmFy36rV + s3yO5VPloW9joHLyBETk0V780gw7Go1ms1kbGwDZNISgaiIyDENsmr7vpYnT6fT/+b3/9w1vfauD + HEjl/QLmQ+9l/IIpwHko/dewB1xJH9hHUML8sBwbs3iUCO4sAlczCyxqCiCybH9OBgLxU88559RT + n/DkJz/5zDPPPOmkk1ZWVsbjcdM0Oee+7+fz+ebm5v79+2+77babb7757rvvvv2OuxJcSl/VonOc + FE4gZs6mTdMkzW7ajkZ918G59H24O0HgcFci8sWWO7wuqkd0M5eKQykrCCnr1rZ+BN6zY1cOp+0D + D8rSx7ZJKbl7jLHve2Zm4pxzjMLCfcos0nXdXffc8wdv+MNL3vveQ7OpSHDAQdm9bMNhyMsnBQBN + 5UfeeqWtX/kyGryqqqqqqqrCthFxWHaybD1S+1yqqjrxldE+2/Oqywf88snXlg34pU2+qqqqqqqq + qqqqqqqqqqqqqqqqqqqqqqrvWKneQ0R1btZxx6UC2GJ4VyloZXAWkZQSMxvBzNq2mc+nZDTyMJ/6 + RAIjeCYyGtGkkZG6DTQECfBSd4+MSY+cifm4Y4DCJAYGq6qrUalSBXPzRhgIpgowUwNQNjXurUkW + +3nY3K/3fOu+b3zphqu+futXk8xUkq+qcnbKDoiVvHBXz4vylQSlZU29BxwaVt6jB6kluH2AnsPL + jBUQEgEBbJydhIwFANRSLkNhhJijI8zV4EGk0aA9zRrM2vHafDYPxuPYeN4+WsYA5sViPK7f3u8F + viiMJgANw1AmvLr78U3+qbHWVVVVVVVVVVVVVVVVxxUtWoeotNQsGotKDoSxIQjBRfvccFRXZ8aD + lFl/RBghhND1KZB0XceyBu3hLLJVwwWgreWsqqqqqqqqqqqqqqqqvnMiYmZbNZEXiTvsBzfW9+w+ + aWN9NlhKnPK4u+7OKy+57OJ97W0HeV8XQAKCgkiFicmQscjE3V5yNwwZFOLOlbUDB/dPRm1OvQBr + jKBr3TqdsXbmy176yguf9oO76JSwOWo2JyGHRWIvubIZmbEBBg+0HIDmYBDI4YuvVr5uWy2DMzmT + H14UcpAzgwkQCtIP3vlotPvC004/99Tn/OhLLrri+k994Ruf2ze7ZzzpLKo7DGhaBJNhnjemOTRo + RjKYTvthJGzOTDAYoywJOx1OoWaH0SJl1QndMHNWJ1O1AAHY3Q63wj1gXN39MpVBGWTLUIll7vXy + tZwAZ2MzMrCVstGAsKOV0caBA7vX9syt/dEXvOruT922v7tVmmOuC+3LTGsngMAAHOyYhBY9rEMr + 4yDjPFd4WJU4xnilmexc27W6uqNtW6agqin3fer6fn5o48DBzf3D0HGEsw6UsEb7D60rYdRQIBei + KDwMQ9dlZpjDDBIQI5JhPkAi2EAlndgAgH2xhLbcdrQ1sJIGn2x+e/Mbf/KBN73uFa+/4KyX6pTH + 0jYhapoTnATEDmJIYJU0WCwB7Q9oflwMgaQMZwbDYYvwkGBkThAzZYibwRjqrgwwmEzg0SmsYFWZ + /tFP/tKb3vt7q2trg8wHS6MRcpfZZWscqAMGZhgtV80IABuBtwVdkwNEwhjm2D2Kuim721P+0U+8 + PqTVmFcZRM7sTA5yA7KX9FUyIzjYCU5EDjYR48U+RgB8+wFFMHipDWnfQXSwE6tLznll3M67Qwg+ + btrptFtZ2zmfzzHSuR9Yp3v//EN/dPPG19N4PXNHDjGUjGTj5Ws6gAaUu5SbcWuWpvOOWrRMrIGU + h/mw0qyG3KzI2oue9cMvOvdlJ+GJK7ar3VhBwogoBM6esw7Mcr+Dbtv5ZPtZBVTeCjLHIs2dPIiz + oWUNnowa2t2u7Tzt5POe9Mw7Dt3w+Ws/eeXXrhzauXnOttmO2DxnA7eyOctt09DizTQs9iX2cs5c + 7G9upAN3WVQD3vnpt+27YO8Pn/tjY9216juRRSBwY1nUdt+qCl/O3nbsiTWPCHaBQxlitnWOApjG + Yb2bjlZHYL+vuwtB86T/zBc/cfnXP77u9yWa04pa6FTNAQHcoO7mCqiTEcEZRA8nVZmPOk76cSo7 + 3KBmgaltm9l8o2lamujnbvjMlTd9fO9wWzhJ5inbzFdaNncOZOaDIfdOjBhBhJRdjjyDuUMdyIgE + c5At0pQBUlVNOQRhNhjMkAncAgZzOB3DcU/O4oEc4hnL4ygHzDFt+NCHr7zkjFedtWZ7JjYO1KjZ + 4rh69DhlNzYmc3IQwUHuDEjOamGg1bw33fkXn37bfOXQJh+0qFgOvidsrTiTsbvDmdnLqZmRrXSv + kTMTEbt7MrW8+J82NBkOohAlEOsSCQFOS4C5w8yPWnf3gXMDFmeO5T2Ak5Wrj3LJL5/vG+56z6ff + 8Usv/ZXzdjwn9i2SpZSyZQq0WBcHQE7qZaNYTbZ+9JHt3r377W9/2+tf/09uvfV2VYsS1TRr/rv/ + 9wG2ksbKTbuqllqoVVVVVVU9xlJKWIaPlhCvrXzrUqOcmUsEXd/3bdse58WtqqqqHifKtYOY3F1E + uq4bjUbz2ex973ufAyFwaeAFAGaUKf/Lz4mLDAxCbJrUD8f0uqrKzKUQwiiGL1zzpS9/5bpnnHte + AKJISinGOB6PVfX+MZXVd0qIF6medXtWVfUwLPqRHNu6vo/SgKwpqyoRtSEqPLbNT7/mtS95yUt+ + 7hd+6cZv3bQ5nZUm+diEw2m7j6atS4aZEaHv03jUuPs7//TiC57z3NXJyny6KY7YtELs7qWT7ohn + OK5TRdydmWez2WQy0ZQNbmbDMDBLyjk2zazvVtZWL7300t/4F//i9jvvcpBu61A5/N32tXgYaxRj + TCmZ2fYErJxz07bD0IPIVAFrYzOkQUAEmKkAe3af9KIXvPAVr3jFBRdccOaZZ+xcWxOR0oGYczaz + 0p9YHilrF0IgovIHhzamN9xww5VXXnnFFVd8+SvX3XvffWV1iCibMmgYBgAk3HddiBFG5VMwM2/v + snywW4UjHj2BJwExc9JcVs0JBo9tA+ah61ZWV+Z97zmHJl551VVvfNN//eBff0gkdJqZJak60MSY + kn6P9V1WVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV1UMqJfu2DaSnw5UtBZLcTF0k + 7h6d/JS1805vT48UoUzWNhhJbmQ2CbllC9snkzgZYLaIQH6cYmnDfD4n98loHEPMQzK1UYhqOvQJ + gMQAweCdu2ubU5xNcfDb99x4zQ1Xfu3OLx/U+3Kc5VFvwf1+9RWXdexApfKb2aNQR2rxptKizmcJ + HHeAWyECkahBNZkTEyA8Sx01cFGHU2AoG9SwVV/LyLmsyKIQVk22Pt7c/USb6VNjrauqqqqqqqqq + qqqqqo4nBUrplOAMBQCQiZQIE3YzATBgxGPXg16SVPjRbWFyJwJEhAnBB8Dhy2I2dEQhG3tg5k9V + VVVVVVVVVVVVVVV1LB5YXNjMdu/YuffA/vFkLcc0kwMf/vx7Lrvhw+vhroEHFbQCdri7EnrhxIGN + yQ2UrUQ1O5wYMAeDdP/6fSsrrfWJlcYySXv5zOYHfuTFrzr/vOchhXyARqNdIx7PZ30QOKsdTmr0 + rRagMvLMcHgknVH5auXrcn0cTo1KyW50Qgl+LlG+ZgYnJh7HSXbkuTKwFk/mIK963pkXfv/Lr7z+ + s1d/84oDm/fwJOXQzwdrx+oBLJAIg7qjbUnVIAYCewnMBntACYbciqQuTWnOADbmh5RzFLJkgAjY + zLFMIXQqWwoAFmEUAHvJay6rsCh8vMiedFluk/LHBG9YAcwBU1aHOyVyOLwZBVdnNKef/JTnnPfi + G675Stb1Y48mhvFytKeDHGIh5jis49SVU1uaPGHltCed+pSTdj1hz8mnnr7nzDFWxBtydvcyHtTd + 3VVJQxAS6/JsY2P97nvvuPX2b9924Lav33nD6Wu7nfr5/FDGnNo8aO6AZiLCbc6mQ+cGGBpGiOgc + SgjObJCymcgcUDo8JJWdxTgYAMx1Fsd2yO7504+9deOlGy/9/leM6Qn9wWHEEe5O5mRZDXChMaCA + LXOdlyMqnUsM+SI2m4zdAGJ3RxRlImNkghIySAGzReS4gIK6mRORc8xjiU/Z8dSXX3jRR7/8nsFS + HCNnBIiIwO2BSefkKHtaeWnDIkkbZG5CYLPcRliPsU9++PwfOXXtSdgfiEqsupXjRckZW2vEJS6Y + nOGBnMi3Mq3NjkiIX27M5Xb4zsrH96nbvXv3+sZ9LNyGkLq81u7amG7Kmq/zfbduXn/xX7/lEN27 + iUMEEm9CZmODDB4WoR5iYG0s8Y4de/at75sO3WRFKIIcmp05YQg7/aTxxs4Lv+8HX/7cH3vC+Ikj + XQnWaG9JB2ZmoqQEoOXWTWhbMDyWB9jifOIwYnYrZxglTBtzgmhgZzEhZ4E6aduSRO616w/MJLRP + X7ngKeef+/LzXvfx6z9+xQ2X+mg87fYNMbsgNM5COWcCCLaVwl5e3ctphOAAUTm1+pznU9r80Jfe + fft9t/3MD/0ie6DN0KRmEttBh/s1i9NRE3EfE+RgNwBiZpRB6oARnHiglCdpH28MvNGt7bvq+ssu + vepjOun2y160RuRu5oMLITLcCZHc3ahEdsMccKiiBLYeedYq45vv3zlw/4PnccgJFtnMGqYA5KFv + x82c090b3/rwtX9+R3/TIDMfQsONCcwGDsiqBDQNt4GSaZ/gjLaFZrhBTAAwRIgiOxECs8Hc3U1h + BnJhCGMYtBkhNtL1Ou0QIzFzytrKMQw5ZgeZsMPYAGODMYyQBQdt3+xQ+vR1H3n1837Wpw0MiFQC + Nh697QmALQAEMqcMMicjJ3MJQdZtY8b7P3jVJd/a/Gq/Mp2sNv0UISwCrUs6OwA4c7mcMTt79uzm + AJygBGZky+4gQmSwSLmtysNAJZc9D3PASo54gJnDS5iHEx0R9nBUywyPI/Zr5cXFYnFOpsU9AYCp + H7pj/u33f/rdp/zEqaePmmEY1lZ29PO+ZE+w8zIABU6lR7AmIj9qyv1quQtiOu200/70Ty/+uZ/7 + +bvuuifl1DRBVbMe+x2Z+3g8nk6nImJmIlIyzx7xxa+qqqqq6qHFGJumUdWSb922bd/3JRvMzEqU + dckZbdu2pIEe70WuqqqqTixHbcIKIQzD0IzaGKOZteNx1/cf/uhHbrjpJgA521YzjQirWQihNDlO + xpPpfBZjzKZpOLZM67I4ZgiBka1LmYG3/NFb3/yH/zXN5yEETdnViEPOysxEVIOYv0tE1DTNvO+O + 94JUVfU4sa0D+oFR1tsfiU00kz4NrklEphu9uu/ZddJfvfeSV170I7fMb09mIKQhE+GxOJkTiNnV + AMBBwNAN/+f//lsvf+nLmhA1DVFCFIGbWgbA20qO+FHWt7TYl2d+LAKDy6e5pmkOHToUY1xdXWWW + rCoi2W06dKFt/t1//J3f/4PfdyAD+tDJzduX+QE9XNvlnEXE3Uuy8pZhmMem0ZTNLUrIaRCgITrj + 9NNf/epXv+41P33eM57exibnLKC2bZOpm6kqACGKMW6lXDuzqi76MoiISIhO2blz57Of/fzzn/uv + f/Nfbc6mX7z22r/+6w9/5rJLv/q16x0g8kXnM7kT5ZSCNKXv2s0ACDFzyJofrNfHH/gDPfAXj6Wj + 9yuSsEBCCGBa39zsNU8mK33fx7bd7Lo+pc985jO//1/e8PlrriYiBQbNDhIRMwWQTQ0aQsj5/nvp + csscfe91P6HTvquqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqrqmGxVVSIiMnfVyLKn + feL/+pP/ARFQM3MGRY6ptz1rT0gHTUxKHTwlM9at6nCP66JiubeV0RrB0qwz83FshWSYD040Ga8p + 56nPssy9tY3uwL2zO6+6+fKv33HdXffdxo3HFTKbu/dtlKy6KEoJGPGyNOXh2OmjOcp2Kw89nJk5 + vu2ZS2m+vCxVZYBDTWGmTGgDt02EGg3zILuaJg5dYiSBxBhMVd2ElzODaDFjiMFWZ1Icb6X+m7uX + 4odbDx7fecS1llZVVVVVVVVVVVVVVdXxxIBulWVZBGlYCdBImmMcQYEQNiajewinTUY2fdSLqZlZ + CIFEWqZ23iMrcoa2h1uSFlX3H89tyVVVVVVVVVVVVVVVVSeAkihZUhWxHAXojj7l1V2TA8P+Oa+/ + /7Pv+NyNnxwmB2k1wREF7DBDNhDDmRUAWLYnLAIlFdjdyBEDOCn1TWMrcVj9Bz/42hc+8ZU7fU87 + GwU0JrAZDa6BYxkvBwJKWDVAzo4y6Gm50M6Mw0mB/ICRTwyQMzmXZbCSaV1+1UoeUsraSBO4ERJP + riZrdOrmofUnjXc98YVPfcYTn3vFlz/1zbuv28C+3WveYZbZQEgGcgQmy+RMJU5bCQSwwy2TBRzZ + YMW+aHebztcNCezuTiRE5u7s7kcbCVhG3hkZvJT43r6GBGd43BoZqAyAySI5hDIogdgJygAsd/3u + tV3zQymrdJv2zKed/5Hrd8/TOgc+anrxg+NlRjIAkCNoiHn87HN+4KLn/+RpK09aoR0rsgOJuq6P + s1G0EZmUEG4mAkDkAEgIyTKG1nftiqeedfbTLjgrd+hun97xpVu+eP0NV+1Pd+toOsvrSkPbyqxT + jj1biLGNBM29J4QGETCwGG9tGweMzGmR/bxt32AALKDGhjyNk+aSy/6s66c/cf4/aEYjyc4q5sYs + zm6qQQxwOC1aSstWWqSJM6hEEDNgRsYuAMiNAYKBnGCHh8A6EwAPgLgrXEsytTAN+/QVF/7Yp67+ + AAE0ZlULADO7lt0VRiU0ldmWAeblzcci/NipBCFTSokbNCEOB9OTdp7xvO9/8fTO7qS4GpSXewzK + kWRgXsZy+2JUKC+r4Bv8cKa1L+PYQQwsQosXf/adsDgK69ODcRQtW59yiCFZH9dwSPZ+4ZZL33PZ + 29LadF03RjvYkiARIGwkFKCZePHK7FBg2s2bpuWIpPOhw7jBJIZh3dfopDMm5/z4y/7hBWe9lDdG + o9kKJzJTFuZGOFDOOaUMlyhC4MPnja0cdACLwOlF7PTW7uVgBYMZRotNRplgKQ0GDkF2jFahTJs0 + ph1njk9+1fm7zjvnWZd86h0wctk/p/nmpo1XQOxSEqyXL76o2e6Hv18+npy02dVOu0Of//ZlGx/d + fN3LfvGMydmrvmfz0LqEQEa0OLKoZOia2fEJtyaDM8HgEAeIS3jwELTDPI/yvuHeL1x/+aXXfNRH + 003en22wFkqAwQyNQEJwI80Zy92vHAUEgMB/zyJ3jWCGpmk8dUM3a1dHB9IB263v/+R7btn8pu+Y + C9OgJtwEouwDE4JITjr0RhkIKOG2nil6Sy7igTJRZnImImbJySIzizuS6uA8sDgFnzTUdSmzBiEX + AjFL01CG5oc3Cn1BFuOR2Rd58QDghDl05SS//CufeMZZz3jWSS/Q7O6ZRGD6iG/GbViI3MnImVPZ + x8zZCJkHXsPHrvrQNTd91nb0cYJpN4SwOB5LpjVvBUuQEaFcl80MADOYyOAkYF6E06PcVjm7u4ig + 3AuRE8ABRCBenLrNvARdE/nWwO6jr8CR4Ra2OECOuOMigMvFijDawfP1g3dMb3n/pf/99Rf9Srtj + 7VB/oB2P05DFeCsC5BjvAarvlqqGQCeffHJJtt6794Cq6rFnWscYVbXEZJYETQA107qqqqqqjgt3 + H4YBwGQymc1mfd9jOWfPzNq2xeIeILh7zbSuqqqqHiaikoloJKxmlpPB3/yWtzowGbezeV/+CIDm + DKLSTEGgrusApJQ4yHcwVbw0MG59Ug1N+Mv3vfc//cff2TVZsayBxcxSSkQURNRqoYBHwGg0OtR3 + eIxyZauq+vtiPp+Px+PSeBglxBiTGgnzaPwXf/7Ol7z0ZQKoA4SmbbpueMwWzN0JaITPffrT/rd/ + +ZuWtU8psLQxwjTnzEQhBM0n1ilxOp2urKz0fb9z504z23/wQBNbjmHazSerK9+64Zu/+s9+7fpv + 3mCHO163+S4ulu7OzKUFeDQa5ZxLJ6CqwtzNIjFUA9HPvOa1r//H//jCC543GTVC7FkJFEIw8zT0 + RAQC04KZ5WEws/L8IkLM2KoSZQ7hGIKIWMothxdc8LwXPO8F2e26r37lbW9/+yXvf990PmdCzk5A + iDGngSk0TWNmQxrMzfQYux5OrDccAJyQUpIYNmfT2LZrO3d0achmSphubnzwgx9881vf8pXrv24A + A9k9NEGzq2mfBizb8PHgnT5HxcfUD1dVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV + J64jSiZulUQjIjcC02pc016ChpwzsKiZNso+u2cYxbaUMvNlXUcsSkc+jmuQsaMB6zyR2yi0gcWy + 9j54NG/pQN6buEvj4Z7NW6/7+pe+euOX716/bdoe1NjTbjfywXOZoEBZCRCHExuYAQWcFjMSqMTK + 3H8yyyOQ3+JHmyCzeElHbCDEns2yZe0ZxBYtZVa4EYHIQCB1gJeTJ0oJxcNLWGdUnCiOaS7Mo62W + 06qqqqqqqqqqqqqqqjqeCNbAAFYCCxYRQQYwTEZTeMsUGn7Bx977lR95Bd+995RA/qg39JmZUzbK + WA0ZvYIYYVnip1Tbp+25LFVVVVVVVVVVVVVVVdV3wsxEpIQWuHuJuFZ4tqzU7Wtuuvgjb/nW5tfS + nnnCgIxo4AwnmMMJTsTk7gb4IoX0yFFJZBi34h103fe0p51z6rMuesnPnNKeuRsnxxQ1W1IVNDGK + lKhIV5TRhM4oeabORjicA1vSkRcpj4usamwfPVdyJTmzbyUEuy/HxpllBA7GcGjOAooxssRp1p08 + 0Vmf1mfP3f3Sc1/57KtuuuyTX/rrO6e35tDRmoSI2TS1wi2tbHYzGhHDthKMFSAGw8jZFsHGW8vD + APrUZ6QRM/jwiDr3Etht2wZQ2WIdyOAMlORwMmJ2BhmVTGtvHALASJexuCwOcSaPTCExKWCkHHmz + 1xDG4Nw2OybN2hN2nrrvvtv92KpEMzwAAGXAyMHOojHk8Uh3nrF2zkl0uq0TcjOSSasmsek1gWmR + uAuYmWVzV0vGDOfokBJTzEwTrDx1ZfeTf+Cpr37Bq6675QsfvfIDt23c3Kzh4OxgO2qdAHIYQIgc + 3LL3aEuIOtjBueQ0swEZMNlKJCVThjoDIIrr68Pqjjgb9sXV9iPXvJs8veLZP8a8JwyTnNCGJgZK + nkDJPIMbEBgGOJU8b/AypRWLTeG8jO9Q5rmRw4mdgYaWIzEJcPPlUgkZweAa90xO2z+//cwnnD1M + p5t5Y9xIv6khCi2z4X05NJeWmcdbR4Bv+56ZdfBRCEOXJzJ58hOeIl17yviMMKeg4rTcn8hB5mRG + 5FgcUOUbuDNB1FCytEvmK6gM5GVjbG+AdTjR1l79sJkEm2+uS5hwiCmnzK6x36R9n/7qhz/8hXdv + ru5LTQIwN4sGOIaQABPjkJtA5mRKpjJIbDc3N3av7PLs/eZ859okRNu8rzuNz7nw+1728gsv2hNO + D9PRBKv95jAajyl4ppyhWc0oSIxuoiCGbot03d7Y/YDzFwAg5hiIy1orG2DkBtK1HeP5fK59iqEl + keRIOZviCePTTz5t9xk/e9r7//bdX73vixL2Gx9wBRGMQAbfelu3XphgZew1HAR2uFnOWUHtWnfd + fVdOP7r3l3/qnzGG8WQXTNhl66S9FWtdYnQfY05mPLCDLbA3AJQJ3LlsHBpu+9w1l332+k+v234b + 60AJE49f87W+AAAgAElEQVTtKPedGDyQCrJ5rxkGNkxiC3eYqmsiKMMDmAnZt2eBA1s75fb3bts+ + 6Q8cYP04k3IfJLPg0DDHzvA31/zltXdejpPpYOdxhMnKuNvMyS2O2NRy1jbGyDTo4Arm4Jmthw/S + 0MoKrTY+aqiZxJWV8eqoXWknO8FC0JSn0+7AxubeQ9O982GDGpuEiIYTsmsC4EhDn9rmmJbdnAc4 + O8rwd0OJPieEFuvdQY70yas/dPZF566N2n7Wj8LoUdmCS+wAosOdskKJQMTOpJLnYfPmA1//7PWf + nIUDCBmEfobJzpBSLv96v/XSrbB1YcYyV97yvIc0aALIWLO5EoMDBSFJltTc2SWysw0K7TCKIJAI + EZk7zJxoeTvwIMiX9zC07Rqx9VuUE/piSkfuTaKv93uvvv1zZ9345Bc9/QdbWrNeGxqRMzsZ+WJq + wLGdyauHZ/tWXfRmGoBxbLuua0ej00477W1v+5Nf/dVfu/vuvSFwysfW4VqCTESkZGTmnMuDNSmz + qqqqqh57RDQajbqum81m5XNZjLGEW49Go3KBDiEAyDmHEOghbviqqqqqv6/ID38tUkqj0WjedwSX + EGbTzZtv/fZVX/wSgL5LAGLTpGEo7Qgioim3sRnSUPIpS+BliJIHPaYlKc2MDgMhNqHvcwbe9a53 + /cav/c9DPwSWRmJ2CyJmpqpBaqvCd6gEu5YbCRw63ktTVdUJb9Evub1B2IEjK8Js/74ZxaQDEYnQ + MAxmFkIQJjecdcYTf/Siiz716U/P85AdfT9wIHv0Y6TdDACDGK5q/9e//j9W2hG5W84AXLOqMjML + Us5ER+/n2r7Wy/V9LK5E7biZ9zMzOGFjurm6Y4eqqVscj97xrr/4V7/5W71mK73IQk0I/ZAe6umO + 2NgP1TK8lWkNoOu6xYMgATylEWjXzp2/8PM//+u//utnnHb60M1GTQvTnAaYhxDgCgMTOcAgeBmU + 4ARECVulnNzdbdHtTCAnMJBz1pRImJmjCIGgfuFzn/MD/9/v/e5//p2LL774T97+tm/ffltWICdh + yZbnfQYgxOYGIIaY8kNuBwBHm/5z/CrfHB5wUvaupmmGnJrRyAkH1zfGK5M7777rXe9611v+6E/u + vvdeAMzi7snNAR0yABCI2c1SSlu3ZA98pe3ruH0L1ApMVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV + VVVVVVVVVVVV1feeMr/eDCJgZjMzcyKwd2QksFLFyQyhHQ1i6skIvKzs50SlNqM9NtNIHh3iaCHu + AMiMslqP7I1hJW3SwTs3br7upmu+fPMX7t643dqcZZitzmIjhKxmpmBGHBE5XN0NKHXwiJdFOW1r + ug092MQMZ9BRJi5sL+L5wMeOeDJf/I5K4UJfTIowAgY4DApxEEFc2OOYJzbniayOeEUH0eRwHzVB + dUApYQljMADzB1286ng5QbKta6x19f+zd+dxklzVneh/55x7IyIzq6pXtXYkJCQkFgkZsTNmEd4Q + tjFexyxmscGeZ7+H570ZmDd+i2fm+c37wyuLjccsgzHgwexmsVhkwAYEYhFYCLFaCAlJ3eqlqjIz + Iu6957w/bmRVtfaW1FKD7/fTn/pUZVdlRkbGknnj3PMriqIoiqIoiqIoiuL+ZgAht+qv889EIM5t + +nMbG0xGN4iduDzB6vQ+yJI2AwuWnDu9WX73k57601dcbcujxaNuto8pbV+LoiiKoiiKoiiKoiju + idxX1xaYmZlVQqqmV+/70js+/ZfXzr/WNqupsnaGcc3aGQwwMBuYAEoxqioz0xCRmDP8kIveSJHW + rY5Lu/zup13wU0946I80cbcPI3QxmjLEiWhC37aAepcbLOccSgYAY5gAAA+dfxcZw4vl3/yBN3If + c6VdynVvi/K4/L8pJS9eRJBgSNFI+5hsBleLVLWNgrLO+3pU/9DpT9y9e8/7L3/XV/d9ebp6sNru + R45SSgptfJVSUmaB6h2OTykNectd7LrQTpCY2aKZkTAlus1qScu1dlgsP8hAuuVZ2+Lp5GTKZARQ + NIBy0LaSgCMDZOxZ+0jUOHN913vXnLr7gd/ee1Vn8yOs5NtSgkgAFKRkqLj2NqK+8lp5rTlJihFq + TiSkGM0YUCIhdt6LNH3fkrAIJVgyjSlYNDKpUY/9+NAcDz/hMQ977iM+983PfPTyD2l7zXy6brWK + IKJr2+gr1NUQ4shQRQQcwBsdzMkUW8orEytMAViIoxElDV2CVaFpmvd/+p0i8tSHPX1bPcFBpD45 + 59hgopqUcrozGed8XGNg2BQ3X3HayC9XQhJTWxTCLYowF6tLjdgEQ348zIv5tE5nnnz2P191tXhJ + qs65XKtph29RbDmiO+8Ui8dbfJNUq6rq+44T1dKceNwDRrpSpcYsDGO+QwAqAZKMQFDkUGUQIKY2 + bEuq0I0Y7JzhjVs+oAJ8d3qKk3b9bGl50rW9c05GFKt2X/e9D1/+3kuvfH91knUxtAnewQJqkZiS + Ssxx76JMxkaqnCPqu3qMmOZhliZuuUpVtzY/sTnjmRf88sNOftQ23ubjiIMLISyvLLVtqymALUGT + KTg555nZzGBqOTn+TvaCvBJIjM0YYCWFwUjzRjabtsIizqlRDAHkq9o7Fp23cU1P2nnqc37khZ+/ + 7rK/+rs3TJxKnfqwbjyktA97FC0exgBis9xdHmxIBEMwxtzC8srSTdNrXvM3f/jcH3/xucddUM+E + Y6WqqopF6gzz/VZ6nTiYMWmlEDZHSkBQ4Lt7r/3wpz4Yt8/7ekpjUaQ+qM7jWGAJ0cwciIRhwt47 + 6WdBiB155zw4EqXeLCSrNrfGOztqff/XKLPBEAkaUqqXfNcf+s6+b1z6+Q+kSddRJyOYoW1nLFyT + qJIpmnHdzrqUUFW1M68t+1iPZXn70u6Td572oJPPPu2E03cs7ahlRMYAJ/iUkiExg1i7uL46u3m9 + PXD1t6/8yj9fed3+a/24rsbW2jymsDJp+r6968uvDFI1VsWQbJ23aII6tmlnNEnfuPGrV13/pfNP + elzTbE+dOeI7f2XvyRpVUktkgTgxORASWee7g3Lwg5e/b40PWN0Lo51hZRl9H+mwSv1hwZSUkE/H + YGIoNEQzgsn2polBba6UpOaqopqMYRSmvXcTsAX0sQ1wOnbMtc26eYISQYSYSVXvNNM6L4LSrQKt + F9lXbENGNZE6Rd8FP3ahmb3zk2859fRTTvZnOvPUs6gs5iEooGQEgEu49X1iNpuNx+M2RF/J2Wef + /Ud/9IcvecmvHzo0PdL78d6HEMwMQH77bWYl07ooiqIo7hcppbZt89kZgKp2XeecizHm2/M4W9/3 + TdPc3wtbFEVRfN9wzoUUjeCrqgv9yo7tr/4PL8//paZEZEmRA61j1JiEhthLJy6kCCYAMR5ZpnWW + L1oACH0E0NTuL1732hf9yvOX6iZ0PYkzNZipqojcn9GTPxCIqK7r/I0dI7P8i6L4QRFjFBHvPRmz + ZwB9248mE07xRy562gc+dIkCdVO1XW/pKB9/NkazmRhsmnZMlp7+4z+xvrrWVJ5BRKZmROScM6QQ + 28qPj+4iHaGUUl3XAK+vry+trEynU1/X8677D//xf//rt/2PNqktMq2TWrrjTOsjlwd+QwjOOWYO + fT8WR2ovfOELX/rSlx63c9d0thbb+ahu+nZORGQQ54QRo5Gpr+ukAGBmWy8p5lFlVc0nINoCQO08 + OSGikKIlNRipha4X5nFV/08v+fXnPvs5b3/721/1qld967vfTaZVVaWUUkoiIpAQw13JtD7Gzdq5 + q6qoydXVTfv2vuJ3X/nWt/2P9VnHhAQ4cSBKMYAAIpj5qkopEVFSBaCqG2MFd91GcUhRFEVRFEVR + FEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVR/MDYSLYmEiJTTTlWJKUEIEZj5r7vUTkiSpQMlGjR + SWloi6Tf1/2RlNDFOREiNJDKsnRufu3N13zz2qs+97VP3zj/zmrcl6p5GsV5CqaoRjBYDEoMX5HC + 2t7MUDEBBPCiAyRAitxTMPfvupNZCXezxxcZJGfBGNgUQ1tDAJDcadFYtObknFaO6xXsfMJ5/2os + E4nOjMScY5dSpykBGLrDEcO+r8PKf2AdO9NdS6x1URRFURRFURRFURTF/c0AwmHd7JgTuAIAUA8k + AqRPFJL2Cf4oD/dZHrxyjK4PN9x82gPPgIgSGKDNKCIl5ESZ0salKIqiKIqiKIqiKIribqItUYo5 + 4jqltGr7vja//C0fe/00rpkjmzNSmkxc30epHSchFSFyappagirApro1eddAudrMGh+XTtt2zrOe + /EunNGeO11eqtnLK5h0JYKQpMiAVExNgqglA4Fxzthj8gYIUiEDOZdikBkOOcgYPA0ZDIi8vsoW3 + Jiw0rk4aYt+DFMwiAiKGefTt7GBU39RjItf1aane/qA9D//FH9v1iSs/8ukrP7Zv3/UyYZYUdcqA + TyNKkmRuBM2pnZZjjpkxVM7pIhrTCFFD2/eBkmMyI8CYOZrdwbjWEJ+8yLMEEkgBzoV9ygQyUA9p + lZCkEWVJHSszIOacKVtAbBvfYBoYDh0m9fbTls+chJUoMXE8ki1FGbqoKAQYoA4UVEOyPmg7kkoA + MVNLEAUpSxIiBpmphi638vbeW0x9HCrXiEicE2KXbHZwury03ZQO3HjwwhOfdvYzHnHFtz7zkc/+ + 7b7Va1PdjpYIDSIhuRgMLDkxVdl6sRx/zgoYOcuh4EAO3iZENtRL1Xzex4ilManyDfv3nXD88R+8 + /L2TZvsjT3vCdr8brSGYGQyAI7M8DqkghYHBmp+28RA7DMVwIxgM84ykgFHClrDzIX+UORfW5tcN + xrOD7fbtu/Zs25PaICPXdl3jK1Ib7pqwdW/K2/CQsUpQgmBIjjczZokdVuqRtXTSjpOW/fb1m+f1 + hIOLCgbYwAAtWpMPO5BofoKc99wcjq407LgwJmMg/5x3QlXKOyMrDdWld5WxI0l9XB4vr84ORZ4d + bG/8u8+9+1Pf+GjcPj3UrZtQQ5x6q73M5vPxxHVRAUSJUYZnbQQQkoIFvXWTlVGTmtUbugfsOPtn + n/zLF+x+bNMvs/J8OgNHP/aHulWuHOCZ2ZHzZhqDhkQIYCIiGNvtpnTfcvjbFiHUonnNs5ECYjlV + 3ZBM2cBk0C71iWM6ccfu6w/uXVre+YhdjzvhWSe9+f1/8b2933Iji65LpIk10WZUc2SQQRRkzMZK + MIoQGMELavLzg2vCS61f+4v3//HzL/6Ns/2jtmnFzENK92JvOoIX5d6jZFGUlSFwsVLzBlE1RXfW + OY88/otn7rXrVrv5fD1Mlp0IMZsJp5RMAYUYOVSc2IxGfltKKWq0EFEJsVZsSXNBMw/B6reqjd78 + 2diIt0YRfz8iKMd+srK8f9q3Yd6NDv3Ne96gky5YmLdxacek62ft1JbG6pnaeWLh1bYTj6auJHpb + le2y6+EPuOARD7rwjOPOGulSxRNn3nozMzUjtsZJSrnfvnPSGNNOF/vx/IHnP/onnqjX7L36Y1+4 + 5MprPkvUuoZUW2boXd64jBAdDCBTGBQOAJmSAZ2tjDDtZxPffPqrHz3t+DNO9celKeBx9F4yJRiG + rHiBsSEh9RTWeO2yb/zjV278cqhmVSXdPE1qJJNoKe/uZGq0WCwCACIkAwEEU1VN8OKW/La0n0dp + XEm1Mt62c/m4lfG2kYyJxLMYW6vzg+sH9h26cXV2qA3tnFdXdvn1uBojiExkWLN3lGBEavkcnI/S + NORYszIbiw5HJyU1UgAucdXINM21tvV27R0f++tfv/i3Qz8aGUQ5MUApv6BivDiaFfeaw967bTFZ + Gk2n665qmCWkdN5557361a96wQte2PVHtv5zhEmMETnDLCXv/Xw+d66U4hdFURTFfU1EmDmEUFVV + 3/f5xpTSxnmZiFS1aZoYYzlZF0VRFLdAtvk1Y4MSmLkLvTjJ55Fvfetbb3vb2xkAk6qZWYwRBCKC + wWAGGIyBpPHkk0+8ad/e0EcIbYyR30X5M6aIRFUQWGjex29fc+2ll176Mxf/ZOxDjsMkgogYgGNm + avr3l/zCZVVV5VvKqiyK4ojY7QzX59tDilVTC3Hf97FPlTjnXCVu9eAhqfyjL/yh3MAmDY1gbmso + 815HBDNFYuDRj3oUVFcmSykGIQZpjFHNQgjsqG4aza1pbrVUt/esj7aUUlVVMSYiynnhBw8efMGL + XvSZz1/eRmWmoEaOlAlqUlVp8dlwk932+P/tjSRnIpJjp/NQcP661Ix+7hk/+Tv/7mVnPuiM6fp6 + Lewny2bWt/Omqs0sxV5TYHLMHDX2fQuSfPWQmRaXFM0sEZFzjMW1RVtImsyMUwKQooFJRITJVB2T + iHPOBXa/9rzn/+tn/dx/e+Mbfu8P/nCtnQFgJ30MeclzZvZtPl867Arsbf/OYrXddxbb2+KyP+Cc + q6rqs5/61Cte9aqPfOzS9bY3gAQxgZhDivlaEfKVWeaw8bozC3NKaci0vsPttryZKoqiKIqiKIqi + KIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKH6wmRkzq8LMGGAiVYCdSJ3MRKTr5449c5/UkYihz+X9 + bBDbaDXGSvfpRIN7V+LUj2OULlT9of7mr1775cu/8elr9n5jzQ5FNzeftI5IykrL3gOIrQZNrqnV + 4nSWSDCZ1KY0n7WeBUN3PAU0ryAj5HadQ1PHI1y8RYvPTbeYxZM74w2/RjBCWvyCmKcgHJraVnbQ + zgfsftAjzvmhh53+MFmjsU1i9IjkuBYRg1pKkOE1taHBppZc62PKsZNpjRJrXRRFURRFURRFURRF + cf/LbVXy98NwreboHwbEA4GQ4KVWzFlIsSWj6N7GBvHSpxS6WFX+OKVvHTgI4k4xYixGOBcjl6SL + cKOiKIqiKIqiKIqiKIrituWEaQCawxFpsy0vD+G1icnMIXJ3KOy/Sf/5Ly/5s4O8T2FIVPtaXZrO + OmkQLRKMFUQsZjAlB++Q4jBeRMZGSsaizsVR1S0/8szHX/TIZ5w4Pn3Uj6mVCY2MLSI3YjZmBptq + iqpEtDHqY2RkMBpSZAmK/DMZW26zTRgK4FQXydZb8EYw8Nbm1ylGM7BAxCdoH3sATqhPfVWzZ6+p + b4MakZNRnGJXc8qPnf8z26odf//5D+ybXosJRZ4TgWBkJOqMYl6ajbK8zexn5HjvSEgRoU8tWJ0R + aQKZMSExCEashhzqzZYr9lhpUdx3q7xJJWPkdskKSrkyL1EytmQgqCQlRDHAkFQTgpAj4x1L2/d3 + N2z3u1wc0ZEOqZHeort04pgkgrQW59hDre9bcjU5KCmxsZqqERFAIuIcMbOqAsbERAQSAFHVzDSl + lZWVXq2bhWW/C70Jmsc+YPlBe05/3yfe9k/XX05VEB8DWSKoBxk0N4seksF1I8R886XfSLclTNf6 + ycTPuzCfm6vSeBsf6PZNxivv/uRbVyZL5x33mAl2ShQNqprMUk6O3lzn+cWkIWqdkAyOEPONOd8Y + JiBFTlDNieZDUulwB4oENQUE1NT1LM4bt1y75ZAO1bXv29YPrcXBhiHA2rY8OgBSWgzMKsAE53k+ + n48mQpHQ+uNWTpgdmm5bOaGzNSMz0lxqajbsWUN3bdu4HyVj3pLDjS37CxtABtvcpG3j9sVY8qI2 + VBd/lTO4eXGHyrlKmDhBD0z31jvd/vmNH/z0Oz797Uvno7U5zahiSl4Dau9DNxeHto/MMBpqT3Og + S156JmiCJ1Cw/mY978QLL37Czz74uIe71YmoCxpG1RhCbT8HWASm1IdEppU4ES8UzZQICcgJ5bdO + sF649e269UiS9yADqcJyU3dTtYiknqhpRvtu3LuysjKdd9uq3ePx6AU/9uK/+dCbvrP+tbZe73xI + Nmw8i6NbPkobLAECqDJyxEkIIPTNuLGoq/FANXJv/MCf/tYzdhqlFbebkzclMzMmwyL7edgOCcZk + brHpHrXkWiPWCoCSJu4ZpASDpzTRdvbDj/nx17//laOdS+Lm01k7ampEQusb81DhhEk13rPzuON3 + nrS0tN1JffOB/dff8J2b1m7qpgdjTbFu+cgKpYco+mPXlkP6UK1tQwEtD9dl1HuZztf9uJrywY99 + 6SMH6MYb1q+vd1QjHs3X58Q2GiMmhJBYWMwzzEVHrV/mHY98yKOfeP5TT1k+PR2kJV2mWHESs0Uy + ARmgaDvPrrbK4LWXZDSScc3LKmF1/4GTR2c9+0cfcO2BJ/3D5R+66ptfjtVsXrXB9YkXEc9DyjgA + zYXm2DhubDlQ5F8gZcsx7VAAwpIMbZpedf1XDqa92+OBZb/LEI/qKgdAJM7EEWCs6FusrdPNf/e5 + v53Wh3xDbZtqh9iio+QbHzQBLAYy5CxpGPJB1BJI2VNDXeW12jHedfz4pPMe+sg9Kyfv2XXCymgZ + ibU3gTj2ZpYsJiTygOg0TG/ad+ONh75z+bc+edP0+tXukMZolUVrI4XbPRTlNxh8mxt1DnrnYeGg + i/cenFIiQaetW6q/se/qT/zTRy866xkpJAcYqeVTJKFcXLsv9X2/kULdahhPxhdeeOErXvEnL3nJ + bx7RESunp2CRawIgxlhV1a1jQoqiKIqiuA+klHIaXA7uGj7SA6qao6zzLc65kmxdFEVR3KaN6f20 + GAJt27YZj6Kmten6aGnyV299aw8wU1ITUIIRM5hiCACY2VSdOE1RgJf+5m999GN//5GPfrTvj2Sw + JY/nmAHId1uN637WkQGEv3rLWy56ylMrFmNiY2JyTmazmff+Xl0T/+IIUXlvUBTF0UBEqhpjIKLJ + ZGIxhRBEZDKZBE0nnHACACLEkEBgJtWjfkGFRCzEPCZ97rkP7tvO1WSq+SKbiAhRSilGFZE7v7v7 + VlU1XRfA7KoKzP/01a8++7nPuf7GmxJgQFBjoZQMyQDcRqb1Ijf6SOVQZEtKQCUupnj2WQ/6vd/9 + zz/25Kemrg1dR0A7n3vvU4peXNu2VVXlIWhVJSIRT0S2JbKamXPAdo6dzj/m8Oz8eTbLbwmIiHnz + Fi9OWOazuZktLy2llOqqeulv/tbTf/Kn/o//9H+/9/0fIE1CrKb5Dm/naR3TlySMFte+QZd8+MN/ + 8spXXnb55QlDQQIThWSUSx0ILKIpwQxEUAXA3msIUE1mObBahNLR37+KoiiKoiiKoiiKoiiKoiiK + oiiKoiiKoiiKoiiKoiiKoiiK4pilqiKyMVsBAJEQUdsHwxBn7SthV1lKtOgbRqY0dHhjQO1uzMzY + 6PFlfIu+lBux2bf65cNToW2zw17ugLfxJ/kOjYaOWxuNN4e/IyipUUoSjZJSDL5bpdVv33D1l772 + hau/e+XBsNdGXRy1HVp4xAgAVQVK6PsgxiIenkOMYGoal1Sn6x0R+aqylJvg6ZZOU7lH4O3JDRiV + N57clp6cw4q1rc9oc21vrDYeGqMtnqw6ZwyIpEpS3WD51N1nPOLMCx9y6vk76+N8qHjKjXNxHptq + ZPCqqQ+BNHDFCoIxKLeU02N8psm/NGZ25KnoR1GZf1sURVEURVEURVEURXF/IwCoN392QBCEAJdA + iVBpD3OVUTJNziQe5dGlmBhwzmkIzF5EYEkYQAAi4AF32FjpsTXeVRRFUdx3cus0ABu91XLPtY0k + jxBC7qaaUjoGO98VRVEURVEUd8/WZrjMbGbMdPsdcguwsUsMIIoGVhvyJxXgpNSIj20vFZnX/XbT + t/GV173/1Qf55ii9UI5u1aCRPaKBDcTJSyKDgo3RC8xQeZmtp+2TOoTQRSw3ta65pt/+ow/76See + c9FJ49Pbg4HZuYrn3VxEFMipxmqGBIBlqHgb3rcrcjHflmq2XJEGhTFIt8YxHl6bdstgv1tWJRIR + RBOI4NjlOydqYNqlCIA9GQhJal1ys7Gj5slnP2PP8klv++jrD8y/m+o5NYjWV1UzXY3eo6rR9yAm + HWoKAQIbWCEGMRV0VoX9032nbfMusKJz3rUhmDgYA2xEarb4xEL5uQ9PdnOxBSZ5NeTQWhgzoDoi + aIW8Mn3MKwkKc6yATEJKLMass24VjR1//Ekh2BGGWeqQXmy5MzPU0AscJzbmVHFiMvaOQRotgZhS + Xs+sUNjwwS2pAYRFE21Y3HjtlGWeQmI1j0iJetfYSkOjsYx/7eJT3vyhP/vsNf/QbEcvc1KYQgAy + yJAizUZsbKBhY5Kc8bu5BaB23HcJDCYkBaBUo00H4MKbP/HayY8un7NyAU0dETsmTZGRYATiofKR + AEQAZCoYoquNGKSaw63BSrDDi1YVOeH6NjZUo0Sku7afKGmENAVipOQ9WwQAMjiDUQQh0WZeO0HF + hgx1JRjAFpxD0mSRlrB72e2pJ76brTFDQYtS163lm0OBqQGa23MTAM6J3WxQMG+JA8ciOX7rxpAD + XxWciAwGUpgxlBVE1AaV0TilqBrrpkphnaHJnFUpjtqbuuvf9Y9v/uI/f4p2pkBzEFSVTIU5pY5F + c9h0LZiuw41QiXRBDRYAYVSKsUDXMYmTU5YueM6TXnLc6MRmNgZESVlEE6DJUQWCJQDJCbPBEKOC + oBiOabcRGH+ne8Gt65uJFntkynsGg2HKbW/VeDmYOvbUE/d2Cp/5kp/6zf/2gVd/c/Xqzq2BLaa+ + qb2mvuvBdQ63zqs+Dqs9Vy0LVDmoRlFmbdGphD99339+3o//m7Mmj3azbWKe2Lddt7S0FLrIUEIC + osERmNUDrNzZET/fu4rNSRTAknTJdcnmsAqoJTXjtOPck84/fdcZ17dXO7LKIfY2Tis758cv2c5T + Tjrzgoeed+ZJpzTsrXPCjcLrGZYw++q1V7zzo399wK5f4y5VRjQceO5wQRRDerseaxcL8oks74Rk + ZqQg1XycMAf4XO+uiAw1QlBYxa2u3Ti/9sOfvyTuWlcgce+1coqmajrtQ1TxSGQ1andwsqw7zjn1 + oYcu1GQAACAASURBVE98xA+fdfw5PPe0142loSjI21LOSAdEBRAQq+VYdQMrg4HABu3jEkaMul+b + n1U94sFPecRVJ//Tuy596/fC13kHr+qMa3ACEmryMUZlNlbbOEYuXiDaKF43ZoNClTXlxv5iKSQn + Uk/so5/70Aue8OAwXWWr+CjnK3uI9OKddBq1Crw0v/RT7z7oburqqWpfCUwdMcTF3kJKMqrHOo8W + Q1M3oZ9VDdoOYIx4xHFllHacs+e8R5/z+LNPePASTywywBwYAWT5kLr5dJQUPYxsjB27lk85d+X8 + pz7w4n3r37vyO1++/OuXXbP6ja46FOt+HmbkOJkKQUTUUtdhstTM5+3GcCbZltMJQRlmMcGRQUzY + FKZK6Cy6yldmXTTy6Pz8kive+5Azz21kzFxFJoV5Y0ccSLdOmSjuFYetzsM3bCZmIHZ97ST1nWN+ + 6kVP/v3f/68ve9nL8wwT5uFdlrBE3Xivfvj9Lz7v5ATNjRvzqPhGKgkzA8jj5PfuEyzuG2aW41G3 + 3rgxTWsjaeZ+WrqiKIriMBtXn7cemZk5n4U3zsUlt7IoiqK4BbI8imB59DW3MCBAHMFS3/fe+z6l + 1/73NybhqCqLsSVV5HHPYWQV0BQJWKpHv/Csn3nEwx76oQ9e4oAEbAwkiOcUIzGbLto6bHyAXZy+ + 1DaTsPtZh5zWaXjPJZfceODA8bt2GjEzYuyZzEn5PHKX5PHkrZcqVNV734cIFuccAykmMKFc3yyK + 4h4bRuYhUDA7LKKRyYkCoW/9qFlaWVmajFancwCw4XxyFBlAsJSIIAYC9uw+rmpqs2HckkxMYQQi + EdzXl3e2XvUb6o3VqqpqZ/OcD11V1bztq1ETNc37/vNfvOJXnv/8fYcOYjjPAvna92HjwLca0b2d + 1kCLW3nj0YnZzIBEzJZUGGwqBKT4b3/jN17+8pdXLEjROUkpMhOz5DVpZs65oV6axJDPKrTZ8Slf + 8N3y45ZbNn/MS0XMwz0wDDBVAGYWY8xl2CH0AJwTS/HMU075y9f8+fs/+MF///KX3XTz/gioGTOI + JGli9poSAF9VYTPz+2hdrwSGazRDGcWWC6Ou8mtra+NmxMxt29d1nZ8UQWKMrvJmpoZk+sY3vekv + Xvf6r379a7ZY0GFtGA1vw8AwaFy8o1LL76s0hGE2kw3/lfSO+kIVRVEURVEUd2xrtcPWioiNi+bl + cnlRFMe4jZqf/A0zp5TyQSx/FZGNuc9FURRFURRFURRFURRFURRFURRFURRFUdx1W6+/m+HWrTmK + Y0p+pZjFzGLoWcDMMSVxTkmVO6k1pNY5SdYJCyVjG/oqITdxJBxx5zQaGjTqMHkzd5zLNWl5Pgs0 + Jz2TDs0QOQ63gHNPyNziUgnEzsxU1QBmJjIzDQYWYpCF3hI59s4ECiNW0WB9J3ObdB1Pv3nD1V+5 + 9kufufrjrUyTxeRjqoJygiUmWITPy6xIMHZQQ6KgpHl5VUGAdyAjpChbeq/CtnanxEaPwY30bWCY + MMK2mLBjm52mUoIC4j2zUw3JFGwwIwIzyEAKKNiggt4hJXhgxI20DWay4nbsGp34mPOfePoJDzp5 + +wNcqrWlKlZClUZTIidVjBFQMBODTHXoHbpYhqPccKy463KVphmck77viZD75N2/qT+lPUdRFEVR + FEVRFEVRFMWxQMkYlkf2AMshEKpggOAcjFKXiEgVRzsUNCY4IY2RvWtDmExGEImaao6LSCMQDfkx + QMm0Loqi+Bcq96rIGR7OuZSSqlZV1fc9gLquczO1nGxdMjyKoiiKoiiKIuPcajn301cmsxCC8w5N + uKm77rr07b/60GvX/IFAnXIEmG0ozsMirJdztqIB0EA5HxchWTOSaDElXXJVPETbsPvix//so099 + 0m4+IexXBKkmPv8JC9ut+zHdIvwvf91I2N34ha1f7yHjw/tMk5EtMoCVlJyyS3Vd1/NOzth+9vN+ + 6lff+L5Xz0QOzvaq0zbMRssjtTidhmoETaaqJMNdKoE30yE0UpzHDmqczBBBBBKAACbjzcbZZDDZ + Eitx2+vHttzIhpzpO1RhQmmoj8TitVIlBUVjIyJHvnb19O6uQDaoOSMYx8QKYzEiYx5CuEkJlMNl + t7xSd1r5m+PAjQwEMojBJcfGzMuz1e6nn/Ts5vPjj1/9EalCNfaH1ubkc2YqADZiHdqC52o4kA0V + okoMqBLTludLpnm5osM0rRPt++Bn/nbPU05eNizJisbIJDlvFgYlVgJyaSryHjGkQQ+1q4uQeLvV + lnkHq9gQlNRLA6tIxcyYoVAQWHMS9rDZ61AYuriFcrIpa+5Mb4ah2zgv1TsYlZlBIszxHXZRv+WL + svjlLX9zu7W8BM1HhkTDkUQJYgqwmY2q8bTtpKrB2nVzx1w11XqY9Zi1fvWdH3nr1/Z/mXamm9u1 + ZhssbhT8qm6pHu47jBoYI/TJABMI4EUopfkqThmd0IRdv3zxc4/zJzRhQskTmW05UNxezv2RVKAf + USnzll82VjAREchM84C7Q8U6QdRffvoL/uwdrzC9/qa171XLjh2tHsLO3X7eBiXYsOMA+UhAw8Zl + 4MRKBqNIQHDt1Pa/+UOvfeHTd5zUPHiiO0ObRqPJfN5VLBvpwvmgopvZ5EcLGUtyRppEjQMswiCx + JqusrZpq8uTzn/r2v/+uhrjSTGpZPm7p1Kdd+Iwz9zxk946TqFOdT8dUeV7WXoIKiXVYO3f3+eOn + N6/7wKvQhH3hgLtltvrtOSbb/NHhp7Dh+42LGsONlG8hBYxZZjprx4cu+fh7sNzfPN3LI4DQdf1k + VK+ttn6EyaSeTrua6rjOJ7lTnvboH3/UQx83Sstxr45oqZGm7yI5MtJcO88gMuQjYSLkA+NiaTQv + QON8HxL1vgYjiI/+glOesOfnTnzH517/ue/+42jbOKS5qqHHNHST8ahDt/kEbePdwsbBGWxDErPm + gzwgUHNkStN+7bt7r1kL+2vXcJSjWmWeUnTiiAQgtWB12jv7zhe/9Y9xNE0cfWIDEwDSfCYSkfl8 + xsl2bVuer606kW4tjf0IfbXsjnvoGY957EOfdMb2s2m1kjWZVJN0RP0lSXmue6jafc6pFz70CV+4 + 5rK///Il3znw9ZUlnziq2KH12WQiGnU0lm7eek+q+VTDMCZSI0U+9uawclao5Pcukrcij16DT3AG + tUiOD3X7P/mVT5z2kAeTWOySl4pCiDGxd2rxDk9WxVGlbLj44otjjC972e+IICVUlev7mDTdjdcl + R61gEZ+ZUtpI2SyKoiiKoiiKoiiOZZrn4ZMa4Jxr23Z5aeXQfPa2t7/zxn03GzHYIeUcRdwigdQ5 + 1qgMPP/5z5+Mxg97yEPPP/ecK6/6KrP0avmjYooRuQHElj+8zUsQt5DHeF7956/5vf/0u4gxhDiq + 69C3Ij6nkBZ3g90iZbQoiuLoMxrGDJl5ZWVlfdbS7aYtH42HHx6LgB07dogw1KDHVtOoruuWl5fX + Dq2GEFaWV0LfV+KCpslkeR76aPj7j3/i+b/6ojYE56su9MMlHuN7vBoZgHOu73szy5d9oSZCMDPD + nl07Xv0nr7zoKU9xQAiB+Bga8iVDJWxGFz/tRx936WN+5UUv/PRnLycnXUy5wiNvdaoa+h5brn3f + 99q2XVpain3o+368tDybzeq67ru+qqpgSobV9fU/fc1rXveG19+8/yA5WcSW85Ylvq3lt9v6Wk7v + RVEURVEU91hOsN74Mbeg3Zpyzcw5C7ZMEiyK4tgUYxSRjSbaRJRruswsT3MGkFOuyyhxURRFURRF + URRFURRFURRFURRFURRFURQ/2BYB5EZEIkJsRMZETC5osBCESciZKZGlPgh5DD13NLerSqyA8j1o + TkUGA9PQySe3fMzF/3maQG7xxhsPobS4mWAqmpQBIRYxYrWUgkbnXEoEkHOVEzKzYJ26FKyTJet9 + +5393/zCZZdd8c0v7J/t1VHfj9Z7nttgSJsmIhweyq5D/zEYbXafo0Ujr43vF1M7WRe1J6IAoBvd + ikht0Xdu40+2zC/laOorT8JdSH1swcTMIK1qP5/3KWBUwTmX+pgUDNKAlXpJOq9z2jM5+ZEXPu4R + D7zg+OVT0yqPZbmaNRTZkol4IhJAN+cNaV7Pm9NRS5r1sW3YRO/vxUCJtS6KoiiKoiiKoiiKorjf + bTZROWx0T2uiZMZKaBOijsdL9XS1GZrlH0XOs0YlIITY1D7GiPW1ZvsSgEVfGD5saY+FUa6iKIri + PpfndXvvnXPz+TzfmDOtm6Zp2zY3AyWinGx9fy5rURRFURRFUdyvlDSKbmm2mwMcnRhXjvq+DRW3 + snZd+vpr3/Oqtgqzbo7lXAemGFJ1wQZdfJOzKgEWhbICSKYsHFUd0PSjRrf/xKN+7jEPfvKK7Na5 + gJOrmQ1BDWBjOibjRxlIOemTDUBiGIG6tvNjt3Oyy3H4lWe++A3vfM08dKi71rqun7MTMEwJyRrv + UzQlVgEAIyQGEhN5Nsy7GaCGoTvVvbzotlliCNjWVAoigsHMBMTM3vvRaHTvPvq9hFnBQxliD+4V + YKax30Guevrjn7t3bfb1/VccPHR95YcSycVT3kiN3ahdZAAbMclGmjbqLS2XqGoOpSam9W7tG9d/ + 5dIvfPAZj/z5dsYjHlMa/goYNvuttqYvk939OFAiqus6f6OqIjiiYj7esgXlKtVt27Yht26koz5+ + C+T6VwaicoJpYBYwm0spCpsXnXVtXVWOeG22hh1xPRx494ffdvV1V838+hzTZhl9j0VvycWqXhS/ + JqASCV1ioBpLUk3RrE/i3LhekUM7n/2MF5+w44QmCM2Ic+XwMcNIlYkMBIOpcVJKRiw03u0e8OKf + /q0/eut/9ct0QG9cW+smK9R2IUe5DwXEi/tRAJbDaze2cBjBgLVZW1Wzv3jPK37t53/7RC8+rlSK + hr2hBxJssyAzcQDybnX0qJHqltbuYhBTMXZWV9j+yNMe+xn3yWlcPXHHA85/6KPPO/uH4kEd0ZLN + Y+iij0zOpU77Tl0jMVlT1ZClM7af/Zjzn3jJ197uatAx9PLeTUZQcwCxMUxBgaE6XOyIADOULDES + AE3Bb9cvXvupK667LCytV27IO/cNutgtb5P5PLXzbkKj0XT5nOPP+4knPGt7tadOYxd97bwEUaXa + Nb2FxcajSsygjUPk4YXmA1XNqQm+8kbah945t2flhJ+/6Hn+4/6yb/2D38YqvY1UxtWsnZMIADKl + vI0tzjsGgDa3ZwVoI99aAZgIJYo33nzDjfu/t33HcUJH9ZSkxFEq33VJzdUrk3Xc/IkvfvhgdyM1 + EHUwAgzUbr7boeAqNCO5bu/B7UvQ4B0tL+vxP3Tm4x/5oMeddeK5PJd0MI2rhpdltj6rXXXXy+WV + TF2o66aLgdvRY05/ysPPvOCyqy695DPvXuWbWptv27Y07XrvJ/PZtK4x1OQb2Hh4FANIlYYFhwEw + pSHXygAwNMIMIhSSAkkVV1z5+Sed+t0zV3ammTWuUcBUHeVXr7g/1XX9zGc+c21t7b/8l/8PQN9H + AjFz1HSnf3sLZjYajabTqYioqojEGJ0rJfpFURRFURRFURTHuq0jvWYmIrnG6fWvf/1w6+0nW8So + Ajji5zz72V7cZDR+4fNf8G9f9jIzy6MyYIMBIjnsB3dcaWu3/A0mvOlNb3r5//a/Ns7VVRVCIBKz + ktl4j+SI8c3gpXv7alFRFMWtbQQp7dy587rv3XjfHcUXHXbykW7Pnj331QPfua1XSWpfxT6IiIiE + GNq+q30FpnnfTbv2fR94/7/79/++C4GJ+9CLSMrjt/kSzD3rp0OgXPDsnQshVL5KISIpAT/ylCe9 + 4o//5LjduwALITZNE/twTx7rXhdCEBFxfNyuXe/4m7f//h/+wR+98lW8iCGMYXNpnfdmKcV4VJcn + XwVbtG1iLC73174KXW/Eo8lSHxM7P+/6qmmi6s2HDr3iFa96wxv/+zz03nsV6jcXUrdcud24DHpn + l1xv9W6qKIqiKIqiOFJ5zCQXPKSURMTMYoze+5xpjcVnHABlqmBRFMegXK+VqzGJyDkXQmDmoT4T + yMe3/H0+0N3PS1wURVEURVEURVEURVEURVEURVEURVEURXF0MHOMMRdRgMmG1ojsArPWChLvopKa + Na6J2jNVAAi9sSlgpEYKmB5hsrUOjaQIxjREVuecZwapkg2N3YDEYBVKY4CNVCkZ1Ag5Blsgwlwx + mYYYWkstRMfORaWaPKyyaAGWuOv8eusP3dR++wtf/uQXv/nZ/bO9yqaw1BAcBe10MR9hM9P6Dt2q + OdjWcOjD+isCLAYytuGJa55cupFsnTaCusGkDFISnmvQAGaIgAjQBKCf9uO6ArhrQ5ei916c5+CX + ZtuXZzvOPPWsCx/+6NNPPNP3jXRu1C6PRsupU4vEIBIxs2RGZDiW+gEWdwUR0TE2Gab0zCqKoiiK + oiiKoiiKorifDeOKm5nW+WseOWUQ4Dyq6sBsFvqowZiP7gBTiOqFNJmv69h3XTtDM6KY4PgW7WCG + BjRHdWmKoiiKY1VuRZFSAnCLKdxd1+V4MCxmg/d9X1XVfb+QRVEURVEURXEsMNLABkAUANGi0o4M + KfTS0EE5cGP/nb/+2F/ebDcIqma5ntO6kdpG/DQNmZRkzAoyJhsaAZOBACIwc2h1CeNRu/yMxzzr + 8Q++qI7bZodC7aUZeYroQ4Sxc5LSPWvwfDQYG0GRw46hgJACCP10adtk78G93mg8Wjll6YxfuOiF + 7/rYW763fnW9LGshaUzNuG7nvSdxRrB8N6ysQ9kiMZNLhNlsllcpMS/iKG/hnkbP3uYoGRGZDh2U + 2cDMo9EE7T18qHvZogw0DzsmkIKiEYjHoVWnKwx9zsUv/vO3/363upr8vNeQcqWobVRb5m2KD0+8 + HsYPc+YuK9ggubwyITGImWoObvbxKz981gPOPu/ER/eHQq0jJV0MmerWEUnLtxtvJA2TkdHdaVku + kKqqmHmItfakandjnJMBNajq8vIyjIcS3qNOgc0NLjGgbEyiiKlfGo9DaD2BoX3S6OO67v3IF9// + 2a9/EksxuMgNh8WzpeHecpw8w1QJTiiGlCJ8TYCFZBXgVKqwVLXbf+5HXnTajodw7/pZV2EkQvGo + pjYfOWMjGwJpEiUjBQmDsIbd1cn/5mf/5z971x904+We9yshRFT5AGuMLfXETBhSgKGLzTjfITfj + 0TTN1zF73Xtf8eKffNmJowd1B2nFT2JSpXwfTENZdsxxAXzUCn2N8v5lRoDl8wvYlI0tIEXyXP/8 + v3rO8u7llZWdYuP2hrh9MurmfUxp5OtGyHojc0tLo1kfksa6dnFuIerjLvjhS6/6wAixt25rnPz3 + l8VyM0Awtzh6GCwyKYY4cwOUoWRsFFXCoe6mj3/578LS6kxXmyWJbTKgV9RjTNeTIyzxNj8dP/b0 + J138+GctN7utF5uyRTiqvFQate97eNLDQqYtr0a7nZVpZsI+phi6yCK1q1WVOrdrfPIvPvkFDPnC + NZfN/EGt0xwzqZ2qEpQANpDhjo9fDBDDElRh3qTixP23rvvmg3Y+5PaW516i4hC1h5eeNaH/3tp1 + n7nqH+qd1GoUFcApIi0ORwSQWUpYW407lsGxcmH5zF3nXfz4Xzhr+7nVfFQd8F4laWgPteSoaUZ2 + RCkMRomo7zpnsk22oSM296gHPPncBz7knR9/8zf2XnVobdqMq64PTVP1fecrsAEGyrHWxiA19PnE + lE93OVBc89mKoAov4Dx7wczM2NnB6YHLv3rZcY88tZLtpCA2NRsaZd4Xp4zidoXYEfGzn/3s9fX1 + P/7jV5mBBXm4+4h471NKeUg8LMJCSqZ1URRFURRFURTFMe7WwymqKq5qu/7Kr331iiuu8M73KSGl + PH566zEUBirnnvSEJz7wgQ80s7Ztn/nMZ/4//+/v7T20RkBKiYTNDPnfra8gbORT387goRkOra29 + 5z3v+de/+EvM3KXY+EpVS8Hu3bMRuYStsdZFURRHHxGllEC0Y8cORT4nYOOIdN8wYOfOnSklsjw1 + 5RiSUlLVqqqIKIQwmUzatk2mqJo3v/UtL/+d/6hAVdXzvnPehxDuvcVX75s+pBxwRVDtA2ACvORX + f+3/+j9/R4iRNKlVzrVt6/jYirmqqipnDYaUKud++3956eMf/8TnvfAF0+k8WhqNl9Zn0/ybMQRA + 73ktxN0zn89Ho1Ef03Q+N6KqaVTTl75y5e//wR+962/fa0DlXAJSCOKFDKq5COHW9/T9erGyKIqi + KIri+1EueMjzBIkoZ1rnjzY56DoHxJZM66IojkG5Ko+Z8yBwCKGqqjyvue/73Kw5H9ZijCXTuiiK + oiiKoiiKoiiKoiiKoiiKoiiKoiiKH2C5FZ6ZmSXVCIBgxKwhMjuAQZpTpsmRmDclMgYxFl0EjQy3 + kfF8h4w51/8b09D2SxcdAhMMTLmr2+JR4BgOYJiCQEZktuhmqCn005jEkRNiX4MiCEBQioGmkVJ0 + YV+396pvf+Gq737x6pu+2MlqLzMbGxgAsXolqKY8iWejz9M9nNSztb8i2WZLMQbnmQ9sG82pFr8J + xtAokWOI7OE8VBEizEwIQnCOwiwK6mXZDvI255WlHSftOu2HH3/RmXvO3rltZzcN6aCO/VLFdT9L + gRRKRCTeA4ixB4FZVMv8i+8nG1ujmd3H083uQGmbVRRFURRFURRFURRFcawY8kMIQzuWpGBGUFiE + WNy25MJUDvVHdWRJCWZQYrMEVVV4InQddzWEc/iOAgzFxiBpSbYuiqL4F2mjIUXuWGFmfd977/PE + 75TSxn8BKO0qiqIoiqIoin/JFFBSkAKOlRmOjBgJQJeUqnAQ173lkj+/Zv3bvNN1bU5fVgDKOQkb + yPHVxjAm441qNUbMdXvikLq4zGO3Nn7a+Rf/8IOfVs22IdZLQgZOBqhSoqaqSBDbFnJsDecsAiSG + YjwigymgS5P64M03n3jc7pvX9zurl6rd5+5eio/Vt37s1YdmN2zf7g61XVIQVw7St50TImgOiUgM + AMyclB3b+nwVTEoqm9EF90VuAdFm32VVY+PGV8darHUemJSc8EowiomTgvt5tzTZMZvG5dGerqt+ + /inPe/379h3or0+ynjjisOQP3ci03pD/1wiJQAZnEAMrjJjBpuhTWFpq1tYOpiq855NvO/OXzmoM + NUZsvLUukrfUcQ4Vq6Qbj0V2e6kfd0JE/P/P3p1HW15V96L/zrnW+v12c86pBqhCEFEQMSjSqSAq + KhguorGNmuhNY4wxzb1vmNw7YjJyY97Iyxh3vNyR9270Oq7JfSa+l0ZjbBITm0TR2ERFUYyC0ggC + KtIWVafZv2atNef7Y+29z6lKgRTUoQ5hfgaDses0e/+6/fvts35zza8LXFKIQfKARl2pjKYKBoNR + Oa74gS7PIRJWKImCBG56ruBU1Zxjm/p+MBrum6yEcY1R/+mv/8NnvvkPbqeu5JXko3BO0x093eOk + UqLlAWYFyCdJoSZmNJPsFHVNgQa8vHDJeT9+xuOe4VaCts328dLKcucqd6+5K0eCEMAkksvRJyQl + 79nlekjjrq+OH5z048997bsu+6Px0kKjE/aKPA0GBsA6HccQlEJhEcY0Ob3kZDMiRNBUi7jprmve + c9mfvf4F/9v26pguto7ghDIzwAoIRCkDAnWbfLZRpRLJzNMXokwKEh2GAdP2bY86KqvEvajdNtf3 + mmPtHDFRlpQEkUgBEedYmVMXBzyqB8NE/SnH/cjVt11B1XqQ/MMZz/cCKUACVSDz9LYMkzIA4R4L + 7Ze+ftn37rk2jpcpoM3ZA5QRAroWoebQjqs92y968qU/du6PV3G8dlczGoxqN8wxa0/wzMyksv+Z + 4MDwo+n3NlyKU8xV5R1CzpGIiNkRV1yv3b22bWH3T1/4C/QxuuL7n9sne6rt9XLf1Q5O1g/d/WzI + Q5r+gM7uOwEZiR2FIV3/vWsvOucSyZu9c6XtJuPh0Z2097R3XHXzlWvVWosJg1k4k2TS9dx3QkxY + HEI70ErYxrsuPOclz3ziJaPuqOHqousdpyyQ4EM9qpNo6hLxIZVAM2hcEzT32kVPflvYvuC3TejY + 1zx318e+/P4rb/ns3uY2pt57lwU5w++3lwjKBK9IswtTArgcOxlQggpcIE2KLMH5PmX1jKFcceMX + znnSM04eHC1NglMmFhFP3mIYjizvue9TqPwb3/jGyWTyx3/8pzkrM8rsjPt/bStR1s65nLP3PqVU + vmhD4sYYY4wxxhizNf3rQOtpPwDnc85hUL/t7W/vVXISsCuTwgU46F/xKaVf/uVfdITgvIjs3L79 + pS996R+96//1zifJWv7CFAHAzmnKuJeE7IMvJzCsqnf88R+99idf03VdVQ2gwsyyhYZjH2ZEhIjV + Yq2NMQ8tIlIVBRYXFx/SFy43LmcXnsXFRRFxdMROgOX6W25qbLy14dnlnHPORASm1claPRwy4Y// + 5F2//l9+C4ACXd+h3HY/8CIuAKg09znE5anquu/a8rTBsWRhUOXcb/76m3/lV36FNMeuHy8t5hin + eVebfj/l0Kyuri4sLKysrS4tLTVdv31h8cJnP+dv3vf+1772tT+4+65mskKAgkNVxb5/CJZHN+zU + 2V5mAEtL25dXV4bjhdQ21XD0jx//+Fvf+tbPfvELIVQJqKt60nflt3LKwOyuzHRHb9jm93Y77F9/ + 1z4pGWOMMcY8aCJCRBvHT0qONa1X3qIkws7nDBpjzBYxP3eV2q0y33mebC0iJdm6/KSqEm2t+RTG + GGOMMcYYY4wxxhhjjDHGGGOMMYdLiTcmUmBa+sVEIImhZWahJNAcHKCNSBbUtMAKUg8Spel0lEPL + tC7UT3+LRCgxSj82bOimWVqBeVZkouQSAFZxCi/KKoSkpHCcK81BhalHUCFVqHbJ7cOw3Zfu9ti6 + 9wAAIABJREFUuu7Wb331hsuvu+1b+9Le7JMGUHBKwyxdzpmdqutFwAwoNhaJHJByfVAbV1z2+8np + esz7K/YeIJCAVaadQuGdzn9GhACCAkJgFRbUxApKkuERaiLRvkVFOhrUVTeqm22P2f7EM0857wkn + nLFzuKuODo3mCQ146Dlwz6S84DlnIQcAmmNGBgk7fkA7zBxhNJsxVd6nG2s1jxSrDTXGGGOMMcYY + Y4w50hQglo1NVAhQgmNARBM7wqj6ftes9P0xqpudIu29IyJ4Xu3jQuWGzoEA5yECx9gQwbHpi2KM + MebhI8ZY13V5zMzOOQBlsneZ+H1El84YY4wxxpgjT8AECMEJsYKUs+v9Dv5BvPXvrnjvtXd+Iy1G + Qcw+imLeULrkzpKCwQJm9VAoASpKIlSq9SARHHko2576+PMvPPvHqrVtvqs8DahyTe5EAHJwiLn3 + IO+2bN1ZWW2BThsfi+ThoJ4sr+5Y2L48WWOqcq7OOvEZt5/1nY9c8R7tWlJ0Mdd+kPrkyAFpvXax + rKQyIBl5rVlV1tKCSnVDjSEJwCDZjAbHqlpeR5WInaqq6tbs5cdaUldBClWGKuC8r3KvQz/s+9Zz + 9dgdT7j4nJe87zN/4Uc58ZqQlGhkYHaUlmxPACVLeEZoumtL92pSKDEpHPnVdpUHSHV3wx1Xf/7q + f7r4lJen5c5rYGUhKT+v65G6IgBvSNhlBcBCh7z3yr4oPcsITvUQEyyBEp4MhipI4ZwjVQd6SEZM + p9ne8yxeAEqJSCQrEuowiJK5prAdn7jyk5/62ke64UqbOx46ZW06HS34rk1+Y+P6aS4yAFYlVSXv + k/ReMQycO5EVOv+U5134lBfkvVRLNa5HcdItjMaTtvVuq/3JL2Bo6WMPTwLAsVDguu/akdt+xqPP + veC0Gz/+rQ/TuHODac1wSYaWaYT1FEFY16uKlaBASz177XpZPGp43R1f+8An//ynnveGqiFK5LIr + oeyZSsh0fChWdrZwNKsFVRIlIaK2bcNo0Kx2xIGz837gucpoc24zhFSDC66uNdV9zOTFMUGZESSq + 5+HJxz7hmzd/jYPPSJu/IpuiVMfTtKxdUHKIKYEyl3c9eSgwDVbm6PQevf0L131GQuwVcEgJJBgG + l1SGrqZYD9rtl5z58ovPfLFbHiH5HYPF1PUpJk+Vc14EgPgqRJmGBNzP2yjMnLMqk/cVkfaxyzkH + 1h3V0WsrK0PBT1z0s3v+/u6b4g33xDuch6LcSJq+xPppcf3FZOOXRUAE55Bz6iUFN7j17luiNpva + 3b/c4nLONamVEKNf/eoNl8c6d0AFISSQKCA6ncbgFHUFH33cQyfsOPVVF73uuOETdnSP0rUQJDhi + XznRlFPsU3Qu1H4YJR7KCjBFlwEPJvWspK0oPOl4146TXvz01+w+avuHL39PPVxeXokJGIwGmtoy + PWD2EYWmEekq02Rr3W+mARxEFIosCFVA6hJ657vbJ7feeOe1j3vsk1hZIOxYs3rv8haLwXikads2 + hEBEztOv/uqvrqysvPvd75ND3ychhBhjmQ9QxsPLZ4zDv8TGGGOMMcYYYzaTqpLj2+6848Mf/Ygj + lzVDFczIsyDp+cCLTgeCf+QJp55/3jNIlYhyziLy8z/3+j/5//6sy4mdyzmDCaogkpznv12GQhQ/ + bOSI0fb91d+69oqvfuWpZ56Zsgy8i31PvBVvMWx9RKQi5ZZNqWojIrXoS2PMQ4KIiGgwGEz/CQLh + IegwQszzQezhcMjMTAzZKqe+cm/GO5dScsxd7L337H1W+bO/fPd//s3fUKCu6qbvHDsm9LEHEQ7T + duv7np2TnFPsGKhckBz/4Pf/4HU//TNt03jixW3b1yarqjoYDPq+P4KJ4Ae1uLjY9/3S0lLXdRJz + k9bIh6eecdbH/u7Dr/mZn7rm+uuVOdTDtWYCIFRV7Nsjspz79u0bjkdra2sf+NDf/t9vfdu1N3xb + AB+qJvbVoG67DoThaNRMJgAOvn+3ygFrjDHGGPOIkHN2zh0QaO2cizGWZOvyReeciGzNOlhjzCNc + Ssk5R0SldmueZl1VVTnFpZTs9GWMMcYYY4wxxhhjjDHGGGOMMcaYf7vWS/BFpMxnAUBE7ECEThpd + zD0mACTD+QFAbduP68XcZCjv15RO92/Hdv8XovRrIgFUZg0DZ0vGgEB5vVMkxdJ7qkwzmbeGzCqZ + JDlJTrKDMEWNEas3/eAbX7/+i9+46cpl2UNLKY27RDGzqpBklgxmdsRKiVm9R96EJnC6oSUdCEJg + hipcBmjeFVBI5z8p8xWrh75vU87wjolcXs0VhaP8OE/k+G0nnP7Es8846dzjt50S+iXqhtVapSkN + vPO+UlWJOecsmiNl7z1IVHOSyEzOOdHU99n78IB2mjkySltUzLpiHunFmbL6KmOMMcYYY4wxxpgt + QMGEPH0IgEFQEQA0qCARoF/8/KeuO+tpcW0SNjkYZRLzwnhAbT8CELPPGU2DlOEcuAxwyWw5rVGM + McY8cjnnSh5b3/fOufns7hhjCKFpmhBCmeZdVVXXdfPQa2OMMcYYYx6BSJkBRSBlRmZlBSWXl+mO + j3z1fVfc/MU06iIyNPWCagjdWAY3y61kZQExQZBBMk8OZgU6HF3telx9+sue+TOh2enTyBF5R33T + OWIOXh2LzzG1HDV4F3WrJVvPM5V5XoQn0JiTc9S2sV1tRvWo7XTklpoJnnP6JXfu+8GXb/oU+55q + FlIRqQJPw4mnKbUAuKSZKtJat6pIgqzMKqBZ0KkcpAM1b4wCPVRK683AZ7HWPC3uFCVlz1s25jDN + Nr6HBlYfXJX6CM3Mccxh3z3y9MdfeONNt1x+26eij+L6kq0OwJV60HKg0nTzlVD2DUpG9fS1QOw9 + tx1GY5pMmsUd1T997eNnPOppu/gxLMRalWTr2S/K7HdVpuWnNH/OQ0XKqjptrRi5JJ3T9I3GG2OM + 75tqaUOv8wwMlFpeuAewVPeTkpQMdlIiMGsAIXMZWk5ZksOIfejzRMZy5S2fv+zKD67QHTl0jerQ + VzHF4bhqJ31VE/L+pwESgAXwoKiUoX3GuEJQhzacfMyTL336y6rVkQoHV8VGght13aTyXiBbp4yV + IaJa3toKR8quLJvktW6lqiundZ7I88948W13/+Dry19ajXtBEAYAlnkMMJMyaJblvB5X44XgBtp1 + wgqB6mDtiu98avfOXRc++QXbZDuLIxUQK0HBpDx77s00f5uU0x0hc1ICOxLKXNWk3jsv2Wf0qc+V + J1DlXCJSSRr7ntS74KM2hFyxa7rUtnnxmJ07x7trHibtNnsNNlXZ/bP6dYB6IIKyUAkqJ4CVCGAh + 6UL67PX//L3++1K5QNwmIQdhCAfOvrs7L+jiy5/9kz/6hBfT3oFDqAfDZm0yCENXOUmqSsycVZqu + 8RVNn36+FNMHMo1cn1XSF3U97PtWYgKDmSsXyFee6tzlBb/YNS6k/lUX/9wffvC/9ekHC4+q2qYH + UDK5hcpJUmh+44YwPxOxQhQEiMB5yqpZkajrtLln7e4l/6jN3f7qfVWtNH2um5vu/tYPVm+cDHo3 + dugykIigQKYKENLkBKEP/T3uaSde8Irn/fRis2tHt3tA45g6dilzWkMEIdR17Ubaa9t0ruLZW+D+ + LAxr1NoHCi7nvs+tZw4u1DRc29suVrueffIlu7Yf/acfffvALy8evXT73ruG0+HMNEuzJhB4dlU6 + 4HohBCLEiIGDCCRlhiij06Ya85Xf+fLTdl2w0+0W5DLdY+tkhzxijYd1SqlvJouLi8tp8pa3vGVt + be1DH/po+e76x0lg9i4++MEWY/Tep5QAOOdyzmV43FqgGmOMMcYYY8xWNhu7Xh+1zpLJuT//y79s + UxYQO5clQ/WgRbIMMPD6n/s5R8SgHFOOqa7r00477XnPe97HLrtMNQNghgjuK4DzoN8hQOFdlaQH + 8M53vvPsP/xDyRk+ZIHfKmOxD0uz2wGbXIRtjDEbqCoxEZH3vpx9iEj0gd+Mvv+IaHpbHPDeM/PW + mfjBClJSQtt2LnjnfG7aMAwMvPuv3vNr//k/seOUpe17H0KMEfd15n5gGzOL5OFwKH2UnCXHd73j + f73w0ksny/tGo1HXtm3TjAbDyWRSbgRvNStrq0tLS8t7943HYwYzs/d+dW3t5BMf+8H3vu9lr/rx + q6+/rm1WmVlUYjwCmdblHspwOMpJfumX/8MHP/r37EICFJxjAjgJyiexpukABul+H5lmDzfu+Xs9 + fum+v22MMcYYY+6v8ul3nvlaSiBKmnX5f/lK+eEyhfDILawxxhxEOX2V85jqtLqbmQGIiHOu/ICq + 5pyJyM5jxhhjjDHGGGOMMcYYY4wxxhhjjPk3bD0ulwlMfer39Hd+9ZbP3yN31q7OGcGPkYiiPvnE + M48fP7FWxnTCi2DaV5AOqbmckAhnAKUNISlYQQCUp4X/pU0fiVL5AXGq5YeFIeQSGFopKKmAcg5d + G9aWcefNe67/6vWXf+umbzQy6bWjMbkBR5Gm6cWjHrokmTj7QL606exVEgRw4cCOgvc9uXAeSr3h + S+sPDz5xQac9NDMzCZhKI7vpSs2eFkwCQi8qhIGvRrQQGhfa4aO3nXjy7lOfccYFR412D/1S7lzc + J87VzCTaDUZ17mI7aVTVVaEaVaoaY5e1nzZhZHKeHFSzWj+rhzWLtTbGGGOMMcYYY4wxM8LTjkHl + X4CCAThGykklO4gbVlihjiAEof3SDg67ylNqO80aCPBIXfvOl7z09Z/7Z1RuPX1BQUCJHDDGGPOI + RUR931dVNf8ngBACgLqumVlEiCilZJnWxhhjjDHmkYyVp+VpWpKboZSSk65a+cK3P/mVmz+/ystU + KzSKgANyBk8r2za2YC4pCRBSQEAJszEin3mIxXG7/RWX/NSiHuu6immYdcKaGMTsuoyUEgcKVeVS + lBTh/APLA94sJICwshCTeJAI4CBgxJSHw2FMuW9j8LVKLWmk8ahLz33FzbffmLqbI2mfWg4uau9o + Y/kgs3L5QtbUp06QtWQiz+OIFazTYGY8uKTvg2Yhq2oZ9XNlLK1kXPNW2vIAZtGqmedp547EQ13f + x4XRoI+N87q6urYwXmqbeMn5r/jae66IYSKAUjsf1eT1FBDZEOYKAK6UlmpJoxahVPKvkbFtsd4z + 6YYVOmnumtx2xfWfv/j0o3wboOtVbaRgzCOuAUAIDDiZ56AfWrNyIoIQsjrntFciynJgpSnpfqtw + UKpgYtLsiVkBZSI3yzLfRGXBSOGEASYCkEFQUAg1wGuxwRLu7L7/nsveudfdyuO0lnW4QH3sAerb + vvZOUub5XiNAMa3tLQnlRMqkChE0a3I0jnvBuS/bycfwmhtUdY6p8oOmaReWRmvtqnPVlholJskA + hAnKLIEUIFGgGoeubes8dDw8pn7Upee+/DsfvTZxl7nLTgBkBpCgnsoAPaEkz5Z3dzloFdLGXFWg + Hmtr7WggXcqfvvpju4/Zffau80jZicf0BM5OHWH6ZJtJyulOCAALBCSZlUjcMCw3a1VVpZxj6gf1 + CEElg5lFkDU59dWgluSj5Owya1JlB7d9YdveyR07hjtrGk10eZOXfzMpz45rAQQkQAZlTOvAWcFS + YsgpZ+670Hz6qk+3g14kQStPfdtJVfvJWlrQ8TaML33qy8476Tm0z1UaKPimWQuhElGRSOQUknIi + 1moQkiZME+jLAUCzfXRwJQF3MAgiEmPHykRoUxs4CDTkwc7R7o7jxU9/4fuvuHtl7x0ulGdFnh2c + TgXlBtP0orbf83viPgl5OAfHyJJR61377jrh6M1MzlAmIEep67DMd3/ma/+QRy05n3MmAs/uiykY + YC+pSr5aWTr/Cc++9NxXHssnVVgM7YBAFXGmHhSZBOxSSjE2Xn0Y1Fnj/V8cUlmoxl3XxZR9za4e + 9LFLsQlcVeJG2NZ0csq2c37i+W/8wOfec9OtNy8eNUjSlu2plEq5NZXqfZq+sdfPD+VjGyFn1OO6 + l9j3PRi+8iklqdK3vnfV3affsXPhaNWkcEQkAhA/0LwNcxh0XRdCqKqqaZoyxP17v/d7k8nkE5/4 + 9CE9TxkAB+CcK32cU0pVVZXHxhhjjDHGGGMeFgQA82rX/Mm7/hSAQnPOg9GwbZoyfLo+2KLTh0uj + 8U+86tWO2BPHGBdH467rmrT22p/4ycs+9cmkinKPQAHAeS8xbXxFuu/sRUIXe0cMlb/527/7nd9+ + y6N27+piH0LYOlPTH3bmm85irY0xDyURUeJyJ/KhP/uoggAmEJGqqigfgaW4L4PBoM9pbTIZLoy7 + lP7xEx9/06/9ai/IEGJW1Rijr0KKEUQ4fBdBdg6ibdMwUIP+59v/54te+EJPzINB7uOgqnPOk8mk + DCA757ZaZ5/hcNg0zcLCwmQyGQ1GOefVycrCwkJMcvRRR33wve+75MUvuum734sioeSCb6ZyJ0WA + g0SBiTrnXve6133wo38fcyJmFVDwKiIxEjEz55R8CCl281+adlY64JkO+PikR+IdZYwxxhjzb52I + MPO8wLWMpczjYDEbVCk/ZoNUxpgtqJygSqZ1Sa2eR1yHEDaevkq+tTHGGGOMMcYYY4wxxhhjjDHG + GGPMvwmls9YGykTTnocZmaAK6vv2nvaeD1/xt3v0B4ujhdwpYYCeF/x4adu23UuPCxxcntaPsU57 + ZR1qoVhp+Db716zjFoH37+hH0y6EJTwbQpIJ4iQTMneZhLy2unbL3Tf8yw2XX/Pdr9zZfi9Xq31I + rcvwQTMkJVZXj8ZK0retq4gIqtp2iRQVk6+ciOjBmjuVWTaHuGYHX1mdT39QhrISZP6K8yiZWXtG + Uo/kKhm66LbxjtOOf8q5T3zGyUefOsqL1FW0Ujs4BjtHEFUSBfq+haqvvQs+57zWrTGoqkLOefqy + ihQlC5jdYFDlbLV9Dz+lIerWseXapBpjjDHGGGOMMcY8Eu03YDRtc64g7zxIwE7ZY1BlRw9BC3RW + iGhwDAAZQ+ZhSkgZmaajSQpAAOEj3Q0m56yz9n8iYnOhjTHmoTfPtMb+2WzlMTMTUQm6NsYYY4wx + /zYc0Oq9/NP+JP9h2CG4HDzUqZDjnmIz3Hf98tUf+uJf7Qt3yUCTxtJR2gFQkHgSLuVoOm8ETCIQ + V1NEUockgAODQh4Nmx2vuejnjxs8libBYdilTMEnSmCFqAN5dqQqOULyluvXT0KqpDqrRCSog3qF + zwp1HDWDib1TFZHE4up+cSce/Yrn/OwoHl0lx5SV+8wSGZmQGUolRxkMYYiv3F377iJPcJxViQi6 + X9EU63TQ7T4yR+9rDZQPqMJSgsz6g5ceWCJCQqRcVYMHtJk2VUlMR3KSmDIxNLD6ylV93wnFpJOq + Zo1axfFR7tgLz71Y1qjmISmLgBiOkbNMn2fD85KCFU7AAoCFODISIzsICyBd09UeROgpRd994Zuf + 2qu3Nbpaj3yfOiJi5jIGOD9udf/y2QcQR65CROS9DxwIIFXmB1LSx1zGJ6GKwWDknZOUHW16pzMh + CIHVs1IQeBEv8MIOdYqIKjKMy3zH+z/7F7fpLavVnk6T80hJGXCgwBXEOfHzQWmdHbFKoixJEzM0 + R++hIK+j80/90R85+iyXQnCOojpQT4mGvu9TRdV9LuwRUOqpnbAT58RziUhn7bWnwE3qKl/7NNw1 + OO5F574y7BsFDABkhRAyQ3xSp4kyAKX9DzBlUvYMzSAJgesoPYbNSnXHez/1rh+k7676ZdRIKdXO + B2WvwSFs7gmXRGl68uRS1gxWYiFE0k6S8z6LKGVfIWFVuSMCRFUVREKIOWdkcgArec45VlXVNx2p + O37XY6R7eGeyEsDKXMKtSYAsnBRQcMrI4gQeznVoJ7RPRpMvX/O5Oya3pVpz5dokuXHjMFRix941 + 4byTnvm8k56/W49l0cxdm1a4UkEUUnHInMWJBhUnSZOSzI8fVirh1rMLE1hnFecz3jMgKSUR8RwY + jpTZUwxxggaOpaVhXjzzxHNP2v7EYV50CVo+BlTcpOTCNHEZ93JWzFmqimKcfmTLiIK43Ozb3O2v + 7LQiIdFuub3rxtu/GatWiaBVVJAHCXKEcmLmmhbcyuJZu5/10nN++lj/+LzsPWqwiovikiKRilNQ + ViJiTxJyRHdImdAMSGqDUx8oIbfIObBWKtwNKk2rjZ+MF+MJZx7//AvPfOV22llLIEISJEIvgpAj + enE5U97vaXX6MYCVSVBVaNpOAO/ZOcSYEqGRSfTNd267pqNV8tz3vXM2XnrkOedUlVidJ1ZBTlXt + 3/a2t51//tPKDwwGVYlfJ4jnez2dz/8Umt+1LF/c+HjDVBD7u8kYY4w5DMq1tes6ACJSohQ2/n/j + BfqILaUxxpitS53jnNfvlZT4CmH+m7/90K233wGejkG3TTP9DQIAYsasVwIDr/uZnx5U3hNLzsH7 + GCMxnHMvvPTSY3ft9kQEaAaVOtyUcC/oPqtwBehT/Iu/+AuA2Hs55C4QZmp+swZ2c9MY89AqNbQ5 + 5/F4XK4vog/RzReZ/UGUFcPh8KF50XvDzCVc2TkHQJkEmlLq+54c+7rqYn/dt294wy/8Yi+aAQVE + RaEgpJLKvPG8rYfQGKm8IjYEVjnnJGXvucyF+e3f+i+veOnLct85hmZxIE0JUO/9lo3rKwuWUqqq + KufEqsOqjjHm1HvC7l273vtXf3X8sbsZSDE6N/2sUa6A80rvh6BupAyPX3DBBU8/56nOueklOCVk + gQKqkjMgKXaOmAAPOMBteFD+C8wMBCYC/Gx1vLM+OcYYY4wxh9l8JmAp15zfeRGR8lkupTTv5rnl + 6pCNMeZgf/OW0YCNfxHPWzYbY4wxxhhjjDHGGGOMMcYYY4wx5pDMkyDKjfd5rb45soRkQ+NEAoh0 + 2iVHVTO0FEuknOvhoM2TSbinWdi3GvasVntWq7va4b5V3tuHtex6kICEgdLTqfTIOqQyC6ccElcp + VFp7qSRrFhUihCCee0jMCmWvzmeqoqtkkMWTHwqHVvvoG9nW7Bt+9/r05T/7yh/+t4/9+n//x9/6 + xE1/fbv7dj/Yl9EzqbIm9MrJe/bOaRLqtaKaUkB0EHYMdkisPaXs5KBdJQ9pnkiZwkJMIKhCBKRw + xI6YQSxgYVKmWZS4QJNIzkACq6tQBwxcqn0cjNql4yaPvuCoC3/pub/2W6/+r//+Of/x1B3PrJvd + rt/mMPTExEKcGAmiyI7EEzlyXkB9zFnAvmIXkogSCZzCCQKhAg+gVU7ugO6aZosrtZpl9muMkWja + LuPI2vQ2msYYY4wxxhhjjDHmvigDMm17B5Ss6DKiySCVXLHrU4R3rk3NpBsOK+nj5i0OK4T2Gylm + 1WHKKG31FKXzfxlJJYcjmGwdYyw5qTnn0uZGRJxz1pTWGGOMMcYYY4wxW03qZDgc9pNVoejGdfTd + bemWv/vSeyeDlc5NlKAkpNMoSqcgZT5YUqMiT7quHnFOEgJyi9qN3GR0yTkvP3n7k6s4luyYmQBl + SIpMAhICOQVkOgalW68XU1lZUccAFEIMiIBBzJAMBYGmDb4TK3wepTY/dvvpzzztRz993d9wQPKg + ClKCIaZJouKUy2+JxqypT/2IROGk1AiWV/5X23lzmlKv517Pd/TWQQolKJCJyy4AUNKTlUQ5STmk + wF5cSPXpjzvrs//yieW4J1QV+bYX9D0Wh1Vqp7ngSiI0DW1lAWj6nAooSWaA4AQgeEJOUCVVTS7e + k+74+i1fee5JJ6xN1jhw1uTgmT1BVYRoevQqCdQJsXswW3JefKkMZODAlNkfutGmv7LfG2rTCzqn + R68SlEkBJKcKFQUkMlV1pCYOVy/76oeuueOKvK1tdZqbQmVEd7rWzGWklwSY77XyzMLsU+4dCOqk + 5eN3nHLeaRf5ZsGrm29wpYySGj9dqC1EwaSAMitIRQlKmhlt121bXBLSpptIjDuXjnniztOftPvs + q/Z92Y07DjkrlBETPCUQZLrlpjnEAkCJwapEqg4OlAFk1o6WSd0HPvPun7n0l2SvHr2wW5pWerAP + AIOnsdObRAiA8iw+WeCEpruEhWm9LlwUMk13nh0GOj0ZldprUZDQtOSalVl9cAOWh32ZctmDmTA7 + lQHKo8HC6qR33isreYXvctV8+Zv/5Bd0b7syrgdLS+O1lTXt0bT9McPdpx37lBed97LdOL67K9Xb + hp12yNkFFhHF/H1UDhMA65nW5d0KYMP54f4eD0KInChw3za1G1axXgw7n3vmJd/92HfcMHY0iQL2 + iT1EEoOJlFXnlfRCsn7pIUCJSImgAiVkyn2Km3pwAlAhIRHf33DT1a1b6xAdDWLK7JEJOWJpsV5r + c2ziEu045dgnveK5P3vC4intWg7OkaeUe8eUch8cACYFgwEIylubD/GaLQALlUubzE59qoSmXwuh + Yh7HrvF++9NPfU6X73nfZ/6s2lVR1XcZ7CGkykiSmabnhJJTXi5MpKyzY2B20V/ftsKgOt9w67cu + ePJz+xaDsKhRiBx0K34qe+SYzzDZr+cy69ve9rbXv/71X/va1V3Xlx9w7LIc8s3HqqqapinBJ2Uu + VkppnptijDHGmAejXFvrui6JpMzc931VVeWaXsK9ygPnXIleOMJLbIwxZosppacppeFw2DRNs7o6 + WlpsU3rv+/46ASJKzASIynTIXAQAM+csdahy7GvnX/7yl9eh6icNETnnHFGfkvNeob/4hl94y//x + uwyQ55SFHUk65LFsZlZRJmTFe97712984xuHdV15rzlvtVsMxhhj7o8j/ocJEekm3Qm/H9q2XVpa + 6tuu6zpSeO9d8NVo2MdeVPscV9bWXvPa1652bcm0PlwTVJi5/OU4GAyapilfzDkzIfbJAb/wup97 + 05ve1K1NlhYXu6Z1R2xmzGHACvI+1NXe1bXHPfox73n3uy++9AWrTaOyvt83Ng47XHGXGr7WAAAg + AElEQVTd5V7JQW8oClQVKcY3v/nNL33VKwWoqoGoSkzec84qmnx5ApWK6KynnHHWWWc98dRTx+Px + 4ni0uLh4xx13XHPdtR/+yMeu/fb1EGVAs9aVB9D1CThyc5mMMcYYY4wxxhhjjDHGGGOMMcYYY4wx + xhhjjDHGmIcDJSgEpJlTcjEHTdqII+9Iskpi8T2Q9+u/SILSLPGQivaVA3mJKUV1wfuqiioxp7bt + nXNVPWTJfds5jYNQUdYmrsiQV6TlOusg3rr35q9e9cWv33Ll7Wvfx2Ke5JV+3JCDEEkSSoDCKaDg + aZOtBDAIBLjSx0kgpVHhrK0i4fC0fOw69R7eMymJSEqiClZUvso5KrGyKJBF2GFQ1RDVHhw9R68t + L/jFkx5zypNPeMo5JzxtrIsVL3IeoK1JQkBwzuXUCUnphVh6iE73hvK0nxgJ5r1JN7ZPVFYCKZVO + dNbMyjx41h7LGGOMMcYYY4wx5khjrEcLAAAcQIoUo/cOGRUHEcANj9m2M998i+NNHhdUhq5322dI + nRNEoTwbQZ4PaB7JRjAl0xqAc25tbc17X1rWHrEFMsYYY4wxxhhjjLkXRIQsVQjCuizLTbjn41// + m6tvv0IWy7cTzQZaSMDK81GXaTGZTgePQnApp5wFQN9izEOsVU864enPePyPHuNOWGlaRaw4qIDg + FXXkDCRGIoUTRilVIyg2K735wVFAQMwqJdm6ZCIDAlKeprEKKSPyMCzmFC48+8VX3fiFJPtWYo8a + mZiUWRNKOjgSg0GSFUn7pptsA1B2h0JVZ2mU02c+rJnEAjAR7behlVnpiLcsvxesgEIEYBJQJAVI + ABF4LaujxMhe5PgdJ5z5uKd97rpPApwUPkAZMUZCALAxJJVnNaBlVwohl4pPghJU4RyjFUYARL2u + xL1f+vYXzz/13yXxC9VC6jKrc2AmlzSBMKu5XPdgayiVsaHqlA5IGKWSs/7gXuIwY0AUXoicghBB + 2SlleFCdOMaq+dZtX/mnq/62Gd29oq04eAFp2cFc4snXn0rlX2cW55xrH9oYx1xx3H7uE3/0MUc9 + Xu5gD2ZAIEICKkviMT1fbZlka2UhYXAQhkIpCWsmUaByw9TnLJErVWQIP3r0hAtPe9FNn79x0kw8 + qbAoIAKEErUOUTBNo+jLdiclQgVKoFTWWhUZqeV7vn7rly/7xuMuPu3FK2sr28OYI7ELXd/TZqZC + S0l5B4SElUtguQo7EsATxAncdLSfMjNApFAWpfmBLYAoKWb3HVQzkyMiBzeqh3s2P6x9U9F6BTYA + KDlRBnhtNfkwVmC12TPYkZTXvnnjl+5euaGr7l7agWalJenqoZMeRw93bO+3/9hzXnL84DG0zzvH + CSQgdnXflatMwoZz0SzkGGVrs3JJHt+4SLNTzjwC+eDKbmIn4lJW9eC6Hz75uHOOG5/8vXYth77T + BAI75JwZDkpA3HjXRkmmF30gZyECwKriiAGk1D/IzftDUYna9pOrbrxSPIQQHCQmdugihp5jq7lJ + O+ud2/rdL3zmq3fWx7V7Yxe74XDYyWovaTRYQKyzglQYuWw0gqq6H/rqBxBC5qQkwgrMT34sBGJH + IcTYO0fUyzGLu591ygu+e/OtV975WSxIcDlBJcmsrh+q+104NkZYEyAE3n8+AxHEpRtuu3Y571mk + 3XzoC282SQm5VJ2e370SiJa2LbzjHe94wxve8I1vXAOAGVlyCK6Ph3YLMqUUQlDVEhMiIt77Epy2 + KStjjDHGPJKklLz3XdfVdQ1g/kBVS6D1/HGJuz6Sy2qMMWbrUYL3vu/74HzqE0Dj0ULXx3/5xlWf + /ucvyPxmDVEZOVOo8z6nlFMC0MfeAc+/6KKzzzwzNV0IgUGSs0DL1Sf1/U/9+9f8n7//X7sUu1Ru + cawPE9y/gVSGQlSojEkCN9580+WXX/7cCy7our7yNrBgjDEPJ6oKUAGACIcpSvh+IJq3o5m9Oh2p + IoHgfI6paZrRaEREItL2XW4b8q4eDqTJr/7J13zne98lgmNKudzU4YPcgjzE5XfOiYiqlkzrMtnE + EefYA3jes5/5lt/+Lc0RkK7rmBlS7rsdhlV+KAmBVQE45/ft2zcej+H4pMee+Nb/6w/e9Gu/utJ0 + RJxVypB4SgmAc25T593MtqEOhoO1pr3gWc+88NkXfPKzn8l9K4ADNGUHOODUk0++6KKLXnTpC887 + 77zcR5AQ0XxcXVUA/Oabf+OLX/ziW/733/n6VVclRerT+u21rVj3YowxxhhjjDHGGGOMMcYYY4wx + xhhjjDHGGGOMMcZsBQfOyyAgEDgTKTxDsoj0pJkBFiqdKoUFpI6ghxhAwkDuU+VrDzR9L13mOlR+ + 6LlquqaNEx/Y1wyNjayyEx2kbhCX855rbvr65d/87C333CDDNoZ+n1/OE7gKzjkV7TKYPQVSRK/w + GQoIibKkWYM4V7odgp2yAJnnnTvl/k7rPHBVMGt1BQDDAecsqRcSMFPgwMxeKfe5dkFYOmQBKECA + JnY1BtT7UVw8cfspZz7l6U864fRd4+OrPKg1ICPn0pGMQDkp+j6ydwATGChdtjK4I/UuB6jHNOma + 543jSt85goAgAD+olTVHnj50881+uId3z0FjjDHGGGOMMcaYhz1aT4ZmYDpMqYDCl7bmCjSRweDq + 9tvv9NXgoV5AlSAZeTYWuWUSSlS1dNUBMB6PS4/a0njooI7owhpjjDHGGGOMMeYRLVQupk5UZaBr + Yc/l3/n056/5jC5J5ggSmmUfKsDKpNNwUaF5TGYJowU7kAAKTajAdV7Y4Y676OxLF3G0NoEEzkOR + RTIyWJ0SlBTIjFxK0QRO4Tbma24FMithIoBUQMpa1pO15B8DQiqkoAxKzKS9jmRxIe+8+Okv8s1w + qQ65BZQVXEoMyyYVkkyiJIK81q6p01KQN82WJkHJc54vyHRJDsv2mQ6jsc5ChacYh1ipudmUWMAA + l/xXgoCSluxPAtSpBoUDmFQYcE142hPPD6n2WkGgihDQ9zp7tunTkpYdyrNY2QPrHcu+YMCRd+SJ + NFXdLXtuvOWumxFEXIITRZ7mTYJZQUolm7mEizyATGsCGGAl0gO/fq+/cK8Ys/1LCpbpc2763i01 + poCWdwSkHO6ZYufaO/vvf+QL72/C3Z1vpaTcKlhKDSsTQBAqUe6QeU/2EnVflj9LZvaVIK/QU044 + 96knnd/uTZ69EyKhclYhVVIRQt5a55JiGt3NUOWslJQE4EE1Sp2kHEPN7KmfpEFe+JHjz37SCee4 + buj6ygkowTFElKgk1fJ+ndBJAeZMnEkoCYuUWGtC4kTb4z9c/qEb7742DppJWuZKlUSZHmzy+g+j + 4FI/TQqCkIKUoUxKTpwTduK9sBPvJLB6QIREwFri5SHlKKJp4nEpbxWCMNxwsLi5S/9QEQBghYeW + /1wVRhJlMBgsjhf6thHtvvHNr+bULVaeewwZgXR1OY38gO+hlz3rFac/6uyVW5uctRoNurYnVJqC + ip9eL8pNHejs3VG+xiVrvFxzBZDZ7ZX7eVBMU7FFXU1dWvPsQq6wUj3t1Ge5fsjiiSBSYimU4FSV + ZiclzM6Q8w8SMi1LV1IwMytok2+bKAEk6vt9+c7v3H6dBFaCInqnOSN4EEK/KturJT+pXvKsV598 + 1JPSqmrGsB54z6qJHQBkIYFTeAGVK5GbTiTgQ3p7KUlyObMCIGUncNOyfAiTOu6kI5aKub2r3Z6P + fdEzXrlrcIKs+gGNXIIkeAbPT3p6QFLC+iQEzCPn59MegJT7Zd3z3XtudpXLSYhINW+dO26PZAfc + QySinPNxxx/71re+9XGPe7T3EIFzFA8x0xpAia9mZhHBbPKAZVobY4wxh4X3HkBd16VGqDxIKTHz + 2tpaeayqIlJV1byyyBhjjJlj7zQLM8cYnXNEpET/zzvfqYDzJdFSyt9x5f/T0EcFE0r+4hvf8As5 + pr7r6lBhVrbqvReR4NzO7Tte8mMvThkAnKOD1q7+kIEZAggKsPNlEOKt/+Pt9XBYLoLGGGMeXojW + Y62P7DIcwQXw3scYQwje+7ZtlRCqqhoOwLQ6mfz6b7z5K//yNQBwnLLWdX246hnKi87XPcaYUupj + z8Dxu495+9v+Rx2q1eWV8WAIQFWFHn6Z1kVZ8pRS+Ru5bdvRYPDyl77s1a98VdmUJSi6/I38kB0M + VVWtrq4ys2f+5V/6pYHzHqgBBxx71NFv+uX/8KXPff7Tn/jk7/727zzz3PO6tdXhoHLEnJUUEJWU + IRqcR8rPefazP/j+D7zxDW8Y+EAABG4r3qc2xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY7YQ + LW0rZx3/VIiJyyQEVc0SFSnnVBquzWdz6AOKRxYSCRq5FydV5eu6DmDErH3atjBeXBpp6JfznZNq + X9qx8gN854vf+/Q7Pvzff/8vf/fPL/tf3165qhnuuSvetbdfHmxHPUaZu5mzqCqYhCXrtOHadFIE + gQCUroTT+SDTpXYCL2CVw9ViS1Wh8ATnmMhpRupz3ycXEHPf94mzG8ho1C8uNNu3N7uP6R594RMu + /cWX/Keff+F/fO6pLzix/pHFdveg3aYTryk4rR0CkyPHPoR6WCkJIPOFpVmDrJLYTQALXGkhKmAV + JyAtTR0TIEKpPDg8a2seKgdM8NkiQTo2jdkYY4wxxhhjjDFmCyCQbmh4Px850gQwpuNKPN62HSt7 + JW9Mwdl0DAlSRiSRCQDcfst6xBCR9740ohWREELf91VVHenlMsYYY4wxxhhjjNkPQTxRx7IiHfnu + +5Nr//HKD0xco14h/TTrEtN0WCEwShmclDhnAAALMStS36tgGNBMsD1sl7ur88563slHn857AhQh + OHKiKYNKvSBBGZSFEwmo5BPDC8BbqvJMGRCZhj0LCARR4jL+RMo6rRQsG0OUWEkZlPtURX/uKc/6 + 5re/duXtn/d1FoaQkLLT6QpmnoYfa85rzSqNpuNsAFSVCLNEycMx3KZlme8t9ZAYjuHcFhhYO4AA + SiBlp2WgUoAshJKpCvXTraZJOZMCbThx5+Mfu/vx1+5bGS+MVvtJZlQVzVZ9FpZMJaYdSusBn06h + Mh1cVIeoAiCAk4iQoKY2tVd/+6qTzz2ta7vKBRVRUYgyk+DALfwgdx498H7ofK/lm8qbHFPK8xhd + oUQqIFF1mVNDnY66T3zm7747uaGr214BgWdf3l+zVU1Yj7kVANAD08FDoNj1I1qq4rZ/d+YLd2JX + jllZSf38GZyCFErpvjbFEcMAlCSTCGVhEAjK0sfgPLsQc+pzclx5GQSMzj/9Odd8/6rVeBs7Eeqr + Cl0ESBwCFPvvTQFKCA2VSOhyeEMhhB4T9vqRL3zwhBc/OlPFrtYWIQyyHnIS6iGtrEAYJdpayum0 + VITzLGSdytcJUCckPF2jkrWcputFgLJqJiJSYUIJaR/WI/Sbufibr4SaK0Gw/n5nRYxtCHWzvNro + aliqJpP8/VvuQPK+rfJKXNhW9TFvG2mI1dknP+uMY58W79TFegcP/UrXMA2chD7KaFCl3IBE5rdM + FKQEMCsA3q+ofX4sKYOE1s9dglnR//TWz+wtyQqORMTiOvJKRA6B+/qMxz/1H694b5/ucR5JQAoi + R6Q5CrvpzSadpWhPw62ZSEBEULAqgyE0CINN2ejrJCL23H5nz7X3pDsVrEIpZ+9LYjfljKXx0dib + n/2Ui8858Tzs47oeBnYpNqmLgb0HawSE1HGmXDaaA5NQiQwXxv0/5QqgnABAa1J2IiABMiC9KGuW + IJ30dRUIdW75+PHJzzr9+R/60l3c9Zki+T5nqJQ48PIOmk8GkPJmAwCFlqvV/DPc/8/e+0fbllX1 + nd8511p7nx/3vveqXv2CouoBVUX9pPhZQMkvf0TTilGDdDTB7oxBkO42GONoByPa3cbEKBkd7e6h + JGRoGxswTRyaNhK6o6LESAB/IEFABCl+CAVS1I/369579t5rzTn7j7XPuefe96qoV9R771bV/Iwz + 3jtnn33XXmvttfbeZ64559cAgZlhLl84/hfPvPzOgKCqF1dBxAFACPWNmRmUiEBqwKxtS989+eqr + 3vKWt3z3d3/3PffcK2Ixci7ndr/LOWO5mlll0lRVRFzZ2nEcx3EeFUopIQRmrmqj9Z4rIvP5HAAv + fwR3XTeZnO/nXsdxHOcxhqqWUubz+TAMKSUx3e76+04cf8c73sGRs2iIEVZWtxgKrCKBqUpdT9v2 + 2NVPufMFLyS1pmlyHvq+b5pGTFNKXde1k+nWzvZ/972v+ze/+m+ZkWXMkbBu+FmZDWqNditXTdbV + TTc0UsZqAHj/+9//iU984pqrr564srXjOM5jkyorTXShMoysmaAPhDFajUGxafq+N0JKaavrjCg2 + 7dvf8pZf+jdvF4C4ZtrRfujHu6Sdca88g6/YnaUUMyOiEEIphZlNlYA3/+w/v+rKKwJodvhQ1y1m + k2nf93tN9wpgudZz0CSUd9eA1uuW8zCbzRTGYlCF6j974z/90z/90/f98QdFEGNcyVqLPJormGTV + t2D3bBgpgK7bmbZNUSvD8Fe/4evveO5zPvCBD9xxxx2vfc1rXvayl1155ZXb29spRDPrd7Y357Nu + ZzulxDGICgFtimZWhiFSQJYjG5s//g9/7KqrrvrRf/zj+qCn/iuPGcdxHMdxHMdxHMdxHMdxHMdx + HMdxHMdxHMdxHMdxHMdxHMd5PKFLZ/oHVXFeiltDiC0xTMxU1cgoIOeeDWRMVvNCwQhG9OCu+2dH + SG2mW/0iWJqkKXIYFn0gnm3MtrpTPW2VSddtnL7rno998ON/cNcXP3laT+Ym60x0UgjCQTdSHErZ + OY5mggIYGSUQmSGrAkChSAY2ZSiWSilKKKHm/gJBq/wzqRpBaNRVOfce3ft5MCIwBaKgCihiiKGh + bdlBgylNJrJJp9JMDj/z2uc8+7rn3XTstljahEksrRUW0WwIDakpkQFRhVREjYCOGYHH2Aw2kBFb + ImOympFMxyoRAGVAoQB4eXKUMnYzmAU88syKzsXEDoio9cGLoXIcx3Ecx3Ecx3Ecx3kCs7QBAgAh + Qy0QoGibIoDYydyd7Bbn1bCktF/FpNphYQqCrOq43OdczcqPLqvkQesp4O1BuHjVdBzHcRzHcRzH + cRzniY1x7gcKCIdxH33pd/7kN+5ZfAGNqJZRuRkAuCrsGqmwGpWVzmV176smG1KbN5AOswjdsqcc + PvayW78xdbMQkgUlQAeFWuJAZIFGjUU2GEFYhaFgWDhoLkMGxlJZkCEMIRRGYaupopmMYVRVQgFk + 7UIy6xaHmnnbHfq6535LWGxO6RAZGIWNWSMbG1AYZWyu7iy27Azxywd1wXwUW3ewzVNKY1JpMlTZ + 82AAqZEajX6KZBQMACtgiDw0E5m/4PY7y06BUgiAjJJR9a9WVO1PqyeAFaRkYB0dXJWRUeVfhRWm + Kmya9BOf/bNeu6I9AgFGbGYg4l2/WYIRdO+xzgFjALzH85LX/j2HQpaOnbw0mJ73mcXVcdagNBiJ + MARRiZWlOVw++tn3/vGn3lMmix6YzCAdWAgW67kDFKTGClJl3b28AFV/l2xUNxYx3m6f8/Q7n3bk + +rDD8ziBmRIbmDQGDUEDG0CqVM53k8+VemZLKCWIsAIIylEB0UAWQjBBsCZSq6pWcOzyZ9xw1S0T + nYeeuSAAbAijrPPeE0oFVG3k45WkdiARiFBKnmzSZ+752Ls+8M72yrZPfaF8AcznbAxjqkLnUJCA + BBAlMxKjYlSMS72qyjip1db00QElAxlDiaxqwimbQaltpwdE7OCRYYASlFajXY20nsfNeSvDIkUc + 2TwUtJ2Gw9/xza9+wW1fP82XXTG5kroZ9xM6PZvno99256s29aj2PJ9v7gxdoZKaoFknsUW2KvHO + Rmxgo2DExmw83rmW/WzjRVXrBXElOv7QkPFU2omlMgyxafo8QMLh2SXzuHHlpZezUDCQgjUygkDO + vMftXsaJmCMRmRERoGRK8/nmefVBV4KxlNj/+Rc/ppOsBEICQAxWBJCq5B05dskt3/KC/1rv56lM + qWgZcu6LZk2IwdhynqRYtasNUSgIkVENftCHr2ldsXpLMmbjoDEoBw1kHAJ1w3ZKzAGSh0loG2tD + 37zwlpccu/R63UIYQssBBSZgpt2TawyCcr2Tar3ULm9SUBqfYUiImTXlL536Qp+HFBoRIboAzyDO + Q0FUdWR231S6rhuGYTqdXnb5pW9729uOHDkEoJyjpjWAuJQZM7O2bfu+Z2bXtHYcx3GcRwURiTFW + Fa76b7W6hBAAqGrdUkpxTWvHcRznrKiqqlabds75yNGjb33rW7eHvv76MyIABiOADCZLY6AhAIu+ + /77v+76macwspWRFmDnGKCK1zNz1k6a9/fbbn/OsZ9ZECTDwmg1mnwvug9kHpBQAosLEzEzMb37z + m6fT6aPeG47jOM75pv5gqavFF37FuB5wr1rzRUBVAWgRM5vP50MpRbWZtB/+yEfe8MP/oJg1k6ao + lFJS2zyKx623bAD1R2I10jLw2r/9t1/2kpdEI8mFzMxsZ2eH+WA5UTwCptNpzvn06dMhhEnTmEg0 + +hdv+ueXX3YUy7NwIQfDdDrd2toyszalQPy//uQbf+c3f+v//fV3fOdff+XhzUP9oms5ypBZbT6b + liGnELWIiEQOIQRVrU9cTNQtFmyA2g98/9971Xd8O7DMyOQ4juM4juM4juM4juM4juM4juM4juM4 + juM4juM4juM4juM4T3j04SVULDBlKIEChAuCWtRTOyeAMaue0W6awEeQUk9EQoqI6KnTSddeBlya + 7w+f357d88mTH/q19/3S//avf/wX/r9/8Ud3v/f+9MWt6fGtdPw0n+zjdh+6wYY+lxB4c7NRgRlg + IIIZSgEBKQUjNmIFjyLWVSLFAFtm7ARsTcr6EWYpPIOUghlyFivGxmSU+9ydKrOy2W5ttKcuuX5+ + 69/62u/90de88TXf9PrnXvniIztXzU4fpgdaOUGcQxOnFLgbFgCbBRjH2Eza2Wwyb1PDRDVhFZuO + 2UfBBlbiErQEVdaa4UrHfGKMUQX7AmU+dM4rBy1JabzYFXAcx3Ecx3Ecx3Ecx3GWdk9Uuy+BIAQF + b1s/i5EACYhNpCObkrekK0HOc3XWTK5GRjAYQKOINa1Uhi4qqtq2bc65poDvuq6mo73oeZccx3Ec + x3Ecx3Ecx3H2wlBW6rvJAx+8631//MkP8iVNP5xMKVgVSDUmgAEFSgDR6CZWfePUmEddTGXmFNDt + SKJmShsve+7XXzG91k5F5ZzRUyEUDiFSIEPhUJVsSTkKF2XAjIXYuOqYXtxO2Uu0UXlTasUYUGMj + 5ZV4MJiggBqZMnaG04fmk36xnWR27eFbn3fTy//oc+8Ok1NqIFOggZKGLFSMAUUx3em6lb40UQAM + GBV5L6SwJNGB8/8zApkyEExrVwiH6qBJBgaoJvsmCAWAG40ydM84duPm/Mj93d1hBhOUImGZOLr+ + 4QolVHVhAMGAcRiqmCrQRLOSoRwCDQYh3HfivvtO3nfZ7HKRQsbEVYTVmOoQ1n2V/2qoetQHyJvv + YcBGygLKSsraKkUAOeYT/V+86/d/VSbbJ/ut2aVtvxg2Eks2hFCNu0q6PvhGTWtjwkrqW5WQgVmI + h+mql9z8DWFhUwTkYAhDUDJuJJAFkCirkhhBdZ9G+MWEAFYoa2YR1qDMSlGZjI2LSBFi4iYhwjDY + QAlR2uff+KIvffmuYTjZhC4XRAYooPaWAbV7DIACiqAYjzJetmAIyk0KQ7c92aT3fOi3nnnjHU+d + 33SonZd+oPNrTOcqSc5W6hUSBhAbw0jNjKiYQYkFmrkFDKoMkJERAGYTjC7pTBaJdOnJbWZI8dFU + DrgoGCmMDaPqMEgAJWBnZzFppkPuB0FsZ03kW469+LKjx55564v/8OPv+/0/f0/bpkmef883/J1N + fVKDw7wRTvWncumns5lap5CW4tAN3DARG0FJR/du4+WMIFTNcACAkJ25tkJjxdY+ry0WBeNGIkCd + BQWJBcCCEJEeu+baT3/8QxDmoGQTqAiGFAGt47UqMFU5bShgakxMRtDCoepw8+HNI+e396HKkrn7 + 1Jc+Lu0AtKSBEsxgBZNpU7hH4W97+fekU5cejRtRqDczsrbdYAMJaxlYBTCqctQIZkFgysrIZDCi + c1Hm5rozoRBAiKSxlt00IsNg6JlNMg8iBE6hOdxedsdNd977n+/urAzDEAgcag/z8sFtye69hAEo + YeX1TxpZLYA6W9x7+stEVjWuzMz1Fi4yD+LNHxMDfPr0yfl88+qrr37rW9/66le/+sSJ7XMtvpQS + QhARACIynU7re1/HdBzHcZyvnhBCVQ9t27bv+5RSVRKNMZZSzKzKXccYUWNfw0HwcnIcx3EOCsxM + RH0/VO9TZn7g3nvf9ra3NTHulALAzIjZVAEYzAwhBNX6mw5XXn7Fq171ylx6KkpAZI7EVSRSRJi5 + G7pDs0Pbff+6137v9/3A3zNAFczjPudki44pQYqqmEGBX/u1X//HP/ZjG5PJeegVx3Ec5/xyEXKL + nO2IdZ38QtcEAKCqIYQQAoEX/dDn4dCll/zlPV96/Q/+QCfSNHHRDQBAKKWAaP2WSWN6nf3uDQ+n + T4dhICIzqz8Yiajv++uOXfsjP/IjZNZ33XQ67ft+Mpkw8/b2dg1LGY/7GFlCXl8uz1JAOHLocCll + sb0TOUjJ1z3taa997Wv/2f/+f/R9X3db6Vs/WiNzva/WV776PExm00nTbG1thdTcdsvNIhKZhqGH + KodAQGCKzFCTXFJKbWrEtO97hTVNQ0RlyJHDxmxWVOeT6X0nj//IP/jhX/13v14P85hb63ccx3Ec + x3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3GcR5F9Gf8eAmFosoGzBTCjsC2FRooAACAASURB + VIZAecj3PHCPcDFSG9OlLRM/GkDnkKySLbZDw5EG6jvs9M3pHZy8654/+/O7P/qnn/3wie7ehW5x + yzbVLL0CMVIWYwJHSiGqldzboBrywCmOmQ9FVAGACByMy8DGStA1LWcDyDQsO0EIxigMPHqxITX+ + gplDCIxgZpHTTA5f39z+gtvuvPn6W6dxk7rY9lNoKr2q9LPZRrsR+r4vklmMmVJoGjSSi4gVK8zK + zIERiNV0pU4tBJAuE5SO/U81cdYy1xZbHFs+imF7OgXnUeOgJJR0HMdxHMdxHMdxHMd5QrNKb28E + sBGqslBDLSGISjEg4WX//tcfSGng82sfHDUOCMKkQBIcGRYYdlCEAYANBGYw1yxBFyv5ek37XpPP + 1nRCuChZnxzHcRzHcRzHcRzHeeIxSpmuHG9IsWbgUVJhEVYlkEU24in1zc7njn/yPR/8LZ7pot9u + prGIKBhgo1GXFACtSVouj7JS4jSY7OzIfJryNt385Oe88MaXl1PCQqoF0EAxcQohmehQFgYZK2mM + 6n+21oLz2DvnzOg0VztAwbrH3rTyqBt3JqPIkZkH62PivFUuS1e89NZvaIfNqPtsVaMqrRnMrCuL + QkXNzIisFqhGVbC5CoszYykNey7YUrhyFIfdVSsc9UyNxvYdTCHDceztqq+yrY1wMgWUxx5jGLfN + 1Aa0MrnhqpsabbWHABardrUCCFrFsEfh5FraekLtamasIqUcqFgBGycmQ7GyoNP3bn8BUBvFH4kI + RqsZgeXp2zdUHn6DddlOKOmYWdtA0HUP2qVG94P02Lin1jqw7e57vg2UuuxPA8iYDYW1T4vf//Pf + vXvn01vl5MYl7clT/TBYCCmEqiSuK+fU/W0BYOP1p5acDI21T73ipmuOPr3BPIVGszCzLfuqnr61 + cXLAIMXaVXp5mVYzQWCiIBlWLFJEABtCl55zwx1H50+ehI0YkyoSGGXUGxaG8FLcmmCsRAZSZdiu + VT/CIhkrlR07hY3+19/9qws+pa2CbZS/JQUpre4UpMIqDKUqslvN8o+oueN0GOtobMtrkYHUCMJq + pEamezysbfmJgKoYrFCpb4VqHSlRfKyk7H8IlADSOsFpKU8eIxMrsTUh5r5EnfQncSQ86emHb33V + 1/63P/C3/qfnP+VlL7n+m44dunlOR6VHFulz3pgfIiDnPqU45EXTBmDlDn6mL67V07IUvV5W5lww + UVK07bRkaZomhNDtbE3b2ZMvv4a1hYCIGEREqgiBAIB0eTFiGAcDAyJiZgpRtYCGNQZqN+ab51eT + nsyQs+588cRfZgxWjASJYslIDRanusN8yR03vuiaI9dNsKmZTQMbShERy9n6vo8xtrM252F5d65z + jgxQqjedc4LJIu1tshEDJLlMm1aLSdYUG+aoKkFJt+j5N975pI1jMzpceiVC0wYpQra87C+nLu2V + qB5vmuP0UUJQ1WL98a37QpP6PqeUqmiEcwAppaSUmHkYuumsferTrv2FX/iF+bw515seEVUd66qX + ZmYhhIP5KOg4juM4jzmqajWAvu9DCKUUVU0plVJWAqUASilYuhU5juM4zgoGmWhsUpbCMcRJ++/e + +e/vue/evpQYGYCVYqowMDGAGKOImGE6aczwN//Gd7WpIUPTNCIisFJKn4emaap29Wwy7brORL/j + 2779iqNHScGMUtbsACu7IunZczoYYIgplZxXxgcFTm1vvf2Xf0VBB9Qw6ziO4yxZv1DvvlcDYPZE + lOAlQxuT5lJNpiIymc12usU/eeNPfugjHzVgyAUABSZmU6199WgR4+gpQQBEJjH9+I/+2OZ0xsBk + MjEzZi6ldF1Xo1Ee05iZmQ3DkHOOMVYpcRN9ww/+jzde9/Q2MK2lUroAcTdmpqrDMDRNU9c0U4j9 + ojOTpokMEpFArKr1Fz2AnLOqtm1bT4eq1jOYcxaR48ePHzl0+NixY7feeNO4Tnu+2+A4juM4juM4 + juM4juM4jnN+UUBtZRm3MRZk9emMd2OUgo2xb6vX7m5LN3YFdLX3cuvun+xu21vuauueHc6yRXc/ + LN3mbfkaq3SWQz8i9lR09XZP8219+9qHfWbk3ersb//e7etF7X6tZ/7V/nLPPN7+blRDsbXeMEAe + pIA9B99T7t5T/3BqdcbJBQpQ9rQRe3ZY1eoslTtjy2pY7h82td/2DlEYYAVWHtmQ2Hfws4/k9XH4 + kEVh/W9xZov2zrWzT6gzx9Vqlum+SbTvELvvlhN/7xHPkTNOykM3fGzCw58vazvZ2iABsP9adLb6 + nGX73glYR+RZ/nDfPHIcx3Ecx3Ecx3Ecx3Ecx3HOoKYIY+DMwMNl5sDdj4GimQmpsBaCwrKU+08c + FxYJ2cjImJWDMttZ4x95+QIAJVVSZZGQJeQSF4vm5Kn45VPpy5/rPv4bf/JrP/P//NNffNebf+8z + 7/qC3XV644Hh8M5Oe7rjbUyMo/V9ToEjk2TbXuRhsJCQGjaCqpoZKbFxDNQmAtAvdJU6ysAGNkQD + 1xx66zIvChRmoX1Z8nhPljDafa0nS8SykHGLAcYmsbWNmRyK27Pm1Max9qZX3P7K7/+ON/wPr/j7 + d17zjUf7p2wsjs7yJbRoeEizZj6dzodh2F5sGSknFsmSS+RUBiGK06adNm3iwFBoUVUyIlvVqR5a + jXRlgt9jX9+TQYv3vpzHKgdHXseHkeM4juM4juM4juM4zkXGAIFalc7RCB11LFpDY0zC0cKcCkLG + xuYXZ4e6EL9imY8YNmOzwMigQgwL84xjp0+89+tegq5vFAQIYhk1S5TwCN3Ev3pqjtqai7ZmsVlt + dJyDQE2RvBqoFyZXcj1cFUggoiqM4fIYznmlJkHDcqTV93X8Y205xMeh4ziO4zjO44Z9Li9Vb/bg + +MFcGJRgYCUmYzIGafVhY9NoIVC0oB12SiPZcmsz0rgdj29P7/vt971ze3FfHk6mRsCUBYYoFIHR + ewwEVpCCDAYwQOO/xagoDwrEiG4hM7rsFc//7nRyMxSkiGAREtXM2IpmI00pqZmSKsGI2AJLYiWG + gPIBk7VW3g3jZyMyBEUwoqXnnAJqtIrk55i55XZhuiCdRKat4SnNU156y9e23PQZHEnZRLsUKNmE + MzfGZnZyOJ5TJuKANoBVi7AJqxAUrCAAwXJAf3Ylia/UimAaTKuIePVlJBjDiAIRaTAJxczOWdT0 + PMMGghLUgKrgKwwYs3GV7RxdG0mNtLqZDhgIYV6O3H71c5tuQhlpwguDMJRGT89UEBRCOgSteq4r + 5d1aGkGjojFIUQqQKEVzUATSYX7yY1/8L0Q2jxuQkAdBomJ55fFJQDAEAx6BRixgbKsTYSSCasMZ + /eiEtR6Ia2aGsUVcZaSBsbvYoIAAFEhVueZY5/MuKKIEhVJMuVCgCWe13Flb7um/8M4PvWNnvmUp + dAtpG6QGvWgBgXRMNbK3bmoIRIEYaqRkZkIgwoakeDJ+7Qu+LmKmOeWeEdgwEIRQjEXIlFUI0Nas + PVAuiAYoqQFRQpTESjAW1hJUQzAwqSUmZohkUosapqXhU+HlL/jm3E0yUUiQhbZgRjEqQ0BmACvn + bK1yA9XheClnG4HQlRxmSaIOvHX3vR/700//0ZY+gNbAUbIyUwwIirzTTVIsJiVYYRiYjKNqVANG + leuHD5sSlKFKEObCpCAYkzErkQZYgjWwREaNaFRlYxiDCigDqMrHBIUNIZoQJKTBGCFFiRtpdn5l + jy8AxtW3ngysTEZsyZCEQm9qEQJpInMpEwvNkKaLjdnJw9fbLd/zwtd82/O/87BcSkNVjaZJmpSh + aEakiRk0YaBSggqr0prbd3W1X76MFFC2euiqx7xn/3oK2Hg8NcuXgYVQkvahZFOiYCWz6iRFHXD0 + 0NORNwkgMkOnJoFDll1veMOoth4VUdHG0OUirJyoscRD3ExHDs8uPc/zVznIZz57FwVWCxHcIpkA + AQI0nOYnD7/itm+dS4hsA/OCUUCBkwEIoCYOZkMRjhHGbEqQ5UvZiC3gXMZnnS8E5dq9rIVVOCsJ + KIggoEk8gcBMOJKJToZ22h9+wS1fp9uTttkYBH2RmBBslNkWRuGo4KAINSUU6TjejNkAUuMirMqk + wRb9otchtVGzur7xRWdv+qrd2Zdiu9jp25jY0O9sX3Jo8+Ybb/i5n/+X02kiIEUepceIiML+oI71 + eJU123h9XxduVtvNrIq44CDFFTxhWS2orZbYsFx0izGuz9dhGC5WJR3HcZwVVcq6Xp9FpN5Jc84A + +r5PKa2u4X6TdRzHcc4k5346bYehB4MnzdbQvfkXfl4YAhTZzaRMgJoCABMITUzSlQD89695bQQF + kKmEwEbgGEIIqmqiDCIiqE1Sk4hf/V2vDgApuBpnV3kRAGC0WWFP4t3dbMgl94CKSIhRAAF4On3T + z/3LbCpmIUUzC4FgQqu04zS+luWTK2A7juNcMGhvop9VLptqbgpEu27kTLgAl+fRwAUABlSX8kfR + LG20/3WWKthutwy5a9pIRAqLTRpK/q3ffve/+r/eNpZmAMFETVbaCeu6GrqugnD2BPjLmywBBKaV + 5ZZCEQOhlBKABPxXL335K/7KN0XiVcEMIkMg1iKrOpPhIKf1qZ4qy9dunRlUnzpCCFVSGgCZ0jD8 + nz/zs7MYAxACgaBW144ZYBpfjxwjM7LlSNjtN0YIFOt3dS6oagghUNRiVVN8/CsmsRpRNf7Y1yJQ + I4OZgdQggB3a3BgWnYl8zYvu3B0DtP6UpXSwnGEcx3Ecx3EeY1Q3BhGpP2FWP2RWCy6rnxUrhwfH + cZwDRc559Yt4PaJ5/b07/ziO4zgHiRqWJArVatvU5TZbE7pdM47XjRmaUQ2nGciASBnGHQQCE+iQ + O0ChBQaIQBWmKHnoF9X2rlA92yFWJvhaeg32swwYsmIAcpXdLQWyLvOsgCpEkAUy6vLWpe566K9e + qVfXu0UxNlRq4fVQgEIKVGvoz6oP6wKEQgQqQFYpXR6/1rHyg2hZUxcGUDtpT/uWDclDZyZ1pUEk + 76+q7X4sRTtDrt1YBkPO6BTZlnLCApTlicy1FWvnGkvx4L36weOpz6Ufz7IUSAZ0leZlT2V0WcRg + MIhCYEAGegz9eLjdaiugdYwtbNBRzllhAq0y2AJbjtm1qgqQLSu0tmjoDVZHt1QZ9fXzCMuwHnaO + 48EAQKAZKuNprjOiDstVk+sIF0BV8nj2i8FQ6kgu446LQQwopgYUlX0TTYFlO3XoF5AyNlwEBpQM + U+k7gxbYarKgH+qcNkgeq3rGFNs3wWV3ciyPKMut59I5q4GyPvj3jYe1k3Bu82VVnIwzcKegAMtn + a12O333q7w93vgiwA/R1Q2+16Yt+x5aC4rLvLDuO4ziO4ziO4ziO4ziOczHYF5LAzJ475yBQM/7t + ij0vE1uNgQZ7IyKCxnZomiExIAwimCHS5MSJ03d98a4h9solELfKKeusjV1/mliJx7Q5JjABwERB + ibOKmCAJWpHUdeH0qck9d4U/fOfnf/Gnf/NHfvod//M7P/r2L+pd/fzkDp+WOAiywqrzf00RECOr + KtQCIQUEqisSSqvlmZrCy0zFyBAYgigUDSuVlpq1s+wLb2HUBFA1vAKQmgyKSQkCUkBBBCKIoSgU + oMDgZewDYAQNAENBKJH7SdNvPild91du+PYffMWP/vC3vvFvXP+9z8jPnS0uTTphbZADGSVORCQi + RYSYAycYk1KkGIkhhQKMJGsuVpTU2IwJRDX95ioX2TKBFaJyfdUTPabPWgu6OePlPJaokTVExMzD + MByQa+p51EByHMdxHMdxHMdxHMdxHgajmU+AULOpLH1neY8TrQCKmBaxLXx+xXGr2coIAMG4EWnU + jgwL6ACAR1f+VeXd1ddxzs4qRXKN5M8570t8f76pJukLdjjnCUhd/wshrOTbc84pJQAxRhGpiyKl + lBijj0bHcRzHcRzn8ccZNhEDSAVi0lueH97c6RaalSIpZdnsP/Dn7/vcfZ/OtOBGlZAlxzaagJQV + yljmWR7L5Wo14lX2XVIYiEBCSee3X/f8K6ZXx9PT1FDRspIdHUPK91XSGLuehQfT52ytVsZYBZk/ + CCbKgRETcSAoMh+dXfWspz7vd+/6ldm0K6YpGoCSBzFrmwlJYUOXt4yywkhNocwsEANAqAKiCmUo + m56rzatqOddWkLEQr1q0Sl+udHDtaCs7pD3IdgArJYwq58wcmtJeffjqWdjY4VOL0nOEFVDVyTaw + YSkfqqy7pesqnfRa4UpY9h5A2tPWPSfvZuayUyJHAquVsYtp3IctABDCmvb5w6ee4ZrKvNQCamOr + T6oRgu4WS8a1+UqjlvbYM6SjSCXp+onm83ymRSSkmGLbLfojaaqU+3jy3e//D33q+pCD7joE1mrb + Xpl2srGZkVGKkZXEiZmVYkGmwradbn7K7ZfOLqcSAiYhRC2DQAgMYiVlho5lrn7sH6ALS+1+NsaD + DGwAazOUk0KH+ORLjz31yc/48Mm7BUgpMqj6K1ft6jqkATZaJdOHUs2FwTwKoVOvOQNk3WRD/tMH + 3vWs77zj1HDyMLchJrN+yMOUZm2alDLmuqnlA8xfhd49m661kZcbsdefvH5YnbWV/zErcbB6u1ED + mZkSGdVE88wIdGCvXOfC2qxcv10CAEjHHcaLSYiSgOmDlLPbqwYInX3k29m2771TP1yUTVfSFwBM + GSDjzemlk7i5AyoGosLcqLLqrjA6GQNjaEGtUtuSBZhy7oZLwpFrL396kOn5VjYSyvefundA0WAq + AjOFEYEA7idf84yXX5muTtKICFFSIoLtHdIAeG3VbL1jH4mxcb0Ew3j2l5/3FagMNDYRsRuuuWWS + jizsPg5oWyy2EUhZNfOYo0qJYWBorst3pKRMxmP5BIVRoABkzUWzEiI9PubW4xMzq6rGKaXFYrG9 + vT2bTZ77rGf/1E/91A/90BsWi77G5JhZCKMB/JzKb5pmsVjEGKt6B4BqQj8/rXHODWauA2B9Y845 + hMDMNSt327aq6ksejuM4juM4jvPYpUlpZ2cnpYQQ7j3+wOe/9MWPffzPBj3LL/VqRys5M6dccsvx + r7/irx299Ajb2S08o2znMBDRMAxt03zPd//Nn//5n9/u+wwdrRwrc8RXMg0wc5XZEJG67/Zi5/Nf + uPsPP/BHL37hC7cXizYlWv6MjSm5kpDjOM7Bwfas+4/vn+BG4el83vWdGqXUDDmf3jr9+te/HqtF + 9bXFinFd46s4Vi2VRpcDq6ejiYlKThR+6O//YMP0hAqBIUPDfPMNN7zqld/5lre/3YgERsxAzSeF + fcvtB7BrqrO6GtUHnkB89OjRMaxpfaWLnugTzXEcx3Ec56snhKCqMcZSSn1ft08mk67rUko555pz + c6V47TiOc3Do+75tWwDVpyvnPJ1Oa8jzKthfRJqmqe5hF7u+juM4jrNCDQFYs88SjHYlbceHbxut + oEwwMPbGoITAIgMhcaShiJnM0kRFmBOsHoEhBTE0MWCpDF0jYfZXZ61cXn5NsfrN58ApQ2DacESx + ZZ6scXeG6rK+4/r4blwPK8Dgc7oHG7B7016LmVwGWOwzCutQ+iamukKgohzDYnsxn08pMIAsxIHV + rOFAbQBgNpbfD4vYzLXGBhLG1QYykGYwgIa0epDXFqSmqYdc5lfZF2O1RCxGHiMKCZSiQBhpbEKN + Ngh79CRo7Q0vFYj3fbX8EimG0avZDMwlZwgQYh1CtL57jXyI1G0v0uZUTCMpYEjRsmrDQI2YK7X5 + DAYQqZEszETMQ983k1YhMGOqTdL1JhOQKOSSObYEpGYZSLk6cQTQqCEx/iGdsz+2AbqMWaxRt2Ff + GJ8BrARjoEiJoYFxHobUNCoWI5WCFAGDGCJBASZWIHDYN6DqUer7pm1hQBFwNFMCEBOkD02zk3vl + 0ITGxFAEIZ4ltveMD0v9egQC7R3Lyw47d2f1ZTwLxp7BejzOehVq0Vn6dC7zBYoiFpOZqSK2EcUQ + 164Aa//Wg40iKg9nvtQKh1p9JiiGnNNk1kmecgI0jMPnbFPfcRzHcRzHcRzHcRzHcRznic16FMaD + rFiM+1Sx5CSclAdGVUgmgpEK5w9/5o9vuPrGaEE7naVpt9XzoJubm12fASKEEEJoEoBByiALjohz + Gqg/NZwo6Lbz6U//xSc+9Nk//uTJD3dpSyDYhFgpVswUAV8xzdWDhAOsp4eqbVFAH7q0WlQ1nEsW + EJhBRDVlEEBEFCIvhiKGpgEx5Wx9r0RoWCIFoqDZdDDWZiMc2kiHb3jaLbcde/YtV9+2iSO2nZqt + tqF5qzTmsTwHalbAs32zlv/qK6UxPEAZ/5yvnlWyIzsYiyCeBstxHMdxHMdxHMdxHOciQ0utaF15 + CK8sv7QWB2CAcCocLqzB0ACqAQ0RwsDKD9j2ZoFxHGcNMyul1GDXEMIqGvZ8IyKrYNpVSn3Pre+c + J9YzUKxrV9fYp1WKiirxLiKuzOE4juM4juM8ziBgXcu2WnSMlZlZSHujgvl8nvudRdh6YPjyBz7+ + +/ft3MOHhSIKYRDEpEYI+0KpbUw/AYAVtspcoAyDmUabzOXwy5/3DSYcmiBF+Nxj+B/rWDAlZeFA + jRKXrqS2ueayZzzz2hf/4d3/Maeuy8MkIDIKAHQEGMr29kniYhhAYpJDJKm2N2OAYQFQBYPOpl/h + 7IUoQOnKy66Yzzcf0FAy4tlFYB8BqqAv3/dlgQ5SpqEFIFYQ+ExHSjZ+ojlXsumkTX3fcdC2TRmm + U3zqSx/+yKf+0GZGGpUKUK8qDCpYZW3AmIVk1IwnMLMVraruxYqKKoOFQz+5/aYXHJldZicjEJgi + EakREcOoppIZoULGj3UPVzPTooc3jzzzGc/+6H/+PUkD2NREl5IDaw6+ulIdGLcbCKpkbOAYuyxp + AsvY6rbk9Bf/4OPvf+lNf7VfdE1oCLAiaEOIsZeOY2AIwDQq60aDgvQRZh55lPrhTDketyseCGj/ + qCCj+XSWUgIYIjZqU+tSmgFBGTbKz9eTKmYGkDVSNLJoX2649iYeGtbzaK9T1oHL5x74wkC5NDpI + kVw4KBTUN3Nc9vybXrJBR2UI0BQomhnjIMkvGZuamV51+ZOvfdKx++/7ZIyAQvVhrI6R2p6zpkQM + QymllKIQ4/AYv3Y+nqmLLCLCzGmpCra5uflN3/SNP/ETP/6GN7yhFLRNHIYikvenaa5T7iFHyPri + EYCaD3qZocm5aKxueUS0Ssxdoz5Ww6Dm5sbexRHHcRzHcRzHcR5z1Mf+GFnMNjY23vSmN/VDXuYj + OBs2uvGqltf9ndeGEEIIijFK/EyYOcYoaiJy3XXXfc0LX/Q7v/d7DNKvlCthH6pKRPWHCRHVauci + P/dzP/fyF79YcmFmkcLM1S9rdfxzOIbjOI7jnE/W5b37PksxCjSUQiH8k5944wMnTlwgM7kagFxy + Al75yle+6EUvKDk/2H388QoRhRDe8IY3/Mqv/duT3RCbUHJ9eHhsPDmYGTNjKWtNRE95ylMeG1V3 + HMdxHMd5TDEMQ9M0zJxzDiHUp68aFdh1Xd1et2AZPHiRa+w4jrOXtm3rpax6ZMUYRaQ6ZYUQ6lch + hBoKvQp/dhzHcZyLiI3KyYGWKsI125QRBkBWqtKrwMMaw0JVRzaCapIqBVC6LrYzZRQghBAo5mwp + JQGKITaRAeNEBJgMpW9Ss1+ReHc5e/wm1iNCAcXQo63F5ICglLZynk5SQAYKKAERBjIOq9JIl5rY + MPAA1DIf/g3YoFoFuIkYowTyUhibx7T+Y7U1ohggsV3ARIdJCDEAxTZmUxiMkAUxMBtICQQDhmJt + JDWU0jdNXCxOzaYzBKlayAaASkbMAAHN0tFYwLnkFFOAwiwGAlQAAUBjPi7YqCVsyIQYsoYUF4u+ + nbRKDFgAQRVaAIQaWzrCu3rXZkQoIAWnGnxEq2CxsTYmSkzFlDj0Qz9rWvDYMIHW3WSpDk5AAtLm + dACYgoG7fjFpZx1zzXIWqAcyEIAUZSmHHIIBg4En7bZKy8FIiUBhNVpGbWkYgNgQK8xqM0rmGvYC + 1F4aVcmJA9rwMMIy9g+Jpcp0AAcAVqM7EIl3y1oOawLFMDFDzqVpG9VCDBDHCJhBNcQQmIoKcTBA + 6pjn3WJWc2QoOcWWiDWCCBqiCBKDuC05N800QxgIDES2rqPJZKkOvkezfBcaBcsLEIAmgGyc4FVj + uyz/8OF3kRAK1ACCRliAwhgKGNeyCo0tCgCZgtTOZb7krE3iSD1ICnKkhtAgE4eAgJW6wRgHPQqK + RAU9zPkSCHMoTLHTo5mCOE3S8V5mbTJUiXkJlEEsIKYIT3rnOI7jOI7jOI7jOI7jOI7zSCEUQqHl + B2EMacG69Z6P/OYtz7jxtquelzBTETRRkIZOjGN1sRhKyd0C0DgJaROn8wnh4f7hnj/7/Ef+y11/ + 8Pl7P93JDrfWa4cyZnExmJkREzGJPQpBJFWMm62uIQEGJa7RK6vgzfr/KjuoAcwwQE1qKAkRADt1 + Sjc2qAlxsciATadp2sa+X8DACDykSddeGi6//qpbnvXU5z39yhsvaS9PmPIilIysUgJ3MQdi9Mbm + rnTO4wrXkHAcx3Ecx3Ecx3Ecx7n40MqbmXYDCQAYYTdhjDGEotKFcautVldbhjcYgCBlpWmty3o7 + jnM2apr7UgozE1GNhl2J/p4/9oXRemCtc16piVOrwEOMUVVX0d31XwBVjYOIXNPacRzHcRzHeTzB + VsWQAcBo109OCdVdrYmTYbtLTUwpnNo5Ho/qBz7+3s8d/xTNtYTcEwpAAaVoGFMpKKBLOVHec6A1 + Vzm2CKNGprdcdfv1l9+Uv6RGMCPmYHaQZCDPMwZQgMIkawDFMM2lp4U2NH/pbd/yJ5/8UJidHLot + VYSIacJiqH2qO4tToEKkxIIsjMAGIcCYjABeKlDymHTDeRDMDKIAZpPNIRYHLwAAIABJREFUw/Mj + d5+MRKA1u+WuUfER2Q9DoJ2dnW5YbEQyKBnXgy4dYZ9YScbPJBJnFSZMNtp7Tx7nje59H33XwCes + at/WbqpStaQgwPZcpniZzkaKEtUsJVqKAmBGi8nlm0+57qrbos7MEimZWQhJzsztTkoGQB/TPrUG + KIw52iLffM3Nl0yvOoG/VOsLck1zwzZe75VAVsf2mFFlbSSqEog0JHBCURj188P6ux/67VtvePYV + 3LKgJY6xUQERDBwCidUsHAoEpQAw0DMAUlykLjWzZfYYACAizz16cTFSXWagAgBjNh7zyIQQiFnZ + DFWEl4hQB6mhXjYZRWsJBBCGjCYZGbepCYvw1Muvi2VK53OwKWlOwxdOfr6nThmF+gJERlCOQ3Pz + Nbc96dC11EUoxRhQBGZnS9hzMWFjAstQnnXzsz747nenaegW0iZw3rejghRf6e5kNbGWSi1a/UHj + oGJm1dy9WtbJOS8WfWwm3/qt39r3/Q//8P9SJU+YWUTOVfYjpZRzZua6hFSLck3rA0JdVjvz9rda + +wDQ973fIh3HcRzHcRznMU198i8qRfXEzvYv//KvMoECVxvpClsuEtRff4n45htueP4dzzVRlfIQ + WphEVI9CRAR8//d//2/93n+0VaHnaDNnZlWtytYhBBX5D7/5G3d95tNPv+aYas35G1RVzegCeRY7 + juM4ziNBVZtJa4Q+l//0nve85ZfeFjma6aOSluis1Jsvh6AiRMRmbUyvf/3rT58+PWnb83TQA4uq + isjll1/+ute97qd/5k1lkJRSLhnrcUzLSKYD+EhBRGZ1JdOYg4hcddVVT3SnAcdxHMdxnPNA0zQi + UqNiRaRpGjOrUtbVvSHGWEqpotcXu7KO4zhnwcyqe091zSKiGvKsqjnn+pXjOI7jHDB4+R+vlAOw + FO5lKAEKNTDxmIoKxLte61TDg5iAOJmJlK6UtplFoq4f5k3T9Tm0MbAFA0SpKIYBMbRmGLpQdZJX + Ssm1WNLdoK0aTFPFdSPBMiic3lpsbBwBQG0SIKAAaqOULYBlPRlGvGrLyvh8Tt63BIRRj6AuJ+j+ + 7lrHarQOCKEJdflcYQUWNQul0DBQAAGKIA/UcgugJIY0QSB51kxrVUMYFXMLUJZWdIEGoIANiDFZ + DQowJS1QC6qhVtBWESA1ypRQhsABRaaTZAQGJBPFKjYsoLLsnTja7MePBl2+gdJ6z9myQwnELAKK + YTEM06YFtN862c42qO/iGK6gkVbSyoysIbVtSAMKcZymuSiHuOxZK4CCglUV7aquPEGfc2pTQIlm + GApUobKWjAwwAgHE4AAmDqSwYhSbNLbCwASB0DjmeKlOfc6rErwSex41m9eGy+rfZXdpMSJqmmjQ + LEObkpUFcQ3kVZzOCDESQRXMKFrFskEgUliVYDcYNSAMPUQ5JUQOkRG4wDSXtk1bwzBpmgj0Xd/E + SLMZllMn7hkOGMcwVcl2Xipes2JX2pwAXvbPOc0YAwQsQILWK8NyUp+tl0lqbR7+fGlMURQo0CGx + AoJ+ESeHVxLdwYDlZaNaDeooebjzxQw6IARwhGUgDhJmbaiBUktF9PoXRlW0+wCuaTmO4ziO4ziO + 4ziO4ziO4xx8qCZXVF6zsQsjp+3DT5q//Td/8b95Bd969bNP3bdz+MiV/U4GMxEGW5hJmHHTWKb+ + ePfAye37PvqpD33i7o999sufymmhM+lm24X6lNKEWskqIkbKkRPFrFmK0aPkdDbKuNRMW1i+IZDt + rheQgW3cbkwgmJkozBAYRDDD0SPN0Ocy5AlFomDbJqYTPkQD08DHLnv6nXe89Larb9/US+fl0OF0 + VLep78UUk2kznXKPoZOF5jIL86+YdcpxHg4PEbZ8gXEZCcdxHMdxHMdxHMdxnIvNevYXWtu49PEm + IIFhVUWDL4CmBVddbYOSEsAEJYDUoLTyPq/C2/BkeI5zFoio7/u2bWseKGauyr4X4NA5Z1Vt23al + aV11hS/AoZ0nGlW5IcZIRFWHQ1VXmtbDMNRMFlX62mUeHMdxHMdxnMcZPAZaK6AMAFSVGImp5JJS + GxMLyqmdEzrL9+S/fO/H3n3K7uepLKwThhhiggzgpQ7iUgV4tPwYVWMMM6kGKNVwbgqSZjjydc/6 + Zj7RTpt5v9NtNBtazHYVFp4AkGYogaIRFIgxGpMumqG9Zn7jDZc96xPDe6dTaI88IMaV0KTudDsK + 4aAQASmI6SwSyQw8gTTCHxmByJQCh5Lp8iNX8APcTGKRUvMB7AonP1KMyUhPnDp+5aFj0gmR1mmB + 85bW/LFF1+00KQHaDYuh6T7z5Y/+2Zc+JJOtqvttY/KLKmtd6gdYHeqMZYYIACJKAUSkUAoIRJFi + I5Obn/rcS5sn26JpaQolgYTEkFo0A1xdeAnKdf5cPBnmRwcKTUx9N1xxyVW3Xvvs93/m/tx0FkdX + 4VC7rjZwVPKOgK4r0jJUwf1QJhthkUUVhw6F48fv60Xe97Hf/WvP/i7dakxS4EbyKJapaozR+xmA + IoIKQY0UdiDseGZG7MacAwDpvinGxmQMNSZiCvX5wUyMVyl5GGA2CCkIdZQZa2ggJpEjBn7SJdde + sfnkpp+SnUeTtZHs0MkT3Zdt1lNAjWkwBtRmOPQ1t79sUmahREQLVLIKMx9ArYRJnD2wff911z5j + ozl8WheEnVWMBNuYf4nHvDhggy6vw3sezEhrViAzU5iiKDVGSgevvQ6AmppZRHLOpZQQAhGlFMAG + pVe96ju7bvGP/tFPxggQqeIsD+EP+SBUMz4TUYyx5nquC0m+jnNxqUtpIYS6uNb3fV3aw1KLrr7f + 2dmZzWYXu7KO4ziO4ziO43xVmBLHUFRS2/yrN/1sTU0s5UGNz6YaiM309X/37zJzSk23tVWtfFjZ + Y9d+CQ7DMJ/PmWBkIvnOr3nh9cee9hd3f34hZXft4eGZ0K3qNwIAVBUAE4riX//S//0P/3/27jVI + tqu6E/x/rb33OZlZWXXrPqSrB0IyICFA4i0LIfTkYTA2NnTT7YZhov0Kt3s+EDPhGHdMTE/PdNuO + jpiJnpiZCLdx2+6h3XZ34zbhMA8jHsICg40Aw2DeNm03ICQk3VdVZp7H3nut+bBPZtVFV/iW0NUt + cdcvFDeqUlWZJ0+eczJr773W/5/+z32bKvYpCxO89znb1IYxxpj9qLxX+ip0sc8Kgf7Tf/a/CJDl + 0d99v5eHA2SV9wFIjkQEVQJefued1159DUtW1QutAKbv+/UDGw+dOPHzP/eP/t2//90HTxwXKctU + hhdhn0/DlwXqosTM7FzXtQcOHNgv3XqMMcYYY76PlMnxsowBQN/35faywqHv+5QSgKqq2rYdjUbn + c1uNMeZMdmdXe+8BlAsXM9d1XW4v1zoApfreGGOMOb8IcCW2dveIp8KRMGRZHqB5GYVLxE5lSLql + IXE5DLnHHEGTakRIWfK4Imgc1dJjK0BxagGq0QraBAEkD3nCTsDLWGsBVAABSykZghLIwzlUFSqP + SdWIhulGBACpqQwyewACUgiIiYZoWyz7Zeky3Lf6jvjhs6FDBRRxCUXmZUi2uCHZWpZ3KCAGMCrl + Z7Ksv/CjbrGoJxOkDChObMMxYo++gYtQRQ4IFSgjeEwDEJQcMyMxSMgNu6fEGysoKlQwdpCY4Qm5 + R+wRE7qElJEFkCFDmgTE4ADJGNdgoB6THzlUrlQu0nLnQVe5vQyPIUJbStcvRxjKWFeLE8rSAD9U + KDhGmzGqKgfR1NQHKvRznMpoEiDQDAAsqAFiRIYLvHFgVAdEBZxjpFb8iIeYYTDYgzg7sAMFAcVQ + J4cG8xa9ohX0Mmxv2fPl+GHAO1QVaoYL7MICOkLwEQAQQCSu7JjMZZ/mvU/W0CoFeQipYFDZ1Wk4 + XobYax4qthjIMWoi7xCgSOSBvkOfkBRNNxwYzQKjejjgy32yDInLZWszwA4MjDxGDG3c5jSBXT2J + 0Dp4yhnk6nrUZy2vFANeAS1J9EmhIORdTeUYgiHEWgi7Wsnp8sqwx/1TDigHEDytbqIhChqA28kR + T+V/j4RBezlfMEbsoTOsjQDGZBOLFuNpXD4Zp6sgblbw3s4XACktrzZTcMXsCOxBSCX+O4AQh6tV + hmaQ21PytzHGGGOMMcYYY4wxxpgis2QWGbpWAYAyskvfnn3r8OjQf7r7377ixte++JpbHty+bzw5 + jAhAKeREzcnFg1+/76++et8X/vqBLz08u19HsclNHEfyRBwUEPV9J0kWRFT62mkWISXCIzKtH+MA + r6yqIIZGcAxd3lyG6EtLvKGhJ0QxdOhUBhFTYGJSYc2L7Z4ygsMk1NpTbHXkp4fHl930/Fdc85Tn + XH74MumJG7debVZazU42tRuvTaYgbdo2bS3cyG+GtVyrRKvrNI+D/ZNpDYu1NsYYY4wxxhhjjNkn + Th9GlWGJ/+5VxsRg7pnPZcoAAIIqaGi+j2UvIaeApIDMq40dclD2eRMbY84PItodLC0izJxSKqWw + 505KqTTWFxHLQjDnWmmHmlIq/SnKEd73PTP3fR9CEBFVLS0tzvfGGmOMMcYY8zgjZUBAoqSitFNx + rcreSSxheD1Xwgf17nvuemD+jTxuE0sWuIDYQwQ0pM8u68PL8j5iJQiJG9bGMS2rw0mZo3/G5c+6 + +vCzeKumChxcznnIVLxgCKCqzjlXQbLE3BGxp+zgx2njJc++47MfvgcXkTrOminBO4hAHDV900sH + h5wzMUtWDLkTpalHAiCkIGGLT/6uiEgVDlXq5eIjl/DXuOYqS9p9HMpyuede71wIKklZT2ydkAMi + qoECdrqRDD1NLmQEqCqrdtK6Q/kj9969hZPJNUIVQ1ZLXFlFFMpDS4tHLuR1jpk5alJF5Vmzaqvc + +euvetEor2tHwQcgi8TVX/UKll13RBAFhg4tT1qqSoKJmy6a/nlPf9Env/wnfVB1pXkLs8qZYtp3 + ZwyjHOfOUdfnTPABncTkUNf9J7/8sZufdfuldZ3mjqUCRAlwnHPvdiVGKxhgAkhFzzLT5vFGNLQq + odL8BgBgsdb7hBJK/jQpKSkA0kxEDsxcmkABw8WZoKWjzSrCXoQ4Z0wm9XzWVaK0qJ973Q0Tmjpl + PpermYXk1OJ4JwuvTnMGEACnCLm6aHz0aRc9Mz/IjCDUJ+1Jc3CjJKL7qa2MJPW196mq3eTSQ5dt + n3ggjKjtdVyaH50xzLj0Zjr9WRCV66VjdswgUVUrSNi/iCilpKree2Yuw+De+wxSElV985vffOzY + sV/91bdleSyvYxlLB6CqdV3P5/O6ru16e96FEErKeJlcK61sy/THaoIjxlgyrefz+dra2vncXGOM + McYYY8z3gBwTkRI1TfP2t7+9qkJqI/Ddxp1J9eihw6973ev6vmenIYRHKxFXQgghpVRVVd/3OSVx + 9PM///P/wz/5HzEM7Z7tALdzrvxNOjRxEAGgCufc7/yH3/2FX/iFURViH0lR1aGPkS60fE5jjDFP + Kluz7UNHjjRd989/6Ze+8tWvOKKkWoWqi/25fmjGMPP1cz/3c31sD0432qY51w+633jvu67b3Nwc + pfimv/8T/9e//lXJmUHna15yr8pnIVWsPvCUoVpjjDHGGPP4IqKyeoGZmbmUBBJRzrnv+6qqYoyq + 2ve9ZVobY/ancqUqxc4l4no0GrVtu/tnxuNxSinGKCI2rm6MMeb80+WC9J3mUgIBWAhwpDokRg8/ + xUDJyC2/MvxqqUYUMHuCImWXE3JEzuhmVUWYzYDRb7/mNfWJrQO9jkQDKLMkJ71b3VlJuBZSVZLo + StIyJ3Ydh62q+ocf+gDmbhzG8Ak5YzISTRoCuCoRwgIZVtHv1KN8x5MtEbZ76rez7G0lTFwCnlcL + +OX0mXe/s5C/PAgByuja2jtsbyFHbG2B6D/+yGvDiVNjiR7CzOKDsFOhb47GP3vvp1B5BZABAYid + Q1omATOIgEBwDtT0DopZi8UCMf6/P/TqzbYfp1hJAiSzZoKSZHKNMK2t5cno773zP2MqcBkjjygY + +xJyrGAPAJxBsnwWVLKZKS0TjofXv5SgAiXIeae8biQKzYgdNQuwYNG845bXHGqSQ3KavUjvZF4h + Mdeoj28eetMf/zGqCjEhBGn7elwpdkLKgSBALgnli5NAdH2LmBHx+697A3/roQ0lx1CSkvhMw7HM + jfOnxtVb3n8X6oDpZFqPc+oRquXx4B3SzjH/KIfJ34KkHA/AMrCZICXEeleGBa2+mm2j9iEntJ1X + oF2ACX2Htv+3P/zatRTrGKsuHp6ubZ84XlWjRC4T552TDFWGz+yEI7RlOlVRd3DjLX/0TsxP1esH + EOeo1+AcqAIpgldHeXejf5ZSKLl6vstzmQjg0tFNaScdfLk3h5/mPZRQ8vKXHFYlRsi79rMf9o4A + rCVLG0A6+/PFL3o+cHBT4/bx1B3fPPgzH7gb0+lpNYU0XADK4zpCOOvzBeAAt810fDr6qfe+F6EK + axPUDsrgNVAAIw+7hJdPxBhjjDHGGGOMMcYYY8yeKZCcppIysnuuCqg3cHJ+vNfuHR98+yc+e+/z + rrlxvbrYUXVy6+FvH//mNx/4m2OLbyea5VGbXdOtLSI0TOA9pSR96qDsyDM7HwgQERHJScAMcmAi + kcejfkGhVJpuDo16SAUkjF0D7Bi+EECJIaIKZjgOKpRzhiorrY82OJK24Hk4NDn6rGc99/nPfOFV + lzxLT4x8GrsTzpH36rRxCVSP15ARcwTgPHkaA5TbHCV6758chRnGnDWLtTbGGGOMMcYYY4zZr0gY + btnFnECEys8rjk9YY3NSViqLlZ0AMTvpQfUjE1CMMd9hFeWrqiVhum3bJ6Bi33uvqjnnki5cVRUz + l1Dtc/3Q5gLknCuV26pacjjKt6WPakpp1cNidVie3w02xhhjjDHm8ULL6m2QllpuUcdgABka2EnO + sevV5zDGV499+d6vfETHLaqsQxk6BxZJjygtP+0bGVbO6aqSXFgxpYM3PfsW30xGMt1uF/Wkjm0M + rnrCnvv+wExQKRmwfdbMIGiCYE3Hz7z8ORdvXPHNxV9iFFAHkQiIsApTm+OibyJnUgm+1rwsXych + TSg18FTiCR3U/pR+VAxSZVbxGo5sHCX1pEy669T4nkgGMmLKfc6JyLNDFsWZeocJyQX4StV1Hdsu + ErjG145/8Qv3f7ofRXEgScCw7pYwpIyzogSssAJgIVECyutFRLTMwSbJParkj04vu+LQVT5WEM+i + AiHWnNPw2CRQNzTLKDdA9Ekeaw3Hsc8TX3VN+IEj1xzduOK/pGNABkAKXoaCKw0NUFZriHmn2YmA + EEK93bbVBM5hsY2Ndd+3zYnu25/6yp++8rrDjsaqcM6LikgiUlYqL8QQ2U7neT+uYq2LEsxjPfvO + OyUR2h12NLSyUc0iSYmGJCQSQFRBqozSmgfYdU3OhD4nR3CJjo6vevZTfpCjZ8nnerrn2w89ROpH + aeS6VjQzA+pGce251764TlOvI4AysmqqvCOVPTTdeUKoqiSt3bjpTl5x9MqvPvhZP/YkUfzf2kVp + aCemO0+ISTVQ7ZxDFlElZrKihH2Jmctwd5lhKaPffd8rU1VVTdOEUL/1rW+dz+dvf/u/d476uPuF + 3H1OnblTUkpplUyWcx6Px6uUsnP3pMzfSkRCCADK5Fp5mcqkRoyxhF6XHwBgmdbGGGOMMcY82SkB + oD9893vuf/Ch0o/aOZeHoVIAwxCFKgggAlT/27e8ZX1tmtqm7/tRXZEiq5x+hwPvfdO1ZcHeuKoz + 9A0/9uP/7H/7X081i+VPn9VGlvVXw+YQlUWAAGLODzx87K677vqxH/1RAORYwTmr90R62pYYY4wx + 586exreVsH5g8+TW1oMPPvhrv/62DIiqYxdjBL5zZuAxDpzrI78UDPPaysCLn/f8m296qca+bVtV + Ibqwppjrup4t5tr3FMJ/94//8f/9r3/V7Wr2v/8nK4Y8RZCqqmoIYTQa2aceY4wxxpjHXVkjAYCI + ykhU+RZACKHve+99WVAhIqrq3JN83aAx5vtO+ZuxDDgQUV3XJdO6qqq+70vJf9M0AErtv63XMsYY + c/59R3itLoOudYiwJkIpV1x++OZSPkAEhTCyAwBC9h4AUcw5qODkDE2DOHvfq1+9drK5OIy4zzc2 + zZjcNARNMUtUVmHNBABOS8FRGfwXJWRiKRHKykqciL/07OdFDsrj+drGS9/7bhwUPjQCey0bqnBD + yqxAuWRvOwV49fykFOkM0ddniQBe3oWCFH7I/xYFMkoPneHeyv0SAQ6JIEBFCbnDfIat7ffdcsuV + pD4uXrRoJuQnYS0n5JwztaoKrSeHjiDmISlZl2PowhUjlntWD4jmGalABLOI+0/c/SM/dmnML9s+ + VTthRFBiVYKwihAUPmYeNfmhY//1iy++7eHx2q0fvAsHEzamIAYzgwV1BhSclgcCl0pSRgaDsltW + hAwhyMpgBnMCBKg4Iie0C2TFvMEifvDG257qw4u6ptIYcnJIpCosmSFgEf38qRPIHXgNVQCBJxVy + JAogVlcLULbEAYhzzGc4ceLDr379oSb5RfP8FH3qj2yuL7a3lCCETBBiAF4I6hdCX7rhjm9V7uXv + eRcuu8hNJ0K0IF8xOcCphwIOYOmGV5j38oelLOPMBWAwZ0IEAASwA5PCLeuiSAFJ8IztBl3GovuD + m259iuepkzg7NfV0c7+oRoGgVUbz4ENPDaNxzX3qy6KL8tSGs0OIlZk8+TDbis1Dx//yuptz8Mdi + 12+M7njf+zBex3iMA5tRhDxXQ6P/lCkrxMETCOIJ8AzdVWJAJc16iAwREEDLZGsZDvs9VdjQ6vhZ + nmeZZBVH4stNwgCEGYDby/kSs1A16r5+P+L8qQcPfFkadIQq9pMaQAAcYlmAA/hUrmfSVDme5fkC + eM5jYT757RNfe/HN8z7dtzF5zZ/djYOHwQK3zLQWgJCJMcSZG2OMMcYYY4wxxhhjjNkbISRGYjhh + KDsBQcrwcRfhKwh32cW/2f78fZ/8a2m9H7mWt8T14kQ3RCQnykQKJud928fcaAhUh6BCmrNo6qIS + KRGRp5oZjJxz3+vpbemH5lh73X4lVrAADCFKAEDL4XFlJYYOHZ+UBBCC1MlDFKpwfQ/JGd65cTVt + T+Kgv+iZT7nuhmtuvvbS66a6rgvG/Vz52rEv5ZxZcumIKERccd91ANehEqXcJyIe1espdbvqM4x5 + LFSVaGfF5nlnGRLGGGOMMcYYY4wx+wLt/mpYRV0WzBcMx6jcVs3pfMTTVhnoErqMSuF2xntLpMcT + vz3G7HNElFLy3q+ifJ+ATOtCREqPgKqqLNDanGurBPecMxHFGEuIdblllWkNwDKtjTHGGGPM9yGS + VfuIoWCcQKCUUh2qjOhqOpW2Pva5D7dhK3PTJ/gKrMhRQqhS6h0zqaxGhYRKKXppr1xuEQazsqBE + XPsjo4uffcXz6P7A4sfjUYopBA+RR4vN+77ECrgqxo6cEIsjccRAghClalJNX3D1Tce/emxLt9iB + EykAj8yS0He5y16TSmCXlQiAlv4OAigoDasDL7yk5D1RVVZAyFO1Nl6nSBIzu9LHRMpB7MDlsCyd + TPbUDJsIWRM5SpIr5+EoJ/Hen/FOlORJ0Gn7cZVzViZiTb776Oc+sKiOpyBJUdFyqW5pB6Oy6rdW + 1t3qroBVJWgUZg8Argxm6Xq1+YzLr53yukueiVPqHSsYItmxyrI/vVDpmcOsGWB5MvQ6/y689ylG + SlxjctBfdNVFV//NfV8QyqvLO+/qGlIarwxtPJYt+xWAcs7ZM5xQ3+u4Rt+nqiLJ8VNf+JObn33L + tLpYsguO+tgniVVVIRIt26Uo0mppMul5C7qlkpGMfbS89UJHu08vxk5CkmaJKXeRkjDASsxSgtgB + DJ8fSlo6WMEk3vOizZse9aJ62tHrL51exS0caxY5l295vH1yUaWxaw/n2GXpyBPU1/3mD17zMmrq + uppqgoJB5MjnqPD7q91tcC5FqX1dY3zxwcsrjNsURyOWJCB5ZAscAlhZSJQgu/sNKUiUxXmuvSNA + tORi2am2L5XxbWbOOfd9z8wlzDip5Jyrqso5VdXoF3/xF7e2tn7/9/9w759zqORYl37Q5eF2Z5WZ + 80hESqZ1meArHWzLAVD+VdW+7+u6Pt9baowxxhhjjHnslJByVqLf+q3f8jykWeecH63ZLSlqdm95 + y1tS33riMK6a+fy7LAXsYr++vj7fnhFR13UAptPpm970prf95m+sOpCf1XaW+EYiESl/SAIgEDNE + 9N/85m+88pWvPLA2TX3X931VVSJpbzvCGGOMeQL1KboQfuVf/sucswAh+DYmnPvsKIUywMA/+Imf + 0BzruiaV2F1wb5pN06yNJ13ss8rBAxtv+NHX/cG73q2QJ8s0xSrWOudMrN77qqosqMAYY4wx5lwQ + kTJRrqqlLrV8GEsplfrBMp8OW2BmjNmXykWsJFuHEMoQPTOXNWCqWq5mZeDdSu+NMcbsCzT8qwQB + HK1SewEwCAoeuk/t+hWlEoMrBCEIEhAjItC2oSK0i9+59fbLm2Y6O3W96pGOuXsIkODHMc1cQ4rs + XEgasczMXRUcAcMXpdBOwbRKvAYRT3qZz08tvnjbnV9bDz/60bswqqleh6vgACdggBjDOnoGwWGZ + RAsMkwJ7GdtVDA24aBX9O+wxJi53vvOGPsQVxAiCl4T5DCxo5r/zspc9M+UbFvPJVuOAqkbqksMp + gXPOZUopoeZ+O2X0DfIGe6iChpIhEBBWW5NziA3aBtvdO+54zbUtnnF860jXj5EyeuUsJFjGhJMC + 6Il928wuHq0vjp9YD4tP3377lw9O33z3+7G2gbomF8pnElm2hnfDL+7KM1YGZLlBAAlYoOp7Qu7Q + bSH2iPm37nzVxb1ctt2+aB4n/XFxCqQgmVECg4fXEQ4n1kaILUho52maAAAgAElEQVQymB0IUMdE + UopRysNWCrQzbJ/6vZfeevVWc91cxovFhJjQk0P70INHlgdt5uHwDNk5ZUKYdQ9P6vCZ1/zwl0bV + m+65mw8dnI5CPu2YLxkS5Sjfw0cyBQuYqdTKCahENw+brcv7JklIETGi79C0/+5Vrz5wcnZl4pc2 + aW0xq9F7FpEOnrq26RIqxqWh1j5Jd5LAIFmdBasXwVPIORLcFBr8JJ54GOBnVKOTffP5G244sbH5 + jfHkTR/54zCZIinGEzggiHNIYAJB/fCaCmj1lBkKpqEoUgCABYQMZgLRY8m0djsh2eXXhZdrVNxq + Nw0PDgLQ7+V84bpp5szwkk4smpoCBBhNElANpdClwJlLKVOtQL/AYn7W50sSZAIfpSjNwrmwGfs/ + uO3OH//jD2MMjOCqWt3qqVktjjHGGGOMMcYYY4wxxjxGSlJG6onYCTthGgZ4hUhcwKxNwSNybNL2 + 5ND68WabJjkth6zLhI8qVKFZXHC+IlWN/XI2gBUAMRFRzhJzZgYzQsDjtOJMSJnLNNmuoXQFg1jg + S5O9ofsTIQiYmWIm9U6DSxk5HHCHDo8uvemVt111+OpL16/0zVhnwev6SMaSI5AyxV4SEcGBiASS + RRjMtYNQm6ODc7WHoO872l/tssyT1b5ak2kxEsYYY4wxxhhjjDHn2zIaWnZ9CwBQqHjwcIvzGOc3 + v/89X33+85+Y7WIFqbKCQHXG777itW/6+J8g1HkZrUSA7iShPNFWRYOlNPo8bYUxj6qU6D/xUb7O + udXjlnPEymvNuVZ6p5bJj/JvCeFYXZztKm2MMcYY8/2KCKWzz35aCXNe7HziTSlNp5PuVMsjaWR2 + Kh777F99ehG2heAdNMERQ7328KggsizeX2ZaLwN6mSkn5YCukY0wSiptjC67m19wez7O03qj246U + xXtOKdVVlfIZN+z7FVOiimqluVAm5KEzBQcIBRndcO3L7vrUH9FFrK7v+jyd8CKrZyGP7Xa7r7q6 + Xk+RmINAGAwVhoAgKryMuTbfhYh4ZgJIaFyNHVWOPEkJON6h9FgjOxkCnNze8k9hzpxzZmYRsQGO + QpTgXcTi29vf+MJ//ZSsd4su1iOPPgEMZVBpzwHKDIBWcdcAhsYuQsohBMkJw8XcVd7rwj3vGS90 + yYeys0mEBEhEpOX3VJSUhnxsD8qnN8h5UkpJQqidOJ+czPzzr37xJ+77wKnY0rIFDynvbgWinFA6 + bXzHwS1UUYWUaigyQMg5ZuqONd/6/H/57C1P+wG0WXNWkqoKKcWgI1YawsLLnlQGnbf1nKo7LU+I + SFSxjPA055EjzilSqB175CyaAIBVOXXd3K2RYyRVJoJCFF6hKsJRoKH0kFIoI5F4hjYYp81br7uT + 56Px2M9Pblf1+rnbeFbH7ej6p7xkMTsVKnIebYpU+YPh6MX10313IKUASCbHqCQHD04qmfbRVYWZ + Nafcxno6PnrwUk2O4fvY8a5rwmmXgtMvF8sbwSrOVRJ5Uo+Dr4lIserTZfapMsRdJlzK196h7zv2 + VQih79uqrn/pl/9527bvec/769q1XXk1h/dc51zOZz6YV1UEqyjrMtGpqiVHGUDprApg1Q/anGu7 + J9TKPi+vxXfMbhCRZVobY8z+oare+5SGNLKhxacIdi0osnlqY4y5kHnv+74v7xflzy4AAs0KAb7w + xS9/8jOfKSHT3vmYhzcUKlE9Ovz1RgRS/J03vOHySy8hwLHr+240GqmuOgHvHrcmAMxc/qwrf9O5 + 4E+c2vqZn/rJf/Obv1F+wTFk5xG+mxIgtPsWgUZBYPrYJ+79+je/cdVTrlgfTZL0KaUzLRG80Gfv + jDHGPPFyzuUteG1tbbFYhBCqqpo3C2H+0l9+9Q/+8F29KIFUCYBzLiUBgO95amBIdtj9zfJ7AtbH + kzf8+Os1C1wm0AW4rr68KM47FfFM/+hnf+YP3vWHZS/I6T8mknQfTdTsUFURLcO2Xdetr6/vy800 + xhhjjHnS2z1RvnuqZbV0YfWFTcEYY/ahchFT1dXa15JgjdNXamGf9YE1xhhzQSPAQQk9AIBL1i8D + 4MTcQ30JGI7LHxaFy+ShxEOgb+rQJixazJp3v/LlB2eLDU03EnQ+OyxunNXFxiErIGlBLKUQRnPc + vR5+d5Q1q4MqD+naUnKdoQCcSM/gkS6OzttpxFee9+xT1fih+shrP/QhHKww8WBCvYZY7kjK78Uc + K+cJBJS86L3+KSHzrlmr1wiApJ2Kmww4OMaij3UVGJAEB0HucOIEYn7nrbdcntqLpL95tjXNWEuo + CKTQjt0Qu52T5shAQMoppwZOQJGyI+YeAKPrumldkyB3nRsHtHM8+PA9t96+MY8/mHAwZ+7mNRNB + WGW1JxXIxE5BgGqqGKnfdoSDEuuT8dBs/icvuOllH70HB9axsUGhhsCtErtZwaoiREw5Mxx0mNRI + SM6zIgE9p4QHO3QR/cmPvOrlG/N4u8gUitl8zPBeRYWGUPQhfxrKDJGEumKQgpAdEkpJgzgETRLA + XgQ+o2vw7eMfeuktL5h3h9punMSTZnSEzFnqZQI6E1iWKycUgGSkAD2s3ej47BCv/enNL7/pox/G + wcr5gAqZoVCvGYKKHHCm4ovvcigAPcDg2gGiyNG58pUHkABPoK5F7DGfY3vxwTtuu7hrbu3bOmud + ME4SIAxRUYBExAOeQYD0HSmIUIKWuTy7XQ+tEokglAmQtHBDxdh8Q7lmPfzQA0+fTr9y/XOPuer4 + dO1HPvRhrK9hPEY1kpxReSjAUAVFBS0DmStEQgJVXA7rcspIAgOoHGiPsdaAQBKonLRDgIZXZhVm + LpnWuetcXQPIEZ4F0uH42Z4vqouaIAAReslxVMEDkmtwBhQawMgCCDhVYMy28fCD99y2h/OFEEGi + ABGS9psxPe9B+eQLbzpWb776no/i4k2ajEXBDFKoKDkbmjDGGGOMMcYYY4wx5olWZttLpR4TnHMi + wmwtKJ98WMEqpEwKBgMQAhhdFqqQAGJll+c4SROofkeVBpSGQWzRPBRuOIaWCSwCJQGgCh4GrHcf + IfS9HS0EOE2SwQznq6wupdSrOOfYU59aVbADESUphSsccz92Fdo6xOnTDl3zomtuevYV1x8aHQ5S + +xzcsQCtoAHqWgCBiARQWk6nqSqh9A6FZlECOVbNSbPyUH9qzPdCVZmZiPu+Z4bsg4Zk1uvKGGOM + McYYY4wx5ryTobAAOxnRyzHL5b/McIKaUPtET0AnneHxeRgyZi/Y7BK6BEkZQZnL/6Iz9uh/QjBz + ae9ORLPZbDKZrG45PxtkjDHGGGOMMcaYC8eZGiuzsnOubVuupadGx/Fj994zx0nUqqUhgwLqh4V3 + yGccVBESBjQDQFaEGjlmzq6maiNsXnPps9fDIWlBRMReNWfNKaW9t3V4EiNlFi+coAzKy0RqBzAJ + vFRH1i+/5inP/WL/p11qxgHaifdAin1czBensCGKrISsRPDAqvdDBHjZt/kC2p97JyiNSYRVqXIj + VqahTaEKQQmkrEMzlcfSTZoIRFQaIKpqaYdCRCU1W0B4IgZI96+kAso0kc9+5lMLOZkowkEyBP4R + +6Us9u0JYIWAFSIkrAwSzaIivoYQ+jaNcn1kcsnR6WU+e1LRIdMaSkqK5T0AECUnYFaBfj+8FkQk + Wfo+M/k1t3Z0/fKpPzyL2xIEVC7JACBU1ilDIbtXEisgxMPqagXrTgd4IQjn6Baf+atP3nj1D7V9 + f3htE6KLblG5EUAynCMZJIBACcqnNz8xF7qy5jgJBBIILrCICKftxYmMmKFCKJ8BGAABpdFTSVrO + IAUUlEEMlzCWzef9wEsuW79qnMfdYnu8Nl4GNp0TpHzHTa9q04wTRi7Aa5tiQgqYhm7qcl3eMpQg + StDScWl/ZRBkZFIEV8XYTutpQB21AZH+rfUEu6oxSicvBhPCpF4nAGLlCE9KIuK9V6KSndk080OH + jvzyr/yL7e3tj3zkT0ejqm37uq67riOinPdcIlDX9WKxKA8UQihZ15ZpbYwxxpxRjBEALe3Oty7v + nsxckkS7rqvr+jxvrjHGmPOk6zrnXEnfUVURUVUlcAjOuV/79bcJ4L3PKaWcnHNZMjsnObNzkjIz + RqNRt2g98Pff+HeDc6RIfWknDhF5tGE8Eck5M8g5p6qaZTqeXHH55bfc/NJ7Pv7x4H0bk0LLu9gq + SOPsKYZ+4r/2a7/+//yf/2o+X4zrCnI2MdnGGGPMOee9F5HxeLxYLKqqyjmfOHFivD6t6vqXfuWX + 2xRDCG2MKcVQVX3f43GfFzt9nr9y3jPdcvPLNjemtXMpJRG9AOP3VHX4OJRzPRpf/5znPP+66//8 + 83/BxCiBFiIAUkpEcA7YB01wHqm8cKvRgPO9OcYYY4wxxhhjjDHGGPM4UEIGMsAQAVxJsqVyCzHQ + NVp7QpvhCWMGELsmjEZOBG1C02GxeMdttz8txeu3ZpdnbWcnqPIppSmNNXcOorxsXVXQ8p9HzDAr + OBOTghWkAoISMgMAy7CZHrIRsZFAitZ1J8f0kRtv+Moa/+wnP461NegCfgQ4SM6kzFVwQQEFq4L3 + OLRLgCiP6zUBcu6qKiBGqANxqWyLMU2CQ99he+6I0S7Qnnzn699w6cOnXjCbHe06dHHiAIJkQFmH + 6AQCLYs6FaVYSktRDxJYQI7ABB7XdeyaithpwvEZtk9+6rY7rz25vUm+3dqeOlKoI6QMR4CCCaJQ + cCkXGnLKAQBOwZJH3eJI001j/4lXvPzzmxs//UfvxZGjEF8qQSAZSGCOGgMqdg7KyEArCOzB6BdE + Cc02Fv27X/G6Qw8dv5zjs5vFJnO7PV9jJIFjxITAACAlQHmIoyAo+0Bbp2blwRxxVoDgKAAIDpg3 + 0Ix5h2MP/ukdr3rOYjFdtKOkgIASoEM57e4jpzzboZCKAakdo4sXeUg8pV33Ry9/5Ws+/MeYboK8 + q6nXBF1GbfPOAXn2h0SfUTlIVhd837UueK8CZURB3yJFzOf/+dZbru7Sc5vF+nxrlOW031/i1bNQ + lmX2hgK0LGnZKRmh5X/DtwIqSRzQKOse4wR/arsVPGO6fn/XffrWW74yHr3pgx9GNalGY6iAtdcU + RjWY0AiSYuS6Rt2EGJQBX05SUgEpRMAZcLT36lZmQFHuJmcISQbXI0lKRBp7Fxy6Dou5Z492gebE + O1//+j2cL8sXLRPnEk2C7BAUyFqqTR2YEBucnKHrPnX73s4X0GkTVOMsl82aQ41sV/Thl9x0x6c/ + Bj3AVZUQPBGXgHCbLzLGGGOMMcYYY4wxxpg9ImWncApWMNIq01oJClagNJ1jggJOQQKnw7B5GeBX + Ki3Yyt2thtwFBFLBGeagHk+sIMVkREncbN4LaDxZ846avkFKPhCragYpjVBrdtrqONeXbFx63XNf + /MKrX3LZ+g/wvK76yUZc1zaXOQ5hCDiTCPeAkDLI7+qCtezCh9XIdN6ZcQM9/nUx5kK1f2qErd2V + McYYY4wxxhhjzL6lgGCZG53BcN5VlM5xarMuKwFIARIoK8hnnvYZfSrd9ktrPAafx6Y1pZ87gJzz + dDoFICKWaW2MMcYYY4wxxphzTQFZLS9T3p1sGNh1qcEIyXf3N9/85F/eG30HzpASLemhvLMUTYeQ + VNm1Wm3oRKBgRhSMA+cmhexrHj398quPTi7zbR1zEq9eVEmZGazQC6sImxQsHNkpUtmligoIIIfM + vhld/9Trv/K5j1cTTD1ih+DgdbQWao0xOEifWTO7oEqicApASQMTCSkToLZM8LtRkqzkARUa1xNS + QgIH0iG9E0qA8BnT38/q/hWOKIQAsAqt1q+ucrJZd/X2uMAIAaTRdws89Nmv3as+q4IYzIzMUIAS + SMrCX5YzHMlKEIhTZoWKMoGAlOFyfeUlz9isj7gZAQJSJRFiYGgyQiQMFojutO/w0PR9kGwNSFZh + z0Gqw9OLL9u88sTxh3qZCycMB95plJYNSpaX7nILD/nfjF0tViTEv/rWl75+7GuXjZ+uLgGAkPde + SpchyoCU3iPLlh/G7BAR530SFhF1rJQz5yT9wyceSNQpaVnwrqqq4OXRKLsvxWCW7JKOECbdxbe/ + 8EcmPHGdiD/nl1GXfTdrx25jlLzrOSNXjMyiyj55UiglpSQkDqqkQnvPcTrHVBUknjwE62sHKz9u + dWv3D5SPgiARKpdKAKVOY/e9lM5F8BgdWD9MQqoKsCqt3tfMk8IwLykJIuN6ktgdP/7w5ubm2972 + tje+8Y1f/vJXCOi7blQHUeqXOWdnr+/7krXGzDHGkmnd931VVefg2RhjjDFPbiGEko5W8q3ruu66 + jplFRERKlLVzLudc13WMMYRwvjfZGGPM+VH+zkopreIPyfGs646dPPXu97xHgKwAsaqoKogkZ2KW + PKyJbRYtAy96wfPvuO221MfgSuNnVZeJdwrE5fQBxJJmLarMrFmiRPZuWk9++id/6p6PfTylhGUo + 42PItC5S1kD4/Xe+83/6J794+MBmiakcgh4Vq38v5IF0Y4wx50t5v+1TJMcCBdN0Yz2r3vWhD7zv + g3cDiDvhAWeYg3vcpZwC/E//w5+sQyUpkoIZIhl0Yc3KESkgwVUppdzHgwc2/+4b/s5nP/8XWUUA + VYCJSDVDd80E7ytEO7MvFmttjDHGGGOMMcYYY4z5/lDqE8uM87KaEEQl7xUMoEddExRYd3NCD1mD + r0ZrQIOtUzje3/WSmy+N3Utz0u1TF09G7aIdAQ7oFc51OYvuSg4evvzOaWpelUkKSJhJ2Qs7iKoI + 5cgAIRCcgjGkGotC4bzw2tbDN1x0+Mo5ffD6F7/izz6Kg1NUHdbWFQHwbUzOOWYSIPexqsJqY85y + B7EiC9SBvcvoXAAAFZHgFQje49jD6Fu0zUduu/PQYqum7oVdt47KNdFrCG4kWRUqRMLlmYtTHZbx + K4dUKwHUl+IpMDJBgaCMVuEBx2i2sLX9oVt++Mrt2ZGHH9hYq2fz7RHDOcpZwcsoXgUtA6QFrMSi + YGSSodCjIMrTNHvKA2neNKCMxUmMNkCVdAueVCA379tJNepVlXjRz9fE1VWFeQPJiHMcf/ijP/KG + i+bb1546dqSu2q3ZxiSc2o6VAzlwDyY4gBSZkQgAnLITApjAKebxwcMIoc1xRN4phNHnXDGh3QJn + nNr+4A/eeU0fn3rsvpEqsUsOXpSH/mM7r95w2JQcdBCBh0MjKRTswcA0ts86/uB7bnzxaz/5cegI + YVqx00VP9QQOmfZWQ1UmlmoCCVg8FFU9VemgEdsLtAmz5oOvfNWh2fZzZ7MjnjGfBeJhcmEnlBrY + FVmtQCaXibVUz2niXacksBPjXeaYdu6q5HZoSZIWMAeRdrZ98Xii3/z6pZuHPnf99c36gRs/+AFs + jnFgrRqNFtp3xNXErymQUdeUerhqKCMmEl3WqJVtoJ3NONs9pMQicE6ACMoAsfcinfpaAO88jj2M + rkfX3X3HnQcXszU0L2ybsz1fAAxzNazEpAQVUKdwBApEEA9oo/OxRMybj9962+H7HtgYn/35wqzl + EaQUkULgyosSt59J8pEXvODWez+KSzaJJ4jrIMCWhRpjjDHGGGOMMcYYY8zesaLKCBll7LcMCGeG + EKREXSuDhKQ0uoMTOAHUl+Z2wqxcppZKtyURSBnQZhWnEILqMv36dPSIUgV5bC0KHWaNiqRqUrEP + Maeck/NZM7jTEVPII5mRi/UlB6+66qnPuvFZt1+68dRpPU1NxsNYC+sV1f08Bq50mKMTUGLKIGF1 + Lo+BWkiUknAGoJSVZDlxwKsuc6RDUMu+LMIwTzL7J9MaFmttjDHGGGOMMcYYsz8IwLub9OARQ5EK + zmDHLOe+gw9OX4Rdvg0qkFzKIgjMOiw4Pl8tYUo/mjLWFmMUkaqqmPnRRt/21aicMcYYY4wxxhhj + ntSGBXNKQ2cHXY6liPrgOmznUf/nX7z3ZHoYU20llypp1uWoDylUhAU0ZFrrkAsrZb2aK90opARV + qoMb58kLnvYijiF3IAZ7SpIpCzsmZslP/D44j2TZRYMBXxpJCAKr8977ivtcX3/l897/5xtt05FI + TdRuSUqgjttTjRNmDIkRtLtdtTLIK8pwmGVMflckAEGdKkKoy3Dm7hiPx4V3QUQc4OB0Z7R099Do + EBciJPuzufa5IZlFQv/Fb/x/Dy7uDwd8pz0LfCCJJfRaymrX4aJUwlQVw66joZEEVJhZhLKoAlUY + ha5++mXX+K4i9QDKyuLSfAM6dKAglHtjEAnYaX4C2s2fayLCzAgE1tjlytfXXnH9Vx/6QvTz1XNT + Gi77SjvH4irZWrlcxkvmupSYWwYyQUkkcPTNJ75wz0/c+bR2a+FimNRjyQBEaAgeZ+jybi3j1uwg + BVQdMYOJmShHSYKcOT1w7D44ASsRqUKWlQBlpTsDpZm/gkmZoGuk3Iyef+VNT924Vo4pkXpf9Sm5 + cznDQ0oTjJ1wJUF6gTqqyHsArMpAyqTCiZFLk6PlZ6F9RDUzOxUQucqFcTU+KcqBM2VgV66DPuLM + HT4oovwYg5CF1R3aOMJZmUiF6bxNr5nvifc+57xYLMbjMRE1zXy6vvHbv/3bb37zf/PFL37VOeq6 + qMBqBvPsMXNd133fr6KsU0qWaW2MMcackar2fQ9gMpksFouu67ArH7SuawA5Z++9qlqmtTHGXLC8 + 9wBSSqrqnAMgIqIa6tHv/qe3bTULZupzKutQRYZO0sycRbznlIQAAn7mp37aEcecsvC4HrVtu3qI + R/ZWKI9blrMSETNrzjmmJPKKV7ziissv/Zv77meG6PewnJUJqhnYaha/93u/99+/9a3tbMZ41HBH + 0jNvpzHGGHMuiAiAnPN4PO66rrwbtn3/f/zv/4oI3ldt7B07ldz3vXMu58dnocOjTbARsLG+fsed + t/VNCxXnXCk2uYDmlgHsGrIOzovI9vb2j/7wa//Fr/zyIkVFmTtWYoJTZGTZW4zEE68cV/YBxxhj + jDHGGGOMMcYY82RHy4IcBqQkB4AYTEClaOZ5PHYA4HCyb6n2AeK6BCXMtzBrP3bjS28S4OSxdQat + hbxoawK86/tUB8BJl1DRzqL3oRLv9Fjf3ZYzy4Jl3YyuBuBXibu6KlBir7LpkB46dglQTSYfu/X2 + bxze+IkPfQi0IDdqm1Nrm4cz0EWpAg+Z1nul0Aw4CFhVHAG5p8wuR/QRktC1/+GWl17dNk//9sOX + EiRDFYreeVZFJ3lUr/fd9nLpvgxFF4DCldTgMqWuAJhB3IEZ8AI4gibMtrF9/P2v/KHnPHD8SLNd + T6ZtM58G8t43TRx7ArGUgojTXloZ9qfycm8v5zEIteAykX6++L2bb33jx/4EWbG2xqMAkUQUQi3g + mjK0GwGIPU6eQpS33/nyg9I+VXDNA8cPdo3zqdvqN9fGbdOMK6o8N4s89li+SFi1AyMt2yIKznDk + KmQNziNDm57XqpFzyB1iRLP1rltue8GJ+cb85MbYa059zkIoMRWkO8dSqVvJQ2z2cNgo2PsgiDWh + beCBzYBw8uFnrE3fccMNf+9T92IUekU12gCG+PC9tizjNFTKkEM+1bjaU45o55jN33vnnUdPza5e + LC7xQfu2Vi+OVMoxDFLZXeKB5dEuw1GxeoQz13MpDTnWOL2ILgEVBe+0S31dj+oUtV1cManl1PGD + RLPF7HM33fC1S468/kN3YTSZbKyRdz2w1aWNegSBd2hmebzmQFCwLDM+3GPJtF5uUqkqUq2I0XZw + 4CighL6HJPSL37n11me07dMfPH4ZMsXyKpzl+bKa1CNSdgJIORCSg48paibn3TgKTi3e/4M3Xrdo + j0434mz77M8XKUU6tLoNALzmdRLZ7q6jAx942S2v/PTd7uhlyLKMGTfGGGOMMcYYY4wxxhizN6uw + ai3dL6k0SVtmMysALhNJLENbv0w8DGUTACYhJgjYKQBhJBp60wEA6zCDcI4IISdUNeB8TLFteiI4 + pgA/5pp70gVt8KFrn/KcFz7zxiuPPmOMw65Zn/QHOLGKMLNXRwIhKBGgIJEhslq4tDQkgZYQlmFy + REAELrMEpd0oK1HZV3hMA/rGPIp9Uu9ksdbGGGOMMcYYY4wx59uuddbly51xSFLQ7tsJcs6X1ZKy + rhZSE0ACDUoQSuAEiFtFcBPOb2xJSsl775wrXY0eQ494Y4wxxhhjjDHGmMdGaFnWDqAMkShUBU6S + i8f7B+/96p+lcZsoSi6ZpUOCNUhBAko796V+CAZGKpG05T/nEEW9A2Xe1MPXXnyd70dgT56URLvM + RMSck+JR4gq+XyllIbCySBDKJWIZ8M1sHtacJ3/x+Og1G9f99UN/IZKqURhT0OTGaT1vO3QuIIA4 + SWKnIAFUIGWhpOwMeFmy7KMgESg0KwWAVYl0Z4RQd/pu8BCJvPfhujLCV3mvCgKX0Fb6/9m70xjJ + rutO8P9z7r3vvYisylpIFimuEimKtiRKpkhJJCXuohbaatsj220MhrLdhlvAYBqYb9NAAwMM4MZg + MB9mMK1RG+5uL63pcVvjRfIi25JsWfRGr3LbslqyJdk0tZhLsaoyMyLecs858+G+iIysKlJMmkUW + WecHkJWVGfUi4mW8iPfuPff8lcjIdjdnz2nbL3lGJqHLVffHX/z9oWpFjIAI2NCBooH3hJRQJuj6 + Xhrrf8cGMxKYs6oqqqpK1lxzyfXc12wMQEiVGBbIIowYAoiOqdjZLIBUjV8GBwsbDGJRlQi9ouMb + rnx9+IM6JM4MgFeBteOepLVuLMZKbFBlZagqgi13MEHBRoD11VQ+/8gfz+y9Uz1S5YMpTRddi2hG + qlCGkgGgUpB9IWW0u2fFjEyJIyn6rF1oItf89Se/KjwI6+p4N4UyQGxQHntFQVjJOEoVZ3SMrnz3 + m79TTlETUgihH4RDdU4PXwbyIAoRIQIzs6hYL2oUGSAQCajQMpUAACAASURBVFOGKYGVMjOfX2X6 + pAYjNsk5VAwJTZpKK0+XEYWzHbw0NrgClEjj0cMXm1hAMARTe1Fn2Ny+xVDlnHvpm6bpui5LP5lM + YoxPPPHEsWPHfuIn/sMP/MAPfPGLXwEQA4ns+91cVVU1pTSbzcZ7jFFV2TsuOeecc2cgoqZp2rad + z+dEREQppRJu3TTNMAwppVWUaYzxaU/hnHPOvayZWcmWLp8FwzCIiBl3kP/4/3zYgKzjzQAGMQxE + JENmZslKwLSujhw6/MADD7TtoqoqGTJHIhMdq2fP/vlS4jlLLSsxp5TENKtMm/oH3//+/+V//d9W + mdblik91PwM0BDBDRA1VDD/50z/1wz/0Q5OUQgian59YUOecc+7ZKNO0dsaHYfnwrapKRJi5JCj/ + xec///t//EdG3A69AeBABjN7vjKtn0Gd0r1331PFtLMz39iYwmQYckop738I9yVNYQCGYQjEdV0P + s52rr7rqDTfe+Ed//mcUOKsCUClz82ef73hxmVm5/DczAsrXfqnvnHPOOeecc84555x7GSBDAEAw + QFYDtAp0mMSADFTIhI2aEwboAB1wfOfX73zgVadmr95eJF1UCWpYzIYEhGmUeQ5ANpwc0Ewh3bLb + /jKE2EqrfVqlxmrptl9yC6IaGcAqGFtfRQEBrGyAEo0JB0AwZQgBkaDA0dzyk8PhUzu/e/Ndb/+N + j+PioxsHJtrNrZ5OEg+dIj23xQIaI3rAwEQ1smK2wM4Mff+xe+8+Ots6mvu3Sb8xyBQwARu4Cv0g + XdZJE/p2MXRPBRAt5zRYyzoggrEwDELQqKZEiNUcdfktSEToOvQDtmd/dOe7XvP1rx6Lkhjz+U4C + p2qjX+wkkGjocm5SskEIagQlXUY1CFtZXlcmVQLZOC1CwND3x1Te/uTkoVvuuvOPfht1AhMQIycx + COUgHR47jt4wn/32vfddZrh9Z5uH7nDgphsICKBJCidniwRsxGk/nyUAQl22OpJlG8O5AZAqjRkS + HKazbgHRAOjQ8qQGqe1sESvms1/5tjff2PWHbDjYhLzIABKjJ3QRRoiKqEgKALKMuChx0eUZKst2 + xqHN6WxrXgWwgRs60NkVw87RxcbH33T7A5//0zhpwBCCAL3kjbCPlvgExAgZMijCNISIUws8cfzX + H3jnK7ZP3iSLDe2SZOoXZLA+Zxgzy7gELCyXII6RHLqKTSYJkLIWTwlaUqXX5kqMx7hpXQZjr5Z9 + parZ7mRKCaCTeZhu1MP2vJ13KYLZDqKvWt18pP/CXe/5ll/+CGb15NJLmgq5CRmIDPSYxIAWqFer + MJHKkWfL57wPSpAqYEs0hYaBGGosWsxbzLd/6b67D89PHc3DHTlvDDJR43JoP+vjRdmCKQNQBNWo + BgUyQ9m6Lk0SouLkUzg5/MYtb31d311qMt/aaZ718QKAATHAEhkzmJCBAQRlO5SwtX3qcHUEIUEF + soO0ua+945xzzjnnnHPOOeecc26F15aClAH/8g2yMcearCRbswJGKkGNlIxhTIZgDFuOpS/7NRqp + EWRtOH052F565jx/La4MiNxmxZAjoWawoMqhtoNhPr3qyPU3vfbWN15780WTYzYnnvM0bdRVvVgM + eRiqNGGOQzeYWVVHVVHOQJkeI0Uq4/MaWzYFmIxCWQNjEeM+oeXOs3HqbZxxeGm34HPnifMnXsdj + rZ1zzjnnnHPOOefOAzYuM9BVTLQBUBCvvskAg6H8AsRaLIM3dDUKLIQhjLXVAbvdaoxetJQBVY0x + dl1X1zUAEQkhAPAeNc4555xzzjnnnDvXxjGTvQVzZGDmXgYk+crXv/SN7UeHAwvBEOOYK8vllqRj + wjVBqQzClNhUkHHp9KAKAjiSKTgydXTN0euOhmOJGjANUOQcCIGDUZA8hHQBjYcYqVIGuBQ+GdQY + LAB0Mq1Vh0mVyDa+/Zb/5svfeC01pgGDaspVWqTrLnlNkkazxGBVZDEBZaAkW5MhAsEI6smyT0/H + EtIAAYBhGMbvmz2PA4WEUFUVg3hsx7Ab6MjGoGWLc9L1H10QSMHDye7JrzzxpVz1fd9XUwZrO4BD + Ln0syErlsIL0zLbjSsvoZVFmZsaQIYMd3DhyyeZldbtBQ/kVsxIAZgvjZsFMJRqFsUzP1vOxsfn+ + EJHaoBFkqELKFi/dvOJAPLKwb5QbGLEu08HLWD2VPj7GighACUZZAWLASo8TVbCxGqBsnSy29cm/ + fvTPb77kniQJmVijUDbOsNLThFCKvIHSL8a5gsCqqkqgZCpg45oHbf/h+DeMcrYsZkzMBoKBSMAA + oirGZQPKZiQpzJp73vbApdVlqZ2EEIYsWSmFCDu3NfFVqExAFDhEDcYgKAeAtLRGUigAZiu5z+fd + +wmRmemYbiWaOMDYmEoFeIm7L2+5a4ftabuUyUBEbIicLjly6fgdkBL7moSXlr7vm6aZzTsRmU6n + IrJYLAAcPXp0NpsdPXr0p3/6p9/3vu957LHHVhOt+xJCIKKc8+bm5vb2dvmrZ1o755xzZyUibdum + lMqghKp2XRdjzDmX75uZmZWP7xf7wTrnnHsxlRJTIur7HkDTNMr00Y9+7O8e/SqtDZ4Ss6mOX5Q8 + ToAZbdf/sx98/4HpNBGRQS1L168iFce72HuPBkjOHGNkEhEdMgIXXdd9//d////+f/4f24s+Bspi + qlpKXvdHBMwBNGT5yiN///DDD99z193/mL3knHPOPY/MrKqqbujL2GYIYTqdfvCDHwQgyymJnDOA + EKPk/Lzc6elz1Mv1LwCGYXjwwQeHtosxBGIjyzmr6n5zAF7qVLWeNLnNosIs08lk3g/vf//7//Cz + f5azUoDRmJfCTDgvM7+JxmkkW5YlnI+P0jnnnHPOOeecc8455/bFlmOdBmIGtETqAssFixV2tE9B + axVszTAITp34xHu/67qvHX9F3x+oOMswCALjQAMV9PNsQF3XbdeFBFgoYbHjfdGeu14FFpCBy18N + wcaVCOs/ImMglLUvCgWUYQwlAwidoUqQXi9t4mYvJx4//md33feX0/gDD/8eTxuOBKqqOvStVHXY + 3/A8KUhgudruECKGjG7AYvbRd7/zFSe33zDfOTpvm5zrmiCGABFwYFhQE2Zq20Ud2VRDIJVlvrQB + UDYWLms8BVBQyS5OZccnaNABwwJbs1962503PXH8KkW3ACpMLSGjb7cVqOpp181XT8mwZ3XAuBqO + 1EoqMMAWCGZQEKoIDHLRqSdmQ//he+978A9+B1ShCtheBNbQzzDf+eUHvvvI449dsli8wQzb20en + DepKdxYcaBBDqheL9mDTiEg/nxkQ60nuFgEA2EgABN0NY1YCIGYZEIQAFa4rmKFbEAHHT33sjjvf + uBgua9s8yByYTigvjAxhNRxvsNVrY20FYVlJUV5OVUC7WNR1JV0fGLNtU+Bgjfqp41dND2PW8WQC + 0gwOwGQ/mdaFag7R0G5jMDxx4sP33f86odeeOHFMemtnkWEKA+rIkq2u6iGXNY+0/AXtbgnGJdqd + AC6L7JbHCK8dHeXJnnbsrAvgbLlumu2229mZH0iIAUMGKyLD2nwl6LEvffmv7rn/745sfvtvfpom + OW1udKy9xGkV0e9uXAEG7S7Vo9Vj38ceoiEf4grzBQToOhw//gvvefdVi503zLcOt4umt6YGBCBW + GHOE8bM8Xnh8N4DBGMpQGAEJlqgOGFrkBdrFQ3fc99p5e/HQDbk/mGpkfZbHy4jGhXtq40FkhE4w + qdEYbXT6b+5+4F985rdwaAoMQNrX3nHOOeecc84555xzzjlXKMGIhVR5FWiNMAZR66qHUhlGNx6j + r4mUTUnHVpm7KSqkCgCs0NXWziWuwmQYhmQ2iQ16S3115UXX3nD569/0mtuPTq46QIdlxjjJ0ziN + MVke5v2srus6NV2fZZCUkjH1uWM2o93+eWwMsFI2UqFMxoxYdhApA1R6TJGhTDMZQUkB8Dnu3+Uu + BGZ2Xq2S8Vhr55xzzjnnnHPOuRebnVFGbLvfFKiCx3pfZQgH3V/R8XNDy0ehBDCE0UUgLqNQykhp + QGnq86L09WFmVa3rWlVFpKqq8v1Vx0DnnHPOOeecc865c2EVuxgMACvpKls3UATpQmaf/fyf5NQP + YQCMGaXsTlex1qS82s4yN4EMTCAFCGQABWSjwMIC8Le9+qbY16okpqoCUyZSYhIwl/qfC6ayjVRI + 2Iw0MrgPBoBIyCww94uFqFqH113y5ss3XxMOpdkwU8JGmIa5HuQD3AbJFoiNzahXEqD0y2Yt8bKl + BYB7ekREIDMjosW8NTNmXrVLKNWo/6hoXkUMYdpMQggQlDAqZj6fiu5eNEaK0P/N335+YbOeemaO + BsASmRAMShqBCGSjsUdMMX6998WtqsxghmS7+uprEm9ErdVEORtKR/CgiDBe64ihgMLUEEqV7Usd + GRQgyqpKVCVU0ZpXveJVx4//V6yH7JYa4mVfHraSts5GMKhSyR+GEhgAwUgNLAwDeusONO1/+a9/ + +KbL7gwhaRcCs1CrPASJpWQZAODZpe50JUPdjACYGacwSP/3jz16avuETdTMbHxPRmnmrxxgoKwE + 5AAECBnn+sZX3Xb7t943HG+PbF486/qsFLnWITOfy2RlYzI2qCj3KmoCpkCBDVZ+AATj0npr2STo + PDuZCZB+qOIkqwWKZsTMg+ozzIqd7SdMpoHipGouOnIxd2RmBKLTuh25894wDBsbG009lSyGTERV + 5Bhj37dECIEuuuiij3zkI9/7vd/79a8//hy2LyIAQghd1zVNIyI55xJu/Xw/Feecc+4lL4TAzMMw + VFVVYkoBiEiM4xI5IlLVpmlyzqtvOuecu9Awc7nUKldYTdOEELa2t37s3/04EQIHUymxiCGErApA + RUIIKhIJptiYTB588MG2bdNkIiJEJCIhBFOjwFrqVEmBMlQ4omV7agBmZqoGAVMK8corrnjggQd+ + 9uc/mlLK0mN5Mbg/xCBmIhUB8OEPf/itb37LpKrZLx+dc8694GgtqGD8DhEz930/mUzKDO/nPve5 + j3/iE8yUQgQw5KFMv6muzQjY8zBHwLvRHLsOHTh4yy23MHOoqpwzQ2NkESG+4C4ViahcLHddF6tk + Zu9617smdb3ddaaITcpSoh3O6zl5M59acc4555xzzjnnnHPOvYwsE4LXe0zt/kgxI0jAAQjmHf6h + /fS977zCdm5cbKV+ZxIqZDGgqZL0Q98iMSoiNWjX1xSbVG/NZ8ysZQlMWTW3pKyr0eASpB3Kksdl + vrUSwjLFFtAx+5l319SUOYI+o5lMTrWLehp3Fr0aNsNQ78hh2/iNN7/1XX/wWxh2cPhiwUaqg61W + Nj1rQ+6TGRYdjj/2yTvffoVZ6HfeMAwbiFOEBinVzU63MyUYQRidaKU5AjGyZjHooMiqFa8yesve + 1bA3mDkYIGFiAIGkw2wLp+YP3fqOm04uLoGUnOiuB2FIAQEgxdDPY6BQ1e2irZargcbVRmu/RiM1 + YoBg0QA1BQ2kqBIEdmiCwye3MVM0DbJg8RSOH/+dd3/XsTbfONveJMmz7WmKadrM560BEyCLpTot + Fu0kha5tU0AgqEG7RSRCXbdtG7k8mN3VIuOjoj5yBcsgAkFnMw6G4yc+c/s73rLTH1jMo2rTVF3b + dwurY2W5D4rJUBYJshIyERkCkARKBtLymlGCEZLBson19bTJ83ZjgxW6PcOB0FwUqp+/7z3v+8wn + cFSRptRpSNW+GpZZWaMrHboFdnZ+6z33v+HE41dYrrrWBDEg1BXU0IpmCyH2fRdXGyco7c4jlSNi + POiWL2ZarmzB2trh8n1WxipyHssbkA59O51W1vbS9puMsjqn71Enkmw9MElVD9pQrZ46VW0Pn3nT + O+769CcQY3Wg3opxBmzYGSvJVu8G++3mZgwkZMJsjp0Z5tufufOOK6p4y852PeQNs1pjqtNON98A + KKgBC83J+FkeL1jFkJAqD8KKwIh1HzgJaNbj5NZv3Xb7K7fnxwJj0ddV7PtuH8dLyV8HGAOIjaDL + 6JRY4dQcE8RLq4NXtRFDhUXGgXo/e8c555xzzjnnnHPOOefcyIDM6APKzAWZrqZ+GKVhnRpUeJx8 + AcA2rqEEwVjVAKjs3SYslnFfMgD5jN6Du6PhSrtTVs8hBpsNWMhUpjFPLrJXvO6ab3vT9W+9+ui1 + jWxUOeoMZFzHChMeZJhLz1BOMOsCK02CqS3yDIqQglhZykIAYEzQ0gBLNRiNid9CTMZcWn+RKAFQ + YQXUyIyUjBXE5o3j3MvKBbfmyjnnnHPOOeecc+68Q4CNuS+7De2IQLxserdkAIUTTX2irqd5SCps + 46CnEkC6HK41oBSFE4xP28Y3JQQAwbRsBGA2BMu1dsgZwqsefOdJzxpmZua2bZumISKPtXbOOeec + c84559wLgsmWAdVljTphkB6NPdn+w+ce+axtKlesIv2A0g7ACCCl3VGXXQRbX2pOkUCkWStOGKzW + +oZr3kBtVAjHwBzJYtCch0wSQop6lhbNL3NKGqAA2EjJSphy3/dVVWnUZEl26GC6WDoTqZg5ZdZu + oJhUrKpqMBZ9GxIxtETzlsxQ2BhKe0FZvfSe5bDa2JqAFNAh91baMRjOLK1kW77U6Zm2vipCLaOR + phapalKTEE0JBmUlJlIjo9LVAzB7GSQqP3ukJURZSdq087m//xNNknNfV1XOrWbjBMHqd7lnQHjV + UN4ANljpR2PgGLq+J0Igqof6VZdci4G6nCMRjMm0HBM8bk1hBGO2cQCZzpfh4X8sIyVQCKkfukEy + GDSjay97zZ8+8SnYQkkBZWMo61oXn/LCNigItPYaHt+Mlq06yNjUKNBCZn/9jS8sdF5bD43MTDZ2 + NllulMdGP+d8v+ryzsqfq/ZHDJDRntiA5x5O757Jae+VZ53BWd6GMqCJlEyMTJNu5e2v/MOX5jK3 + CKLSxoUZUBMxI2h5Ty7/nixUedIMh9596/ua/sh0OmlnOxZCjDFQFD3nGdKSLYRIVTAVBZhhSiIa + iJW0NDZiYwUwfudcP6L9IQqD9pOKTIcQgqoiQEjIQEDQslSjhFftfpiOn04GMmZTkGYgMk34wJH6 + aFgkCIgyOJ5nT9d9ExsbG9vb2zHGEIKohhDMLOdMFIhoGIaU0iWXXPyTP/kTDz74/ieeeAr2XN7R + S5SLqpawNM+0ds45556OiJQc0PKhvPrQVNUSZV2+E2P0ZGvnnLtgmVlJ0zQzjiGkOFvMv/zII3/8 + p39qhEHy+PFhu7GaxCySGaRmBHzXe//JsaMX1yG080VKiZnBRszS9bQMkT5tNIMAZjZRgRFRqJKZ + GaSMwQ1t989/6Id/7uc/2rY9gKZp2rbd9xMjhuhgyiCB/eLHP/6jP/qj9SXHiJatlveMt8OzH51z + zp1Tp33QMGgYhkndAAgpdV33wR/7twaoWtYBhBCjKakI0fM817vW2Wj8k4B77723iqyiMbCatrlv + miZEnPsZkvNLIG7nCzNqqlqBIefpdKqRr7322i98+W+6PlsWoMwa7pmt1GVIg659e1lc8eKwtcVC + DMjeBJR95qE455xzzjnn9mn9Qu6MElJav9mzODU/y6325pk9mweyj0sAO/0xr/1btbGmkpfFd6vr + xguupNw555xzLw4CwATmMs1LAAMJCj0Aw2KOJ078/jvee/3xU4f6E1MMYTJpF3MFEgGqBK5CyDIE + NgbMANN2PjsQkigycQ7IzMIQIqFxjDeYJdFKtRYNamW9zOrsh5fhvuNqE4Mup6R57VQqgtvFoq6r + oR+Y0MRYBcZ85+LFPB4+9PFb73jg4d/FZB4SgadqIEY5+9K9Z1pl+RJIoYAJsqJXyJBswHz+C3fc + fe3W1h15SKdOCVAltNLH0FhGl9sDXGd0KiBCYjCxiWovHDBk1BVUAT19qQ4BYS24lw1QkAABGATt + 4mN3333Tqdmx+U5ENwemzWTo+xhEBlAEBcBgZu2ibZjVFMbr4+fLAODyG1VFGHckAQgiwoZegZ2T + rz56KU5twQIi/dzbbn1t273mG1vHjAi5R65ipZa7RZuYExhEnQycLRCJahNJspW4cTOYWd+2TeC8 + Op9drfQzgHQYJMIAhkIYIUWcPP6xu+5581Z3dPtUApiwaPvJxlRmvWYhgAzRxj1ERBpgYNKyA3Xc + vo2r3kwRY+jzMCzaFDEstFPUdWi7RcCk2dkqIReqfYhTDIbqjDP61S+FVifwOv7NNAw9trcx3/rV + 22579c7OVZZ5W+oAJHQ9rB9MOYACSCVXIZhIWaW1Pq8hhC7wwDQwK0EoZsYiBkCnA6IZG8g0mCZB + pRpLBPyydRsZbPw9YhrRzvvIAJeDEaJoYugGqScbWMxEhGJooJN+2NR6Mpz6w3vf9dbf/gV6xcFD + Bzd71KjqcvFBAJeAAFo7NpZr03Z/lesd5AwwhQlUIUBWdD3a9mfvu/+K7Z3LZ1s3zncOkGivzIgh + WNachwMc1XJWECMZlxKXZ3O8nP0vhMqAvsN88Znb3n5j2zVdi5wrpqHPVUIeQBEcYLr3eDkzpMQA + AhMGhkGDwcoDIZjSRkqUeXjqxNXBsDPHxgbszDcS55xzzjnnnHPOOefc8+tZ1tz7SN1LjNLYiW4c + 7zXGeqZ1Qbs3K5MF49jwGYtAjHZHs1flN6yRAECN8GxeSOsrNJX2jOoTwAqyGDSSBdYYJR3kw99y + 9Y1vvP6Wqy6+fpOOVcM0zpuUA4vVgcDWd32GUQoxsiois2keVGBKAIWymkUBW718ae0ex+ai4zA0 + G0GXz8LGgXo1MpAux/DDN32Ozj0b50+2jvfmcM4555xzzjnnnHtx8XKBAcLp3wSABEYZzSy3qNPb + P/PQH7/9ba/cfupIJzAjxMGUuISaKJuNw74AlMnIsI9kawVnJsDYSl15hDFBJqLH5oLZApsRgcFA + LHXIL2bjF+bdSYumaXA+jbs559wFIoSQcyYiIiphG6UnbGkjDiDnnFISkRB8ms0555xz7mWinPWt + zv3Wk2MuGAwwWYkDVSEzolwySk2H1P7BX/7WYnIq1tT2A0eEUKINsafArixpH7/IZYhl1RALMLWc + UoPOmrZ+7eWvT3qQqWHqVVV1AjBMI0Ejmb24IzQvOBuHhEqFHyszlA1GisgZY+kgB1ZDaO0A1eXf + MQdVo8ADMhQhRkBhqbTsgBFjLB9ku4D6WBPAY5cKXaWtl31b9sKeRiFjQ4igqsG6qqanHj/emyBy + r4g8to+g1Q60PSOT5Y5KS46ST41SNoqxvQKXWxk1aXrJkUvleI55Equ0sI6YAzRoIESj3MfBCKQB + L/3k3b2x4iXkdWy6wcxZBzCrqZnWPBXLx/nxzz32WUsDsuWcQ4p9L4GpJH0b57Eu1srvYty4sAoB + xkE1CdjQk+YKTGAJB7qDN1z8LSxEwdTMSMlK4KqUHGw1BTGQyrsZnV5o+xKmhMDJFsJSITIzpQW/ + 5tj1URqjLQlKlqljjgHRslkwKJWOLKqkp/WyGSuVCQqQIZQ4cNiCchPbv/76F95w8RGqpLY6aRSL + mWGkQsxQIzUCnZkP/7waS8EtLj9uFKQGUyOAS1k3QWksNL+gPl1eCEq8+hRbK+VXA0AlQ4HZymlG + +VSyii0NQ247Psi55m3b/stHPycNZdNACMpRogEWB2Jon6uqkpSzogrRdqpD+dj33P7+K6tXx27D + VDlQ4F6RTYgpAHJunzCbWIYpypmTgs3AMCgwzmRpefrn4YevsSkCV2LzELPosOgXfeqUEYSDIJgK + Q1gBjVZ6dakReHx6EaVVE0ETht5uuPx1adYk3QDY0HMwlZf4B9gFRkRSSiiZ0wgqAIgQoAZYFZhh + xLjh+ut+/Mf+7x/+kQ8cP74VA4kYAAOnlIZhYGZdz0tZ+xgl0GqWk5mZuYR0lqH18qNyCVauwl7A + p35hMbPVDl8pex7LVLwL7/rXOefOU6vZ5/V35vIxirWCIs+0ds65l73Sp2BMdF6bhWHiGLnv+3rS + 9AvBMITJ5IM/9iEZpwQAG1scqAwggMgsgxA4mOQA/A8f+OdRymRNKndkRipGIY3NFk6/sh8H1Gis + 94VYGWRjEEisTumWN9580+te/1/+6vMDtG17Dkll2M+zRQDJOFBvCsRIH/r3P/4//6t/xeDSANzM + YoxZhYgNpbuCc845d07Y2DBIlx/EMJUq1X02Uc05P7l16j9/7Bfb1UyaQYZcvlR53j6hDFAwMZtl + EI0f8YYI3H/vPTIMVV13i7aqKtLIIQ3Dfj58XxbKsCfAWcUICNTnLgS66463fekrf9MDMigRDMhZ + 6ypSn7uuA0BEWSWEclKxu8HnOZP8myJSM4CYx9KAsFxftSeFG8BZOmU555xzzjnnnskqMO70bz2d + scYNAAS8urQLJd3Q9m6Bxr/tdral3Z9rCdPbc+/8dLnT6w/UliFrtDdS8SxP7AyyLPKk5b2vPaux + 7WwoX+/eo1fpOOecc+5cWo5y2oCQAGLTnogQEoADYNrawcmnHrrzzuu22yO5TURklS66ikCAElQF + tFxnBwgQAightiC1yM0pyd3hgycTHusW1ZGjOzKoGcEOGW+emh3t5NIUpJt3ppyQBBFIRGI2FwTG + ZBIWCwnMbBS0NOiHjRHXDNJIsKEPYDIy0RkyJVRiR2aLG+b5oTvec+fDn8IhBgIjQtXIBq4MMEEK + yEAAosH6jlKACNoZFhkn+0/ec8/hYX4wt28e+o1hoNwxwEAWgNKgxgw2yuhAa63VNY97QxABG9bO + VNeVqQ1CNsSIwIa+BTYAQIGd7vLFbDLsVNxTAA/oslCIIsJlmccy76Fi2Lgab4zrFgYbgpUZi2Vk + MUxY2VBmVZhAhppBgnTiia++9aatNDGimwfZyP0mZ4gYIQGWe4BrJFhZhaENIJaNWQAW5b1FARVg + okzLu10PYzDEcu5LjJBgQNf9ys03v+nk1qE2JgSCTa7mCAAAIABJREFUKjQF5HbOCAQ2ElApeNey + /DBqyR7nkkG8ypxmDQrOnEQllphtRSA0AZYlxDDL21w10A5ZJmkCAeLy/L4cAgyCwhjLWGWr0AKJ + 1DCQURwynjqBnZ2Hb7vt9dunLqsDzYRLhYYCQFSDCaPCcn0opdANUkdGVjKUpWBD4JOT6kSdnmJq + q0nm5lRT/7ef/DWw/ue73nG476cpDk+duCLVh/shnzp5lOOAXHZY7hEJRGpMqgiCyMhcopdBABMs + SwXYYsYEhVCWCDBY8+Ii1vqp+d/e+pYvHEzv+dM/qTaPIgsmU2gGOAC9QhhEqKCQDKowviINWuZF + dDekWwDNyHN0HVrD1uwz9919yWJ225AnWSY5JxU2RB7fKJjBBrUsy2uhAIXqsz9exigPoOYYxDD0 + sB5zwYmTn3z77ddvnzzYDzVVAzLBUoANCIAJAJS7KcfL2lUcL59gOa6ghHIABwHZuG/DEMAxk0yp + vfz4137//jtv/+xnjade0O+cc84555xzzjnn3Ln0zNX+XBoWlaXcZue4eZF7XrGVqZ7xV1zq8g0Q + rI3f0pg7EpcjxqvVlGwoTaSWtyxds6CkWLaQImvYAqDKspZsrVjW6IQQlKCqahaII9RKqQ4HhYmq + BXAEDBgQgEZr2qmnOHTDla9/3StvetN1b63yhDRwXwcNrJEVRIaATAIDcUyr+0IwUUZAmcsBFMxW + nuDuSLMtnw7GfoareiEF9iRtA2BjhcICofQ/9OIi99yZEjMTETOfbbnTi7M62NtzOOecc84555xz + zr3oxhDr3cHJtYWOe0YsCYiMenKiri+f7Q5WGtjAvBY/YGc2aNnX44HoqvM+ISlqkcM9fvM7/sl9 + D38eBwCCWe4zUvLxJeecu0DlnEumdUop56yqVVX1fQ+gJG2oaon6aNu2aZoX+/E655xzzjn3/CqD + L7qsrmMjGKlYP8PO5x7581wvSNkMzJyzhtPWSZ8+bqOnf0VQA5sli7XUlx++KqCGBSJjCAwwonL3 + Y1r2BRZLsFbGt14CaHt/yrbnlntuc8Z2xn8CXHA7c5/YmA0cImnfymK7PUVBlDLxsksclZYYq2Rr + GAOGsApqNFXivSnve34RCWmaptJLCpVlAoDAgw4BgQwMEmKsetK9rPtSl9xEW8Zcqioa+/snv9Tx + jJiY2QjZlMKYqbL8d2s7fznCrKRGjJK1bAAgBCWCWmXhUDp6MB6KHAUWSncZW21qvbKWYauS25fJ + kWImZsRIoFBGxKdhcrQ6UtP0ZCYkI7JAgUCqgxoCUDpl7L5h730RrvZL+X4gBmlmW7Tzr3z9izde + cXPOVHNFfQhEfZDyxqMvXDuNMZUbWB2Gq/rx9SNRl2+tXjb9fCOF8TI13JZH2yrndtmQBayAgvos + k9RY7qTPbZg9Pnv0qzuPdFU3hMwGMhgZMHZCqpvU9x1HSAbluCGHb7rq9puueWvqJkEjkIEBULZy + F+GFes6rkv099nYxPR/fUswCUTYzgwA562CkAgRjNibLbKp0+iMvazB09xADEQVUN1z52oluaqY6 + VkNuVRl0llMR95ITY1TVMhguIlVV3XzzTR/64P/1g//sRxaLgUAxxj7LMAwl2frptrOeaV02iGWa + ctd1dV1jmamM5Qj8C/L8nHPOOeecc+6lp4xC5Jw5BDD6nDcPH2rz8OjXvvqxX/nlMipDawNkY7Gt + GZhhliVvhPimN37bq695VWC2M2Kh7RmH8sqWV7cp7bzJUNKm5/Od//5HPvCB//FfMEAx5pz3++xM + FEBKKauYaiv2/37kZ//lv/yfqqpZzObTqkkcFl1XT5pF28UYvY2vc865c2SZaT1+XT5/m6petG0M + lTKp6k/9pw8PZgjAOW5UtZaRVioowEBivPXNt1RVZaJ1XZfh1qwipoEurMH5snNOm6ghw7vuf+eP + /fi/j4RsCEwgyln7PtfAdDotxeFM1OeBDE3TyJDJvsm50IvATltz5ZxzzjnnnDt3FLu1UkxQPmt5 + Ie3Jn8Z6+PTeE3gFM9TAY3zdaRvZjU5TlKpj49JQdlWY9bRXA2e9EDo9CZvXHuAZ/6dSyHdhXT86 + 55xz7oVnZYy9ZPoKAFCMABZDPwlMixY7i0+95Y5Xb586lPsKYEsAK5nR2ikPaStKQNyIsp1V0XU6 + T2nRTJ9CxMVXfrWffftv/Orrpg1SgBFCgCkGwaL/zXe++9HZYnOjQt8eYhxqW+0zYBVjo0ImzGZC + BICWKyAgpUEWg5d5zmFMa2YhZYMSqojK9BpQ+9XHf/Gud3/3p34dhwh1DaqJAyEDDCYbV6BRlhw5 + os3oZlhsffSd777s0RM3DnqomzfaGayciJb5d1YmXiYrkAotk5ttNyN55SwBvetCRTbMsy20QxUg + QNvj+FOfuf89r1q0R2vqeqvHU9AwDFItV8OVP4wgVHbFmXdkJaa31CeMOcKkZGBbDrQTKkXV62a3 + uMI6IRo4osQz7z4P1rLug5QhY6mDra+gPMtCjPUHs/41MxEFdANEQtdja+v1ppfN2jhmi6+vbLLV + X3lZYgFSMg4ASHVthQidcWZebk9ljogwmBw+dKRue3QCJWk7IuYUVmf8JT2DwVQ2JECCElSMgsWc + 0Su2Z//fPe+89uSJ17TdwR5JZFBEQs7ogekGW6umUGSiCOJWRAQbk5AX0gI4dPjxrtdDm0/CnqzD + d/zar2J6ECkBCZMaFSPY9z/8e1gsQIxBsdN9/F3vuvrw0a8df+JwMmztHKu4bgBRE0AscsAYz6Fn + XnqU3/HaLtIABGtjlw91qGXykZve8n1/8DAOH0PfIcR+NnBVc8IwrsvMxLy7ThNYJUBriRcRZSZ0 + A05u/8f77juGeMmp7deeeupI15WbrmpXdtvHGYSWr9Xlw6P9HC8KhIh+QM463nrrJBifvOfuV2+d + upI5D0IYCFDGkJHG9TLjGwWdfngulwOXsJPywl6+kpYvOZAhcho0g20j0qbh704ch4qAA9gniJxz + zjnnnHPOOeecc+65obFx5eisrc9Wg8brK0d0bTj3LIPMVHopZdm7/fJ1CIHZBKbLaQG2wAwzEFQF + qoLAdUwq0JnWXFtLU9245pLrb/m2W7/1mjccqi7SWTw4PxpzTXTag9Yzwqd3v1z/43kpBvIoa3du + nC9THx475JxzzjnnnHPOOfeSYoCN0SOh1AezEnhVNLx7sxJPsf97CLasoaexHrjUKKc2684CyIMh + EchQx5jVglf5OufcBSnGWDquliiOuq67ris/KvnWqppSAtA0jSdbO+ecc865l531ejoiAxkZQav8 + 949/+bGnHuOLSKDMABACLZepP1tEBDWLSkQR9dVXXBuIiM1AKAVtBuNS43dGJy/n/nEMy5TNtbZu + 6yOApBaYlHkwffzkNzR2IFn1MqDxP90t4FymNAJYSwJe5raujWEqaVAOVB07cjl6hBBzGZxkFhGQ + gnhZ5coEXUa6novdcF4QkZA4mzCzQkWyRXzxK3+dkRMSEUAmqszf5E3gtNTY1fsRM6tKoHB488hG + s0E58AXZ9ZvUAC0dP1Q1cNyYHDh88MgT3dcUPdFYw2z7eyMfmQkzM5la/pu/++LwlkWkGqBVcxBg + 7KdD/mZ+AWDk8c+9LS+VYMsOR4rMpaDfmKgihF4spErbttmQz33+4Zl9o6u3hBEVZJqptWWHl0H6 + WIVuLgdDmvaHrt58zbe/7bsO0BE2GGWFGLGiAgLA/pr7psyEOaoIAvfoO5kTgXR3WcXY4mf1cUmA + wcZfaAYgimAIOdbWXHHpK1WCKmIT2oEC0QsZaO/OnWEYmJmZiaiqqsViAeCWW275d//uxx988IcA + G/JAwMZ0Y2e+KJHVu/942W6pIKJyzhNCKAnZqlqiVvq+LznW5Y5e6CfpnHPOOeeccy9BZlY3TZ4v + uq7jGIdh+MhHfm6+6J/p36hyIFP0kj/wgQ80TcPMImfpAb2/R0IAUFVp0c7rOj3wwAOX/et//bUn + /iHnvsS17G9rMADDMNSTpmtbAI89eeJjH/ul7/3O79rc3JRu6CXHmPp+CCGEEFT2nZztnHPOfVNP + Nz9rSzGm2Wz2Mz/zMyIoaQvnHI1LX4gAQwp45VXXXHfddSYqIiWhmVbTfg4AcPPNN6dAgxgDJqYw + JoQSDp6l67phGDanGzHG3A9933/TWWnnnHPOOefcS85ZrpGe+bKJsKx30bAsA179yJbjnataS10G + /vHyeg2GcmW2/GerGOm10GuCYbfChscqK11tmsC715qrzrlnPti9ZV0AwioNm0rM4Hids9payVmT + 5Y/ChVhR65xzzrkXjgFdthhpACgMFQAj61kDpcSYbeHJk594823f2uqRPtSxHqyroUoyBBVGkMSm + AQKg2aC2tcV2JlBKh0723ZOXXPKFjfR9D/02gDemBhwRI0gRE7oeGdgMwHDf5/8EQ4+F/Py933HF + ifmrFrNL46D5pKmwgTNqQkq19gMAAgScCUoadW2ywMalCWxICiPMxRh9iuGaMKUvP/k793znHZ/6 + RVxyFDzNSikIuMvGRlWAddqnWKPrcHznP9195w3zx2+abVUL2zCKtoxTBhspCEFBpsmgEFZWglEQ + IMiYNH3WmOen2f8spjOxOCXaPIAILFqcPPXpO99x6de+cWmDxbxtCBQBQUI0KCzoGAysTBBCJoCQ + hMupI0O5BP4u4xzG896S3738Etg9eeZx74XdjGoLhqRl4SjBSIUzkyRdBjAYggYABNnXS45CZApg + RtvhiZOffstbbzh5KhBgYiQDM8pSEwNBDSRIGFMftKyTKPnc4wn68gVQngJDoilWy1xt3MkAiHX2 + +JPHjr4CHaPN4fDEQoABGSDkmDPAiCWjnSJbBAFqmAbSLOgIT2z/+m23v6nrJyee2miiGnJGAIxI + YLHGrNUoqOtJ1y3Mek7ghCzoVFKIQzX9m3ryt5de/E9/41defeQwKIEimMEEKNiQAsygPQ4cQmag + wlF+4C//Ct0OIB+5++7rmsP0jScuhRGyQGtOKmNUebnKCGdke8AYpArG8rLHyFI9kc4Oz/lOkt+9 + 9a63/+Hv4cBh1IerJliAQBkUQYQKMr5WhCKAwKVeJI7J1kEWi61J1k/d/s5bH3sq8VBLnigLaiAb + SbnGkZIvogmIgqAAm7IJYygh68+eEZSxGHAgEgW2WKPNGPqf/+73vurRRy6LoZ11EwCRLWNIVW89 + GxN2o012V57uWcmkShgCAEQBjHlMwQYb4nhM9wwLCst2SnD0yktB9XPqqOecc84555xzzjnnnHPu + udht8UdQgtJY1cMAbCwmorEyh5W0j9koBwUZgu52McwiSuN0DpEEIpBlgTCMQAnECKrcxyZv1Hnz + 0vpVN73uLW+89pYj04uDxIQUhTgFtMEXhriXH1vrb0hEz63b4fPFp2Gcc84555xzzjnnXop4Va37 + jPY9ulo2S8tCdivtfUiD2dGq2UwVKrYIzQOICfBMa+ecu2CpqpnlnGOMALquCyGUr0vGRoyxRHSI + iGdaO+ecc865lxcFFLRK5wUbB2WQSmr/5At/VE1jNi09kXOvkcO+lnmXQj0imAnAk3Dw8ouvtuWK + bFgk2220NT6G5+uZuQuPjS/osZ3EN32pEhBBlkXBqMOjj39FQivWA2CNpMtW1pSVSiOBMb11PbuT + V0HXxjAWYmEIlxJUjrm+7orrGQkCMyuXlhxD6Ra3t2sCr/3/5aYkXxKRaXlPIA25R/vIo39rpAox + grGJibHtycg80xg0vidB1cwCiBSs8aLDF6VQs76c9+fTOa1GuQx3EMKlF18eKDHCerln2H/b/WwQ + GIAQ6Mmtr5+YPRES94MowcZsa7XdDxTmF7Wc1J1bpICRGY1nEWqllSfB1o87MiUDTEnBSTl2hk5y + 3KAnt77+2S/8Hk0GYeQAIVhp8jK+ijkrIodGMekPXMpX/NP7/rvDdLHNiQ0gES73FQzxxdkDL0EB + ZBqUeNHtZGsZRLtNhYBle53yMbo8fMsHnxqpMMOqaphcffSVDR8gjcw8yDO/ZbuXmBACEYlIybeu + qqptW1V929ve9qEP/RszxMhVFWfzGaDP8HmdUjIzEQFQQlb6vs85AyiB2av76rqu3Mw555xzzjnn + 3NNRQgiha1sRiVXKqjnnn/ypnwrLwcD1QTjD2AsBABmqwFdc9or7778fQLkue94eVZa6rifT+nve + 993lO1VMz2E7KSXQ8rEZDh6cfPDffkgJfc5GEJGqqlSV9i5ld845586d1fxtn4fJZNJLNrM//4u/ + eOSRR7Eb0/BCPRgCEURwxx13mJnmHELIOa+qnS/wBkYlQqP8/8B04/ZbbwPAQCSqiMhghgAwc9M0 + VUx937dtm1JK6bmctzjnnHPOOedeTgwsYAGV6wYAMB6DoG2sfi+FVcuKNgVUoacPUy5vvGx4u1S+ + RbrMtNYSmzduypb/X98c6d4i6DPvB0YQQOi074KWqdW0W0g9Nli4oK8bnXPOOffCSpH6QQOQCLmf + AZkSB6PYtdjeeuiuu27suqPzRZW1azs1gMRIlaDLtVoAjLCzsFZB9eF84IqvTA787eWXv+E3P/l9 + v/cQLt7Uyy7G4UPY2LCQsLGp9cQOHcKRQ9g4kA8ekIObOHoIG9X7HvrtW3/3ob86cvivmur4ZDoL + yAJWJITc9wBW6yi1nPftOb8qsQWAcVAERcWIEcjtpF9cO+jFj3z9F77jvdiZgShEQAcsdiIjQWRo + a06LoQcncJgSLt3eecV2/wq2DWjAAAhIQCoMISgBBCopwqzGSgayCCSg2u8KS1U90DR5QDubI3fQ + 9tfeftsrH3/sW6bTOG8PBMQa8w4ppfG8klZzHkzGpAEIulwTZ6S0PNEtS0WA5RmmYvWj1WM0sIEV + wRAMMM5EA9GwfpZrnI0zSLBcv7A6930OZ62zYdiMFTRj6+Sn77771W13UYhE/z97dxpsy1XdCf6/ + 1to7M89w732DniYk5sHINhiEMAY0gBBgY1cZD0DYHeVw4epwdfRQX7qq3VXRU0W4OzrqQ33xWOWq + 6Oqyoz3UYLsMCIEBIcRkG9uUjW0ogy0JjW+6wzmZufdeqz/sc+69ehLC74Gm99YvblxdnXveuXny + 5MmTuXOv9d8/glcAh7qZGRsAVnBZVwXWp3NO1VnN3iaooEhdOgMgQKwH3U0IQaQL8Vff8b0Qhoia + 5rxOAocBhQECFMjQEciApZFSkmQ4efZ3b7z52/eWR08/ePW06/tlkJa5ZY5ZjQmFaFRQkHFYBqCb + dinhzB56wqkm3DObfH7avPaTH3/3J+/Cc67B1nHMj6HbxHSu7cwmG9pOxgKAMZujnWC2MYbGNiY4 + toErrsCxE+/6xKev/907H77mBQ9uHN+WuTQbo9qIUldXff32e7XVt6euz5iMaD/oWq3s9MtCzDld + ubt43sOPvP/Gm7Czh+WARSGoIgVksvHgBa6nM+u6IV3FsRMgoe1Q9LKzey+1cM2wPGGpLZlNQba/ + ARWCQQqxgRQM4/UWTOdX7Lw2n02W2dKoNgwoBcvx6nu++vJp1yyGOUFiHPMYYiSw1jM4UiUUrieP + DIhBMiMzbLWdr1cOoMTAqkyblWvYiRgAjSIZKjF0wEMPnoSx5sc5B3TOOeecc84555xzzjn3pKBD + Fzhqp6zaMuucbksWYAFgXl8QMUYRZEFm1As9ErhpgwisIGfTXMiAElknrW22w5Fm5+gJff7rn/+2 + H3/zf/s/vPMfvu2677+2eelmf/m0PxKXE+w1umD2xlnuorNfCPwMKQj295hzzjnnnHPOOefcswox + iGvOwSHrWd2r8drDRZMMnF9n8xqboXVC/34yjIHGsnfqFKAKqGY2IYDJ6zOdc+4SZWaHozWwTn6q + P5dSSik557ZtRWQcxxq84Zxzzjnn3EVkv3aayZiNgXKmPPJn932eZgZoKVbHacr5xx6YGROM1MyO + za/cai/DQEakFtiElUGaCACkBt46941Yt9U4NJ+tNgI4dJ9DWZ1C3OekrY2cHjhzbw7LQpkAaGCD + cc8EIxDyKrgafNDyrQ5hGjNWXVSMsMr0pdqTg8MYn3vZCxtqSlJGULNSikQoqbHCVp0L6KBTxsVg + /VS0dv0ADnpEmBlAYCucTu09fHr7JE2tWFIqzGIGIqqdOB6j5qqup//SarhXCWwAKVFgBRe+bPMy + KlJKCXTJzSdk5hqgzswgMkM2NaMrjl0h94ZibChgqq+G/A2i389BBDMzA4SSLL5y/xef96KXZ1sl + wIsVQAE2UrawHo/3xNuLFtUmTrZ6b35tWvOnE1kac9e2y7TXbI0f/tDtZ/MjGgYFYHVr5JC1tgoy + gggNi3yMjs32Nn/oHT/y3O4F03w0ZzBDuew3F6p7Yj7vzfmSQ2QwEVCGnNp9KGFJbGG12rSwGmHd + guvgM/Og+oJqkyWJfXf9q7+r0UmgyGQpFeGYioVLOznjokFEZlZjUfq+b5qmbdsY293F8q1vve2f + /bP/8x/9o58aR13f86CX2cF3A4CUUtM04ziGEGrCymQy6fvezIio/pW9vb3ZbNa27dPxRJ1zzjnn + nHPu2cDq+KcCEI6Lfjmdz5LpchjvuOOO+x64//BUWnv0MBwZiFiLFthPvPfHo1A3m22fOtU035wE + x7Efuq7r+56EfuzHfuwX/9UvLcY01vbf58MAVSXmkjMxrGBnZ/mFL/z5n//FF5/3nGuObW6GJvbj + MJlMlsslVmndzjnn3JOI7NDlLQ5GYoQx51/+5V+uE4qZHptg9k1fCNJS6sQJM4jBgJtvvjnnHEVE + RHMREWZOKTFfeldIjLFO0ajYoEAexx/6gR9sQuzHQRUhxlKKMNrYEFG/WLZtS2YpJSIahkFEns5n + 4ZxzzjnnnHsKPfa0iVa3s9b5p4DQobvWrGhSIhXUpOg6BrsOwD58z8c87P7/ZBy0NZDVfOODoESg + 9lhAoVXfhLhqhFAxreKqsZoru769/s2yWmZdTZ3bX5JzfiAIQQg+P98555xzTwED2shimpZ7cdoW + UMlDk4AHT9759rdec/LB6W5qwJG7ptnQtIRlAlgjg4OleiykgDFTO38w871dfMPdH3vhVLDZAZoB + goAJWalpUcCCvSG3bRiGfto2UAUi5hugJTamb/6zu7Czc8erXv3qjcvHhx46TmIgCTFrXgUQg8UA + gxgYKLS6TGCgwgBMDAREAgwjLGDoRK6Msn3/g9hdYDYMzF1QcLTdPZputKEx8Cw2MGAjvPPO2//4 + ZS+6tmUbLVlpGGCQwQil1lGue2sZITEAMIoUWx8WnscFAAKCiQ3aGY4oIy2RllcuT18jabG73QFo + OC+VCNmy5dRKVM0grKuLQk2lJspKxQjBVpnQq6U1yMGdARjWRaDGUICMDGxAYVUqIAhABqZCKExQ + gAgEhJolbqugYoD5QsqOeAri7bPYPvMr3/e3Xnrq1EbWvl+2suqPLypAjeAGADIVI4IWhtVoZgNj + ldLN6wNm3V+bODhtALimjBMKm+Yhx9nkfu3f/TvvQxsMELCSWaACGMBAQIYxEycglUGkbWLAImFn + 8Ztvf8fLzpzc2Du72aEsdrZCO2ZkaKTAKMQYep1OIzKGXDZia/0QCUe3Jg8m+SuJD1x57Ac/+kFs + boACaIYlYIBg3EMzBRRE0RSIcegXbdtBuWmxMARCwwwL2NwC6FWfuP0/vOP7nnevXjP2oeixySwv + 9uTQ63CofA/AOsfDuJZtEowNG5NJgewuF52EK2PQB0/e8Z2vu+3Tv4/jRwEpqg1rbStXMsLqlCQZ + AERbnwdpgoJi00L3iKiMuwSFgetsGVu9KIXYVmWKCUirF8dQ6mZmTOezFZFBC8a9JXPbNZPLhbB9 + 9ou33nYdYdzejQAmoSwTg6zYmPpZC07r4hpwZhJlMRTWQQDStiDoKt09FFEAVJQgKrw6r+P6lmEm + aAYA0za2xzaO4nFrKJ1zzjnnnHPOOeecc849GQiHe2Strm2sfwYAMK3m9rAS2DgmBaEwMiPL6qIJ + GQQyjKo5C/GkjVG4aMq9trKRd2VOx1529bff8NLvetnV37olWxhIEpAKYSncSghaApXIzMUG78/m + Lhp0qADYniGh1h5r7ZxzzjnnnHPOOffsQ5yJ1tEmj/mlPardzPk/uJKxkdqjZ+uToQzpOc+7BnkA + cmhbKIOQU4nR29M459ylqIZVA9gP26ix1swsIiklESmlAMg5e6a1c84555y72JBajYatIzC16QDn + vz715R2c3B23Zc7DqEEoMKeswl//IR/98GBGNpDKtSdeGHRqBoMZRIkDaosusvN8WOeewN98KFEo + CI1jyPefuWcnnbYuK0BEZFLTpkGr9gtUW1EYgwCo8ur9AlKywODCq64NgIIgBlbZkKPHJyfC0MKY + mYtlQM0IgJIyWGseK4BVbjOeMB32WazOOGTAzFRUJd9z35dHDMY1KFlLTU0+n3zvuq60rjElAVGR + E0evskJWVtmcT8ZzecYyMwLVDFpjYmPAoHZs4wQNjEAkxAyAVMHnv9flwKUoK4pla8e/uO8Lb3zx + 90iYFoCgYqsPFNTujUbeCfEit25JY2SAwuqbkQn7nTcVIBCzoRBKydJI4RSP8X++/3Of/NLHm2Nh + R5fMgIKMYSy67sYERtK2zGi7fdfb/s7LT7xCttumaWFFoTDFagcNMmMjwLe3r09VhSdGePDU/UUG + 0kICslW/IaV1icXh0I79ygerd+CYtr7lOa9orSGDKqAau7acf2yVe2ZSVVUNIXRdNwxDTaRW1cm0 + S2N55zvfefr06Z/+6f/bDEQGPNHnbP1VKWU+n+/u7tb4MWaut+9/RtcEkRC8BMA555xzzjnnnkjW + EpoI5nHZE9HP/NzPAhCm8jVyNc0MZgzMp9N3v/vdZjYul13XqZbHvf/5appGc9FSmnbywue/4JYb + b3r/hz9E59VU+9CimimA/VNMM/zsz/7sz/1sXQ4QAAAgAElEQVTMz5oZMdIwhhCaplHVC/oLzjnn + 3AUiouXQd9PJfQ8+8L4PvH81gv4UfBat/wYxo2i9HHLdddeJCBlSSqifxaqllBCClUu0h1EtNaqX + NtgQJbznXe/6kfe8h4jGrE3T5JwBzeNw7MjRnZ2dYRiiiJnVyeFP9+I755xzzjnnnn60TuTDOk0Q + tP/T/p3qOZoSOK5jqs+dp3ZOaLTtP4ja+p/oob+1xvv/0B7zGOsHrr9RrOYZs0IPRmIJdf7e+o+u + GzWsA60Pvh++xTnnnHPuyUFAWB06lTiZFaiWoTHB7u77b7r5ZWdOHV+mOUEij+My9xaAUA9xDIAS + lA1K6IM83Hanp9MzcfO2O+7AlZdhFmv4cK3TMgI1AQYUSwltG3pobDuDMSKWSwTG1kbSMUwj8XDb + 5z770dff9KLZka4fWraceuH9Gi4VY6DWMOzHFZCuK5VWzbAKWNAGLHOhsqN7O9fw7I6b3nTb5/6o + i3P0CU2kSQsDmMkghp0929iYY3lm2NjoT28nS/NIRW2Vo0yH1prtV6IBgBgOpfOe3/g/MydNDcls + MeDM2d/6W9/7Wk3jYjlvCNnGpWZgOomLRQoAOJEerhUAAFEtYlYjjPcviBiwqn1T0CrIQUwJBCvG + q4huMiOogXV/Pa5zow+eLIENUgtX64tPtbzOxM77+ZIIL/Zw+vS3LHavYWny0AVYWS2zrY7v938G + Wanh5Qerfb+cDyCF0aOPn+uPNXV8tS0UAI1wKjk3AfMNsCxSalBibDNQoAAxGGYwJWIGOoma96AB + u9s4s331/V+9Kg+bAZawAGamTF0Ah4YwpjFnIUixIeVJ2+RhGIA4jX+5vXzkiue94WO/i6MtNjtt + moxJMwIEZCCgmSIBkTCOkBDV0LbTlEukApKGoIARqBMAOLaJ1Lzzfb+FRX/3zbccp0HHfgMiOHdK + yeoMaZXtfdAFzgAijMMyE8/bZhxGHfMJgGbTf3PLG/7OZz6FLM3GHJo1ZWpbCrW6KANZADKBsTAM + iJFUoRCO4X5Oz2lxjEmX69pKAxMUglWmtdbKIbZDy7d6Gfm8NqE2Aoq+kIxlvrv9pVtvvirZJI/S + EIqlZS5A180W/W4kiK1Pquzg+8GmQ+tNBYCJWCBokbLeuurCKq02LcqmJNgZijCdXvYoYwh+3co5 + 55xzzjnnnHPOOeeeEgZZj/HWqzMHE232B5yNAWZDbaLFVlsMgmqg9fr+VjRwjE0MJWBhmpQhGzZ9 + 4VXf/srrX/uKF1x/RC6n3SYsuqiRirJkYgFUNZdiTO3hAOBzF9PsCX7r3DPfM6rxo1+Gcc4555xz + zjnnnHtWISDwKKEcjJGqkR0KbmHUvuj17naBPXfqGDEDBGVlgKSNu2dOY0gdYDnXNJUYPNPaOecu + UTWuw8x2d3fNbLFY5JzNrJRy9uzZei0kxrifeP10L69zzjnnnHPfdPthAEwGghZOf/LXn0ttnykX + VeZV+Fw8/+k5piAwFAHyvKtewmMbKCjMwDAhW9W019YSzn2DjNT227SBv86MMmPLypFH3vn8V/4g + y1D4MdPhrD7s6otWXRgOTgzZ1t0QACU1UlvPWJUSXnTVS6fYpBICB4WZWYyxlGJkRqrrx2EjPqev + wbMbAyAoYdWQpMBqfDURwUhRkvRf/uqXEExRjNTYVAu4zqn9mo+rdKhRyKF+E8ysuQSLonL50StF + AxldROvzb0wV66zQ/dxQAV+2cSJYI1ojxM2sXOi0TzNDEC46ljjc+8hf7g47LFKD2nmdbK1k9EQv + o7soGAO0zj8GYLpqa6RY7RiZLbAFViETUY4obcgDTj3Q/+Xtf/yfzoaT2qoCoYRYGrZ1k01SpUym + c2we0cu+7/XvedVzb2yHzQnm42JRGyAZQu3zKaZ8nt2LLllEtf0NZ9j9p++1kAxFjBgKqPLBZxwA + XX2A6qphqjFb7UMUXnTiusvCc6QQlQSAORTLJAe9tNyzGjOLyDiOqkpEzNw0TYxxsdht2pDy8N6f + +PGf+qn/MUYyPZzfouc0gQohpJSapqlD7gBqdnUdhG+aRkRqCU2M0TOtnXPOOeecc+6JGMNWw3p7 + ewsj/rO/+OLn//hPAF5lWu+nQR9qrcwgAAL88A/84JWXXzGfzrZ3zoZvbH5sHSGvRCTnLCKWS+qH + n3jveyNz5AsYElRAYRCWemY5nXYK/Lv/8O/vf/CBYlYMEsIwjiEE78XgnHPuSVXnLazUz1+CmYHl + zrs+cWZ3zwACPRWdVYgAEB9ct37u1VdedcWVZKhR1swMNVUNITyjWr089dYvmREsEIui5QA11hIJ + TWAxTNquXywCc9c0dTh6GAaPtXbOOeecc+7idHiQ9PFvOLidANH11/qU0OqEuNUXg+osNQEiIGQs + itUsuXV2dY3xy0AGCmBQkIIVUIEK6vQ2NSDXnDMG5NDsZoMADRABmBz6Ws9aNq5fBCZjweqLAYMW + WIZmaCYtrMYKVoiC14vBClbjXEgv6RNI55xzzj0FDChAr2Axku0+R404efpXvvM1zzv70HNRQoG0 + 4cyYB7Hp8aOGVc6uIAkSACWw8k5sv/Scy264647b/uiTuPYKA8BBTfpR2YTACy27mgvDInFDBgQw + gDwmDBnNBNKWwsaTJQK2trA1veXuux64/MpTzWRblYKQgZXJagS1So0iULCBoUpmNbjAUAiFAEYp + AEBAu9FMOt5YLJ7fj//6da/HqV3oPO0SOBaJvWohkGFjQkgF7eR0nNyrhWehV8uIyVoz4cKNolGI + AYRSg7OLxCykjHMr4/6mq7/XITQxm14J3PPaN7zmkTPzveWswTiamQhmEd3YpyaimdNeWgVLA2KA + UlZKTElMa5UcG0gBA5mICqtk5mXgZcAgSBQMAYhqvFpLpIxClMRUDKKrLaIeOCdCJphBsbqJ6nFv + PSymc+eif/3nS7oo4xUb04ffcsvVJ09O9wbO0AwK9aC8LpXVbUxrMRqDgGgIhmggQyEk5kK8evGN + V098fSJhVO+DQlaoFE5FSoGGEAgRo0JDGycUY1+WAbkBgABEQGFWj/YjbEIMHaDLj732NS9Z7E5y + Kgmq2NjCQlOOnCz3w8JM2yjBwFmDgnLKwHQ+O83t6Wuf94bPfhJHj2C2hTBndE2uG07BRI3Gs9on + xi4pWkBQDJnAURAUWFI6zfkMYakYoAVgZMOJ47hy8/W/d+d9W8f3JkcTN4b1rJJ1dDQbRA+qJhlK + BiUrrOhoqZgE6DA2setiGyL47COvWJ7GQ/dBVAAdwWFGirwcjZFhgAYoSkGGZARFKTDBAELbvP33 + P/oXG3yGmFCrEMUQYZGtXtdREASIBlllwB+KuD5PKSEXdMycdq+2fGLoO8sSmzQalCKRgBZjzzG0 + 0zCMIAUXjoWDIqqJFUISK21Bm+vCoZ6M1a16HYtiChSCgg0CsJqxhGQoDYajG/1GBy7mVVHOOeec + c84555xzzjn3lGDjUELMTSghFpbCrKtWdkYHTQXZ1i2YjDOFTGxgKWgTJgldRlsQYdEsJJFlnCyP + vGT26ndd/5P/63v++U/e9A9uvfptV41XNaepGTAJPGk4igUKkbqAlq0hEZUy8nKhO/qYS0KXeJGI + u2g8c7Zkb2vlnHPOOeecc84596xCjEB9YD3Ub04JIONHN8D/RgNIDCBiPQixKUOab87BglRIopbC + IloKe7K1c85dkpi5JmrEGFNKtRdqSinGOJlMAOScQwhN09Qbn+7ldc4555xz7pvrYFrbKsuQSw7D + X9z3pwtst/O4u0hdx6qaFpjNWct5V0oTERRizRVb11COQqJqoMePHH6mTERylwLSMSlmOoQzX7r/ + 86VJChQFCwMFACtq0udjGUEJUpvTkRIY0JpUvd+4IZT4oitf0uoMhZi5pF65tCGmPADQ9RugJrpf + NBnMVrvJ1AYnq0xrFFMwASAiNctWEvf3n71PpWRTZhBRMROCGZjxuDMSHycz1digIBCZGsRENByZ + HpHS1L8FK0/mc33GUdUgATBVLcWIAYOZHJtf1tE0024yZMtEBAPTee9vVc0MMcZ+GIr0Z5aP7Izb + W61qAtVOMqQHO3ZjQnnct4+7WLBhFWQOoH6AsykMtEpBJl0lKBlBW86L8VTZ3P39L3z8T+/9HG1g + b1xwzam3XFgJKKJkYEMosV1Obn3N99zyinfQ6QmlppFuQUsKZqoGJuN1xr0etHxxXwspwKUkjZop + nVmeVkoFSqvaikOpVwatn0sGXb+bCYCxqMYSX/Xi13a6wcWYjENQ1XFcNk20oo97XOeeXVJKk8kk + 51xHywGoKoAQAjNKKWb23ve+99SpUz//878UAlJ+/MfJOdcBdiIysxBCzjnnDKDGZscYSyn1t2bm + yWTOOeecc8459wSMECQs09h0bVb9+V/4hb5kZtGvca2mnmIFghj96I+8x0opZtPptO/7b1aC497e + 3sbm5rLvSyki8ta33Hbt1c/58r33XMBD1UjOnDMzVLFY9Awkxa//u9/4r//uT8yn06QaSIZhMDNm + P390zjn3FDECAU3X7i723n/7B+qNzKz6pF98ZGYthZlLzgAIeP7zn7+xsZH7vmGJUYhoHEcwdV23 + HHqhS3pwnmx1NT+nFESWi8V8Y6NXy8OoqiJiqsQsIsMwhBBijCVlZi7l0rqO7JxzzjnnnHscdGjW + 1KGhx0dPReOD3++nZNPquxHqJHyp81vNUADVVVAfIdQZlat5lQqrj1YABpVVWBwxMfbDtFdL8DjT + Zet/dH/Ba8jgOcu5+qerqXWrKGtd3R0+v8s555xzTy41RF72y24yOdrMcPY0dnau29u7toxlr8wk + 9n2azbph6M+cPH2kQcoH4cEK9EF2YvvlrdnbP/A+nDgBngyhbQRjMoncNhMyWEKMsQAZIKCk3MRg + gFlpmgaKvNeHacfrw54l0G2doNjfcMcHP3Ljjc9dFumXnWUpMKwOx/aP/1YDzqQA158NXEhFoCNE + 0XU4tTPOO5o2snPq1Mtjg90dcBu35gB2h9S1klEIwrQ68nrrBz/4JzffvDjzyDyEXicjx8LWB+w1 + SLyKfxbTWcKxZZ6W+szq0pxngSVpYBnHoWmaYbm4lkPWXAglIEakVBjBmI36DOzsmkziw2gLMSE3 + WqY5RVUAjHU9l9X/o3ooqaSrkq4arkCAwRBgDMugVe43G0bmRZSBY+IwCg/CmWvRXQmaZ6lcttBp + 1vU6V5BdWCxx2zb9zuJYQCmIUowoGUKGQQxsVAohW5uZByFQ6crQKIKuAq33J1ysCgJrRoWtj6tJ + lWBAWZWsKJtiHSyxN4xj26CbISlNkDW3EuqGLLV2zqSuQyIQCKlgZ+fXvusNb5S8lZeqIAYR0i6I + qJQUmaAM4SHlltnUDBAhVj455K8e3XrdHR/G5gZmMzCpKtfVzoacQEoxdKARfUQAoEqRCUAaR2kI + UAkBZFnHwB2sAA2mc3CGJLC8+e677/ium15S9ESvbYGsC/EOvztsfSbCZoVVCZpsHmFJO5KSEgEc + cIQh26fvfPPNN/3eH0FbjtO6+tquKYAiMvL63ERrvYoIRiACUEXbLI5s7W4/eETCABolDBz7EBZB + BuEsmVCmeZjlcZbKJCMWsK23yfPciiIDQNHFprR5ZzEDGCWXEkIcc4okzDC2gbGzKGHaPsytgYPl + tqQu50aLAGQIuqqjXJ/uAciMgtXJmxqRgpl0fX5mAIigTXNfzrfe8QG0bS45SnN+T8A555xzzjnn + nHPOOefcBTkYTjZmUjVmxaOypdctBEGsqLODgigIEK09tSAqAY0Ncvn86m/7tutf+eIbrtx8bpvn + cYgbmNqiKExiUME49MXGEAIZpVQAUBAAuSSwtG2r+VF1Dc+cJGDnLhoea+2cc84555xzzjn3rMKA + 0DLKUBTMgI4ZCAaiQ/P+uc7WvdBYlzoiTLSaeL6q0VRgwoy+YDliJhQCoHRhU92/GVS1JqoSERHV + 8NSna2Gcc+7StN+5dT+1+nB89f5u2TOtnXPOOecuMnUKl5kREEIws0swUk1Vo4RSRubAzMU00/jg + 9n2P7N6vG6WU0jQopgR0HcqFZFrDcokcGu6OTC+LNrGShSjtN90i2+81fCjq17kLse5sAawzlVe3 + K7qu6/uFmYXAZsbMpkSd7OYzJ+3ev3rkC2MzFEWMrFmZM62SWbU2oTCA9lsnrHcUtt/EhLLBzIwY + mjEJgXpMbPqqb7neFkwasuUYIzP3fU+Qcxab7CLZ7PdXuRJHK+t3OQMqImkc29iaqZEusXfPI18u + s5GkdvCz/TRrO9QU5nGR1XYnjHUDETMTYkt4zuXXRnRIYK6tIS4tgePqc42JsRpmZ8iEZ8emJ86m + h2TKBLaS25ZT0QvY7JgxjqMxEjTxeO8j91xx7YuY2vq3yFhJfXb0pYENDEChhrzuJQWAWY2ZNJuq + tV1XYIt+2bVMGC3sfvGhz37ws79mcQBlAoEsShlGY0ICJICB2DfdsPG267//9S95y2Q4Gqllo91h + 2czCaKMRwUBgURZTAIUKrRvluK+llNJ0MXPpsfzyvV+iKazUHS6BtO4wZNV8qlIApYADyICMtpm2 + ZfbKl7waSwYr+CDwuJTCfvx2UaiZ0yJSj5R0nZDWSOj3FpNJm9JoRv/zP/6ftre3f/VXfz0G5AwW + UV3t/EWkZoEcLpWpgdZAbbxVauI1MzNzTbbG+lJpvZsHXX+D9ldgveKcUqq319f08C011uVpX85q + Pwf96Voe59x5qW/hwzNbDu/J3QXY32nXi9GqamYiklISkbpufSU75y56+8eH+3s8Zlbz8/0VEVku + l3tD///9+q+JhLHkevTMvOp8KyGUlIkIZgQT4te+5jWv/o5XwWwYhmnXlZLOf/ikviiHD9QVQNM0 + e7u7McZi2sSQxv7v/+RP/sN/8o/379S27TAMRFTPRJ74UL+eNu6ndNf//twv/Iv/5if//pASmbEw + CGkYwT6r1jnn3DdfnahAdvDdVhEFBrOsevsdHyz1E8r08MDpk0RLAVByBlEdaX3ta24oKQVmM1Mz + NoiIEcZxZOZL74roueqrJkRm2jTNOAxMBIBFUENJ1FRLlGDrQ83HzbQ+/Oo755xzzrmLRr3gcnh4 + av/CdP3BL1JfrOxRP6+m+gKw9fhzKYmFihVhUVNBsDoFeH2eZVYz/aC0mtouBE3GDRU1FlJAkdlU + iKCKZEgFBViOoIgaekcK44Pv4FXgNDMso21ABUJoBBQLNcnABCKQgQglWRPrOaqZGQkANVViJsDA + xUpDcTWNuWj9x6MVZlFAoQEQQOrz8fOdZ6H9Sye+s3LOOffsIAQCNxNSYJFweudzt9x67anTDRAZ + uUBoguUYCSGiNxTFrI3JUp9AQc5ubH5us/3bH/8YtrYQ56BJS4ChibQa0jUEQf25jtQ3MXA92iEp + gDFoo4OBFJIhDCCaMpo5rqY3ffp3P3rTzeGh4ZgZwShyKeiYQDym0shq/J8NgMK4pvgaMCpIoAqM + mAksWUI+Ityeffizb3r9DR//NGYR0k6baEiKspTUIcgwQgShPYl4hWyNy+XuRvgq2fb86D3T9sc/ + /hG0DZY9iNDvvu+WN7/iq9szK0VHAlhCzvm8JviSgYuBOJdRBGoZAiOMhsAYgSjZyHpF7GKGnGo2 + 75lM9jqKnI4sdq59eLgqErKNBTGiFCRDi4AoKS01FDVQwixw0cJc2KAgQ0vgqGJUyMCCMWNoZ1+e + zE5N53tEi835j3zwP6KNGAsApOHf3/im6/OyzX3sKPU7pAgE5lhKOt+JcZbGllEfwcoIoAHUeIQ1 + XVguU2l40W2eic3DQUPQo8vd4yltJuKcB1aDhYRp0GJggWYYwByy5iBNgfYlx4Y5xLQYWrIglBRE + 0AKaTR8WRhtBQRRCjDwiNCmnELikBG5RYJaoiSNKk/L7brjxhgcf2aSxMCiG5ZAnAjEEMKywApRH + KghIWWPDpdjIGqU5Y/yVI0deNesQYp3CwcxQgANMESPEAG2gDQAUgoEBExRuuEFRcGtkCgSuZZAM + q9HtkeIWuED0tt//7IdueFXkvLVnc+VsKQhM66mQGljrlRezWntSA89JAYMaGAFQztaaIum3zeyj + 33HDLXf/Aa6YFYYElKwcGARgYsgUGAoUBXhUiIAHg0ZkSoO18chy3F3O6QFKZ+ZH7uvm777zTrRT + pAwB0u6vvfmWF545+5xlv7G3mO8XeJ7n8bIpQGCC6XDwXmP0kiCMoTRNV4a+lzgcO3Yvy73z2btu + /533v/2tR3bOXtP3l2WUZekEqEU3JgYClEmLlRCQMmJAKURMSiWr8jqtXhhIKJC9rU2wACGyZ1o7 + 55xzzjnnnHPOOff0qNOH6vc6n8RbXlzclFSDmkEMwmxKlpRI2tAQWdJSLBcGCQoDpGpAERgRsxih + cEhhjs0jfPwVL3jVy6/59hdf9fKGpmNPvBeFIxtZgiAQmSoKKQUx0myJCSAmY7MCcEQDZUtgMA7V + fHqzHfdsp6osgYj6vmeGljrr6encsL0m2TnnnHPOOeecc+5ZhYEgP/6b//EvX/s6XSyJEQLQtnt7 + w0SIDecEXF9Akxg7CLBhtlWLISMLAcvF7v/z9u/+sbs/iVyKyGpo6Wka4GLmYRjatlXVlFLTNDln + EXmy2x4555xzzjnnnHPuEscGENepbHVKZeGcYv9X938xh+HcwZj1SIue9/gJB4tb7VbLraSgpgow + AbQOCQaTKepgjs/qdE8CZu77BTOHwKWUXEY2NqJsKkfsox97f253m64xDCkpAUqZa9q0nTMwqSA9 + +N/V5qqqiK0UK6aIDFuiy9MXX/WyRmfROiIxAGSKQsaMAlDNgGUDDmda07N+PFAJBq4Bt7TuC2G1 + 94XBrL7/7dTew4l7O8/n+7i7h7pHIqJgMg0zQcuIAMwepx35Re/wTlQJbGCV1qZb7RYnyUaAGgFm + RLiAFGCuK5xRGJnyyb2HRh4bCmRCq8aL7pJhpMSr8HQUAGwgA5mSIQhLG/syjik1s3bQPWmWZ+yh + f/O+f5E3Fv2wF6nRZBLQLyx0IEEM2NmDDHx5uPr133rrra94x1a5YjhpVso0TlgoIY061gRWVmbj + 2u6TkZUAj1X+uhgLPftw/8BIqUCxasF68LbV9Uqsu2sRNtPAsKKRWtvm77zujbF0wQKpArbeh/uh + 28WvlMLMKaUQQim2s7Pz0z/90+M4/sZv/CYzav5H08RxzE9coLX/2xr9Ui+D7hd6Adi/pf7s9TYX + psaHM3OMsSZY76/wruv6vo8xllL2w2ifrrI6IhrHsWma+rrHGL3Az7lnixDCfpf/ug/fD2N2F6CU + IiIhhLpXrDfux6TVFbtfFF3v/HQurnPOPZn6vu+6rn6s1LCZUorHbFRjTgrM5/Of+YVfNFAqmZit + 9tRm1qJYhV9CRJALYKr6D/77/85KYaImhFKSqjK+aZ8jk8kkpUREeRg5yA/9wA/+H//0n+7lrDBV + HYahnnHUyOrzxYG/+uCDH/rwR950042T2KjquFzO5/Mxp2/W8jvnnHNPzAjFzMju/tQnF/24Gg1/ + ahLviGBGzGQwGICXvexl+79kH0L72i6o3sg555xzzjl38SPQ7t7ufDYnoKhaUSKSIBmFWACwYVzu + NZMJEWlJKWvbtqUoKzGTFIgCpUBHDoylChlMRYswwRSqGBPAf+8Vr6S95YnZvOwuMeYurpPJyGCk + ZAAVQtYyCmQ6Pdnvysb8X/7h72FpiI2gEYogBoBSAJMYUP90DER1jp5aKdSElJIygkQy9LvLbjLB + ejaYsIyGmkAXiQnab+928/kqVNs555xz7snDOqZMTYPdBc72v3XjLa/b2z1uq7IiIwYgCmLUq8iz + lkCWRmRD6Da+kvRvf+xOHD2COAe3sNoASg0wsNb4aoMYmLB/5ZiwmszOhAIUwKjG9wIAcqbQFAMm + M7nsslvuuP2um2/a3OONIS/6kQMW2QJKCJxVjUG2qonYf3BbHWUp6l9HfS5oi1Iar51u/8bbbvmh + j34Cm8fitFnmMYbAwHJYzJspMjCf3XPiMuPtjSNHTjZ82+0fQDfHdIIjmz1xtwnkjLH9nrvu/MRr + b8bps0f6OGfVkvnC6isfr6InJcw76oflCA6b8/+yvWtXX/vK337fi47NwRkyYrHzB2/57vGhU1sl + H5k2/WLsmshjUSSkZLXKQNAFsaEoYAqOSMnaeTfs7pCVpm22+7FM2odj99XJxi0fuhPTTYhAB1y2 + icgojKIY+h/4xN0ff92tY3//0X73shhKymTIJQUOpucxu4AMbKyExAog4uBVK9BRCzrJR4/9eWre + /LE7MQlAQr/80JvefGQxHo9ox35KOp/Ffm+hQATMII3YmAPCWJKChJk59oshECRQSiU2GBI0yG5s + f/RDH4QAbUSB5YG6oAqW2Pe7k25akgozUUTfNzCc3nnx9s4LsirpIkMsT7oW47DaokwZqlTqZQ4G + UlILWBKdhG1ffuKdv/thHNlClEdVzBDA9Qh/VaR7wNat2gwAw7jQqlSE9v+t1dR2hjCmIEzecueH + PvP6NzYDumEZOGjJzBCBZYC0vn/rG4EMNdkatb7MuD4ygUKBMPKDZ6+bbn3k5je96Q8/J5NZTkma + CAUblFHAWGVsK6CNhOVymMYWmTCZPHTs+BfKzubW7Ewzvvn296HdQjvH1hFQiwgoME7f9fFPYXfn + rhtv/FY04+6ZJmJMuIAJrLXOcfUzWFkLQw39qMdbWQy9TCc7sf0iN999993YmCEtv/uuj2Ox89Gb + btne2b5qSv0iR8Ks65AVgGkhVlNkQ2wlj5Zh0Nw01CtkMw47iYFUMjfxTIhfCvy6o1uI7fkvu3PO + Oeecc84555xzzrkLYYTCIIIpsiorWIiYAPT9KI00TVNQMooBatCMDg3nhjJJDkfC0Rde9ZLXvOg7 + X371t27Q0YnOw9haQiQm45xzztm4Keajtp0AACAASURBVMRGNVaEAKvj/6U2ySOwWR2dZgMMSl4E + 4dyTy2OtnXPOOeecc845555ViBAFky6HwE3cHdK0QUqpbSOtm9kZ1tOiTUEXkIphSiCT/YdZ3RrA + hLi3xKiICW2rWpj5aey937Zt7VG7n2ldu8A755xzzjnnnHPOPakYEKICMhYrVriMzeIL9/znwhm1 + lRYAYyO9sJETZXA2Njl+9IqWGzKCErMpKYD9pg8KVqgnUrpv0GoTesy2GgIvlwXC2TRrosDEnDkt + td9LD/zJX//hGBfDYBSbwFYsG5kSpDAZs+Xa0sEIsur1BiVIbZJSuzyQFi0gkEEytTaNffeKF1w/ + 0XlAJKrvJEUNo1r1hmOAlZRXLVqeurX0lNDDUakFhcFERGooKkL3P3BPDoNRedxeK/Somxjr7OrD + d1jNziWlulaNhcJ8uhm5JQSD1VDnS9FqFH1/h8otuuPzy2UnqCoRm5kphM8/1VqJhQxam/FkKvee + /GuVJahjk9oZxvNtLx1Kq1Tp1ff1JsfMJY1gSWkogW1a9rCNef/XZ/7sV+74xZ1ubztvayQYInXI + eWtDzy6LGfICx5srm3Lk9S+47fuv/2E+25qGiQSJQWFZTbVwDNBMAEEAWfXi5AJSIML8OOIJBR3S + 7lce+tJoQzZTAhFUH/UBpOC691Y1WjcRE4NkNOPR73zZTRGNwAisBqwCxd3Fj5lzzm3TpJSmk8le + TrmM/9v//r8Mw/Dbv/2BGJAzNK8aXolIKV/zE4aImLlGcpZSaqRx/VlVmfnwPT3W+oLVtVdXaU1F + BVAzrQHUhPL9/NSnaz2bWdM0ZlY3iXpjCOHCQu+cc0+lnPM4jiJSE5eHYWjb9pzduPubE5Gccwih + aZqdnZ3pdCoidfdYg11LKaWUEIIHWjvnLm45567rVLV+vtTDwvWw6iWEHvN062k7gTnw6bM7//aX + f7leqmEOaiMMOSsI+ynXpRSGdSxXXH752267bdxbNrNJKSml1Lat5gtcn+cMXxtKSdqGdjH0ImyE + q6448a4f/uFf+rf/7/6cVyJK6eulUK/mBp/zI1JWAv7lv/5Xb7n1Volhub076bqUkmeuOOece1Kt + 5i3sf9wwg/g3f+s/ZTMmVhiMiMjsSS7xWCdnqyoBBLzyla8spYifdwP4+lfVDU9wTGXn/lw99v7O + Oeecc865i0zNtE4pxbAOOzOAmIG8GGPTNDGgKErPbQyCjKUEkCmWGUWwyGAF9UD+iZd/20wkjGke + GvT9RGRc7G5uzHbP7l03DyXn9MiZpkHTRiz7mrQHqIGVFGAjCDGU8qnh6ih6avefHL166/jxfrmY + Rk5pUOJEIQdOsfm/PvfHiAES0QvaBkUxmXAADCG2BOSxIEg3nQBIQ4md1PObSKuYORsNwt10s6bW + Oeecc849iQhjTtzEBAX0Azfe/B1nzspih1rYCBgLVKF1EF4UICwGi5zFMJsceyBRuupyzI7DGtAE + BvAAUiAopAAECAGmUBBrQ1jVOwK0GvWlQCjgAixW9QbGjQRDGREKIJu4fOuNn/noH3/Lq5o+T5uQ + s4a2LaWUMppBA0AICqk51gSAySAG0VVsMBtQ6ysJ0ynGvb0X40Fsn8ZkbguaTCZaUknjvJtCAwJh + I/3opz6CRQI3SAndFCKIAapdYKQCGLoGRzfe8OmPvP/m265/pI07JyVAFeF8hq8NrETrw06Igg1C + AEENMOuCDUl3cswvuO7VH/oAjm2hEaDAEtr21Z/42O+87Xuv+8rpZm+vg6AUEs0FBrQd7Y5GhOVY + OkEMXLKOADU4tXtmQxAFeyVvt+29Ry973Sc/9tKmRXscGhAFUFCAYtn3k0mHoWC+eeNdt3/gtrfe + 8MiZfPrh0DKSQmF6vuVNTJBCWAYBFWRtCowAw6wJJ4dx2Nj8/N7wtj/6JDY3IFMQUJZv+fznsBh+ + 5+a3vHK7lNMPb2sCYyYQQirQsRQgMqIyhaYUG/p+o+2AshxSZGgCYhxnR+9l/tZpi40ZFAig2AJm + FADEriuwBaWG2xZAItz/8J1vvvnb8rDM4ySiJWiBorAyVkU4haBsYEANBMRJk/rxNOPkiaOv/ugH + 0UZMuwwoVMAMEMEICTBwqInvRQCgXks6p6SMVqcCCeD90Pe6gdP6Swgb07/anF3Z543BTPdiG88M + 6Yicex5Bhpq5Xi9imYFWFcer4kgOOkuY5eWxvZPoTyKVMJkugLbeH7UqkwsgYjDk5WLaTaFAEMy7 + H/vUh7FYQgKKoJuAGCSoe4LChSGTDk2Dze6Nn/nMn77ktdfBYHtNyHae08+NDuWBGytRJga0Icwm + KENRwkkND2+c+O5PfAKbczQBvAkb0MRbPv2Z973j+8Z7HnpuUygttpe9KTYFGSCDCHLB7lCidNK0 + JS1Vxzbioe00bTEJYdmXPZIHuul/9am7MJ9oMSjYJ4c655xzzjnnnHPOOefcUyJnSATJqp0gMdTK + mFM7jTnnPBSiVclCYOrQNkPXlo3nX/6S61/+uuuufuUWn4hpNsEMJaQh51KIjNmgo5BJDKMxIGTC + BjITAFAjLVwvk9W0lCK2yrkGeZ2Iu2jZM6NUx2OtnXPOOeecc845555VCMgFbH3Ow5DmXRjHbGKa + sxDOaUlojxtv8nVYHZvlVXea1YiwEsYB02m8rJ0gK0KryIFrp/6nZxi3lEJETdMAqP1qzax2qn1a + lsc555xzzjnnnHOXDlXdH4LIqiplaPb+6tR/USpkgIHA33DgLnMOV2xdIRTMjMwIQvj/2bvTaNuu + 6j7w/znnWrs559zmPT0JCYFoREwjjLGRAdNJBpl22CF2iB0cu1wh5WLUh/qW+pD6khqjkuF41IdK + VXkk8bCd2K5UPGLHybAxIEAgISEMtgHTG2RaWSDptbc5Zzdrzlkf1jnn3qcnCd2H1T2t39B4uu25 + ++yzz27WXnP+FQQnA5icGTBCSbYuHiWqKkJEPo4DMWIdx3EcvMN2uv3TH5nLjovbAGalIMKSkMSX + PRXMD49VGgNplWdtBEcgIAQsBuMGIsCCprJxrHna37nyuuC1QJB7r5CBjHOruEORwwAcyw4cl8Ro + 4KFAyvx8CACMENahiYK77/+GyWB0pM4jDNihhvLn7S3YQS4b0202EUhCehzHex8v57XaXyFn1nBi + djlD3J2YQFDDxbW+JyJVEMEISvjO2b8ZpJsgkdfLkHcYyJycYJdcWHtxATJyX77QTsgboSFUUSKf + 63ctWNgM8/npk/N7fu/O/3jX4ms27Sygbtv5bgevm1DP53shwCMaTHlv+lOv/tlXPvN19f6WWIVk + EmXUZECsI7majkSgVSa7g0FqZIBdInvQR5OTWtvf9Z0vjUhOyx5e67VGgBPn/TbDIHCDKYgZbmEM + z3/aD101fXaYByLKO2R395yeC+aSKX5JY+amaRaLBREtFovZbHb/6TPHjx//lV/5lbNnz37sY39a + VTwMCiBnVD/U48QYx3HMAcaqGmMchmH9rcVisc7vBFBukl60dUw1M+dU1HzTueu6nCCec8pTSvne + 9OO1qolob29vNpsByJtN27aLxeJxWZiiKI4q70Dm8/lkMqnrGmW//f1xd3cnoo2NDTMDMI5jCCFn + WotI3k+O4ygiJT68KIpLVQjBzHIQcowx7wOBErMBAE4QFhe++eabv/XtbyEPTbvDmRn52MHM+aos + H5ST6T/5x+/SMUlgcnM9agPo8/76hQJx3/dMgZmJGUSnT5569y//D7/5//4uEeUrkZxpna9H7Mgd + qEHMt370tq/eddcLn3ctEVVNs3/2XKirMuRYFEVRPErWyRDssNyfiKjr+9vuuN0AIhDIHUT0GF8B + N1V19dVX50G/kr5cFEVRFEVRFEVxEcxNiFU1hgizsetj28KX4WixqdANyNFwSQEVVqQBIOztQupf + fv6LZyNqt7bhcbF3jaJ1NIY6LSpCl2wK4NT+cYHvphHLwLX53jgJgAtWEynX7Q40eR1lf9TQoYnN + FXU9njljlhqgIew7wBiFxlj9yrXPHtvJjmJo2j3m3/zC5zHfw3QGM4oVyAMBSiCkYYht4w4w2ECE + bm5NzQgEBQTuZbi9KIqiKIpHlwMcQoCGUbG3d+XJ+54xdMboe0QIwIAyACcD53KASYv5AhOZ7nL7 + tUBv+NAtqCY6aSRXBpABCkBAmstfcv4ucqr0+T2oVtUsQuarKq0EjSA1VBWQI283t+F6cnPrWTLM + z+1W4HFQYldHU1OnDsAJTsiFS/nxxdalUCA4PKcPo5tDCFdhcdsbbrrhL79A0xkcTHUdI9T70apG + gJagXWybqoUazEEKGChP1jfU0YmpAo7bW2790Bdf8KNXxEnyHeKLr5BYFhZ5Lg2wusZODwroLtv4 + ijQ3vP+9OHECsxoEuEJrmGAye9stt/3xK97Q1ZOtvTPb3mO0VgBBnzwKLKGOsAQfbTDAUVVoGVJh + p8d9QvddfuWrPnwLNrbSxrZoIIIaTC0CGIdm2jhATQNTXL7x5j/5g2+++s3HqdrvhymDAGI+erI1 + clFDftWUwQZl6lS7rek3t4+96cO34PgEbYvUoBKggQ3ws2+78/Y/evmN11aXVzunr5hUw95+K/n1 + QKxD3ycGOPUAT0K73y+mwnXdJCWF3Av+CtKbPvlJtLUxkWA05LnzOiozQ6hHqkJdwdAndItb3/Sm + Z5881dKiraE9YiVpdFXjZbGhg4x9OT0mlzGm+TCpm/ua+hvHNl663WJzs0Mg2MEcfUIuoTFAzq+b + 81WItTJWj7rcjpYbFK169y+3LgMMAQh4x0c+8tEfujGEOEvR+357GlM35hBrgmFVMLJs35b/JTjU + 1rUkBB1hjtrtxLj4b699+ds/8UkIpNoEQRxOieC8KggCITYV3IfBqyYmmhKqPsQqTBW1A4wUVNkF + DnflIAMwgKs4qeL+bjtDqM7tnduqjrbdOMHAWNVy8vKLBMBGOEMYu0TffdrlP3bLh7E5Q9OgIneQ + MjZqhMVb33szTp65461vffoCG+N4Ijh6CwHnBmwLGKhDnRRpGFQHJlSCNiAG2dtPtHXZfZFfe+st + aGdoZ+yhTL8tiqIoiqIoiqIoiqIoiqJ4bJCjERBBc+dAQiLPBZnkSiYN1xU3aWHa2Wwyu2rz6pe9 + 6Eef/8zrrj7+LJsH26tq3gredl2CJCIKsZIA1V5HdfIQcz0KA/meUx7aF4KRa863zgP0Slg3IiyK + S0yucX7itB8psdZFURRFURRFURRFURRPKsSoKyyqZE7EIF5GksAPZyUtJyOvp2I/YnloOP9yLnJw + MnJmVzIEt/0zZ6AGN86DuI/fOJeIrBOkQggA+r6/uHZ+RVEURVEURVEURVEUR0LO5EwczN3gyuPJ + 4b4z4/2oTQxwVmIsmz1c1EgFAWBK4bKtK8iZzGDMAnJzciOIG3swAJTLwnGkIaCiuNByUPDQhjSm + vqoqIyOGRE42jjr4RO/r7rnj87fNw8IIbUNqvuiH2EbPg4W0DFHWB/srBhAYCHBjYuYBBHYIauzH + H37hj52YXC3z6E4gxSq/mQGCaO4e57z6+vqDS4HnBijnp0rnjC4AROLu9539rsoA5PYWF3q4mC5y + cG47Q7zqLQ8AZLQ53SQVGDucmZ6a03ZtFTZDvmzRQomPzY7n8GEiUgIuajicHezsriDAGCxn5icH + 3wVOMAAPxskpv3bmeMhY0+LSwFADQHbQocbZgBA4Ydztdqpt3vWds2n33vE7//lD/9+Xd75QXRl2 + TnezSTsfF4l8Wkk3jCCOEhZ9krF6x5t+7kee/spmMfFkDFNyIzOoOiSxO5OzsJAzACWAbNWdqkzT + /95GDGPc+/apryUyMJsZM5k5VkkY7uzE4gkwZlZQCO5OPFJLG6+57oZ23GREI7CDjIiIAQKhZFpf + 6tbJmu6uqqp67NjWuXNntraO/V//9//57v/xf/rkJz8FIAQ2hen4MI9TVdUwDCGEHKvctm3XdQBU + lYjMLMaYo+xKZuf3I6+9nAJoZvmOc44Vzx+klPIt6fUZ2mPP3WezWV6SlBIzLxaL/PHjsjxFURxJ + 13VN00wmk5zHPI5jDrcuLkJKKR/+8u5aRFJKVVW5e0qJiNY77Rjj472wRVEUj6K836uqKu/uhmFo + miZfLxQAVFVdf/t3f0fzuDSJqYLILId/k6qux/vqqm5F3vmzPwe1uqr6vhORGGUYhsB/O0cTEQkh + dP18OpstuoGDbG3MqslzXvHyH/3kpz4tIn3f49BlyPeU+zOvP+VApton/Pvf+e1/+c//eds0adHV + da1lAKgoiqJ4DKn7t//m7m/dfTcxzJb3PR+D4o6c3ECrtIxrrrmmCjFKIH3QW9bFgzhUirS8A7K8 + D0IP/JmSFF4URVEURVEUTwVC3Pd9YAED5rFp4TAdTaICamPVMroe7hhGLBTD8M9e8oM8X2xECWYv + gAHuY6JdTGJLapHgSXPc4VZsunE+m8zOzfda4oo8mWOOK9v63NArLS/lOE98NTAwCTSMui1g5m7s + doHLZvViP8XAQ7JKMCgiu837yyqe75ydMQUaeuB/f/ZVHmUxJorhX3zu86imqGoERkBoxbAwDgLx + 0UmkqRgJqDAS5mZ14Igyp7MoiqIoikeVORRpxNn577/hppfbAjoPUYK6KRvZsmGUMzvlWqqxRy2A + 4ZvD4sbPfR4nttOYBDm+2oAIMCwBWiE4YwDAXIHXIdaU67DW8c8EAAEcHLlia5jPw3S2jP9dOKQF + z15/6+2fecWrr21ntNgXVyFG4EVvkZdVjWCIgRyS63GW97LPm21r4FhPNOllLnHRI1gfUClIAWJY + qmsZk5EYETdVDQP6BeqqS4u6bgie5p2EhggEUVQyMcy7UTgtFgmoKtBRbgtQLsZ0yHJ9MIhysrWN + FhucqsNfb81uuONjqKeoW/SADagCRkGskRRt/ZOfvRO7O7e+4rXP29k95vOUxlCFcUjTqiY20zE5 + KLJAgvlel7Zm4exeShuzeze2Xv2JO7B9DPVUcnI3QSIkMNIuAgijIqTkdV0h1tje3neo07QmG90Z + o6nQ0QbtHRCzNqmTAVCAnRPzbtt+tWlu/PDNuOoYIoMwVOICQJjbeHlE6n7qi7dhZ++2177Fv3vy + Cp+EYWCkIKxJHYiTZph3FTM8TUPoUpIQTycN7bF7NuNNn/4gjk0BZg4JgGCu/USqSgTAQhEkUpqT + OUbH/tntne9ehQX1aQ5MAneDNhIDi4+qhCQGQHz13AlCFBDnfepnJ97+vvdgs/UquqPJ2+GqdkYo + lzpajn8XZgApvwVouX7WWxDBHGyr9WaEuEy/zr+dII7NbXD/ujtu/ewb32L3p8ZomHfqiAxebV0H + +e6+TBR3gtF6uoeBrFdMW0l92lR96Un6k1e/9m1/+UmuoqCGg6BCBosAK5vDGebKVc0pwSQShYlM + 4dDe6ob3hyRVBUdadCE0cJCjYgCKSbVb6X17+20Ie0OaHHX7yfXOuSCRDATy6ITBVQRBZX/z+Cs+ + /AFcdQxSQ8gZbiASDII6op5gc/qaP7vjlutffW1vk7GrvRsGbLf1uBg5l+vAa6/BNXO/22NjNtnb + 67bClX891689a+uHtjYxMCxAQSVOoSiKoiiKoiiKoiiKoiiK4jHBztwFMyNOHKAB6oAgRlDipprQ + XvRF/eyNZ//wS1/2oue8+GmTq2fpWNBo94OEPbA7KXVhJrnTFsxU1QkUg0LVhkgMHw1iVIGQOzIx + ENVBMDInUmIlzl3aohn7pdN4sCiegMp9mKIoiqIoiqIoiqIoiiebEND1ddVGno+LThhj8qaOPp7X + rtpX86pzr/yLYwSsfr2u4EmvevoJ9AvYLHCEDmAC2cMnpjx6iMjdmTl3pG2apmRaF0VRFEVRFEVR + FEXxGMiZZ8zs7gCUxm+d+vrAC8DE4OBV4fpqpOIoqWdOcCBQ4BSOTY+bmbszGApEByVnOAIpMZAA + P9rDF8UjxcwsGMaRBE7WjV2IgWv+8B3vO6enxsZVEckjc69QVZO8LVqOdGeHrTdNB5ATmxkeDMIg + G7sqYlCYoUVdeXv9C19ZpxlpWD4KOXIqMAIAdl4+oK8zgC9N5AwnsBjUCXAw86jj2b0zxsvuIRf1 + sKBDncd9Gcjqs3bDndzd4WAyN37KhK2uVsbBKs3dRsiZk8wmm+xEzGYJBCaYHW1/y87I69bBBFgA + ce+LncXZpyGRsVPuTAIARrlXUHEJM8AYyxs3AAAmZ4CSefLUHmtODd/pm90v3vOXv3/zf9oJZ8Jx + PbWzc9n2Rr+Yj8mbFqMtEIgkDEYw2ZhufvFLn31me3WYXMP1DMNEUCWAqhCN0jhEpygBiZygxEbu + pKBEYHYuZxAPx5nIkvV3n/rG6cV9iA4wkRmBCOTnH+ZgBJhBzWNdWZdCkqtPPOu6a14S5rUj5MMg + EYgEKIHiTwnM3HXdxsZGzhvu+75P4/Hjx0+dOnPs2LFf+7Vfe+c733nXXV9PyQAIS7KH7KO2vOJQ + nc1me3t7i8Uif72qqqqqVDWnLOfbpo9X3PKlwd1FJP+rqsyc48lTSmaWE6/HcRSRx3E9q2oOas3/ + AiiZ1kXxpCAiTdOM45hjR5m5ruv1p8VRrfeBRJRH50II+Yi5/lZWDo5FUVzaiKiqqr7vq6rKH5dM + 68OM8KUvfekTn/iEA0Gq0RQAM5sqEYHIzUAkIjqmfujf+c6fv/yKyyLYdHmOna+0Lu6v+wXHn5QS + M1dVlcaRiMwscBCid7/73Xf+43flAxkzq2q+vnv4x7/w8JanzjrwX/7rH/6v//SfhmZSgcpxsCiK + onj05IMk5/uPh77+yb/4cwWCiJljVe7x2CzS8ngKPPe5z00pNeFBLrovPEYXRVEURVEURVEUD6qu + agBICjWAve+5qXgxj4GQEnpHSu+89geuYN5K3uztPysELIZmFLUxGQwIAqFYm4+aDGnC9WiqSOPo + gaoz870IHtwmXEeywcf9RU980K0gX8DlcGtVN0AVgDFji7C71wfCfLQEtEQsrgkBaEA1IZnr7rxy + zBihom70qMOvPuc5+9OtnRhPj91vf+0ujIGFOUQko9BisUA9hZsZU6C4SrAriqIoiqJ4VDEI585h + t7v2zLkNG4nQDQogEAF+fqsognMMNk/YJdq/6nLMIiZ1EB4TYoADhiD5Z52xiulFTvBdj+b7Kuj3 + /IKvVdA1V02rKUkIYGBGLkRSY2P7G7PZicW5KQLTOCQVRlsFG1Mua8xz7BnrlFzDslVVDtM2AE60 + 1/c1qiDpmNTYP1fPNtIihgaAowIIIXBCEAQdIQI0rWkX62aEVuDQRDAvOg0iIYbkHKqwu72RArB/ + n42QI65/Wi0sMwzmEPIIMEu/5/hmPb3h/R/A1qZPtmi5fio4EAEHKgFPIQEVbrzz9ptf9/qruzae + un/LZLONqUvJRwFEaFCHjeSY1dU9+8Pi+Oa3mo0fv/1j2N4eqsoT6gqIQL7vT4kDAWk+9LHaDG2t + BuEIjgszRQrqyYAgxIykh+uhvhcDGQNsMEJiONiI9mL99a2tG2+/FU+7QusqmQapB3MGCWCEAWEk + mmxuUhVvuPn9t77t7cPZnY39/W0Y9/OavIqeuk4IvaWQz+Gr+Deq/RVP+w43N97xQWxEa1pGq7bc + VCbSiieYgiVKVNcqROydw27//rf+xA/2iziOFKWSKnWLhqjXkXUMEMDJ4effnVLzkaCzy++W8Pzt + y7SeOaSyVcWtrLZzhyyDMGy9lWIVNZ1/lrD8NQHnX9XVbxvWGxgBAQgQQAxb7ecrf/GknS6sSdRG + TwlGEMsXN+y0KkVbLTMDtiwvcgOqCqNqYITk1yScve8M7j0Vr4qIDMnlJwEeDrZXgkSGIwSoExPp + AGHUgdPgTdUM0IYotDWcx0WKbUjwLnUV200ffO9fveq1G2FT9s7lS6xHyplA+UYbr1Lh82d19B21 + ndh8fXPreScuH+omkjv5XMdWKiQgAgF9QjVtif0Nd378oz/x5u/e+53LvNoI2N1bXFZN07Af4DVE + rXeoGdom3LPX++zyr/Z++qor/95t78PGBmi2XJXkj1ezu6IoiqIoiqIoiqIoiqIoiqeaqqpc3SVS + IHXrhoF6qbn1Bde0+cKrXvyq6254/tNeVA2NDPWmbmERK2lGDN3QmWioxMnGsSMSIYGRmYEpBCES + VyVPgDHYKOWxZwLgBoAcvLyzkUfXS0FIcalZV10drr0ioseqEuvBlVjroiiKoiiKoiiKoiiKJxce + hqGaTEVip10bo6YxBh76MfIDB1WVQHA5YvedHDuT51IrG1ZlnzC42v2nT6KpAIID7qDHbY7vMAy5 + IWNu9ZuTrR+ztkdFURRFURRFURRFUTyVEcSNkKcBCZT9a9+5aww9OwwMMB2UuF/snyBixM3pFpbZ + CeKWew0sH5aWPZmPUkBeFA/moYI5RASAqsYQVBMzE9GX//oLf/HFT/AJ4xDGfhgHNNFCQA/PecAA + 2OEEcuTBQzocve7BIbkNiru7wQjBIRZf+qLrr9y8xncCm4DgZCBbBlu7wxmUM3/Pbz5Bhks0g5mZ + 1dJyBwAaUz/v5yP3JHyoN80Dn/uhjFXkAGxyZrfVLonJ+PAvkWPaTBgMZ9ChJhtPJezQ8wbRGW5M + YVJPACYiUwMhMlK6qGb35mAQkRm7k9J4eu8kJgbklkDrl9MMfGluzUVGlucLO4FBhgBnuAAwKFdh + tzuTYn/LJ9//kb98X7gsWdoZ535iUo17+zVxE8jVd5JONjFfYOxwotla7J/93Nnbv/btv/iR51// + ihfc8Kz4wi25aneRyLwNVRCuwD4YUa0EiBubUQJ5MM6drR7vlfKERkRq6Qtf+UzCwnNnMM63xgAs + k63T+jaZA2AzMzOCtNX0+he/csobrLVRUFJBD+QeYUyeO3OV3qeXspypube317ZtPyyapjk22Tp3 + +sz29mbXDRsbG7/3e7/3j/7RNrGlYQAAIABJREFUL3zxi18BoA+daR1CGMexqqphGPb29gAQkYiY + 2TAM+Qdy3HJd133fP0ZP71LEzDkEbr0mzYyZU0pVVY3jCICI2rZdJ4s/9nLedv44l6Y0TVOSC4vi + SUFVzSzGmCe65C8+IIC5eORUNYSQ99gxxryXznvyqqpOnz7dti0RDcNQ13Xenz/ei1wURfFoyfu6 + PH8SQB7CfZhLjKcUM/sPv/M7yQ2AqrKwqdvqjNrNAHAV3RxA29Tvete7xn6QEGFexTimxEwhBD/i + 6jx//PDg2l9VmbmK1d7+XjOZJdPd3d3J9tZNN930nOc85+tf/3qMMZ8zhBBSSkd+wu4Sgo7p5KnT + 73nPe3727T89bSdd11F4Kg75FkVRFI8XIrr11luJoG4AgYgpqI+P9t/NR/Z1IckznvEMZlbVchTM + Htn9zfMmorCfd9+5KIqiKIqiKIqnmpQSOSQEiIAFw0hNg26OtMDpvf/5JT+86Wg7fQWodvfUQ5Ow + cVufXiy2YpjEMPRdbdz7uG9jG4iTm3cMCOBQIogj1KIm3x37GXNVN0PX1ZZvoZoBTma0DJobHJMm + ptHOqk6ZCCSwpm5HTaLaJRNGEK5EhmE0IDCZ+6SOY0rzzqdtTKqbhtnu3pUxJJZ/edWzh0lzxvGv + v/gFTGqooqng+2hrggs4agIgUj2+L0RRFEVRFJe80XqJ8SOveeUPndlt1AbiBJvN2jRfcK6XIhhb + rjtgmCXsgE5dffkrPvQniATWrhti0zigYAUUoUIAQRmOHBV9AVpm9GIZT2s5mhrEIIDEYTtjt1E1 + 8z6ZymwyJcHbP37nl1/0MnQLZTQVxg465PIkk1zolU/eKGdYw8mU2AiS79oTnHSrmvTd/jBYvRj/ + 4+tf//Mf/XiYXQkGSA2jYkzJK54QcpivJyfEyTAuBEAEzEZPVROQl98FsXnNRz5w6w/+0Autelod + vJtfxKuwTuqFKxCAakz9fl1/94pn4NjTwZtk0mNI5IFrVzQMG0eOETbAAIp42vE3/dkd6Mc/edtP + bn7jW88axysrar2eD2ASSvM6wBW7ge85fvlXj132D2+7A3WDeloJIAClHkagSJEQEtwgodruRxCh + EWAUKFLqjBQMCUiQIXlDJI+8yRaBDtUwkeeg53C6bl/58TsxbUG1UK0BA9BwEoAQ0qChksg1qSIQ + ruIbb38/dubv/7s/fcXpc5czHUvj0O/PAkAQRt8jAIsYTx4//rma//uPfQTTCeqKIUNyCdCEJoDU + 4XAbSFpxkEuyIZi97zWvec637zkhce6IRAztgVnbhHEBwJOuY7nhzKt0a4f3s/Zztb7h9g8CUVBj + NLAABoaDsYxWhyzjq1lgcANUCFj2ZwMhRTAghICE/L4InN9NSeBwggaANcABJIR2ExHvvPU9t7zu + jc3+uSsJzfnTPXKt5fJODYEcogxAaDkZRRwgzBPiFCwY+uHZ9fFPveEnf+Q9f4xnPQuzWsEOhoAA + WSbWm/oArzQNMYA8iDAMUIRI++No4uBgZuYU2zD0WjUyDQ3299Fi91i7e//pjaThKOXPBLAHeAIf + 7FUYBmMo91vHP3Pi+Nvf9wHwrFLSOHRQlskIkA0MBrFIIg6oGpyoXnfbB+H4Dz/xhqsWe08PVbO7 + 2AQZzCWNihiCadoN1T1XbX1rc+unb/kQ2hptAw6oWAnCKaVFCJOSqlAURVEURVEURVEURVEURfFo + M7Y97DmDE6QLUZvLsL0VL9/w7df82I8/7+rnP33rmbrPtk+TMJMQ5ou+qWVnOOtM7awlosViX91i + rM0scOTAntQMPjqTAOxERs5ODMOq/IRoXdFgAMQhbuyw0q+puHQ9ceJ1yg2YoiiKoiiKoiiKoiiK + J5mqaqD7J7vumtio9RJlGLSKlWsecnUcGld1IhxxJGqdiWJkRgC5ubETuUf3y1tBv4u0AQAhPjDv + 4zFUVZWqisjhzr/uTlQ66BRFURRFURRFURRF8ahTVWIHwIFM9L4z3zXWnGjoy2rzQ1Pf/EH7QDwk + dwSSYFUTZuxwdiJoMnY2MAADEcHpCdpJmJb5uIfkrzgf6pi87ja2bBCQv3jeL54Xt3nxSeGHpyce + POLywQ//CTv886tRsmUwsxPo0AIsv0n2mMxwtIOFW4U9izE5wZmN8zITgQ1HHQxc8/xcls+dyaEj + AodIVQg86AKN3rf/nZvv/G9a783H06OnGBEZY3JnMLOC2M0AI3McvAt8nXUNkIPcQQY3iXU39hIw + 4VmV6te//KbQ1zxGYsqdGnz1ors73EHGYDv0DG3dOuRJzwAGma/SuwnOIFXARcmVx4XNh7SwAJEj + bHTkOG/7AdZvMXeQc7CqCRMiAplfTGLzpYEZZn64pTszpJZWXBiirkSAsOnR9rpG4GWGMZjZAIUq + jzuLMz4FsHw5yMRzvDzlxijFk0/eMPzgiJN3X8bIhxvO32Y3kJuTg0FwsiTmnCwMY7X4q29/5uZP + /PE39/7KN/v7u7OhRRt479wwqTmw6KjG2NrCqT3UNSoJqR+cnVvMdfHhz7/nM3/15y9/9o+/5iVv + vGzzGVWajUOiEU3cMCIDO2BQwEDODjah733EuPgj7xOTUT645+PGwSkHWXDK3YIMQD7IgszFBu7+ + 6ltf8Dg6q8GDk9oy1loPnV1kHNnVWKXx5ormWT/8A6/S+6iyMLDDPW8bTkzgB54oFpeivu9FpGma + cRwnk8kwDPC+aZocdE0kKdW/8Ru/8Yu/+It33fUNEUr64O/IlBIRjeOYM4xztlmON8tZnvluqbv3 + fb8OZi4ugpnlu8w50zqv8JwnlxPEc6T045hpDSBnWucAV3evqqrrusNZ10VRPJGJiIhg9V4uvh85 + pjrvsXOSa062zlHWx44dG8cx/0w5MhZFcckLIbi7qq6DkMvkycxAe93it3/3dx1gpmQaJQJqCqLc + nBkAbBjh3tTx+h952YtfdJ24pWFoqlptdHdmGcfcwvhIg9APfn3HMYhIPofP1xqT2TSlsQnyzn/w + jl/5V7+axjEENnsExy8/uOm0/mMsoikBEKZ/8+9+/Rd+/ucXwygxWhmCKIqiKB5l+WCUwypU9bOf + /aw7XB3kcPaLvnt9VGQEgjsTThzfbttW++Ex+tOXoifoTJSiKIqiKIqiKL6Xw9dgdMEXV1+x837o + vKna+WcsMGCOoccwggjm2Dv3ruuvP7Z7ZmvofyBUvrNo3GZUqY8OQwjn+r6p42ZTDd1gSJuhWaSO + GQ4MyaNgVACIhOQwUyF0/SiRN2IA817XRaI8b9iXUzp5OQkPYLK9bqwkTFmIKGli4nm3MKCpqmEY + mIKqqiYAFYfR0qRuFn2nwLGmHcZxkexYHbkfoRpjVY2Dmh5r2//tBS84F2Q+af/tn/8Zpi3MiIAQ + IzOIl5N7/WDVPHANP+Cq98KLqQt+lx7iVSmKoiiK4lLjeGB9HCHPG1uffpFrowP2z13ed7NhBMGd + 6iru7y3qC+9Rk5kzEaXZ7C9h123OUNUANU11+DTDV+d3DqNc0eJ83imH5yDr5fmIAYJDv08YzJnR + xNAjhToosACauuJJfSrK0FTQcVhgWkUdUs66JbeDwoMH3hY4VMzkSN2+wGLAcce153awuw/ZQb0J + cjVjClUQ8fw0ACYwOk3T2MIVqggSEAY1AotAOMI71JVffkU4szPunT5STO/yZG9dcrWsfbMRPLSz + 7260P/PeD2C6CWUQuWmQMMKisANcifnAwnB4rBNTPFFjMb7tve/HovvQG9/4N7tnGiOvNsexr9G0 + jezt7Z1rp6+//ePXz2bY3uxDFEUAVDsO4rBVcSX3boFiclQRcEABCmDRy47tpvkwHzcFwuxkfNTW + 9stiPQBgYC5ypp3etb39/K1tn84IDMUISABBc955III5UYCzDSNvzDBpMJ2++X3vQfI/uuH1V3ja + xEbf7bMY1NrL2nHw77DcdNuHr9+cYnvWSxWN2EMMGB1VgCvICcwkrCmxBDHAR3T7Tzt75loi77pZ + VS9onHfpWF0P80XVyKLTmpYFnuyrcO78spGdtvHu41fisuNopylZYIYnMGNdvLqqn5HzaseQQzEc + AIyXt0fi8h2yepMI2wNveDmMIAFmTCJ0bOsNN7//06//CT19SucL51wtu/rjF5TZPvBSIqFhpBGa + UBOPO2eemdJtb3nbDZ/+c7SViAwHdawQMGBmRgKpArnBDU4gQoCNqWmiwc1VRAicklWVwLEY+kk9 + QdPtEuZjf4VUrudHcH/vzWf53Ne7FHJPxHtS311P3/7Rj2J7G8Kg/CMCQE25CXAb+/3YtAB7qCgQ + qgjVX7rjdszn2Nu74/Vv3Bo7Fh3S6BTrpt3fne9M6ptuv/36SYPjWxpIpFaEbkBdAbAQ4pEWviiK + oiiKoiiKoiiKoiiKoljLfc7yaHke8V32MMSqPyRZvvtDxgALOHiQVLW2+fSta6675iU/+Owfvub4 + c33BkiqcDBFRPEBZQcJ1b2NsI4DFYt+dQgiB2cyEQkoJakQkFAhCYIASDlqure5i0XoJ8wKzLzvp + CaBHTlwpiieNJ0iwdYm1LoqiKIqiKIqiKIqieLJxQGl/Y7Kj3fa856RAUFumnazjeczz9OujpQ6s + M60J7gRlt2UcDgtBxrS1OAOfg10JvVsgieDHq2oy9/wFkDOtUdoyFkVRPIZUlZlzokaMy6oPM3P3 + vH/OIRBlz1wURVEURXFpW53yPTFmwTyGDJ6fOAt6jKjsvvvvYXInc8JIMDZCWhWZH218hhxMGObp + cpptxm0kIcFogwcjJ/HoYAcnUQDihseuFfQjQs7sHFiGtBARIHRdN21mSQdQjl0WwIgSL5OUg5I4 + GKSAAbrKil62Y+BD+dMEA+xI2burWFHkZtrmxs7kefwsAGzIqRQOgJCckJSapukW+5GFwUTsqiTE + q/ZoWE7BNKMRADw+itnKqzmUZBUAk4GAKqFSYg9AAARgh4GMADZ2HERkPdS2cWgVWhVksHF0VYIH + DhRsNE48CbNxMTCFcRhtNp60e/7kM3/41+NnUnsuSCLADAOAACOQUQAz2IGRDUhKq8jeSvoOcEza + ypJr6oIETQ6XOjQ2uA7x7974jmnamOqGJfHKFDnE3Tg3SCEC+frttF5yfsAXnpwoZ35TAqAktIw/ + BieqUMF45HGsu3tP3eMBIQSH2UE/i4fbt+QJxPmdo/lDz4m6BkIQyCgYmiu2nzHsDaxDFYOqsj/p + V+kj54BR7nkYmGAwJ8tdfMjo2GxbNGJkCeKkQ7qIABhDDElTFLJBiaPbqGKn907qFTk918UADrbc + nkvGzJOMgogoQAXkLupuNJoTODicTZlYJBLCaAo1V2vqetDUac81WUgD9lK92LNTd3z6Q3/6hY+e + Hu73zTSE3iNUoMnqBgpTHym4E/o5pgyMIE9GQEDnxgHY4FN+8ua7/8uf3vvRG170Ezdc96Ztusq7 + qjMLQZS9G7umrscR3lnbzmA6jiOJrPOV83sBwMF+ddnBKt91suVXnrRyarWBZZk2npbJ1M4CMeK5 + 9lyLc5JBG28B7WJ/191f3B1PatUlHpjYB6+YlZf5HEYQT/kA5YQBAzEqrer96Ztf9ffl7GbEplCo + fDDWfPZijgsOZ8WlSSQCUFUi0uTC0d0BNLHSYXRPk6aq42W//m//zS/90i996+57RUjVc5Ry/hdg + InJXP1RzkGPqspzliUPxqCW58/t0eFWvP16v8ydODG2ObgWQ87afOAtWFMX3VN6wf1secMjLO8b1 + Fx/waVEUxaVtfb66/sDdn3IZGOwMcidLyhLyBbgS/fpv/laPPC7qIIxjl9teO5yrYEMiWo71+zi+ + +5+8C5bMnYOMmgAXEVVnzjNqv6/DympMwC0lBCHAoPnEgAgV5Jf/u1/8P/7VrxpgyQCYmUTWPBq5 + bMS8/vBg0H+5YOsgGkWQYEnV/Kt3fe22j935uh97dZ8S4FUQcrg7OVTVCTHGZAdnJnkk+XBDiqIo + iqJ4eESuKUWpOIiqmpkZKMi93/3u1776NQbUlzdBzY7WEP9iF8jgEGZ3JccLfuD5/WJRxwjNd0Ae + i0V4IqNHNKHk4MbFhecDFz5COWcoiqIoiqIoiicgBxRmAINzc4Ec+WaAOggIBIJp30kdAcAddJBx + aA4hNh0ZwDBAFf2IrvtfrnvhBjzq+MIx1aCgkG5fCAIMPuS/7DpOGD6OACoGOxapy8skAAhqyDWm + ecZD/jgSTA0wKGoG4Obrm3zLueLra5VAyNeY7rmpgTHBwJoGYcDSuoZVPTFhGLq8kGO/cMKMYf2Y + H22eBhEYxtCPJ5xPQNLO4l9f++JzxPeJ/T9//RXEBTZqIALtakjWAIzjyDHqag2TgnMVhRkYcMsB + gKveuqtXJf9/FWMp+To19+CVMomsKIqiKC41hxKmDWYgdyLk4irhtIzK1QgxoBo6nDv5B6991Us5 + 7fnYmE7ayWKx1wi5uwFMoGXWscHZAEh70uM77/wYJlOEGQzg5DYy1wIwoIR8ihZg8oDFwmrhHHJe + 0DWvv6WAMAkccAUlGIMZYCcM/dmN+l6V4/uYEHQYhcTdl5HQq0zm9XgyOQQmq0/zrXcigkMTmk6v + xf6HXvPqmz77ZRjAwrzM8s75vEjL5WwlEAAiSG6fbpXw8u42GBwAqI1qfcgr6mivl1k+bXbSyCnp + rE77On5rY+OVt38MG5toggOLLk2aGqYVs63yr5lCXmlEHACQoBKciBjTTZ/6FCzBAcrPSZdhDCyo + KsQIkQDkjlkSKgBxeeJsAE+oNUAIlEPHGSCgrl/1wQ/92atf8Vw9g97YusqZjvR8Hb2jqUCKpAiE + xebkL7aP/8ydH0e9SYx8MTGVvO5ZYUJAWG1HzDyZLJ9FU+HKFsl+6oufhRqgyPVQvixCuU4EdUAU + SBCIMzS/zAQALvAcWm4OGykA2uHsfb9/4w2vDDwMYwtJ44hgVYVx7APB+pxpzQ5ofiuYkTMQATPX + sZF/+OHb0W55ECODG9RADHBef6sNdP0Pry421ifl6xtKvFr1619Z9VVbfT1/7goRmFcUGBt8V9Nu + e9oUJK8BEC9klY0tdpCr/aCvGjuQIAB5aoliv3vZHNg9hZZQTYUqF1JgBBSIQJAwAASWXOtDBnIQ + cwgABLTa9kxCLplFW8/QzYGgqpO68vniiO8XcwzMBPBgVgVoAmBdE++eHvvRj96BpkEdwZj3iyq0 + EU6AcC4LRWym+YkuK9FjgDDCJtoJto695gufg+lqDgwDgBOEEQNCRBRhzt+YLNvLxXWFb1EURVEU + RVEURVEURVEUjwt3J0BEiMj9aIPzxRMAAey5hR2BHGIMBAUoSq/GsFmLNO8x2KYcD/vTKbaufeYL + X/6SVz/3qhfGsUbPYa+SJOyg1Z2m5W0yIiG25AAix+U3NDd7cgHlGyQOW/97uIugI5eH8MEjLnvE + HfRMfEL12yyK71OOb3CAmYdhIIJ7bnn0eBbtlFjroiiKoiiKoiiKoiiKJxlX0KR90wff+4mXvXRW + S7NIADuLGUBgOxhWXQaQ+JGTk7Aeqz0UdD0knU2bjf39D73pjTf9+VfFUVEYMcYyzbcoiuIpKWdX + A3D3nLSR861TSu6eUlpnXQM4HH1dFEVRFEVRFJcEdjJxVtZE4263ozp6UABGZgwj5Klz5HwR/XwJ + CBSaMAlckSN5cjizwwUAecgV+IBFA3JS49/ik/u+jWNirkQEQmYWJ2GQhVdJXY3IwSAj9AwlF/fK + EJ0ASoCCBuSBqZzZ7LQc4yIDHJSWZeePOFnTCJZL8SmRGx1kIbMhwHPrtpx8rQRzwuhIPB/Dgpup + DQnKEmIUsYNQW85h0oA+FmXwuWLfxVftPehQpw8jBxlIOWc/k60y+BgwowcfG+TlmCET0C1GZ6cg + EkkJah6Jg8TFXtfWdTOJp+3eBZ277bPvv+OuD2Cjd+rXj6OrzZtg4iDnZReHQ393GLRuxMyHboDB + HYMnDpTURespHb96+1nX/8BrN/ZPzM8tttrLOl92RniwfOVLcBIz+7pHHQxh2XGGwMpOzBQSpxHd + Xjqnlo68PyGQwQlO8DzYu4rEJgOcKzSRKxFhXWY5P+VibwDkd4sfbLfsIICIa6nEOLk7ww0P8X56 + OAZ1zy1omN1ASJoW/Z4DTuDlvHw++PHiycMIIURNg46DGQWuRYJz7jnETCw0uo1D7/DgIiISJJzb + PxeaGKa0o2eoTWfTyS9941O3fPK9Z4Z757Tj22YxDaaJEIgIvmqNc/DOPXiPUjKsc+sNBG5xarjn + PZ/8z1//xlff+rJ3PO+yH9zf9+itIErk3d3dzc3NiuLuuZ3N2UxELtjgHuqIZpf0lH4yczCLSPLE + cAh5cidb0Lkv/83nFjYfbXRxB4SEndxN6eAIm88rDBYIyQgdX3vFC667+qVb6YQnN08EZzclMsAp + H3zNDt2AK55SxnFsmqbvx5RS206f8Yxn/NZv/dbP/8Iv3Hvv6aoKw5BERFUnk8l83j34Q9Al/Y4s + iqIoiqIoiqI4opRSCCGQJBtj5EXfVZNp383/4L/+oQISWeFIDkAYag6GDameNP1+B3cGnvvMa15/ + 44/TamDd6SDmOX/8t/Lv+pEPPz4DmoaNtvm5v//T/+kP/hAACARoemTjhOe1GkdKSYgDS6/jb/zm + v//xG98w6F4AubsmNbMoIYSgbqp64ThwHqleL21RFEVRPDwOko8+AEiYHU701a9+dXnri3k1tTh3 + H3osFslX966PbW2LsJnJoSN7OcAVRVEURVEURfFUQGBZxd7lz7H6lAjjMMQoUjeADX1X1TUAU2Uh + JBURpJGHBFPM+3/x1jfPv/7Nen/3GmAG8z41gQY97wrv8KXWA6ZCXXgVdvD9h/uto02h5Jyc9z1/ + 7FCXWywnloMBUQSzyqxCGNN+A8yq+p9d+/xzG/Wvff7TiAoCnCGCQGCKMTrYkdPmAAIUaRxCUyGl + deDfwcpfx1o+6DVpuVAtiqIoikvbwXRfN5Aw26oDf4Co2pDGKiXs7T2v62l3sbW1lc6eGxd7baiG + NFA+s1jdWc4fjoIzrjvHNlFHTCdIsEXiqbMAbnDOZ32eK7zy7zzUqcgDFnX115b90Q0gCLmDNRcY + GNBO3nbzez/xIy87HiseB0P+Y3rwMBecmF34FYUyQUCe/Hg3npgk2AjvgTrPsXfAkBhMvKxrYOQV + xyA77/HOe3a2+u8InABBYIYKARSkS7pvw8kmfnlSvXRWY9qc6m3WcN0GDAOEyU1oXXBxUHmxXIrA + CAF1/Uj++kHoOPjQpwYYOcu6SGRZUwmIoKp3YjvyPtBRjpE+oiYKiHpNIyBt+80x/cztt+DY7KAz + PRmcafl82LH++GBRQYwIXNg6xg9tQwRfpjevs6Jz/DLWGxQDBJKqcjhph73F35kPw/3n6ipiOCjs + WmZ9H0rFWG2mSwq2hu53fX5TeysjwUFwh/ChEOsHfSPw+d85VErzIG+cg/R3rOKtXaCqwjVYMMM7 + Pvj+r7zqFd3J06xE8OVlCBk751CQh7l4IccqA97EIarbffdHb3nLT330o+hMjp8wx7IMDSCEg0da + vxFW/eZotc1c8NcYoEMFuUfefpgdjsG9quIwjlUD7WgH/JVJ9dKNGpPJXr+YTtq2aVNCCIe3nfPL + lHJ2tTDkwTakh3b+A5Zmd0VRFEVRFEVRFEVRFEVRFBeJHewwZ2PjVcEFnEi0irH7/9m782BZ8qs+ + 8N9zzu+XmbXc+5bu1tqSUGtFCAkBYrGFjBbULAOIRQx4wBCABXLMxLBEGI89jpkYiBiHDROOmMVi + xBoxgG0kMMwQBrSwWGhjsySQuiVaEmr13q/73ftuVWXm7/c7Z/74Vd173+u21Pf12+7r84nu6tf1 + 6lZm1c2qzPzl+Z3vmIkx7I5TTJoyu5Gf/lUvf81zn/qip9zwLM5NORsFXYuWijJZbZ6mZJvueYyD + 3oaf03/hMY/WW9K77Dh3JXmstXPOOeecc84559wxYwYSxpT3Tk3z3koXuQmxqGYGbapu64jsQSLB + ESc3GlAYAGIBA6JQwELoF/2Tpu2dZ1ZYrDDfCqJB5HM9mXPOueuTqtbOsE3TABiGoW1bVQ0hqGoN + se77vuu6cRzrY5xzzjnnnLvOmK37Q+zunk15sHB4DGbTJ4suJvPYDCLShU5EAKgqAOJrLLz6v8AI + 3M0SaS5W0pigWzdMHlx8OsuKJ6LrN0RBiaGwAAgswhhUAK0dEACBBVhYT5inojyCEmqgsrVHeFdN + YAHgdSR2XQrWKb8VrQMklEwBFGIJxFs2ymr1sLZhNrUTe8tVF2JN1DYIENTAhdap0pcvjLZ2YTDG + oa4mRihshXP9xxjgrESsDFJdt7PgQ7cXKus+GizGjTSqmjWVbLCixYqRoMy3ZlmH3f7ssnnofbf9 + 0R998B15u7cmIzHAqC0wNnnM9a3j/ffBdB2AARSFGUzVgKYTA6WSidmybrfb4Wz77V/338TFiZDn + 1FKmUZ9wyb6PXoarlpkjkYFUrSyXe6r5IjqtfHYxRmZmZiKiIw4jX0/00booikjbtkybqHHDRX0N + 144oBhARmUGtLBZ7j9Khxx1DQ+qFEdsQlZA5FzVVBamVIIiRWVgBU1UWY12kRXOq2U0PaRj1dPqz + 29/zrj97xwP9XQvb1cmoISmKFhgQCaLQo2wmrKznSCQ2J8JHzvznT//HT3/ty1//1V/4jbKS8dxw + YnpDmMa93XNd1823Z8Nq1TSNHvo62ewtFLZpHbT5hsemmdCxjmFmW09+WB9sAAphYzI2M7LSRJSS + mEgg2bTE9GC+6/Z7PmgEWBCYAcqkmsEKgkCxvhLHALNxWeUTzTbvzl/x5bdOwnZa5I6CmYFJiQ+1 + T6pdlp5oOztXzwvQtm1KqWnCYrFomkaEnvWsZ7z5zW/+/u//gYcePscM1QJguVwyc/3zhY7zJ9E5 + 55xzzjnnLrkQQkopxBAl6lzBAAAgAElEQVRjLKVMJpMh57e//e23f/zjqPnQm4EOIgKMAlvWMqY6 + 9k7AP/yBH9yazUpKvGmrfTBSuOmMvB9EfclvRVhi+z3f8z2/9tbfoLpEZrP1NaZHDtnSer0ecT+R + mdVbAL/7u7975513nj51goSZGWz1fiIiRTElOWgV7Zxzzh2VmYmIJSuliEjdAanqh/7qw7p5QH2k + iJTyaOOclxYRzMzWl8mf/OQni4jm4hFhzjnnnHPOuScUqqdF+2FprCCsRx1TRghNDCBW2Jipa+f1 + YWYjQBDF0GPVI+Efv/ALnmLSnt05wZg2TRr7c5pPd7O9fhGP82mWEcqmbS7bOhsPQCEkKz1sO85C + Km3G6cX40ze/5Bzpgzb+n5/4OBiYTtFMkpbAHAhIQAAYhQtNmwRYE84LFN8scT/Ejw/afbKh5kzC + GzQ455xz15/1NJH1RWrGOpwYRsrELQAFEcDcNoIh/84rv/YLd/KNYbZ39qEtRCLKJSvDGGQAQ/Rg + 6sky8pkbtl75jv+AebdAmUXmEDQvyZRC3F8uAwJGAaCQo9Ud17De9cw7E9kczGTjwAEcwmzO/WAY + hYNqOdLhoREQKatJNgJYlaGgEZEB5XqYVBteAcTrqVaX74q2EnYy2qAzkiEnrNJsOnkYfP/2/Dvf + 98c4NVGM825qQAYkNtBjf4F9SIUJGeD5ibtKWTzpRmzHgVcttVCAGQfByXSRL5YOMqH5/OlvdOgJ + 65mLJqNgJiPl4R2vuPULdvsTJ2arc4sJCRu4oDDK+qSGef3EKptTnprgngS7bdyZtmAeB+WOGQAR + cka87C1uRAQjQIyOsBXv76YnwnKu1pZ8EKdNulnbI5in8qyHVrhvD7ecHszaVFg2seIAEQLp/v+C + 1x+fy7uBGqlZQ4JSKGKnxzYmw2T7O973LtwwQcnzbgJTwKLIkV+wc84555xzzjnnnHPOuSvGmIzJ + wAqQmqEQgxMkL1fjPDatTcN4ohmm3/DKb3/Zc7809GGrORltkhJHarswo0IpDcwMaK2NMcJ6NNw5 + d1H252FdCzzW2jnnnHPOOeecc+6YYQE0w2wPZdGvTgqVUhRGtF/Rux9wAwKMjtQZn2CmjDp7INgm + G5tghOmkC0lPzyeINabp0QNXnHPOPREwcw2rXiwWs9msbVsAZjaOI4CmacZx7Lqu/rm2rru6K+yc + c84559wlpWampERkpA+dfSibEhv0wqGSOkajR5+GTURN0xzHmFkF55yYEdumDc3uuHhwcd+/f8f/ + 87F7PpxCUsr1YQzTdY8D5nWbsPW7R8YwAQIsGIGMjAp4UBpBCWBYC3uspxhsTBoAgNRIAa1B1EZq + QE3RXsda27rZRzEFaSk5lDizG171JV//ype+bj4/YUMhO1xAyUBgy3pFRskOL0PXzc5MuRgVY8Fm + aJCNN/0ZFAe32OSF49BzAFCFCLemGaqhqDBBGBZAtDOesXZM850/+fA7/sN7fy2dXqLVRdKOGlIB + GUxBub5pNfj38PbKm5Vo25hSYgIzimpWGxJathnNy1l881d+yy0nn68PBg4tWAurPeJz9MTwWbYi + A9FytWdWLvmWFkIAaqALaurJpX3+42g/uDcQt21Lqb45F99PgwhWew/VdBlgtVoBAKltarIPfWbd + cVLDkMxM1chMwBxjI6EYax7SsCBSkQYhZM19GXlLF/Jwmu791ac++M7f+o+f2fmkzdMenZMZF84G + VYUwGETFNNkRm8pwGzpmPrvaiV3s5exb3/PLt3/ytr//2h942pOe//A9Z+bTra6b5nEpbcu8Tlvf + vJJ1zET98+b76Pxt8pHZ78dN/XQbZ6xfGwPBQCRQLQKTUuruLQly2//1nR+8b3G3TixQTJogBcwF + us64IrDWaCs2MAGtRd6Tlzzn5Z//jJcu7ksnaUakRLbZT9YGY0rQYx0Q7h4nVVXVlNL29nbfj3VS + wcu/7Eve/OY3v/GH3nT27C6AEIKqXlPzDZxzzjnnnHPumkVEgaWY1mGaMSWwvOUtbzFgMmlX/YBN + F99SDIAlBVBSaaJYKvNu8l+/4Q2rxbJr4qM8eb29bJnWZBCRUcvLXvayF7/ohX99+8fqaSOY8FjO + Cs/vAszMqlpgAho0/cqv/eqP/8h/X8YhMBGRiBColKKqEsTM6mCPAkwgw34LCeecc+5zKqUEFjVj + ZmbOpdQr7bfddpsQZTMzI2Go4Qq2Vtlfzo033liTtuGXPp1zzjnnnHNPNJtiRJACCtuMRQaGZkjQ + XChIDGKAZgiZgDEk5IRh/LEXv/TEzrlnFm2HYQo2tZCROUxD0/eJIIAd60JHI9TAN7aDV2FAZkxi + MwzLhmLUclI57509hXhywv/sObfsTqf/+0c+gkIxRpjCDCIooxGzcF+SSBxSmcRa2f6o7w+vlwSA + oFjHW14Qg+2cc865Y8/2/6s4L8R3M2OuMFENbVYsd7FcPCnhJg1pubuFyJN2XO1lgGV9GffgCQkw + jML3lvyFQSAcOI4FDJMYCAZTgI3ODws4+qEGA0BGnfpnTAYBCoECwwxmS9Vzq37GQbUc/Q3CMFoM + kEmbVoMEoWHEkDFkBCJmAEIYAQOkvk8XsYyjmHURQOrThAgxnjV8qute8Qd/iNnUmDmIQBdDmbUR + OO6R1gDQNrIYS9NuPRzbO2J59bt+H41QbNcp0bTORSZDnfhwtF8AXfAHveDu9dZ5qM6BI8GIxhWW + iyevxu3lMCxT17Ilw6am4vxV0HrnocKGMorcK/iGd70LY9+enK9/zkChgfFl3YYMRqD1xhoJk+4V + b/uND9/6je3O2UkpBlZaZ3vXegw5yhWzNusNZ/fe/k3f9DV/+aeYThCB5QrNBLmgERBkffrH4Hqe + dtkntyaz2LWlH3JBKojz7q4xfqLl583aUdDEFsDYL5tuul4RP+FxzjnnnHPOOeecc865a5goF0bt + VVgY9fLQVBAzcM6e+5Qv+O5veuMknY5D04L1XBlVG24FbVolATchqmatjdloPTC8TkKxY1xc5NxV + UXs/XjudpjzW2jnnnHPOOeecc+64qXMPqNmWCekOiIoVkYBD0Tu8eeBFM8J6zipgBCOkUiTGVRp3 + V3uIRTmxCJSug8p755xzF6GUklLqum46nZqZmaWU2rat8dXjONbQ65RSjNEzrZ1zzjnn3HVHiWqf + iQK2s7sPG1kNKwX2syEPHnwRCzAzEVFVIqrFRpuIgWsdQ9umKeNQhiFlNbI4b/q4s2ge7ENfuBCU + jWFRwYUYpIyBkLF5/9gIxnWISwlsrIcTqUGszWMf+iIDw2jToQM4qIBUrp1BarMwBsAmAIggQsQl + ja32YdUusqRlv5ygBVRJlRQwMoKCYHylerSxXbAk3e/IwBYEJIWEGFQerTZN8egVa5yKAiEikxGS + FbKMMshop8azuPv3/vTX/+xv/mi5/aA2Zbm0dhIsb9o60MFo5MGw5Cb9Yp2iTSBDKYidKHSVLEZM + OTRlyrvdl97yyr/7wtfQbnu6u7H0MOJktXWLV6YqkQFarJgVFgzDii5pDmrt4r4fnHlcvmGuICJI + 27ZIl+CJQADUahciwWpYnpdTf9C95YL4eXetoxCt5JILigWYkuVxSKbgRoI1XTAMWpJaRgg0yX87 + fuJPP/onf/7X7zs7PNieAG5YLdIuTWgxWN31BBI2oBQBRKio6RE+mVokJSM03YicdCeeoL9Z/dn/ + 8Vv3ffsrf+gFN35Jv0ityqTtUu4lNEPOgWONZz68gzAyHOq5tPlKvz6+IXhzLFFfEaPu9xkljUE5 + GglxMtVWd2znvR9997LZY+sAVs0UVEVNAT24HgfAwCCVwjObxX7+NV/2DXoutJjOp/P+7MMhipkY + oBCjw82a9Ki55e76YCgSyMxSHkRY1ebz+d333f/Sl770p3/mX/7oj/5o3/dpzMz7ESyHW9o555xz + zjnnnLtQHtNkMlkueyIKIa761Z2fuft9H3g/gGFIAGJs0jgCICAGzmU9VK6pMPBd3/VdW1tbUYLl + QrW3MXAl21GXUkzL9nzr+/7B9/7YP/kfJLBmrSGg+x45LnwwnLPp+GwwUyNAWOolpV/45V960w+/ + cRJDzhlqzEzMlgsIIpJzvgKvzjnn3PWKQWamqiEEAKoaYmNkt912G84fyrxCTVXMABBBDZFQq5rJ + M62dc84555xzT0AEkNZUMwJgm7ovRjYVGAeCAWq9lkkISAljwl7/Iy/4/KeM+XlpDGXVspFgKOWG + +fSBvaUCE2pH5K1mltPiKr/Ax4dsHaxGWFcqG1AIvVo/jBxpTGNHFEhY84SDlrGkdDLp//zMW86G + +K9vvw2zBoER2r1hnPBMDR0HALLOtK7v/EGA33lTag8VAXvdmHPOOXc9I92/nltvCaw6CgciBpAU + kRVmWCy3+0GWfUcNNWG52iOgmzbLfhQBK+hQyToAGHXzk6AOidqIIiggBRFyICaobo4yDGqim4lf + R6pALkA+GOmvocKEAggDXVMm7biza0xFLTKbHu0qwKzhMetyNUyi9GM5MYlve803f9sfvxvbEQ2g + AEECZzAufx4uK8fRsmYGIDKO492E+1/4fJw8gbhNoQGSjsOJZhuKMa97pxxfRsiptBJKkc+s8qtu + /wBumOowNi2MslIoB5nW9Sf4qLHQhyadnD8P5oJ5UputRgHuE84tf/MVr3q55qlI1GxZARSuU0oP + oqBrezMyWD3h2WzbQ6Cd2Ul0E8yn/Vi6VlTViEspTbjMx91ZC7EJmbBmbUXw9Kf9zYnZqdXOPGuB + GCEYuK4zYKT0mD8xUctpTifGFSwDCiqYBmRFE4xRCAIjZJAc+jVdxvmYRlDmIQ1tEOTCMdxr9NEb + prd+4D+hmbBMM2hMfTuZAIY+I4b1qZdzzjnnnHPOOeecc865aw1pHcmvLaaMUAhMaAW6hylmL3nu + V77+Fd/b7d0oi/kkTBlDE5hDmxM0Q0yYWfXCEWmjR5sG6Zz7rK7NqU8ea+2cc84555xzzjl3zJQC + kYhzQ9fMm6bRc2MIjWqRQ9W8ZJubi0S1ensdIWQGIIoslqu5yA03nQZGFgUEfC2OeTnnnLsCRERE + amq1mTFz27Y5Z2Zm5jovq5QSY9yPuHbOOeecc+66UY+B10GwVHaXZ2va8aPMtb7YSrsaa23r/svH + KdYagJWRUCIoGzGH0XLPe308N05y4SxmbASdKEJmGGemZf3B2q+LNjGPh3sYWP1fIyMmywBgvI6A + /ay3jCz1d2BQIth+uVSNyoay1aeFsRGTwazkkonRdUlL7GmZKc1ixAgARqZcYGBw7WtWrsSbqo+y + JZECClI2IoOYhMLMrFwKX9DpgDc/rftNCoxqNwfNNAgHAWtRM7VYSpP65tyiefD/+vV/faZ8eic+ + MHJuGm5hZCiktg60VkD50ECkYjNGaQyqeeFYV6CSFFUJmDSd7hHvhc+/6Yu+6RXfIXuT7XAqLcYg + HUIYhiGe18XtCWjTz44IpKb1CwfD0Nd2epe2w8T+94yZwdbfNpd0CcdVbXbfNA0Z2Nj4KOHC5z3P + wSB6QQExEY3jCOC8diGknld6DLEmDSwhsDC0cJGMpoQGmdNe2lHdjY0xNQ+effj2j33stvtu+8/3 + /oVt9yX2S+zsjaNFWIAVm09DTmYFTExmpjBCAJWjHEMoacYoEhlRjWvPnnPpzGK5/NV3vuU7XlVe + 8tSvHM8VMWlil0omtkNJ6gf9a+ggN2nTxGd9AHLse2muM7xR92Jy+C/MjLJGBAFnGsawuuPBj3/q + zCfkBNmgEMAUhGLGtGkQRgBQGDBlqGjEOfmKF73iaVvP5L3JvNkufd390QXdutgu+Xe5O06ISFWb + plksFkEaMzt79uyNN96oBa9+9at/6qd+6sd+7MfrI0MIpZRDu+XL2GfKOeecc845546vEEJKCUBs + mzTm06dP/8Q/+x/r6ZOp1rMwAMJUiqlqDJJSqcMcBPzgD/6gmZWyvufKX4ap45A559e//vU/+b/+ + iwd3dhhk9LlDQB/l6hPBDKpKMGG56967f//tb3/D679Zc9Yx1SdkZjUt5cLLGvWFP56aY+ecc08o + 9Qpj3YupKhGJyGK1uOOOO4oZgUAwVQCP7FV0uc1m0xjjlV+uc84555xzzl19BJDapr5EwOthRILC + SJqUh8hCqQiHiQGLBVL6wee94Bkcn5112vdTpJYoqalAGPfsLU92wZSHscybbjUuhY53DVkhGBAM + ABN0vwJ8OgmrlIsZCSBYjXkryE5e2YhTTRuMVqv+ZKs/+aIXfArp5z9xB0jnMQLFipE0JSmYmPe7 + jP4XinxsXWHNXj3mnHPOXa8IdZoVAAIb1ZhmCAASmKWk1LA2wM4eVqvfftVrvxzUiPRl0GHsomQr + y+U4mUgeC28m2WEd5Ysk/JmcMZ0D0XSd+6soAUIAoAyuc8ZoPYtFgUckCn+29a/ru3k8Aba+lGww + BKA/J9OOu2ZYLLsgeMR158/x9IY0KoBOgilEgHHcHhMWC2y1m8loBwu/3JXT9fC5gRDbmHOOXTp1 + 6r/6zd/E1jbQWCKK0jSClMChiU0eU2ji5Vyjyy4EOqe2A1k85WmYTzGbsYllRdiPYN//D4OO9vbv + n4nwBb+38zPeD9DmE3N28cwzO/M8wgYxM1Dm2jSNAbApDm0Jtj6WJrZ1tPUoeLhp0E4QWBqBgdUs + CALb+Qu85FjECEtAgDa0SCMm829559tve9kX5v7xRneIaZP6rWGF3XPtdAZKYAE1+3NRFCpQmF7J + mT59QUChKHup7GydeN17/witgGIuHARNbEf0LZjbDmPBE36CqHPOOeecc84555xzzl2blGrvQRXj + UiNIaqvGUTu0t5z+/K//im89jaeEfCLyPCLmvIusuagCwjGExqyMmpnXF5KUzrvE45y7CJs+kFd7 + PQB4rLVzzjnnnHPOOefcsUMCMGN+8uHdZclkBiZSVTmvzJg2pdhHrj42IjYEhQEgFIIYCMpoZk1g + Lvfdd88tKEAGZCjaig8xOefcE1HtSRdjHIahbdt6p4jULnU1ga/e2TSNJ1s755xzzrnrDAFMlIFi + WdmW/Z5RLqr1IJgOhZiuH30RiyAKIRzOLSCixzmh/UpRKxpgkQBQQ2FRSkHOcUxcjGEGUQONBZbE + CmfZhCVwbTpAmyn2h2OtDWYBFmFG1IMAlMdyq7SJnTYABjOA2QAIQICampGZWSFTMhCaABRkoFgq + QVXAHJgCGbEBlDYBtAwiGF/e+f+0H6ShSptUCeO68iAlUzITFdEgSgxOXB7Rk4AfcbsJuKZcJIFF + S0dC1IQUVvcMf3Pn3u3/9g9+eTecXYR+0DTrur7vY0ZJmZrzsz0ObZZ20PiEN/+oCIKV0dKYrAlI + 50rYaT9v+3nf+GXfdro8Zas9kfbyOA7diVm/yoECkC/5u3g8bRpokBLZMAxmRo+SVfI4FmAWQthv + pOceSTiu415ApEZ0tJhhAGYghgHEsFJ/VlfjSg86vHh/wuOKDS0aMiItxWxkHWW1DGeGsNwti4We + vf+hOz9z199++u67zuyc6XWvhDFvl0XaCYGaKfcZJaOLEJG0KlCwcYgigZQpl7Qa9UiXX4ygYsVG + S6MYGqFsZobpyXzXQx/6xd//mb//qn/0xZ/3yp0zZcptGyBMWneQVlsO1b5PBmMiBWDQzR4Terz7 + kQIAGbPx5vOmIIatu4YVKAmTiSjIyKTs2pkP3P6eFAoJQxRUWGCEUhAYbMzKyrkwtO6IC4cSbj5x + y6u/+FbsyZZsY6DVqm9iIJJDrZj8+9Yh58zM4zhub2/v7u52XSfcrVYrGHOQW2+99Tu/8wO/9qv/ + ThVmxeyCzebwJ9F3H84555xzzjkHACJxGAYOQkRK+OTffurX3/ZWBYRFtZhZSRkAkQC5KFSLCLUh + jsP4qr/31c985jMlNmVM4NoT/EqfvBMzEVJKN9100xve8IZ/83M/x8y6vpZxwUPXYwyP3rybiIjW + VzwAYTbVn/+lX7z1ta+ZtV2MsZSiqsRESqUU5keO9qjV5tTOOefc52JmzFz3JsWUhLPpvfffv9ev + DCCq+RoHj7wCIdO0uYx68uRJZiY62HU655xzzjnn3BNEgWaoAQEsmwzEem6U1QxJQoCNkIJ+hd3x + J17yshsX/ZeoLceHWWCtLMZsLKWAChSYtfJgn5uAEZgTFEWO86mWEpRUwcUgBt3PdzRdrnIIxGwp + YWDLQNYSJ1ySlFJyGaIg9nmWV9sh/E/PePZ9Mbz5jjswKSG2oFxMG2o27wwDjxhptnVpXkUEYRh5 + MZlzzjl3HTovutcAQAwwoF9i0nEbzymY0HYRd+/eMhbeO1fUGgmFtTC0oAvIqxLrXKJDh14ELEP4 + rj/5QzTAtEmEDKihow461NltRJC6XAOUQYyjzXhjGIOCIaMmc/N6Rl5EgmXMmp29hwqSwoyK2pGv + LseahV2UqE7QK0ssMQXkYL4Cg0PNAr/8V68VKhyLjivgbGgemM/RTdBMACaCKYyKigY2ZASOx31C + QCm2w/rA02/6qnf8LpqpYVL6ZeimdcpIBNdNzggFIDAf+eU+4nrQ/jZMF3w8gLqF751779d9wwuG + FIdVUgRCVisBABolUauPZyPAiGAEQ53LuV5WZpyRiHaCKEbAmCGBgH4Yp+3l7W+jpqNBmQnIyWKz + hWCYTHabMAi6BNl8RsQUxkfddhg4rfmtf+/Wb3/ve3CiQRNQDEoSUGj9Qd9/ziuwbXKxE90EedzL + xbZP3TdrX7w1wanTQNsCqgAp118QGVrPtHbOOeecc84555xzzrlrkRKMTEmjMaAGNYDAZIH7bqY3 + fufX/PCT5FnpAd7qJv1yGWITQqNWRJgk5GJ9v0RA0zU594qD4Wk6tjVFzl0j7BoJtfZYa+ecc845 + 55xzzrnjxWo2jACC4cRW6c/pashllCiqxGbYZJDoxc4O1XVJNwArm0FhNowpN107jv2Tn3IjhoS9 + FSYhSHvMq+6dc85dJCJiZhExswtazrVtW9O2RERVVdUzrZ1zzjnn3PWnBsFmU0VKeaVkarhwvvUj + 594/1idfx1oTkZnVSqPjE2uNEBkll1KKASFoYZZIHICDuGWGGgoogxQGI5BCERhMBoOCHjXb+FBe + Az3W2/0MZsN68EwBQBkK6OYZVayGauuwyiEiCPKgUIsSGJJXKaIjq5HSagQY2ECXeXRs/3deQ3CN + QAartaGcUljFMJCKqZAqKRmF+kilOsTHm3LPg0zr/TdECcYFYUykhfpCKHG4e++Od3/0995z2zvT + 9mLFQ68ILS/6YcJNCCWS9rTuCkEGtvUqrtN6N09um8heMuSciUCGwJjYjFZyy4kXfs/rvv+WrRfT + blPM2OJs3o5jb8Yi4Zhs5peRrrdSEyIiU4KZDXlYByFf0raAzEwbx7jd4GXABqqtaA51wjGzo37e + a6Vo/VYH6he65ZwBKKlHxBx3TJbGTEphEhHSPWfvef+Hfu9Dn/mzM8PDPa8Mo5EaCbqSeVFk1IJm + EkA65DEItwE5a0lFmENgM8u5HzOYwUwxIOsRPpVGMKaUbNogckirTETS2GJIk1M4u3vn2979S6tx + +LvPv7Xs6TCMk9gcjOjZfjPNdbI1DpLXCcagurVeDzG6BjZSGAgKKkowLcKEIqamlnssd/I9f/XJ + P6dtWq1WwQSUqTahKhCBaMB6B5iVIIagoUnTr3zZV9/U3czDPC2GBt20naS8NGVAlfZjwhkAmyqZ + f+0+MTVNk3MWkdVq1bYtM69WK2kiTIZxfP/7P/Arv/LvYGCGqsUYUypXe5Wdc84555xz7prW9/10 + Oh1z2t3bO3Hq1L/9N/9+zAlA0VJH02viZh2RY4YpSrG+jAD+0X/338amWfV9GxuzUq5GISxZvTyC + vu9/+Id/+Gd/4eeyFlzEZYf10CMACEvKCcB/es97PvOZu5/x1Kec3N5S1ZKLiIgIm6kPSzjnnHsc + Sim8gQJmHsbx3nvvrVnSxdQAECSEkvQK91WZzWb1yqf5zs4555xzzjn3hMO1yoTAhE1xLwFAJBAI + /RJWMKQ3Puf5z7D41HPLU3mYgvagxrLKPQn1RZk4gIRpNeY2QoFJi1xyDJsFHGe1brOA9aA2lFtA + Va2gC0gFsUXOKKMGQ1HtWs6jBoCTxZRaySdn03/8ec/+lx//GNqCJjahxdCj7YBNie+6Hq9GPSqB + N/dv1qPWonp3Buecc+76Yptqezk8QF2PAdoOqmAEhgLQ8v/d+nVfcm65JUysQ8lF0XacB0RBc36V + uW0mcLUlY28XXcQD9zWhaygABWUA63rBFGEMY5hCFWRgOlo0tDFIiQoIMCZQoABTWI9hiYfPPR1h + TkKGnBH4yNMLSgEAFqnX55vATBk21jF92sxpWIdz47IfLwnHUcdGqA/hrtPbr33nu3DiRBKOBSgg + CYQwUg9oYIId+5heIuh89mHkLz4xR5wAMXSz+leySZsotM4yfzzTnQ4nux/g8+4jBQrAmJ19eN4v + QWg65B4xbDZnA0BlfWRd50geUAIDREjC3//2d6GZZCoZ3IQABZLNLnOmNQBiDpsXGyWCkPIY2/jg + JD51KaGgybY/hbPOnz0ahuyde37Ywpmz6E6i7cyIAkDYnNSE9Yfl8p9ZkCFKGPuVADzv7rX8mt// + fXRdT60YWCEMEFSNGWAFxM93nHPOOeecc84555xz7pqkhXT/8hMRCGBoKLFNp173Fd86GZ8csNWI + lLG0XRBCVgVITc1GkEgrRjbkgfabU1lt0cYw3lxfuB5aVDn3hOWx1s4555xzzjnnnHPHjAGIjFOT + b3nn73zkJS+5MQBqqgpCIci6TJwBCJSPWNOshEwM0qCbVB4ABAVi06Vc2Khbjb/xmm/81j/4AzTg + q1pFvN/kiIhSSjHGq7cuzjn3BKX6KC3nxnHc/3ON4ruyK+Wcc845564EIsKV7T58TWFGSgNxEKGB + 9MzZh8AGgZ5XSh2i2+8AACAASURBVMfYRBFfhJzz/lhHPeq2QzPZr3EmyKWEGDRrP+R2vp1GRmlA + ECSxGiCtoByhtfEBGcECwDCBmhkTB0ABPUjWJCXKwNGm8dfxMVMokUhEoHEcAZtOYhoVGWxoAhjI + RWElhJCKlqTtPGimJkdO0mgIFlgFAK3jHgi2rqS8EjWUVPsXMIDCADTJuJS9Tz98x3NumvR7faSm + Q7tapVhaWCsINaYYMDJbp1nbJnt6nWKsADJ0WRa8ZcrjJ+6/4wN/+Z4PffLPH0r301wzFwAdA0mJ + WFUzHvHBN5CxUV0xNdJUAIKwAmpGTQhmnEclsgmasGye3t7y7a/47mfPXxT3QtAIkBGVQkpMUQv6 + GvnpmDmrkhEzj5oBmJleuhBUVdQIEzNTVWY2utJt5a9lRJRzbppGVTly0hyZS9GjDoiHQKpGBlUT + IbMiQkXTfvS7HpdvdvcotJRRggjHZe61Kalbveej7zrX3j80KUs2MzKGRaKsoWcgEmM0BYSFjJSN + iUq0As11kxAAUIPCcMQUdTJIIiFTw5iz1MtEIwLnAmCWH+w/8Rvv+8XpfPKFT/7SZggdTYuWupH3 + /diE2MTJOPYgJTIY16XbetqAbL59jvW0gToLgjZtQw1IIAiLJlUTiCUeeY53vPN3et5Ry4EjY1RG + UYDQCmhEZBmTZiATYsS4ixvCiVtuetGXvfBVtJpwoSgkBaoq3GUtxvV4pr6Fvo97otsfPI8xlmzj + kJumSVpikL/8wF/+0A/9EBGI1qcVKSXfZpxzzjnnnHPus6up1eM4hiYOKb35LT+XAWZJWuqpuB66 + clMHRVVVzSZN+/4//cAHP/hBVbVchK/C+RcZAiOlFCfTYRwz0dOf9oxPf+bO+srqKj/ypy686xFj + ujUYu/q/f+4t/+KnfjKNmYlDoHEc5/P5YrGQeLia61gP+DjnnLsK6v4XTKlkAKWUGONdd91Vzt8v + lZwBvjLXH2OMaRwJaJqGiFQLP7FrWpxzzjnnnHs8iOjwkbyZhRByzgBijOM4Ns1ljwdzF4GBAEZN + EMsAAwplSFCgYLHAWH78eS+8cdl/kSrpMmgGYTAEoJTcAQWkLAVItdCKApccr0hG2hXAti4pV4JB + jWpIN5NBDGKIABICVEfUdDoxBZBHBWMgABBDY9bsLeah+embb9mdzv+XD38IJ7bRtVlHCo0BoaCm + zCGwGgozCEIQAvRQFV4dA74u3ttrRM75gonMh7+vzIyISikARI59JqVzzrnjJyW0EfUaMRWMaWux + OK0G1QRFAAE5axSQXphpXaecsOGm1eqOL/+KvRhhASBYACkhAcrIABcKCgaIDWI1ZZuPNGPFQIAR + MtbLFEUAWFRbzdM8PCsP01QCoRBng5DSUUbihTAYpGnzag9AMOvGAXlEUbKDAO+1y36kpKY5Amp2 + bhL/zrv/EDduo20VAAMGZEBA0owoyixQBh/fwzcywLBgfO97/xjTDhQpASLGm/mqlkHro2ZZdxp7 + HHUUh+YGqikx6ybkHQADkgtSwercdseyAyasekzmzWpvjPUylEEJmaFAMABgAhFKKW3shrRgoBFk + jmg7NFFJBLTebIhqZvZl3opUAKlzNus6tw0Kf/273vH+L//y0xTo3HJQtAwKPIwaCY/982KErNgC + PX1n549f99pXfvB9YEZHZiCAoARiCAywx/ebesyslAAurLsl756YoWtAbf2S4Tq5lhA5AChEuAJv + v3POOeecc84555xz7mqoF99rYREzmxkzecuyY8QIYMuEqOvfJBk6IcnhpubpX/Tsr5rrTZKmaqNJ + MUNfRuFg63aaMEpKqG0ha2dHMsKmzePmYs/VenHOHVd1llata7qgevOq8CQJ55xzzjnnnHPOueOn + EKQVtDLIprCYzDbly3ZoksJ+4f5jtP5BY6CQHZoUSessJc0DjandPYeiKDAYXaVx4jq9cBiGtm0B + xBjNrJTi4anOOeecc84555y7AojImIxgVLIO5XDTCAIZSGti4kWGAezXFdVsYqJLF2Z7mSlpSkk1 + dUGapgNP90qZ08l2nAdDyX2wFWlhjSoUSipiw1AkhLZth5SGYRWa2MTYp3HdNMoYlDfZrwPRhU06 + PjsyjhzHnGMr2WyxGqdzaaKcfWictpi1iCZlVUpChzZQg0G24smhJFpqXlGX52FodeTALRdWUjaw + kYIJR+gmcNHWv3ir7Ri0vvTCSGH4yJ0fvOuuu7py6vT8KTc/7VknZ9s3zU/cfNMzZ3IqoBFiIoEa + QLUEtGm6pKmUUqwQEQnMLPN4Zrjvrz/8oQ9+/E/vOvu3C9nVrmCWlVRNyZg3r1GBQgChjkOSgRW0 + DiOHEnLJoZUgqmbEYECTpZw0o+OmpTjJ87nd9F2v/d4Xnnop78VQGjFWMIxpHTOa1kt6widbGwFm + ROv3Qdep5Jc+XKQmnK8XerVrGa+2CyPqiYiZGaSbDZLoyF/EF7yrRjC1eqcRgEMdH40vepfhrg5S + JjUqxgLLmcYsQ4+dHHdTW4zBCKTCuk5NMICCmoCUqDbJtFJgZiA5VJF/0I/pwm3yc6xOjcE2NlYA + SuBNe5phRGyQ4mo223nru35h+rrmRae/dLlIsQlDHgCeTCYEHoaxFO26pmg6f9HXUwdN2nSZQn2N + bDAiY0qapZUFFh+/66Mfv++vaJYAZYPK5kKbgRWiMC0AQheI0S/zyW5KD3e3vvr1zTgPecKmBAUp + TGAMAkzBZXMkw4d6Bl2h/kHumtJ1Xd/3McahT0QUY8xZJcS/+Iu/eOMb35iSAutM67qPVt8zOOec + c84559xnFUJYrJbT+XwxDL/5W7995uzDBqRDuc4XqFO7A8tqHP7V//YzJRUCmMn0KoyO1h6+kSiZ + ZSC23Wro67CklkdbH0LtUPwYKfDWt73tn/6Tnzi1tc3EILRtu1gsQgi15QSTJ1o755y7SKoHQ9zM + XFQfeughHMrnuhrrA2wmlZBf+nTOOeecc+7oSikiQkT1AJuZVbXe1kxrIkopNU2TUooxXu31dY8i + DXnaBqoxdAVgiA5YDlD9B89/wVPP9c/oy4ncT4GMIg2GjLLJDiRC7Sygmyy7oJA6iGiwdRr0MUab + Frq1MFtJDbxfB85gbCrk2FBrvWQdzQYygNbdG2oG9jSlmXSzcfznX/Die5vwlts+EmYzWIII1EAC + QU6FGqlLyIARREC2OXlWwLOVL6kQQkqJmUWklFK7T5RSDjd7bZpmHEdmrhcLnHPOuUuLHlEavj7C + IKBtQaopzWJEUezs3iCs/SLy+niM6wXcQz9bK9gPH4PNUnn2zgpYrRtJGSuBofuXkHU9F4k3hes1 + bnfdeOqx3NYfE10fMxmhkMBYrIYcr6c1GWF94fqIUx6KoY3cr/YY1Mw73Vs9dbqFPiMrDHT4WjjV + henlq7Y3gtL65fSBMZ1iMs20mQ3KXKf7yPplK8DXQel/EkbToGk2G9bmaNcAKnWCQ91mL/pY9eDX + uJkvRczLoQ9tR5sHrLujDf2vfP03vHz3YRGUjMkUi71xNonap82B+sEpiBGKIggCoplJDEAuGf1o + 4AYSGVDwefNFLi9dF1yYAqFscrQRApp45uT2A596IKh1bYs8LJO2HTAcbQESoabTcXdrbMFWLCs1 + amhZAWUEqku/chN9tOZpJ+ZFbCARiHVTOfiu23yNHeuTR+ecc84555xzzjnnnLu+1W6ZSswwgRYD + sknBi2956Qm+MQwNCimpMqsqRSqqwHruZc203rRN21ebKfGmP5Nz7njzjB/nnHPOOeecc86544hB + DRDZuA4CAyIKgNjWkxYqI8NRCpDp8KzLQz/HBiayAiGLTKe3J1js4OQJK1dt2mSdXti2rZktFov5 + fE5EnmntnHPOOeecc865K+Bw4LSipDKaHCQekNW6Ot6U311M+HFtDEd05WaWXyoMSBQjlFJKHgrF + cTnePHuOaUlUkg2UFprGNJZhHFe67G08MWnGMo7n9tpAk1k3ltUwjl3HqSSAjbCuZqRNZgMdKfaY + y2BtaLNlo9RM0GsZxtLOgRGrPWjCxLbmmLY2nTRbs8kWh4micAvZilt001PnzwypoXKwRDITKNVx + OeLLm0n5iIwKJYAwBg2z/qHxfksP33Puro9/8qOacshlErsGXdvOtmbzrfn2ye3t2XRr0nUxRhgT + GxEpymq1evDhM/fff/9D587s7J0ZsCqcaAZqcrEhpayKJjDAbFzboBirkSqDa2M2Y1iAMUAgGJXY + NqmMKYMZQtACUnSBQzPRpeBsuPn0c970fT/SLrftHLEKTBQMEwLYzJAzZdSCVy9N3TAmAGYws8vR + e502zMxgx/E753EyOv9Tdui7hSBBmvrm8LpDz9F67hxGBF1nWp/fWOXwW/6ED3Q/bkxCyZqKWqFU + MJiMSn3oLGeYgS1HY1IiGBGKoGckU1OQFgaEJUhgsZwPdUhcbx+82R6OsMlJjWnWAFLlrKSAwpCB + SWzzOOyuHlSs3vqOn/+H33bzDbObbSiBAxFny6YMcAixFAOuw5B123TSonWjrtqjVAEIghFZg0FW + qRnf/Z4/fGi4D93ImXTTeEsNooEUtetrSSMgaSizEGiveeVLv/Z5T3pJODtjjUQDr6/cMcAHzZhs + sx4XcVzorg/GANJYgjTLRb+1dWJnZ4c5APyJOz71pje9qe/HECgli1FSKjg/GAbARe+DnHPOOeec + c+66N+YsMbz5Z382azl87nTe2Nuhu7KWml1BAIGK7o/IXFE1tcRYcskGjONIRGZUyuGVvdCRRhbO + LvZ+6//97e/77u8ZcqKiTdOEEEQkr5O/lTejFt42wjnn3GO3iYMyZgaTEanpXffcfegRV3qV9sOo + 6uwSJjLVq7AezjnnnHPOHWciAqCUUosVazGhmalq/avKzDzT+tpEwCyGdZYaAZyx2oMCq+GfPv/F + L16uJuPyxnZqiqSFAR3BQGEo1WBCKCkZIhAUYgjrwDnWdVrbsT/N4nVxP1DrcjcB0wYUYL+/Qr0l + aK3VqSXNXNYpj0qQ2D4wDiH3xu1k6J/LW//8OS/4yQ9/GNvbEEYbwZZysSbyJhvbgBEAEAiNAOuR + bC8ZvZRKKTHGnDMAIqpjBczMzPt3juMYY0wplVIOf7M555xzlwoZo841xEEy9LqYHBw5oB9x9mGY + TFkCM6Bi4PpwA9tBpbkSCkFpHTsspDDe/K0qoTAMJCaE9Qg5G6CsEBCByno9CLV6/nPfgqF1/6gw + q0tRKsZFynlHg2SQOrPyqLXNhFw0cGNmy73VjV2MDy3/8O+89qtv+ygMSOs45XJFDjuVkHk9p6dQ + AFpYCAYhAAksIwcAjXGgUj7Xs137rG5RYJgAm270BqoHw1Sbh5kAcngm7MX8Lvig8sAARlKLbWdA + X4ZOWgLIFP0SZ8/cPPQTwyJjNkfaw6yV5Sp163RkY2gsNYDcFGhbGgcTIkBXKQPYbrhrt0AC41Bf + IwC+Uo3QbP0vSAs2UyGFsL31wGRG077NyzQMcRLzKtmAliCPuSZDCWNCbIkJMfawAUQGEMPqOZxd + nQoPNgBcSEAB4ABI/XgI9mtP6nnOMT99dM4555xzzjnnnHPOueuWKpiIEGCmyAJYQqfhJc99SWcd + ZyUqRsUEuSBKo5Y2F7/O6393UGezucLFZuqjw84d3eXoLfl4eMyPc84555xzzjnn3DFTozNQBBrI + mAGzdRx1rXEm45rtY3XywJHGo4yl5nhs8jxqTA7MBJJzCm0c8tAPC0wbaBKZXo7X+FhX1gxAKWU+ + n5tZ3/dd1z0Bk1ecc84555xzzjl3hZEaWAxczJR0yIOFTVbiwaMeV6up2k2p9oOuobO4xqqOPosy + JiaCQognTTdpbvrGr/ymsd0rhIIiZmTFiqYyLtNqVcbb//ZjZxb333HnRx/cuVOQRaC2QlYm6CZW + 83D26//P3r0HSZZfdWL/nnN+v3tvZlX1a94PaRhG0mgeSCAQb/RgF8RDICNH2AavY+1YcNgR2NjB + I8IOjMNhb4RNrCMcGwu7Ng+HWS9LsMKr8ALrXQESsCwIxAgJSSAJBIgRGjQzPd31yMx7f7/fOf7j + d29WVU/3aKpnuruq+3xiIqcqOyvz5s2bmff+7vmdb61cZBvHvl74EoCqMYvqYIwYkQfkAVvzTlRa + bNx9+r6H73/s0Vc+eve5++dxQxDVxNg05DIU6tst3DbLm1SgpKDaFQQ1mdBYr1MPAAMZeNyo1AiF + sdOnjTaH2cZq6HfKBZlRhF7si3DDOYZtxjbhSTMjUgPQdfNSUtKimsFU81IVJccVB1AgcEmqqoiC + 2axLfQ2ZVtTGJtNqBzDlrTIbKaGuhOVykIiuAwOsyAMko9XZcrecbW5/+JWv+663/UfNzqm5bW3O + Ti13kxGPoc1jK5MTs4VfH2YGApGAyMwUpHZNRj7X3SdB6x70t7q6sRNRCIFI6joxM2Kqny0vnhlg + YAKMDAQcqr2efq7dhTys9OQppRRVFo2NaEQobEaabKPDQKBCyGpmRqZTYykOCBGMQIU1W04FvcZa + yTsmLddWQVyvuQr1850VyjCCEbpZt7dczRpwD+P+r3f//J+992f+g2/6njNlQ3iuyawQLAoHkZhT + z4zDIe5jxNJLXV83mpHBCMYgBgpbbdIFLRqauKeL1PSfuvCJjz354bBFi5IEoX7nAmALsMAGg4IN + ASmVxhrZiQ+de+Rtb3x7fo47i2Q8fVnX82u5nqqD0dQWdfqn6TScu9XU5s45697e3rlzt69Wq89+ + 9rPf/d3f8+yzzxVFKdZ1YbXM9ZYisk4y868J55xzzjnnnLusUkoIIeX80Y//8fuf+H1jWB3zvNI4 + JxEzQ61mV+Sc1Ww9Llp77dJ0uudaX9bHWpVsgIRYTF/2AM4o8mP/8Mf/1nf9hyIcJQAIIezu7raz + 7mV8FOecc7cgM6N6bnE6hfnUU0/dqIUhZitav2GbpjGzeoIVPq/EOeecc865F63GVxORiKgqM2Oa + wS0iKaUQQq1qHkua3TFkgCEtc+wCKGG1C9XvffTxO3aXd19Y3EYUQlj1ewnoAsSoFKMpr86m2miG + skFsjMZeZzxP5WQnuXzFLq1UJmh9Vlbj/VCPJAkA2xSJt39jyJiEzcthON1trNJQtNw1a5+9cP4L + Tm39z4+89i+l+bE/+QQogyTGMEBTym1oAlBoTNFWYIA2Aph6rPXLq8ZUhxBSSjHGpmmGYVBVVW3b + NqVUP9NSSl3Xeaa1c865a6Luahgb6RQVPe4GAFGQCQY1SPzZt3396y5cUEJU1Ap3HAwSxniN0jgz + btr/VqtxvbT/aNO8g/WeCzFYbbyGrc5NOLh4V74kApjH09l1X0XXSyLTUtVFnW5wlNVDMEJWGMps + viHL1K/S2dierrNpxj0zgFCubywuWV2Noe6xEU+zABAY4/6iBC7gm+JAaNoeCDbGT9D+JnDwFxz5 + BTi0QRyYZFvnhBqsk1aAoV/M2g4AUj5z4bkzodlodLmrLUNLiYy6qhlKBpmy4ZWw6q2bhdUylWyn + 5rFPaZWwKDS+dvUBCQV1E1K5Di/ZgZVpgAAgRjv727/8r/7wkS8f1CLJ7jJtzTln5XSEO2blru36 + YSEApxVWS8lDLiptSxiPYYDxHT8dp12n47VxkisIOgV1EwrUAAIzQHUzuyneMM4555xzzjnnnHPO + OXfzYWOisWrCACOwYrM7dffZe7gnJmNRwCyw9qZ6adO7aYSaAXDt4zT1RZx6qZ3k+iLnnMdaO+ec + c84555xzzp0ste09KZAZKQSFKDIAKGstp1YGxKCgWj+uOEKy9XRLqj32C+9HdHBWASfkJLrMC2iP + S7OarquUUtM0AEIIAHLOs9nsBi2Lc84555xzzjnnbl3EljRhXWm3jiY1Ar2kOdg553Xc7MnqAScS + Awyq0JJWe2lv6DZms9KuSlKwQIS4ljUmKgPlV33xGy/25/UrF5+98Gfv+91/9bG/+GDbBkgaaACp + 1pVq4+WIdOoj9nkuGRzbps9JmQAaljYPM+EmP4Vz7Su+9vG3ftWjb76tuxu9SBEukocSmTmY0gCo + WMe5KYMSBFyMMqiw4UCDthr2eQ1NGxHDWBRGqgYAG1sYVtbnXQsoEcZgBnXohxWQGcQQNhAxgwA8 + vXxahLgREVaCopiZojQtpzRoAQOBQAwtcbVXOASlDM5jXamBNRgFHKgrnfpVKCjPOza2MlgaMKO4 + aa2YxN32rvaur3j0TW/94m/phs0WM0tY5FXTznJiImFSMjNOhEy1RPXahDefQOv1MFbuEsmhd8FL + vPfayMbMc6xfQO1bVwOtTY2Jj7q2yGAHvwiMicyYLpeNzWQw8rLsE4SCzGFZFZSLwnRpcz69SGWw + pUoGALPCSmwWYQwqsIKiUMtsUUCBIwmhZJCu+1hRbYxJetStoTDYVCxj7D+lhWAEQ18UbJh1zd5z + w3xOH/3c+3/1D2/7jsf/XRtisiHGzWBhWA0CkhBMy/4MAVLYusHQid4+FYASg5QM4wk3IzbkrDS3 + rHt7cv5XP/hLi3ihSCkFRIr6lrTaewswMsKypNAGHWwDW7Gfv+Mr/r2t4TYuMwEDqlOzMKun78aH + ZliYPgsUMNA1339wN9rl3y8plRhpNtvIOT93/sLu7u73fPd/+hd/8RkRYjIRWS0zMzdNs1qtVPVl + ++J3zjnnnHPOuZuXqs42N/+Pn/wJAEUhIkULpvbEDOj+QAegRmPf8DGPB1PKxfo2dUDvelwCIqGU + QsxDTgCDRZqoKduVRoYOjiu+iEPGoZQ/+fNP/9vf+e03fe3XlaJiMLNaeYtpgH9cUaZKnqHinHPu + RTEzZl5/LZmZET138cKNHc0kAgzMbGbkX2rOOeecc84dUQ20rj/XTOt1uPXe3t7GxgamqdwA+r5v + 2/YGLal7QZbjzKBL7OxByw89+vgrdxan++FM5EUalLACYsSyQIkUFsHBANN1bCBPpWKGsXcBxuto + 3YL2Bj23l6owEkEJUkPYDASwHXo6BB6DGwGA2VjXJWQ1UdIgpgDbqghIiGyxumfernZ2Ngmvilv/ + 3asf/h//5MPgBsSQ2MWODDXsTQSFkAEDBkAIfHjE1710pRQAMUYAwzC0bWtmpZS+7+sN5vP5YrFY + rVYnbnaGc865E2OcPMKAwsbg51oNbJCYBuQBQ+p2d892M1kodMDhQGvU2XA03hPb+F+9PhFAJgAb + gimMD7aTIqtz7xS1eRSBDHXyynp/7oUujYG0vieC1v0fELh2oJrit5nqMh9tz1AJPdBthGGvrBbb + XRdjTgtdLGQDUQdCwwBQrjJS+cjYEOpuH0BGANfs5Zr2TMgtWqqzBmtq8k2w72B1f3eaIkFQnrLS + AYXIOE2yThi8ilMth//ExrxnIeq1MEMgmvpZjCiK7e3f+qZvuO+5810zy4MGgBmpACEMZgyLdWLG + uBMOMHLE7ipHyMZsI6+2e0U7mxdpAYZOcy8JBVCoQQmQI4avXw0DbIzuJgUsWGhotmkbZ3mJRbqw + 0YTFIndHnDtCNf+bo1GaZ/q/vvnb//av/Xp76jZkQHjMs6+3g9r+y3YtjfnibMQGgTGyogBSp8LV + RWACxunVxjfDu8Y555xzzjnnnHPOOeduLmRMRsHEkBVQYiYQcO70HR11lCwyKbTA2IxBVADm8eRC + HYq3qcwIoPqz1ZaBJ7WmyLlj4vi0gvRYa+ecc84555xzzrkThtb/YzFwnUjwAnW8Lz7T+kqMQAYB + gaUvy42t+VZN7hHRYiI3pog4xmhmqioipZQY4zAMzLyeGu2cc84555xzzjl3LRyKDDADU7ZsfOkI + CRvrSyuzyznjOJUZvVjGBTAoQSUEAUBCuctpONVsFjMtQQFQJjJG05itPrO4/fS9y2G72dj4W9/y + 0If+/Pfe+4F/+enn/pRnpGEJYGwMgWnWPSmgtXHY571U6ECDkSkVZm6Vbcdm2Ljn7IPf+bb/5K72 + gbN0p26LrrRpGmaOmjUbZypgQAM3VlhVSwAhg8rYsc2EIIpyPVctAWRBFEAmwDJMwQQWNrJSbChA + QWjMUFRNSzIzKyADkXDLIDWyAaXUWA8GGDsrCCEIYNACACyIISRNxnm9xddOJWowgo2ZoNBaTkoZ + QD/AFIGx2c6bvrM92pSz95194Fu++h1fcNtrmtUWD2E2OzXk1d5ywdQqBFzvrwZ/siiuQxeFk4KI + DDx+AhARmIiIyF6+eEtVLaWcvA+Z68DYrMBMpqZ1RKSmdFWrimhs5YM62E5U231OfJs/sYyXQ2GW + JsYQbMhZL/JZvXe4aJvt2RIzUSEiIBTLadkbUiMzMzMUsBqbcVbTYgUEI6ZD7ZfGZlKXS0C/8hLV + JGxWMjZiGDOyAjnZbIbUQ1PqTp86v/3cmbP4rQ/9yzfc+UUPn3m9dJ2VYqocBMIlp2mnZtri15Hb + J5ySHm7XQ7AA4xhoudoOt5WP/dkHP/qXHyin+qUO4ClnfLypMjKIlTAAzAjWDBf027/yna+543X6 + jGzFedJkBLJg+1+OBQCo7sAIjMd2rx5gfwvb2tra3d0lEuEgIt/3ff/1H/3xJ5smDEMGIecSozCH + 1WqFk3gg4JxzzjnnnHPXnYgQ7DOf+cy73/1ujoJcB78BwIB1W2A7cHtVrQdcfd8zs6qmlOqQnV3f + YlgyDCUDiEGQCgCYlZxhL8/QQe1c3Aj/w//9H735696UczZQYG7bdsjp+bdnU51SW5xzzrkXUGOt + zVAjoFSVRBaLBXDjzyd4KpVzzjnnnHNXp4ZY55xDCAf3q81sY2NjvfPPzCklz7Q+pixDe6QBqfzH + Dz98V8p39uVMn4LmlaIlrAq6GeekSdF1wayoEq1TDwHU/OoDRWs2/e/mONCq8ZCFIFNed32mNObg + AVBd58OBlWhaNwfKRwkboVmklQGzMBvSsFrkLqJRoAzY7X/k0cc/kZc/9+RfNIEQSlotY7sFAAYZ + Y/ZYoea1xCNMCwAAIABJREFUoy+3UoqI1PH/lBIR5ZxLKXX8v23bvu8Xi4WIiIiPHjjnnLsmLv16 + URiYuAAKCAgNoxjS6jSL5ZJTbjDGABhdGm4NjL2k+ODOGJnRGGELgE0JYmClglolb1CMxer1Do9w + Oc3U0zGTAADExtReJRSCAeElnAnoOiz3csddIKxWqwI0HceGQGpA3UtjQKZz/de05p7Wec7jhAGt + qb3T6i5Upx5M19wEe281Eh1cgDxldSsgYxzxuDUWoIDlKp5xfb0uG98soADWMsQgKECfEGO7e/Hu + IOgLIYYGlhPqdFqJ+y8+jccjZDCFCItGXe4lYD6nz8KeDgamMZsdIEVDGEhfxsl3V0QYg7uNxzk4 + BkAKzULIf7pa3tM1TWEz22jiakhHOpA2oOV5r6sG4FTO7K1QClZ72Dg1xkWP89QU1z8nxHia5KuA + gsYqnUPzkG6OJHjnnHPOOeecc84555y76bAhmGSQWTJmZS1oIsXbTt8j1jACQ4plNVhBw8RqpfZ+ + BNb1Mzxdo/tnE3S/mZLdBCdVnLvejlWPKc/4cc4555xzzjnnnDtpDMboG3Qz9AGYJiEcrOZVcB3k + vYoRXAWDtE49FQPR2KqPWIrmSDRb5a29jD3FGVB3I4uIa/5HTbYG0DTNDVwY55xzzjnnnHPO3TqI + yABTsgBmrl2bLxc8eZXVdbW4qJRy+MqTESWgxIUlqZKUQODSN02AEpuUlIqxmSmBuBiRgALJVrPV + X+jnp+7cHRbP7p7/8ld/49mz9/zsL/7E+fyXxgmUyzi3X2F1/Gd48ctjpAM0ttABgcpc2mGp9525 + 89u+/FsfaO5vdueSeU4dxHJJ2RbMLCWSIpAQCUwUyAxjKzACWAUIpBEAKBsVJfDLFDJxueVfB2ko + wGwEDWQgZOsxF5CF1EMMkdGIQnQ1gEhrQwRhIuJAoTbnMiKYkikRhEAEAs2FTFHUFKZcU6aTapIA + MsCwX0tKGevlORjJSSDCrEUpoCS6EO2bO2b3ftkjX/V1j7313HDnnXT3UxefCrN2ueqL0tnTdw/D + UEtRC2UAZMQQUQBQ4mNUXndDmZkamxGRMPPL20ONCGamqjXEpX6sOQBYNwYymy6ZiMyuLgKcL+kQ + QhDm59Vt2qU3c8efEnPb5ZzzkGhVuubUa+9+w/e+807tVJmMC2uvuaSBhiGvSl9Keuqvn9zee+av + Ljz5ud0nL5RnFrKTQz9IpogyNaISYzLIuDkcZasg1I/oMnaBYUBZwYTIsAILMiQ1zt259vzuc2ep + /50P/OZdX3H/7WfvTalnY5GWGUmVBYdmC4BBerPMGVA2gFTBChaLMA6ClPrz23/1Ox/6tRS3E/em + YI6qtm70w1CCKnFhgNEn29TuVfc8/je+5Fv52flpOV36hFiUwaVVY1ACGSgBCpvBGBamD4Rkdd3a + ZVs2uZsYA9jbW7btDEZm9r3f+1++/3d/j0DDkEPgoqqKlApQQgg5q4iU8ryYMToGyTDOOeecc845 + d5ww88/8zM8sVkMCOJCWWvZ6uZsatBQAgYWZU051aJSZLzkjU+/4Wi+5AU3TDMOgMBCBBVpgCmYU + PbAMVxgj2m+L/EJU9X3ve9/HP/mJx179sOVSSlmtVjFGXPcYb+ecczcTZk6pMLOI1K/X1Wp1oxbG + dP+7MudcT6p6PJVzzjnnnHNHUmNf15nWNiGiYRiaplHVuptdR5bccVQKdi4glx945IseTHonh2G5 + Pd/YWu2lyJyhDdNiqQG4Y3Pjqd29zcA9GQA2iCLaWJNSAwuVx7FHMqUaZwic6CpHNjSFAehUF6dT + POE6MBIA1UjF8akyQGTCxiAF1AAQttNq3sIKFmkpQBBSC31JhP5MI3L+wrmzt3//Kx75Xz/6Ucwp + bsxNUGoApIEUgQD2lLeXn4jUz6uccwghxphzFpFSSgih73siCiHUrOuUkn+aOeecuxbWZ2BpzJcu + VGOEDSAYE4mCU8PWGEUEoNjY9H88N1zje8dI6QPztupcg1hQGCowHrN+Y0Gd9QYgKNjIpv5TV1Fu + TAdnsRnIeNxHAhkhEwMaADK7ij1DNqQFuoCixkSdAITUZ9vbQ4bUGFwGKcK4IvT6TGCYZsllMDJB + gQiiWvbPUZkx7TSe7B04Y4AV9Zmm+isDRlrAqE9QGdyDUCAGyMv0jAkQYgGIBcWwWEEiVv2cBkqF + EQUBw6oHuiChUMkMUqYDJQ0GAKGgiWHQRNDZZnhqmZ++q/vW33wPGmTGAASgyYChiTC6ti+XAQUg + giDAMAZxEwBmY0jzHb/3Wx/4mq95mDZ2t3e2YJ1Ee/68gCtTQq8JFIitQ2wXS6QeW5sQ1LefCWgM + ETeBGkDXK3udjLm+O0UhBcgEFYS62RgdmnLqnHPOOeecc84555xz7phhUWa1bCBRJZCRWjy1dRfb + XKwpGcYiEiyXCJAaOCjC2H9prCZCPbXFtH/GSAFQAZhx03Spcu4W5bHWzjnnnHPOOeeccycNqYIT + 0MVwvu2ebcMs5U6NoXUegrIqRQBiRGZ21LmNpACUwADpNDRsgClgZiar4f5u633f+M1v+d3f583N + GzV1ss6IBlCTrVU1hPAC7YeuKnHEOeecc84555xz7ooMxcwYxHZp3CzZ2D3h+TEIL5oWG4BCSqTC + h2KTlQ2FlUyV+BgmANcQWOZIZqu+Z2YyJSIzMDOxKGBgMytaWJWUg1LeS9I0W+Fc2Un3dA9+1zf/ + nZ/6Z/8boezxBaMDycpTH7EXiyABQ4YApEiLdHt3zxsf/OovfeAraGfe8EZEi2LFsoRiICsaGDAy + Y5gWFDUipppEaWAlTBnmbGDAYLimndp06ivApoUYUFBmRUNCmcxIWCRItj4llQA2GI3rCmbQkmvN + JxHUlKxmWivBDKUYw0ypgIyIghoDBFo3pAOAupnptEb3/2dTbxQpsEQtZli25+Jdb/yir/nKx77u + ns1XhsVsNsx3dldnNs4NlnMpxHGVhqnnigKw2ttDpy4YJ7rNx9HVBnlktfmLKnRqRiN13ZAhgISC + MdUGMUcKoJ66eDAZG2ldvTRtHtlQjGrI6y2akblfA73uVKi1A6MpwcgUkLHxvR19LRkpGWAMY4gS + EZiYmQ/UXrOxTjd+ic/GXWelFGYWbqGZldGH25tXFC2qBGhAZiKKghjUzEhff9uXaRhyWO7ahaf2 + Pv3Jv/74Hz35h58+/+nd5V6WYpyVrH7IG6ny2BPq+dvF/nciAbUlDe0no1ttL0q6bmhFgDFyLqFp + +tJDy2wLujt88FO//fhrvjhutPN4lor0e70FbdqoOQGAsZHWb7was31zfEgQAOP9dz7nXovO0h/8 + xfv/6K8/ZLelzIUyWw3AIsCY6q4HwUiNWEhs1Wzq7e9883fKxdnctmDMgZQUYL00Avzgzzq+TD77 + 4hYWYyylEPiH/pv/9l+/51dAXAzCIeUUAqsqM5tZzpk5XC5T7WZ5KzrnnHPOOefc0a3HRdedi42Q + Sk7Z/vE/+b9ZQAotFmLMKdUxgEPDKrQe9CZVzVqaEIecYHb546/rYhgygJxzHa9HEJQCfTnHCdWw + yvqud73rVT/wg1FCyaWbz0rKODwYr8Twg07nnHMvGhHVTDthRil1VBP737c3YHnqbJF10p5zzjnn + nHPuqGopFBHVRFgRqcnWTdNgyr2uQbDryd3uSNbRf3ylOtn1ARUd/HG6ni69pY2dYVVMkQqWy//q + 8S86tb19H2LsUyw0Q9je2+kkZBQrYLWzbbfoV9u7e2faOKRURwX58N2PQYYGXZciX1oQdSKRTc/U + YHSoFv1gXS4blA4e2xobAUT1/1AFQkBKANAQJHDOGCy3IbKUVV/OBtl55ulXzk7994++7n/444+A + QPMmSBwbflp9+Xj/PTTFRu7/eqUtwX0+9fMqhABgGAYAIsLMddTCJgA809o559y1YFM69TTrqv7G + BDSEogAjpB6p31ruSB5Eol7hVDWt7/F514tBDQAKgRmqOk5XIbVpuhuZvZS9h4NTlmi6qJObACa7 + ytPZZIgMM2Ttm9CmAQxE5rm0sELICmbwuOCqYCW6xpMmpz1tq7vdBB1fRxaE+oLWV+jE7w0fRAci + nw1E00Z7cCcYrGNk8ou/13EtjdNc9iPeoaYgAsxyIUQ0HfrVj7/9m75+1bctkGUofYR1TVOGQTiI + KWw/Xh0YZ+kK05CGpjuVV9vDbubT8iwrokDGQy07vDzXBU9vkjoZcDrCGgqa5rzYxeXe/W3EoLkk + AR9p/qkIFdNcSpP5fjUse2jJ04Qh3d8sicHXbTYaj28URZ2iOE1arCnpBVBAbtT5Quecc84555xz + zjnnnLuVGV+uR9X+NWQMGtvZMdQAJrCBCnGJs7ihKJkUWUViy5JyD4JqAQfUYfDDmdZT5RKMVEmN + 6vkpVZMrn1hhPK+BpBw497QeXb5sk8lj2GzTuZdFLWc6Phk6HmvtnHPOOeecc845d7IorAhhDkbm + v/me9/7+N7z57otPnxtKTBCAYEYoxAAHS0e+e1I2VkIhMoOQrSdqgqxYISAQzxa7ZzZPQyLKDRth + qtOe18nWzDfVLADnnHPOOeecc+6YM6stmoiZSym3WnuyUkpoxQAGpQHzdvN8NmkAjJGxINTGBVIj + ao9YKVQU3PCFxTPGQ8MNFynoSajUud+Ua7cAIxi0RkS/zM/wJWDTAJjVkFzrYqdmNIYxswGmtZ81 + EQhkxtYDaKVIVkJjVlZ0Ws7M56/5pte/4//74C/sxp3CxTJmsaGsqlpbhr1YBiEMGbMGWCLY/Exz + z1sef4edPwUOyZR4IIJyVgAWCShmQAFh7CZAAEzL2LSBDaBiY5cOBa5Xc+5aIUqDsRqjENQCFUQJ + ycqgK5WCiGyIDFKYwWqzEgKTMXMuCoIJiDC9Z40YhQjCZIYaX2rjSrNLHnp6usKoYZ9EMIUWFsSI + uazimXjHF736S7/6sbc8cPYh3g70ubgRtwoKGqSsRBAKgJHlsW+DQceeJlO9Kd1yjQts6ixjQKGi + rFBhYwMxiAlaclTZaLusKcGOOhw7dqVRNuKamlxf+5Kx0YRVXwgNM7GpgvSImdk3C649Co0yUEu0 + wSYAlTJ+3WVLFlCOuHZq7TUTAjUpFWkk5ZwSNrtTZCCbWj4amMaAcy+ePkHYFNNnZu1WSWRUECBG + AJggAMhqTx4iE7LApeVhdpZOnWru+cIveMPXP/TOHFZPfOL3P/KpP/jEZz66lB2bl5XtJem5waoA + gBBaASA5qXHNoYcoxLR22AQhg5VYLE9fj+Obff/rUiEE01z7POUECrq6bfufP/Gz/8UXvlLyLPIW + EbWdpH4RIDAurIW4tuxkC2QodMzC15+/MJdpn7ofYB2JUyrgoKpNYCJb5GWcy4DFX+e//BdPvGtx + entgiKAjDiQrymomzFCoIgQUIkvaYaPNp779S//9L4iPnh7Ottztph2KAJiNgIIaT24Ea+uCAgrq + 62IAGFs8nfxmr7eY579eLzSfR3Np23ZIhZlDaPb29trZRilFWPb2Fv/gH/z4L/w//xyAmQJcTAHk + rAB0ii5TzfWHGGNKCUDbtn3fr6+pB2IASikiMgxDbczqXgozq425D165TsSpnW1v7MHvJQtwqx2J + O3fSiQiAG5ia6Zxz7uYTQjCzUkoIYQySJLITP8JKAA6OwNcfTbVru73d3a7rsiKVvLGxsdevCvP/ + +4u/9Jd/9dm6784gzQbjuk4MSkRmAKFp49AnM9iUbj3k9IKdjK/9MMg4OMBQoO7e57J+XCFWW8fc + oOZxw47+CjNM8dM/84+///u/H6a55JY7kLKNyTQ1lsXG/uYvx/Nyzjl3s1vve+z/wLzY3bvhY1Ui + XDOrxnDr5wd++Dedc84555xzV1ZPZmFKhMXlTknXIFg/VX11FKi1IKEWD43R1PtVnftDklLDwFgA + MSADBAQYtOQcQkBmMBaphE4AlbzATv8DDz54X8kb2UIZxEBkADoAmgkgAhkW/QqAEMqQiBBsrFo0 + QlkfMdmYTbauZmQ7ZmVjV8UIdcS1joVeUqtp61q7aQiW168HjTeorxDblG0I2FTwE4mLpmSggMFK + y9yt9rph9fceeOhzG/FH/+RjmEdQQJyBIhQpI4axgNjWWZF2mYo4HW/FV0xDd4cdLK0hoktO0Oec + 14VAzjnn3DWkY7QrKNSvIrE6V5DR599461u+ZLnbgVJZCmpALF70d70CEEVZ/wEVNgsKI1YQCGKF + oeN4+NG/9Or0Fowj6vsnrNnQloJxP+pqdg7HbANDy7DcBwIMptE0gnpGD8yAgzvHh6N7r5kxsZuA + KchZEIFICLx/tv7E740RlEkZCm1gsT4xQyCQYJpDaVybiNERM63HhxiPcVDqLjdAlgEzEoMlK00M + MMKgGHbu6hcbCNYXYBlBgNkw8DjtlPG8XWMjGCwQbLVHIETrDUotqIFxA8S6Xca6A12n3VzDjYem + dmuF6x57ESjQ1kWldo4Lu4TYthGrHsRHfTMyNCD3qiE0s5Tvv7jzq1/7lr/xx59USEQiwBC13hAg + Y8G1P2ozkEFIxTIogcaPt4Mby/5PPlfGOeecc84555xzzrmb1/qcOzMTkdmJLyk56fb7Tx7uRGmk + IYS+7yUQioUQiYgKiiYwc0BJaAmtBR5IYIPshqYJtGFKSBSpSZYRmS1Pd8sACgPjOZ+x2MZIa/c2 + jB0ybf9cktW+gnzw13Fu51Q4w6ZkWutnlLjerV16XkaxPnHl/ZrcTUdEVLU2lToOdU0ea+2cc845 + 55xzzjl30hCVUoQZ0qDbeKpPtz2v9r0mGVxdSfz0V6ykYvuZPKpJiEiEyURJ8gDWpBrJh3Gdc845 + 55xzzjl3CzFSCJsplCQSUQzSkTEdGI0xAKQ1spTtyN0amJFL6XU1aK+qkQIw1DtRgtj4CAdGbo4X + 3q80HQeO7MDPh7tXqFGtU0QhBYyMQhHRlk2/+Au+7P0f+zdP61OQEgJyzjaoyJFzd1XRMMg4cgON + D97/8IzOtbaVbDDSwplhUzw1w8ioPL/FBtslT+HgDa7t+NhYvmlc03bH9HQDaYzW6HJoYgwhrsqy + IBOQCoggtQldXVxCUWUZ22hoqZF844JzvQkRargyjbkYzDDsN6pjZiZmgMlQgEKWhVKImG3Fc6fk + zJu+9m++8raH7j31ijbP5TlpbBa41b4gTJ0RjKc1tb/2Dq7YY7k5X3ukBoiGAoATSI1Ep/JZhpGB + 1RoJYDKG6dHeAfWDQgC18b0mxmxqBC1ZVUkYZnxcP0+uvUs+mg6tBS37N9hvHXgUxjADlMhITYmI + IE1o2Jht7NUCrJvgXI+eO+5lxIdmVtSelWN3n0tvCQAgiJkJIhliAigXLsrDmx/8xq955M1PXvzU + Bz7+2x/68yeeXj7Vl2Wfdjc3NStCg+UCOZfQwIqFtsGQDyZWH3ocW19/YNmm5aEDC1xIl83es8Nn + /80f/Nrb3/ideS/H2C1XuzL2IGIFjFTJxAjGl22aeaIwEWlBDBxZcuqL5dmsea4/n07tvOf3fnmY + 9T1ADMtgg6kqa9M1losWcAAx9Xt2Zr45PCNf9dhbXn//G07zbdpjqT3PGIEsKYz3dxIOzYI4vOfg + EyRuAcyccxYJOzs78/nmqVOntncXIlJK+fmf//mf+MmfAsAipRRiNr3im6smWNdsmL7vu65brVY1 + 5bpeE0IQkdVq1XWdqjL71uWcc8dUKYWZh2FomqZec0lQvXPOOXcV6jdLSqlmyYgIERUtn/cPTyI2 + pGGYd7Mhp6ab51XZ3t5utzbU6J/83D8t9bu1xj6rElGN2OQgqgogxDj0iYU0TwMlV9vF+2WltA5P + qf8bx9LBoMCciwYJoen2lgvUdO4jTkpnYlVl4Nnnnnv3L/2Ld37bOzZPbe3t7Hbx0NTysYE4wEc+ + A+Occ+5WVA9pawpUVUq5JCbqei8SgQxmVodPMXZy8eNu55xzzjnn3HG07s9a6ZVTDAsgtYkrE4Ah + DW1sxlrioWx0As0YFlj1P/zwax8o1PaluXIVyiX9Xi/NdaYr/tNN43kdbw//a/3fodu8+Hq5dTde + AAimwbQpGlQZ+Qde/dq/94mPYDZD2UW3ZRICIyVE2f9jGR+XoTrmiq+HjY+Qc+mcc865Y0LrpCwj + jEPVBcLIWSHN6eWy2ekFzDHaNKb9Ys7SDsKL2CSpgQE6MBiIhUUJxoVRIwWaogSbAm7r7AaaulDd + 0EugMANKSAxVAqxBmV/oZhAijLMlC007P3RJ+ML1wHWaho3n02mc5oObZqZP3SQNTGCD2hiKXGsV + GDTGRzNBj7oLapf7zQyos+CCEJkRASBC328u9prxzNLz97ovsx8+bkSEsWrCYAhGdSooQ0EEIcW4 + 239dXi8DwLXcgqEGJRqzn7G3RNvqqc3d80+ezmjIQmzX7/cXgwy5aEsoeWhDKH1/T2wATloarvOJ + hMenydMHDV9d5PyRUN2CSMdtxhjTBx1BL3dU5ZxzzjnnnHPOOeecc+66OTRQrKqqSmwioZSCYmqK + wjPaHFYLKjoPDSXI0Mx169z8HGCFi5ACkQwGLYw6NA+AjZT0QN3TwaZtTAYxBWopjGEauQatezEB + 4AOtqxgACGQ6NdWEUu21eMlYN5MB4AONCk90/yvnLuM4RFkf5LHWzjnnnHPOOeeccyeMwlTIgGCG + veGVW3dsLnY7LWNlM0EUDRS4yrbldfhqPenUCIWghIa5T6klWQ5pFtveCmJJLfM0Wuycc84555xz + zjl3i2BmhWUbZ9M3TWeZiOiStGm72vRHZipDGfKQyqAozCjgK6cr3AydEaZOYURjDSKLhnvuuu/u + 2+/90+2PZAURmSlzXflH64itCSLIWSPYij38qteS2vOruI5tTDgZs3FtikEKIoWqFDS5vfv0/btP + X1jtrSyZKoeZJUkllCwKNUMNuTBVVQXp2F5cQAYDgYmFAgqICAaFGmmxYmaK2uIBIBDBELOZGSGj + tcApSG42cOqejftec8/jr//CN3zhXa/WPd5oT0lqhlWfStaYc2slGxVmz+988aZ1tW4HTyBTNE1H + xvLiutVcyTTqqwCYUbImTcykqjQ2gXGj+gFeymVC7o+kfjOY1WJrEBEbzds5rVNqxldcGVduJ+lu + FgUJVKOvGWA25sLFyPaQd/q75w/8O1/1wNe87i0f+vgTH/74h566+Fd7e8/ldrVnOxtng0XaW6Qm + xrQaWgIIBbUWnwGw5Uu6nX5eShw4DCU98dH3f+Wjb72XbydhqAoLlBUMKB1qBHVCW8vst5Fa5UQN + q2YiKqbz+Xxnsd2d4w989v2//anfsFMaM0Kgks3MQGBQyZlyYQbFsL2Tz242/bPlkXNveNNrv+Ge + rbt1MaBpLWvL7bBaeZywOyjEdm9vr235zJkzOeuFCxe2Tp8dhuFd7/qFv/t3/5f6Zi2ltG3b9y/U + oKqm062TrXPONSoGABG1bVvvp+u6Uopnozrn3LElIsycUmqaZp1mnXOuEaTOOefcVWuapu/7pmnq + rzW/+aQewU+mdtbVfqMBImLmVDIRpZREJMa47PuPffJPf/V976u3DiGUPB5kiUgpxYrWjsZ5SDFK + SoWP1fox4ukFU9RR+drD2cislBxIVHWxXNRjQwrB0nCkR1BTJlZTBn7yJ3/ynd/2jlKKiNihSG3n + nHPuaMyMmYmo7n4wc7nhLVTM6oL1fT9dYfAhU+ecc84559xxwkCo+cQGKGAAg4gBFIAAkf0CsPXx + TAIoQqD9atW1cyhsMAqEYFjtIA0//PDrt87vnB36hix429Ybh20ccRUbX74CFLaoevv55Q8/9CX/ + 0yc/gs0OVrZXy83ZVmhQowIVKEAGhBBsqiIm1OPbpm4w64BrP9J1zjnnjrdpb45BWr/ox+7+DBAH + AnZ3YoyBAEPO+UjNmvZC/LPNUxebFoCSJgFBm8wEGLgQZwbVWGtDYa1x0uPyjAtyIy+NAGMlBWXA + jNQQSdsnNzfeFGZAHGMJakgwBUD15pgzeYuoEeCXzh8kGAtJAWAKAyigKFbD7RzCEQ9fDsynIzGw + otC0k0wwqovARGN89zVHgEGszrvkdVgHCrC5gd3Fp2zx4EbT8GArDKlvjrg5h8AlKwM557lwzhl7 + u/OzmwD231brPGvnnHPOOeecc84555y7EYjohs8kcJPLDLuvuywSUSkFwGZ7apbPpt0MaNM0JSmn + 2Omp5bMa72xFRdYp1NO4fG2zqWNdDE//wkCNo5ZpiqIBAGkhXUdTMwDSaRj7YN9Inf6CDTGPLZtU + SWtllUwPQaawwMZkVAfGldNV9/Z07tg6VsnWHmvtnHPOOeecc845d/IQeACCEOLs2aeeeYClDAjT + XESyOp6rdLUTFNlqI0AAUEAJBixT33JrQz9rm5JyN2/BJSM18Da7zjnnnHPOOeecu+XUjFIhMkUb + Gh2UsZ+KbAQlveooXyJSy4qyHBaKYutUVBrvkKzW3tHzZvufTLQfOVl/EFMzSovy4AMP/c6HIxSa + TZiEBYAepfiKbPwPBabW0vzuO+5LuzmoEo/xGAqyl5IVfM3VKs+xuQMbq2kozWMPveGbv/rbsNSL + 57efufjck099+rkLn/vMs0+uwjDQoFoAhMAchMhAOpRUf7Aa622KAgM06xifTEwsQg0AgpTBiISN + gcDGMGKIIG7K5v33vuKRBx571T2vvqO7d66bsW/lYjsLG6sLwyoPsYtN02TklBIAQXMjV95JQMao + w7HGIK0bI5EBZiQwqOqsBiFfXT3tOhhl+nslCKCqqawKyjoq3sy85d2akaXcE73UzweaQrLNCpOg + yKydH+4aMr2uZB4ufhOrdfMG1O8xMcCYDEEb4bA521rlve2nLt6x8cq3v+Ghr3zorZ/6q0/++gd+ + 5bO7fz6f7WxvP9OH1Ha83EubG8FSBlAIRgwLgAoUBt3fE3lRipFE2l488+FP/O7tj70GfdxqI5KZ + 8ZQdsoW5AAAgAElEQVT0YAQlE6UT3+hGSQtK17RpMRBLjLHXAV36XP+Z93zwl/turySN0kopbFkJ + RBqYh5QEQEBWjULUd7fxubd98dtfffph3TXt+9m8y0SqgAqYrvZj2t2EFovF2bNnt7e3RcTMuq7b + 3d1973vf+yM/8iMhIGW0bRyG3Pf95+0jVXeocs71cgxmM1Mdd+GYuZSiqp6N6pxzx1YpZbVadV1X + f1XVlFLbtjd2qZxzzp10ZnbwC2UYhq7rVqvVjV2ql8vhcGsAEBEAqhpCWA1DO+uKadO0P/HTPwWA + CQXop0xrM6tHTyLCzCklmOVUxtYFx4gxCqZO3nagC3MjkkqJMa6GFYPrsaHlfBWPQUQwBOEP/P4f + fOQjH3n8ta+dd7OjxmM755xzB9VuKcwMgIhYxFSb5sadFCaaYq2xWCzqUnmmtXPOOeecc+64oRpy + ts60xnh5sDJUagGYgWn8p2VOTZDe+nnbAlguh1nXQBXLXWj/fa96zSue3b1fOmqb7X6H6aQXeZ14 + ZGMlkAFGykBbaI5WdlY/9Njrf/SjH8asnJ51sGwg4/0gywIYEGisJLKDlYA2BmB7prVzzjl3Imjd + qbsEQ4eeg2A2S6teAlJS4YhSLnMXV7AX45f+5m9gYxO63u3Qcd+SGDRV/NezymzjzsOxOkVek4ep + 1NhvgGERTYsaaz0tqo2xBkxenH/i0P7Uk3XMs+UsoREKqoUA5AF97vZWQY/2+tYJtgQiWH0HjFN3 + D+4q6/rQ61obH5oUAhQiYJpbXPOmN9r//Nd/5cOPPZYGZLVZu2H98kgPULIywxShbXPfD6sFuo5y + QeCD81bWb3GPgXfOOeecc84555xzzl0fNcraq/WPv9ruZq1ec9vWnX/nO/6zRdhlARGVbJ10tiev + vP3BkFopgQwM1ZpkXTtPGdgOTco04joLko0w9nisrRGhRCoAgW1sLLlOxx7/mBQA23qgmwtUEUDj + ODfbeIZh+kNmKAgwBopvd85dBx5r7ZxzzjnnnHPOOXfC0LqYmhhBZufO6cUVYeziR9i/tFp4fVVz + DBgKG9ORqtlslldDICn9IE27s30RpWzUW3phs3POOeecc8455249zGxEVtA1M+xc5gZa05qvYnxG + CQAEO8sda6BlLM47nOJAZFA6bhkMV+PwM2A2kDFTKSt7zQMP4wliIzVTM0UGjlzSGoVVlZkpydnN + s5vtJrZNRDLWYbWK9ez945dvzQSCMg1UW40AopDSYhHvaF/ZUXMvs94m5UFtGu7L6vze+d3F9rMX + nn322afPX3zm/M6z27vnF2lno0VGnzFkyiQKKopSYG2MZgSFKVEmVmENokGsidZ2srERt85t3X7P + Hffdf899507fdXZ+rqE2UiBjXkUoyCJC6HUIs9iiU1UkaygGbYsmxOO3To+V2kRjTLYuU3j59I82 + /jSfz6mw6kseiiVdv+WUUCz3eQFs3cJx1vUDlmtXj/V6IDIAfe6NlIiUQHo19fRktYu+kpCZwUiU + trotrL8jxtt5t51bhIIUFkA6vvdBZIzMw3YWmZ+LM12VftGf5XveeP9dj9z36BOf/J33PPGvoW0f + 93YW57fmnFKmsWkpGxikBL26M0GlmHK2jf6JP/m3b3z1289wawaYATR9KIEV9Yta6dI0qRNFAYQQ + shYWY0Kg+Mze+XBf/4vvfdcnn/5Yc3sYVjkQczLhWBjFMhXMQuyRiiEnPdOe1afCN3zVO15375d2 + yy4lVaKsQzaj3HZhlmx1kleRO5IrfGjb/rd0CM1iseq6+WKxIIkxxg9/+A9+8Ad/aBgUQNOEYUhm + iDGmVOqsrcti5nVCTM2xrpnWAMxsHRsjIiIyDMONTI5xzjn3gmqm9WKxmM/nRNS2bQ3avNHL5Zxz + 7gQjohCCmeWcY4xN09w0mdZXMgzDrNtYpSG2TdayWPXLNLz73e8Go4yjcDXVUoloGFYAStbaBVyA + V7zi/rd9wzfm1fI4DViPB5JGALQO9dRr6jHgz/3TnwcQhEvJEpqi5aijQAQqWmKUmur9U//nT//Y + 3//7aUgBfDgXxTnnnDsaMyNi1fH8mZm1bXujFwrAfqw1MUP9q84555xzzjl3zBxIswb25++vrx7n + 9NsYxDakYdY0C1s11AIMQ+wiSDHsIvU//PBrX92nWdBFXrSGOXE29fqlG0jBAj2YJhmLApwxbHAs + F5c/9KpHf/RPPwb00AXN5oPMDGgBmV79ARCCADS1Bx1fT39ZnXPOuRNi6rJ/mVOxDEIaMCQx0gIB + mx1t320nNji9hTNnUABTcH2gdQbAlCZcuD4eANgxm6hSGAbwFGtNgAk4QAKM697wOLXnRi+peykY + SOtoaaMg0QzD0DdNa0Mis199+7c/0ufmKLHWVkPOp4m0ZCA7NKmWDONWtI6Wvpb70QYomKcjOCE2 + TBsuAUxoWvSRm1ZzmpFYf7SSHiMUq3PbjFUVaJgxDOgHCIPYwDr1fDtm73PnnHPOOeecc84555xz + 19nlx4mJyMzqZf2VmU+1p5v4miyJguRSVHXebQ0yxFUMaEVlGu9WQG2c6shKlzS9G8tjFDyN1U/N + NgE2NpvG7cehbGDs7KgEAcA2nkZQgrIyMowBhglh6t5D9ZSRFs5Agug4/G/xYHsf50609TsUB7pN + 3nAea+2cc84555xzzjl3whBgUAYj9Wjks2l5X0pnWrK0fxtbJyIYXmKVNRvMoITVctk1naUkMaRh + 2NrosCqSBoT/n707D7Isv+rE/j3n/O697+VWVV1dvaCWkAQSQmCEzD4DmjGMDGLMDDDYg9kkCGs8 + Cojw4CFsArME4ZkwYeywmWFmgmXEagPCHkHIMGMjmYAYEIsEGAKBhFBLqGlR1eracnnv3t/vnOM/ + fve9fFnVXarM2jKrzieyX718mf22vO8uv3t+59vh2mHlEEIIIYQQQgghhPuZu4MgROaA0XS6zoDW + eDmCA0YgsluJRiAiS3px+1l7RDUrAUTXZx3dL2MyVPsQMDmzMzkYBpMuTR7eepSKsDcipRQDwId/ + 0e5ORiAG+OFTj7KmRCmBzccA8cXTcLgdu3eVrrsE4CwmohPdY99rJrbONNViknm9wenunLbFT6m/ + RJ3dRJUGlfLs5QuzsrM9v7rX72abZx0Gzaq5z4WIhFMj7aSZTNLapJm26B7aOrfZbG5MtqayLpas + gFTEpC3rMFEvqmpknIiIQJjlviVLlGDuBYlTx20RKZ41IntvbIyyZncycl5Uzbo7uKbV8sbaBkNI + j7KEPue6yAjMZJ53Z1ecH1kdXn6QkRP7uBp3sqwZXPOnDYD7UUbbiUBE498TSKU5tXaaFg2IjOyY + rXTCnVL7K9HiA17/9F579RCxtQQXEycTb1XV+nK6O/OaT/3CV7z81W9/96+86wP/Xoh3dj4qLcDj + 9ou8luCb1e48h93xYM+aZ9Q/s/v0h5/9s4ceOzffHSaYGtjHeQJWm+z4c7XWOnFMQexd21rRme6s + Pdq+433/9l0f/I1mE7v91aab+mBuxsIkXrJ3IJCZA0ydT2YfLV/0ii/5ay/7og07pTvedlNl60uf + uCGictx6foV7LaU0m82applMJtJ07373u9/0pjft7Q0ixMzDUACsr6/v7u4CfINYazNrmkZVVTWl + VEoxs1JKSqlmWtfrAFQ1Mq1DCOE4G4ZBRNbW1gD0fd91HVG0Hg8hhHBL6uFAPWoAkHMWETPzk38M + T3Us4qA6GFJKEREnzPv+7CPn/un3/Q9Xd3cXjQ3gjiRSSnF3Zp52k73ZXiJmhqt917d/x1d8+d9p + iI9TrPWovt7VwYVSSjeddM3kzT/x40VL0zQ5Fxx1/8HMDEiEt73tbf/993zv1sa6sCwf0Qnk4OVw + UwghhHDT3B0ONzOzexlrvezhAuzs7JgZcxx1hxBCCCGEEI4xXuRX037qGcYbDkRft9zC0VAD0Lzf + m6YmKTDbheZvffkrHr10eeJoEuaAGFJkmd1TRnBAwepjcbr42BGChLTsPtqd9e3Zt7/sU7/vj34P + mxNobiUNaOrfOhEU9R7G+L399qAUsdYhhBDCibE/N4TGHv77wbrCmA0A1iYTvbzXiKiWQ9XoZyFM + 14bpWmv7+5P755kJCgBcJx/ehVjfQ1vu6FKdBLHyJBeZ1qiJwAAAe54MhnBcmS+Cp7H/WWAAKE5C + bdcBRolheaPP7d48HXLpVFreIwCwLwIslovW4lNni/3qO6ouwFLn2jjT8jkQvOQsqXVSR9eSDsq4 + fl7wx5ASu3sS3st5LdFUBHBIghlk9U0+cFwZQgghhBBCCCGEEEIId85q/Gr99h4+mfAxLdOssfjb + lVJI0iRvlD5DUuNuBsntJHeNJFFiB8G8nsp53p6BhsVkT5DVhmy1Y547nPZnLAIg2JiMPc4Y5Tqo + bfVsgsPJnEwX7TrZxcGoM0AdIFvOMzWqw//OHpG74T50fDKtEbHWIYQQQgghhBBCCCePWiPJAHQJ + 63z5zNruLqzHImMAIFhNMljechh1nHb5P5GTuJOj7ZqhnyvQWWpSswX+kc/9G2981+9iq0X0SA8h + hBBCCCGEEMKDpI7DuJu7C9Lm2qlEXdYdue7X6AgZk7XYDjCUC1fO++PqZAxyZ4CdzAEQyNn3p5+f + 5E4NZMDYuKtiBzkzc/KONW2tnb5ouw4iBgu7O+xw76kXZ2YzY6Qz62epF6FkqhhDQNkJRnr7X9pt + 4ftXHOy0335hIm1rTYfJlNZIWzMqs5xKDVsnIBE1TuYExdQJpyaPFstlogAgzMxggrkYAJjVniC6 + rENlEDtjgCuyFXZmYnHJeyZoiVmoUDKHqWu2Mtnocs5aVESSiJn2asTRJ++mXJfUwkYGM3chIiHe + mGwlashr5spR3lRe6dGBmtAsZJy3Z1ew7gonYpDAj+tn4c4wskWO+KL1zYpShgMF1n7UPh9kcDJD + i9TS5PT0zDK8fNVhB/PDicMuiy417LWrDikR3J0bdmAoc7PCzESuqjZT73GKH/mKz/2alz/xSW// + vV96cvsPZ+Wypgw2gi2X2Hpvh40dYmZD6d3aZvZHT777k1/waQktU7P6MWAHnJTMiI9dBPtzfY5u + 9OtmDTcwNy89zz86e+qXf/+tO5NLTUuYwbVAULyYsxFIwI5hUGuRPG3g1OMPveTLPvvvn/HHpEj2 + AgBGYtw1jZrkPEhUZN/nDrfxNQKndm8+iMif/vF7/uE/fNOlS9siKOrsCmBjY2NnZweACKmWG9xV + zpmZa4j1MAxERESqCkBExtQ6dxFZRlyHEEI4blJKbdu6e845pdR1Xc65RpCGEEIIR5ZSms/nk8mk + blbq4YDXNgD3hWuGTLV4003n83k3neRcRNKly1ff/GM/0TZplgsRmEnVbTEC6mZ7sz0GyM0VL3js + 8S/54tcmFi9KRzhtc8fQSndhwv5LZkD74fVf/w0/9hM/ToCVIw7bOpxAqt62qQzl6t78Z9/yc//l + G/+BuR+6ZXIIIYSwQERmxsxE5IC7E9Ha2tq9fVbMgGE2H0opnITvm72iEEIIIYQQwn3EyQDQohLY + F/UoMob5Haw5N2AwCE0bcQa6Bn3Bldm/+luvvfBnf/oEysOnTs0vX2kkJS8zBfGDVv557DjBCb1D + HI2DAQWUrACTtrX57jlqNi7vfe9LX3lhSv/iz9+LjaZtCMQABFx/f3FfgI2hjkpjeWsc6IYQQggn + xtinn7EMaXZgyCBGHrSfGwArkhovw2Hul/fr522xc7BS4y/LdlEOosOH6N5pBN0/J85Y5BELkLBI + Jx5PnRMAiRk294E6XVQIBANRXQrcUz97SBIOs/wrIQsAsLG4OykA8cVMueUXAwxdZk7fodcFYBHK + DjI4YAyMARuAK8MhQJOzQ1pDT9fMGrwJ82Jrk9aHPAWsOJtiNkdWiIDr7M8xHj6EEEIIIYQQQggh + hBBCuF5NyWVKbs7MBFJVASeesDYwsVqv1JPAO25KmZMvm1nZoskOL/ukGQGwWv7khDpEbQDB4EwA + O2wxQ/KaYXFa3Em9t+W3yihszk5mvLhzcmYHOUBGgDuDwLY8KRYVNOF+c6wyrQEcuy5zIYQQQggh + hBBCCOFjcIYjAWgatPyGt/+ybG4Ng9fpB0ZQImdzNhw9BsNW6rVBDgZsyElS1zSDFrjLrH8Rt+gV + GsE0IYQQQgghhBBCeLDUmd/uzs5Cab3daLgho9WhGKcjF79xHZMZvL+yd1lFjWwMsjv4a3T/ZaAu + ag0JYGMysUyPnH6MXMqg7hCRofih5vHX8sQ2dXAWbtbaTTGpKder8Z8rveDsaJnBdwg5yEEGA8N5 + 9cnlrOTUcYsMm2lHTevSmDRoOzQNOrFGyiTlSZvXJ3mj2Zt081Mb+dxmeWRz/sj63sOT7TOT7TNr + ew9v7J7dmJ/bmJ/d6B/eGB5ay2emw+lJ3krDmvRdKl3nax0myZMrdU3bNCJCRAQncoYzgefzAQAn + cfICNdGStPe50zF6P48z8jr2u798GwGAQBK10zRpqEt++Nizg2uJukTBYQ4nUi7zvOPsx62u8e4j + X1mjkjm5kRnU2Y+8TqDF6LoTGcEd7NT6ZKM9dW3p5vgxiZrp+5oznOGC/WJ9c3blolwG7wfvTVQm + zB05u7knbltaX7etyd76ZzzxuW943T/4m6/84ml/qs3rYkmMuS63PjbEPNT20ckcmZKZYEj5fR/+ + g53yDLVJXXxscFMXy8WySsdr+3hY7GhTR0bz+bxILqf23vZb/+ainu9ltj3fW1trcp8lkSdktkJG + Agi5YyItzZp2tvGffeHXn2s+LvVTt9S0bV1FwFAGJQalqMcOq7hka5qGmS9cuPDGN77x/PlnmaEK + 5nHuzs7OjogAUNXn2NNfIKKUkpmZ2Xw+F5GaYM3MNdCaiJjZ3SPTOoQQjrNSSo34qgA0TWN2gneu + QgghHBOTyQSLzQoR3fj44qSrr67rupwzhLvp5Jf/3b996iNPz3MRIfcx0rtuYYmIiRMx1zE64Ov+ + 868+d/Zh7YfEJMTH7SuBhTgxC3FDLMRrTQfzV37SK17z+V9Q3wEiwuFHcQnk8PoGAnDgR9/8r80M + oMOOJoUQQgir6rnFOj5ZL+ueyT3nQB1QjbOfIYQQQgghhOPJAAUKUDBmrREg12da15FNYZjDnEqm + vmBn5ztf8hJ7z3temPPZbLuXrzQifV96xXSCvtyzFxWWDFBiWwy9eq0EJtMykJVUZmcdj2d9fDb8 + o0/6FOxm9ArLdaEgR1ouDIv/vy4Xuuj7EEIIIYRjjlYmitBifuDY1V8a5OGHX/elpN4CECmHy7QG + YFjOz1qeC18+WM3WXX7rx33vgZZvDgAYqO7ylHHH53g/+fCcFoukHahEIK5/TQcpoOIQTVCU/rAF + C0bX3DUci2XIF8+AV6/eWfsh82QHZoMJSdMQGN523eZs1jdIzIeu828Zw3wo5sRggc3nP/Z3vxxF + YVh9cUefwRxCCCGEEEIIIYQQQgiHV6fJhxNh2dagTq+oUz+SCBUka5InydJg0lLHKv3eHM7LllOL + WYd1ONoIBhjvh16D9udrmNc2VmTK+80T6OC5HmOr4/zKpoTCKAylOqq/7Lnni4ZXBVScy36rK2eD + 1K9l564Q7jPHZxpUfMZCCCGEEEIIIYQQThoHHOIwN6w16GRn3nck7ICzEilDyY2c9+uvD21MNwGW + I0hEKFrMLJEAWG+aZnsbeUB02g0hhAeSqqaU6gmPmmYGoGkarJwFyTkvT2Heu2caQgghhBDuoAe2 + xNAAM2NmcqDwQ6fOMpgKsKylO1AadOj6HHdvu6ZQeebqhcyDdJxz3q83ov1Ku1t4EcfKweDMRVw3 + I5GlaTu1bClxKzSULHLoe2fmUooRcraHNs6Jta7GAgB07XtodFzKulbVTiZsBCcYm7IZGdiZycwA + T0nMCrE5QT0Vm5i17h3QAi0hwYUgAmEHmbMpmzbuieHkWUzZlGEEdXKDG6AkJsnb5G2jXSpdKp1A + ssxnaTvL3FIhNjJPxi3aDhOxBlafpPZchqa31ixirW/IxuyQ8b/lEllDK92IiDXb6a2HRRsY8+E/ + +A6QW/0abyGoA0zO+crOxayZkxSPvxTYua5j3ZUEV3auGNRIc3YRTkfa6uUMkHFatGfp6bEzj6+u + fJafkWO5/gm3E3kCEpCM2IidoHCDOhnYwQY29VxscFZOBHSEjtRk7rLdPMYv+puf/GVf+9o3ncI5 + 7PCEW2E2BVjcj7JX5m6AU4PB+8v5Ix88/55CpkaLtlAA2EBGvJJyfQLUXaY6dFkjr2qmlw/Fik7X + 1+Zp77c+9Ku//5e/MbMdTswT7A15koiKKaEXFEJxFHI4eCZbevqrPv/rP+HMp9AukUNdClFGb5RF + GnhS1ZMe+x1uRY0pbZqmlEJE9Vt3Z5bt7Z1v/MZv+shHzgMAkePAadWaKIaV8fOaS12X2Hq95lXX + BOvlODwWB2LLvLqUUmRahxDCMVdX3aur6/s4dvROq9vQvu+xyCrDYntaL5djaMutbQgh3K+Wa7zl + ZuW+qM+5vrs2A5xSyjmru4O6ydrO3vxfv/nNNfRFzUGw8eyMg+Du5mZuwuzAett+3dd8TZ7N2yQw + Pz5gTq7k6lB3hSktLrXkNol5+S++6RsZIDce+zIcTj0V4kBRNyAJf+gvnvqVd7zdCW5o267PuR7G + tm0bm84QQgg3j5nNbDki2vf9448/zsA1B7t38+B3uR/04Q9/OA66QwghhBBCOKzl0OLyynKwaBiG + a265L8Yh741aI+qLcGtbZFqP4X02/pKxF4IK0AAdAwodcHXvv3vpJ57du7qFeatZtEwowTihacDD + AIkjoXuNfCz4xiKSMTOckAwtACogU593NjyW7Ymd/G0veQV25igZPq/J1rY7r4V7TnCBC2LQ9ubV + dRQR5ZzrLe5ehwhqa2YsWjY/sFNgQggh3GkHc5oXnZqWJ8DVoLRu1hgAeCmHnx4HeAGgDBdo3WFg + KBWn4rDFJZzgDGeM14/HF2CCkrwkRzKIIRlaR1qmEBNWosAT9vetwslDy38IoPEIqH7VGSp0+BII + dpBBRAxGzEbIiUA+zrRlQMbYi3osdUf3+eqhnCy/WX4BIOSSBUAhc0mp20HBESbEAswQghng6ISb + oYcqrH7CAQDjFNAQQgghhBBCCCGEEEK4G9wdixYr7mBmPzYhrOF6yzmM9S9Ve2aqqlMBFXdjMbNi + VpydEhuZkTn7ogkjjyecakslMpDxIriEnMSZFDAWahrpBA2ZkHKjbf1K2rIKqzBEKAkzE5m7elEf + DAp2Zm7BrYkoiYKVxMfzQyICJhA5kzLUTUHECcLL8g93L6WYWbTcCSdUnZlFRMxcS55uXNckIjjY + tOROiHO0IYQQQgghhBBCCCeK8zi11KGGAoYkkFDTjD8n+Dhr1XCkGAzHWKVd724Zb50NKQHmqevm + mufz2dmtKfI86ptDCOHBJCL1/HHXdTXienn+o+u65e8A6Ps+OsSFEEIIIYT7io+NjWBOzkLN1tpp + KiyUxpjSW0ubZgc5hlKMy5XZpYxhsDmlazsosd9XdT88jmIRAJAZ1Rs5cdOmqVAiIzMnxxEaSbk7 + YDXVsuOpeAKgK52+bL9HxvFUx9/MqTgXI3MyJwfcaQzEdYJT/RYONqT6tdLIY7Wdx1gkWgtGjZb9 + 8JYDfVyLSh0MTwe+wMrqnJXz4n8EOcSYncWYnMkpytKOZLkIMhbFhbUymJAE7eZkq6XuUGuYGwwP + M6N4cRr6skMyVjce/bnfF1aipt3Iiut8mNdK+jqwcYRKeiIQwdzNjAjkvJk212STjZ0OtGCJTOsH + ADsBXtfa7HiOHQZykDPXSxNT0cICakmazO1sco6e+OSH/sO//0VveGL9E7if2FyYWnaZtG0Z/FBL + EQHEcEdRGJN1ex+88F5LpmwgBwyrcwz2t9QngIio6jAMzNy2rbvnnN0MVtY226t26Xx+6m3v/DeX + cCFNxN3NQQJmd6jWd4bADDhN0/q033zNK1/76hd+Dq6klrs6zqkEHzfH5mSAnaD3J9xeNWq67/uc + 8/r6+jAMbduWUlJqLl68+M3f/M3vf/8HVD0lvvF2tg6wL6fKpJRKKZPJBMDGxsZ8PjezGpsdvaFD + CCGEeg66nqQGwMx1368esi0Pb81seUY7hBDCfWC1e8Lu7u6TH/rgb/3u7zzvgRaNF8WMgK/6yq98 + 0RMv1Dzcvad7c1bPSozNjX28VFWYM+g1r3nNY+ceYSZzO0L9larXIUoARChuCvz0//YzRMRN2tnd + XVtbA6Cqmku0bwghhHAoyyZHRNQ0zUMPPWSLbGlixuLQ7G7UDx8cfb18+XJ9Vnf8cUMIIYQQQriP + 1KKFUko98wJAROo8wVoIsXrLMt86HAHhQLgaY1HomQ2EYT7LVgyusAzPDNcCy5jNv+sVL39smJ9d + E7XxfsQhzg52iDk7YHEkdI8xOScDOyuNmdY161oc4iA3QwG0Mz3d51NXdv7xy1+JvQFZYQWWeTIO + 0tbYv7wo7K6BefHnvbE6BJFzbpqmlFLnOJtZ27a14CqltDzRECVYIYQQ7hBC7dk0Js4eKO93oJRp + UbYjDpuLO0yT9w6bQ51hQDYFsQGGGqBty3leC3aMvsxhjtUNsQIOEAOymPgmQFKCHjb0OBwnBzLJ + VyZPKQAeZ9UcCgEtU3JoKQQvRbMWNDROdCEoWYHZ4ljpLkzRWnZk8zrBpAayjzMCWgBoJudhz5Bt + rG8OeXbYO68He8sXwu7ToihlMVeQxw/9/TXFOIQQQgghhBBCCCGEEMId5WRG7qzGxUhBxamA1MlA + xcmN7JquaIA76Zhb4hBnsSSWkKnjSYcu75W9KzMdXCDMqXhxqLOTGMSUc287u/nS3K72tG3NzKdz + n85Luz2TSzNc2usvqu1JKpTMOGcbFAahWd8PpShq8zdmZsaYY62qy1KQtm2Zue/7e/OGhnCb1HJd + DUMAACAASURBVPlZH/PXVJWZSym14QaAO7H8xzTjEEIIIYQQQgghhBOFAFVIY+opce+ekERSGeaJ + BKi5SsZ+xBprI4AMAAPkGINwGAAK0K6vlyu7eT7v2tYbujK/jNZOUpRBCCGE20dVa08KVa1RMfU8 + X+1h0bbt8vbaUnx5tiOEEEIIIYT7ADs7MRmYuUF7ZvOsK5gIvjJSQrXRGLOzEQ7VS4GIimZJ6eLu + R3eH7XU7O5UpBkYykBmcj3EC8xGMbTtQQ16NsGjR4ZzQTNo1oWSAKVyIWdzLIR/AAYCJKXVpmtAC + AAy0jBRl4Lh22Rs72Nl+K7saqk5mVPt6cB3EA5HBGIwDmdKGsVHduAT6dUtjHUhcLlPLnxnx8uH0 + YI+D8R0FQAY/kL3BzgYWG/O2caKSUO+R+kat9OtwOGG/07qRSErgs1vnzl/6i3IL3SZ49W/PYtmM + dWfvqggVM+bkfn+tXG4S2fXpwu5uXnb7XV0zsBOBzNWAQw5vkIMZ7m7kIqCBH1o/t542kOs7XR/3 + 2mUg3Mfs4JmbGqY+rlSdaVzZGjk7uHCrlov0TXIfive07uuT6Ys2HtvAX29+/td/MtvTs7wrCf1O + Wesk2+G2ZeQwwEEuYja87y/+KL963ohBjWDsZOOqftzynJR2N3Uo0qEONTc1JUbbNOR2ef7Ms3L+ + Z371py/5+bTuWQd1pwacYIpSXIwkwdndUebYsM1PfeTV/8lnfMX6/JSUtpl2fe4XCRzJyQorG8QS + ABBii/cAqoPhRKSqe3vzpuly1vrtf/vt3/Hbv/MuM6TEuRgWmevPdz/1Sp05IyIiMp/PiahGsNQB + 9lJKSukuJcGEEEIIx1XdIPZ9XxuRL6+4+3ITWa8Pw9C27b18riGEEG7N6jhKPVxqmia7geiHfviH + B9XFqPdzpHkQwX2cPv3617+eCMxMB0ez7zlyHBiZJMPipQgxMyfH2TNnvvENb/in3/8/8uJNODIf + e0X7//OOt7//Ax/8uEcfO7W+5u6aCzMDBrMYpQwhhHDzlmcz6yHYI488svpTZjbV8cpdzJ0g4MKF + C+PTu4mWLiGEEEIIIYSq1tswc865bducs4g0TYNFRuzylvrtvX6+J5OPpYJ1kmUd1yRfXGMCrF2b + zm0AnEAFxmjJDbuzf/zSTzh7+cp0gss9mhZtgRjEAZgBhbgwA0ieI/vvXmGvJd2oH4+eYbQIogPY + kQAFBoaRJTMGvXB9i3f2/quPf9kPfOBPMVEIo4HBmRosEh5rriOtTk8Iz4OIcs51vMLd+76fTCbz + +XwYhnpLKWU6nZZSlr8WQggh3H77w9K8f90Xl1o6rVOC+Ai7bckMQ+Fi3OhctREBwM4MAqyeJF/9 + /XEX4vhs9OquLwi8X3hfn2QBA5wwvleFxn2haJh+oiyKDZwXM1BQp0P6/sfh6PM32ZHnPm2TwgCk + tp1MmqEMsAwyEOqxUIdFijrV1mm39ppuwBcfbYIBdSZAYgjAxKoGEbTpP/71d/zhX/88fubqY6n1 + MhzyIVZnnIFhnRaoA7x4XYYx1PsYfdBDCCGEEEIIIYQQQggh3G103Vmn63qprVIuCrAbiOFW61uM + jOFGxg4bm2eO/aZqn0N2kDM7kzOcyYmo1bkyy1a3CaZSsppygqzLUPqsc4NLC0pOrExZYQo1Gxzq + ADGYOTlPtta85N7gJiRMaMwBQ7vWaVYtWoq1klpp1LTk3DQCwN1VtU5mIaKoAwkPCCKqXaeWyzwR + 1VYbt1GcpQ0hhBBCCCGEEEI4aZK4OycasjZNi0GVWNrOs3INHXEWV6rV/IfnGAv/HTBnr0Xi5GsT + 5Ku7AhKWwTSlCcocPsBjjmsIITyIRKSUUtM1aqiGe43s49ocvMZs1LMdkWkdQgghhBDuM+5OzoCR + E5Q2p5sdd4OLozZkHieNkx890JfILel8b35p5+K5yRNufrDnstfGDccqgOFoaoTn6gCTA05GDncG + uEkdnGi/owHXN/9Qj+JkTuaEjifJk7s7OXltjpCAsbYSi9Ygx6i/9XM9lXrbaumokzm7ujo7LB3o + hHKw6vRgoCoYEANhjDM2AtdiUjAAB9Oibciyb8QYxjuOINbuX8t0cAC1Ux7EGbDrU7TDKiM4wWoP + wgN/GgPI3eEgQCBs6dzpR/CssPOtZrATACYSg4H16t4laggAET1wrd3JlqHu7LzMYjeCw7IOWQcn + YyL3utoA1cLrm+QAgQhwmBkngdHDm48k6+h5ir/pWK1/wm1mqwvP8ipbTbZmdpDX25kdStRwo6rq + JOwQp+LogZLSfOtVT/y1y5959Rff+bMyTTvzZ+FgTqx6iOUTIIYXkDTMnGn+zNW/ujpc6vgMjNig + YBCUQW6NGcaPxglgZl3XFbVl9C8z99Zn29ZT+R2//Ut/dvGPfav0uaSOBeTujLGNUYNWPGXd88Lr + fvoMHvu6L3njdHdL5txxk+fZmcZGRy4AQOZc/4gcW7sHU00Uq72b19c3ZrOZmRn4u7/7u9/+9nfU + DeuYDXZDKaWa0FnzrWvv1MlkMpvNVDWlhJXMzsi0DiGE8ICrW8au68ysTrQzMzNLKe3u7k6n07of + 6O5t29Yt7L1+yiGEEG6DUkrTteaetXz08pWfecvPtd1k1s+f+7d9TPtgwme86tM//dNftbu9vbm+ + oarHLdl6OZhTBymXx48iYkVF5OrlK1//tV/3P//A/zobhiOkczJjkf4Nd3cgCRW1n/ipn/ze7/zu + GuNBRMICuKqKxCFnCCGEj83diai2QTFTM1Ozc+fOJWZzc4ebgZlFrLjdhVBrdxDBvZ5oe+aZZ+pR + 4R1/3BBCCCGEEO47NbKaiJY51qpKRCmlnHP9nVrYEG6JQ2gMs14GoRUbUtv1NqRaZ+LaQTDbQ7Fv + fenLHp3NHl1PZVbW17G3i4YAMMMAKNjJFs0kj5KPGG4XhgnGOiH2A7V2tHIJoDAALbvPnuHJuk3e + 9OgL/9VHP4xGwLWK22pJNtVM67v6Ik6wYRjqvGYATdPUZOtr2raqKhYTn0MIIYQ7a7kJ398nMJg2 + ZnLUYfNkQF8wqGvfTjYAkEOYrDglHoN8r8nSPl57EsuT0azjbBsIjanAS/WEvsd+7UlU50ktD3ZW + Jmg63eqxCjmmQv1QOm7RyLyfZeT106cBgcNhBsO4kDFwV6Zm7Wd1j0ts/cCJmpDMM0QYbbq6Ofn4 + gv7ilfbWHk3MGlMsVyDXh5SEEEIIIYQQQgghhBBCCB9LHVx2Wgw3U+32CFBNGeHrW1c51T5LixM9 + zuQEgFybRtR1p9+DaOpEvezpbpa5bDAzdmZXnn7m6afPP3V5+6Ozsnfp6rOqeZ731ApgnKRrUsNd + R+tr7fqprbMPP/zouTOPPrT50LTdamlS9mzabrZdS4W1762kRGkinZo6GRYd72tXgZTS3Zi6EsKd + cfMToNy9Nqeqme5mpqqqenuroaI3RwghhBBCCCGEEMIJMwxD204caBopWqSZzJlmhJYhRmI4WFt9 + 6LruOnB8/RCUGYjAYDAnQtnb25psoGjEWocQwgOrdgCv7cIBuPsyYKNO8GbmGrBRu1rc0ycbQggh + hBDC7WQGAhjk5u7cyOTU5unt/q8WDRYODsj4tbnCH5uaiGRkb/T8Rz/yyhd/umWVccDGls2qlk/n + 1l7NvUfODFJa9GejmoXMRihmtXCKEjFDnc3ssKEKRFC4ws1MuIOJuxLvh1c4at8LXzRNOFYYXr/q + EytwkIOdyZmN2Y1QnMgJToMKO5itZm3WFF4GwItA6+WVZYgyA4DVHGuqKct1GBAwXBvD6DSmXBvA + TuTMlsgJ4GUciJMZOWAeLRI+NnMa261cE6ZSCw2JiAzEQKGHT5+jQki3oa+Mj/nxILbtnUvu7lTr + dB/E9u5GvhyzWE2V3pvvgh3sCoPXfviHTp2u9aLOBHUicpNHTj9GRcaPNtWGkjCH4Ejbi3DCLP++ + DIAddeUJgJergMUiRg7VnmEgUQIxpY5ZGQVrfGr7Kn/ey/6jZ6888+vvedvWdHNme8Mw0CFXD2wg + RyIGMCiK2PnLT509/ThKBzT1CTqsLpbkx62tFRa7Q9d+akTEzGp+4TIkuNc9nBl+689/9Z1/9mtY + m+/u7jZrDCFTg8EVBpBgwi3lZENONnli7WVf+7e+cX12ZsNPAe5DoW4qROZzAPAEMKivT+BYvj/h + tqKDu/cLk8lkb28vpZaZd3Z26kSXH/jn//znfu7/TE1TezqXYvX2Omz+nOqCWkrpuq7v+9oe+vLl + y1iZe9B13Rg2Fp1VQwghPPBKKSKyTCmraQqqur6+DqCeoQYwn88nk8m9fKIhhBBuHxJm5r3ZPE3a + t771rX0uBblZHHk9p8SsZt/yLd8ixGtra2bF3YiEjtMgqC8GdJwcADnX0SFzG4ahm07W1qbStV/2 + t1/382/9RUky5Oc9tHxONdOaCCRk6nCoOgM/+VM/9T3f9d17/byT1LZtztm0JJHj9N6EEEI4MWrK + 9Qte8AI1c4CIHO5m0jQGvTu9gVJKOmRmgvmFCxeY2YrGwH0IIYQQQgg3r55eqbMCAdRht1rnQEQ5 + ZyJanpepRwH37sneF3wl0xoAQSZdgTGTwMgdOz0oYTd/z6d92tnLVx7uErm7Ie3gIUJRZoBroBmB + wMmMHBz1h/eUOAAri+vkKAxltAoCanZjY8iMXuBkCZjYfLpTPlHS//JFr/vWX/5FnE2z0k8nGwxO + teQby0zKa6YPhGutzl+uRVZ1zWZmOee2bWvZVS3Tuu3tXEMIIYSFeoKWsZgiRDD4eAtQGlPUncH6 + 08OcoG3VfvaL//ZX/8a/p9ONlp6TMAg9ONE4Hl7nnV1zn36cdiEIoHFi2rhzwytP2Mew6/p6YlN9 + IhFqTYPA4HUqChmYHEKQuodbp0kenql3QnCb9UNH2Jx0a9s95gZzWywwhNV/7rDxURgAwXicgANA + AGoawICuuTDrd/rZKWa/tVNmBGusAAWAjq3hFpNYYjJLCCGEEEIIIYQQQgghhJtSx+fTslkTO8ax + bYctWzAC7IueTmTm7MSLMpZ6FswBDBiKFxP1qXlTehoGH2Zp9w8/9K4nz7//yQ994OKVZyAuEzYq + WefOBjInhRjIHeBCcGGayrzxS67vNy++0Wyc23rk4bVzn/qJr3p08+Me23rRVnuaMaUB4g0TZ80G + rb0FAKjqsjF+CCfOctF195sMt661TwBqpvsNmlkdWcRahxBCCCGEEEIIIZwwbduWUiSl4kiSwPy0 + zl7Y+Vnl5MaAjcEzwCHnMCxdU/3NDgDFwACJwH2n5HMPbeVsb/vi133Z7//xLb6iEEIIJ1ENhun7 + vus6APVKnem97A9er0SmdQghhBBCuP+4OzMR2NzgBMMTjz/x9JN/as7kIGPIMgt4zK20w9S8mZkk + Ko5O7KmP/AV9Asi9NlZa/k59APJro3BPKHIwoGBwNjhR7bbm7lTDKdkhQHG40aFm2o8DZQQnU3gr + DZzdhxPY4IIBW6bekguZsAt5Yk8KBnwZR40xAAOAgcbxPQA89v04EItu453DF5nWcK5vMsNwbYi6 + wcnB8LGRxGIoclw4nXAg05rsePVAOabcyHj5Ro2NBRcd6JzZnYzOnXmUcejja6f9RHNbaVRR3BKR + kV3duTKU4cGsI1ztCEIOphowzqBMjKuXr9baZXcQwFR/6yhj7kTk5G7UcHr03OOUmcBG2P9oAvBr + U+TD/ac2EmUsWy8x+XiDkaM2ZWLDYklwVRGGiJlnc2d0TMTESpvNZr6y+7rP+jtPfvg9H7z6HuqY + WA+1/LCDCAIwyN3VQS3/5UeffMXZTwU15FybZykXcSc/ScX7zFxDMmqm9TAMm5ub2s7fu/3//cJv + /vycL++Vq9P1KXd0Zb4rqX66AQcTebEymyc0j02f+NLP+PIXr71iPW+Vnby1vqHsu7O+XZ9a3fuq + e33aMOpW796+6HDPmFkNUK+p1V3X/dAP/dC//Jc/0k3a+Xyow+NYdEq9wf3Ups/M3Pd9nTNQJxu4 + ew3pNLOmaRYbpmgPHUII4YGmqsuJpiKiqkRUW5ADMLO6VVXVyLQOIYT7iYgUUxHJg/7Ij765aZqS + h6zjBOzrW/QSoZi9+ImPe+1rX9v3/cZkWnJPx/KsyjhQ5IT9cGsQUdM0ZpZSM8v5DW94w8+/9Rc1 + K13XhPxjotoWXB1AapsyZADbe7tvectbvukbXt/v7bq7qqZGiOjmZr6HEEJ40NXxTPex9Q8zM/OL + XvSi+lNmVlMAdaz+bm5f3J2Ap5566iabuYQQQgghhBCWiEhV68TA+m0921LPxdQinHqdmeukwnv7 + hE+k1Wg+PzDSZ+TZS0aZUqJhgDPQYq//tide8PFt2mxb7WdKaIREUXzZOBYOkJvAyA2ARwXivbPs + 55BrwpwDK6HUXmOtCeRghzjcwW4Ca2GPNltP/smfQYGhTLsOcMBkLOKu6Y923Sh4uBYRDcPQtm0t + 6Frezsxd19Uf3cOnF0II4UGxPKFL45ZcsLIVN2c3AEZYnep1k5Lb2jyjz+itXV9XMzUR2d/foPro + tJjPeISzy3ca7f8ry4kVtDLBj8Y8hATE9JqTh6450BmPWHj8FwAENdP6KPu2TDDz4qVNiVv0V+eP + nX4Is/qQ1i4LRxY573d2B3px575YYh2m46ROgoMcGDIKTTe2cGVvTJ2/1cc0mNXs8MXR+/H7mIcQ + QgghhBBCCCGEEEI4xtjZAHIBrLY8W/yE2GH7zQlry0yDAxByjF0EnZ0AMuVCrRfMe5lb218tl/78 + qff9/nv+4E8//Ed5uqfNYOS+VZysIBu0sBEBDBo7JMJ98aU70raJhcy1lFm5dGXv/FOz6R8+9a6u + rJ1bf8GnvORVr/7Ez3rB2RfnMht2y+n1szpwKaVWsjHzzecBh3AfaNt2GIbakKqUUlta1T4bt0vU + hoYQQgghhBBCCCGcMKaapKkzCrKjZf3K337HH37BF6xlnRSQAwRlKCDKR0iMYV+pz65F4Q4AKUEV + uQxNM9lQmV28epqbh5PhcEEJIYQQ7hN1anfXdbVR+OrU7slkUvtW1CtN00SydQghhBBCuM8wMxbR + vSLsRi984cf/zpO8CJu+9tcPe/9E5GQGKPQj558umt31eX7V7oPY4EVO7CLok8wJBh7HpvaLpQjg + o2X42aJVm4gQYDVFeOVP43Rs210YyEA1xhwgMEDO7MzWkDVkHWlHlIicwQwjM8Zw8E7G1Golg4/1 + pPs/87Ffio+VpPu9FdgBsmWrNYaTEzwtYz+UAZTrls4xoZkA+GEbrTxw9hdFOrAMujszCQTu5Ewk + Z888PG2m86N/3lfun8yMmdnysDvbns/nawBWB4cD0aWrl2rVMgBmkJOZH/b9ESdVp3b831JKj517 + jJ9ru3Cy1+PhpvEYTjR+Hp3G60YArCzbWJKRQ4gBIkvubtZmsAvAilymNJ0Mk/Vy7ks/5++9+d89 + PfCeyaJT6c0/GYcQtGSAJTWqOH/xL1VmwAac4eKsRnUbIezMDj2Oq4hlm9ZRXcEJxN1zzjVd4yMX + n/753/7fLzcXSu43NtYH6PbuDjHaFjkDBM7E3mQfQHjJmZd/zou/8NWPfu5Gf3bv6tWzZ85uP7tz + evP0wMWGHinVzkcEiHUMBWWj7JCTvksWjmA2m21tbV2+fHU6nbZt+wu/8Avf//3/rGkwnw/EaT4f + iNnNAHa32t/5Oe/H3buu6/u+flvjXqo6ur6cQhOZ1iGEEIKIpJRUtW49m6ZR1dqavJRSgzmJaDX6 + +l4/5RBCCLdkHKAmMrWm6X7p/3rbBz/8QQW6btoPs/3fOzi2yUxQ/4Zv+Ia2bT3n+XzetWkM1zw2 + Y9ZOcMBoHNxgr6NFDqDOJFe32WzWtu1nf+Znfd5nfcZv/u67D/sQ4xHk4iWbGRGRo5XmB3/wB7/6 + q/5Tdq8dHESklAKK7WYIIYQjOnPmzCPnzj39zDPuXsdF72ZjoJIzAeZIwJNPPmlmwgw7Nlv9EEII + IYQQTohlQcJyf15V19fXd3d3AZiZiMQkwSOrwcYYi6FXBioJBgKJ2sAEUIPeMLN/9NKXv3jN14ed + 2WBbMmUlU+8pg724Uq3+9WXttVmUkxwDBhibEjIgjkYh45+eC4+x1uSYFtRK+dRgd7Ayv/Lw9PR3 + fuJ/8E8+9H6gYC0pkHwRf85QggJNVPjeUN/3XdcBYOZSSs55Op3WVZaqtm2rqvWKu8dJ5BBCCHcQ + Ab4S7ksAoIAQsCh8dxpzr/kw56/FsK4KKxAGirEkAhQo0AYKyJgqAMAUcDCRHafq9kUOr0MAuI2z + qMZ5E4xxboURXFAABsRjqs2JYoAeSHyuiznIx3mRvGhl5uBDT+UkFAO3jQGX9/LpSdteGX7tNV/8 + N558N+qcPAcEZfHwcif3nx0wGl+dAOIGgIgB2FBYEhPALaxNe4qsEKDcwsPROKUTGNcevoiHXz6f + OFgIIYQQQgghhBBCCCGE8Px4/4pL/YdQ9ltggQFm1GTrqjAcTo40/u8+NshSLib95dml7uH0zOzp + 33zXr737fe+8XC6i03JmcAzqxV2dHOwQJnKpfTXda5Q1OZNzInImF1Uf+gIicAeZ8Nz2ZrrXdtO5 + zXbLlQ++773/95/84gseedFnftpnfubLPl8v5C06uwz0TSndoJlPCPcZZh6GoS7zpRQsZiLf5ke5 + vXcXQgghhBBCCCGEEO40FnEzVReACUgdOF1kKXW+AjnIxikOdOgsHnbQctrDwdAayyCgabo+z1l4 + vZ1sOU47Q5cl44tpFb7IThizGcxXfxpCCOG+UM/Y9X1fT12YWdu2tf1rKUVE3L1eGYYh2lWEEEII + IYT7jBDD2dgM2pA0RR499RhbAyc4105hbABgZEblkJ3CjBIZgQmq5aOz89t+qVB29/3gRhonsjvY + Tn79j1NtyaEgI4B8zGAGQOQijUCMYDS2YDus+v6Lm8BFBLXbxf77ZgDEmJ188cvHyMHnc+Dl12+c + HMwOdiYXssQAuYuB3Ot4YY0/NzIDjMzJ6ntu46UpQQm2Hwpq49c4wOjAGDNeQ6rJl29gvStz0uUl + sAhcDzeBnWl1LHcRiUokAJzd2UycIQ9NHl7nTQJAYGeAjWE8fljEmBefnWXu+BhZQsCiblgWi5C7 + QqDue3k+y/NCxVGIH8Dy3NV0YQAAmZMZl93ZtrOZK/vYIOcWmu8zGYulltdOrz+cuBvz3sngLAb2 + 8VMXo+j3t3GdvL9mHxc/I6urU8CdzMmUzQmcxMxKKUTStq00CewKTQ1mu9unJqd0h17xgk/77E/+ + fN+mxrgm0y9WAnxdhXDdWIy/Uxc5InJVs8JNmmu/M7viVJT287bFfVzhgI/bJpJgi7cURmyL7buq + MxE5zFRanp5uzucPv/0PfunJS++fpzlNMBu2+7LXTSQJtAcMpnBryBtBd6Z95FWPf86XvPrvTvpT + PJetja3d3d3UNvM8iAgv31Sy8f10jg3eA6FuoJ2vCS/vuq7v89raGsBvf/v/+23/zbcDKAXM7GaS + Ut121BHy1bDqa6SUaqY1M9tK3Mty8kAN76whnXczDCaEEEI4huoGEYtT1aUUM2uappTSdV3OmZkB + 1Jl40Y48hBBOnNXhTawMidfInNnQ/+iPvVkgTDz0PRPTcqjl4MCFq59aW3/9177eSumaBrc0uHen + LQYZFpxgZn3fp5Qmk0nuh0nbfdVX/r3mSBPC6+tOTQMiUxURhc91eP8H/vxd7343hEm4zmM/xm9R + CCGE44YBJiJmZhDMypATyxNPPCFCy4HQenR2F7YvxLx6+VcXzqsaMz/nTkUIIYQQQgjhOdWQ19Vy + heUZmd3d3WXctao2TXOD+odwQwfLr+jA1WJ5nVtSRV8w7//rT/qkJxI3uzMfbF2417lCBwxgUh9r + gBdVXreSXnagoLqWaq9+Xf8CblBCdv2R19jzwG80rkmrbQ0OvEe8vKyla/VH5BBHcohf+8Lpmq/l + fdbit5Xh1RsWwvE1b8uhLA9FbZFbWf9d3u6L2wWAYXcAMabTxmeXH3H/jpe/Atsz9EOCwm18VYtn + 9dwlpisdHGp0ugMPZk+HerLY3c0spVQjrmvVVl2JiUjbtsMwLNdpIYQQwh3AAOrkuGtvdQNIiZVd + yQ0HzozfjMb0VB7Qz+GkQAN4TRZo68a/nnYe77SW2GMxY+HOXS7ZytdiL271lnEPbbGTVsZZVMSg + xfQ0mC7vzAxWHsR9mvuG19lufM3eNy2q8Y3tsB8BM7St7AwZ7Kcm6OfDKcIZOBRSd70JdW7W3Tlk + PdBmzReJHwC3CbzYLzdPLo0kP8p0vQNvkJLMpENq680CFhDAIAYhqiRDCCGEEEIIIYQQQggh3CT2 + RZ+6xcA2O4vXxnQMkBMZ2MGAgZQc5ES1MRaVnPp5d3V77dLuqYv/xzt/+n/6mX/yK3/8y5fbZ3a7 + S5f8maHZLjL3VLwxb9wFClN1M9TL+hRQm1kpXNVdmSAJYBRHb5bZNXnfzrb58tV0cX5qZ3fr4nuv + /t5b3vnj3/fT3/W7F37tL8p75+uXdW2u0ruYo+b7cm0/dV1dUO1J9RzvxGqN0POVS4Vw19zM9Kva + VaOWcdZbareN2/tMTnxb2xBCCCGEEEIIIYQHEVMSIgf3jt7Am0OZKLGTOiu7i4mYsINw6LpmdrAT + HACx19hqoM4LNbgOLYl78dI7zGxAMdg413HwDAAKZEABM7gCWm+wOiniNqlDbPWy5jrcrnsOIYRw + M2oH8Dq7m5lr+7l6mVICQET1Stu29/KJhhBCCCGE22rZxKdeqaUtD1pnH3KGQlWHpN4J+tJledFD + L51i05HUrWVvFNxTS2JsJsUPk+9rhIGUhNEjMfrJ9p9f/pOBeqvT2QnO2UhrGKUS+/PWzJ0MtWuG + EYwKKIsxmwACEMPFjYoxpwIqcBZjFD5sVwoHAQ2Q3EFerDRpAiXAa38M8f+fvTuPkiyvW0FvTAAA + IABJREFU7gP/vff3e+/FkllZ1d3qhqbpBnphR6BlJAtLgHXksUfyGS9HRpKRwccDSMPIM5Y0HuPD + eOw58pwzlmSNx2OQLCEWYUmI8QA+GLFYEsgHsRgjBDT0Qi/Ve1cvWZVLRLz3+91754/fi8ioqu6m + srqyKrPqfk6cqKzKrIgXS7547/e7v/sFG7OWUst91vTCFunVAEDGZGykwgnUgZKyKAsoERJBSkqu + ESmxEZW+JCWCkecXMi6tQLi/5ie7lP9YbkdLVDVxiVwtTx3PW86R0eJ65z/utydz/2HjqBRKfiwp + jEuHDgIgSmSZUo55ItuEMJoeft7qTUGZGZRjxKAjzAhcgQxROSobQbiPfpnnYwOAIcLq0s4vKMi4 + CgSoMlNTH12/dxqmVGW19pLMI1ctj9rYACWRkBJNHzlxf4ctimAFZZJsoYq7qjwuPYEYFFEjcZUG + N1x1E0ttubRWFAAERIlRonDOIXtl88XNsLNn7vfPAObl/mUvyhpYQ8wVaZDMxDW4VjOxbNqZtpEl + BCIKsy7FMLCOX/2Kv3AlnjXaWgmZzFA1IStAgRBId4Kuy10EAxuMoMTJSI0QQGxqM67okccfparO + zImhLGxdLVQJCXHmnQ3eDwgIimDK0PnCgNJ7lANVENQxSJ6EWh7R+z9+54f++IFP6EhnqWtDqwNF + VDKJhmiowZaZQyTUNBt/53Ne/de+43Wj9cuiBiVJWYmjRSSSHFQJbFoStQFVEiU1IkPAUzZgdQdd + DCG1mRHISl5LKBnqAkoqWfHZz3/hf/rZn+tymQ8tCdYquYMJoCm1AMxs0SOV5xnpy7mbyykvOefy + xeInQwhlWP5SO/86D0rHbVUtqeHlGS7XMcblJ7zrugu1kc65i8NyZYu3+z9rZXFd2T8vAjhTSgDa + tq2qarEP92xO55w7iAyk1p8ZBWIiQhmRZsyku+PonZ/+7GcSRE0jwU5OzVzcRAQF4G/96GsvG69W + RoEYQJcSMdt8qGQ/XABQ38tZF//cPwjCYDScTqdkqGOQ6fQnX/tjl62sBIDmj5c4Ahxi3WeL7lzm + +kJgwJC7BDUYcs6l3LeF/cZ7361MnQoF1pTrED3y0znn3JkwQvnAMVEyMKgKDJWXveRFZW6+rBkR + kdLbZ8+3R/txVwWS6sPHHjUKbUowOi3cTXdVvOGcc84559ylYzFRXibNl5dvL/66cNJwnDtjBATL + EVryxtRgJfTYLEDHFKIAnWBz+rYXvODIxkOH8+bAEAyqykSCxDCoBFhfu1tuB5AywrjrLeprfUsx + DErFCyFzf7FFkvQ8InpRFbycGA0wGZshUoRRjHVniLE2gwEEihSlL4GJxiSAGuq6TobAIJRBXcDK + o+DFbZZ6WgUryDiIwgyRocAqxwpgQwwIDFj/LZonOjZMAkQO2cCxEjBC7POtqX+kT3Ap926BLJCF + XbXoNILOK6ujohawQYGM/t8JyqZsytA8D2kMBGV0qRuRjbuNZ29u/dMbX4jtbUxnUCmNcw19krfN + b2rnYvMsawUMAmSUrhAK00uwhrtMFi+vdC6Wv/bFzs455/bOTrKtaT9yDZQjChIFEYQwXu2CtqIK + UKh2dfu15iu7CY6vQ6JKDGaBBJwyi5ZjNjChZAkjgAnMYJ5/sUfXZYaadp6BciwHsvIBrIByqb1f + tKJCZyQdaUdxBu7QLxMUQMCCCFQlqdcdJAaYhpP+usgsTyArwdMGgFTJdptpDYAATTIMLDlbwoCh + eoJpiizIbBwzc6cpQCuzaHv+DiKA5ud3IMbymTIBAeAMNoGBw+4PzpnABhKAA4iwbXh05QiGKwiI + QDRAY3/OYiAo7/2UnHPOOeecc84555xzzi3XFPk67v1i3u5v5/IEdqpNgpVVHqqk5fUr1TkBwip1 + PUjKkywSQkKrnJqKWKzi0OW2xSytTI4PHvqDb374n/7OP/jk0Q8fW71349BjG+GERRlFDhkwE5ii + zJj1UwSLCxnISi/DbEFs3k2y/FjpBBUFoXyfgIBs0xRmMuhm1eRhuvvXP/vPf+VT//ijt73/sepe + HU2SbgMSQjAKWWAciGNSMUgMQbOViO6SeI2lqiTaKZpipbLsVI3U+7+586w0OwohtG3fnOqpf750 + LEkpLbohlQCIc8jLQ51zzjnnnHPOOecOGCudgAzSZq4IVYMZ1+MjBu5HPAlsfWrN01AWm+o8xYTm + F+zkmpCCDKSQJEAHCRQAQMoIcflxBfregXxaW6CntX3zITNVZeYycGZP7tzds3POOeecc8455xyI + SM2srDqXUMngqrVrGRGBVZUNgaJkA3YdcWgEMMS0IjDzthy//cFvhHE/7kKGecFPicwjO8iZ1kUp + 6QOUTFGCwy3CmPseXkzGSlAuJYnALpfZl04HXMoZUaoGF8WXfRuEoGBjAPuyppB3mhf0OdNQ0hLw + oaxGCspcWp/Nf+wp3nxsJ12+NSvt6pZ/XBf3Nb8dXly7XZm/q09mHEIwEcwDcM1Qy+jZVzyHMtjA + zGYGBgLEAPSBtSffyPLrO49J2aFmZgRhe3jzmDVZreP9F+y+p5afL6XlX3/NIW22J5QSCCXs2ugs + Y+eYWUSaOIy5vvaK62rUkauy1ys7JTICSmqN7Xb/5g6eJz8smO9Fdy7zgnwAIChgDCUgdymEEDha + 1loHlw2+7c89/weG3WqlFYCuEy23V95mi5ykksVbuvmAlZiZqWdGppaT5CRZ+x1GZlgUBGUjlv34 + +Qj0H9y688FkxAYVmEKibsTHb33sK3/wlf/QDk5MZXMwjBy5y8gZOUMVZhAxUqoR69R813Ne+Ve+ + 97W8NbZZBeNFuIUBRrrYRS4+EcuChCdf1OEuHioYDsaYN2vOOTNz0zQiMhyMb7nl1re85S2TaYJh + NB4/xbRk6f4sIqpaJjdDSaoPoXzdtq2qlu96fPV5Vo6vzExVF9eTySSlVF50AE3TeAytc+4sLApa + yk4eQErJ2/0755xzp+uH4AKHEMxMumRZSvGnmDWj4dt/9R0K1HUFQE0DiBkgqGqs+pbfg8EAsAj+ + G3/9r9exqkJMbVeGQfZniAcZTh+VVVURqetaVckQiEd18xM/9uMABlVd8rzNDATJOcyXnfMZrxgn + hhE+/NGP3P/wg6GKqlpVVTnxcc45574125l57KPUDACuv/56kfIDANBPQZyf3AnjnHOZadtqZ/c/ + +EAVm8UW+hS2c84555xzbt+gbnu7nLzkjK6zrlMwGQQqmM2wvv3W57/oyq67nIDpZOmESkudEkNP + KQA2Ovu6z0XNZDmtO/38jQ2E/o5KkPYi4rp8v//vRMPYzCwrbCt1TRVy7gAMYiUwisRcdczbhOOG + 6Xh4fNjcx7yxtvrgaPXe0fCBlfGdo/H9hw7dM165dzy6fzS+bzS+fzS8fzS+bzy8fzR+4NDK0eHw + gUOr946HD4zHJ1ZWjwZ+PDbbw8E6eIs5MbgiCiEDwyoYkNWGTZyJEKNNLTO1uVucztIpD2Dn9dF5 + ff7ZPKmLevVgCAa2/gR68e/Lr5pQCQXvT6sJqFVH0h5p27e++BXY2IZkTa0I8umFKotNo1P/ZR59 + DS9Gdc455y4I2fkM1gD0ebfGIMCAOHj88eNVoPEAgSh1aVc3XokOt7f/5LU/hmkbQwMQUlZNy0kA + wLwvFBB2ukTt4XX/AJeUFWjlBxjgPmx78T2YiIgGRAOywfqb0rBzeMZABOI5bVLl9hjp8mJDAEuH + 2wpoeTvOl8HqWbREs/myLzKm/tBalBPYwMimCiUilLVZe788jpfe7X1id3n4tBPg3R+hn0WIN9Ah + hWoQmLIhKWw4/MmPfAR1BCFATzprIfXjf+ecc84555xzzjnnnHNnzABTUixqh0gZSkQ551k35YDh + eBAjAxQpbG1NqkGY6CQc0rw2ufWRP3v7v/vl3//iByeDxyf1eltPc+yUOlAGQHba8Pyi7siWW+3p + yd2iADvpZ5Z/UkmVsnAW7ro45Sv0GO758Od++32f/LU7TnwtjTY3dUODxjqAoaocQ13XMFbVulmO + +53f4yJg5Qma8jnncI5Tsp1zzjnnnHPOOefcedB13aBq+lZ0DDTNNKtwH3cNK+XbxHiiQJRzSgmI + ghoCZJWGIwBlcIAwMF9lURYPUFlMYOds4UDp+RtCEJEQQtd1i3xr55xzzjnnnHPOuT1iBCOCBagR + ARECqWT0vGfcdNdtt8GmaokZFFhEic5mfIYImjUQmDlZuuOeO+Q7tJ2kYDWMFWG+rF8B3uvxH+fc + nup75J32i8zMOSkxExEzi4mZPffa58Zb6pmAArJ2DBCBBDAIK7DTQPB0SmBa3KOyELERmXC+7+G7 + 6eXQlis0apfWToUN81Ypi6R2BpAwe3TzmJEunk9mzqa8m8FtJZgZkybphhhEqZ/zzOdCyWy5nFmV + DaRkXPbq5+BRuYsFIQNltmfOGMZEHKg04QkkodbxK174PV++44tbsl6hm2RwABGJCi83EiWFLd7n + /ftzMWFjBlEVkZRSKcHvp5zIFv9rX7F5WyIhGGk0A1RRAwghqMo0ZTlMd7a3vutjb8c45zTVkJom + 5E4rRWgGIIVkVc1maysr6XG98cgNP/nKN1yuz24t2Ria1YMu3IKqlihrZs45j0ajyWRCRM1gdNtt + t/3k6//29nTCDIC3t6cx1qU57+lKKvbi6xBCSgnzfJeu68q9qGpKqZpHsrm9tkiWJaJFanWJuK6q + SkTKt8oXJYPcOed2JefcdV0Ioa5rAG3bNk2jqp5s7Zxzzp3OoIQypIEyNArmDDXVhx566IMf/hAA + ETGCGQiAoZxmqWYQwaydzSLoe777u1/5ylemyYwDiUgVa1UF0b4Mtn5iJWo6pdQ/D8DrX//6d7zz + nanPCwUz6/xrnJRWciY3jsCYtd2HPvSh/+FNb8451xzratCJJ1s755w7e694xSvKdFf5dDYzLMZD + 91IZdy3tjwhksDvuuOO511zr7YWcc84555xz+41li6NhAlrtVqsaRiAqKdchzTCTv3/9TdepjXNO + ZhwZsoeFW0ra37WBoaU5LBOEgXmadSjDsOjnNaMq5tFpAlZwKa/qkHMWIg4VD0jbVoYVLCHlRMBW + aqeEMB4dzzkNV9ZzziuHNtV+6xvfAAhVDTBChHQIAGwnVxrzJEbNiBGaQUCXQOFvvugla4jV1uaV + wzWezNaq2E2mNbQGd4mMkdlOtDkGBEIkRKQqIJkCDOP+IfeF+Kc+MWAtA697XTxmpABiac9L6BgC + BNPL12c//6Lv+qV7bubRAKaBWAjWJ1MytKTWod9I3unZQKflWTrnnHPuPOsXhBD34baLan0GuhZZ + r738mXzXcetQESKYdlOoHwyrFG1rEzVPZWsljCg2nDOMmHYSf/vp9sXR1F7qHx+Vu1Va3Hu5X2Pq + v9Uf12WCKSoMoym2NVRc11AFTAEhQlwsqKEKpAdnet8B6Beu7Lzp+rcCL/7RqBzuKy+6kJ2xxeIR + XVqrq4TMipBnyANEGFqiDmiYciexPtgl3w01llsNtJltpUEXA8YRNYHmT21Z8sPowOV84WA/YOec + c84555xzzjnnnHPng0o/G8OAMgSkylAwKFoVjEytpc6SCnUV6uFopT7RndBxO63XP/2VT3z6q5+c + xuMb8hiDonKYp1YDSHvfOIEt5k0mQb3affWRz9/5kdte8/Iffs1LfoS1npyYXr52RZu6jRPrw8G4 + rhtpZyKCwPP5Op3PWAD9MtNTttg7P7jzrbQ5Ipyf1VdnyjN+nHPOOeecc8455w6YLLmpm35ZJgE5 + oa4nICurVw0AWd+ub883phbFLKPLZHnUDAFVCMeQFZjHgJw0FnvuNqk0cy99w0vP99L/1znnnHPO + Oeecc26vGYFApNHYLEDV6tzcePXz//AbHysjM5kkBIigopCz7KpUjQwE6sT6FmAV1rcfO7b18JWh + GeYYjGFVP8hCSv1X+zFv0jn3dJgZjAlsokQcmUztysufOY5HttMJazSTAGDABCiZJdQnWy+CmGFK + i+5+MAWMIKVVDVHf8ZD1ocfvsyqZga1WktO25eLWd+1YBE2X4fWpTY5P1zECGaBERBZs1/tagjLU + jIiRcag6fNXaNTwj1UwUAAUZjMoLx4ZFk0fn0KfU921AlYB58DkDMUbJMJOmqlvJeRafefh511/9 + 4mP33RuGMVCOkVXESI1PnZeZh1WDDWYmBCtB6wQAqipLYUhKsD1uZfV0CLGSGilZWd5gjAyKKXVh + wDPM1vHw+z7xnq36uKXUDCtCUhUIqtCocJsTGAbUHNNxvXHtBa//i393ZbbWbnfDtZVp11ZLTT6d + Y2YzizGKyGAw6LquaZoY49F773/zm9+8vr4JQwkbA/gpMkrNLIRARDnnEmgdY8w555zNTFVDCCLC + zDFGjzs9z0QkhHD6cx5C6LpukUS7yJNzzrldKbuRyWQyGo2apsE+W1rmnHPO7RNkKGdDWY1BMUZj + ElNVbYaDD7zzA1uTGYBOFEAVq5RTGfqo69ilTMzlE9Zgb3rTm0RENBFCjLGkXcL67r77XzlbVFVV + Lds/m81uuummV7/61R//oz+sQ+wkExBilJwlP1EW9VM+UGY2tUD2a7/66298/d8Z1TWDp13L0bsc + O+ecO1OnhA2Q4YXPf8FoWG+3XX/Ke77OfE2VQ1CzUsrBoNtuu+1V3/fnm8AAlPY8h8w555xzzjnn + zgwjVkRmkIYj1LrJtB4NCRqQoPlf/aW/fI3kI0kEs5XheH26Pd7z8gQ1ggFqYCAAZggC7SsqWQhk + pYKK+x+AZkAIfRcBAoBRPTCT7S5BzCJTg/UEHvFEiZvRVtfVh9cem05/+dbbECNiBQpoanAEBVSc + CQlgVAwLULZTY62NKCNHDAiGRmH2e3ffhTZBCMmQ88+88MVXXXUkP/74ahUpT2tG6iajQRMss4gI + Sp6dGWBaHk4pDFuqjtPFlztZfHt8OknzQj6dF/UZIaoO0+Tq0RDTjLSJ1dUkUK5i6bHbPzPcv3CA + AXFeNzwf3uVFpKZzzjnnzr+dRSc2vyaIaRg0SBvrjzz23Kq2NlMIqnlXs9dskG66gjXk6WoYANAk + TBHZEECMQBBAACoHBucr2brfvP7eFMZL3+N+A+bbFhh5Mov1ADWDoDMNNfdTDmVagRb/m7UEDLiD + RHkRZA7MD00NJZcaKL8iZKDdv7BGMCoLb4Gy2qXcZKCKgSzoujCqBGhT11QHvgWZWMfEWfTQkKZT + a5PBBHmGatD/Zi2dsPhUmHPOOeecc84555xzzrkzpJQBhkUuEzikSjAgSYrVgMgsp8BWx4pRUeAp + TSfVxrY9+h8+/f9+6e7PHMcj1YAHo9B1XVCwlVUbKtwX39Bpi03OrTpWsQ7baZ0b5kH895//vbvu + OfpjP/SGq7/tmuMbj9TUHDq0ktqcMw2aputmtDPZtLNZRopTV8TM477NJ6fcpc7LzpxzzjnnnHPO + OecOmMjBVEFAhFnGIKAKWzEIgQ1kBGMylNFSo71dYTBO+u9e9cN4TOpc8awjmIGyaGSEfoUqEjgD + fQyCnbPxqKqquq4DkHMGEGOcTqfe9tc555xzzjnnnHPniVHQwBo0kIFjHj778PWrcQ3CRiSExGIB + kSvK2G2JjqkFRklZMLJWJ7c88A0ZCkhYOUhNWgEAFNCzWcfvnNtn+i58tHNRBTOXMlcyDhRNMAij + Z152bZBGIAIwEBQAjFlYrR8iXm47w+grfQ2AEIT722cYG5RMg5xIj223x5kjaTiHQ7gHgDEZk3EJ + BZ/HSzOA9dlj27YFJgbM+iRw22XEb2nCY4QQKVp13RU3HApHKqqISnfB0qvFhFUYQGTzDF23TEFl + 1kfncQtcWleqKszIECgErSoZjejId73wlY2tWKJAAGBmZsYMs3lk9dJN77TgLHn35b9ATo61tqX/ + tO8ONpRQ9nulcaohABRMg+VsOouzyeHH3vPJtz/UPpAH2ercyUQMIghVHUKlsxSUq+Gobka1Di/H + lX/3B//Ha+hG6uJwOOhmmcV/H91JutyOVoZJui63IhJjbHO678EHfuqnfuqOO+6pqwiAiMrbpsxg + PhkRyTmXQFPMM03NrKRZE1HJvfbs5POpRIyXTHEAbduWfwEgIqpaVRWAyWTSNI2/Ls65szObzQCM + RiMzU9W2bUPwzEjnnHPuCZSPSDMrUyQppZyzEW1PZ+9+z3sVYCYmNiCrlGHVEKjrMgEmCsPKcPDs + ZzzzR/7yfzPd2o4xokQ4z0++LvDDO2PlGVDVcq2q5SjiTW96E4By8qKaRTo67STlDB8kM6vhvocf + +tQf/7EYOIZTmzE455xzZ0CX5s6OHDlyw/XXE0AAE5cPlvM3nmYGQE0BfP0b3+AqLrZN9zi0wznn + nHPOOefOhAFK1GYJqjUUJvV4ADa0M3QZ0+7xL33pijStMVvjkGfTy8ere1rPyaXWlCAMmacFRnC5 + kLEQd8yJOdFiM4IgdsxtgLIaK0hButHOtnMa15UJz1rZssHxtdXbx2vfuOLqn7/rvn/ywLF/dPPt + v3zPAxivohljtIrxGLFGVSGyARBEA0QZRCAQgQg8vxB12hmQTAyGyOAAMgwajIYYVTg0+FcP3vW2 + b/7ZP3n0rp+7++bbL1s52tTTlbWpxbaVkPkQcxZkwcgwAiJAUCF0DCEWujC1caXsrWRal5HZoKgE + 0WRcVbr+2P/6nBvRGaS1CnVAWKRYz8dxdV7hZ7STwz0veuOdCEnnnHPOnV+MkxsrEUAKiiDGcFgf + WjHiCEB2l2kNgGBjburcop2imyIpU2UVWxNAKKnRASzgfH6PBBTQ8kD7DS3LnNBfG2zecioIoiE2 + NVgzYIxQlSeMjBlcVjohMzJBnvDO3L5Hy8tVynGp9QHUZenWWa+ELf8tGILOI66BaIacuZvBCPVw + 1rYEbqp6+cj5YFICCBqBgFgD3AEhIMbybYPO871RAdWeR9g755xzzjnnnHPOOeecuxgoqZEZKZfa + FSM2NlJhRYBCRSRQjBRITS1vpo00njyod7/79//1f7nnj7dGj/CRbhJmm2kGgI3ZwKY8b4ape94P + UxNtz7CNpsmBNnEiH9m+Y/qnb//w//HlBz83qTYsak5dU4VAMplOKTAZyIzMqJ/UUiMFDCRKWUmV + wFYeC3v/N3ehlGZxF3orev474JxzzjnnnHPOOXewlC51uYwvEQHTCar4+o9/TJjJYAhKxFDAlPa8 + BU9UXZ1ltC3azFVtoqYSQ5+V0i8aKNuNk2vPz4US/hFC2NraIqLhcEh7HOPtnHPOOeecc845Bywq + 59jMFILAnKrD9eXPueqGSmowZYIYiEBKZMS7qhQiqKIOFGNMKllTwuzr93ytq2dKykZkgS3My350 + HyZNOueePlVljmZUkq0ZAUqU+cZnvbC2MYAS5kqloBdBGEoK65OtQSht6ajsf0hBaqUBX8loNpDB + DBq0pa0H1u8DAMRLqqSQ+troUx4yG+mDJx6QWoyIEAEoTM8q9UYVqiClCtVNz3pBlQZsxDbPtAZK + obORkoHMY+3cKZY/5UtDH1binHOouKqiZaHMDQY0ba698oZnXf4cbmO0IFJ2DfYEkybzvQRBwcY7 + 0zgAUEKSnmA7Spr2nq8c2C0FlOf59LBIBuUUDstm8+j7P/Wbtz3+Fakn027Ko5AAIagiBFLNIfB4 + MEKCboVrBjf+zI/+L4e6K+JkZVitqlo0ihZ2d/zmLnZlOjKl1DQNEbVta2Y/+/d//utfvxVA12Vm + 7roMoK7rp5ivLN+KMbZtW74AUH7vmDnnDKBcP3U2tju3qqpKKalqCEFVm6apqirnLCIhhBI3nlIa + jUYAtre3L/T2OucOnhDCYDBIKZW/MnPTNGWH75xzzrlT5JzJEIhLnDOAGGPTNB//+Me/efdRA5Ja + SV8uZ1jMUC1/BQACptPZm974xhjj4gQt52xmzHz+YjWfNhEhIiIqmdxmVlWV5vT9r/y+F95wI2BM + /cMx1dOTrb8lVS2dGKoQ/vU73k6Bk+S6rvfgoTjnnLs4UQnNArD0BdS+97v/q9JF3+bTDeenr4qK + AP0BgZreeuut5XPNR/qdc84555xz+4oBMVaRArqMnGCK2RQEnJi+9QUvuTJyA62AmYqabm1v7fWA + ZjAEAwAr4dZL9WoMlL8pqbIm0gxkQEAlPW7RLkAIWiEPwoMpTQ6vbV/2jPsHo398yx3/8ra733Xb + HRiMMT6E4QjDFYwPYWUFdaVEMk+4yzkFtorQBOZFsdzJpTeR6wBm4iS5/64aTK1iGTd5PJBhLcMK + K0OsNO/45i2/eNdt/+jWm49WYX3t8ImV1QfUdLTCdbM4SRSCkBrBlivibHGFk7/aQ4tMa7L+5Qim + ItO1gCu327e94MWYbFdpQia2mF+ePzk830wFFtGAfSOHc93PwTnnnHNniHeinRl0Urw1coeaH87d + iXbGkcRwFtPXM52M1X7tB1+NWYtOwOiAVO6YteT4hjKGj/N3SHDSEZQpTEF6yl0bEKDRWkw2IDNI + Gyvo/PlSxMV/kJMWUey39QvuW2NgeSGGlazyU5eqnc25DgNBERRs/ZuEDbUoWiEldC0IK804gi6O + +SGOQcwYSNMUeVgPVrA9Qwg4LfSdDOGSWg3onHPOOeecc84555xz7mkwgpHNWzZFgBUMgIOpdmQI + FHPSSZqlapbGmzc/8sXf+aN33f74V2fNiRS7HJCAZsBGfUMqI1UCCGR7PlitrB3lFq1Y5lhRjS7O + juPB+9pbfusTv/r1h//zrNnqaJq0AyCQvp9PGUU/acKibLOdNDFlXm3jLoz9E2hd+KyTc84555xz + zjnn3EEjGmMEIwlghvEITcAgZopGJMRCXIZIDTDwniZbC2uuEuqMhsEIzJECDJYUVpKtKYBK9fO5 + XfaQc66qqm1bIlpZWQFgZt721znnnHPOOeecc+eHQIkMQFYNoaJMtQxe+pxvH9gDtnkHAAAgAElE + QVTIzDT0CQomGrDrmNLyH8wMUCJK6O5a/+ax6f3Ky0MfDJSqOPM2Dc4dXKWsVedp0wtEgZkhWnFg + Y4gFMOX4/GtePMYaSWBGLJ3ojEtzPV3sFZawghVsCkCIBSwl1lopEBkhoUthevShOwWCUwOeL3bG + pKVDSqmQ7l8FYT36yNHcJFVlY6AP0TmLp0cBIlin47j6/Ge9kGc1C5UqUiVmU0CFxUgB6iPJnXty + SmwAmAAFaZY2EFNmnfEAqy+76TtrG5IGzWBmELj0pSpR908USq3zhj198tMTZPHqvAR/f+GytMFA + FmFxHvtdZ87r8f6PffX3PnPnf5SV7Wm3Mah52rZhSKGCBqjltpvlkGepy4/OrovXvfZ7/rvL0/VX + Hnr2ZDbLiQgVicbw5LnE7pJkZsPhsGkaM9ueTWNT//RPveWzn/1i+W7JQh4Oh3Vdp06eYrWAmYUQ + cs4xxhACgOPHj5dfvRKcDCDGmFKq63qRfur2mqpWVcXMXdeVfHEzK69ReRVSSlVVlR8ej8cXdGOd + cweSiKhqjLHrusURV/kgcM4559wpNMtOljNTrCs1bE0mv/Gb7wQhVMHK7AmBAoNJAQOIoIoqcgAO + DUeve93rJtOtECilBCYxNTOAeffzNRcKEZlZuS6HDeVpGdT1T/z4awFEnk8QkZqdUd3scvUuM5em + DAr7zOe/8PVbbkmiWfbdEJBzzrn9afGBckrTnpzzq3/gVbQUV0BEdh6iA047177j7rtObG7s+f06 + 55xzzjnn3C5ZqeFSBtUIFSYzBMbG1j+88UVXbU7rIBvAFIgVDKhitacbQ0AwREU5c8uMNmLGaAll + wLFW1KrBVEnbSmcxz4IISVRtpP+PCmSGVc3jXD18xZGf+dqX/sEdX/+lB+5BHGCwglAhBBOgigCy + CAimyjGEirtuppqriogESCotAVRC7/o2svPyThUGB4Q61KamKSFWiMFMAWhOpsKhymrS1Bg3ONzg + UPyVY0ffds9tf+/2r9171bd9nfke5o3AM6BlZC6ntMqmUbUyJYMRBFzqbGEnRfHthb63L0rZKgcw + gxlKUNEc0V5W4chk+tMvfjl1hpwpLAUCEsj6Id8SBGko4ZG6Dyv9nHPOuUsHAXG+JBCETEiAkBoA + ETQDRJlctprHI42RCHn3n9s1IrfbzwsB0wm6toRYK5BJERQBMERDDSgge5/tuzNf0P+poH5VjM0P + VspSBAKgLdoN6CZmx6EtdMZAFqiBgYAIjaVRFYAAnR+WugNEF688ANDi2JQX3+7fLEa77YdPhqAI + Bjq5c9kg67t+4AexOUMVJ9NtKFgJ3dN8IPtCypkZaqjquK6t1Q1GI6RWMI+1XvyGKy6OJG/nnHPO + Oeecc84555xz55sFWAVEADltM1KFEKkyqngltqONb2792a995JeOzr5ma+0M0xAw3cRKXc1mKowc + tAvaRQgDQFj0udq77SXQAFoha9YsFWo2SES8Ih/D7R/4zG987pt/aEfyjNpp6g4fWo3MbH2U97zQ + hpVYifuJi6W2WrTHG+/cQXGJtaF0zjnnnHPOOeecO+iMQQTjNhtHgAA1MKNqusAl09pOS0DZO2wG + asEJURIURBAFlGI/7kRAACIQz1medS/GaGZ1XZfW8CklIooxntM7cc4555xzzjnnnHtiBikBpAYN + IFKiRDdd9fyRriwPzYjIEyVEfoubDoFELOdspLGpNejx7tiDm/emkEoeLRnmjalAUC8Acu7iQ0RE + QVWZIwDJRggVmmuOPHdoq0FDRaClxnmnN7RRlB1FPzBrgIGNdnYYXDrDUNLQHjtxX0dJ+RJt+7I8 + oq6UhdOxEw9marOmUmpMRETg3e9tmVGFQBLXqkNXH762zg1bCZzpb6uPJAfYQJdarLh7SkboU+n7 + /pVcfuOVQJGSdFlbIhsMahMLGmJuXnTdy0a8xhqgiBWDYPNdAy2a/wDo8yTUDOUCgAg8N9+E/qf3 + aZ9L46U9Won71q5qJ4Otz9/96T+6+aNYTVt5u2k4BFJFSiYCtb7ZaR2bOtfPGd/wE6/6Oy848rI1 + u2Jrsx2MVkKooGRmZnIhH53bf0IIs9kMgKrWdf3Wt771U5/6TElNK5h5Op12XTfPaHnSXbqqElHO + WVVns9nKygqArusADIfDtm0BVFW1nKPszo/y4pbQ8ZLuZmblVSjXZlZeIOcuBebONQBEtChxKX+9 + oC+yc845t08xcwmkLNMrzLw1ndx7//2f+ezn1JCSMANMAHJKMIOBA5mBAM0K4K/91b+6troyHo5y + zmYWYyyH9DlnkQNzvh9CKEcRIhJjLCeSAFJKf+vHf2J1MBRRUWFmprMZVFTV/mkRJeA9v/VehcWm + Nj9Ccc45d1bKJ0hk/vaXvoyBKoTy+bTImd7ju7eSbC05l+C3x48ff+CBB5a37fSvnXPOOeecc+78 + Y8yrEMWgQKiwMfmZl3/XVSkfyrmdaj1Ax9hKaJpBymlvt2YeGVjKUA0QgrAaaYl8JmgwlLg5NoCg + pEZKBgO3zBt1dWzU3D8ef0P0bbff+Yu3344jR3BohPEQh1YR2dRQARXaJIiIdehSR4FLaVZd16B5 + 5Y0Ih7BTb2PLqWwcuJJS+IUIMNcDEMOIGZa7OobIlFOyEIWbLdCMmtnoEIZDjEdYWf0Xt936f959 + 113Dwf0rw4dH8XjNk8hCXILxGP1jJOuvyRgWFXGvW3QKQanUjoLm98WGMaM269JkkNpnbiVsdpi1 + MAVUls5tSy/gcuKt/ZU+wfPnnHPOufPJdpJ7ZSdqVgMHmGI8eMPvfyiH0HU5GeLuy4Q58CDL2kOP + 4PgmeKfDvkIzrO/GX9YOncMH9RTKw+u/3rnPxWMXQlkIGaBoWxx//J3f9+d/9TU/hONbOL5Bs2ks + qQGLR0IAlJEZwn5Ec0B969eNM3OmsNv36TwzHSgnKQCAWvXbVJEzJA1XxgBkO6H0Hjvgs0JVQFaE + GlPVPDj0YMogoKlkeY0PLc5nLuCWOuecc84555xzzjnnnDtIyEBG8yF3hgXWQMZNiHWIwazrZonb + WT396rEvvetj72jXjm/X61u6XQ2CZqyNYredIrMBQpoDMvfVLAwE3WmItycMZVFpM4hEtr09Y6Zq + iOPbubnCHs73/PsvvP8Tf/qRbjyr1uLxzcdVdV5xFGERxrAwL745JdlavdzGXViLPiQXnLdBdM45 + 55xzzjnnnDtoiADEOC8ojsFihRAShwQOVWTmTkCRiGivx6GC6TB1aCcgFSIDBAozQPvlFsYwhqC/ + nNOxWZrDvJm4c86580lVS7RDSql84qS00y6hdFM95R+dc84559xBd/pQw6WZwUMqkaDIxhJAmiUE + CsaH+cj1V9wYMzMAQwwwE+y+UbOIxcgZEBgzZxJr2i/e8idd6MIoJmkVFmNUAemByWBwzj0hnrf8 + O60eV3PuYow5Z0YoF5IQcv2S5317LQNLCEoMCmQGKcG3p94EaV8iSIrF1+V+mc2MGUawSu6477Yc + WmrMaJ8m2O4RIi7xouXaTFBhqpM7H7hDWKq6JIvDTBbpv7u7fYVMMbDRd77oe6iLSKdkVy8yeW1+ + 5dycsREZUUm2Rh+CDiNkUg2qLFTprN1itsiBJRwZXnnNkeu0tdVR03WdGUIItvQ7rUs7CjIQgQgh + MDOlDpErSXk8HLHxIv/6/D7mXSAgaAwas6ZYs6RsnGYrm1955D9/6Au/u1E/1uksEDK0U6kQgsZA + XNf82ETqUZW2upV27Q2vecvzx99RzxoSI65FWTWDMioo9FLbH7rCzMrkY845hBBCaNs2xjhLeTBe + SWoC+sV//su/9/4PN01YfC6ImJ78filnScuTmIv4FjMr+fEloQ1Azrmu6/LdpmnKFz71eT6VV6Rc + xxgxf71OOdslosULdKGISJmXKddla93Tx8zM+/dTz10cFu+xS3MkbS+UmNLFX5d/kXX+wezPtnPO + HURN00wmkxhjjDGJTGft2uHL/sX/9S91nsOhhn6czgAgxKhiACKBgAj8vf/+pyMo50yMGENKXZnb + CiEcoI8GVS1DuDHGUpcVYzQzBh0+dOhv/ujfqAgMqKqZwbAcWPKtMMAgdDnZvKT3d9//ga3JJEmO + MYpIOWMtd7o4ez3obA5Pr58zgTAfQDCzMrB8jrbROecOjOXYAFuaUQjE11x99ctf8lIRAdA0zaKQ + eG+3Z74vJmYAbU6B6Mtf/nJfVqFqhKwCgJl9v+2cc84559xTE5HFFEypoFiUji8GqS7c1l0s1BAD + COjSz970smvWt+rcKtKAIQnCkIhZngWmMx70O9sNKev+CSjlWIagICAytUAcVDMgMirBIGEoqPqh + 2bhheKQZH11d+4d3Hf3f77vv/1lfx+WXYXUNoxXhpoxAAqBGQIlCbgYGZEOu6mjYGVJjqmERFsED + WNxJY6ZTR/ECBwAGEMf5IGeASgwGE5hWVYjEARigjogVaqACDzEYYzjG6vjf3HfP/3b09tsOjR5d + XVtH1NAEHmQgEDMhA2vDoZnWhoZjsqy0t89+qeXTeVdfWxrkNUUV0QJ1tCsm3S/c8FJ0CdMpIJ3l + PsSujJAr2PqaVIHJfCD9tOfvkrCYJl7spsq4RPlr+e5ilNg555zbE0tHMrLzZUlqNsBQM2IgxJpi + OTyy3XxmG2kn3eEYrjuRPvdf/7foJqrTcgMVQEACOoIxQCBF0D1eomLAKUcdyvPvaAcTgigyEACk + GTa3//B7XvOqezdefc/Gf3r5D+CRTZzYQNoEpkAWQHiRgb2T1esOlJNeseV3X+668ieBYcj1oA1R + +CwPWgkI83d4UB2mGaRFO1PLIIRRJXoxHBBnARMQwpbq+qHxD336D1DDTjlPIQihI3SArzF2zjnn + nHPOOeecc86dZ4tgCHeAsDEZLRqgzQfzmS1UPJI2p9zWK9zWW7c+9pX3/MdfXx8c2+TjEsEVcrIg + tbWxphgUIDWGUH8BYIbz0KupAqIh56yUmgEMpjM0FSYJcRXr8f4PfeG3/9Ptn9yKjyIaQCFUko0Q + mSuVoMpEFSzMH7iyoVTxYOfi3HlSmlARETN3XYf90R/DZ2mdc84555xzzjnnDiRa/EEsYHCcxGoa + 49ashcpwwKk1VTAzbA+HgBrRK5MiCToBTGAhRgRDyUlYXvho2FlQ6pxz7uArTTmZOaVUVVXO2cxK + 0sZ0OgVQGlV0XVdVlarPyTnnnHPOuYuQUjZSMiIjJSXjFV574dUvjqkeBLYMAMR2dmVqxhQqGCGL + mclW9/g9x27fsvX19vFqFJUspVwyGMh81blzlwoybmx0w9UvaPJozEPLfXgGM1MfjD0fDTY8cZHv + fLhYJCtMBETUSTeVrUcmD23KhtL5aC6/f5QnEFBmhhoF2paN9emjmTrjvNiBnx4ZfibIgMSHmiOD + tPL8a17Cuap4EMvOHacHmV9ymeLuWzNeyrTeOZwwQAlGGcggKbn1bFzn5rqrbqityZ0wQmAWMczf + bEaAMYx1eeKmb9dITDCzwHFx1/MVCPs15NNYsqlq01Rtt21NToPtOze++v995n0n6PEudMQIDGZi + RiCOIEmakq6OOG3TlfGaH3/N658Zn3sZXxml4v7xqpIaqRIUtKfza27fKmFdOefhcFjK/csXa2tr + J06cAPDe97733e9+D4Ccn+og3EzG4/FyF9SS4wJgNBqJyPb29mIhgScTuzO0HOBKRCmltm27rjN3 + Vkr+XzGZTHLOImLevNi5gyClhPlq5/J5WlLKVLXsJ5m5NChv2/bCbqpzzrmzsL29PRqNJpOJEUaj + kZnde++9H/zgB/uRkdOG6STnWFXMUMMwVq965Suve/a1ue34YqxVJUMkNtE3/O3Xi4EJg6ayfoRz + 98wAcAhgOjHZft9v/06MMeccQljUepUjZN6v40O7snzwcA5v8xzemnPOXQRERFL+Kz/8IwCqWHVt + i7MNJNiVxZCOzWuVxezmm28WkVnqjBBCKCeMqroYqnXOOeecc86doowIxRhTSkQUQijTqXVdAyhl + ijnn5aBrt1sGyciIBAOmCa0+o5UrsjaaCGDDvBAUZNjjVGUYITGEYShpcIiKYGDDTGRYhxOzNB4E + BupANSErJhSO1/Wx1fH6lVe+7Y7bf+WrN2P1MAYreTieVU3LsVuUsJIi5HmOovXDkSfhUxtgnn4G + +WThzCXhkLVERAIKGAxkCIZoiIZQ6mmJESOqyuoKwwb18N/cftcvfP2W40eueCg2x+umDXUKoTPU + wLHpdAAQLMtsFCtS4fPUppYVEOjyGPiJDiujaCmPcx5PJj9304sggtQ2ZGpJTUCAAWJk/TClAfPm + DpeoMpS92E2JSBkNKDU25btlULeUiFzYrXXOOXdxW8Rbh5LobAATqloI4LA9TWwcKqTdf3IzsU5n + VwqGjzyG1LFJUIvz+5y3dNrbNlOnMpySe2BAtkwgAaYdIgDNSB1m7bM3Z88+sfG89a3r1zf/y6v+ + wm9/75/DxgmaTUhbRi4HiKE/JuqfPHfQPMERvHQ5Ng3BGBxAiNWxLFt1nWkXb1QjGEEYO6cSBADR + 5BAM7QxNNOJJSkIIEZIP/KFxDAgRk6nwaLTeNGhqNJUsJ77Pn2zzhA3nnHPOOeecc84555xzZ4wt + cplLIgWJkpExaWwnXeA4WI0nugePpTs/8Afv3Rysn6D1XGZsDEDcmb4hPWlOwLhMGZ1dl7YzR8Ys + kSWSoYRRY7F1jG1BHsz48PSjf/K7tzz4p9NmmqNmiDFNU8ehirFWIaaoWlpxFdqPtXvzN+cAeKy1 + c84555xzzjnn3AFDABi0KMAnQyAAxMdj3BpUq4fXJjmZaFWDDJrltGyMc2mY9Fnr049/x/ejxcCY + lRSWJSH0I08ClsViiydbQeqcc+4AYmZmJqKVlRUiGo1GMcbStGJtba0s8E4p1XWdc7442ps655xz + zjm3QChFdbZoulDq06rUvOjal11WXVnnBhlQqEF3HzttCjMr3bTMpKoiB1vffvjWh27uhtstZhSQ + TcGBvGm+cwcc7WTHYhGi/OQ/TJT5hme94LL6GXUeayI1CKlZZqDcji3XBO7k4CqgwTSYLkaMiYwI + IQRVtDI7+situd5SvrQ6tRkZBwBgZlIithlNjj5yp3DuU4RJF3HCux1sZ+NodZjV1x65/poj11pn + zJzVjAP1GeRExqUf5V7XZLuDSInnv9FK5dK/CQl9srUZqZEAQkaVjJ5/7YtqDGSmpZOsiC1PzJRW + PgZe3tWowsyYYUpNbALCYqfUv+dtP747jUB1BLNpTjbpRpv32zff/cn/+4F8R8eZEAkwhWQgE0RN + EilqqmM3WE2X/8grXveyq77/qpWrc5fZYtAYLQck5dQFMQTAY4YvUaUXc9M0m5ubIYSc82w2GwwG + GxsbIdYf/f2P/8I/+6UkiDWJ9t3fTvtwUADMvL29HULAfJw8hFDGySeTCYDRaKSqJUL7PD9Gd6C1 + bbtos1umaaqqutAbdVAtOhSbWdM0pYWxn187dyBUVVXXNTOnlMqv8GI+WlVLlHUIQUTKb/eF3l7n + nHO7VlVVqGJKaTKdchV/412/Ocvpic6/elkVBgK6nN78xjfWITZ1RQay+WAI7c/hjbPBhCrwd77i + Fa946UsY1LZpOUboKZ6lUxkDoYwblQDQ9/3bf7u5vV1uqkQWXXyHx55p7Zxze2H5c5YNMca/9EN/ + kQATBQAznJcd5uLTkIgIZMBnP/e5qmlijMxcovhEhAyRPQbDOeecc865p2Jmi6NoAETUdV3598V4 + iMfBni1VywQ1UkiHVv/n628aS9emjUo1KlBqDiXWwsF2Xa+4660hZOJMTMbB/n/27jzYsusqE/y3 + 1t77nDu8l5Nk2YU8yZaNbbCNR+YCMxjbGOym6OgAKrqLagYzdQAdPURHRxRQHXRV0YWri6KjgYKi + qK4mwtHQUF3GhTHG2MYjyGDZRrJsSx4kW1Iqp/feveecvdda/cc+9777MiVLKedLZabWL268eHnz + zu/ec8/Ze+31oVEkHdsAJMYwyJSx6ASFdospYh/b0/Ojn9o6+t9/+o5/9PEP48gc150QZaOoq9TG + xhAUUAWrEYAApHoiREIkMJ0XaE0bzQfG4Vw1UpBaLcNHIRRCAQpQVmeqQY0IFEEBCFBANk6rYDdb + n0EB8SjSMcyP/PIdt//8pz/5yWlzb5vOhVQoWAjbbcwMTQYgSp7TKpX7MNW7MEJhCCsbCFDGJAFF + YKDEE7YTu4ufePrN6HPQ0hAiU8kCBYigWOdWPs5765ZSzGwdZV23VKpjKVe9QP2vGGMt63LOOecu + MaqLDbXuLgcgGmAKQEWKGVMLamez7aJaCi7264gMMG1SpKAnIr/pG74F959CGQBV1BlyUL2YrpJv + D3uE3s7bARnXPkSKDBgwmYAAlB4PnP6917x6xto2SWVn3p978u65l3bdH3zli3H/GXQdaSZRKkBh + aARYwOot068qthG4vKZAaCMAMtWSAcZe99p3vP1U5HwxLWiUkBmFx7oIrTvChGCl7fYgHTAsUbhJ + XREAIfIjrp+4UilgiMBSdNlMAYAZQAOk1WEODMHWPd6cc84555xzzjnnnHPOuYfFQO13x0qqPIAG + QAGezo/t9suh2TmNu9/0tt+4u79tN+50BFIEAawxBCMzKsoFBAKCISiCRrYIi0Jsh5xszYagMWgM + ykEBgjKEoYRcsLU9GbLuDacw2/m3/+H/uFfvPtfsnC1n0iw203av2xNYaFLuShMaNl5XZ61acl07 + q1DdVWe9KupK4BNPzjnnnHPOOeecc1ebOrJZVyqCtRYdg177x285G+iBM6e3QyRiEQRQCofbdj+p + befyZc0ERdEXJmZQDHEo2bBeJ8qC1ZpUT7Z2zrlrhYjUhI/anKKu+gagqrU5uIjUc2KM9TLOOeec + c85dG2r+KNmqbRYwVuAYI4cb5n/nK578AjqXGrABZng0ZXYBIjpeVzUmbicBYXjfbe/GMTmXzxFZ + iFFVVRDIYxedu+o9wo0EA9rrsfbEc278CuzEyA2HsSKWFaSsYN1vZqiAKo1bodqlJhiCgQBjKIEZ + KmCGUrnt7o/qPCs/nsI1SUGqpAojIiISK2GbP/n5O4yUDGZmhNrrr76AF9em0OIkzIdz9tVf8fVx + mEEJwbo8jGmUVpsJclAmA6B2GZoguquHEmM/894IQqYEkI1rA7C/g6EgJUPSyZOPP20WZoygAoBp + I6O6ls7rRrYEACIygxkxs6rOZrO6/ICN2HhsFArUuzvsTqkXRUkLRKIUHTAZ7sdn/v3bfuMzizuw + nSkWBsGiFUDACMRGCA01bZ7PFse/8yXf+6Knfu1MT5hEGYQ0kIFNAVXebK3lJdaPR3VYexiGtm1r + bPDx48fvP3V60s7e8573/PRP/3cxAkAeDCB+yF5a4xupjpC3bTsMg4ioas0hNrM6il7bpNb2qc49 + LCKq+azrxFaffPlSxBj7vq8fQDNLKXVd5+3Xnbsq1C9rEZnNZgDqVrHmKKhq27YARKTmcaaUHttH + 65xz7mI1TbNcLlU1NsmYFt3yt37738QYFQ8xihoCRMyQQnj6jTd+yze/ouThGo6jEJFAvNjd+8kf + /wkzY6BNzaO5IRqPakVEYCk1t33yE297+5+F1KhqKaWOl9Yj2WtgP/kSpnTbOPV34MYvyS0759w1 + gIgC6OZnPvNJ1z3BTGJdyXIxmQSXhMIA3Hbbbbu7u3X7vxl6/dDjus4555xzzj2umVktbMAqBXa9 + O721tVUvU4eJlstlTY11F4uARByhJD0We//DVz7/hpIbDDMaCzuDMRkTiIwv51r8YIg23p0QCgOJ + BYhIU2oytRJnn0/p8/P5/3zbHb96+ycwP4Ijx2w6kxh5mgrAQADGYVkDAAEyAKxC5zZPmw6eb8B+ + 1c34+35RzeYLooCAM3hAMESAQRcc7tF5vzKYEBg8QQiYT3/ljtt+/lOfvHd7fmZrfp/a2SKdoc8I + BBiWZod9AEmGdfQjUIPAxzzuPqMfrAXEpMjyxrZ5UhZ0A3b20C0BiU0oagCQ662wd3CIMdYNV63L + appmGAZmHoYhhKCqMcZSSilFVX1c1znn3OFQQNffMcFWX+1mFAM4qBGEumJxsg2ALr58OIbQ5yLF + piU/Y9GjKxiWwUoACLxaYwAYQGq47PXJq52RAOScDVBDQMFiD0ZHT52Nw7AzLCdNezTRZO/M9WdP + v2iwP/zab0IeQHrenqF/W19teHW6AEFUiKiJicA4chQxdUeP5PBo9riFVks+CCAktSPL7j9+53et + gt1t0oQ8DLgm6vOloGljRri/FMy2AYbJer/fMH5U4qqjm3POOeecc84555xzzjn3sMg2K0zGORkj + LIauOdHcl+9583vfdNfZj4ZjZXdYTqctayRt2Bgw4SKhSNhsZ8dBmZRxsLHVoT4DBmrHttoLSwlK + IOblsmtbNC3OLk+GY/obf/Cr99Pn+AgWww41oihFc9M0qrpqqEXeXcpdOa6ccib/VDjnnHPOOeec + c85dZQ5EkmCVfkGM1OzE2B7ZAiCmYhCTw24DJAxtLTcG65EiugwBICHGDBRAViPTBeiBgXzlgHPO + XSOIqC7wXreiYOb17yGEEELtEr4OwHbOOeecc+4awkANm1yNvRgDgRBjab/m5m+c98dantaz6eLb + t4UQ1CAGIqgNMvQmakE/ed9HPnP243ECJSCQqtaEnkv73Jxzl5WNybUbFbk1jlqVdOzKR6sTkKil + gV/8rK9pyjxRSzEAYEZQDsYAGwFWm/SNNzoW+5IGUzatBcEKZANRKINENGDccc9H9vi0cL78r8Fj + xQAlVYiZqiqDsmablbvuuxNkUFKGEpQBWmcJXwQy5iEca697/tNfzF1iZiEljqDAUIaSMRuRcTDU + gG17FK2J3LWMACZTgrIpw9jAxmwMC7AICwrU9Ho2DiVNeevI9FgTUm01G5EVpJ4AACAASURBVELa + rBNW2lgAQLWyntaR7aR0dPsYlNjGmvuLjnK/rDTTcuClznQnnvm/3v5rt537UDwWu74EU1YxI3CK + HBIHCoE5skzTzvzVX/493/bl373F20SkQoFbMoBU2KRuS3UzUNw9HpVSADRNk3MWkd3d3RtuuOGd + 73znT/7kTwFQAaxGwtsF7bd03U5XVetSlhBC3/d1qLxmWtcc4pRSzbc2M49RcY9QbRGeUqqJrcMw + +OTLl0JE2rZlZiJaLBYAJpPJNRx/6Ny1hIgmkwmAxWJRA8natq0byclksjmFXUrxcTPnnLvqGAFM + aoghEYV/9+9/98zuTl/Kg2/QDRBBCABE5Mff8IYmxNls1i2WG8doG6kn18DXgpqJBsIrXvGK64+f + CEz90D+S653fEcJMRYhiPaqtR6a/9mu/thnvgZqiHYJeE+2egfFwXlW/xDeCrXoEXKqobOecuwaM + Ew1Ayfno1varvv2VAEyEmCFyGR8H1eZCTDi3XNx66601qgpACCHGqKp1BNg555xzzjl3HiKqlQwA + wmrArY5+7O7u1sGiGCMzT6dT369+1KT0KIK97sef9xVbZ++fdrtDt2cRNjZJ5QAyWC0j5MOv3QqG + qGMEGoDM6CK6iGWxEJtOS2+hn2x/Jsa7n/zEX7jrdhw9gq0j4BaWKJMVRa1fXYeoERAgzAXREAGF + 1dPqLi+IuDaC0WZsIW+cIiHS+p8WqSZYr04KLuAMCG1ka0dFALjW3CpBg2kQkK5a0SagnSI22Jrj + 6Px/+egtn77+yOe3Z2di22wdUUAMA2E6S3Lo43+8X6FHMCAzhADFVtsSQKlRLdspxW6x1S//x+c8 + H4VgNXxeQkNWgEQwkHcTBVRVRMysVmoR0fb29vpnSomIZrPZdDoNIfjornPOuUOy6pyPcPC8OuEa + KILYmuZct+wMqQmPYn8vANRQO+Rn7HV/+nXfhMUOLEMRlBtDxGb7/QPT5ZfexkKnzXMJbKazlBho + CBCBKc6d+7KuzBTbqc3DAqwtMO266/aWN1IAQYgR9/csZRXP7a4qGzulNC7GAmBACARD6TIAcEQT + 7ynDwBexS8aGpAiKwshhPAdAI/rEbEfOnMNiGTBMQDxoauI1UCDSGUKbMAg37V5qEBMQIhJkY8GL + oX5aggcMOOecc84555xzzjnnnHtkxrKdjUZnRhAuC+wum9333vHnf3PPB8vW8ky3szVL0ilLChoA + KBXhUsJY7QMgaO3UBFot5LRDLsdQgpEoFVBh1OIgKFgIA1SAFGLfo51tne5O3Y873/zB3+Wtfq+c + 3h3OtNuJSHPuZ7NZHgYyXi+EWd/4ZYnldu58V1pDDJ91cs4555xzzjnnnLs6nVfZT0AIfUy9ZAoo + BaKI20eH3F+wBuDS0q7rjQraWKwgJZQCI6iE1SJQwjo/BXa4ix6cc85dPrXRf4yxtqKovcLXgR/1 + 93Uzi67rHuOH65xzzjnn3KXGhtoReVVgxzAOFKmEZ17/nKceewYkFYU+qqb2CtPayIFrsnWhYkY6 + xJ333PrnzbxRWFEhshhTyXr1L7R37nGK1t31bPznw1ze0IQkSzz1+mfcePxpJiQmCpjt38g6X5MP + tvwDQKZsCPV8rnm2RMaBIjNOLu/97Kk7jR9nHSfZAAOpFokUzfTkzn0P7N5nNnbYX7+ANU74okou + 2Uh7PP+ZL5zT8UanRFSQOcWcBesQHeOg9XfPtHYHjJsFA8h43V5zjKAObJEtYL9NJwDmErmkJxx/ + IiOQsZlRte6PNa4rOHBHRGOiDxEdP358PNNAqzc8GfNYgn8llRyTpYYkLHfS6d/903932/0flXnZ + G4aYAACmDI3EAZQ191pUwqw//vXP/tZvf9Frpv18ipkNasUoBiMzgoJggTSQEY1zWf6RfDwKITDz + ZDLZ3d2t/ZqZ+QMf+MDP/MzPLBZDikEVKaWUEtF5sV4H3jA1vpqIasRmzdcE0HVdHTZX1fq5u2xP + zV0D1rMtZiYiNdP6SludchWpH8C+7wHM53NVHYbhmonrc+7aJiJd19Ve5ABUte/7mqZQz69xlfV3 + /7Z1zrmrETO3bbsc+sVy+eu//uuRYuBw/oU2+gXAjBmT1Lz+9a+HmRapuTvnuQZmUsgQQMzcNM32 + fPa613+X6CMKV6YLf19dhUMwYNF3AL33A++/5ZZbakkYADNbjy8dwrO53OqzUNVLsttfX5x6s9fG + 6+OccxdlfwrjvPNBRDR0/fd///cTYLDLPHhFRDADYEwA3ve+99Wcqjqeho0NuHPOOeecc+48dcyk + RlmvA63r6FAIoS4YLKXUi9V5GfcoRE7IgsXwZV1/XemOJd2ehT7XohNmYKxmJAUOvU1qrSYNBkDX + kYHBwAqjsFDLk2Nn5lt3RPziXZ9444f/BpOpNdESwIAAgWLgkjOPPV3H0ERZ3dRYb7bZYgAP9suD + /vM8topts/NHO9djwYYxWLE23l0/jPG6xjU83BhdL6KwGDQEpIgTx3/lllt+6ZOfOHfs2F2LpU5m + PaE37Hb5Il/Ri8PG+xV69ZU3GEEIGRiyRTSn8hA5oOSch2NMR3YXb7jpmcgFkgcpSwW1gGD1yvDF + Vbhec5g5hFAHAVQ1pTQMQy3iijGqal0KXTdodaDAOeecu7TWo89hPYS+2hfqS9HVXtfJYHvzZmvS + lOVFfx8Vkdg2y8G2J23cPXWjZgyCvQGZx7tf3a9BFY/ZgDiDyRAB6XqcO41c3v2q1zxhyBiGUrIC + XZ8nEzQMmPR5AFiQyv5Kh/XupZd0XmUe9C0nMIXBEJu2WxYMA6ZtObpVLmbndQyWsPOvEwzt0D9B + A/owWyiyEsxyD7ZD7rd26CZtkj4PZr3hH/7pn2ASsViuX2ICxmUvXrDgnHPOOeecc84555xz7mLR + OidkLOsRFj5a7jz9t3/8wf+4G88OsecGwyJPkGrJjlIxLrUHlmEdjl1vQxmFcHna1qnyIKHUySSz + BtbAIoDISAHdUIwxkOhMl+nMrXe+/wMfedfWiYZS6ctuaND3HZGFEGq/PkbtxEeKx3ndjXuMXVFr + nfyT4JxzzjnnnHPOOXf1WQ0vKWPMIAEDImk+2yvduaG0k5gYWCzSIY//BMP2JCJnMCTGAkUKAAJC + KGgKWkMLBEVQbYFmY42oc865q1ophYhKKbUbeN/3NUEBwDAMNfyjZnV0XTeZTB7TB+ucc84559xh + YDYmo1UnL2YLogiYtMPWy57ztayhZloXveg2EyLKDBAE4IAYwAjMkEl366f+8uTZB0ShWijW5nFe + DOfctYMwdtYbO7DULntUA48VANSixYlOX/K8l6mwKkDQ/fYcbOD9Fn4HMTSYkoENYFCACprQkEJg + aOXWO26xayDd5ZEjNVPj2pdRa6v92+7820wdUEOsNz34q/rFbh7Yardf9NwXowuhREAVYoDpfqN/ + MmYjBoyu+p4p7hCMEfVk44eXDEGJlUkDLLIRwDACmIxJYkL7xCc8ScSYmSiIKO1Py9TNyIG3saoG + EBsgFoiPHz1Re0iNd39lbw9K30vqf/fPf+svv/D+MqUCKgUpxRoklEwSRCC9qhKm8dhLn/pNr/vq + 72O0FsQ6bS1aHgy5hJIZbE3Qhq1hi4DiMi2WcFecnHOMcRiGpmlqF+bPfe5zb3jDG06d2tmaz3Ie + M6qHYTCzjZi08zfgtY9zvUy9WNu2IlIzVOoF6i+llCtqaYG7kpVSJpNJzrn2Da9hbB6f9qjVaay2 + bc2sNjJumqYGzzvnrnDrmeimadZfoyISY6xf3zWxbDKZ1I7kzjnnrjrdMGQVAO985zvv+cLnBytZ + 5SFH55ihGkJ47Wtfe+LEiaZpNkuYcPjRL5cbaSArQwbwAz/wAzEAj3jJOm/0VljlEun6ujFGA37z + N39zb2+vloQRETOLyDWzn1yjTL/0WGu7wtoEOOfcFSWl1HXdi170ouc9+3kAYIZwOZaPjF9tqvV3 + ESPgXX/xbjDVg8T1SGy4LI/HOeecc865q04dAgoh9H1fh1BExMxyznV3uibFmlnXdY/1g71q1Yqk + pfz8s593/OzZo1aClnsXcvzoFGAFC2BQJTVatX49zOFNMiRDADKhZ2RGMGxlbGdEwTCb3taUn73r + 1n9+z+04PsV0iskUASAYARFm4zibFduPjgYYCEADpPEpsBEboZ4OpFyTgsZI7NXpvEQ2PXCq92ig + 1b20htbQGIJho/R1rLZVwNa52lzDHQUoaUqFigLEEdTCWjQztNNf/Oitp5943d1NWM62OLXhcgwu + E0D1rxwVSWGAMIgjQjDYk5vtYCgGAqzkE1luRIO9BYAYAhgFQBpvi8G0Csl+fKobK16pk8W6GitY + X4CIauHNY/xwnXPOXbOMYHVHRAiy2g9palETA5P0qne+5YHj7b3dECcX/X0UU8r9wISh6+aR28Xu + m/7uK7GrY+X7gd2px2ZCc7xXNeRMgqZJmM2wXM52F9v9kAjUcAw0YUiHJePkPJycRqANiAIIAQao + BuSATB5rffUy3ajXMIXCCgyBGdM5Urxv6IUuuhSBbTytEcCE6bJ709d/J84G7A2IRE1UuepLB3Of + ATSptekEUdECR7ahUo/LQm3gRkCAMIqHwDvnnHPOOeecc84555x7ZJRMSbU2OrMIaxQsPCzo1B/8 + 2f99hu4v83J2ABOOtQ0NA0EkDOBS56HYAINZNGsUjZKCCkj5vKmqQ0KwAGMURsFEKBlSVE2ClkCE + QVFa9KmTydBpVure/d63nTz3hTSxIe+p5hBt6LsmhAvb7NVZCL34+QvnLokrZ8mqfwacc84555xz + zjnnrjJ1cNbAhrh/rgFxtreb5/PteYuhL0QokukyZJD0JapChwbZAowJxFAdV83quvCZcWBdqHPO + uatbjLE2B6/Z1cw8DENd4B1CqC1NU0o558lkInLRMX7OOeecc85dsQxQqj3vmYyD1UI6BUxQmqaV + Bb7qWS/fshMz246UNuqEGNhoyEVgYzZmi2N46rppFxBCICPN0AIzKKRo6ZDP5gdu//xtXeyMlJlz + 17cxrbNvN+4oAhFjdyoFCUhAquQ1c85d3YpYE6e6pBc/+yVTmzRoo4ELANT0ayU1Xo3JrjY/SjA6 + kOCiAgC5lBColFKs55ndftfHhAdhURaQEMao7LrpqFcnaIAEq+G4fFWXICogMCJiEJlKKJrKJ+++ + TdoiXABlAxRq9dVTUAHUNrbVbAhjS5SNxotgNgY4SPNl86c+84bnTWmeB2OOIQQVSU00wmbPEAXg + odbuQejqA8b2IJ+12gx0o/WUWQzN0e3j0mskZphIIR53ROp7tWZj1yagZiCtvbKgikThaHu00ba+ + nwFdbTTW781DfpPu78ycv3lZ7TqpsiiLkioPw3z3P/3lH/7V597Xby0G7pd9f/R4u7tbAFjtXkrK + QLLmRHjSk6fPev3X/RdH7HoeAkloYytDOXJkq5RBaeyfRTbOqjGAi0+ar68qGY+n1TNS0o3NL6+f + ad3GkkWySAdyuTae+3odiLtcUko1sjqldhjKF75w3w//8I/ed+/ZkLC7uyDCZpLXw45716HyejjQ + dd06OqsOrdffU0oeS+weoZrVun7zqGpNmHuUN2cbp9UZcvBs27wwsPoi2Px53uW+2F3Y6i5k4xoX + nKPnn6xACnJG12OxxN4Si4s/LQ+e6pm7eykXLHsahkYGSIYOKv1GicMj/KkP+xV5/ut5wavxRV7F + VWvzzdfkwF/ti17XuWuWiJRSFotF/XqtP3POfd/X7uT16zXG6MnWzjl31VHVpmnMrJm0/+s/+6fD + wzYaNgNgZv/lf/X3mbnv++l0emGyzjVTuWpmgxRmTiG+4Hlf+Zwv//Im8IGjStr4OV5n3GPc3G01 + VQ6h/gcRhRj7kg34vf/vP5w5e85AWQqYOIZ89fd63lQTmB711QkHxuI2b+pQE4acc+5KUwe9L9z0 + iamqTmYtM3/3615LqCMgl6N+mDeGbmswlQLv/8Bf7i2XRY0CM3MIdDnaJF156OAqns25Tuecc845 + 5y7Utm0d91gHXQPYXDw4mUx8/mVtY19bAb1gAncVxmw1r1ixWP70c583W+xtE2ol+g0B584ujWr0 + IRRjiZceLPh8JMgQVicAChZiBSt4fWvrm9RVhRigwtAxSJsVseP23Gx+T5PeeNcd2E5ogzVtZs6g + YjoWzKtQ4FxyiokPxgOPhV6rIxE9OK2+eonUzp9zv3Dy/YIX4GDVJeG8l1v3z0cN2Gas/39MlLRB + s6CEwKKSs4AIFDGZYjrF1uR//9it//iO2z9LtNPMhjBR8LqMtp7Gw6vV4SVdcMx1kc6f8B/Hd4m6 + 3CVKu8OuSE4EIgRDq/mo5P/mRS/B7l40IVioxcC1QhjKUFq9FR+Hq3lrXU0Nrq7bsboFI6Kc83rh + M66kJrDOOeeuMesdhHXN5PobWVUY6LIiRAS+33T7+DR3sjFmzXZgTco+G/fcACDnnNoJM1ShosfZ + nrHX4dwS3RJFx+pCrvsG2Kg8PN+6fFEu3CPZuMzG/u0FFYz1ngAYh9oFi2AMRQTq7ktgBvKAc93v + vvZ1J1RS6YOJDMXEwCyAJj6Z+HVvexs4sdWs3v19rcu/VKmuJDiwcONgreY1YLVuoj7H9ZqF/f/6 + Eu2/eenC5WYMQmoYqmjaH3nHn+UwXmD9zv/ikxd1WRybBtO6XK7uiovhRNOceOAcFgMClaGHgjnC + +GEqnEePqBL4oZ7p+mHT5u3Uz6Aahv7Y0CeVzUs+EkZIDXfAKcM9xNial9hqKYgB4/EUwxgMI9h4 + fOmcc84555xzzjnnnHPOPSw9r5+SkWoY+mb5Z7f+p7vOfTxsaW95Pgsg3tsdmiYaDSDdz6weJ4nW + c1uqhBpqzbbf7241TbD++ZAnNl5fa3PKYHNcvc7f8Kqfno0zaFSndeotWMHQI04DAjqxrGW+hcKL + e/Pdf/JXb94Zzsy2J70sm0kSK4MUQMkYFoADtU/Ouau4p6RzzjnnnHPOOefc45LCCqACzuuaaFMo + INNZPCZ7PQtEEVKIkfTwV9b1hutmcywXtDyXSHpQQUBMiApWUAGpMaQORF3SUv11k+5hGOovvpLQ + Oecus7opXqdx1O2wbix7qavBQ/ApOuecc865axMR1b4/j/UDubxIhUW4kCFojBKjKiFrWIYGXbds + m/lUjn7Ts195Yrih7OXARjU6VQkcjCAGAyKBjIM2UVLQyIARhFEYIJZirJQICQAgASVBI3Ijb7v1 + j3cmZ3nCMtikaVUKw8iMrPadYFggTaSJLBDAyEQ9aFAyAxuCJ1s7d4UwQFeJp/sNX4wxZt7XzNrx + ZLVwl0MedI6t65vrn/+0r+KdNC3zqEFYcxwkDMaDUjFSrPrlrZsDGiBU77TG2KKJGLRDoxJLj+7k + 3v2fO3V3hz2LHSWRIUdKiadqXIgLM0iDSdQhmpAFRbrSShDXabIbzVDWsbLnnwCoGTM3RqaDxGGR + dv/mrr/Oky7HXliDolFmsAJGKkFrix8hGJiNoyIqgiFwKooBSBP0C23CnCTwMPnOl/49um+SMOFI + mo00JKaceyUVXieRsxHVP/pj98q5K5AyBFCADEmRhIKwCotyttUc0bitgBqpBQWQaDpvt3XogRKT + Fs0As3LQ+o5VNq0NLIk4cjJRMrQpSadPPXbTJM8MLKxSNxNkxqbje/Uwny7VrZStwp4ZYCVWAgEJ + TEWbGIouB92LLffT7g8//P+87dN/dFLutnYwziGgGzIHSEHTRDC6ThtujsiRp8pzf/w1/+0RPRH6 + MLWtWKalWGjaRT9wSGxjCyEjMarNtphrY61HTOtyCDBbCBqihKBMZkpiZEYK8Op5QcmUjE2DMmti + bdkCGdPYV3T/uSuJ1Rhvj5e41Gqw9NiIOcAg9bhmjCePqRvK2Z29H/2xn7j9js8QoWQAsAM9n8bO + U0RWD4uwGg9fWzdCNbPaHXWz6fNmzoq7QtTG3HTQ+hxcGZPR6zfSlzD/smqcrQodOwzWHoUFyEDG + +A2kgB3sH71qLT2eVDJUoTBRrQvAVoF5tu53KGPvbQUyUIBSmyHq+J89sAByvXoeYMWsB7LVx6IZ + Q4+z53BmF/efwX2ncP8pPHAxp1Orn6dP4fQDOPUATj2AB07h1Bk8cAZfuBfnziH3WJyCLThkQOrT + 1P2X4cBJyjA+fS2AihWDiuT1ZJkaDDCFFBiQy3jNvuy/gTZfjfULvtm/26C2f8H1n0Vg+60ha0/z + 9XUfRVs9565qIYR1F/L1mcxcN4/r79nzvp2dc85dfushyvOCPZhZRFS1TjQxgxmqyswiGmP8/d// + /Y/c/rd1HykEGjsmrMdLV72kSY2B59787Je/9GVQi01c9l1qm/37Mb52Mq0JxTSmlHOeNI3l8n3f + 85+T1LYPAC7ItN6o193c1dzfn4eaFjMrpdTdywL80r/8lwORhaDMtU2FmNaRzCt/n/Oh2kBHYhOF + WdM0fd/jSzgqZ2IAIlJvYcxHWd3p5i8eF+qcu4bV6oT6++YXPTNlyUpWZPje7/2etgkBCOP2kGk8 + IRAz6ME3k48yd1pF8npsZf29tjf0733/+7JpUW2nExVJHALomtk3eCRonGMe/0a2EaogpiHF+l0W + OUAthCCXJYbcOeecc85d4dZT5Gsp1VpmNE0Dn39Zsc25WlNAFVond+vUcbEByMgDisCAIWPn7FOH + 3YkNSxNNtDSIIAK0uvqXMv7GQAQS6g2yUiiUCofCXBhGoJqZDShYiQuzRAxAIDDINHaY3N9u3bW1 + /anrjv/SHbdhOkecwhoyiiAGRWICE1Gdp0spHTiO23zXEEBjlvT6RPsnpodsVrt/mQe/wGY+94Gj + yI3waTCD13e3DpcUMHFiRAI3HJoYYECAMHdU0CbMJ5jN/7c7P/PZNNudH5MQ2MBgsgCLZpg0UVeh + 40So4Ytcy70uuphWGcrrFOp6m4aoIJWGKFtHG+HdBhCkLYvrds5hyOgW05KpgBWFMAACIWSoQLVW + gDyeDn9H621X/WVzyXP96Ye9zjnnDhkTImzcW9b9r2MNJARMEsMisIWwJaabEc4GUiIhFobUKfHx + fFYiXSVbB4Scs4GJQIRJv7jp7AMf/Mavxrn70S2QoUAPLOvdWgay1RrDjZDqdb3oup5z/6FuXGaj + bnI1y2y6qmBcXVIjFCQICqWxzNEQUQAoyi6GDme6p9xz9omNGQyKJnKk0BVtmK3Z2mlmaFpQS0BU + BC0ggGNdQHHo65XG139/P1P298h1fIUMMBYgX+X7VzUTGlitkrDzJ2TGmuELyzsesYN76ePuPQMB + HBDAcfyvNqKZoJ3mEFDfMxRKQAlQcFndyFgbgnobdSVXMASCMIRsvQAFBiTL14UlupOwHNsWnGBx + fH/b/h9utWpv/3BuVUQhMLnIw7G69AMDMKxuniAEqbueA4Bc3v4t3/SUc2e3zUChHkfYxouzvpqt + VqPsP1nGXtHhaPvZEyde8fY/B5oIcJpCxgplqfUJBrJ6JKi+MsE555xzzjnnnHPOOXeZ1cYXV0IL + DndRgmnDREowBqlw3qPde8s9b/nwm5fzXdFlq7AsqqBZs9Syv3ZxtVZRCUqqXIzKaoh7LKfRMdya + H/KkkTXCIiyaNbBY2zGxsREbWIil1jWtk60JBAQb+7zRamaLIIRCpkosFIU4JGgWUrQBbJABEuUL + zWfff/e7Ty4/3+dlM2mXfRcnUzAZwYgVCZbIUGcfnLv8zKxuTmvOzpWwUfXyUOecc84555xzzrmr + DY2xI2M9MQGmCEAKnyvlmZOWFl2T0HUSCCmxyeEOQrXtdOfe+377O179D/7iL8A5tmkYBkoh1LFe + I5DKISwVGIahLoHOOTdNU0qJMdJDNDhyzjnnnHPOOeecu1RquGldQM42dtyS0AM6ZJlNt3YXi0Dt + y7/8Gz74sfccm113djiZEguILJKZBQFgikHHyOqRrX9ACcFqf2GuS+KNxnDLXvsH5J4P3/n+b3zy + K7bS0W7RT9tJUQEZSA0MUyAYmAAYMwCuPSN41TmCQd6SybkrxUWN3ioxhyQiWkBD/OYXfsvf3vnh + ve5cmMQMsf1ufVpbDwJgwzoMdT8VtRbmjvc9BjwDUM4f/sQtN738mUNfAiWiUEoxMopktN+zhGB2 + rWxPiChnmRi3bbuTz3z83o+W2A3aWVA21Bja9Xb4QUef2WBA1/fNLPQqywFHj06G3b6NW0+7/uan + HLn5WLmOjY2MECAwshAO1DCPWebOPYjVB21zW0FfrFeOwCbNjJQ5EhFMBSAgACAb+9SsP7RmRhFW + TESC8fHpievmN4QhmrHV2SdaRZNSbYt5ORgOPEc2ZoMxq5a+lHbSDNSdw8k//8jb3nXH207aPc1R + cChdP4ARKWYIgomqFsyatpzhm44/+4e/66cm544kaoOG+mrg4OaXDec3IXoUn8oxihvBxrm88/+f + Nm9TlZhZodCxhaqOKSAGxbj2A3jUXaHcw4gx1mr+GCOg6/TivcXi6NHjfZeXy+WP/diPf/SjtwMg + esgv7BhjKaVpmnprpZTauldVzWwYBiJS1b7vvaezu+IQg8a2x+umz+uI7LrN5/pjIwZv/2xw33Vt + O0EWRCbiIkqRDTDTQCBmzdkshLCqazAwwSCyXESeQARmMXDUAAIkY7lHJCAlACpU+0eLotd/8x2v + PtYNx/q+MSshyINtpB+q9TAzK8ZW0CCtKT5BQRqEkFPai3Qm4Yfe+kc4ewqzOSgRMWr2oRGIkAIi + gxhEEASKEMFywUfnohI47e3tzWfbMANxvZIpAiEESIFqYUQGJpEkl5Bqn7797/eNVxs0fn2sn+Gq + Rd3q/4kONLPb/3uuv3cu23e2c84559yXRlVDCMysqqVkZq45KFKUY8hSfvlf/AsDUkqSc3mIIlgC + Aghmf+91351ClJwDRWbOOT/azOIrXTuZ5JyZue/7SPyqV77yn/yzVb0tLwAAIABJREFUXypDD1yw + H3jxQwoKJI7/+nd++4d/9EduuummoRQtZT6dApDcX4qHf0W4JLElpRSk8UifiGofbM+xds49Pq0H + sckgKjFGNRPok59849e87GXvef/7hoLzRlhry5XL02nlT9/+jm/+5m+GyGKxaAKrFubooyfVZDLZ + 2dkhw2w2y0M2Aqm2bVtKeawfmnPOOeecc1eN/WFIAsC8Ks2q45OBAlDADAN29gD7Jy956ezM7va8 + PbfXsxgUKTKpQQ/UGm2WfT5ySlAbi8UZiArwmJq2GTwdDIAqQ0kLwAmaQeCMtNyef5btjZ+4HZEw + n1hfqE01is5UQUr0ENUvD/1oH+55PJKRXH7IW3nw8/mL3C+Podf7nXbrrxTas7rcim2YKEx/+fbb + f+rmm57StlPJc+JoQU0YvDuUNqJsHNE+SJXYRdi/ar1Jtv3zLxxvzEADuYHD//Sc5/7inZ8CCwiI + Yw7lquRD17/qRh2Ic8455y4rAg7u6AQisQKKQECa7igvs5yIsI19QNiqiv7AqDoZHawnNwZJjbNt + BE3pnrTc/YNvfcXr3/1uNJN+wWHGIsaBiFrBBaWKBx7jWK/IF5SskyHUxYz7T0X3b8EAPjj0v1p3 + EOpNpYhuASZ0/Vu/5dueOwzL3Z0JgwJy1sRx0sz6fnF6r1s84TpwQGAYYAUkIDaw7r9+X8Le1iNn + vMo/GJ/OKv0YG+deCw4caFyQbL1Gly5RfHUXfOCwJEak9kzgZZuszw2sKMgQY2ANJnm8mAHg+ilQ + goJBSKart+u42osBWS6PxPCW73rNq295L6zJJaQYxgJperAdY1odEYyPSkEX/4wNNMbPr25kvHGy + enuG411/g6rm0sHSmPmxWSGs5+32G6A8HhekhJOaP9fwC7fn42dEgBCBzGBdX2FVBO7rTpxzzjnn + nHPOOeecc849LAIgChARKamWLLHE4/iTP/mjPu31cdEWJQNZ7YOkRloHw8mAcdKKAwDoqi/WeLuG + cbRaxoWddTxfAbApcH41lBEAtdpmCbq+i2C8mqVhWt2FEXSjjQaN3fNWM2sXPkeMEwHCkHk5151+ + 11+/43u/4al9102ao91i0TbNWOZlEdCxTxQp2bW6LNW5i+AdspxzzjnnnHPOOeeuQoagAEFWxf9I + wBP4+25560ee95XXFaQYUjSKtOykCcSHWnqc89G2ffoQcHIPNxyJAZEagIACkBAboNCw0fD6kmia + xszMLKVUM60BfJFY68vT+cg555xzzjnnnHOPC8a0sWpcqXaFAIxEQEgp4AnbT3z5C7/2zR/6bLvV + DugNYEX9yQxliKGgkGnQ/ZSvVZzVRpsqYkAVgCEQQqS9xf23fOSdL33aV3HBfLo1FAJxTdqu8V8g + gRU2EFQJq94WAMaHfbiDRc65Q8OmeeiaJmrGsLBnX/+VL7jxRX9x99sLCRBJlXms0K1tcAzQL5qA + ex5h+fAnP/DKr/n2ho6bJo7BDIqBOZKNmw4jFAoGNsiDBMFeGQ5s5KwGBz4IJQ3MEGNqDTI0iw9/ + 6hYjYZAZyMbsQzKtHXlWfWqYSesGVhhQBjCJKiJMUEWn3azdstPp7371t2632yioSTM1pIeIYoyX + JDrFuQuR2qydQI2IiFAnRup2gDH2Ld2/MJlAlBHBXJonHn/KdDqXgQhKq0xlGK1WBfDhft6Nxzsi + BYkBZJQ0kgYjCFFpKCTVYsvQ/fUX3vOWv3nTSdxt0xyMrS8EREaUWEQzizKahoez/NztF//9b//R + I3Z9027TYaYvsY3Ns4xEgq7Tw9kYIF231hrjVAlgI82cx/5HVIyEUMbXef3610258aG//o8/dUox + xmhmeSgAjHMIYTKZiYiI/OzP/uyHPvQhM7RN2w8P+e4ppaSUanz1fD7f3d01s83MD1UF0LZtPd/D + rd1jb2zxBiPIaulXAAI0QGAK0lWUcqjHkrLfYJHXt0FA284AWMOiwsQxcq+FmQOymlrW0Ex7cGc6 + F4aBAxgZNiQIHjiFUsACG2CEs2fRNG97zWsmO8stQjQCMGQLIaQmlOXyFYlpuTcnJKa+mD5Y87iH + KhUgqX26TRhGSoZgIEOwmHPmZrrXDzyZfOKrvt5mzdlhaSRD1x+dbms2KfRA5G97159imtBMQAkl + AgkpYjJD1tC0AObTbeuNEhURxECEgiFQNC0hUIgEFKihaIihfkeQabCNCgrCesu/0bGuHsgnqX+s + 1TdBWJ3Wf5L1erxrqXmic8455655qsrMq704Zo6BgrHEyWTZd7/9O7/zt7ffBqDPuUlxmVfHWeN4 + xYEdQgZe//rXk5mamVkIwUSv1Ya9IlJKmc22uqE3xXOf+9ybb775bz720Ut1+1kLAb/8xjf+6r/6 + VyKlbdvFYtGmRBstvK/kV5ZWg9jn0VUXdTPLOROgj6qi2Fa73X3fb08nZp5k7Zx7nLJ1W6KDmLn+ + jMohxh/6oR9611+8LzIGBZFhtaDD6g2spzEO3PQlfZzAW9/61n/8Cz8HIIQQYxiWBVDQ4zrVa70j + tVgsZpMpEeWc20nbdR2AZd+l4OPYzjnnnHPOPSKboX4EhjEBzercvb29dpJiiDLshTgF8Y8861lP + PnP6ibNm6PvtaSK1oS9mpmqX5CjFgH61x59UZ4CoLBiFwMZkWo+56n2JKYDBkJSOYNIjPJD489He + eOdtaAnNRLKGycyGooFCjATih16/f1Wg8xpu2v4vTCYmtRmDaaaGAf6Vuz7+D5/+1Juuu25x8uwT + J63lElMqQ9krmK1C44QhBJiSrW/7sEq8lDBt425X+mF54vixf/CMZ/32pz+HFlkQQq0iIIDHIjUg + XrokQuecc85dHBp3EON6AQpYRUNIg6JJjGQ7s5b2pljurPr717WGgAIkq0iAdar05mIWowtycCel + 3LgY0HWwc9PJnLRtQXs7w2x7ZoACwRQoIMhYHYoARJRVxTvD0vhrVEBRy9eNiRDqXa6uCOw/MCYE + UgACrrHBja32sjQjNFhk7JXji9NHbLdlUEQekIHUhm65mHBLs/mpScL2ZKxcDQwACiINY6+qy6SG + f5Mh0CrseJ0STLBV0ebVvUMMYLWkdHOKh7A+pNl4gqvA5kMU4qn5kZO5HMXOnGjoMGsnu323lSbQ + XB9DTcCw/Rn/zfW2WC+7YAay3NDEk13GchdbkxQbISDCoLrx3iXj+ikCVss4HvVecw2ZJjRh/bBY + AAaRGYMmCvRZ+16yxJCmgGrGwXfR+rO8+sirEJTAhmCwAt6an5lP0BJa7g1tACQjguqRne0/ljGj + /lE+Geecc84555xzzjnnnHOPIzE2gwwULDVhAJUw3HX/HR++4/3YzqQsrKsWFgBUVkPZTCDj2oMu + rJvOERRj5rQS16km4zLGVK/ukTdWJq5H+9eD5GLjODkbSMGmtFo9asRa63PAMtZXaTAlAKaM9STA + 2KJzA0O53lOKXCx/6I4Pvux5X/vMoy+GWIjKKErEymyqLEaqpDBlH2t3j5ErKkDH1xQ555xzzjnn + nHPOXYVqg2NDoLqEASAWQpjSjpa2afPQE1PJOp1GGQ43HkO0RDS8twcKqI36mcZsBIKBZRxeVtAl + TrY2MyIys9oCvuu6mgtyKe/DOeecc84555xz7iA21rGarQY9koFrqlZIcegLIzBob2Evf/7Xveej + 7zg15L7tLQKiVABiikRRiCEGNgWUa1qhIUCNxkhaXi24tzqoYhqImcTS4rOnb//IZz748me+ouyZ + qYXAMCjLagSm1IjrVUMBBgDj/YBE59xVi1SYG2GKmMkp+cbnfetf3f1+I8mmAFgVtNp6EIwepKH8 + F6E83N9/7vYvfPT5T/jGfiGzkNgAhiIHC7USWMYQQV6lHl7F2JjMmKMW6nhYxnOf+MLHBJkRTBWr + dj81S9YAA9e6a6oNUAhmMDABTaBlEURuUlMWHVt42rGbX/iUl1A3JljXWOtaPOqD2O4wkIEMZtQ0 + k7FJFBU1IFB9J+sq2ZqMydQIFGgoAkYKbVhOnvKEZ5RMCavFAwYaGwAxjA+/CVO9FzWSum1hC6RM + hiImXJppc3Y4rU3/8Xs//Dt//Bvl2O5sKy1lyL1GxjRBDSXngMARktWGyY3bN3/ft/3XT509qztb + +6oe7nNgIyUTLiAhUzYmY7IAYyYIjdtMBYIxoGO6KhUDgwSkqw32/voKNgBc/2nkydaXUs65xqeJ + SEopxtiXHEIwo+Vy+XP/6Bfe8Y53qWI2mywWXeBQ9CHnW2sGWwhhd3eXiCaTSdd1IQQRUVVVJSJV + DSF4prW7ouhqD2d/9de4jVl1OMb43/vJy3YgskiKhsQCKIcAFS2Jg0FEckMBwjh5uj02a6VgoTBD + t0QyUMHOzptf9Z9NdpYWtW2CLnafMJ1199z9bE43cAiLLoVWch+4zYZsykFNpWGYwgzbcf04dfOn + PMTHNNZdt5psXWO8DWRQQZpOZPfMk7jBYtnvnDPEJ9KQGjSRcfZkoKQWFrP2L1/+8p0j87MxZDTz + sL2zzDqdft+fvAUToE1AAyKCoueU6sF7iW1ULcShmEIscoIZYsjdIs6m4wtsCltt2+sowP4fZ1VZ + oQCDDUawjf3Sdb712E9w/AspcBm+sp1zzjnnLo163ASAiGKM9dBJRPZ2zoWU/s9f/7VsqNWhXS4h + kOiDD3Qy6Cue85ybnvZ0VW1TMlEYQghi5UEvf7UrpdTjWTIQc9d1r371qz/28dtLKZv7918KAv3e + H/y/P/iDP/jiF76gbdvSdQzavO31ocFVRFWJiZgFulwuUdf5P6pnUaOsF4sFHT9mqgrjS/TKO+fc + NWCcCzMjQ875Va/8jqfd+He+cN99wwXjq5et38qdn/n07bfffvPTb5q3raoogfmipk+vegdSTzaQ + jQNJIhJCQJ0ZYooxXtolP84555xzzl3rajkQ8zoZzgBokTyfz+scb5hMsRzQ5eOLvROT6bmd3QhE + kmXWWeTEoZN8SR6KEApHQJOAoAQNQDRAQcYMBtigCiUgKYRASNzO7+37ftI+cGz6z2+9BU1A2w5Z + m2YKY41ACNkUqilc5XUv65BF2vg5dsWlhlJAMIg1DZkhd5im3/rs59D3P/eCF9y/c7aRXDQXw1bb + ohdAlXTszEsIul/xdXi6obRNSIrdZfeMo8dx7hyub+P/z969Bll2VXeC/6+19j7nPjKzHnoBBmE9 + QEIgIWSEwCAhHsYYw/S4bY9n2iZihnDbM2238dgTHTHj/tDh6LA7bDdjR0xMh+0ev7qbnu6xe8Ju + m0aI4WHxRgIMAmGQBBKSSvWuzLx57zln773WfNjn3rxZKrAkKkuVpf2LjKyqrMyb57732Xvt9a/9 + /LHnQH1jh/4BWeYti6IoiuKc64cb+V1YQX2ALjFLO+vccAABRtVP3vm+L19/w0GF4/kPGPLocTGF + bUAinkfV6nz0kuOkt0vKE2ul4aJm9pev/f63f/7TBIN1kOF4POoC2OfL33GEBOSBTB489Mc6nzMG + 4nY27s5NBDzP7Nb5z+Q/E0DgPmQ3/wIn2Jphc/pXr73tJWE20AiFdvAO3mNz1g7FxaRbCe98319h + IJAEcgbur50tjufcFM/vuIGIkPKVpfwFIA+u+tvunBzRLiB7Yg6D0tJd3P95ztYIRN7+wTs/essr + X1q7bqMbVx5tXOHRLEwHi7H6IrUCyAkVbNsPCc13ELGaVsQ6m61UDilhOsHKMBAvCqSf8DBiBYQW + j1ieB7zzU7h7c7e3HLCRd+6CsMgHN8R26hzqunayCY26XaKg8yB1KBikuUiYFmcr1D/TVLHV8k9+ + 4A6MKwgToWmaQe2A1MfPLynD/6IoiqIoiqIoiqIoiqIoiuLJMHBUCHtwF1LDFQWZfvie90c3ISQx + JEECRMEGhXLfXbPPQ2EDmWJel2K5GgkAMZkz5CaXmrtfWl6eWMq03p4Mt+3KHQOMdvbNgJJxP3m+ + c52rb7mRVz2oPxgs0q0BWO7YyQaXF+FiUC9py61/4it3XfH6G2enpvtHo3bSOa4AEJShgdQI0veF + Ks2dimfG+ZNsXdLdi6IoiqIoiqIoiqIo9hwCz+v9FVAYIxI6KEBr44PWmfcDVvOE2SzyLs9ECTOR + uqGBG7gYa/QTtv2Ggb5Y2nCW07UXPQ2JKMYIoK5rIuJv4+z+9qIoiqIoiqIoiqIons3YmE/fGA+A + NcG5ypRTR7WtjLD/9le8haZe4JghDiLCEFLRhGQwQmIoI3GOb2Qy5sUudQKAxFCwwcEcJ6SQZNg0 + /tiHPvcX0+rkZtpE5TRX/tmi74WBOlBUivNSwFwXyNwHaRdFsVfVA5+6oKrDatXPVq6+5GXXPudl + 3Hmy3MyCRfv6XSO1JzQo/86UU1dvfuqrd3UcVSQgJnTEqqmTXCJsrKDIkohAiZDOt2RrI7Wdh0R9 + suyZPgBLqqqRzAZ87yN/c2T2LeOApKJg4zR/fQZyPxQHOM6vpehfwxPDgK5NXoiUxPzYr+gmv+2V + 7xg3qxKdc857nzN4cvRp+nahi0Xx3SFiqKlqDtchXQxRstOfrWZmAFVIyVw7vOo5L4nBjEBQMRXT + 5Xr/cxDykIdW+Tklmmv9yQgsJpSa0MVB/NL6Z3/vQ7+dDkw71zShMYU5kINnRkCMgRwJBtIML+Mr + 3vmWn/2e4RW24dfcARcd73LnUIIx1MgSq7ImBiB50EgGUDRKRgkAq2et2GQ+8FMyWn51yk1kGUrG + Z2ogVZwFzjkRCSHkxA5VjVFVoQm/9Zvv+Y//8f9VhXM8nTYGjt8mOw2A977rOgB5sdI5N5vNzCzG + uFjKXISCFMV5Ib9DkAqiR6jRVmgFAQbAAzW0htVQDwMQgUiItNQqcYE9R6CzCCjMBCamHELFA7SM + YwFbhKMncOwojj1yx/XXfuGWm+694YZ7Xn79F19zy41HDr184+TLT65f/fixqze2Lj16/MWuujgG + 2mpMAbTMCNoZp8pTSmk4GjLgBL5GSogJMdlpn1kcC5/2Wdhp7nCYYPOPqAgGvzI6OWvEVarRUqyI + B8wDrgQudAiautSSBUzWrxP3kvXJTUdPvnE6fcXRR77/1KPf//iDX3v5i//2xhs++6IXffKl19x5 + 4/V4/CGcegwnD+P4UUxn2NhktgYWSVR86iLYBYaNVgJ8ggc5kAMxyPXlH0CCJmgiM1Is+uUpSOEi + 6oiBYmRYdArP2+pg2oddl3eMoiiKoijOS0bbH2TbH46FDFBjENRSiFETCVeDwR/92z958JsPKZDM + 6mENIKVve16m0P/qHe8gMwYJMRny3Mg5vIrnVF1VTNQ1LRF57zWmH/6ht8UYd4wFbWcH8ifNABBH + WBfje97znsFgcPz48bquzYyMWZmVc9vo8zwOND/GluWHRJ6knU6n/X/S0+nqbDAAW7MpCdPTuoSi + KIoLWApRRFJKZjbydcXyS7/w7i4kzksSeaVs0Y5/8fFd23ExS/8Qpqj653/+5+J9G0KMmmeGz8Kv + 3FOMtpeMl8djg6rumtY5N51OZ22zsrJyAQ+iiqIoiqIoimJ36GLZVrEU8Wdw4mOX1GAhIilm0//t + Jde+AHBNu+LowOoIajWB1GZdOFth0UYcmRKJNwjQAi3BGQYKbyBjAwXiQKyABwYGZ35q1WMXH/jl + b3zx1x/8G+yvYYLoKqugtNk0QSQCiZjFXQgnDPNk68Ws9aJFbmpaB1Y1BQeiblxjUMFXGI3+2b33 + PDKudG0cQWujlVnbzpvu9uF1OYXOSHWX62kJSCE5Jte0qyc2/8kNN0JbQoRh3sLBAQ7g83wKtyiK + oiguVLYYIOY8ZgUMCk5ggOvBAAAYqBgD366uNd7FRQQAYb49sf+SEhJBGbb01m4EhjqFUwD9fhax + dFFoX7w1veuW2/DNR6Az1AmKysFBGTERJ3IJLhcZChSakAAI4A1iBEiEtEA333TQLznbPENbDBSB + CIoQ1QoqiAokQJA8WlicR0AjGRDDh2591fUnH19tuxi1rn0EzDCdYaVGSNHJkMarGA7hHUSBmBaD + mnm8wbmoiTRg+16bDxeBBO4Hefl/L5QIA8pFp8tOGzouFhV2e0jpHcbDY5dd0goD0C5GWKdhWA/z + 7ycDLYKgiY3AtmN/jAGREZlYfOW8aGenjv7ZW9+KGNE0BAgg8y0182ujsBxQkQsk+nME65+nT40x + TPpHTgcOYAFDGdMEg4w8uEmks4SUd+4sVTIYoESJKBEnglIfIi+W99Hk3cRjDPdhUMOzEQPwg8HO + lI/FsTCVEuKiKIqiKIqiKIqiKIqiKIriyelCJGERmTXT4LpHTz1078Of1tGEEdig1M+t535HbOoS + u8SsIIOSGiERInMkTuBEzuDYWEydRWdRrJ/uzh9scAqX4BJ8/ojzzxEuAejXxSIjMjpB59A6jayR + cys5FVOn6lW9qmjfyml7DW2+T4UtZ11jvsrEBtKgJBbG089/4zNHtw4pB9VIUDaeLxXEvmcdnaGt + SlHsqjNuUH3Gd62WZaeiKIqiKIqiKIqiKIq9hfuPxW5JQgInsIeD8mzakQybrmEnKWLod33+R02t + a8ezBhrBmIQEQLsIA4xtu2hfz27AiYgwc+4U75zLzeJTSvptnMVfXRRFURRFURRFURRFgUXCFBnm + 28DNjJkduYoqTlUdx6+4+pbLD14tndcOGo04kbASTMXmzRo0d3nIzSds+yPXxhlYuc97SEFrj4jU + ucmh2cOf+NKHZT8SR6Oct8o8D67meZM0JQB5J/5iT3+pmyuKPcwSADBzbOO42j9I+177sjcN2jU2 + yi9I+QUEOdD6KU7JJo5p1P7to18+tPEIDWCkUdXMBCSm0l8sKzgH3/KZAg73EmNHTlWTt65uPvnl + jzVuShWBlLFIB98RDMy5Oc9STbOSJlYQiFiIwyymDXnZ5Te/9Pk3Vt2AIhZR1jnflLmEnRS7x8ys + aRoAuWMPUd+j0kiNMO+b2YdnJgUJRBBmcZ+/9AUXvUh4mB+fZAoykBpY6dxUGvevV2y5A5UonBGM + zA04Ujdz699Yv/cP3v+vpiunZm4SqY1BiYgIISJ0ygzxxMbt8e7546ve+eafvry+uo4jUZGImt0u + v14pQ0FxPgBjGMOYVMgWrYPmr8nGZELqRKnvPWQQJTZhFVbZzpdYvmkujFZY5w0iYmYAebWx67qq + qlT1D//wD//gD/5NjjUhiLB854yTEAIR5e/JOdlVVTnnVJWI2rYlIuccgLymWRTnCwO2mw0CIBCD + d0YAWX79N4ExEiiCdLkvX1Bz0FG0qpnR5gZtbeKxx/jUJo4cwaOP/emtr/3Qra/7z6+65YOvfs19 + r3/9yycb1x47fs3x41ce2bhifevSzcnF3dbFk62Lp7MD02642Yy6MGL2hKoCADWII4aZ2aiqm61Z + SIiGroNz4sV7cad9tqSWcNpnVRVxLE7Eefa1SCXiHDlHs8l0vxeDGVODkMyMlE1jF8hQu6oajIhN + kEZts39z63JgeOTI/o2TL+B4+db61ZONa04df9nJE9dvrr/o6GNfesOtH7/1tf/lllv+8o1v/vdv + eCM2pjh+cnjk8GAyqbYa6RI2Z75JVYjVvK359g1ODpAEyn3x0mIQvzTm3L7jDDL/Uc6NEpfe4Kzs + DyqKoiiKYu/Ic2V5IsLMjOCc83W9Mdn8jX/5WxHw3iVgOmu/8wk9Az/wpjeHtmNDCpGZBURqF+oE + 3CITlJlTjKPR6KqrrhoPhvP//m4vP5kOhkMDPvD/ffD9H7hj//79BI4x8vySec9OCOdM65DS1tbW + 4itP53JAALa2tmjJ2TzQoiiKvYyIYhccS+Vc7Lp21vz4j/7YgZWVxTeYGc7Vy6YBSjDgr973/vyr + SZhF8q6TZ7NFzUjbtoPRMISwum+Nmdc3Nz/y138d9cIdSBVFURRFURTFbrOltV2w8z6GSMTo0j+6 + 8RUXdY2bnJIQUrSTm9OQbFhVnVrNnAtLvntkIGVRBqBAYij1hWKL8gADRUZgGBDATb32EPBrX/sS + Dq5gWEUS+CFUQB6g4XAAoFUlQA0p7dnJwYV+jR45MTFhO7zQcYWkNVdRNYGU3BSGwQDeYWX8O/ff + d6T2zaDamG6NqOp/1hjG84L/3EV3F+V+DQRoSvvqei10lyow3UBsYbr02ANhZ/lHURRFURTn0Dxq + dlE0vl1Bbl1gw6QNqDwG1ePAVj2MJASAlEyfmJycqzyf+MaeAwAWS7diWnXNZW37ovXNT7z17Zhs + YmMdIU+GK8ESkP/B/UUxQCCCORgTOO8fMCDBGRyI8z6ExS/eLkzsQ5EVSAYzgMB9crBZn0StkGaG + Y4efc+zw5RoGXRBQCuqZ2oRRDRgSYVJVD01n8HXHHqRLu3S4zwU/B8Xztrh+jJxhTUv3Xw72viDL + MvPY9ZkdMQpjZfyj73vfyZCqoWdxCq3q4aSdIW+I277lLY+6CUvb5QAAiUiBaQhdaL3HCw+sXXrq + FE5sgAmaH80sYAYncE7fQD+unpfyPt2Rsy3OKRbBHshbSIBaECKFFjHVQO2ggNTL531Lj23bEeBO + BjHAENivD8aPkoOv4f1s1jLQpdBHv+crAoCQ5s/qoiiKoiiKoiiKoiiKoiiKongy6rruuhhC8rWL + vvnsVz8+pZNBJouOIolg1M/Js0HUiYoY5+4WiZc/oGAFAyBTsSimLsEpWOHSItw698acR6ssffQL + MXmBjWD5AzBCYihD+xlw5e3Gejxv1XlafyZQ/w1KpiA1SoCJEDxtYrpOx+998G/cGE27VTvfN1Qh + VbLlhYlScVOce3nX8DN9FNvKwlNRFEVRFEVRFEVRFMXe00+Y0nyzAOBAEiNUWIZNskE1gKknWNj1 + Mn121UFfX3p4487XvQWzOPISI9g7aF+sb/PoJOAs74Rs2za3mO+6zjmXUhIR+jbO2m8tiqIoiqIo + iqIoiuJZr59ooBwZiUUjKmFOXRBioUobHfPaAbr49hvevF/Xq2VnAAAgAElEQVQuqaPXgGimkhKp + ETtI3y94O9la6fSMWM6JqjmHkhXeu0BoBViLH/n8ncdmj3a8ZQQ2YXNkRMa02A9vYJuX/fWZZGfo + c1EUxR7SdZ0TEbLQNWBKrbvusptf/oLXsDoQGErGCn56T3IlDdxt4uRnv/rxzk1UIjEowtMiDja/ + mCxeUs4/pIscQDKm+XHyt6mVJPhECNX0bw/d+/Dxb/DIlAJtvxDvuCHJ+vb3i38CUNbESgPXxOSQ + qsRr+twfuOlHqm44ljEZ5UBr1flRzYNUi+LsMlIlNdLpdIK+WHmeDUEKzAv3CUZYjECIYBGehi/+ + npeu+osc+QRjU4KR5XhmGDiPLnY/tSiyqUvM6hPqRJI4Kcdps5UG3b3HPvav7/jttDbZ0M0oXWda + VSO2oRqiIQAmTE4oyvMHV/3Ea955/b7vW2kOWEA9lLabGCJ2uXMoEPM+BVZm9TAPiIEB4zyag+b+ + R8pqQN5xMd81wWwsqRL1ov1Yjmxxl5UMibOv67qUEoRdXRlLNRy1Tfgv77vj137tNwGA2IAuhqj2 + dy4ympmqMnOOZOu6LsZIRJubm3VdhxDy16sc1VsUzzhDv3kreWgNqw11hOvALdARUh7o9Y2bHYxg + +eXLcv+17caBnOzUUaxv4PAJHD2Ex75192te+7kXv/i+V73iCzdf97rm0LXr37pp89R1JzcvOXJq + f2tok5kMhDnACSwCAl9hUPvRcJCixU6TwgI0QECIZInYOCSTqlIvqWLUlFKyeIYPAZ3xw1La/ogJ + MXEwRKsdFCkgzjQN963RQE6mxkRHta8ohdilZstSGDq2pqkI3dZsNHLD2rWTGQQgwBIzTNs12PM3 + Zy/baG7emF77wIMv/crXH7j5tZ+/6vvufc3b7rj2lXjwMRw5juPr+NYRnJignTrMgGCItj2sZsAB + HvAMx8tDVwZY4RROTdQ4AlEQBZEwr8ZgBvGF2TyxKIqiKIoLl6oSEZiTGZirqjbD5ubkX77nt4+f + OGVAEyKAPJFGcvpPL1Y61sYrV1xxRZ5wSyn17RLONP+Wz7L3upzEKSL5bNTMvPevfOUrz9ovYJo1 + MyMQ0a/+6j9v25aInPNn7fJ32SKnEztnw9iJEYKmEEIbur5x/NPY6k+UYApMJpN8+xPRBfC4Koqi + +G4sv8OKSIzRsTAodmFQVWsrK+9617ucLL812+nbSXYtaisnrt1///13f+4e8Y6IAE7PvlqJ5fuI + +lUJAqiuB5ubk3ownLUdWP7XX/mVz95zj8jZidMriqIoiqIoimeHvixR8h99pVauFQIMFRFiwtbs + wObWYLa5RhBgNKj2DaqVyrdtx8CoHmzFeFaORgyDpF61Y7QMVogiAh3BAM6FYGSJODDPGMdr/5U1 + +Y3DD8AzvAdqJzVMcqRf6lSDIWHE7IBu1jjZ4xNh1N9jEdux1n2ytQFqAHfTmRg7CIGZfGJYXWMw + gtS//tWvnlpb62CekDhFUQBiLOr60v3dvwYDqQRQWNM2hG61bX7u+hvRtrDQZ1Tm2MzzqMdpURRF + UTw76fKKdk6gBsHMCBgM/AyAc2/7wAfWxyuRHRtEjZGor9BcRGIzQIu9gXTaWzwBBLJcWgg2dTGs + bm2+4OSpj9x4K45PoFMgzmN7Fbk9lQF5CCQO7GCKpEgKhUE61C3qFnWCB840V5wHPaJga6ERIMAD + ZAITqAfQEpBmeOjBz/7gm77HudCGscfAWaOJ4AaOrMNmB1kZPjpwb/30J+GrBIqLzlS2i6sG3wYj + t/AShOUvLf+Jc39Uu8VoxzJN37ts+yvc//McDCmZMKgwHKbB/s1ZCJaYKIStoXO6Y1Rr+UHndem0 + C8BigG+84kcCjiHq5NTFJ9bRJnShJiACgUVZwApOi41lCmifbH2G7PYnre+lZugjtOej8RQSCDg2 + +ZPX/MBwFlICCF0bTystYIMoi3IOql9+jpNh6vwjB1be9OEPQiokGVW1M0SyCKR8N1mfEJ/yfWhl + o1pRFEVRFEVRFEVRFEVRFEXxpEjlQkopqRv6x7ce/eJDd2MUIptyBNB3vAQrgQxMJCqiDsaA5v4Y + SRAdoiCyRlHLc+6kIIhBDD7BK4ux5DRrYxgrOBEn4kDcMXfMrXBkRt9/ifNseV7KyJ2X8mQ4wIlc + Io7sEuXUbKdgJdbcICVfMQPmvTfBEdSBG3AnjqJ1SaAj/dwDn5roSTCx9f30EqsRyNxyq7qieEac + P8nW5ZlQFEVRFEVRFEVRFEWx99B8t8ByeTSJA/H6sD5RuYagyaKBdn+baIwRXbxEZH8bYCYRzs13 + DMx35frttKez9ntVta7r3NywqqoYY062tm/jrP3ioiiKoiiKoiiKoigKgKAKGJktRSQyc56ICCEM + /ThNbGirN1518+UrVx30l3liIyROyrkfhBeFzPfZLwcW9n/OS9yM8nb5yIx2FsmBKmzEjRPh6Ke+ + /NFYT5U69A2ImY3YODebWJo6Yu3/UTKti2Jvq/zAzLquq4dVkzpVHoaDb7zxB+swFAX6vuRs9LQq + AwkBoAHu+don1tOhjmfMsKgUuY9wJgAsymzo8633uJQSXNpyJz/z9buCa+GojR2xKilIt2Nl+1fm + /GqstsgN76uTESzFCIq8j/a//AU3f++BayXUsUvO5Rb5EBERIaIcdvJMXd/iwmakieOsm+X3ejMs + nqRnfO/3DhqRWuzz+69+3ktcW5uKquZIgzOFQO/uU56gBJA5VgFgZEoapU0r3X1HP/+nd733BD02 + wyZXSGwkCDF2IQrqQV2ZoEvKrRu1qz9x209eOX7JKOyr00jg27atalJrd3cIRKpkAMiYTFhdv1dh + PsATZTImg1GKEjo/C24WpA1ulmSWpFVKeV/HLh5kscR7T0QpJVWNMcYYP/OZz7z73f8LEYgQowKo + qgEA1e/0yFmEXudka+cc5vsEVldXY4ze+xzl8p0vpyjOqeUmdLbdPXF7V9cycyCGKaJKF6quddMZ + Tk5w4oQ7dpja9r033/yx19/2yVtvu++1t111+Mgrps1Vjx15yaRZO3z84unkOVuz57TtRQrftrV3 + qkkMQy9dBzBIEANCE5AMSmbwwwqECMBVTOzFQS2l4FgAhKApGhERG5Od9pmgIH3iZxVT6eN6ODd5 + BNw87i4l8x7tbLLVNAfGdUqxaTozqxyJz6/kaBXsWQTtNJomJzADe+oCRIhSHGocT9vV9c2LJ1tX + w93g3AseP/aKjekLH/zGq9a3Hrz99R/5vld8+i23//Ubbv2zm2/C8SM4eZzWT9BkQrMZdTH3orQn + vlGRgtVII9SgBu3fK3e2EAT1x1kURVEURbG3MDM7MbOUEhER89Z0+shjj/6r3/vdoEZCtGgoQPgO + 02lXXnHF6mg8HA6dCBHlC1zEWp/e2nvvy6eZKaWU0mAw6LrOzM4Ya51vgqc2TiTATKpKDdHsK1+9 + 7/f/rz/sug6ALs5/9+bIcxF8nlJKQH9tvot52qZpUkrRVFHqk4uiKLapqvc+pdQ17dq+fVBrZ83P + /6P/qfaVc0vvzufwhdOJm8Xw/vd/QNXaEJKp9/7c/frzQG4RpeiTrXnpxp+1zYGDB09tbrCT3/39 + 3/uT9743hFDe14qiKIqiKIriKWID97NNOVSN5ulq0aCKpvvll1y3f9asMNRQEbqma5ouxpi/azqb + js7Sci8ZvJmYYXuLP1IOSCQkmheQG7UiJwb+sdX6dx64Fyse4zHIm7EqQwgMGKRi78lLH9U2rgdI + egHkJdvOv/Q5jwA8w1ANhp4dgwTMoARMkrbwGK9iOPjVv/mcHTx4XLvEfSkpAEbfkLcvuts1ZGhj + N/J1m+AY+6SSttm/PsEswAIo7rh6Jdu6KIqiKJ4hOwr8CKC+LDOlxHUVu5xFSxCPfWsPQ1sW9FX0 + unPHH6OvcrRc65iLDHPT/+VwXFYmYwFVhCqlg83sys2tT775rTh+FLMJUgIgUJmv9IoBQEuIedre + crIvJ7ABKYde77xGWEwy86JkkQicB4qUSzLNAUBC3W5h/fiHf+gHnnfshK5vskADrNMhWFVjNDDW + RnS0bb9Ve6wMESJBFKwgWST7EoPO5f5HzqWZCs0xz8s3MGGeprzHy/yXHzbbo2IyAwx6+urNOYjx + Jk4G+MExP9warFpdgTRpMkuJkPo9cbm0Vgn99i5gXkqbL8NAQAjRYFyhBi6L6VNvegumM4SwqI3u + fzBfL+TwjHwt+yv+tK4uA6yLrXX5AUsAQ4aCZgqzS2HUtr5y0eA9ATCwUU4T788meN5EzgiJ+zM4 + g2z56j7PuGgNzucvxWAVVxGpP3YAyAXIRVEURVEURVEURVEURVEURfFkKWEy3fJ1VfnBtJt97dC9 + R8PjSdrUN7PQPJGe23MQgc2MNLGCVM+8X1GNYm6vlEOmAQC5yRJzqjjVrLWLwyqs1O1a3a4N2/2D + 5uCgOTicXTSeHVyZXrwyPbgy2z+e7R+0q3U39nHgYyXq2BzM5aDq3E9v/nc1grIq9TncibaXQnIN + Tz/5T5pS10WtVxC4e+DIVw9NviWVdF3s91KSJgKMSSX/eJl4L4o9vi5aFEVRFEVRFEVRFEXxbMQ7 + 900q50JlYgzkDR993yMHhxNv7BmApV2fBWWDCAcEqQ2hEwIMEEAAVqeoFGSE+QaGs3VAuc0cM+d+ + 8blNfFEURXHO5M5lVVUtvrLoCWtmzBxCwDzVI/+9KIqiKIqiuDDkMZ6ZESEnBCyy3J5N+pxpUDTS + nGzNBtXIAuNIZFD1VHEjw27lR279iWpjvCL7CZgmo6GQcArqkpPUt4TQncnWAPp98vk/SUEQITCS + okuIop2bfeorHz60+UDgKXmNsRPxUGJzSMyJFhdiuVsxqZIqn9ZiotgtZ3xqnMU20DlDfRGRSET5 + KXm2Lv9ClVMn823FzMxP/04hgiqYOV/mubnx853uvQuWokvkxIXBC1dedPsNb5HpkNnBUVTNeRr8 + VMsDDQS02rb1qY9/6U6tm4iOIY5cfg2BMVnfg2/eS+P8KkF8Yuua3OaDyZlSSklEjDRZdJUYAZ62 + MDnUPfiVR+8J6EIIVc3Rthu75wlvIwBKiK6mWYxKEAErGGQ5DFJMPIYYV9Ph21/9I3Wz6siT9GGo + i7fO8gwtdo+SBmpR6eETh0koanCO2WDpiVG6mnvnpAgBRjTClnvZFS/Xliquth+l202v+nHOrsrL + SJ69GWKMKbbEKVEKw+4rG59578d/7/H4CI/YYhwwkyIakkQSqqSebQYiqWk0mq3+2Gt/6sbLbrkY + l2lHCpAJQyIllWS7OmI1NiKARMUl5xOLAUhKKcFgzvOAk1NFoMZGs6k/sTU8tTU8tVkf71YmbTWJ + w67FTEUTK2CgOG/D1A/hdvPoL2TOuTw7LSJEtJjEhrASUjIiGdSjz3z67p/7uZ8H+uTYrA/u2jnW + Om1d0sxExMy89zkhe/nVfvFtzjl+yu/KRbErjJAIHdJ2L7S+aVvKYc8OiG3Mi/qzgMiI8FDGZouT + WzhxDI898sFrrv3itS/93Mte/qUbXnbriSPXHD3yovWt52yFylyXHOuoioMhVT45TkRqoASxpJ1j + SFIL6ljUxIITcxU5hOSIHVHqghKxUNLOoKaRoQNitJ1XHRpVBsCUzOhJfU5srVgrFtiUzLb3n/Wx + 1pXk7tI68Ehtywx4CXDRJCUGuahMThqjwARPqjkgGykaHFStMlRRPRMTAWwxpSYKIaEbcho1Jy+Z + nLghbFx56MGXrD/86vVHH3zFTZ+/7hV3XP1SPHwYR45jfR3tDEAEAhABAAS03cxEWw5TpHxvWZeQ + GK0i5bmCfjdgHpWXUWbxrJJSAtC2LQBVzW/Wy58X78X5O4uiKIrzwSJUeD4ryyElzh26mWNKSiAn + v/CLvxhUDYjJ8tmYbkeLzP+yc+hz+eWXm1lOd85vAc65C/gtIITgnCOilFLTNCLCzJdddtlZ+wWE + pAH9fBD/2r/49YcffcyALgb2PqiKOCJSWAhhuWzsvJUHzE3XmtlwOLz//vuR86xpkW79VC4NxiIA + vvnQQyTinIsxnvE7Fz21i6IoLmBGOOPEew63bqczBnlxayurv/iPfwFmTCCCfcdY67OWkkAAICwx + RQb+7//wHybTqXPezC6EELanKFd050lsM3POtTEQkfO+jQFO/uIv//JX//mvKZCMSM7Hqew80ltM + 0WP30zSKoiiKoiienfL0HYDFjMcTC95yDUapgluY3xAKxISY5tWL/YruxuZvvPWHLmm61RiFYIQE + COCBnJeXs8/SWTtPUSAyolM4ZQMnAAYjBOSaAIkaxbjj6lvjwW88+FWMfXICEoBBYsyRkRwgwPLA + u8+SOx/PF54SmyfNyXbFBBIAN680BWBgJWfwQDSthKEIARgMMKj/53u/cGJcO19rAnkmzxHRAaQ4 + Bz0OKnDXhREBwEQ7QnhOxD+59jrEDkgIOZMSMc+up2fpft7l6v1c2bVcteWcK69gRVEUxe6h7THF + fLGQFiMpgZnzQIcKAq4xqI7tG0+EuRpEgBhKy02ZlA1iJpbL+NkojzIJ6INvs8RIRMZCIDOMxC6K + 3cVHD9952xtw4gRmM5hJCg4JscU8xroDJhYBwEgJHUGBpH2nKMkHbYAqEAFVpARESzEmGENdFaVW + FksAEiERkgJti8OPfOjmG684sbE66yrPgQCGKUAKSo65S2iihQP7vjkeYDzEYOBgnAdkhnxp8Vxt + UyLxBG7Rei+wmGAJagD3I2Kgj+7OayK61+emzUBEiIGWBki69GGYj5LPyTUVx/Dypo9+9KF6MFFz + Dp5gMCVRosTa3+Y7D2ZRMcsGURZlACyuM4Aw1LS/a9G0aDpATa1/RgUw0ISltaj+7E0VyyXVT0EC + AjADuvllGs/jOmoBpsl1rvazNg5raYMpOGdy51juPt4aIHA+a6MaLbCl4NH+da7+wcc/grUa3kM8 + AOeJAAd/2pGW0W1RFEVRFEVRFEVRFEVRFOfYYl1eRFT1Wdl/ck9T7yWlQL5Sp5/66sc7t2ECkX4F + igxsbHAKNkMipLqJbqtFpwYRkIIDXERlLAmIClMjjYRASIKOERiR2MybOknDOuwbx0ueI1dds3rT + qy570+uf//a3vvDv/9cveud/c+27fuya//5Hrvzv3nb5j77+0re+dHDzc9OVK7NLB82BWvd5WiFU + qn35B6VkIThS4gROkJQX14IhWl6SW3Ts3MEE7DCdQcVoLdzztU91iMQVzVemjIjMi7p5o62iOHcW + O2WIKPe5wnlQn1nCfoqiKIqiKIqiKIqiKPYgmrfnM807GxSAJiFGXR229D3CXdRKAD+wrt3dY3Fi + lkJMai1cgnXKVeo31s7LnwlLezqLoiiKC0Fe8GjbtqqqlFJKaZHnEWOsqsp7n7tX5H8+08dbFEVR + FEVRFGcNQdmgZIAaGDtSBnPnhv6LbAz1VRg/v37hm276wT/77L9z+4aDQTdtwpgGjoU1AqymDCht + 7yOf/8lK/f9kMRoRmEACM6C2E+uH7/z0X7zrzVd2W64aDdq2pQTHkpL6uoqa0lKRnBH6nfukZyy/ + K3bDvK/fvL3y2SvDXVzUogTNzJ5GDMOz2TNevfe0KcjIEidS+OR8HH7/i2//0te+8PDsb7sq1q5S + IGiXU/eeCmZy7OT45NHP3X/X7Te8kUhGfpQ6227KApApgwHo3snPU1Vjc+SSxZQSSGPqImmnUQ7a + XZ/+4AaOBGq9r0OYkUCtz7RmhTIrwUFBaLq2HhKTtR0kgcmxRBLqgq7JqD2Cf/DmH79YLquayg9k + Op06x3vmNir2OCMzSS2mjxz+ZqDGV5IspmTiK7O4FIqsADOgBjOMq9V4jG648qYBjQcYakgCMsov + Mk8std/FZGUDSLiLEUyDyhvQotHVdO+hz/37j/3rb2x9TUakMVqAODNDVYGJu1kXAu8f79/a2hpi + 9e+/7r+96bk3j9pVl2oDJ2IxBgFQo90PqjAHQ+6LlNsNJVYjOBnFLlpH4j1qjRLuO/SVBx//utVM + rJpab+K6kc38K196+5o4x5L7d9H2DV6GbU/f1tbW2tpa13XLKVPe+2nbADwej2OM937p3p/7uZ/f + 2vpOK6qLee+8ByDGSEQiEmNMKeVJcsyHFmXbVbEHMCXANEGNiGoRQFJKwgIz7x2SwWgIYCtAZ2hm + OL71p29/2wq6i6fTW9p2dTbr0IHMK2BQSCKxfNEGgBUAKRsA29lUjlhZIQArmA2gSKZGlM9SbZ60 + DSz+BUAlJ9USgO2ebn/nZwWMCPmNEqe/lZH1XcJlcRKeo5VOl0/XORdpkCG3jDRACaLGBiWAIAqC + MZISQCoGUasMFGH9MUfbWG8I37u676u33XpkOH64dj/1kQ9jgwfDEcSBBTFiNKi961JHUjk4AjSZ + uBoR4CpfC5Md5RhPo6FeUexdIgKgruu8Qs3MXddVVZXfiFU19yVXVRHJe/me4SMuiqJ4diOixalT + 5XyMMYQAJu99UjWgHg0BnFw/9bu///ufvOeenT/8d1/+6tpaNRxo1ybVfJomIimlvHP6wusjkN/X + Qgjj8dgMnaau684Ya50Hv09jOoSIjEyYNOlkNn33L//Sf/p//nQwHIaUxLs2dN47UhqNRuvr68Ph + 8Lu7QueIc85V1bRpDh8+zLmX9NOaKRLnUogCHDt2LITgq5qZvfc5z6koiqI4IwZ+9md++g/+zR8f + evywzedZnHBMu7joAOQcryTEyfTw0SN33HHn33vHD48Gw9A2Qs+uWfcQwmg0CiHkyoUYY13XUVMT + wqAaf+buz77rZ34m3zWj0ahpmqGrzv8QhPP9+IqiKIqiKPamvARjZjn2Na+55G6JVVXlLrTee5S6 + iDPQnA/IuYYcUIVogqH7+tdXphv7B/5Uo2MPxL/zop4+mxei5/pHBfdL4qZVLbMmkWgCt4P65LD6 + nS9/FsOhsYtgBud71ObzisJ9EwNBnqddBPjt+fOpxdq6LU2iRoAIsmjdgL7SoSKexTByntlPw2y0 + OgLwcOUGk3Cxc63GLuK5w9HmbOpBQY12eVJ6USFgQGKQYRzCgcZhOoOvcvWaASTouq6qno39RfPr + VV4pyH8BoKq59GvRBNbMQgj5Ba0oiqIozq4dYwHeHhswsamSkeSaxTagrn7qjvfd/X2vnrStAN6D + FEw5thqWAPTR2D1jgKgfp8wv1pCIjLgLqfJCKSIqUnP50LuN9Y/d9sbXffSvMW2wNoBPII/UEVVs + ANmAnLWBjKiSCJjBMziXU/Z74OL8CBJButjVrhKPMEueBYudMgQDnAJNxMaJD73mNVdvrh9sUKec + vc0wkGnexRhVuaqOc3oE9NN3fRTegYViYlcvDzUVSPM47F0dgGqKCTYYrHXNFCk5aNDIXOWx4fbW + S0ICMI+43qMojxWJ4HyK0UjFOQOpKc8LdxWLU4D5o2C3mWF19dill77wSArrrXeICSpsBKbUF8cu + lRQvry3RfKMb18O2Xe8SVlYQOgya2V++9Yfe/qEPQ1jHQygsonaYTeEHSJbPdximMAXl5yQ/jSsr + 2w9PBQCCghMgpGi3ELvx+oYP0QEwqz2lpfPBXOect60pLAFEaBqwwA1XHmrCkYMHsDLAsIbKImtc + +vtlx9Ni7z4mi6IoiqIoiqIoiqIoiqIoimeEIYnnadg60h4+tvU4jREjSPpWcmxQ5L4f/WJQSMYO + TqARXQshqlgAhDaOhpWmmEwrX8eoTRNEBpWOqBWGHFy55AXPf+EVz7vyuRc9f9/g4Fp1YCAjrxUC + aYAzAZylWHmLFhMFrRAonJid+NbxR45sHPraI/c9evzh1ibmwmy2WdVSD6uNrRkLgsFVYEEXIQLv + XDeLHmwEsty0s+/qaYAZ1OArJuOJrT98/IEO3Yoji4Z+9YGd8bwkZ5f3uRTFmZxvnTCfjWVnRVEU + RVEURVEURVEUe1eeW1L01caICoIyJ3AFQRJErkf7ODVws66DpIafTu30k6WENoZq4CqDYwXNkktt + nnVKfYoTGCDGfLdAqYcuiqK4YJjZIsYj/yX3ohWRpmmQ+5zOv6ckWxdFURRFURQXGAYSsMi0Xprx + UOVo8/3hokzwXg+87ro3fu7+u78+u88o1l5jiI4qMoY5QbQcgQjo0s5y67+gnDsBENghJWgEgZIa + eaR668sP3/3p+z5y67Vv2Zq24+GaTpIZ1fUwhJaYiDRvr5+XLPUNx3b31im+jbPeyy9318J2eHbx + d9vTHRUJYKgCRpxIAQMlI7jkLh9c8wPX/70//uT/yaPGQkwa3NBFfepNEFWUION0aPLgx7/4kXd8 + 309sPL5xoL44aDACoGyc24JY37zjfDfvPJhgYGY1E0cQl1TVRfXdQ6ceuPtrdzVuA+Y817MWlYMB + ypD++vHiksxAjkJnMHjvzcQiNIZhxWndbrnythu/93XjdoBkbYhwTsF7vo9jsUcYqVWpwdbh9UNW + G4mamSqcGZ3Wt2bxzE0koXLd6JXXvNZr7US6GBwLNFfZz18tSdnys353r4KyJAuqQeDbtu1G0789 + cc97P/FHD4Vv8j438nUz3TLHTKZdZEJEYAJRDLN0IF16+3Vved3lb7yEvyd0ZEAiGAEqYgSATG13 + u1qxwosBUEJihMQRBCXuYjRFNRxO2o2o05Pp8Tvu/k/fmnxzXafmlFOoUzXWg/v5uTfcdEuQFlo5 + heYRYN9JdftVqHiqcuD0dDodj8dEpKohhJTScDTWhGbWPfrooz/90/9wNpsZwMym+XY+/dESY/Te + 5znw8Xg8mUzMLMbIzGaWJ8nzYCyEQETOlRL94jxFgFgSoibNavEAyAidoUtSOxjAhNDAItoGWzPM + 2vff/vrntHE/u1dOJy52+7ynzc0IwC0aOlNiGFQUZApKQbR/FTNIv2sNiQEQmQDM5hSUmBOpGHPu + NEea32vIwDkkHtj56pdb5T2F13MGRAkAKag/2uXzJleBfz8AACAASURBVIUx09KvMBClfOQACCQG + Asw431bcX4gngxCI1AihP1xlSznCm+ct5NAXTVACOmYYeSdOkWJYbaajZnLlYHDf9S+DrwO7jap+ + 3R1/hdUxbIh6IGoyHgAInYknYL77x6AKkn5XnC3O8BfXbA+f8RTFkxJjdM61bVvXNYDFX8yM52dg + +e9lkbooiuJ8oKo5DkdV80w2iTjnUkpJtY1hFjsjeeChh//Fb/7W6fv+n8Scd9u2Xdc1k8mlF1+y + efIkEQ1W9s1OneInnNnlTsd7PeiaiFJKzrnpdKpqg5Wx99iNTOWUjFmSpo9+8uO/9Tv/+y/943eb + mXdMzABCCMwYj4e6R6Yrmq4bOdc0zZFjR5k5qILmXd+fipRDUIBHDj3mnOu6rnJuY7I5rAe7c+BF + URR7xvI7LD3hxXXf6trP/48/+0//2a8yMBoOJrMmRhWhpLu2wG075tUN+MM//qMf/dEf6WJgcWb6 + xIO8gNV13TSNxrh/335tuqAppahMg5Xx5774hf/hH/6Mr+u2bRmYzWaVO38zvfqSiD0+nCuKoiiK + ojhv5RDrvApjZsvhr1VV5ZWXnGxdsmCfDCHFZONXXnL9FV1UYKsJ+1f9+mao6TsNaZfPrfhpnbbk + qOP5cn2/wk5A7MwJwySM/ENDec/992JUwdUEqdEvg+tSlVIChBZp3QDBXN8wYE8PyQnc1zlYn2Nt + hLCdZA3Jd9A8wY8NK87HFBUY+jqGxq0Mf//hh//pFdfpseOrFY9rPdFMCRhwTaoBcfdKvIyQT6OX + Cx/E4jB2v3jjK3/7nrtxoIZIE8x5qqrqWVhsllLKr1fOuRBCCIGZU0r5xa2u67ZtQwgiIiLldawo + iqLYRZYLBgHwUlGyqkVBhQg4YDBCiFhbObEyOhXSZd6101kERhVCRFAMgdxtH/OBydJAzESh1O9o + FAMIiQgiFiIR19BmY/N5Y49vbDxww6u/vjJ8690fxtoYULghYiDvV4RCMKo8IihhyAgUu9Cs8Eo/ + FuIAiujHHc6gDMnH4wYCQBMAMEvXdSbONTMcfvzu19/64sbGLXuNYjk2gPLolEwBOK424dZHw6Oj + FdQVBjVCJ4MKyqAd19bA2mdJ7yoiADEOZIiQamhnZnmNYTEgtxxXvFSruWcRYKqYbMpFB4HU55Iv + bQ1bvtLnyKhCij/8oTu/+KLrDgBQeI+oUHCcPw76Q5pnWuclnv4zFEDbrjugHiC2SIT94+HK44+j + aXBw1ELBhGAj5VGFxLDF+U7/+OrD059qjDcZRCFYenb2VSraIVVkd73h7ded7PYbeWaLGhQuP7QB + JQQBTH3MEdtEgPeoDF2LI7E79PznvemuOzGoO4jw9uHJYpA/L6UWIPWl3M+68X9RFEVRFEVRFEVR + FEVRFEXxtKnTDrOv3H/vqeYoDqq2YOuXpsggtr1SwAZmaAITmIngYRxVNXaVp27aweCZqRMXB2ta + D9r9L9730qu+99prrr7m4gOXiVHqUHM98qMwTZ49FBYNSo6FiAwBlqCO04ijrLnhQbny8oM3tvu3 + fuiaeKI98s2j9937zc98/bEvnJw+nlK3OgCxn4UQFTpfL0iqJDCFmpP+0BWIMCUAjBRQoQIsUPut + 4988Pj266i72LEBe2gOMltb4iuLZrvTMKoqiKIqiKIqiKIqi2GMWadGnlxTHXHY8CMFmbdNFDBhC + YrablcfGg9q3XUsEHxKmjewLpgxfIx8h5c0DjFzgvouHUhRFUTwDFqlpIYS6rmOMKaXcjjZbNLB4 + hg6wKIqiKIqiKHbDjiTX+eZ1IgPDlMxonoZnDDCr1xZD7HvHbT/+u//5/0hiLU2dSOw6Ty5XxYki + MYy299iz9pM/ZNuTKuRghpTgRABtQjMccqTmzs/+xQufd/mlq9/bJO+rQQipqqqmSVLSVJ9RtlP+ + 4u5lKpvZ3m4Xd24t7pQ9erMtF/6ClE2wPnjVi27/wuG77z18d0gTT06VyZ7ipKxxjMl5ckNysI99 + 8YM3X/v9l45e2MQZCP8/e28eZMtVnfl+a629M885VXUHXQ1MAswkEJYAAUJgBAabyTQ2YDw32G7b + 4Ck6Xrv9x3se+3Xz+oXth8P4RbTDjhfx/Gx327htbGwGY5AtRjPPRgwSSELz1b23blWdc3LYa633 + x85zqupKSLpCJd17tX+RkXXqVFaezJ37ZK6999rft1DaOC2lLpg5WU+AQplJAqVONaSunl75kfcc + 12O8CutUUxdoEGj0LBPpTM4My7nXEqBqqqgiYJx6iyHAhGd+dvXQF1/6fWt2CA0Cy1bfVnUNPS2L + q3A6YmyNzG88dn3jc+W+N1WGEEwB4WFEaXG/MzKAo1RpE08658LHnXMhbzALxImJjDzrR+2ovrbX + 90ojOBkqjgjzNKW1dP3xL/1/7/3Dm+x6XmOFNp1rsjASdyNCEPRzrIyr+aaO0+jyC170sqe+cnV2 + wBKIWcmcBvkeJRYPWVdrL2E4O2UtVzOyRfkxsVOURuc80TbOPvmFD33pts+0k1mzCmMLXeda2xwX + Pu6S0b4Rd4zOFwJeAGiY/1C4t2Qv6hCCiEyn06qqRqORSNzYmtV1vb6+/oY3/Oztt68DEBHVu5ph + kqM4Edna2iKi0WjUNE2WbAbg7tPpdGVlpWieFk4HxLSPUis8sFjTMVcQxuYMQphvghVp9kff/YJz + VB8+6592dOtg25vZqIp924Z5Q5HtxCQEI7C4gszoDhG25+EieG49s8ESA5q18By2MLRe/oMReIcg + Mzmc8qSyYTt2GN2D9UIYcrtt7OTbtkUMLMTdaHAz2i076ORgUDbAxm5bJnIwWAEncwI5G6ks9PKc + YItg3MCGYU8OOPqRQVxja1Xfz7o0ISEKR5mueu7l19Vy5Jyzf+yd75DxGGpAHWOEOQK3RMwsDhbA + IDw8bGwpV+cAlX6AwplPCAFAXdf5QZxfmFkIYTqdjsfj7LuQB6mz+8IDfciFQqHwoMbdY4xm1nWd + EIsIAaqaVKWKq5ORATfcdPNrX/e6RhPzwpfjHvOBD38omZ519qHjmxvj8YhB882NUFfqe90V8MCg + qnVd96ohhNF4sjHdknp01VVXDX/+FvsQHCxkveXr0JtGFiH89u++6aKLLvquF7wQTGZwQlVVfd8R + EfOp9ZzNbYEckO9k3759bd+t7d//0Y9+NJkBkBCyR/W949Of/jQROZO7r62tpe6+dxYvFAqFMwlL + 6Ud/+Ef+7M/+7EtXf20+b/atTDamM9XBcGBPUbdIbG6f+OQnP/rxT1x26TNT20V5cPWftG0bQhhN + Jlubm7l3a+XAgVk7v+a6r//bn/jxW48eJRp6z+q6pqzndAqzM/uiUCgUCoVCoXAfwsxYjMLsTHMl + opwOsdwmxti2bV3XD9CRnlosSoptkSkEAGawFkRrzZxmx1eJnWw27++HUNuARSerGbFnlz4wg7a0 + byO21lZ+97Mfx2SMWKuTEGeD550WfTvSxhY/F97P4fR38gMWp+Xb/nMntjEW15UMYA/CAJrUVXGk + MBF/41Vf/LUnXRin69a36litqWu7kzfCO2kW3Z5sNJhcglCZHtyaAwHNHKuxqsgBd3UzfpC1f5fZ + X9m1OvtbL99s2xbAZDKZzWaq6u57l9JfKBQKhQc1OwMLWq4AwF1hChH0joowHmO28eIr3vPRZz13 + rH5oNJLUdAlVQHB4Avl2vqIDlgM79zwvgBdJlZSdfd0ZxCF2qa8qWk2us/7bJrWuHxnb/v9x6bN+ + 9MPvR7UPQbEyAae+0aqu0yyFOgDo2i0f+ThWUIMzOIHMAYMxWAABh8BmUIfk9MUIuKFLlRuaDRw/ + +qnnP/ehtx5e5RjVozPBZPvshxxRNd8E3VSPXvPP/4zRCFEQavQJIQ7BFO2ctHl/PKyFuEuz6Ksw + Rm9VDCdmk9MJP09jOLAnxcoqHKnrwqh2U+I7xvj3VxjpDiffv0a9Neee1x32dn58FcDC0/2EQD3/ + KieG71ZzZdZ5i6ljZSKzzY2HeP3uF33XSz714XFNSjXqgBYQoEdPyGkOQsCiyYR7cX19sQBgAw8v + Kxi8R9Me2tg81LYJpiBhDgtX7myNoQw4AhuMe0tVlHmjAahoXB86+6qIy/avoIq2uBYOk+WH5vnC + i+9LLgQs5zgXCoVCoVAoFAqFQqFQKBQKhUKhcDdYb41Omi98/VMatVePwp0aGEYIBrg5W1YCARAZ + 8w4gVHVUEzVl8hiFXQkYc8U69mm1Vj/k25/w9Ese86zHH7wwdCN25jYIBXLXRtOGVlwxMwHuTuQM + BqDOSZUpBES0olOIy1q1cqA6q21mtVf7z1799kc++XB7/cc///7PffUTx48e1ZiiBInmgj5Yp+jN + IrN7nlRI5FlCJMATYMJCrq4AeajQddOrb/ryuY86f5VXyAIWExLl9FTVK5xJnDozZcqQU6FQKBQK + hUKhUCgUCoXC6URWwfflb+IgCBABiKDp0dGIajhVY2IG9nhOHTu6thlLHDH2d/7WF78at85XLAaH + iyE42LZTsU9Ph5hCoVAo3CkpJQDunk2s3b1t2zzHm4hUNc/0dve79gIpFAqFQqFQKBROR2gwO2U4 + 5zU7s3PWizJyJ3UyI4MzWbCOpBs//pyLv/vpr1jtDoamYodL6qVLkgCQsziyf2FWmjCCkYGMHWyc + pSiaBI4QISEeh5ElnVnfVtPb7YZ/+OTfzqpjU9rUShv0866VGPLh5CXrWQzHWbgfIaKl7tV9q7B8 + 6qSgnb6cnmXIcCZAHGJZp8bJeYzVSXvwpU9/9Vl4iHT1ZLKqbcd3UNu7G8hAHgLPG++52/Aj7/3E + u/yAbmFLebDMM4IBOtxeTh/YiQhkxEjWd6n1AA3dv974yc9e+9EwJhKwWNJuHJgVcDhBaTBB4YV6 + OxGgqCOEeNa0an1FYeyT8XTthRe99BGTb1ulA64mQjHUgxx/oXC/4KRJ2n/9+udbmiVqcpUVYWEm + BznvVLbKRKq4j8964uX7+RxydtcA8i45ZXHSsCM22fPvu5PNMVXpu67jVVzdfP7Nf/9fj4bDWNG+ + m6emU6iMxN0tqQjYsBYq36D9fPZTv+2yVzzjNWvTc1b8IFl0MpCzMTk5mZNmi/o9PgNjGGDKnsSM + s3VrYGdm7m0+1WPTuH7z/Jp3fvzv6KzUjqapalJstEoee0N/0cVPTp1q56IixuRMJWa7L1DVbEGd + UmLmGONsNtvc3Kyqan39+Otf/4arr742b+me1Wp5R3a9AQYykGV7bCw6xkMI8/k8BxI5wFPVLOK8 + 7CcvFE5ZkiaSOG8UkA6wUYVg6DegU9x07RXPeNbXLn32px/3+JfcftslN9/02PXD5wkHgNwsaYyR + hJOaOshztgIIHt2jK5ESnIFoiIqoEKMsnMYOdhCUKRESuCPqIhqhDtw5J1CyxeKszmrkxm4MY/hi + DThIGUawe7iOrtFVXBkKUmc1tt2LGquRe15yPoZjRyjtRjA2wAepSNJhgYqrONggTvDgLnBxF3Nx + l+EfyEBKUEJv1tbBvGlX65Go952uHDjYw+fWHqzCI2bNM2b9Zdff/JmnX/oPT3wibrgetx/G5nHo + JnhK0ibqSCw/qikhArQ82F3HXCic4aSU3D17JwAgohBCfhwzcwiBmZm5aZriaV0oFAoPOCKk2quq + iEgMJJwtrpnZ3btkt9x2+A2/8PNfu+EbEOrNMUjv3vlyRw4fPvz2d7zjyLFjEkOXeqczvF0WYmy7 + zgkxxuPHj3OQtu/e9va/39V3k8P0excemsNBRCLkgLo3qo3qj77utR/9xMeb1KubAaoaY6yr6j46 + rT0kD5JtbGyo6mw2++CHP5RL5l5WEiIwEeHLX/3ql7/85ex90nWd04lG2oVCofDgId8Dd94Jd76T + 32TQgdW1N/6n/xwBAFvTGTNCYGC36H/e9lvUP3GG8yJ0YOZgbgDU9E1vehMR6ant2bwXiEh+YAGI + dUXC68fXb7rt1h/78Z+4/qabnWCOyWSFwbNZcwbHUYVCoVAoFAqFuyZnPpgZgLzOPXjuvrKy0ve9 + u6eU8jbF03obN2QXaTAQCAAlWIvNzZ9//OMPEo1Ayc0IKWGljkYnNpru2KrK3DHX657AixaWkzmZ + siaGg9QsTg4c3r/2n676HPbvT+qg2i06OH8uOaB5MTITGGDOcIELKzGDBXyGJDNte0uCFt7Wu7qg + F1eEGJYU7gbEUAFMHhAnmFRv/MoXj4axViu+Us3gQjymyHueZWe5wxPOYhCDE4Kn/VvN/3rBkwHA + ejIQQCQsZ4IF+ckiIiLS9z2Aqqratu26TlXrumZmIprNZgBGo1HxtC4UCoXC/QMDAgjgpiFECEBA + pLZLDsG+A1idbJz/8G80/XqTSAI52g62iCm2o0Qa3jI2o5zczwZ2MODsPgnSNQ3UBNDOMQrJsTVr + gW7ffP05W9OPPf07/vHS78DGJvqtRMojMdIwVmAGmVcj8TxNkgaDXgXrEOIGuGhjMDjDxBQJPIev + w7bgHY6vv/uSp37x4ovOPXb0wKiS1E5ipCHOGqJMJxjQE3dRZpPxbWv7sbIG5i4pwAijxfmawwgQ + gL/18YK7wwk8qWauAYy2Q6OYKVQN6rvjOl9cxzMAckPXo09CDECI4bYs6GFUB/emMXKvEEh1DI7V + 8aXvfMd1K6v9St0kiHswDSpinEeRPFd7GmrFjojeHXDrHCDGCsOSR7NH1fFhW5u4/ThN22CzpDME + oIMwqjDUywW7dngS0I6ZB4QO3sMFiqSYGmZ9hc7EiEjGo9aMY71dpYfTAbIXdYw9bFwhijSejiX8 + 5Ic/gEkFjovcRxPYwk5jeyfLo1jsqVAoFAqFQqFQKBQKhUKhUCgUCoW7gXNKEvXrdvONm1ezwBOc + ZfnXLGNBSLnn2QDvQ41YUW09JW0MHYc+Vugb7ItrMhsd0od+/7Nf9x9e8+uvesqPP/nAZWE+EauC + R/Topl0368nD6ng1xlpEWIQDkRDYDCl5YghDAoUqymQkodKUNmbTI9rMK63PDuftb8473y/6kcv+ + w//ysje96HE/vq95+H4/d9Tvsy2RFCd1jEJdn3NqUp6gMgyxOQPs7oEBS56UCVLxl2/8XBo1yjoU + CODkSn7/SGwVCnfKKSWGeYZkCRYKhUKhUCgUCoVCoVAoPEjg7XxiAABtZ767JazWWI1HxRJ53zgJ + z1Pa60MahVr7HobYpbVpBwWajjx34ppDnZZzJoqMcqFQKJw5hBDcfT6fb25u+m7W19fdPUtUZN3w + tPfPo0KhUCgUCoVC4f5lKY7AWaOKPACD+XTWAnNyX8wwjzwayWp/VF769O+98KEXx1lNgBKMLTvF + srMY2JHz2oYeFFraUTMbw9ErKIgIpa6LxFVgY8y4S2vNp6798Ac/fyXWUhfUI5k4+MQ59ewL6a3i + kni/s9PZ+r7aZ26C7bTNLpzxOGALiZBhAfKXWjyGfvSolSdc/uQXBR/3bc9AoJP+sjPDoeZI8FTN + P/21j37hus/Eg8EpDZ8PSwxls3yPus9Pcm9IKRG7MziQQY0sVLLVHX/fp949l/UeXUquAAhRQu7S + NoKDHSxu0QDACTC4IVDtPZhR11G7vt/QSx/3vMse8/xJWvGZhlAZnCHke66kUygsMbIWzVe/8eWe + O2XjKmfZOxHtqocLDU52dE1/7r6HPvER387TICQgC0yMbF3Pvvsf2ff8+x5AYOvr+Zdu//x/e9ub + j0+ObNm6IdWCUUCsnKIbehKEwN0cPqdRWr3ooc/4oe98HW+trYZDzdxCVZMzOxgm7gQFJaPOee/7 + J0lBqpyU0DMrBXgkl76Zj1ZYx9MN3Pwnb/9/mnpj3ddT9DYlT0YGVzv3rHMf9dBHB61Xwj62KBbF + hJ3I2WiILU+bG+4pRv4WZGHlyWSSe6pXV1dns9nP/dzPfe5zX8ybBQnuxN9cfbfveyISEQBZ/LSq + qmyTubQDyV5WdV3Lg1IWtnAaEUIgx2qIYaupmj5sTXH4Vmxt/NlznvHJ73npk9ePHLruuifO/VFb + 7SNbHJii3ZyyeT1ZbTSZa6sGoiiBHWRDAkAOTXcKbYuBnQYbIWc4EyDDJDcAg7tQVoOjhcj3Nwue + DIDDABvaPn4Pl6VX9dKu2sgB+CC5t6t1lu2yM07kNKzzG+zA0NgfluW0tGxhTZ73Tw4yWkpe504D + ZkcwE/c6wA2jiGZrFuqRw4+vH6vqahQimVXN9MDG8YesH3vCxtZlyb703Ms/8PzL//QZl+DIMdxy + WzXdGHdbtLkO6+GGhfTjtmBieVgUHhyoagiBiFQ1r3NnS34Em1l+J6U0Go0e6IMtFAqFAohoaSCR + Da1jjGv79oW6ms5nXer/4y//8vs++EEOnPTe9J73Zr/6G79+7Pg6MzuRwfcd2H8G95mLSC7DWTMf + jUaj0egP//APv3L11cB9Ew2agTmbGDkRKbkDEgLX8eWveuXfvu3vQ1WpaqhitgO5Dz7yvobvrBpN + JhMAv/d7v3f48OHhrXtR3YbmiBNBiH7t135tZWXFgLvoTygUCoUCAHJUEizpC57//O99+cuzZwcM + mu4PuR8zM4DBDvzz+678yEc+sra2dj987qkDOYTIUqpjlR28QhWV8DNveP3nvniVASBI5M3Z1OHj + ql4dT87YQKpQKBQKhUKhcJfkpAhmVtVsaI1F4quqxhiJKIQgImdw59vJY0NC4WAoiMG6z3p0/cPM + ZtNjEgSAKgTUtP2eHg05xFkWlm9OBjKGKcNGq7cAv/WlL6CKqEc03g9HYLKd1me7FQBytqgu/N7y + qPRpfe2zd53vsH1b+sDJ8gQXJbAsGQnBzNw0dz0SoXf4eIxJ/cavXH1DD61XYhzPPM2923NTa8qT + C5gXEwHIUSnW3NY0oe/Rt2xDNXN70MnsqiqAlFKMMY9HYDG7uW1bWxRIjLFpmrxxoVAoFAp7Rs6S + BPkQZrAPv3vXQlCNQ8rx1urai/76r3D2eVSttZ0GQeDs07vDuXY7rdGX+96eFZDtcT1FILnKaNID + 3SzFgNWaHFhBOvfY5gWb88cePvKuS5+FjePh+O3hyGHe2sR8Dk2w3oDoEcoghnACKwgQhsAjnKUO + YKTUj6BVmsp8C+vHcdstuO2mv7jsmU+65bYnbMwOtB217erKyrxrFgdsTlCGMuBskC7Ieh1+6N3/ + iFghhhhktjEfzoYXMZgDtsgv3WOms6YW4jqMiP70BS/GtIf7MK1zkayak0jPgHgYQJ+srmuECAkU + IgwEYtAyRh7KfJEYvOe4zNsuQlBVOLj2lbV6c221FYBM3MSYLMJ3pOU7ISfOAqCl17U7gQEzECR1 + FpO3m+sHNtavfMm/wbEZmvlIDN6iAgC25SkOPxh8b/TQll9BMoczjHMbojdsdO94+Wts47gIpJJ2 + 3jCgfTe40RPIIQZxGLmzpZTMfLODV3Fer95cEUZjryYAL5oqFuAEBxkop0djOV+NMEzuK4kLhUKh + UCgUCoVCoVAoFAqFQqFQuHvIxEFBr7n1841smFnNlZnt6mWmoQvcAQersYTKCCl1VZRxYDSYH9X9 + ciDO9n3nxa/4xR/9lede+JJz8Yiz/SFhGiqPrG7JxGlcj1ZGK5Gl7zWllJL1fZ9SSubJ4SwhVMwV + maSkfd932jFbrHk0CqNRJUY2p9CuVLN9ODI5LzzupZd8/+tf80tnh/NtvT57/HBqw+bRXkCjCIKB + EihlJRAjczYnWG8hBILBFQnEfsORa7WeJ0lOgwSKkxkbyNhP+/GgwunLqeNsXUadCoVCoVAoFAqF + QqFQKBROJwgIhsohOSOaAYICPdDF0FKHg/QDH3inxjhi9G6hlj2VuTdCn3ongoCZ+zQHJYgQDUn5 + CuuBRDtSuk+VnrFCoVAofKtkxfDxeJxSyiMfebL3aDRq2xZA0zQA+r7P9h6FQqFQKBQKhcKZxcJy + y7MuAZFtO3DZUhEMcILE0M11P58VppOXXfa933bOY0dS945ekASenaiMZTExPpuB5bn2DIgxWUUW + JKBLSsh+s31gpggLmPK0GW1e+en3/Ot1n5/plgdHkGRZz8FzqhwPmgJMxdP6foQW5F/d3e47mTAz + W0oHFnPre8Gpk8N3MuTEVxGHuBMAJ7KKLfbajcMoHqued8F3XXTB0/uEwETZfu8kMEjfa1dHsGBO + 8y1av/JTVxyZH7ZBAcedLBv+OflCuvA0wMxEZNChEybh+Xz+mc994mu3fsnHnSncBnlCV5AN/RjK + yMnH7DYoGBJgoD5YL3EkEtxTevS5j3rBxS87W85fQd3P5yShMzJzITnJ8i8UviWuuf7q2zdvo9qy + xyYR3J3M2UF2J09/hjzjKc84EM+RNkoedzLNXoA+2LpTjmjuh5osxlWKdcVf3vr8H7z79zcmG32l + cRStG8KZrumbtkvsyu4Q8WoSDz75YZf8wGU/sbb10P3x7I1ZE1fH024mRkFJ3MhN3Ajq3Cq3oD1V + ojRCB+qcNDESiaKG1WyhqmWejvro+Nvf/5Zb519vqqmshgSMMR7rZJJi3canPfYpcRZjW9sWV2kU + tBILbAzACUrQ8pC/t+QAiZmZuWmapmkOHTq0ubn5K7/yqx/72KcINKpHIda9GhGdGKfRLvmxHMhl + BWcAXdfljvG2bauqyuLOeZsie1o4pXGg6zDdQrOF40dw641//qQnfOJZz7rq4qc+9+ZbH3HrTZN+ + tn//vmq8sjUn6ES8qiWCobOtSRUScRjVnTqM4cER4AEucHGQuSioJ/S87W/tBGUyIjZhC2wCEwWp + kztIB3lvMYiRqCwXdmIb2sYOcQRYBc8bkhPdk7UP9tLDO0rsIDiTExvzYg2QEwzkS5E5cN4+G1Sz + Uw6HldAzeoYuPLyzLN4gSEfJOfWSlBMoiau4iYEdYhJVgobUQhxJUUdpm1ldx/3jytrGrZ83syoS + IgVh7rXa6h6y1T1p/dgLp5tXXfTMLzz7pX9/mQxQNwAAIABJREFUwWW4fh1Nj34Kbl16hwUgZItx + YhCXeXKFBwMikm2tV1ZWiGg8HmcrhbzOvpLZWQEL7fJCoVAoPOCEENy973sicmBjY6Pruuu+8Y1X + vupVf/eudxrQJwOBg9x1J8TuhhoACNMtt932yle+8otf+lLu1miaxs7cLNWu60ajkZkRkbu/5S1v + +c//xxvDfWerTEAMIbePSRhE1ahOmpq2B+MNv/gL/+6nf+rGm29KKeUn8n31uXtNMn3HO97x+7// + +10yAkQI925IxV1CMIO6f+RjH/2N3/gNdz89xxoKhUJhT1jmOWwnPAAA+tRVVWTQb/76r9dBAhCE + Q7iT59d9O9eEFo/IHIE48Ad/8IfHjh277z7htEFEuq4Tka5PR9eP/+AP/9AHPvKxIa4KrD70javq + xsbGA3ichUKhUCgUCoUHljyqko2rmTm/CCHkcZnse51f9P3e2jOfVujSXnCAErz/tQsvOnveCWHT + +iiVARXFcVVjkWF+p8u3CIMDmMHKSAwCgiOYJcZNtf+na76AusJ4BVSroZsa9MT/z7oAzlCwgRks + 4IBBv/X+sLXbSwxIQLd0thaA4DDABBZgMINln3JzmMJ660AmEoUjAX1CC1CUZA6psTb5neuvX58a + dyJAjHvrczgkUAymmKQIBlSO6ICmEeHHH/9EJILQYCT54JsvICJ5GAKAu4uIiOxM5XL3qqr6vs+3 + uAf0YAuFQqFwhrPtipxdaB3MYvMGMBpHJXQAEaMaYVxjMppWK3Nn82AJwjEP1y4se4fOdicHjAH2 + YeIhOy8drhv1MAkeZN51jEpoRBq61gPBeh9xGDXzc5vmks2trz3t2f9ywVPf84zLcMOtuG0Dx1po + TBqD1+LihAQYmBECAlnIE4YMmHc6CoTpJo5t4sajH7j4eV+95Plfffollx87fFajAfWEY02YT7dG + UZzUySznWwoSwYmMeEt8oxasrGK8krqGYJO1lXwKCsNyWiYBDsJ9NxD+TRiPxMi7tvN587C4ChNs + bBHI8kX04dyXZtuneyYCL1LrMW+GIRmzbBO9Hcneb7JgzgCPq8kYcCKctfbqD1zxVe9nNSlDAEZg + CCE42IZI+MQakUej1EECY+nMahpNQnVgJR5SfcTXDr/nuS9F37vNwAlscHjvvNMdfudZn/yJOw// + FICQK4sajqWDN64/ct++rgOSRglEbCAlVgYAdtSKYHBACRXLOFYTwRHvrlnFCz/0XlCgHjCQQWDi + QAIUDnQExfayU8ytpA8XCoVCoVAoFAqFQqFQKBQKhULhbiGHgMybr9zweY1bpCReqRt4tzrlYhqn + MvrYdXHeeQv2UZBo9bjf99D4uAvPvvxnX/mb3/fsn9nfP2qlPWuURt3x9RH6yMqkTMZwcnVLltQ1 + VaGuQh1CFWREFN3YjeGRNAiPYqhZooObpE3SVm06n5Gwurv76mR1XI+D87764CPPeuLrf/g/vuDp + L++OYsL7z1rb38yMeHHMlEAdqAOlPM7jDkHWC4I4VHWzu/3Y/FYjB1gM4qZsypbLp1C4/zlhjuoD + rib6oEs7KxQKhUKhUCgUCoVCoVA4vfFhTbvfANC50mhsFSG4VHXfI4TQdXsuz8pBnKAdHDqqHOjh + tpgvsHByQhHcLxQKhTOQrAnu7ktN8KqqsvNHXddmNhqNsozpA3yghUKhUCgUCoXCfY3TQidhYRcN + uLN5nsjvvPCQRlZhaK3jIDWt0FZ49L7HveLyV+lxGxN40PniPBV/2CcGK62MYSn3zEGonQPwGOGu + KSVLMEIv4H1Y18N/c8VfHMfhJm41NstHNphvke3Yn1Ge1053Z0ZL92yzbxklNrCTZ5UNp0HzwQgA + E7C05XayXKSnFOy7iohhwzX1bKIGJ5LBDNfvlZ3GkO9IDl6+cHaowdjzBmZknKtT4W5gI1JORsnA + cMCZaLvpSkORDhvnCjn0y2bjut328MPr+6vkaTis4f7DCz2NGKWbNxNeW/X9L3v29z5k5VF1v1+a + argtEZxgvFCQp+XXKt98ePk+OWDoeiQCRmji5nXHv/Kpqz/Ux6lyBwz21rZtMjjcYeiEZSmRs+A+ + EV4EkO9g2/cxssXOedg/OYbrsn12HCtw6PtePYGt4em1W1/5x8+8XSfNzKZSiQg7AY5eEzMP2vbD + ZbUsCZSrAVH+2juZ9Ju+nw695JmvePj4saN+X7vVTVbHvSeFhhCgp5zntxN8uKubWJabJHLyXNW3 + azYbmW1vfE854RIbwYkXTzHbIavEwP1yvxqOf/shu+u5NlQhXbodn1iH77gsvoM7a2Cu2os7AwNs + BCMzMmO1Ox7DNrxjubODJwOZEZShbDZ8XP6sQB6Wn6WsKbSf/vLHjvdHEDgl9C0CwMw9kkoySfl5 + KsbZ4pqsOq9+5PO+/btrjIRCNvRNyZZpzTu/svfuy7v8rzs6Ti3PcVlEyppW5x+55p//4so/3qiO + bupGMlXVqiIDKAIBoUIVpWs9TXlMB85ffcK/felPH/Tzxv0KTEIVjJJEXsZpoEQw9uxS6ra4X92j + 9R00ZO+S7D89SBmxM1uERydWTnNeb0frf/XeP/7E1e/DWteHZtZ3yGFkD+5lnNae9tjnyGyyvzoo + DkICdUZYmMUyw/hEHdnCPYWZs/m0iLj7eLyysbH167/5v7/97f8QozBz0zYpJWY2sxjjN9vP8quR + na1zl7i7q2pd13l6QNZuBlC6xAvfKicqo9muxYdN7nxLB3xbXW3HYrCEpsfWFJtb2NrE7Yff8rzv + +MjznvuMzeNPuOmWC44ee3Tbnadp7L55fKPr0sp4f6sJVLkqTAkws6z2O5KwCJDhtDQsynHpEFPZ + 7nkyi0Aa5MROBjFieABkeOI5bXtKZzNqZzizcXahzs+vZdSd78z3ZD2ErEO7G/mmzTZEIwTkJjw5 + Da37xZaO7fXdTj8j33Exdjz5yLEIFUDOYojVaK5gZgfqimdtD1V3MDCOcHeGmVlwq5MdcFrb2jz7 + 6LELtmaPu+3wRUfWP/X87/rr516OI+s4tk4bmzSfoZ/D+u0mzM4Lv+sa7H7td7at7/qT3vk/FQoP + PKqan7lt24pISik/x1NKdV1nFXIAKSWU53KhUCg8cGybWbKARd261BPLaHV1az771Oc/+9qf/ImX + fs/L/uUTH2cmWlgMu/s96YSgHevk7sDXvnHDS7/nZf/1t3/72hu+gRCUoMRKbIOyNHmWyebtA/Od + MdupF+jc0RZ0WCQYS+/Wq73xt/7Pn/2FX2BQGnTN72Q/dDJrAgRIXSJgNBqZKsy6tq1HI2ZmCg68 + 7e3veM5zL//N//JfDh9b79SGo8olPJTzruIdDuPe2t7ccVd3WkRLlKEMJ3KCgZTgRMr4nTf97k+9 + 4Wc7922lcfdtr9N7uHawiKZUVUGEZ13/f//Bf/uZN7zh5sO35T5HG/TNh/p2j2pzoVA4E7njHe8u + 7mYPEgJLO59PRvX555//q//brxigaint5Tha7iUzy63C5EpECvzdP7zzk5/+rN0zYf8z7aoxdWbO + 9IZf+Pn3f+Sjw9iUENLQ5chgM6vGowf0KO8RO/V69tql4ZQb7i0UCoVCoVDYS3L87O55qCXPEMzr + ZZpEjLHrurvIr3jQsjRKhiq6Zm0+G3dtxTCGmVUI5tZ17UnvdkjXhNGQW77znRO2zFlOwGBzmC2Q + Ow6bsbpOgFowHoNjZx4I1YRBu7PWBuPFXWEw4Q75B6c/uxJes7ffnW3GQJAAwJKTQ3uvwlBiQYJB + wILV8XT/viNuXIemhxKMIA65097ab9kdfNf8AqKcJsdAYA/N/OEGtC26dqWqXI1OygXyjLi4KaUY + Y76V5XHk2Wzm7ma2XM9ms5TS0ui6UCgUCoU9gYDlXEMsQg0zHo/BDBrCLQHUyKTG2sHveM87j+4b + 91wHHnWpjyH2gp6HiG4R+91VPvsoYDZL7ko0xPO9eyXRHcLca6qJV7U7r20eduttlxzdvPj2o599 + 5qUffs7lb3nO5bj9WH18Czcdw9E5rc/DdF4189BMMZ9iuoXjUxzb4mNbk2NHceQIjq3/5Xdc/rHn + Pv/Jtx979C23PPb26UM32xWz1tRVhbgSSkl3ZFGyQpQE8FZwU4wvvOIKSABTGI/NBgdrH+ZU8Akh + qoENPAzGLsLdXQW73JKgdDLxDwCga1QIVZBxXevWFvoe41XevnwGt8FUeMf0omWmblpYCwMnxsy7 + 0jJ3cydJm9sZmwwwCIAJkmCIWoeNv+U5nhK435y++UUvBhNSvxgLN7gBxjlLmYAdJXnH5FLsDh6H + 12bwdNIhJcF7Cw41pCrgwL4Xvf/Ko/tXe1k2cPJcG8nO1iCj5Wfv+KwoMENyA0AC7btu2k/MHkP0 + kKPr2GypVagCCksciQFeVC5fGJdvs/ui6PKN7ULYTucGoLlJMWzdo2uuePnLH952/bGNUURShyo7 + iGhRk0EOMchivIpU+67bJBxeHV937j5MIjiA4vaZ7i7YE6fn+Lbn+qKxk3/mm0facdjLXdz76D8n + hywuwc6i225p3fvvS6FQKBQKhUKhUCgUCoVCoVAoFPYYJ3jw1tpbjt3QU4tg5opdHb7Mvi35mP8l + kRkhENCyHqPz5NEvvPB7f/QFP/fQcIEcXx31+3QOVlqdjB2aup6BQAzAkqaUWFBVVd+3KXXD/FFn + gN0pdxy7mqq7E3MIoaIgzjReWWn7XkSqqprNZs1sLsQ6N2xW++wh/+Y5r3n1835spT27P4wD49XU + 7ej8zjp7Sxk1BgBVBJYglXqa0+yWzRuNE5DVRDkLU+156d8rtpWvFuNEdyqP9sAeZOEMIzzQB1Ao + FAqFQqFQKBQKhUKhUDgZlsnFDiJWKOACCCAUzY2phozNEAHvrD7ROuQ+Px4zcwbJKFrqhebAfDEz + kgkIIAcGEWW+j/OIs3B8ft00zWg0IqJdIjWFQqFQ2EuyXPhynad8L+/M+UXWrSgUCoVCoVAonElk + wzZ3uCOE4O60x90PpxoOZP9FdhgtumoINmiBMbmRCwMAZ5NmZXPlymSClemx9rH7n/Tqy1/7P9/3 + pzxOHrQOVde0LFDAFBKyFdiwO2WoZ12ARIqVCCgQ0HnygOBQhRqmntbO0tuOXPvn//hHr33Zz6yu + PqY7piNUVYjz1HWprScjIidNfd+HUGXvLsB8YcC5wNiX8/yXRmW2d5a9RjyY0VIvPszIVwJAMjiN + 5S4xA6Di2ZvyFGJI9fNs0MEwOBPg4OSQGDWpGxEFeGDmlLqT/QSnrFbAACdiEMQCOan2qn1WNUno + BRHGkm3kirryN4Xd2MEdtTNvHOIpjKpx285ZGG7Z7So78WUHu53SL8oImssfKaqRQ8GaTWVVRLzf + 29pJDnYnz99IAhxkcDV2U6NAvSfx+mw8/Puf9dr/+fY/n8fbPdyUGOpwYQ5hPutqQcw6J87sIVsX + O1neX6VsYIj1bi4Io26zvemdH3vLE89/3Ln0qIPxXPSBFQp3CZ33gcAOgrEzOZbuvIvSMwdAZgvl + dwPzyRXSwkdwYcbMcIPxtuwGGy3UW4BqRNPptMKoCrX1yhQQqdGOReZNG0MF61LVHKWb//tH/+DW + 1av7agsCdR0KgKAEUBIQ+WDX2Mm2wa87SLChs/EkUhNX+v3f87QfeOrZl0/mB5FY6vHUOwsAyDUx + nVrKGkaWHRfz9RIHGTuCs3WkIgTt3KXi2Hna8rax9qTNjH2QQBGhZJZUE1wJkp9pBIDNwSDywLCh + huwRZJZ92j0CeWwlMRw7rGh8MXzhXgEsBFUFWCQyxMzNjEgAY2YwHGqWnIwJTu6uABgBDrjA2QjK + amTGDkqAiQUziIVFCdiORPBdz9b8V1vew8nZzYgTZWN1F0elYIe1VFW1EVptqAYqbG4dv2n92s/c + +And16v2NTHDoEhsSdA5VkakU5ceYiFWccunVV+/8rIfWz16Xi2jeZrGOjCHpL0Qs+2QJ3VmmA9f + tJMgW5hb1hDy4dTyOwy4e2DpUsc1AawN9aPZJzf/6S2f++Oj3eGwEmMLSFTXznplMJGSW48VGYfk + K7Lv8Wdd+Prv/vcrWwdiGsHNdE5ASgC5k4K2RW4MBA0AHK4nyqzexQJeaPW6O8Dqnn+NHBdvDqpR + ABvcJbi7GIRqeKWqJl0/nm3ITX/7wT/75M0fTgfmc2pcECK6FsZzjqO6Hz3qnCceCo8dd+epWgjW + 8wbgZBN4hAexZEgg40H/tnDnMMTdnSyPFZoZsTNz27bj8Xje9UTMserVf/u3/6+3vvXvAHT9Qp/U + 8+VF3++WD/adL300GjVNk39dypvmXvFtP/iFs3Xh9CIPOuf1osE7rEMIWdY2b9l1XV3Xe3s0vvsF + 2XbUs0OFzMCMwTANkvXQjGDoEmJQIgPlzIJGE7PV5NI2OK7Y2PrgC557qJlFT8/RNEo60lTn8zXA + wOQTFkeydqsmOBqwZzk1mEcCTMnhO1qIvhC2Y2cjC7Ytysgww1KbbNsKSAYtM3ay3epq+QHEO6X3 + eNiDbm9zLwIIGgLIYYdLRbXlp/gi8qchtWFnm2rb8hC75Kd3mBsNZ23wvAE7gKWPXX4AKgCkdsQE + cyN3x5jJk3GOURQEmKNigjtD1ZQCASBNoU+HRFbWu3M245ef8qwpxdtXV178vvdg/xgj9tGEvIbz + Mp8EAARqkB3vOC0ekgSHKWBg5ISTHSXihH5RuWSIxrdLr9zmCg84WYI836iXguN93wNo23bpo7Do + tyx1tlAoFO5zlv08yDP2AZDDzEIIKSUw1aPx5mwqMai7pyQxKvPxra1Pf/qz7/yHd1155ZXXXPv1 + paxub9sZn652D1u/tojZmEnVAWy27W+9+c2/8+Y3P/Npl3zndz7vRS960SMfcf65557r7l2nITJB + +tRyCMzsqn3bjUIcjyebR4+trq522g+SCHcwg9lbmJi5a9oYY2Dpui6Z1aNRp0lEnKCq5MitlV4T + grz/gx/4q7/6q/dd+YFbDt9mAMGJtgeVTtz9zrK6u/VyBwy0TbMsiW7eACAmAApsdd3v/9Ef/fF/ + /x+XPvOZP/iDP3jpM57+mMc8ruuavtcYRYhUldwZ0D7FGN1SYDEzIjLne24R6oRkJiLMpKq5fOrR + OHspadakzjhMVc1CXXWpN3WODPDXr/va3/zN2/7mbW/90leuXrasDHB1LOrbSZWSJQXQ98k9DwHi + be9+97uueM/3v+o13/d9r3jm0y8djaqqGgGW2hQDe9IcnLRtO6rrHLrsyHx27K5yp9b4V6FQOBnu + 9PHhBGZOKRnzMrGWHPfHXIehQ3vhthWCmcnJ2zl8M072fqWpW5uMZ7MtJ3n9T//UW//2rV/48pdm + nVZV6NJgciAhaEpM7Hc6G2THW3d8kuzeftdAuaoBYAmdJgD1ePLvf/mXPnLllSt13fd9XdfaJxFp + 27aqqvyIWfbn2MI941ST+FlWMNyh7plZlMDMfdsRUYjctUnqCJYENEl/8qd/6j3/9E8cpesVBMpd + VJa7rtQDzP1EO4QHHPcQQtP2VVURS9+1867FNzE5oHsxY+mb/QOBmU2VCF3XmZkwm59pdueFQqFQ + KBQKd8oJUTkRpZTy677vq6p6IA7qVIXgKZFEdiQHLKFpf/GCJ1/gyqYV51TUoRtKTiZgzWO7DFYg + sbEjLnLLdZnX6iw+bBlgDAijM8PQecVJqg2JNwb+f6+7FpPauVaw8KIPlnZkI9EwjkzYNkOmXT/u + 8Pp0gxeCmzvbeLt8E3c0h2TnPwoAVJE8j5hnVzaiNK6C9r971Sd/6dsvXjmyuQ/UkzKMgImEdU0k + gIKZFM7OvEhONr+TpIW7P/5t7d2c1U8GbslyGsMhYt/c+KVve8zv3nItIYBqS6CIZTN556Vb7Gmh + QLGzfXU6X+I7TmTeeb/KA8c516tQKBQKhT2E8oqXrxfJ5mGRFclh8TaLJBMOhrPjVWfFg2nSHz8W + UbWa2spAGCWIIzHgCJZn7gBDst9C5h+AwxNGBCgbOdAZwdl6VyHArAJcewBuVoM19fs8jTh067ef + vxW++OSLEhM7txIPx/FLr3g3VgycQECX3vviV082piOFIAEqnp5m/WqXxkkDAQQlKNwpmBP1RuRC + MENgsATrwTROqZPQbtW45tChS/cdxDiArDUnrghggmyb4G4bOefQl4EhvXJhmrt8b97PJ3Ckjohc + Qk9gZ9o9THBX18oxijCFmWtFXjmoB1gNYDYk4R5m0BxIxmGqjBsSQKwBbc4pzWmltpz0tD0TbciV + zYmdi0RgG0JvLNyyAc7FmNOB8+ybfnBxEM6XOfQ93KCqqhQEIEvppGM39TXnRzcNtqZYHTtz0hSB + rApGCA5xBNAwEpE/enGcBgDMOb+UgQAD0IMFEOvhBmHc89EoglmiKADUySWGeowDB64ZjQ9VzXje + 1wLTlOBVmDA1bd8S61CSDgcv3JXhBhCIHAEdGhJEg6s5jj/E9cpnf9d3fuj92N9i/9grNhisF+YE + JBhDBCaqEFl6sSNns+zIOt5Osh1cwAEY8kwiQm9UkUATdI755llbtx1Ks9rZk8XFpWd3Zm1UI8MJ + 7BAXmEkQIt10HFmZ3HLonO9/7z/irAPgemdBLVtuBFS+XZcWKcEM5DLJJtwmyN4bDkrDu8TOSuSA + Cjm8vxcDKgqEGpstECSEgNTncnCw7cwuXiZnMIB7+n1ZpMMXCoVCoVAoFAqFQqFQKBQKhdOAYe62 + exmCPx1R8pbnTdVdf9PNtF/MOgOE2M18GN1gcgalnXnz4qzJ6lCv6IERHXjdC3/u8WdfzLMVsQrU + OSmi9lC1wBRYAnwx6YSYCOZu3tPQ1W7uxkurEkIe98r4YrIqAalNlVQwmFklFQAohMLE9+lWiggv + eOxLzpk88i+v/JMbj3+hGrOzMaPrMVqhjamPJ0gtiMjIe/MQSBOBnKN70Otvv+7ig5rmChJyDn0i + IiJJ293cpwRZG3B4DWcYOdjzJJfBzdoXonlLZdTCaUS+o+bpw23bEsE9J3A+kMMmxUyiUCgUCoVC + oVAoFAqFQuH0hLBQGx5SrplAg0ixNJ1KELiD2G0PPWzYIRJ67ZpGq7U42Zii7ZHVhbKeP5jIlgJs + RLZ7yud9gJmp6mg0wh3mSxcKhUKhUCgUCoVCoVAo7AXLDgh2u7M3d/V+GAFMlty8I6Ixr0aiC8+6 + 5MVP2bjyC1dstMfSSKnitusmq7VpSwTybF4LI/ZsmEhGDsk2lxg8ObPGATsgYMHRrc3V8eSm+TV/ + fcWf/MgL/915B89Pm4lUYqirqkrWu+u8nZ991qHpdJ4FrbY9rX2Hbdi2fxjlYyDf0zw7I+cs40WD + LS7tzKfioTSYXJ3IB3HlPTygk8eBwcSXPFugsRIcbIND9yIvkJBcv/l+vin5WpMDPOyKHZ12FNxa + JQQQGZS5JIPdDeRgF3f3YK3NAACcOxUNnL3ucu0yGpQRaLcyuxOWCX9OIAIRmSciXyhT7GU6YK78 + lPNNs9ihMyeywMymSYRSaxPa96Rzn/aSZ87e/i9/MVpZa20zBnaptqbN6mpMfa+G4VYDsLPBBmf2 + oUQAwAElEKMNW7GK7/rw3/zQC3+y0ZVgE0GVkhFxjNEXgpU7cQKQlkmxQ7k44+TTXm2pOpM9cggG + Yx98BmlhgkIAnJzQNr0QxxCZkAjJeyhbMiEmgIRmPGtGx99x5V/e0FzTjVsb7ieW5TwcSGLkIBJ3 + F4eROZkvRBtFoIo6IGhFW6NnXvD8y574otX+UEw1+WARaGTLBwH5iWryDyxDmrILOciNnQ3kziD1 + XIgwdycWIjJS3GNNn8X+sbQyQvYDzg9Bs8HZEYMQT7T7KXV2cUg7vTAXf3Jf6s4QLZ+1DECh5gYw + BxERS+hSB7JQhxCrXrteE9Rkty218eCC6bmqOwOcNWIWCeK7ynOodXRCPcmWCYNnALsxiQ7KOGYA + gWMUM0uqXFNi3eqOxrPp3X/3traaqrSyyD53WD7BENB1LkA9jmikmbZr+w8+bO2xTzz7KWfxeZoU + /z97bx5kW3aVd35r7b3PuUNmvnxTzVWajChRAmFJJaRCEmgCAUINyIAlg2Wjpm0G03R3BBEdbRMO + aGNEEN3ttg1yi7YNBjM0CGhALeEqTWio0ghVKiRaqkJCNVe9ly+He+85Z++1Vv+xz7l5X40vS28q + 9f7FjftuZt53hr3PsM/aa30fW3bUMEgSQ3+qLrd2EIM6CGzQ3k2JV/3RGYCad05VJpPJzmJ7tD7p + /OLTD3z8tz72H76wd+d0FDQ2aslTlSy6QKImYgxeq9f8op5qfdX6NW981Q+utYfH3cbDV2x5FLEv + A+aG1fbkvz7RuwKpE6PeG4kBYiYiB0pJczp4dlIEQGZMvGi7ahRAuuh2mSue0h5OnZAv/vaNb7vj + 1Kd33CmuuIuwiIoxYShAhjjH177g+gkdDTqBJqpE0RqBbARwLvZgnNuh2FcMZmaw/d6BAAghqGpd + 17u7u5Px2m/8xq/9yq/8anZrPyjZ0zqbtMUYly7IZ31HCheKXObxsOnmGKNzjpmz91hd1+ej3we5 + sZwS8DCH5Tzhvq8rDcAg0rH3MUrwAcYeiAYmoO3WculVM0fT/u4Nr37G7t51s63NbvEY66b+FmAr + y1/hNJXkR/nf+sjB3v495WG3A3uMhTzqwh/rmwfk8ceiD/vro375CUezj+nR94hdOH1R9Ijfaz4G + 8o/KSrCxyqTtWJ1iQdXaXfPmlm9+1WcD3vzB91IHWIt6jCqoEQOaIjuvlhxXEpPzHugNqldvKzzo + xK3e+R/lwcYGe+xCoVAoFAqF01mGgwK7mFIIYd4skmg9GTVd9/9+/nO33nb7rbfeesstt9x55517 + s70cTFy6Cy8/r45SztCAud8As17wlkCeNektn/rkJ/7iz9/6v/5vBDztyque+9znPuuZz7zkkkuu + uOKKK6644tglR49sHt5YW9/Y2JC2292rJR7tAAAgAElEQVTeXj9yZL697aoLE13vus57X1UVES0W + C+fc+pEju1tbjcSTp0498NCDW1tbOzs79959z2c+85k7/vrOT9326UaiJlXAE8ixJZXBtfSRbXUg + HtbCD29zZqgI4JzvJG3N9t77oQ/96fve65mf9fRnXH/99ddee+3x48evuvLyZzzt6ZceP+acX59O + Zzu7BPPei0iMkdzBnqey0Uh+WMuP/CKyt5grLMY4WywWi8V8Pl/MZovZvI3dX91x595sds8993z2 + s5+94447Tu3t2hMdbweFaDCfyYtV0WT/6bd+8z//zm974ksvvfS666675pprLr/ssquuuPLwxqHN + wxvMfNUVVzZNszaeXHHFFYvFYz2RFQqFrxBOm8hWy0HmIdoCBsl5DPgSAVnQhC6ooIn3McZxPZp1 + TVXVb3vb277p1a+a1DRrEwgggpmkBCAbS5+tKaQcxQWQVHKjzxbzL91916/9+q//Nz/0Qy6ExWLh + vYfIeDxumibraq3O2qzYTlykrG6tc845F9supTQejx1x2y1CPWpFFDZrux/50R/9L++5KQIWxQWf + 23zp+tDf2kgvwv3NIwEzU5H8wVYMTJac9aNcTAn9hMIFP48KhUKhUCgUChcnzaIdjSexM18RG5Ba + zNPRWVtLyjZ1Bgg9uXDdPjbksvL+0B3ZJnnIitM8SG5UO2BU+VmTOlBbV9vTyb/+7KdRB+OQk9BW + 8g1039gY+0PqRxn4fkWMhel0s+pH/8bpH/vHo5X0BgJni0VTJAdy5NbH/8snPvrz115fxV1AA7GH + NZIcQIQu52HIaswVWF34AXcBACzrCDsjCJigTtG0zVFX02iENoKAumb/WKkf+1xgAdRCoVAoFL7C + WXG27j+clvjZf4khSd109Ib3vOujX/XC4/UaW3LpUerOrB8E9nVAp8/rAsP0hDMYaf7/So8a31Zn + cAmjR5RXNC5s+fXbX3zDzqGw61rnsdbKlXdtPX205hetM1FWISQGA36ogxhqrjTXTZAJAB8ARYzJ + c83OL6Tdrur7JtM33ngjJmPEhLp27BUwA6+OkqgPei8Nb1ebK1dBAlCFAeNQo92GwoPatj2ovLsR + upjXooEw1g5OIUI8ZgDwQAQbVGAMxn7t0un9Mmy5rg4iV/aJ9/9P/hOtpD2sdNDKknXoX4ZBCSxA + VWGxZ2yTySid2m2AEffHw5kTzQLLWjvDYheHxp1o7XxfEzk8U+yPmSknky7txvMO8mkj2kH67ABu + 1isws6oRUXCIQIwSJpPvuvGmT7z0lbzYusyFxWJvWh3WrtlBuzEK2sXBp6FvMDZDroOivs379AAC + A2xp3C6uOol3fcNLX/uJjyDMaDzuRMZ+FLuug4aqalIz9SN4ym2ek69hoGGB+5VPedFZ2G3oawJi + suAdNGI+x2z2Ry97xd9udkYyYwwzOEMZbCs6GVfWdsmQQMxwhpRi8F5H1d3j8Sv+4A9x6AjqsXUC + ZQoMGupV8wGl3A/iCUb9E12uLMyTWvu1M/0Tn4cBUVE5QgA6kBOjVhJ5WDxYf3kPGDzgo66dmiMC + 7R6mNRm7YY0GEDPS0EWnH59ncL4UCoVCoVAoFAqFQqFQKBQKhULh3GKkHXUP7jwgrAITUgPMmImE + lnpZ2r9nwTdDQGBLcoo31y794R/4iWPyDLc3rnTMBvEQUoMBWSOTn0iR41E0uM4cNtaEUZgGmm6f + 3HrW4a9+8+vf8st/+NathYR1nJrvrW247V2ZrIEYZgjO5aISggOTqQi0s/bU3kmFKETMeWJvZNRL + pV1suTRknIXLLIt19tNIul9LYpwNrZezbMXZ+qnIReWtU5RMC4VCoVAoFAqFQqFQKBSeUgyRJSEI + wEt9YQM5qCg8Q81XdRLAlEDnWlW7iV1dea590zTX0voHX/66l976l6AhNTtbJjxh7ePByYrhbdvW + dc3MXddVVYVBxq5QKBQKhUKhUCgUCoVC4eLBoTJOHc0dASDXja+qn/3Nz54+9MADnz1x21Z6IPnE + UzdLrXSYBNI+u4hhTLmY3BiUeqtLAIDmyv9s+CoI5M0hiTZu79a7PrrxiclrX/SGI/XViDUWVjsP + iQbaPHT8oROnRqNRVjfQpVElKSjX2kMNbNybcaoDKyBny0XsURrHwJbIFMQwIquy+JpxAuVVpz6P + zjxJBTNCBD2Kk+6FwRikS8Vk68v+FQCxKcwRYAYosYA1abSDiEbYIMnRG+ti8Esga2Pjgk+Nmio7 + ghoTqdpFlxd5kUFsMPFsklpy0ZGodfuCCNmIRfd1Q7KrutnqFwxQZcuus8LaSmMOCgXxuU7rzMeY + kerpHe3Zx2ieWUQhGDFe9NwbHti968bPvaNeH5G4tm0nBm2iH3PbqhIcoUogUyOoccJgQU3a61ko + YDBw5O7WL33sqtuvfOXXf09NHnvYGG+ats187kIfgO5FQChvmy1zYcmYjNi80+wUrnbG15PhQpdb + NEd+HcO0N0fMztbq8pogMKixc2OYdBo7p0zeE43gubOqcjM/b+v5e27/o4/c8T6eku8C2CtH4Q4E + YyhlD14mI4IBqTcTHuzrRUGC9bDZnuCvufT6193wvePuCKwSVoKChKBeAaPewPliggG10ycMSJEt + h5kU+bhnMiZiImfyZK78NAiKEJtaYhAZ2JQAMtdro/SqMedDeGS44urSYB3GMA8oSGEBQL7hGiFy + EjMyA9QHx7AoKXWpcp7GBHCrMXUNM8M7hoOCjQVQBtABcAQ2ckJAyMJMwlBKyUUykBGvSLEMZ01/ + 7py+2cSwfNY4hRALOQMribGSS7Gbh3rMFZ2Ku9iUm+947+333WzrC5DC8vWhMgBIXhUKcphHjCay + mMf10dpk78jrXvm9R8fHtdEYY28AYL27xtnJciZ1Zkb5rM/6PuoMZKh8PZvNeBwWXQxucmL3gTvb + T//WR/7Die6+6TTUPjTtnCu4qo2NsZhjOALmOmGnW7h67bIf/+4fHzWboasgDudmYsgBwXFuClNT + mJmpqfResqREgKqpmXlmIzcZj4Vioztp0rp1txVPfPj2D7z3L969w/e21Q5qVuJgzhlXDVLqrDKn + blIdfs5Xfb1JHvOIiIAr2KBDS90gH1q8k58Ae+T9xdgMqmJmbdtNxmvveMfv/+zP/hwAPfjlh4ic + c0SUUgLgvQdQPK2/Ylh2JRHpcHzkC2MIIRtaE1H+kL1tzgekAqRejGw5JBEPcoPSV+JEgFNiMXj2 + oc4DWxgCAZZggvseRCvv+caXHZvPvmlc2d7WRC6ah6nCE5EL/5SQnALwCgaIBKTzdOpIPcXf3H3l + oc3bn/3iewJec/N7Mapw7KiNJoCwN0OrrAmEgL56kBTIitkMsMuDJRsGSg5GiP1H7Cv/5QMu/8Ff + bIPcQqFQKBQKF4YccrGVmGqX0mQ6bZvGV2E0mf7vv/Rvf/Zf/M+zpl1RwiYihpnBHm4wvPIhhyV0 + GKQ84bso2BODUtLlFplqXYW2i1+4+66/ufsuT5xMATiQkJHh+ObmZ277NES993tbW5PJJOqFGSev + T9fm87mCgvNVVSns1EMP/fpv/uef+mf/FAQFJTMAy5iSAAYwyGDJYEkJIGZVPcMWe9LvMDVQ7r5Q + VbHrmth550X1r+6847N33pEb3w+5wz/w/d//Mz/908eOHNEkizaCNNRVkgPEncjgl4/eavnZPIls + 7+587fOfv9wwXtE4ZuakOcq7YtnCvaz58PP+UXfQ4w39komoVwowQycKQFUT9K5777vvwYe62BH2 + Oy4Hd2r2SROAe77wxcr5/W25iAQHCoXCOUSfRFDyrK6dnM8XrwuyAWamqk3TuOCcc898xjN+8a2/ + 8KM/8ZNMYMcpaQghpkjMTIPX8v5/fsTSAJxZfEJVHzYDoqoxyb/4lz/3ra95zRVXXD6ZTrumSSIA + RlWdUrKV5bJBL+IwyFKEaHkrIcNisdjY2DCz2WzmnCP2SQVMs1nz9//Bm9/34Q8ZsLG+sb278/B2 + vrjJPQhARIj7UO05Z+WUUdWLSiSoUCgUCoVCoXDxMBpPYpd85WOUKhDa7p9d+7wrW64EBrBBCJ2D + AUEPnFlqBDXNOdVuCGQp7dsZ+qXgAEMANnhgTGiaNB5N1fiE9//ytk9g4sBMtiI3mYOxq57WhceB + AECgOXGMACQ4j5iMPYMJa9OTI+dad9RG2s0aAgw1wQzRoU0IvWisLiOZX/4DxmAfCDII4VBdbTdt + 2pn98LOf8/YvfAGmLVGVzfgesbKcHbtcDtGQFVAOiEKhUCgUzjtm8JMx2ohqvT1+7N777j8cmzF0 + ElkJzjSP8QxIzGTkc/ZfjwLozaRPXywBfPDasqB63BC29y7p6q1me/PwBtpuhDCKYqa0LJXS3k+X + 9ksTVUnZwtL9N0UoULmQkGI81QR/z2jtRe+/GRuHwITxBICL4oNPouQ5j1nkdDfrfujVT7iSwAmY + 0ddoeAUpwwJSGjtXqVQgOkiJihIQPKABzO3OscajWcAdjsAoAUDna0NbsQKI/YZwILisozX0Qd8j + vZOvegKMSeGod33e7yDKOmDq8sR7XxjVL83g0Y8cDWC43ta6yl/pBNNJJEumYFTBKYAoj+Zc/ugY + IYwg1iRrEQyxrUfjuKthzHAhAQI4KEQBhcv/QwEG5TqgCMDAnEfF2dbCQHnHXaBVS+wzhVWVPTRp + 5ZnGNZqIzeMvePdNn/y273T33X8EBNmJkM3N6e7ubLQ/J7IsICGD5dkcp3DoZ3bypsMwJb4ktn5O + N33d81/1sVtwNI03Nq3j4EbBAIXza0kbRw60UrJBgMGvOKz3v9TsJ80dAKAiQOGICDB2RO6ml337 + C++fb7YzcMwzGU6Z0Bckjhxk0SkQxpOHmjlDDjkET9rpiZYefNZlOH4cbgQ41D4OI3TXK8vxcC4o + iBMhDTbknuCcou83zodPRwxwX1M06R0s/MJBGKMafpSahulAFa7oEgJj4jgk+J30kdd8x0v+/L1A + BwkwwDFYI4QRvWeAOsARH+h8KRQKhUKhUCgUCoVCoVAoFAqFwjmHVFnuuvdLIFNVYpJkcEY81C+S + wtRIlZHLQEee2912XQ9fc/hZb3r1W47XT6/n695XlqJQUooEJSNYxVrBCCQ4yJTNQfGeU+rUeGP9 + MMnJ4/XxH3r9j/zan7ztgd0vTibUNFLXsIQkqLwXEWbOe4pcmABS1RMnHzQTkJoqmJghUINdbOYm + nCsUjZWSEYxUKGvuAWC2fj5NwYP0JYpEVeHLpxxDhUKhUCgUCoVCoVAoFApPNYacYF7+RABDkvYO + IoF3JvX9rG5teh4EkEb1GMCJ3WbEtN4qHjoFUuGl4poaGOcge5iZU0p1XecflwLi9hic5dUXCoVC + oVAoFAqFQqFQKJwZbGwCIlJKiaKY6ZyqxdqV4enfdcP3XRqunPhNgo8ixqgYXZe9U5dZPdq/stW0 + 7Rus2iC3QAaNOq5qZut4Tof1A5+56T2ffufeZPuknghrVdvE2k8n9fp8t61Gawov1HtaA9ldFGRw + lk2mGWAjNpAwW5+vdg7xCq/s1Dn1rI409LajsN5sm5Qsm+N6sosu30n75sqWvfk3QLZXNDNTwMwE + bEbapfZJrMIoS9Gpcs74VCNtpDOPRGbEzN6MiG3FurXw6GS9CIMkaQAhFrOYvZaXuhVDyiZ4OCPI + OOsAAlBSYe2dnxlgW6RGnSjObUItBvOMpX66kS4d6GKMdajYvFNXy6g9FTf40Cuv/7ZrL71+ko6l + U92Uw7R2kqCCpcGLkoKyvgyTeoCNIKx530l7ufbkokzb9/zFu26755OLsIOpddKmrnOKfEoa2AAh + CKkOujGrMdnB4/nAVxMjBZKRGll2eVc4y9Ic5tmY8zv6K1hNIweXVCIieRArRBnqPOa61012b77j + A398yx+EI9TK3LMjY1b3ML3IpcEwPcJTxBlqZdoKz1y/7o2v+geTxZHa1mIH4T7l1xmcOtdfqexc + Xz8PynJPs/+r7neTYvAGVjUyOJAkO1iKaZbdye8mRJZSR0Q0KCJxvpENF3YlnOvA/bC/g1sjGYwH + M0Y2IzMyrWABYCKCUuW9rwMHlyhFTlpFGmuqY8dti07Y6rquqsrBaTI2T8ZKrDAhU4YYzIyMWRyr + Y3VOsyaUGum+yXa+9UKVdHh/RHMawRypY/VenFMe/KI0Ipq3iK5FwxO5f/7Fd97yu3F9Jq4ly5cx + FmIlZmOnCAJrMFnDbKGjsUMTXvKMVzz/6m9Ew23bEpH3XlVVlZnPVno9GdgoeyoIqebZIvNs3ozC + qCZGct0i7Hyp/fzb/+Rf3Ru/6Kee2C+6tksgByEjgiOQgDpsVpu2w8+59Gt/7O/9D/XOxrjd8FYT + EVGeHuKz/p4tCsyM2LwjH1DV7EdEARbEOCZqhRt1beQmuvkcp/boRJru7dT3v/cv//hf/95b/++P + //Z8bWs+2pWxdaZNmwBXuZHXyqkncdS5Zz/teYdGx2PTOTLHEEmwAKsA7uW5KBtL5KFR4fFY+rLn + 4zl3n3POjKaT9fe9730/9VP/Y/aOce7g9yOzlFKMMS82m9CcJ+eMwvkidygPOOeyf7lzruu6/JuU + 0nmyIMrGaysw4MAeAfBZqI6gAksQsFFVxy6pggLAQKvYmWF7BydO/N6rX3Xzi6+/frb4uvli8uC9 + m9J4K4fuU4ussTc8v/cah8ZeKe5e4/Wqnb3rdnZe0sZP3vCSd7zmFTi17U6dxGIX3YJSqtU81AGA + grKKndowSuo9rU1hfeShV7ZDcv2ARU/zAyz3okKhUCgUCo+Nc65tmqRiZjHGnZ2dWdMaASAjVpDA + kmmCKcDOPWa8cBBpPqN3AjmoWBIF9d4bxODgFl0EgzwL0JqCyQhd70SMnZ0dcqyq9Xi0dmijk97W + 8fxH85qmSV000ZRS9mucTqd785kAnSHCBBAgZo1iN0SXmJxz7Bwzgyh7WuPM2+3g78QsIllXO0rq + us4Adi5KiqbwnJva0EsnGyCm64c2FPBV8FVQs/liceAGUqMhMm9mYFJC0zRZ03zZOC0QgQgkMgGy + 4vYwsYJ+u5fH2/KdDny89f/XzLAvAz/Ew8mIO5N5bBMM7ARogQ4QRgLmmpzzecLvYjZJLRQKZ5el + F6/qhTz1ReTCauuo6ngyMTM2aJJu0bzp777x+9/w3WywpAyKMQIw1XOxncwM9IMA55wCO/PFj/7E + P4kqOSbC3lVVtVgsAOT7zvLuw3Zg27nzycPmMdu2nUwmOzs7O3u7vgrmmIIXs529+Rv/3ps+8OEP + MQDi7d0dck+xKI+ZLfsxlxGdh5WuHo2rFunnYdWFQqFQKBQKhacODDDBKVAFQtsgpo1FnKa09JZT + goGVdEgYOxg5Yulsf/zfG5kZ5zRKyvO8hMRIjM6QDCM32m26bef++Wc/jY0RHIEZGCaEDbBznrn3 + lUYfSBwyRhwQMXJkqtlx8Bduv/VE5eedVFTBB8l9IXCe4ZDT4AEIoc+DfVLHQ6Y3ESRbOvaZ4VTT + Vc6PQJdRhTZBo5L2NpCPxE4Lz9tQlVAoFAqFQuE8Q1mQCYZ6gmr0svff+Plj64vjh1oHMgQBjBXM + mqPW/b29L1uj/Rcecc/nvjzw4WHkx8eZBWkPw47H9FU8OnRy92gbRxo1NoAZKVm/ZLcMnhvniLpT + UD9/CyMQqKpHM4lKqiOerU3uu/xqrG1CFfUIBE1CgTWJmQzFHkpQhrr+w8p+EYMYg6d1bjtmoG2g + CezcfDHyzp+5wzP6jW+67DKcxiyT7a13v/bbkBrGUMcJTggdOA7rVUCQN6bvwd5yuB8oquYmIF3N + hThtnEXLHWMQBpPo/GQxpHQCACe4DpD8fQGIkGyisC6ZoW3lSeSxzxcYsd9cRDQRXoEUJmyCZWEa + 5T01ADkazrA+c3Tocz1tjwgO4GHu/sBPGQaoiYj3SLE1AKN1UMDlV3x2fePBeiJVvRAxYLY7WzZq + biQhyLIiaSXDNtfvYKi6beKijosji72vifEjL/9mnJpjt80lTWmGXJ9W8WjeLPKihxzdfjXeUBly + avZ+Du2wnwKAERxcB9qZ4eTs6lOzY/NZrXHpNq/DI4ARYJQApmreLFzAdJ0bwYnO7vL11jVXveFP + /gR1DfZJ0AHdal2eZY9zHoq2cmWh8v5TRX+OPLKBjfquIQJqj4pOzRcGDGU4B8CBCF5ENc4Pp/bQ + 3gxtA+2yqQkAARtUkYAOiAAf7Hwpj6mFQqFQKBQKhUKhUCgUCoVCoXDuUQKC3XX/l8C2zNJHnzyf + BcF6T+shk4TTQo/Wh4/xZd/3qr9/1eSrR816WijUhKNwBAkAJ5VTR0bnQR+STCDKvpotGk6YyuSZ + G8953Uu+bx2brBUZxlVlEejgQKRmJqoGUssVh2TssLOzA1KirNmIZbHAxWZrTYBTdgan3hmROpgf + BEJZaCk3qWRZpfOiLoEpPJKL7ZDLPMUqjgqFQqFQKBQKhUKhUCgUCgBgcJaNF0hAiVUYHDiJtWQY + 0Q1/+vsPXXnorjhH5c+5BlLXzLt0ZOKm42ls2/HaBCHuoUsrCc8t0J6D/GHvvZmJSIxxKep3tldS + KBQKhUKhUCgUCoVCofBlo8pEIKdGROTI17EetWvXjK/9wdf+o2l7eIM3vXFKmEymMOS8MQCgBE7G + CZRACjCs6l/9FwAghMoEzWJWjWmvnc9sWzbjTZ/+0z+85bfT5u5Wur/aCG2XNHpSrsJIiYWRrZEZ + yZkG1aDw4p16p56MFRC25KJwfFS7zbOJ+fxSBIU3YiNYn+CYlCX7bzESIzIE53p7ngTGRjCw9cl9 + Cij3luFKRCAhUrWuafcOuuzBJHtQMci6BqxdaiOkT4okKpGxA9E1C0gCJSIoG52WRrevmECDdvmq + nosNHthEIIKSzbqZOhWcE6X1h/MYx3+Mkcg58px80OpwOEozd9xd+cZv/pHL3VdvhE1pFyAZTxFb + 9RqcMpmCkpEaWOHZmKyXzACBejFENtLOp0WY74TtP/jQb9+5/ZddvatuMd/bXZ9uAFCwsOZXf5SC + WB1r8BKcOjY20pyIbAc7f5Wo7V/oQDHLlmQ7ZAMpnMLtW70ak5glKOC8D+wgydpWU5Sx7YWtm7/w + rj/8yK/qWLdm25O1qpM9UAQZGQ+WfkBW/UPifDXOTswGAATU7F0zusxf/eZv/aHL7JrDdmnc0clk + LYt9kJET59Q7ZVCy3jjwosEYIB5yxvOV1liNFDwkkhtIjcjB6Ekowtv+aQJiTdJytuU0ZQNZXo2C + JAupnI29epytYQzSLAzNSfBKDMv2wARjmAdqs8oIZlaro1axiNq1gi652PrY+rb1bUutcPKekVRm + XWjosF+vlJypU7AFaC1aiVURVSKnbMbRuCUkZ+qUvTIbwVj7fdfhYtK/8i/ZOL9g3uANNawG2Jk6 + tIwFUUtQX7kmzZJfzNyJ//KxP76vvXvhd40TCEosxHmZZJxP7WzsNKpIZtXVh6597fP/Kz7pWQIz + e++dc1mFn4jOnk0v9ycTCUh6c3sN0HrWRK6CiHS89xe7f/ZLN751vr6dqrgX23kTQaGq66QcOziG + A7w5juN2x195/Lrv+fZ/ONIrqD0acEwR8pUnkZyLl3EyjkpdRNvqrNVZI3tN2m2w22B3wTspzHXc + 6biLo2YeTt1nd96+dcv/9aH/+Iv/6Wd+5wP/8T75a12fPzi/f5E0KQAQwYiSSoOOgvcWfBo9/zk3 + WDeuKBASkXp2sAALMN73HzXWku/9RORZwqVDTP6RmQVE3t18880/9mM/nq9Rjp3Ik5m0rKrKey8i + IpKNjZ07sJxT4eIku+Y45/I1sG3b/BsAubtDCADm83ld18u6rHPFvk0aHLiCeiSH5A0+wnVw0g+I + YOpAgOvAQvDekwoood3GbAsPPvj+573w9q/7+uff+8Vr0zbPH1Se1yNYOWyfUmTRSSM4gz/9ZuCy + j5+L4L0Ou3V78spTJ170wEN/9bzn3/Q1z8M9WzixwC7QBjRGhn3ZQGO3alGdP3mFU5A6aICGrFJo + ClVoP27pPFpXlKwLhUKhUCg8uhU1AGbuum48HrsQtrZPGaEKTgwCE1MwsXPOe18FEInKoy9ldR1n + 8gKW4xp2zleVEakhJiHmPCmTYy5RLVmvryxANaqJaH19fXd3dz6fP/pWHFDj+8lR+bAxXRvXI1JT + ta6LxlSNRoZBU4ABz/CsgKiRYxDUVFRERWFGANMBGu1JvVzw+QM5Xq5OVLgKICRRBeW21Rw2B9rY + sQ9dSou2bWNHzq+tbRy0fcwMagxikKrBiKjvUxu8q41p+UpqIApV5UNQyyLYjzhqbeWFgx5vp8OU + W8MFrzAxZefyzIGY5c3juhIDeU+eF5IIZM5n35rsHfMw/9RCofCVSkqpD/mcN09cIgBmoLz2CzuV + TDSbzapqpAoym4zGSPLP/+lPX/vMZ9Xe59lF7zyIUmopLCf+Hq/+5HH/uPI1sxzOBaCqIkIEcvzh + j37s/3j7r3RJiDj46tSp7clkmk2sh3lhXnldXAwTsgCweh9xxMw8Go0mkwmYFdZ07V333/vqb3nN + Rz72UQWMmJmJqAqjftfOvWjU2SVPBDRNc94O6HzqdF13ziPDhUKhUCgUCoWnKMq+CgYgJbTpJ6/9 + uqkkhiLP9gJsnHOjH+Y5dqaLJwD7eqODiyFTdhMc3P7ytLIw4EHMpJxc/QVSTGs4l8KoNxLLoTXt + H6j0zB6sCpkhZqu9xZ0DFMwezqOuUdHPf+4zMhm3ZrMYXaj6rlEFwIb+qBjs9/Ivn8Q2YHW6fyDU + HAAz8ambbM2wEFhyS91ae8TrdHR4leOhUCgUCoXzjcGRgSyxk3GNy4+84uN/dlvgrWkVvYJVGEJO + UENrr+ZNKJv15vqywbO2zzMclkorE6gH2xzSjppdLNQWhMgQTbEK3tiEVYbQNGcTa2NW7n/R/6kf + fiicuLCI3bRCK3Yq8YOT9e/8f1P5L3YAACAASURBVN6JzXVsHAH5thWqggDiQcF1FgF1UGfqVV1O + ZjQA6ICm9wNmB3iohzrAA22c2TiCZojNWtPCYpPsoPmNh9YOBaYk4IjLgKNtA+s8Yj9LDnh4QmBQ + ACqg6k15+8QDy/US1vtde7DrHaBz3Vlf9dR3VT/vzCAPCqBgVMvyhRoWYBgcgknBCiSgYyAAlrBI + R2ZxpBaYJ45GCAcdUo5CqPboWdv2wVd+B7pZgx1xqjWU4JZ7Rwz2sAAEWDDyZoAxIRACgcnQlzlw + BCVnqBQ1tDrw4w5UzAfHzAb4EOZdFHhsHMGhw2961zsfOnzkZHAYwXvUwFrl2PoDPjpLbIlN2JRW + J3d68mfvMZ56GoEQ/c7WFSe2/vzlr8XdDyLN4MHrMAcYNGFcT9D1z0r55AIrVJESJJElQhKo5KwJ + Qy2oDZ2lDkk0olvgwebjr3x9mJ/qQisOoP40MaLIHBlCiGJ1NdLAyWzDA3MlRrps488vW3/R+/8U + m4cxXbcqiPcJMMDvd0pOHQEcGwNQD60hI2gFdauDfII4JEJlqKwve6wEtUJkDr8HbkeHJuPxOKV0 + wBQFdr5uNblxzQQgjazDokWTYAoHYQiYEBw4Pz858MHOl5KfXCgUCoVCoVAoFAqFQqFQKBQK5wOl + oA9t36+UxISJcpq8qg4TS72ntRGM2KkfY+wX4+94yXdfM3n2RnuE9uoj02MiAkpGYiBWzxacBKfM + 575EzkS990lFBRVGl0wuT/fxS77qFd/0vG+x3bBeb8z2upEbj0Id2xiCI+6LApblPMy0WMzFBI6I + yEyWMmgXoYojG7ywV/apclo5rUnG0HGvTwXP5p2xy+lkJfvnKUs2Vr/QW9HjL/QGFAqFQqFQKBQK + hUKhUCgUDk5OWAcDvcoOAyrmHAkA77A2us/h0vU1216c820xmzDB6OSpvSNuNPWM+fzQ+ppAZcgw + zh4IhJWiybOxXjPL6jBZSVxVl6pDhUKhUCgUCoVCoVAoFAoXDcpgAquRKZNzzOyItGVn0ysnz/rh + 1//42//439hId7qTO91sMhnHThSKlZJsw2quHmeDw+xuqwQRARsTkrRhjHkjm4dpe37izz7zp81s + /qZXvOXkth3duHyxt6hG1Xw+58rDGEiEXCKfvXuzwScbDS5qlJSUCaTnUp/XODHIqNdryIa1JEpK + gIFXJJmVrLO+fv1iQfswHQA2SquaXkRkakQEqBkJJFmaNw3cQbefs4/v6d2grXazZlbxcWY2NTK6 + eJLSLmayz+XefAYyoqHJ9rW/WY0Hh18lQ5Z8OF1FTpde72Yws0W7Z5TMzpYX7BlhwFJn3Aij0aht + W+dC5evYdNPJdNaZqbu0vvrN3/aP/t3v/QyoeXBntzqE2nsRZR0yirOfrgGkMDZWo97PGwBMjaCs + yaFLc073/s5Nv/pDr127ZvzMw5cfm+8uwMyA9Fb0lluJjNg8GecWy6vIjcYHUcZhGEwH58Z+p0EM + YxBg2avXsvYJkxIgykpGzgMqXWS1MPJW60m++/Pz237jxn/Px3Q+263HYdHtwamxKXqZG+rd6POq + AWhW/iADQxXJqU9zfwlf/sZv/4eX19dM27URj5Uttl2/wcNeZ99i5ex4fxFJnOcu4nwfyRpGg/al + mRExkTPLV2QsHT3PkD78T1ACmRE0pc4gZEbW6/5z7x6kveHxOSYrMdm+7iJgrMSsRmTEZiAoK6lS + BLWdJjd25tPOYutLD33p/u37Glu4wKO6Pnro+LH14xNaG1fjUT3VaLttU5EHmAGDGjEN7jNKBlJC + Aiz7iDt1ACupAdw7Wz8K+VhZtovmOzLlU0mcqVNVUjAZkl/Hot75wKdu/NTnP0qb1Bo8D3chY/Td + 3Xc0E9CCYzWNl77uG77vaHW5zThUQfuplX2DXlU9K069g0c8AOHeUIEBKCGM6oXudePtj33pA7/2 + 4V/eqR5yDgiOk6fAzgfTTqOyQ3DcdTpiIqIrr7riu771daNRNZ/vrG0c2o4PYpyUIlk2lT/L7wAc + SFXVJIqIRrGUNArEYE3XzJvZ3nx3b293e2frxNb2bnvq7q0viG8sRK2TTLrWOiOdrnmxRAxTgmOC + ddIpUg0/6uoj9aVPu/RaW4TaT6xLZsK+Fg0AM2IWC80DJAynbeGxWNpaLz8wM8CO6bZbb3/LW/7r + pkkARqOqabont4qu61JKeWoymx/ndZ3FvShcKEIIMUbnnHNOVeu6xmD2s7wkxhgnkwmA2Ww2nU7P + 5eZk3z2QeSiI4fJsOw332qX6mDGoH5+SRI5AOwd1WMx+/YaXPzfq15zcOdTMqpoWjYxHgGGvQVXK + R55qZEtqgrL1jy1ZstwD1QjzuXpCVRNIj3ag7V2S2eXrax+74SV3T6eLzcvf+Ed/BBYcnmLEAnag + XuJ8dR0EA6MfhKwIC+ZPpKBeHhGAAsUbvVAoFAqFwqMiIqGu2tgpsLm5ycxtFCaIgRyDKD9J5VxS + YrbHT/W0YQz8RO+mSsxEpCIqAoCdc87FrlsOnqn39TQAZmBC0zQishfnG4cOzfb2RlXVpSf5tPhl + 0nUdad4wG6+tRUkxpRyXozw9koOjBDhm57XtABAITFAzszNvqy/nPXWx7xeAQRw8GWKKmlLektz4 + YBCQFIEgIkmFhqATmbWxO+hTNGP/SV9ViXszzj7YlyfRsmXrsHkG62IHAATnPRGlJ4xznnE7ELOZ + YTkXM3yQlPrxNHKXQMzIsTFJ7Mj7lBIM4+BjTKqq/PAAHNvBRb4LhcJTATPL+je9sfQFmsxNKV2Q + 9S7JdR+qmudRJEVy/uorr/zlf/tL3/2G7xGRZJZScsGLqp29rXXO5RHI8lYCQA2daO3p53/hrS94 + wQu+6Rtf2nZxbW1tdaq9n8/KG/9UuD7z4Eg3m82SynR9fTafTTfWP/v5z/2dv/O99z/4kAJEnEzJ + YLC2bcCMlfHYI03RLipomNfPIfrZbHYeumX1kJjP58ysKTGtmMMUCoVCoVAoFAoACF2XXMWIHbYX + VyWrKAlJH5skBuAVRkhfxjwr7wfA+iQBHkJWOnwhfxBFVCXH21X1tr/5HMa1hWqhccLODWmxwwLK + 2PZA5HYzy7bW4Bx/buZtmATngOkYIveTXFWFiRvNF7sbwTPZbie1gxt6EIAwAPgvOxGMDDwESrtO + vYMaTLoNhP/puuv+xZduryp6vOn9HPBcSUV5Kjz+FgqFQqHwFQcpTEBk8KmeNHDTo0df+cE/+8RL + bhjHrhawqcIpmEld7xjdJ7pTPzcKoM8qzOa+X849XQlmcmjC1KRGMWKASGKyXGmTNxlgZayElA1Q + MHL54TLBlS1FTQraWLuH6m+86f3YPITxKEVhoB6PDGjjogpBe+fnoXiyr77h/Is8IdxnLfZ2z0yE + 2LWhqggLwN75ylc/d9Ee2hildnbQXd7ZOzUKgVKsaiCm0fZJ7M45LMABDnk4RfA86GW5odAAQ4kf + 9UbU/TCbe8deYGnoi/3B1or1OIyQHRLyH3tn4qFHjXjZ4A5AO4N0N776NV+vzsUoas5XmuLButuY + wVNyazFtntpB24ysMmpb1HU2q14KgtH+KFGHne33uK9m1Tw2JvCTH1AaGAo4JZqnbuLrUTVRRadQ + 5umxS1/+/ptueemLn7E1P2bGhEUrde/grv12IrtQswIAc599vd/InSCm5BijmoNYvWhG99734W96 + +Q2f+iB0wdMjyVgTqgoGj7DfQ6clytqQnQKgrxvqfznhiHYBAbbbD37jK648ceLIyKzT/FcCGCpw + MMpW9AyCWUzdZORtniLAa/Xn9rrXf+ZTmE7gg1CI0rKrew/rpVDb0CP7j3N9esRyb1dbdqhAzXWC + ua5KJFQEiYiyvrdot7Zqz5YO9liiKQFYNG1VMSeVnZN/8rrXf8dNN2EzCvtheo8NNdACvO+ccQbn + C52fGrNCoVAoFAqFQqFQKBQKhUKhUPj/PUbW6Gx7frKPJZsS4ED7wnl5UiPP1Cg7qUMzeuGzb3je + 1ddP0mZIY2/U7M5GE99qCwDwsABzvTLSOTa1JoDhiLnpuslkgtjsPTi/Yv2ane2TL/vab73zvr++ + /d6Pk2f2lVFUIEGIeukuM4MjEzWTlLqmmR+CMkOjijMi6EWaTaVZtE2I2JDVEbWfzbBeJc+YAcpS + gaXi4SnIsnrFhoLoCysmWnSJCoVCoVAoFAqFQqFQKBSeagxqxctEds6Zu0RQOFUIgauFeukSYlp6 + sJwjqA5IMTbpsA9QqZqIuWE3uqnrOOfZq8N+WvbZSiJeSgs55/b29iaTCTOLyFmxWygUCoVCoVAo + FAqFQqFQOFsQ4Mig5lABoqoES85Ugc4HXbt24+v/8Wt/4t+/89+E4HdoZxE7crb0oURv9gwjXaqP + AZoLy3OwJYp4h9pzu9DReISR7O3tVRujtjn153d/ML57/qZveYvOZG262YmEyplS9hcFCSHl0JLB + A7y0X2WoQlxvXBXOnYixsOZ1GIFMnLGSCieQQBjwsBFrBWNAjGXfP+CiY3AcIGV1Stk0mRlERNEU + 4M5SExs9WMYWDzbAg+xCVh4gi9LtzncPeTF2iMnBmYCI7KJsnYsEIySBEe1s7wAwiK22l7Fl9RND + 36HUmz0vv0LZsXjpcm0gRdc1ICUlhjvn+YCDpf1yjwAo1AUXY0NK3nsTxEYcfIDjeXvUH3/LG/77 + f/U7P3/0UL0dH0qa6hroPKwCqVFSTr0diTHIZYP5wcMbAsA4mq/GYW9+ilr53Zve/ubv+MfM3jCa + 2hqUlaIN3tVm7NTR8A4omITUeotdPXNnazJiY8rXQ6gSADUwiLTXqWG2fGqowABlDgojY2uFBVVd + SUgP6j23PvSh3/vwb9oRnGp2qhrey+6uTtY4qmZvGFJPxi6bHw9B7P5PxgQ480Eml46v+c4Xf9/T + D331aDZ1QpIW41HY2jtZT8YwDw0whqmxGkcjVVR8cZ2RK41Pq8Imq7mtRjCDxtQ+SRtdQ3bUSakz + kxzJJyPOKeynN++5xTyQvRj7uyqBYAyKgIKNTBKrIiY3j26XD/On/upjH73tw1984I4F70poOlok + dGyoaFzp5FA4+rcuv+55f+v5T7vsWetrx9vtcdCRo0gET2QGJa9mRpSoz/F2Bqee1AFg4v3TDZrV + iFbgfLwtL/qJxchgIIM3zYsCqSgWNJ/XOx///Ic+cOu72movioSKNJszASCwgqFGKqTmIC02q0Oy + PXrdi/7udZdcH2e2NhqJSHaSAMDM+TPz2brjsxCjt/QGGcMgLIAQrPN7H7jnXb/70V+bT066WrsF + quASL8yQugUUTPAEhjoCOEVKD8zv/D/f8YvWeJ3T8cllbYoN70WXsuUPqWUpLiU40PLzk36HcbNQ + MgKZAcrZZVaNVFWyuTh5co6MTcVEu3AMYl0nXVLAnPcVKaV5DN6JioqpE/JiAcLgaONm/Jxn/O01 + 2gwYs+ZzkFWxf56SrhwixdP6CSA2g5hyNrti5qgm0t15xxd+8if/u8WiARB8aJpuaOGDNWkIoW3b + bITWtm1d16p69s6XwgVGVUMIALquq6oqpeSc894DiDFm0+v8BQDn2NMaBggEUJ91kJVBLAQBqkqR + Rz7GIEafMABNxgKcPIFm9u5vfukli1MvlzRt0zjmO23lILkibMLwlbdGHmvthYuS/nqlREZG1uuL + WwfiylMXRtWs7ZgsEDl2naRpN38Odcd3Tu5+6b5PvvSG59/4btQOfk18MLDPKo+9wmN+cQRsUMGD + AKyg/raN4brpoQzwRe1wVCgUCoVC4cLQP7wSHLOYqqp0HQBH6HI0W/uKfscup32qrIS7V98HllbF + T/gOAGpq/ajJOaeiMUl2r8xhqvyc2EdCADVM1qdg9kyz2UxVxS7Yc3dVVarqibuua5pGYQkWQui1 + fPOALefEimlKjl32BTXpG94Ru+Bj151hiz25d8CYmQARBZC6pQVzLpQHHLFBxdTgPWtSJu+cY2IT + hSgRmfUKzweASSXH28hW3GGB/C/lUFj/VSKDwCybl5qoxBVj1LNyvKmBQMiWoPtL8N6rqqqaKBF5 + 70VEVaEM521wVO1iosFn/WEUT+tC4SuYfAHMt6Hzv/a8ymztfEE2IKOw9cObzd4i35HX19a3Tm6x + 4cUvfMHb/93bvv8Hf7ByrhGRlHp3ZT3TKaTH/5au2jYTMXP/G0KTLEJ+5Ed//A/f8ftPu+pqNZPY + eWIy2Ok6SudYVenJsDq7RCs/hFA52Np4dGLrZBiP/uid7/wnP/nfnji5nb30xNSHEKOAmLw/i/bh + 5wEiMjUAzvmosre3dz66xYydsyQE7O3tDYMZvlD+9IVCoVAoFAqFixEDDJXzQITpTz/3a4/v7LSh + 67xVvUYrO4WDZjs4ITy5ZEIC2GBgzW5zWYR05eGJB79rATAZn/Lhpz99GybVPKU6TCccDCpQJiPq + TcNQDMPOjKUoAq3+xgGtwLnRuO6ABKudx3j8c3f85c89+7lxZ37JePPk4hSAQ5OgbSQMnnYEoT7r + 9RHZg09MTmzr4+0GaF9oQJY3x0ahbmO6tBphdxeTANalJeTj7RtwmkFeoVAoFAqF84UByjAYcqoq + agTGhtyxtnnJrDusc8cARSEVgAzOHn63ziU2AIz4y3e2ZgN7NHNlYDRmtAozJjAjAUJ9PqEfBp/L + SdfVUY0RQCJR1mruNNxj1V8dv+z6w8fgvKigcgLAwIRJqA0S23ZU17k1iPerGkEgaGURlIc0DDgY + QwCGr2oDNM14oZeFjaOu3Tm5dTjA4sH2d6Oe7LTzjfVRs9sYsCn6Zy995ctuugmXANVattp1AhCE + YZTLARV9iUdO6FUYAAazQSWXcSxNkU/vjP6nwZjc9eVg4FUTZfQVn7khAkCiQItudoXYaGe3YnOe + Uuo8vO0ngz4xBG1jy0DA6Lgb/fo3vPQHPnkLbYyJc93GMD5klWw5DTgCAwLEvCXIBs398nq3awKA + RP1eH+TwU5C17V4crcHXBniFM4RsbhwCLpl+w83v/8xzXnhM9lBjZCrDENrZ/hSRQaMDAK+cHdjJ + ssYZCFg/+v+x9+7Rtl11nef39/vNudbae5/HvTcJhARQCBAeCSQSnnkSFJCypNCytIRqtexS29HV + DssuHaNHlV06elS1o//oHjW6RjUqqBQqw1K0CiRCQEISwlsRIy8VCSGve3PPcz/WWnPO36//mGuf + e25CMnLCfSXOzzhj52afffZej7nWnvP3+H4n/fFZXOioGmm/eKobtTsPfvTlL7/+lg/h4uBGq0pN + ItcpHA/7m3cExmAg+4tzdvfONd5KBAhEO+xsoo/YXnz0uu981vb0Ago6n9WOOPfP2dKwnFiNDaxI + qipmFGMAJivNUVvB+U9BfQTjCZxw6BtmJIXx0tJchz6jPDgIOszyE+WTALblKCJMgSjwABsxyJPy + YBwtULD0/MevvPHyYzsXj+p+2voDnKzhUDOL+hRMu6TPOO/IsXuPY2eO9S6ixnK9m8CCEeXeZ3us + 10vxtC4UCoVCoVAoFAqFQqFQKBQKhTODkm4tNqdx25pENPRcEJHZSZJrOevE5qowenr1rDe89PsP + y4VpWzhXwKj2bSDPAJPWMIE5g4ITEIfo+mnDzGA2GvvpdGutXovRdJGqurlAnvG6K99039G7Zm6r + jxopcYWoENrrTIQ4DiEJWUKaz6c6imAPKEC54+8s9t08EspZUQwGVqJHzg2Vsp/CKaPYWhcKhUKh + UCgUCoVCoVAoPCFJQ9kwc240GKyO4DhrWPtYj4C+IjHDfpuTU452gRjMRETRkoT+v7729T/w8dvR + eGbObZJuL6h56oqIVTU7WJvZyspKfrJ4WhcKhUKhUCgUCoVCoVA4BzEz1QQSJy4hREosREQCYYwX + m+mFh6/4kdf91Ls++PbAtuBdtdZ4aLDPje88yFcpkUIHCSqAs50VOzVF16nzPJu19WhspH1YVDUv + aOPz931i5w+33nzNWy4570W8qOs0cqjIiJcq+Ur53XX4yYbXUDnJzPc0kjgaDaoBqpw1LAAARCqs + HlbDvFKgLBF8LhX+8dLkmAaz4cEMmI0pqxWAl6fS1KyNBxHJGGSyGRhCfPtVs4P2s36eXAI0G3No + MnJDsLDwSCTTRJi3vQ2FpODs1masxA8XDdQhuPrQ40+W9ToYan2KCSnLxJxW/5Ms5A7jBBDU9p3t + aTdrRiPr06KbV1XFzJoU0WoT7w4pf/uPv+lfvfPm/zjtppMjcWsWa8l/zgo2UiMoFAYiEZPsmEgn + 9GU4JVNnfozQTe/a/uLv3vyb//jGn3jq+iVpmlxiftiOG+1J3jGZkjGZGh30psKkngbVFh1sSEkN + YJiCOHtdk+2V2yYClDy8MRuJNumB+I2/PPqpP/jkbz8Q7mFfJUlC6LrkPBJMGUpZaEZFB/0XI1XK + +x5JnVfhVDuTul97440/+NJvv9ZNK06eGRqDxm5tZRSSsrlsDa6kRshO3ueU0j0tZULSUv4GywGU + JfxpKYaj0IQQ9YD3qxM3n8FzIJoamZLBssDQCYVEBT12g/PHBxmzDUJNad+1vcxWKJASm6IP1EbZ + ndebv/WHb793+o3N2dFU96hC4IUK2EEVi0U/8rvquk98/f47vnTLMy989hWXvOzq572uiZPKXG0V + q4g5RjJQ2tP+BCkcgWX42MTGSvmbgpWUjI00XxlZQ4qHP2OwGkcFQE6UYQITUkvSB1501fSrG5// + b7e/ewtHZUVSH7Pu6bDHgxWTKiuAGLFej9Mx/4pnv+bGF72hno8ZmPWzWjznGQmRmYUQAFRVlf0t + vnUUYDBpxVDk2ynF6LrgFrd88ab3fOG/HOf7qxoxAYyUghGcR+ohHo7RtwBQ11BFIszidGpTGMaH + 1u5qt4L1VGnihOw8rd/MmuhbeQTDVQBlB4us+WWkAMRRshh1mJIQgzwY6AyqIAEJibHGBJgTYY1C + xCNEWKdIWT4qca2TF337SyTUNerQzUfeE8uiS5BhRjFszZmZjT3xycM4N8wwc5Z7ahf9z/7sv/q7 + v7s7vybEAMCJM7OoB/vG7vs+GxunlOq6PuXbXzgXUNXsaZ3tx0TEzLKbdX40s77vT/cAoBPidAkE + gI0GK58eKpTEABiIoagTEBT9AvPp791ww8Vbx18a2/W2RVy6FXuENjAJe9/1bS3Sz6M/qI1c4ezB + hv1ugQoCMQxscMTaJmG3WPTNeNT3nUG6ECpPKcZJH1ccjHD//Xd96jXXfaGqfvT2j1ejESoPkUH2 + cFhsnpgnWZaLJORvHx6s04elgS+acYVCoVAoFB6VvC6LMfq6JpG+75OBCdkIGHmxlnSpULvPFXj/ + 48G75xWADc4d2cl4EMo22F6QUzWpAqi8pJAApBBjjMzinJOqijF+M6PhM0FIMfaBmoacaNKqrjQG + syEcYCwKs5jy/zBDU+LB7XrQSlDT1PdnInygCsCBRERV1dRACgMLUkI0A5hgBo2azZtTiCCipMLs + nMvRpwPBzPFk0828/M/ZsXSSt7QRlJjUDGYsvLfyZ2IdxJG/5fFmRgYCDc7WS1KMABhDnC2GkDde + jRAVMMdimhyJWeJHEOc4qIdNoVB4YqEHDEieWs66sI5zbmtjo/aNc05jmm7vHD58ZD6ftbP5a66/ + /v/85f/j53/x3wiQckzi1B0qM8tJEFXdy30QZfl8sPDX77/vR//5j930x+933rPw4Ae25HEYjJ0t + cgSp79rJysrWznY9Gr3t7b/2v/3b/10BEtJkgLFIiIF8Zar7Pa1paZExOCIAJ3/LnX3ySQQsn1BV + bdv2zGxglq8C0LbtYGtN59jRKRQKhUKhUCicdXLNUtei79dTGKNPDkGhBsmVw0O9N0RBdODZ5F4c + aX+xHw81ybqXTRaDGBIQWHaE8axnYLWG95WskIEIiuwZBgFIFMa5ZvsJsug5O5x0soyZBitxAoSB + SqCA5IoO6UGVYzT+WOPXbO3o7sZKPWLS+bwbn3ycecjUP87Vbx5Ry1LXXPwMItSMRYInG7PfOHb0 + R19yxW9+/auoDHLSjux3UsTDny8UCoVCoXDGMUBAJC47PSf2Uo3/yUfvuO1lr3S6caifegNRynWj + e9/heYZptJwZ0hDQ/tadrWOPxoGFwkIdIRgqD40gAi1j0UbQYVajAOvS8Xd/EnZUYavXrdXx366u + v/W2W9FU8F6EF0svZ6iBQKBR3agpDe68vHRJ3mtHUqiCea+bKj/bKSYEdA4t+61ddO3hWqw/WBME + QVM3X3NV3G0F8A2tQy8+tvHRG157/SduA0dpVo19bi4QqEENTMSgtNevAeR2AgaRLj16+eSPOSlJ + TXqiZ2FZM7x8/YkdzHiAYkTfop3/9o3f+cqdzTESASmaI6+WDnSijVA5SSmZBd7deFF9GMdnCK4+ + JOClwTFpXjhgedD3yL0hCnDulBymxgzaEzzDwQS/CLBUN03Mx2avXTQulfInY4R493lPWUO1Ot8Y + 00l/u1f5mmg4okpgyy2cSgoQao/58VkDVFUT+8VYfDffflpd0ebWx19746v+9E9wfseyBjcaN6Mu + J6qgDkMNLZYDPn9a7gIRJDJFUCxaTNs/fM2NFx3fulRtpdtxBt/IvE3NSb1VRlAyB7AXR9oRIILA + +LriG+tr13z4FrCH9zFF5xxSgil6oM4HebC1fthVfSKr89DnYHjIyz3QLSQoHtx5ToeLk82m7UoD + 6w7WgEogJVNFiFj3mG5tXFSv3fL6N97wiY/W5OBX0p4r/VAZlKtbDnK9FAqFQqFQKBQKhUKhUCgU + CoVC4TRjFHfb7U4XRkoEVahCWIGH6qSRwiXvw/jGq/7Berog7qJ2TQwRQD1ybUgwUxOYAE4pJ0EC + SA04rVpJzC5p6rswGjVtn8arK7roXXTtpnvhhVe+/HnX3falD6am77iFgAwpwMmQX2NmUAKUiNq2 + 1TqSQ0JyGIxOznr3zUMwYU7w4QAAIABJREFUIHCWteQhawAg1x6RAkPazghqzHBZQvC0+tEUTh/n + zvAr6ZtCoVAoFAqFQqFQKBQKhScaBNCJhsXBF2e/0rACJLN61A7V+Wdko5gXsYcTcbRuAbFH0r2K + c9FlJJNOWXcjL3eNzpagYKFQKPz9Jku8dV0HQFWz6F5+zHKiWawWQN/3Z3NDC4VCoVAoFAqFs40B + CmZmIYMFAAYOZL1o4qgpTGxCm/WVT7v6rd/1kxfwhU3fVCZQiDCMU4QpiffZlsEIKidEhckcGWdF + KjBHdeKrGCOpeYImpTqF0dZXjn/63R/9z5/6+s3tZCvULayrchFhgsEFpU5hXnoKgUPiCE4CcuZc + X3HvD2xE+0iHYsnJTys0MEfHRmak5ow8WBRkDJUYKKkjrkWaRYelSfTj+PShZuuUR5PYIKYgpaX2 + BxlIWcilHhqpqhojKNvRzWMkBxa/JmOywW6Zc9e+gQy+dvcdvbseuZD6ynk2CSFmV91Tu4NPUJg5 + paSa9U0G1XIIB0pc+Qe3N40IJCJQhWPRk4wkdE8zzghGqidpKwz/4ARRJ5DNzeMxBjaQEsycc1nV + Ott7hBCqqjq1e0fG2RKYjPKVw55761SUa44Ue+uNTSnCpdSler522QWv+sFrfvLp/gVhy602hErV + dW1ozYyJksEEIXtGq/FS5gYGMpAxKSxpCMGqmEb9nfd/9t23/tZdiy/PeNtcMktQa6R2JikoEUXr + kyTlmCgA8HCV1tTzwe4nxrCR6YpZA6thAnAW2REGmVpKjMSmZIksERk8mxhiSCnERo/J0du/8YF3 + fvRtm9jiplLrnUAJ5iACVcv+2GpgqBcjS8lUmYICHonBopVUuoPz7OK3fudPXHnRNbK7KmkE4UhR + q2AcknZiIFOCGqmyJlIjPmXR8FMJn6gapSFwbwQvkgX9nXOu9mALCLN+djCRkqyuEuBdnYza3sTz + PCxcJaDst8zZskUVZgRxp3z3Hop901uiAmakEASdBTdNzc5GvOf//d1f+eLGp+6nr3QrG7GeRuoI + kATqID03wgDPw7T307i+/bX55973uXf++9/7mZu+/K6j/hvdamyRumSkJClVMY7MKoioYxVin4R6 + C9kh1aJZApSQQEpCjo1JyYwIwszMTEQgdaKwhaCrvIjVKTSBxnOYHo4f/+ot777lHQ/i7rSSOvRM + JBBTcG4YsFhVlZIqQQk1I+745xx62Zte9dZxWOdAzHA16dIHKN8tRURETpWnNaAsMAWrh9bKZM5C + 2rXJ9h994Tfe99e/82C8rxrXmoQUtRc1OMCyp7PBIpzAyTAvgeeeuBMONW9jPqtDGFmEmcKMTLGc + H5y6RwDUKwXjoNyDelAkRELUGKDmAA9UgFe4BFaQQbKQVrKgyShCklEEWx8tRjOFEJyBAxoaPXX1 + mU8//xJvjpM1vtFkKRGJG67NnFczB+y5XBceDVNK0ZjZe98njaYE+cEf/KGvfOWrJ14DNnBIMeqj + jXMRwckzxjyHzMbG+bfYl6ksPAnIZzM/OufwzYZB/t8zYWpuTL1Q8kG1hcZcGBAgQITrhnS7ghbo + d3F8A/fee/tll3/58he/+v6jL5jNV2YtRRghMRJlNT8moj5FONcbsRxMNa5w1mEzNsuKe0psIKMh + OsAQNh5RhUXyxgoyLx1ZYuRZbkBaQfesjXtfc+zoXz3/xbe88GW4bwe7LUIbOEECJBgjAVA4IMRh + kjKMsoRKUYEFzGAynFSaUiicbcws37QzeSKd/71nF1cKigqFQuEMo6rOOVVdLBajusm61WSQ7JWi + SjAeRJktP/nwHzrgjwf88j3JdO+d938EL39SGGSd27adjEZElFJKKTGz0TcJ3dPpn/wQEZjAg+Nm + jFFAFuLgLpkSJ82eNA7KqnuHKMd1zPSgR+xb/AEspbj8XCMDYiJDni6SDsfcA0jRi0AtR8v7tvMH + jwemHPBiImFVdSIaYi0uHx8B3PKngjpYPkQCIKW915DpqRpve6PrIWN46QZqsGGcM0CqNZODOsBr + FrdInIXpbVDZtiEH8YSxTS0UCgclJ8edc4vFAsgGZnbmlypnvYhXVeu6zt+8IlI7H+ZzIaq815j+ + xY//2I//yD8DAAMSmElYAIhIXuiJyOM+aDkP8pBnAIhzMZkCd37pi2/5Z2/tkxI7qlwXA0SUKGeZ + VfUshoL3iiuyr/NeuQWBTRFjSknFOxIOKbITFt/F1IX0P/8vP/NvfvHfDakFIs75KTMAg6F1Pp4G + JgLgnQcwquobb7j+bO3so5A33nvPzG3bOuem0+kZuIpYJMXIDCZsbGzkU3D6P7ZQKBQKhUKh8ISC + ENVgikX/45ddZv3cE7wahRMWa8jFajlU9S34C+5FkPKbsJMWME9JYAZnBEDgA/sNcT9/6wex4qFw + hlytJ4P/MiUgAmkoQf8W9//vE7lsdWnXaLQXBh38FQUMqTCu/u8v/+XdsefReq+kQSsMBucZNoiB + DGz7KjkfG0r7Y4nKUJe9Hw3O0CeMRg6ONnW6tlJfaIxFQNK27/LysE0pn/3B445O7NeTrwRqfzQg + 10bu/SqEkF9w6uokC4VCoVB4/JCxU0/J5QamSU50jidYO3LtJz/zF+c/dasaI8EZNMJVDGMWMRuC + xjlLGwyOGJSbWb7F7YEjIME6cwAMHrCQZy8QGwyzldRIQWoEJTCzAEIwA4yZQIbYY7G2+meHV7/7 + 0x/H6gheQEDUhiAA0zJMjRypdgQHcDZOyGhKABuNjCdADXNGCAob0rEB/QzHZre+4jUXpGTo4Phx + VNsTWGMUQBixt6oPT+m7Z29u3fGK63D/cSyOKXYSLyABKVEkBXfgFr6nOlFtNDKqjL2RT3AA76Wh + GUrQbIR8ojUA2cWB92ZhKYac0UaKMAUjpQjSpJ0ikO2i3cXuNh7cft7m7kpqiRM5KAAzJv8ou/Zw + lBAZPRCRLqjkoqObf/6y67C5wLEN9DtIu+A5OMytzduanZyR4rLkA5bAQBwc1nOh6rD0ybPjAw9A + JwBqoAYkz1ENkLyGUUSHZu11n7zjjvPXdlfPj+pMAUVKYIEKeoUZHOAUTod9PDFtBixglN+1bwWw + FCqAQ782756/vfuFK175sctfifsfwM4G2qlDNARFgClUYQi9xeWCrk+xQwroCB1mMxyb4d7dT195 + /VX3bj93Pl+f7TQMEnQxsQNBSHwCiGFQslQBTmNMs+wQ3wkekOqu886/+s/uwEVrODyJBHJucD1n + YMzmANOhLzlndZZacwwmOEtsaSjLQQSAiJWIQxFjQw2trWcjSy523IGAo1u3vur6I7PdPs1WJtAD + Jw8VMDYw0AhSQmM4v+++7cGtW668FvccRXtcuh3pkwSgNRgicKDr5aAbVCgUCoVCoVAoFAqFQqFQ + KBTOCntKblgKdJRW7icYpFvzjSQhWQCBmUQAYTOowgkDUIUjkLFPzYWTZ77skqtXwmFvI4UFn6IL + XeyJSFREXbZbThwTB8tZpNOKsSmxsTDUIhwWqU9OiWiNjmCjuuHFb1ijp2hI7C0alCAytCSIoO+D + CJRUkYIGV/m+7/d6RoT5XBvNiTVVFCpaSLAKXZoTR+eT2sJXqrZQW5hEJY1kiQjkSpfiE46sjemc + y81f50LryumXBSwUCoVCoVAoFAqFQqFQKJxaCAA4u1cDlp8goDf4ZR/hZPQjN73/K5e/zNrTLUJk + XFHojdnEuV4DuLJ+Cu1giaDYkzamoUK66GQXCoXCk4Ns6lDXdUqJiJi57/ts0+Wcy9pz+R9VVYUQ + sudHoVAoFAqFQqHw9xMjKJQNgOZyHSOQKYk5EnRcYTQ9On3+U178E2/6l7/9oV+/a/fL5hdt2/tR + w5zY0WLei0B4GQ8CgMEkAIAYjAaVMQCAZg0sFmrbVNUYPYXv3vzSuz98/O+ef89rX/KGp1VPSX3N + IuwagB0qI/Qh1H6kqpY0ppSMBSLkHXOwiFNXLPiQOlQGGl/F2GuITN5TZbAQQ9IeBF/zaLVhT/Ou + lcq4gjFwjglJEdSyigTZ0guTAcQujusxLM27VknncbY12wzoH4czJdtDT70RFnE+D9POFkRVH1qn + fjweB42lrDATQsha51lwPGuQJUtuXO/Y9n0b91ElIaoRvJMuJBan+dCRDhobNkhagIZjv7Rk1vwq + YSaDxhSt39g9/tTJM9NuSilhKXSezTXNrOu6U1mBbdnilMlgpNnZOm9VWiqxLGXmzJAcyZpbPXr3 + 0SsvevXq60dv++//1/bOPdVqv7mI64eaENJikaqx9JoqIQr7JOqGIcdkqJ0Todhj0av5LtbxzqOf + 3fng7k+//mdj6lZX1lkx25nWvl6rV/tF6ypPBEsWY7SkYlRJPa7HXewe+/3EsuYKGASQgRhIWcQl + 9Mn7imQ4ucQCIKQQtK1qF82sjkfjvTd94g8/8tfva8dTCIxUTGHIJ1oZBliEFxI27dDGlI1QFEYV + +ggEmDHmfPHoGd937Q9fdtEr6tlhSTUhGcXEAaRsIGOGAWqUlJORJoaC2ficdSNR2n+iWROgNB6P + dnd3QaJVT1Vqw+5BNz908B4hBPFeapntLLZ2j104uphpzOQAHbQwjQykCTzkOk4TPORSSPPlvHem + mJFM1aL5GH27HY/+1z951/H+nm5l2lWRs9+SDvKR+73YjWNaCjCJLkLq/uTzf/CZL376qudde80L + b7xw5eJuRj45ipa6RExOfAS62DFzNapD3zGRc46ZkxkSTM0ivLg9K4KQh7SZmoZFL8KVk262I7RS + r46nadaN2o/+5R/f9qWb7m7v4kPWaZciGuc0J2SMHJsqFu3cecQe6yPB7mjSP/WHv+9/PM8/Pe1Y + JcyibR/9aS4hTinBQK5RhHk3l5WAI7Pfv+1dt97/wQftaL3uhDh0xuzgQToc9r1jrWAjzqmlrMUJ + 0kRKpmCwAXqSAuypRpUAUt3/EbT8xL0n7cSvgOH2YsidNwqDMpIRezBRVBOBGRpm28ULLr9iIoe4 + I9NoYDOCkohEUyDpcO/Lt/o87dNvZtNeGFDVlZWV7e1t56qqqlK0f/pP/8nXvva1x/FWe8X9VVX1 + fT/YhJROqsKZhB263o9HDF20i3FVizAMTCA4aMBsCouYtu+57rsumc5ftLN5qBumWGQwImVgeZt0 + J2TiLK8plE7r/bNwiiEjo6FIZE9VXMGylKgzVgBKBqS9mVUiKBAZXjGO6YI0hfCxWffZ66+9s+Yf + +dhHfHRgQ10Rg7nSGKSqKkdtF8e1Q8ifvfdxYCwLP8p3UeEcIEuN05JsERdjBJCNrpk5xuic67qu + ruuzvLmFQqHwpGMIs+xbE2eyS0RV1cysai+49NLv/QdvVFXih8YfTu36ahlterTX7N/UELvJZFKL + XywWFLVpmhRjCMFVD9vOMzJtNoJ4l301RIRBYHrJZZf/o+/+Ht1ndUxD6uFbFSI/tdgyGLIHL415 + NIbvuOKK0PWTZpRihBoRxxjpgP7ND+nANzMnsjIaP/z4sLGSGj10ZJ6ZA/ZIg6XvOu+9l6rvWzaM + RiMC+vliMhmd9OcnnehCofCkQkQiLKU0n8+dcyFGAukZkRchGr6umbCzs0P0dHvE29XZgQ1d262v + rs5D98u/+O82N7b/6I/fG9Q0GvOJhR4zZ6OpU6jMku2KYUMO8tY7PvaDb/nh33z7O1ZHTT0eaUpd + 6EejJqWUS6PzYvPMw8wnrKyJToglObdYLCaTiapubW2trKwQc5di1TR/8Vd3/tRP/093fumLCaia + atH2MWqu91ZTEImvUowwg6GqqtC3AooxHJ6svOudv/UdV1zhxWkMZ2V/H4m8zDcM+Xcimk6nZ2A0 + Z9szVTAhD0IRSSnxGZpfFAqFQqFQKBSeGDhPaDsYrc4WtSAGCx3GDklzuakyoNDHnWUd4p+AggFe + 1sNpG9V77pJqwqp3GpJHMxfZYPyHL/wlvBiIpEaCMYQAQ7ZPSyAD016nv52pCNoTEHrI4TEmUgZn + bYc9Fdt8cskAYjDD0a989Su/9LzL1npUygLWbDu4fBnr8CePO9irBLKh8i+PEALWKndsESPi6srK + 8dn2YV5BGzGOTTPqtBeuRCQCMcVanDtpv0DLatIn+ljYa2HOeeQQgnPOzEQk/yovsfML8mK5UCgU + CoWzz15VHimgBoYwNTVWV95w6+23X32VcTiiYZzQt0pAjKiIAORcAxPVdd21raeHpm6/tY1iZR0a + l4wZCoAtz0tPJIUBuMpvd916hdDD+xpGSO2uoTu88uXR6Ps+fjtGHo0DCxQgHiajwLKrKHf1sClA + YEYCuhQrYZZksD45l2dU84X42muABaCfaIftxZ++6sbnzfu12I7reme2WJXH32+YN4wNPgYyyI7/ + yDXXvuYzHxGNiITRKsyDa0ugGtlEWIAEA4ku540CyL4ZpC1Nunlv8rj8bQQRQODKeZgiBjgHJLMo + DHTJaYQL6AI2579/7Q2Xhv4Zs8X5lcy71OT5JVPUcNAJTR+SCKVgvu0uUGr68OfXX//19cmbbv4A + JiMIMK4nzqV+Sm7C7EEBArJeyIE4tzI4Yh18iIdxC7Dk3x1sEA69km6vS+LEwMqPHiQY9T/w8Y99 + 5KWveiHR6mLaWPCOdhbdao0ISIXYQZZ1AgpKbGacPZLzVtmJ+urh7VccXEh+Hi7G9M+vvu6u9bV/ + 9OGPSCXSeHiHHoCAnPcVEqHv0Xf1SlNTQOowW2DWfeA733z+0Y1L+sVaP2MKlAt6CfmDekuUUkVk + alxT7IysS0BdjxfdHLW7z+hrhw7feMstWBt3o5qGcYIESB4sFAknpvu2dNcezngCCMQMsxSjOCds + BuoTWACwzhcVatIEnQuiRMXW7kdfce1z5/NJ6kaN25nFNcGB026kBOwZyIuh0e6CVieE21/96mvu + +DAOrwMRrWJlFeQZrM1jvV6W9c+lRrlQKBQKhUKhUCgUCoVCoVAoFE4vBuwsNgNaY2Me8hlkRgQh + CkGZ4QUhwEdfp/HLX/yqUT+qYt2LKqvm2LVClMhYgEQpEYyyKlRW9zrdEIzZkEiVIogJyuqo51V/ + GC5+x6Wv+sCXvkGmINDD8kdKEACkMUYzZSZoVgSlc1NZqA2tOFd50aiVeCFJbaicj10vRLZstGGD + mZkqlYqYJyDnmrBVsbUuFAqFQqFQKBQKhUKhUHhCkYX7wW7oRIUCTCBS1DzICY9qtAGV37KU6EQ/ + 4ekiGgFCzhgLjamGrwAKQxeBDpttPMRvS5droVAoPDl4iBT4fk3wtm2bptn7R/G0LhQKhUKhUCgU + QNEw2E7zslEehL7v4SrjsLq62s9Du9E/7ymX//C1/+J3b3vn3Tt/U3HfLmb1WGZtOxlzSqq6bEMH + 2LIVaE/Z1tqQRBP3ALMBxmJInVYCNcxT5DGmYevTd91y1z1f+f7r3nzJ+c+taL2b9zUOcfQpYOxX + wnTOwiJgx9lesTcyUzl10ZyHF06Rsc1CRZWTEXGlkULq4OFrMV1sL47utkfVtYTENSNi3mJ87pXN + kRnTPrcEyw7kFE0Zxo5cJSz25bu/YHW0A3qE73nOKeXm/3zqVV2864GvxpfMXb3qiHURSYWI7TR6 + xD6RyKrWZhZjzP7WZqaigduOZ/dt3NsjJpgQACbV7K8Lig9RdLfBo3QwxgCgpACc49RqRRI1uAk9 + sHvfRfTt51UXUpIckVXVEAIzO+dSSqeyanCpqZGHQi6KtZP9LvYGgff1dDqvaPvbnvrUja3dZ40u + /5l//EvveO//87XNz60fod1pyxVc42Onk3G1mPV+fzTZAHA2Hk6xdywikpAie151Xdj6u/bz//F9 + /+EfXv39L1q7rO5XV1cOYy7d8cWhQ0em7W6gXsXEs9QMsz501tOByl6zS58BBAUpZZFHY4AcCaKA + mI3NTE2JUIsnXsz6bRn7v33wr/7o0+/60oN/oYcpkZEmNiVzyJcQqZKCQAGIRizCQK1UibEtYgoK + VqxUYz+tL6y+/a1v+OfPW38R70yqNAJclM72TFOMZbAYTImTERRkBFaP0+vZ/DjRE2Yz+WQzARrN + e9+2rfcSTLlGz/3RnaPqD7L9BmYwSRdSXVUJGhDbNE0SNBqMQAkggjAzqcDsDGYLdLm/SoAlrUbV + LLZR+t7Pbr79vV8++nk7FALDbHjp4Pxtuv+mreBEnN1xjFhdlIkeDV95/+f/5rN/c/O1l7/uqkte + s8ZPHfN6pZUHgSI0KEeFhBgJZgCBkqasvMPsmDm0PQRERGzExAwidiReGyHWvhs3dZt2tvn4cX7w + ji/d+uG/et+m3ruoegGcQIAYIxHV9ahtW2EaeRckpmQrFcdN/wx/yQ9/70+dz0+zlg8dWt3eetAH + rqV+3LJNjw2uxHfWtdgVb43jXd783dt+7c+O3vFAOIoalZF2yUWWxhuSKcRYjLMf0nCcCUpqAEjJ + NGtg5btdVsOh4eSe+kejZTZr//zNTngL5Wf2XM+NoGAmwFhJQTG/gwFR4cgrKMW+AkkyJ67G6PJn + XznCKkVlEBlA0quyE0PKltjL6UThsSFua3c6mqyklNpF/3M/979+7nN37v3SHnOyNHul1HXddV32 + tMY3m8EWCqcPIySHpFxHiPGKH8EUqYehriuEHqHHon3vy199aR+umu2upjBOncowhxUFGfkkiTgy + G9igYsqWlIfFJBvK7eUJhBFyWx2G+aeRAZQAKCGIBhkcDn2CUwAwUGQkRiIWgzOCQePOkaoK93z5 + qYcO3fn8F9/j/Os/cQeaDueDXagqD0QxmlQOYTlA8psst+TcW4gX/v7iva+qKqWU/a3zFzczq6qq + 5rR1Npqq67qkqguFQuGMUdf1YrHoFi2YnPjveu13XnfddfVotBRqPvvk7RDvzGy6tT2qmzbOnQgb + 6rruQnd2tkrVORf7YGZeHGCqdsUVV7zjmmv2bJvJ8jSeAdUD2kKfbh5+dvMKvHJeQyQiTSn2oXZe + vFv0nciBy5kVWRoCgKkmEVldXX3Hr77tHDk+jz6+vYgQW7S+H6rptre3zz/vcNu2APIa7Zw6oYVC + 4XTAzF0Is9ksW+QS0cFF4g/OvpAmEba3t4no9H/qo3DS/V9piLFXVdV3nZlWzv3q2/7z4kdmN938 + YRHukxIx9llZ56XfN3njIVt3sK3RmE1C0DR11y4I+Ogdt//0z/zL3/jVX7eYYGlldXU6262cZ+am + abLB9pknh6YfYuZtZvP5XET6vu9imKyt+qpKXYiafudd/+Xnfv5ftynmP+jaAMB7n5fGyVRTSn0L + gJiFOHtaj1zFZL/5a29/7fU3LBYLjeHsjpWHk63Nsw8Zgczs+PHjZ+L70wxEMFPD1tZWtjk/dya3 + hUKhUCgUCoVzA43aO4qYzr5NamczMFaENNi+tn4VwIBIJ1ZDjxFaVigl2v+cMUAEWwbFYkhemq0U + dkmOXPkSjMfwNbk6LTqp6n2TZxbAoDGvoujAi6m/3wwnlJAVfbORHHhZzAYFmE1GNBZYe3TE41C5 + lDQE3l+0afA21P0qHqf4w/7zxkuhiZ0+rjTSEW3MppPJKHXhFy59/q/cfTecq50kaNDk2HtxEcZ4 + cgrYeu/7vq+qKjc1e+9zBF5Vvfc5j1xVlarmzmg+A0LKhUKhUCg8KkaADG2CCkQYQ+vc2tTUoNVr + PnXHH776lVce3XimxoQ08gB5RAsaFajrOnYdtW0topoL/dSW0869KvcDbY+CjaBERmxMAJMRgUXB + BoHKvrmIEkLsRoTUw4uk0EWAgfbwkY+N+c2f/jhWGkxGIANpF9OeFEne1qFQ3hgGAlICsoG2IKAT + BAbXMoYxOEiVsHUMoQPNMJ/e8fo3Hd7cuaxPkxAlxASsAMRi6UANEkw5zbB8sKGLJLnF9nMw+sIV + 190n8trbPoKRQQlrR9zIQaGAWRAm1RRFFC4BAlS2z5mXVGFpsCJWlw28FeDB/zgnKgQMSxABadDe + M2HRYxdoO6QFov3FDW98xeaUFg+eP6rbRe8J6hENNTNpPFAxMCs7mJAQYlIANtZubWP3snDozstf + eXxUX/+xm9E18CPxNUjBIaBlkIARNPkmAhRQub0Dl09mJADwUAbzgcqTafA1j6AEEoBBACFB56mr + ZeKEeHUC4DWfvO2/v/yVl4Xm4l5o0TYAZe/q5QkngxjA6InA5hR5rNpyKs5DlwqMoIp2HsaN357O + LoSN590XX/KKxag51rjX3/R+eIE4QDAPGE0G1/n5HFub7/ue77040aGue/5ifoipSrNczTuceRs+ + 1FUuxgiykEC9KeA86oRZN3ejtWOMe4+s3/jJ27G+jmp16QqgBiRwIvYAWQdTkANRAtJSq80tL0CL + oApGBEZCJ6QEP2KnBiM4x9g8jtCBe8znH3v9G49sbrywS+MYoqV5xIgAFhzsehnaGFlZiQBTUgZq + DTzfeE5Pd7701bvnn/eq9/8xqgY7LXzDq0eqXLz+GK6XIkZXKBQKhUKhUCgUCoVCoVAoFApnBmXb + nG5GikQEIlMzg+WQM3Mfkmcw0EdUNjp//LTveO5VrvOiDFEFEoGNDIwhFaWgCLZlf5wAUJzuggwD + QCZkMAkAK0QVnGIl0sS1qy69+tavvDfoFgR2cvm/EcgAUiXrU6cEJsp9K0xsBjtogddphgyewaYu + +bAIjUzEnPXOS6Vh4WpR0qxtyMSkAHG0VNoVn4jYGeg1e8wUW+tCoVAoFAqFQqFQKBQKhScYBuRK + eFq2LA5BQgIEMQQW5WYF836ytt5u74xOc3dhVLiaYh/Vycqk3t6ZrrFH1y8VW6wYWRcKhcKTEucc + gLquVZWI8j9yp3fTNCml/Fvs00Q7y1tcKBQKhUKhUCicLUg1a1YZZS/qPWdEVSYiFTy4/eDKqPG8 + Nn+gfc6Ry9/6up/808/9yWfu/FgzaXZ3j66tuPkiQkAGg4Plgp8I6BB1UYA4/6/ttXQb156UUh9g + jNGI4NPm9L7ZdPPGuWDeAAAgAElEQVQ/vedvX/6Cq6++6nUXrl+i09nI+UkzaaftpKoNUa1X1URq + xEYEQO3UFNo9kiNgLZUp9X1MMGZOPsa6i7xdeXzt61/82oNfam1TpQ8RDDQVTrMN54FhGzQOlJaq + B9l4zHMfe0I0iUEijdPf3vOF5Dvlg+6AgnT5EczGBhgp1ekbR7861+0JrZh4dr5PUVypBxsQkexp + bWZ5DauqSmmB3e1wbKc/PrepG4mJxi45qSLSfgeKrEgyeGXs6fcZEwabUgCqUEky8h21f3PvF198 + 4VWLxdzRiJISUfbQyvraWRbtW98pW5pqL+t6wcaax8Oes/XwAuT4cdv2q+OJxjTb2nHmfVx/ev3c + t77+p//gY2/7RveVHsdDADswS7cdVkZNjP2+XXYwBimgLEiaCAKu+mDGC/LKfv6N6V+/80//v+te + +NrXvuQNlfNNtTKRZmPjwbVDa61ZoE4pGUhNmR0THcwOjzRRNAIhMSKZLfUUmcSbclIYgYWMOVqI + aY66T3V7y5033fy5mx7ku+hwbNEbVLJHLJiNdd81NXISOlVARJJZ7GNipISmogoT2+Snrz3vf/iu + H7/QPUOmownWkrKRkmXZEWZjgGF7Q0WV1IjJBOZosFE9V8TNbd+mDKqIRgDYOJl68Yv51mgycozt + sNvJfN7vWnWwja8dp5hEyCwtYj+u1uZxJ2DhaWVpRxyNLFd0LzfqdJHlfgAsfamZjQgKyvL3Gs2o + kbuP/fXH/+ojzVNkGzMANNhG6qBf81Cj9+HqIzCg0QIcGZsfu41w3x988nc+eednrnrOda983nVr + /lCdJPUxGx6YSdt3lXNqRgpTIqgpCcjURAQCYkuWItQ0GakpIVUEjGqepk1ej0e7r73nY3/wma9/ + al7PeQ1CkkISgIBEELb5fNE0I062mLV1Q9Zj1R/htPoPr/6hF1zwkrDlHNH27tZ4ZbLY3V0ZT3qc + RhsGJY3acoVgi8CtVv3vf+Rdd9z3kd3RdnOYUrDYBUmurryStSEQESuT5VGpS1EtxaBXBbFBqpWW + 58JOv7bq0I2wJ2ZkJ57Z29FhlBgvZWOFjYE4KOsY1MxYVI3IMRiWrMWzL3rOBWsXa0uewKQwzl4I + qmqsS9lSZoOea/YR5yo5/jyfz0fN5Jd+6RdvuunmuvZdFw76Pjmy3XXdeDze2dlR1WyYIfKkVHMt + nKMo4LK1cAAqIEU4gkaaztB3WGy997te98IHN5+VUuzmBlQCXX7rEREbkzGBybCUL1aQslnJ2j8h + GeYeYANsKXROalmmnJaKigrJiniGRPm7CQQiY1IASgzE7iIPTHcuDtW3rax96tVX33Vk7Qdu+QAm + NXgBJpOK3BjsEACf32Ov3ENBea1RZJ0LZx8z6/sewHg8ns/n2dgsLzFUNWeoU0rOOTMrSepCoVA4 + Y3Rdx8zMTESq5ojrldW+782yu8owkzmbj4AR+rYjogvOO7+bL7xzsQ9t2xKRr/2Zb9c3gqklM+RC + LAOA2IcLzj9/vliQwQgMIyPAyAzZruasH8mTj+rDYUNMvZmlGMfjcQ6VExEzH+ggG534ihdiBkGN + meqqSqqPdHyGvNnp2d9vsrOPugux78wxGQlTihHAofX12Wz28DhDmWoXCk9WUkriKiKaTqdRNYeB + 96yaTyu21AVKirZtT0mm8tSSBYA0JSFyvgqmv/mO33jLW97yoVtvq8TF5QbnYxXCgYO9j07TNG3b + ztuOAAERy/s++IHXvuF17/j1t7/g0udO5/OqaSwlMC0Wi7O1tDzxBWoGwJaMRiNmnrUL8S5qWsym + m9s7P/8Lv/D+m94fAed8FwMAJqqrKq+aU0q6J8hkIENKsfFVCL0wfu/d777+6mt2t7cmk0nfduda + PDyH6BnDPFPV7rvvPj4jFnwiYhZhuP/++2OMDHgRS+fc1VQoFAqFQqFQOGuYOjLE/t9efsV52zvi + UlBUZvtCPSdmj5GhBKcHqcc+8UreK5uiZRo39OY9HEvNzW4I3Wiy1VT/+vfejapBr7AkTZPTvaYg + HlK/gqGCeUgElyqCR4Ue+i/ONtL2kBfpcFYikYMj7/7Tl7/wy8964XR7Ngbrchjk6lcyCB5P3R4v + OwRybXPaVwNiQMNou6SC8dh1s8XY+PxmDAKIYWbaCzEBEYkh2BsAy4KAJ4fLeUqpqioATdNkf+sY + I4C8zs155NlsNplM8ssKhUKhUDgXSCclCpdf7wx4BgTVoTff8YnbrnldvOeeZzTBQjdNwQNNM47t + PHWdI+rNckg3JzRPSfPfQx+X/UVkvDfFzUkIMlQjj+R2u8Wqq7Wq7oX9bdO8+VMfx6EVQIydAn3s + q3psAOWOANJc2yrLXQ9d8iNpu9DUhG6O1KPvkBT9NioHitjdfc93f2+zveWle6qlSx+YrQcooaoA + hxTB3qcQDppytcHs+CTYdIW42Z09ZRYubpo/u+rqY+vr9zbNj33oQ1jMoYFrgRlC5GZUVQ51Zc6n + /eruNrR58iOfkBpKIaHrMZtDgDD3owpQ7HS/97rvO7zoPcdqY+PSniftTjNa0cV0wkCFnQWaJreP + 2gFNpFXAKUXvmE1h2G37Z62vbG5sXeLX75+3n7j6hgfXxscCfuwjt6LqwOrrBCL0hHosFWNUiQOG + VgceKkv3dvlxYHv/0WGwMQMwcCWjTntwJezEOxxa+d7PfOJDr7ih3di+UPyRind2j40ZAJyHpmFo + YTkyM4mz2fRQUpsrbwE0TRX7ELu44rzM5ofY1/N+sYULVyafveKl8bzVhZPdaXv40Hm727u+rgLS + SHF+n14a4mRnt7EU0BPM8YmZdB5LAEBoY4QiAKOG5506BoimhPl5R74W0+Zk8vpbb8Xqmo5XUm/o + 4SvLzbsAZxNrh731w2AKQgBn228drpe+DVVF0s8RI0JAZOqd1BWow2zrPW94Y7O9zYynQJ/7wMaR + EAFIgxaIEeOqjl0UMA7e76ZEy05DAHAGD7jOztPFN/7m7z5//WsecLSAzNfWfugDN4PosV8vB92S + QqFQKBQKhUKhUCgUCoVCoVAoPC50e7ZtYgQ1BRsnqJmBYWaewCCNVrOr+uZFz37Joeo8WeyXNCQM + nta8VDJJOY49aGMOwf/TFfXNmmkAMHSLRgMAMWIWaLI0x4WHLr7o0DPn8y3Hi/jNtB7zNmZlQmaG + wixrc5yLGk8j9ikZUvLqhZwZOWm6PiYhM40aodGxQI2UyAlDzzGFzsJj5dxxti4ypoVCoVAoFAqF + QqFQKBQKTzBySXOODHLWDU6Dp3VKcOIAQwB2QgoqIqe1cFcJUmPW2cg7S5p2wretTA5tdrfd8D3X + 3vnZE68zkEJ42P5CoVAoPDmIMYrInmIaEbmlfdqeqFnWwity4YVCoVAoFAqFAgDAKYFNQZrNj301 + 6WPvKpf6WRvatclanHLawrMuuOy7v2PtwvHT/vST/43r9elsc9S4YEnVK8TgkK1tGSDw4FnFBM29 + +5SFqxhqiQVOkICutxh7aTA+5BaznTvu/ehfvu+LVz736hte/Aa4MN8+vj5aazuFZatWKBEIEQFA + dZrNHBKpVEJEyUKQ1LndDfv69uz+D37wfffP7rpP7k5rvfOICla4RxF1OJuQgmFqYAWBCIAR/n/2 + 3jTasqO68/zvHRHnnHvfkINmCQFGAwJjIYGMMDJCZTDg5dUuY7eHXr2qqye7q929vNr2h+oaV7V7 + udeiusrVdrlcqzwUeMSmynYZbIzBTAKExCBkoQkJIaEJoVRO7717zxCx9+4Pce59L1NK0JOUypSI + 34eT75689wxxhojYseP/58CiKaLrdf7A4/dsyIG+2jTalRxZ9t9Nls0qEisYpGA16QaeffWhL+85 + d08b/WrYT6Bk4koADsBC15uZcxc1paSqWkVaSXd9+ZbWjqjvnDcVg2WL3ayLsjSGA4xhukOtjcm2 + 5WJiVA5Qi/VK8/ihR+985Et409D2W5PEiN57H0IgIhF5dmXis6fyKCRnjCxhYzvNX5eO1wQghLrr + upWVlVmaM7Smqtug7zz7ivpNP/O+z/zRHYdunocj/dDWdRWata5tEWA8OkArFiInrM5j6EEQzjIk + gBrmovXKpiP68O3v+9ojX/2RN/z4Rfte0W6FPeecsbV5hByTJ4OJRIEFR8RBo7I9da0YBSUQRrme + 0avbwVhURVWZOKDH0GvLQcOK3f3AbR+75QO3HLgxrQ+osdHPyVCN4YpRzoNNzUa5kJTEOSYOCZaT + lCviKgTZpHpoXnHOVf/1df/tmXTeatrTWEVgUFIGKJGBwWTEysiyhKRK2+o8LtuLn37Poy21iowA + kLGByJBSmk6n83aLV30ns8e3voGJLQyhnxJs0KQEVLUfIOQgJvc/8pUrz/tuk7yjwZDMmAhERHay + dUdGF0/AlABzMADKBufCVtvRpKI63XTbDbSejmK2JfPAXGXpGsqu2LpToyg/cdkzMm+8Dm7ei2NE + tUPd5nSlftjf9dBtt3/23ve99pI3vO7l156958LYhiF2gZObuDR0IObsdY/AcBCoarLE4AQRSsLK + HuQMcKZIlma+7f2Rj9/y/o/d+oGtyUZcn4dJc2RrPql5pWnarQ6KpnZicEHNxMSt1mvDbGvd7QtH + 9vzk9/9Pr33RG9vH5Jz9Z7Rtl5KmpI6CpXxRThZG0mMeAnvTg/Ebf/CJ3/7SoZvbplWPoTc2eMAH + JSfDMCihqjw0jQ7xDBCWruK55J1mq3jdvrqMXbxOdk3WMDpmWGvcdz7IZdmNPhCqBIN3ygDIvNOU + vdWZPJETiCMPQzCv0b/65VcFbayn4IhMzYwI5Eig2VV9UeMYsuTUuCyckGEYVLAyXfvFX/y/3/ve + PwGQPa3tGE+ob/3Wcc6ZmYgcPXp0GeU+3Tw8Ci9sCEqpZ+8RnECd88kq5oH7Ho8d+OTb3rZy6PFX + d91ZCTLE4EA1o1Me3xdO4IzgAEbykOxsvKjOCGPrsSh/Pf9YtsoWIniWL6tXeB2Vr5fvOzYEIU+A + jYECI1NCFNSuErGIru7lJX08S/d+/PLXXffxj+KMBiuOGjJ0bZem0/WlnbZbVIZC494LhVMOEY32 + Y/M5ERFRCCHbdDVNE2MMIeRh65SS9z7bYRYKhULhOSA4ny0niVihFInUlhF9HkULTtkSAAxNM2nb + VmOCGQzGNp1OmXlIQ7ZJfo7JMWRH5JyL/eC9N1ORBBqDoQsZ81HM/NSW4XFLd2xoaVl6BDjnVDU0 + tUgCLJkQ2DkW211/JNfjZmawnKKmKsR0ovLhxUU8iXfRbvBVIBqNZdOQVJXMKu/zqMHO+63EfQqF + FzBmVtf1wYMHMb7WnpOxbjMsvLYMaNtWVR0xTpHEyROlfXJkI6XogwdTUg3EZvJ773r3//jTP/WB + j3xUgaaqU0rJBICqeu+zGdVio8/okLpuCCHEGA0sUNHknf/SXXf+6I//2G//1m9c+eoroiTPLqVU + NfWpsjFWVedc9kE3s6XF9Xw+r6qqqqqkwlV47x/88T//F7946OhRJlazPsW6abpuUNDQx8oHMRUR + AM6RiBFRFZxGi3HYM135k/f+p8tf9UqINlWdumHSVEM8vVSM8rmbmWr24sMjjzyiz8mjlIdvYDi6 + uZVSqnwR5ykUCoVCoVAoHIsa+h59P+m6vc55M3OyJVirah1kZ5qKUrYY5KeRMaeUsxNz38rGpDhG + HYiiiUiHrkf9+CT80l23YTpBqHPuqxESwH1yiwRKGBPBL7umZQztW5MTTbejd3kM3Y5LQlpED4N5 + sEcD2Pygx9pqXbdaK0WNtvRLzKW/wwfuqZOz/fLtlKA09v4VANhTSppgnFYa3/Q4Op8jCkQxm/vV + Vc8UISri3Y7I7gvrHsgpXnm8uKqq7Gyd/6vv+5zUPZ1OAXRdF0IoKWGFQqFQOB0gIIxRdHbE41Qh + UqNIDQETJHrjx6//qze/tX74wTMlrq2uztutIQ5VVfdD79hXjBSjWw4+bg9E7LqxQQYmhbIbmy5j + W4GzjsiOqKwtElMJ2JrH4ByjNgsPi91y4Vk//MnrsbIe5zHsnRLQavS+Hk9NASgxHAFYZBMQeOr6 + QZvgsLmJdvid677/O460Z6SW0Kp1RORErhZyEh2ZS3Gd4QMiYdZjpa6cSBdjw5VpeuonbqPtsbns + iGxjs44NJmm9bjQl6zZfbO7sSBdP5EuXX5Vo1kz8MAxMVfDNg1EeOWvP3//4R2h9zdcToF6O+wqY + l+Mjy/Yk5xTe5DBQHHC0/Y/XfN8reguHD62u1Zubm9O1/cNmdyUI8/mZa1OItd2BfSvTrdlWA3Dt + U5sagJNtpX4anCV56u4KZPBeTRGhIqiAvb5uj8721dOu39rD9SWd7Ns8eMlk9ZYrv5tC1Q19FUDk + zMLdTfUTt33WBVNidT47VASAzNN4druz2D72yLIBhoGQaPQ79wCzi6lzvsK0bo/MJntW3/KFT/yX + 7//Bza89ftbG0fN4lRGNZXNIU0ZOIiAzr8AimUEYMDgdp9fBmExBSPNBgYZrM1ebehKRGAjrW/1K + CLZhnaYExoFWotZDpWlwokGEU2QgrATprakgHdgWs3KIhJywAaoJa6t+tpUGUR9YBou9O9I0n1v1 + 7/j0JzFZxWQPqtUoXFV5wlzKxeCXPQ9yYIZ4GByDSceuoXnw+LzUVcDWDPP0+9e95aVH230pmkW1 + ntwQJF6d2ImAiZPspcp5IsSuQzPlAbTRxzU3NWl3d9FyT4QAY6/MBiIBQQ1Vg7aN53o+Y2Nrf0oD + k25t3PqqS8K0eYrPC7a90guFQqFQKBQKhUKhUCgUCoVCoXASMcKs24QzhcEMIOLRlVpVmQExElRh + 4lJ1+cteQ21QkLCywRlYeKnCZ6QgA5ShmrWi8hIndT6CLmbkMUydsi1VAR2ZJQYFVK966RUP/u1X + xAt4GDXrjJeDVWNRmB4/rpT1nU4nGHCJncCEJ800DpbUqqkf4gAvg4uAMhmMJAkJRAiuzHp4PpEn + y+B08rRGsbUuFAqFQqFQKBQKhUKhUHh+MmbmbueX5yCwB4zQRfgKK6tbaUiOHIxPZixUBNMacUhm + NmnquDXbg7C3jRDbPlIblZRfYFMcC4VC4dsZEclq4CLinBORLBquqimlqqryejPL/1umeRcKhUKh + UCgUvn0xZmy72iopj5oObKKkZKLra3vj0B0+vNG4tamfHnz04BmrZ193+VvPO+vM937o3YOog/Xd + JioHAKTHiZ1hEf3xslyZhND3WKkcMUmfHPvp1EvqDs5mVY3OSTsMH77lz7901+evfuUbr7706mp6 + bqgmIVUMn6e6q5ojO9keioq0ZXMipMDiZSMd/epjt3/2no/cfu/N602z5TdkMvQOKYIBT/DGosf4 + jJ5ydCEwYbRwTjAow0zIi5FUK7whWzfc/Ik+bEY35C/shjQarOYkUGMClDRBJXRfvO3GK150hW8o + xZiIHddqchJ9Sp9XmFn2r8pmKk3TdLVsDgdu+fINczvqGohpUkxCEBEc1201BmXH1DR6SCuzEcgS + wQD2SAOaBkeHDZni8f7Rj3/+I2+7/Id9GxhBRGKMzjlmzgYVz46NFqmOWbS69NheKNPheKE8YzbE + NKxMVra2ZlVV1aGZz7qpm8bD/UtWL/tvvu+n/8tn/uCLX/tMXR9t57PILTsVViWw8baPBiUAMYEc + iMkMDPhQkRt60wRsxo219ZWvbt7xG3/5q1df9sZrvvNNLbZW9u7lFFhYlT3X2btDorpd2nM4QzYi + yUZ9y0IUMr/ie+020la1h6up3PO1Oz9/0w1/e89N/XQjrm9soRt6NI2riYc+jnqApEIgJGUwoAYR + +Moli0nFV8GjRm/o/J6493WXXvtD1/xE3a6u6v4JT0TTvG9D4y0LghicEYzJPAAjCGVHXs6H6kwB + COlp/TgaA0TGbEpqHNhVbpB+z1nrH7rxtoT4JD4D3xQR1LWPSDFaWPFDjA88/BW8XrVTYwMLqaiB + lcdE2pP9Mic1Mh3rzSx0IiCkqHW90vm41R6894G7aDps6pxqQJUMTItnyRgLNUk2LFWiYHm8Q7s5 + mibfT7x+xiTG/nB3ZLqOh7p7HrnlwZvuuumKi77nqpe/4YL9L+kGG2ZxLaw7JZUoSaImB0fGqhpC + AJtCI5IgEpnBBtvk2m8MR+596Lbrv/CBhzbuxN64ITPx0NhWDFaVvq8I5GFGkjTUQUVSUu5w9vS8 + dNj/vR/4Xy479ypsVmeu7W03t1Rl3/qew4ePrlRTs6cpjvnUC3+6FmbDoa145E+v/6MvHvjc5uQI + 10xKIuaYjK1XZQwcEIyhoz3ntp1PlhYamzmcb0YdbYpgNHq0E07KMvshHfcE7PykO44TSwNsS0qe + LSsrsbIaAV4GDARlciaOUjijOe+i876TU2DzjlVTNHFC6r1TpB17YVCug3jhz1Q4EezYm6bf/M3f + +r3f+z0zeM8paRWqPqZv/esdpJSyLwgWoe+UEhGV+HbhOYS9rwlqGp0HevUaMe9w5PBNb33bOffd + d+nKCpINQwp10D6muQZCrtBBNLZ21Bjq1Ix3ylsXnpdorggN2M4PMSxqzNHNeqwlAQKDyODMoAQS + LCyxiahp6s2286BJXYPkrAHD0aOrFW699s1fWXc/cv2HsdnRvv3TpoZGuNqye99COFKgCjCUiuNe + 4VQjIllnPHf2VbXv+2xvltdnu69hGJqmOdUHWygUCt8ukEGSGDs2MHMIVZ9iipGZ3Y74OZY62qdk + CQBI/UBqKUbPzpKwAaJt37twCvp9ZGDnIJL/hig7eO+HYXDMNsZtclSEF44nDJzqktyxPD54suO/ + VDUH51cmU1Uda/C6eiolk8kbd0RQA5kbC0kBcvTk5bMc0jpJ99uJjM9P1Ofq+xZMjpiZqyZ45+az + 7rg8ulPip14oFJ4znHMxJSF6/PHHGYjynEqNEJHBADz22GNmdhrO4yDHRHR0Y2PPnj19N1RVmIb6 + N3793//8P/7Hf/Ln7+uHnkAAsrXzMZ7WzwzPQVSglruWdTMViTFG7+j+Rx7+r/7uD/2zf/JP/4f/ + /u9TIGYehiGcIlkfZt62cwaYOYevm6aB427ov3zPPf/on/2TT33mRue9AmIKAhN3XVdVzTAMDBKR + 7ACdw+YAYBb7SMCF55733j9+z0UveenKZJK63jsC2dB25MJpVTc551RV1XKsXlUeeeSR5+YpUpEc + fzPgwIEDF15wgYg8A2uOQqFQKBQKhcILDlVE+T8uecXLnfN930M8YTVU3ZD8wrlOF9m/TnkRy3rK + NnvZ0Pq4lWPeOIbOJsBaqIfIBz0dXatREepJiuYdg7kd+qoKrnJICd5jEWF0C0PCMuP/W6EwgNQW + afrLAXRCLsbFpVz6FBoA9GZ1CL9y163//JJXsCRncRRaGNPMlMA0ZujuLiUsXy82KEEIIHiFggXq + UmrYebK5qGkSxUoz+Z9f89rfuutO1A1mM6yueAI5rzs394JLJslW1jkGmz2tVVVV67oGYGY5al3G + kQuFQqFwmkCAy40BBcCOIYv2GTEPEsXxZHUF5H/gY3/zN9d+X7+xQgcfPm+l2ZrNJyITX0kaHPnj + GnXPZEIZ2ZhwyMBiytLOpHk7rgHjCWGCPmqq62/Uk6+tTX74k9djfRX1alhl7Qc2avxUgWHofajH + 3x53xIQhoaoYMsAEXXve4cOXHhrOHWagLSUbFJ4BhXecRHNabA8IMGncrBtWfNVUK918o9qVLS6p + Eo/ta9tOlQTQhBD7zgMBOIPIhvnh+aHzXWCKdhhNAGzeJjtj7Uy31aEfIBEWQGE5D2uc/aTZRGF7 + nwYFxCFCe3Tti+fddxyenTWbx40DTdW0Gw+BvDJ5tnj4SENuWnHbzqdNQJKhTQbUdR37PhBygugu + IAwJwYMCJyOnDsI9zMeumdYb8/nqZr/m69nhx1Vt4qtJCLoxN6OIyp1zFoYWe2oG5zkcyyk6lG+J + J+RmPMVDAgDzwDhVdtnwHvpY1857rzq0qmHvmYDA8w//1fsxi1/8/h+kg4+dZWzzjUlgiGLRtnUG + QLNF/Ch7ZiDk6UuaE26DY3BIMQKxIpYkwQOEoCBNw0asgKqipAaAh9bDLMEFNxi4xhBjSugUHjmh + dru7ACMQO9auS3UNUbRqsrp6VP1D+/e84zMfwd411KuzSFPHFWAG2p7RozDOBvIGT7l8CKDtCSu5 + 1DuxumJoBAR9e/aRoxcfnp879EBr6BLgCWpw7HsVBQMuIhHQNNic62pV1dPVfr4Vdnm58iVb9Gsc + AJgYIQHWY1LBkoXZbL2aqOmwuUmAHWmf4vOC3d8+hUKhUCgUCoVCoVAoFAqFQqFQeHrM2xkzixnl + uDOTwDSrPBmLaMW117Be73nRvgt928hC+8nptsqE0baoJhlz1llaaCQ9N7Ax1AOAMRmiCrx5x0PU + yy78ro99/kOtm1ENNn5SvUpmRk4mAeWJG2ZG5GwxbHE6QMaqxObMRBktza3W1sch9MkPCYNzzsH1 + HTVuyk2tw+k4dajwvKPYWhcKhUKhUCgUCoVCoVAoPM8YE6lzYDOnIDMARIICQsqTSoGq5s2K+kDh + ZLpmsCEJyFEAKwwq7MiRd6QQwJAICSCCA7wu5rmWyGahUCg8/3HOee9FpK7rvu9DCCKSpdPymqyk + 5pzr+z5P/C4UCoVCoVAoFL5dYVLvoMJpFDkwUmI2ltivTKdd18U+udBwZexcF2d7w0qKfT+3i898 + 9U/9+C984Mb33XL/5+oJDdaCI1iBRcTHYATxINMqsVc4UyVEh8SoVnmW1CGwn2jqu3nnGZMaES4h + Rj+s7B+OSPsXN9/1ubv+8sqLr77qFW/aw2ev8b6KVkgcC1fkQgidxJMUYDIzccnv0y09+o2Nb9z5 + lTtvve/mew/eMau+UZ1NB1oTQlchMRxQw4Xe933vmpNpw/m0MLAtrMQUjCwiQEiajGVrOHLrvbfc + cd+t/dqmBQEP3mMAACAASURBVFjanaucMoygi20CiwhbQNvPHnj0q7fdfcuV579hBUFRObYsx1Bw + zolIzhZNKTVNQ0RHjj5+0/2feOjxe1H3xioCZhCMTWGjXfqO5Fm/vNMWl4xho4qKYwwEddZ3qNcx + tP2Hb/zrC9cuvmz9yn3+bCIahsHMssx6zlt95ielozGzOvVZ+IONR2Na450Xnm0UkXFwfd9Xvoai + 71sfyCBQcu1kmvb/d9f9zEV3XPIXN75HOOlaNzgVG2XzYMxQJc2qE2YgDwM09iqAwFGojQRS1b4b + Zm01b5v5+7783s8/8tmrX3HN6y9+4x4+cyp7fKqcek+BiARJd2PzzAaYJygbAW7xBmUhBevR4Sit + iNsbv/r4nTd88qN33/+lTRyc79sauI8GZqxWFKPMokxqZylrkyRbaDKyggGuWJ21gwDwFPuZNO30 + nPolb7niB777omv2zc+Y0Pp8o+s8VdMVgYATSNiYDG4hX6NgIcjoP6IOSqbOEgAzltMpHm6kSjBi + HBunzzL4fd/VK6FL3WOPPXz7PbcP0mm1u/eJJ5BqUgNBRBylI5uP3Xn3377yzDOZGkdJKbKSWZbc + POlZ6EYGpFHnaXHnkSFFmUxXW906Opu3aXa0P+r2wDykhdPxy7rwNubjtEQJSuPW6gn3vTqnDHRb + Wwr4gAgnEyIfH05fe/yuR2+4/aMv2veSq77re1576dXzrY0JrYWqdsIQ58FswcxijAhGHo4JnhJJ + l+ab6fDn7/jM7Q9/4f6DX7bVON8za3udTieIRn08YzUk6drO6hrE1A0J4L4fVLDWBNc6bE5+5sd+ + 7oLqEr9ZVVwNQ2dKwYXu6OaeZqrikukz0qz6VpBp3No8NHz9jz71+3cduSOuJnZeYmRG5ZmIokky + MFA7rhQpifC2hQ9lYyfNUxfG95KRKqvSaCntdPwa6CQsgWxNvTig7Xsga3UdX3Y7B7+MjaCkSioM + YcvetJS0Uu9ic8mLLz8jnO9T48ipqJl556ImR2wmeQuLXeuo/7X9sfDkDMPw4Q//zS/90juJ4Nil + JEx+2KWnNQAiCiFg4WkNwPuSbF94ThEggjXplBjzDcxnmM0/ct1bXjzIBZtb+5qVdnM2IReY+j7W + wTMcIpGBKRmJkuXWjmazO3XGo8IZYIysYXc6tU4K3xSl3J6BHqM1udS6ZgbUCIDRqGjpDbkHCTIs + FNIBSLLKawMKTT0bOiZUhJqcxPn5Gte3/M2X/52N88+77k/+GOfux5QTNIFrZFl0gOBckTUvnC44 + 55g5xlhV1TAMeaWILGttIlLVpmlSSqUqLxQKheeA3I8OIZAaE4kIUQLAoMqHlCKPYb0n+e2TrXx2 + Or9P2m4xsBlCCBhVBoiZU0pZg+DUoHDkRJOqZqNK55yYmsnoTwPlpb2I8e5tRk5iMIF22DnrwhQn + rxiGWFWVkYKt7ecppbqeTFamu3UkNTFHTknMDDReVSJ60vKhXEb5fnvSre3+HJ+whe1bZedAjz1h + DQAj+CqQp8Cubduh65mI2dd1nYbRy9y23cFpx5YKhcILByIytYcefnhISkQwI5A9Vw+7yBhZvu++ + +56twcqnB+1oDBxX+6eU9q7vGfqhqSuF9V175pln/tqv/dravv2/+7u/2w+9I1aRYw79mYUn8vAe + k4sSc0i+6zqQuuBzPTWP8k//xf/1wQ9+8Nd/9d+ee/bZ06aR3YeanxVoR92XSSnFGH2oHn74oX/9 + b375D9/7x62oc9zGxJ5MATNyDNNhGEIIKUazcXA2D4ZXlU8pQXHZpZf+/rvf9ZILX+xBEiMZqqru + t2ZVCCcrO+TpwswiApBzzsw2NzcffPDB52LHNPpcACDg1ltvvfCCC56L/RYKhUKhUCgUnkckYJbO + 7a2bb06ZplVIKc3i0FBlZkIgQBi9ByumEQykE0RKT8QYBDOQLYeM1QjEYGDVO4mxA7Ta96s334T1 + iQr5xueR3YkPG/ON9ek6VYyds/sVRNmtGc+hkOzzk5wXTpCFf6OzbT/wheEcNGfzgkkBQ+UaYIaG + v+7S2dOmblm0l8XVjAyn4MVMgKd+P5CN5og5NyAxCHAGMjgfJMWgBIgDvOeK9Mgw2LyFKIxQT6BQ + S/AhW+2djNI65WRP6+VHEcmjADv713mms6rmjvYpOtJCoVAoFJboOEHJ/NIsFgCMkVA5B2ga5r5x + OHPyli98Ckc3bvneq/ceObi6Z6U9OgsmBjhV22Uj85tgvD3AzFAyHDPFavk/uXVKSkBsoRi2ps1n + p/SOT38c6+uoGzXTBF9VALwBBO8DSLMTbo65u9yqMgCoPACodhwEvlffs5/rMDMzMHFwfdTG1b0I + wZjJPGCRo9EgK5XfGoYkgw/sRP1uRsjdWP6sIAYAU1IAGmPwXpIIzK1M2q3NM1ZZ+8iO+mQmIPMV + PIRiAiiAHdiPCf95PkI+SwaIc2MyT0cgYiYGXI4/p9iTiPNOEvrYBYavUiRTweoEsRUbMJnQrI2V + d549qWo/BAIm3M11twIuVQ0bMCQlMHHVa7d3faXfnMV5u14BkH6Y72uqFBOTtu0sENiRFxNp4VKC + sBATe84JDHmoPoHMiBcu17vAoBidyDlfgSpv1lC7AANBHdHEhyiaiH2YYq9htbvy43/6l9f+nYt7 + OidWHGNu1unY0lW28dYKmmdOKRbDQ/l+S6bekUIN8HXlE/qUAqE3OLbKoWInUTxBDObMCHDoojR1 + iH0MzteV71NMDECCwSkclAxeAsDOmyF1vboavasemdb3nXPuj7z//VjbC54A9SQAAmLMZxsrq5Ox + DAj54SD4xREDi86IB2AKJRBqxwoMFqs6IfRSzRFmOswAY6LAlgSeudckqJ1zAYCKmCBirUHbDW44 + HFDRroYsCSCwwZupsRLD4BAUUSuK0ShyxTXQx6EdgGkgctwn2cXzku+EQqFQKBQKhUKhUCgUCoVC + oVAonGTmbQs2EgYUxEomYzYKmFmievY22GUXX1ZjWqFJZEbwCjawZvm7cQkQI5DBGSuYyZ4DXSRd + aECxwYsj4yyx0pMRCagnS+euvWidz95MjyffgbCtH7WDLEFgZkwEgxmZnXa5JAZvFIwpoRWazerD + McxuvuemVLfR94kkuMZaH7rpd5z58peedWlgj3RSFcUKJ4U82fkUTvs6jiLPUSgUCoVCoVAoFAqF + QqHwfCIrBu/QRQMwJiK7UX+OBRhglbO3ffgj93/vG+rY1rKInJICprTjx8YgHeOM29/ZBcED0czM + hRBTZCZJndeEOCAJBQjcDjH/kkBcKBQKLxDyvG4Afd8751JKZhZCiDH2fb/8DjPnmd6nUgG2UCgU + CoVCoVA4PeAsXIVszsgK1HVo25n3VSA/iBgh2QBSArE4p01jfFZd/+RbfuqiL7/ig5/+8zkfSn4m + rhWG8Og7KwSF8riHjI6BH4MqHLMnVpB3xIRuMAvkQwCGTvrEfdiLx+MDH7vz8Rtvu+Hl51/+2kvf + cPH5r9y7foZF1w3aKTNXMLc8j0XK2s5G/jcJKG27MCqpsRglYVNKStHIom9v/vKn7njw1q88eO+m + bGjT9ZNZCkiwpoHZ6COsEQkI4KpqZHuy+gn2S6PhNwAyrmPNKXhQPazT9omAjUCmo0IBH3e0Jz6X + 8e+d2Y1Z+0ApZ2qqkShFoYHq2NnG7V/9wl9+6s/m1eHt5EZayjc8heXyR9nOjEZDzRCQuhSr+Z9/ + 4j/X101e+dLXeL+WhhgQvDjAb3u4Yqf8XFbC2JYXOV0S2RYoMQA2pR0Xwha5ods6LeOXwYtiXTpG + 5EsvoAg1FjgTN8SJHtg8eMvXPvPRL3xwWJv7hreiOofgkbrIbvvGOOZYTI12eFGQLK97FNQ1+h7T + NbQDTGd9s/nev/n9H32Dvvz8V62t7hk68eaBSpMxPIN3HiRO7O68OEddnguMlTBeQbPRVXe5tWVE + 1xaO74syMQIYBCIiEeFscCfK7EncKu2LG/M3XPp9e1ZXPviFP/vqodur1dj5mHfKliUnRrdvOKQE + VascmolDIhvIcc3aS5c4QL11NscaHpR7Dnzh0Ru/+OnXXfy9r7/kmvNWLwyxSokIgciZjQ8n47h8 + 37F8Rjl/UiA7cy8CCiTCKpyULPpBK8z1yN2P3PGFu2746jfuaN0Rq9stm8UAZVQEE8TBnENoXIrq + shzjdpGClQEIq4ky0HhqZFVbvnj/q97+2h96xTmvXtV9oav7dti7vi+JHdnamqw0ot3CQ2aJGWl+ + NyqIwQvnwRyKfy7Mm8cSMs4nqDnmv7gxhBijAbBi8dgbePQ+H/13TSlaHQ8NR21VPvDBPztw5IGw + x1KuUJ7y+0oJbVJ4TBt3tJWGaUM3PvCp91/wo5cLae3FGcjYmycjNspv8+333HgwAMZhC9quN58G + x7/MafFSraqq67qBo5EoaWh4ljQJpttf3d7IiRK1lZCS+pogpkm9A4g0nxQpefVeUCWL6Stbm/d/ + 8t73f+RPX37ud56z5/zzzrngzL1nr03X6moaOIw+BCRtPzu0efCxw489euDhBx954JEjD7T1kRkf + GpqtxEgOLqAfxAutrUxmm1uhwuoE8wHJrPKVmauoYtBwpHv5eZf96DV/70XNy1bT/srVUYyYfAgq + 4shrsiQJ7J+erdGO6o+PMdtZvH+UVFlimD3efe09H/udO47cOqx1nUUyrYPv+5TFVdmjDiyiMSqy + yiftMPIxZDkhzvcAKUhHb86laNfShRrP/hIA7yiep1JS2YcbSCAIwXj0cxolTR3I4DhUmF527net + 6H4vDqpJkyPnvB/6BFJLSo6XFYHSifdX2IESrr/+kz/3c7+QPSbExLET1afhEENEW1tbZpZz/YsX + ZuHJ2W7RHfNpJ8v2wii6t/1NJSzH5Z+wTYIDHBKGOVLCvH3Ptdde0naXH9pcaVuFrUynEQRPGq1i + glKUPixqMIKxLdTXMLZ7SNmWdSuNXaVnav7zzFi83LYPY1nbKm2X284qWOmYr52Y41onGHUTjZda + i3kX9E23pvTE/32SftpTPqpnjZ19EzLevo1s0Z8lXdRadtxF9p7TMHimrusm02oYBjIfh+Qd9oHO + EDtjc/7Igw9/5C1vffP1H0UUv6a+qmFh3Akxso3g4u497g7f/ojRPt0Bp/peK7yQERERAZAdrZaT + RFU1V995jfe+1OaFQqHwrKMnbgaLSAgBIqoKJjPLyUVG4G1L4mN5kpXPTgPiBFshqDA5AySlynkA + KaXJ6sqQhmO+Z8e0vk4eudA0QVU5+JgSMzPnsYas6U0gBpSMt8MWu+AkNsh2JAYff31DCCGEzdlW + Xdeex/B7zjHb1S5U1XvPIION/QUDM6s+efkQ8M3ut2fOju0+cQ/HryGAqO97cxWA6XR1GIbgfNd1 + nsP4FXvWJOYLhcLpwBMrDoUx8wMPPECAmDFD1Mb32Mk+mEV4xIEeeuRhIlYRZl7WcTuP9lS9i5xz + fd8TUV3X8/mcmSeTyebGpjL/f//qX19wzrnvfOc7+zQEdlHFhxBjfFZqNrEIwDsfJTnnRBWApATA + e5akBNx40+de/brX/cLP/uw/+Omf3r937xNH+3YW4HGX/tktT4WBiZgN6Ie4OZ/9m1/5pT94z3uO + zucCOMe9KBiavb+JJCV2TpOlGD0YBIGawQwMaExkeN2Vr/mdd//HM/efMQkh9j2xI4f55mYdvNiz + PLj5zCu7lJKoOhfI8WzeHjx86NGDB8ZH6Njo07Mei2JiMwWgwK233fb2t7+9cs7k6T+/peovFAqF + QqFQeN4wjoHmJEbkdONlW3nRBVBI+ndvedveJJ69sW51sWKq4c1szNBd2h5TzoMCL4ZTnyLL7COM + fm+qYJhaQuXRJgHCFoWN9SmmawmenTMCCP3QN1VYn64KzIHoCQnJhW9Nzsilb9ZFWqYm2NL0mnPy + W4Cvf/Oee/6fc1+2ZmbESkr5CyO6mFawy4PasevtlWYKZFu+lbrq+kEAT3IWDH2HSQNiiLpQaU5B + Pm5beIF4nFdVlVJi5hyI9t7Xdb2c6ZzJLtcicvrowBYKhUKhABwz8jq22oxgNMxn1bQBdBDhfeu+ + Dldc/9Hr33Tt2nzYv77WDMoyg5l3UIMuotPLBMjlR6fbH080CH7i0OWyIawAK6uBycAGBfdMW7Xf + mIa7p5N3fO6zWN8L83AVL1Ir0wDvAM7hVgORjE1idXnLNp61QUJwUIW0ygleAGWmKAamuprEITqw + dz5pnA393rXVPm6RwYY0DW4G2a3PABmYsrUyLZIwdXlBLCUH77zrtjYrB23VDEM0xyD4ZOIxUYHj + apy4BT6mdUjjfBjbmVEJwEBEBmRH88mktkNtSi17gGARMGs71AHSwRNChb61pnZxEE+ewQY1s2Gu + jYPtqndhSD28gxdieNVIsGFrxg4e0AQmVATrBwdEkUmFISF4U0lmEZ4VIDMQ5QTV5Rw+ICmc7bo9 + qYtJXjtE1JYFyPm2MKSIqg7OC6AGXllDbzh7/w/e9On3fs813+n2nbUxnw6plpQV1RbX79hd0WJh + AOCJ4tBVnsDcdV0AagoGayrElDpB41lMKgdJqBiiEEHjgCF5OBEdpK/DtNMBZMvbhm2ctGXJOqit + 1V937oFQX/upT105neKMM8EOvooDQgUwUpSVlVWogHI2iNpi7gkWHUlblNT2asu9D/MuP/mdcGQn + gDKRmDmD95ySMsj5kFRabafTqc7nMFiPiYcmMGR3DeJFljAZGLr9yjCnClWpXB3TQEBwddBBk8Uo + u3teCoVCoVAoFAqFQqFQKBQKhUKh8Fyg/dBaIwCIyEgBIoVzUIVrvKZkBh78K192hfWkybalJHZI + 5y3Un5YyHouhFhoHQU4evK3bstivsTKcZ4GJdM65adi3Z3LWYyn0+eBJs+jKOMjFMFLnPMA5gcQb + qZqakHOnmyCj5GQfT4mk9u5IPPwXn//PR/gxcqqwyk3TFq3h7De96m1n7Dl3j9vnSorY85Q8Xrkz + x4/0VN2NRZujUCgUCoVCoVAoFAqFQuF5ByvgeFuJBcQEOIFDFsrXBj3IEFYP+fU93ANpTHE3gEV4 + sRWwMAHsIWyUNZ156X3yFFEYYMxJzIgFFli9duh7dL0zCpXLcwlshxnAs8IwDFVVAej7vq7rGGMI + 4VnbeqFQKBS+KdnKmmhUoc0rY4zIA5NmAEIIWUa8eFoXCoVCoVAovCChbJRKT5zqXTiOMaENtmgZ + G+eVUUHeCUTUsJyBzhZpMIOp8/3Kqqw3Q/+G8976qndc/qcfevej83uPxAOpGeboo4M6VYNT8mAF + BiQiOAdyYIUlnRBD1Sw5IjMSU+c4GauC4AkqpADUp8hbov0tBw7d9vBNe+szL7nwVZdfdtX5Z71k + grW6W6tsQkJmxAC5YEaSzDMDyO3/7EJKRBh13MyUyBggIgKTsSjFFDqp+s7NDs4fu/eRL9917233 + fePeDToYfY8JjNTIlCRk2QYFwN6UOcuCWU89G5uZCgCQH90dTFQNllDX5L0fJMYEEOBQD/V0dsaa + 7L/oxZddc/l15+19qXUWY1ytV3ToSZVzCeQUyYUiBkEXZl2K8RZnE4RQxUGY2YWqS71CfHBDN/jA + RM4sCRN5Eo4D96nqjw6P3vi3H73h1r/Z9AfcasduhyQZPdXlaOg6RuzSeJAEFVQ1etlo280//PRv + vn527VVXXrNv7bxma++E9jhxSeBhzjGZDCn5yotIVF1papUhDv1qszKbd1yH0+cZVmKFAxmbMoyQ + kA2IjV3gvh1qXyMHBptmHttQNZLMgZlAowMlZVthBVMdtmiuoU3N/MCRB2687ZOfuf3jw/pmH2Ym + 6hkwSAQzFDImqtoo/gdSxTD6m2Y4ZUv1rGRBBhU4gvaoCMxoq8MH0L/rk79y+UWvverVr3/puZc0 + siIzV/F0xa3pwE4dG8wMJCACL7N7YUpRpQmNJjGJdR0kiZHqthSFNziCI1uIdhjbDn9Z7HwXky7t + DC0LnkCIOWtHEI2C9ezgLVi/cvm533P2tS/+7C03fOLOv9b9X2/DvPKOmdKgROSZBlHOhh0OZEhR + iJx5wAZP5gikQAIzwFDqek4HtPuLO+7/5J0fuOT8V7zqZVdcdN6leyZn1LZa9VOOzozU2BMIbuHs + mG2tTczIAGYiIiYRg+PEKVonVS9VPDw8fnD+2M1/+/l7v373g0fuS76lqfQ0TzS6xnpdaOwRTCEw + MIkqO7DLYpLw7Dw1g7TCgGKdnduYTru9r73omuuuePuL9ryM+srEJ4CbahZ7gCd1jWRELqdUG0Gy + u0x2iCRZmiOyqYET67YZ+UljYQMPMjhlI0SCAc6bCdq29ZNaslWMak0gMjNTMTGQOSavzhlbqzOE + wa8PDx+470Mf+vO7Hv0iprPB5gslzqe0VMDG4sEwSONgEtt6eGh4+N//1b988+ve/upLrghdzQM5 + NyFxkoQCmTGLUVbnYScEEJhdin3tmAypH0JdGbsUdbe+kQtp+GMTZY3EVJmqmrxRSqrCodKUADCR + MzYzsbF+gxo8ja7h28m+BDYYQ9RydYOFS6iDaU5hJxnIxCdyLYetWcM3b36Dj5DdT6bE7ODYcSDH + IqKqCtH80JMaEdYl6kCVMjsy8QnOgXxUslnseYJkSBEuOMdOEoVY+XY1tNXrX/6aN7/urReuvqzq + V7x4ieqY1SxBwEwENjjnANNdS+ezkqqLSnDiAXXiAUQkX7mopqresbh0RA8eGB74Dx9452Y4ENfa + xAMTiKCifmFdZAYVHV0yF/JBSx0h0KioJbkRBSALvNrCI/Pka9coL51Bj+FJy4w1m8dDKAknI87H + Twp08LXrklRcd3E4a7L+6pdcNZ1PkYgZIGeGTiJ5l6I68nmfDM3tQpDCdKFO+23E8v2G8QWDUbjK + zHvfdkMIQYmZueu6u++593/73382P3nZxzqp4Jv2UpZBbOecqua/s2hp/kL2vywumN9umFm2R925 + cnm3ZL9zWk66yj+hnWJh2zDG4XzO76tca0ABdQvZ61xzLBSNR6E3pAHpKI4cQO/++nvffFWbzk3R + tX3FEEY/zClANPH4Kw3kDNl+z9hIYW5skOSj12x0zZa7NvQceForGT/hRb2UR1z+nVfnz/mdqQQh + KEEJzuA1aymyATnDAeNZYGwKb+9x5/4td1NHf2WyRA5EXpmhShCy3HH1wjsFo/NvjaBEtsN7m7cr + qazDl+fd2TjRLnuH204duWNO+Vh2XfKcBRN3mGcvN8tQBWDMBkAU8Caw8VpvawaOSoEEszwDLTiy + PgYQmThHBjYZYFYB+9NWvVl/+TXf/dDq2ps/cz3WV1BNtK6zqrUzhgAJCAAhWnTkGEwicE4IALwB + pAkA2Nm2jF2hcDJYmmLuFCRd+IBiOTxdavNCoVB41tmpRLyzyacwchxVsuK2kbHnpSeHnaiP9iRr + n53Ww4m0uJlZVQCwZzGFwddh6Wl9Uj0pnxRmEknk2AAxzX8gB7rHg8kS5rTwGtltQOQknkPuyiz/ + Pm6/w5Dq0ECRVJl97nDtdhfMpONNRZq1scfO+5OXzyh6bCdt5HJHh/GJuzh+jQFGlavI2HNIgzCc + iDn3pIMyp89ATaFQOJ4TVQfbNmaAdy6lZKJwTHDMbESqys6nob/hxpsEMMA5JyrPhamtgR2rCAER + 9qXb7oAPSYQMk6qOwwDATCvn27adTqeDDjmkhCcL6Tzx793G5090yiKSe23LaSAxxjp4b9DZ/Of+ + wf960YUX/sN/9H9+4/Ch2ocuxnHv5C1X4iGkceWTx89PVNK5iSIyAJBjLYpTUiIyslaVgV/+9X/3 + H971rn/4Cz//Ez/242efffbm0aOTyaTyQSSZKhlUlck8uxxeJgM5FiNybGaqqqrLJOqcUL0MP+a/ + VZV3HGlOwhlDi87FGMk7crw1n9/9la/84R/+0W+/+11xYVRhQFJdxoGc99mce3mBmFlyTbqoLMnw + kz/6I//vO//lyqQhg6bk2WWnBFeFtNjsrqDF4Onyt6P00yJQuTRTWdwJuuO3x14a1Ww/lg3Gsgc8 + sScCsRODET7wob8+YdtyIVG1yxM40XmBeDzA2tefuuEzPyfmXL5sKYTAzP0weO9VVUScc/ma5gud + Q80io5MKjcc3xo2PLZCFv4kds7JQKBQKhULhOSY3xvJy2XbNy5xZkRu3ZpZSeiGPv+wYRI5Qh9EC + UB23Kp5dAJwqRMAElcMP3nde6skUooFydqhiaURtIKBOACBQ26WNcfYwI4CQh/7zbz2AhmhIQsBG + 4+6Z1v/2rlvBK1BHC13SpqoxplHz2Mbc1rvM/3Bpe34LaExA4J1poLSzE8F5xeJ/FV6RDByAgLrC + TPtqEqUzmIl6RUUwo2xBzcy7MlY2GjNjDWCDX6TUGQGqniCmAIZhYIIaGtUXzbd+/uKLf/nrDyK4 + NHhvxIAgMe18hHNf8AXyUHvvd6b9DMNw3Bfyq+y5PahCoVAoFL4Ji/DwmOQHXiTSIxCAaroCAHBV + nvY1CTj//Gu/dBs2Zu973euvNj1rSKI965ikCg9VpIipr8i4l44cQs3dXCsCV+h7VAwmiMAxVMEE + MwhyjiFI4esq9YMCnmiAVd5LSjnnH4woMNKmDtrF5KYHeeXhfXuu/vj7Ljp3HSt7IldBq3HeG0MA + qrcnxBGRLAK4BBAEEJDLMwYVLGBHxzS+oOYJmgRoKxAgJtHBVonTbKtajt8maQiAuF0GuGnM2zfk + WQvbuetAnl6WtKLRguGkZ0IaHMMGrDIgi4hxj0DQKBWBVJcx8Aq79LQGADjAJF/qRLkJaKPtdk6P + XXaIAmDpZM/X4OWkyW3ykMdyHTvwdDm5MhkU7Ku9sBn2VT9+yxdwdPbXb3zLxYe6C8So32IkPw3t + vK/y7BVS7/0waFYiM0NOKkxqoUHsDSKjSBmZaMKAyiMqrI9VcDGKB0gdixggAhfcEFNAaMhrTBPv + +xR9mBz/VwAAIABJREFUXckwdIqa4VmgKmaxXrm/br66Z+Udn/gE1vaCJvB1fsJDPZ6pr9zCwJuX + Z78skewnvzvjcjPOXiGqLk8nTK0nOLDN52FhI2Ip3wNPPlnmm+4gm8ErLGKcX2lsqJJWBE197lSY + RMBO+vNSKBQKhUKhUCgUCoVCoVAoFE4Ri4QiYHuMvkyJOsVkfZ5RrnEZ+x2Dz6yqzjmBmJn3PMjQ + p9ZMcvaEMADxBCiqKsy6zgUMne6T/S9a/Q4n3uWgch5SWATwbTFNYPlRKY9i7FYb7Ongck4+qRKS + S2QMc0aWpPPBGE2UQQc65+zz737YjGBkSYwZ3pGLJoAGRI4u1M4qImKDMxf7jqd1ol2PN51UlBQu + AWqIwZzrVitrNvzBrbXDHNmTH6St1lZn7eNDdWRag7vEcCdb8u7/Z+/d4yy7qvvO31r7cc6591ZV + d6slIQkkGQES4iXMUyCsAYwdg8eBwfHYGT9iPmQ884mTTIJjG2f+YDxOzGTGToidzBA+kziOw/gz + E+IZBjMQMGDJ2BgJEJKFDEISegGS+lFdVffec87ee635Y59bdau7JXU33VJJ7O+nPrdv3Vt9zj77 + vPZZe63fr3AWUSRmoyAC9V1cfHyaJkFnm6dJJlmhUCgUCoVCoVAoFAqFwncl2dOEEtgA1AMWxiJC + LQBK8NW6rzpjE/eiYGWDAIB3MouXs4BzSvvpZjVjWfh6KGkkOEn/+vWvf8cXb0Zdp9g7a4e2nr20 + Y1X13ucS6KqqUkrOuceoJyx1hoVCoVAoFAqFQqFQKBSePI5L9Vv8tv1ud/5QggJkyaowReOUnR7w + bP7Wj/2DG277xGe+9LFvHr3PTZz6vuW+siy9gmAcWVvFFLpe0MPavAIBIpa81pTEIC6tmxOJGDBL + 13fWd963XZp9865v3njnH+9fvfDilUtfculLn7nv0gvOf0btG41IAUat9dWsTwTm7D5LpBBVVU1k + RFVJh68EKUjX63x9fvSBb9975zfv+MbDX39k+u2OZmJ6qWJnWmHZ9hxgZVLGIm2RNRtiABBhqAqE + KuvBHCWF0KvCWjhn4NG2SWfBW4xtg0TaUx1WXnbZa175vOued+kLTKp0br2rDJvp5lZTOWRjNx5E + MmQ7G29pT+mwd5IYiFWlNAttjMqOTWVaScZRJEoiSWMyMdnYop3Ksdtu/+Lnb//sg0fusmvJuDiN + fYogOm0puCwDYQa5552GpQgVJJmP9tezuPnRL3z4lntvffULXveqy69T3zkdI5goYowxpKnSRL2S + CbE9Go8ZlmrkA3dcZfO7PZSGKLQw0tXsJSdKUOLZtK3rUYzJOlYr1EikNnBL3rKCIERkAFVNQFCJ + SGigo/jI5oM3ffHGm2//4yOzb7vz0GGaTDZf31njiW04edsW/bSszUE6/BpsLxTFxs/d9+nbHvzC + cy+9+uVXXvvci6+2oGPTzhjnrXfkiFUkKqKyEmnXhdqM2DkTOUjwzieR2aytvCEFQ1L2sKbBU4/A + y8m7j5YEu1BDz87Ky/m+TEqAigQiIpAN1vDqpePx+IX7n33pc/+Pmz5wKHxzPp2TR13VvXZdTNYN + YWARxARmWKNsVFLKHr+yEENXghKSiS1v8gTrsf/SQ0e+fP9NE7v/GQeeedG+Z73oWS89f3Lhgf0H + a1e1nfR9tDDOVbFP2X6MiABRVYGKRDWiJG2cHtp8+P7777nzwb+895G7Ht56aHKwmsatrpmqjXAQ + gQIiMNnJTyE0nGr5FGZLCg0tiFB5m5K2/dx5Yy01VHUP63l80Ztf+/Zrr7x+LPto7lWYlJWQCKQC + wAgDwks5u9udjJNlVCd6Yk6r4UrJS5bAAPouesfjSUOVeaTfFEhjkRhKMZttG3ZEToGQ+pbm4wP1 + 7ffccvutX/zqt26999Cd0W+5EUI6k5D+YJo+iNbHue+iWb8vfPX3b/zWTV973iuf/5qrnvmCLk65 + s6PxyrxrDXFFxsCoUIJGsECZrFCAuMb6pnExSugD+EyUNAmDTeYg4KgE8GCLG1tfuYOrF8zCUXJx + UjFmoqoqKrRLjVJyDyugi5RfRba9X97z21eGIT1dAUjK6vQGBERMVUEK2XYDJ1JeaNwLiQ65xcON + VZVIc/p7SogRzGotxIAtI3GMIj2nJKTGa30en/+6a9947Qtfe6A+X9ZJe0NMll1azPsoSQLrdgtP + DyZlECQ7BxMgDGUCjDVtO/eNj9JFF7b02CPx/n/9kX+xXh+e2WMyqFANUkfZthW7L6TbV93jdvFJ + r8bn2s36DNEd73MlCIkSQ9gInOW+i86TJljYq5/zwgmt2GAk75ddxqzLF5PjRo/fXZ7WjwEzhxC8 + 98wcQlTVe77xjZ/6qZ8SET3B6mHZIOQ48nUgxpitJrJ7ynw+P/dbUHi6oCdcsxbw0pvlUzcrTQ/e + arS0BEXXSVUxuhZ9AjocefgjP/Ij5x+ZXrkVn9FG9Mdq42BUVHXp3rNw1AYvze/ziZ4nJIOO8k5D + ziFCUFCiZeHmYcC87NGy6wa6eMM66ALutnDOA1peSLSdZNzFSkI71wDJg+Zd+0gXBXgL9x4lkAwX + YRIAsnOD2dWJQmAl3W7o8pBruFXx9ubmtZDi0cfpp81jVO5xfuQ/Ttjv8fb18gJZISS5W4xiHNMo + zkyScdCPv/q6H7zxM5gIS0JdBTJ92zdVBYPUyxyhrqu2a8eVBw/ehIsDvqifFwqFQqHw3ctjOPDt + TXO+vdmqE+Cl1z3HHujDk/TPHmjVDqR7eg8WCoVTYTmscfI/AEIISNKMx6LSzvsoSUFkzebmhq/r + j3zkI97aLsYQk3GD8fC5bXO2WAbARiXd9+ADt95220uvuUb6bms+s8SGmYnbth2vroa2PZWr1OP2 + w9mCFJ5N17Wj8cp/+aN/7cUvfvFP/sxP33HnnTkwZqxVyXN3SCkB3Izq+WzrtFbxaOGWbU/ipACQ + gBSTtt2vvOd/+Kfv+2c//uM//l+/828+azLZnM+8NRCtnIOQJulT5MEYnFSkT8IwhhhMhowSVDSp + ZNNrJkoqEAUTg5ZmSJGdAlWE2BDRvO+qqlrfOPbxT3zid3733910881BkiyMAk4MUeZDy7BJKVl2 + 1trQd9m2wDJEUBn6u3/777zr7/19SsmzkZjjivLY3XLGLIehSIepdsF2MsDxf9/3/eraWt910+m0 + rmsiCiE0TRNC8nW1sTX1deWq6t/8298hInm06P/ZI59Hnk2SNI/dn37+z79+1z1XPu85tTWObQhh + Op1OVlY2NjYmk4m1NoQAQBfkJWRLyOUOWUxcDuTeN+d4WwqFQqFQKBROnTyAWR5tZR9r51zOr3gS + 2/bEsXuuOT8LJVHHhgBRGAiY0LXoOyfJ6HFPebuSfHgh3quEdKb5P6zDnHSibG7NGoXIzkjWK/tb + X78dSDCGDOj4J4UTPK1xrrMGnl7Q9suuT072V5mknPMMqQf8eLIOmqRUIa5VzqZgFCFqgo7r+nDb + jk5zXyw/mJ+YS7D8rRKsyFqIoxgBSWDrEDp1FeWDGFg8B+7RbLxCoVAoFL57WDhaY/nf7Xe7EgMT + 2VCvODJG+Edu/sJHX/V9l1neH+fVfKMRjCpstTAMZ6mLfW0rx7aX2LYyqm3s47yD8+h7GMA5gBB7 + OEtdUAB1VfVtx2xmXe9zwmZFXpG6aBiqIMZmlLryLdO3ksTJeKsaP+z3v/6P/hMumuik2kBlYV2/ + XYghMgSGF4UZipzOmpYLNRZOvgRKSY0amBGpk5QXBFIxJwykzW6PDFLY72BQQ0vL3z04kuM+8ZXp + umQ4WnJJA5sqSQ/KNYmy06RtE2wF0W7rAMIikZgBzOcdGbba9DHkXoAeP0Aj3a7+OIsFBYLj82FP + QEFAigRYIocoPCh3KQhLebIEWAafUeNONkG1+4lm+eywhKhIDKpHDI8oWPM/eMMf/+GbfvjQI0cv + nRw0Rw/xrD2v8Rp7BkJA6mOVHTdiUgAGIcA1NJ1rxWAGK2sSEbHeIQUoHHMUSSFV9QhdF2OyzGw0 + RdUUHYFJo7QESlEdqO362tlxU03bdt2ambH9aO1bzr3uk598yeoY4xHGkzaysbAnPk/Q8jbuMrc2 + GEpvFucLD+fLkLJMkhKEwLWRnfMFxx/Mj+G9cYaHE+nutQBGTr7A0z5fCoVCoVAoFAqFQqFQKBQK + hUKhcGYoK2XRvqVQLUl2Kdn1hzkxjCTn9etCjytHelUVDCEQ0b76QGNWOVlF4oU44XEh51MRwTvr + DBNLQMqKK9vSIkrMAISUAKuCyo2yfqGSYkcRCoYQGMqwpgY49woDlqwARCpET4A59ykjyJbhCiPk + omMywXadS04SDfNxBpbEdEA0Z3E2qfBEsyO98yin1BMnQVZsrQuFQqFQKBQKhUKhUCgUnkrQCUnZ + 2dlFwMYBQBQkBhEbJTiz6Uxr0TMUIJVKYBRGYJA9WJiy5cZ2wIrkDGLAOTCbKBuEiCgIOK+pkSLm + HSovFiCQQhPoLFUuq2qWre+6jpmdc6rKXKTfCoVCoVAoFAqFQqFQKDzFUVYlZmYSRa8qULIGjVnp + t8LLn/vm77nsez//lzd+9tZPbnSPTFar2XzTehdSil0IHcjCOQiQFnrAsp2ERABgFFYkp83JkLvE + QhBIvVbN512voa6IGpm1s2nYOLzx4B1f+JxLpnL12sqBiw8+86ILnrlv5fzajM4/8AxLzlJl2QIg + oZRSojgP01k/3ZptHpsePbp56MixQ48ce2hzvn5sfiTZKEbEJJlIpKSqSqIL7YJsFSaQbROyrOer + tPgWOcqkMXQKqMKwMRULUpuSc+QbU1WV7V08jAnWrrnie1929asuv+BKL2PqLCVnko1dAlBVTS6D + P4n6thogm6JFDBZfMXFSGw+HdfXR7mMxSYx2KU2nM28bZk7aT9utoxsPf/PQfXfff+eDh+9bnx9V + F+xq6imKRGMpR8Y06ekZGOjCrm7HZFWAaD1IQRFdikY7O64f2Xr4//uTD//5TZ961nnPuuySKy+5 + 4JIDq/ubpjFkRdFHruvaey+hF0XbYn5Mz1+5mOfujPxNzxGSvWMpK1orlFjAANXjiXNuurEZYoc6 + PdTej1EyYwopiYgiMoShSWIfQp/itx95+L6v3nvnA19/eOPBua5HN5PJbKpRmc6djbcSZpjWk6bD + sdvu+/M7vn7LeeMLnvvM5192wfdcdsmza1NVVWOMESRVVVZLXK2MNrsp5n5c7+vWk2mcqbz1XmIL + YoBJka2UhdLZkI8bLg1ZRJsIkmLf92x53+RAs/LC/+a8v/dHX/z4bffdstWvz+VYqsU1pu9T7W3f + R1VUNQCEICpiDLpFBmI252ABIKywhkEC16mX1MiR+K31rcN3bX31c3d/2qpzzq2trJ134MJ9q/tH + VcNsV8arOe85xtiH0Hazrut6mR8+9u3Dxx5a31hPFNgicVQjep4+1LbWMjNrIo1asWWYSJryoTPo + tkRlEUAJISoDI2+NcX3fJlVuQGTl6Kifjl77ou970yvfcpAvxrQhaihaztrxWSwj/zxBNtWnQ3ap + HEwtWcHZVlwJvq76bpaoQ4XWr9f7XNf36xvrsdK5dG07n7ebs9nm+taR9c1Dm+363fd/fa5bwUVx + sTsQok1CGhUjAsupbjgPtr6iJImB3BjT99zPdNrS5l8cW//KDTe7VJ+3cuElFz7rwMrB/fvOb2yz + Uk/GfuScd7axtnI8Qkdrk308x2zWueQ0gowdjcezdno6mbWcLyOgwAohYmXAKsEyt93UNWbsx8+6 + 8LlHv3Woa7+tKVaEwauAIIMxJ2BYdNAGJcV2qj0URpcac5ILy8IDGwCQGIEgnDsKpGABCRDEGAOQ + KgmZhUepCBIZJAGJGkOeXdLspoAkIQQlTc7UDsYGHbnRheNL3vyKH33ehVdPeG1+pJvQWu0bCRgy + lXdasn1POT1IwWIUxOSEhMRCTSLLKgTYys+7GY9SnGzc9rXPfviGPzis3w6+lZTNuYmIoQtf66en + 6AxnM/sEUeT5MgCcWBjoFQ7Koi7V1zz/ZSIiFM7dzejpxfGnfNv2TdPEpMYYgt5/3wM/93P/7eZm + uyyOlckuII+Gcy6EwMwiklJCNp4pFE4dWvwsnIyXvtk5uw2WDkwRQwwSBStxvteEqN5QVTEIkB5h + A4cOf/EN//lLjk1XlbltnSZjq6h9jMoGRoapeVJKxMEAgBXsnfG8ApGNAGaQ1R6KvBa3UeyupQEg + oJRrwQA42e3psnhvVFg1q5jRroUMi2JIfrwVGvTdEg2uz1ZlW72ZAR6ekJUVSpJv97IYRBFgZaeC + TkBQTkRQ3hmK02JVqiBEJgEvhgpkNI8/dgm35dVn5+yTWI8/qbAqACGQko8QYoWshvk1R/W2a155 + v3NvvumzOK+2Kyu2rgEkUtRsUUVgVNWIAZRAMCDK1UkCj7yLIlixW5KxUCgUCoVCoVAoFAqFpwSn + MiW3+BsGYI1NSF0X+r53zvm66voA5oMHD/7uB//9V7721ZSn5hUpRhDhHBvyDvbM+Q3Qx/i+973v + A//qX7Gqc84b287nTVUFpunGhjGGyJw0YLHdDzme8YQZXcUYvfez2VaCXnLRhTfe8Jm//65/8Lsf + /PfGui4EAFVVdV0gwHk7n82GWNGJhl6nud7cb8e970PPwCNHj/3W//r+D3zgAy960Yt+5qd++s1/ + 5YcOHDjQhsBMbFiVRISIBpdqimAW1STKAIiNYVKqvQ8pSYxRxDKTMVANKbFCRVVBRMSsqjGGKOmT + n/rUhz70oT/69GemXSvbm8MggSoIi+No984zziZJUULsAwGWWFREcGD/6j/59ff+6NveZkCGeLa1 + VTmPxSSv0qlPwD0autOYR+96XqzxxMNpNJpsbmwBGI9XmDmEAPB83okiETnnlOjf/NvfufOeexXw + 3nb9WXKIX27Jcvtz+HewdZSqan7xl3/pD/7D/9WHBGdj0pXVfX3f799/3tbWlnOO2WKYiYeqShLQ + woQEgLKUMFmhUCgUCoW9zXZpNhGJLCY6VY0xzrmu66qqyllAIQRr7WNnZTy12Z6nBgwIxCA4pjZq + bQkENaCuheLnX/6qy/U7H0ufHsJCCqsGlV+HrBPBWDQjTRCCKYPOJ5UEMWwhCEGtIRDed8df/NoL + X1DPUuzCXOGBlapOXRtj3Ff5vu/PaXv6GFbXVhFFZpumWXEVhdA673f8B5f+KRQKhUKhsPcxgFGB + qTCpUac3/8WXsDX/0HVvuHrcHzx6yKUZc08EVvU15m3XsG3Yd6nvO7HWV5DYR+8cKboQGJRIo8A6 + 551fn00bNr1IVVcBvSbdbMUTDEMAIohgYlxrxo+AHzkweXBSvf2PPv6CpoIzGFU9jIsYGYBOCBHT + zockMLw0aiUGM4i7pJUhSw5dRKhMP0IAgxWzJ0aZ/RSJMSlABtDYxwAzdhbQAEmQCHLbFsUKSxh8 + vU1W2RqKf7K7QAIRAOsqNTG1nQC1qzR0T9q2nQApW+KUTIQ3pkGyFgbGKChPe5mh9tPm9FiDcz20 + FIKwRGUbRGryqPeja/GM0Vu+dCM2px989fUvdhc+t4vTow9awBAqtiAOsc9pzMzoA5gR5jpiEGEe + 0XhLhBBD7ENl7TzGifOW1Sr37czDMiiKZBfmKKg8EKMBiFQJZLhm33bdPMRZs/at89Zus/qzf3LD + cyYT2AbkwIyQuM7u1HwaimsKEhj6bjlfAKEyi1IoFAqFQqFQKBQKhUKhUCgUCmfKo0XoiWg5y0tV + RVKW1zhRoiTnhgFKMPv3nVc5zx1I95LF8+MixCCGqMamaZCVDJVM1v4QsIKy9lfi2ntS6EIIi5mV + 6NEdhZ9MCIACNOjLkdhFOUaWx9xuMJeShcJZodhaFwqFQqFQKBQKhUKhUCg89Th5mJihEeQB8Fzi + pPKosFm5OHhW58zjbQHlE4Kjg7DNGSaJk+bVJJACZBPR5ha6Hivjuqq3YlfZik405f4OYGbvvYhU + VQUgV0ovYt8na+HTuEy6UCgUCoVCoVAoFAqFwtMKJhhWAD0oqEYIiKxRZ9TWWl9YTX7gFRe/4IoX + f/aWj91xz83G8lw6MuKINDt9CbJtF23bgOkJr0s6EdsOXhvTLkdQprFn7m3DgMzSUSY1ClI+Onvo + vvvu4m8YiQY9W66ssuHKMLOyqmqSpDHZFCkmBDUCK4lDRB8RzCqUVbLf2MLXckm2eJf99rKZGemw + Ofk9MwwBzJI0IUlUgVIAekPRxhmPaN8rLv/ea1/4fc8+/3leGhYrEZQcR2Z1BmzIWKIkojSsONuI + KoQGj0kC0qJ/BIBSnOvGx//0I1958JbezmeyqZoq71mY4PsUQ5wndDDJ+BSo7aRLPtrGBpVehNmI + 4ZhUVQ0ZnLIqNCl0iKllf9bBJFUJfQATrIEiBe08s3FmC7M+Hjp66IHbD92iAUgwxng3MuxBrus6 + NtA+VlxXvHrB5LKf+OG/sc8cMHIawgjnGkJcHLYEGB32Dvdd6EOCo8Spt9N/93//7w9392+mY6b2 + AlEEaASiphBj7FMktm7kE6dNPZZMZxtSDm2fz65zlXyZSKjCXGddIlsb8d0jqT1094Of+yohsje1 + c94Ys22sxzDcU5XGL7jkpT/8hh+r6kkS6fpkiIxhyk7FWZtBRUlOovDy2ChjYdu39OlCdDImZTVk + mSEqquwwevbK1edfd/Fz7vqzG+/4zAOzu9s463VmrLR9vhYhJRCBCGSg2XtewcoKZmFAGAxICKIK + IiQbQCFaqE4NjGGGkIg8vMl3bXnHLp/zErPx7SITmkiRhFLP8056GsM5JkYfBYC1YA9AJGoKykoM + Q8qUEtvh6pG117e7ejSy82mczyMjsqLxnkTDhrl8/IIf+v63Pe+iq11XrZoLnXXdsdh4LyTZNFpI + FunVKSuZfCdHyDkgX6lYCJx3BwSQto3WWuvkK/fe+vs3/t7h9pvOUECaW0QTgcQmWpOUY0Ib0ZkR + EmtPEhSRkQAQTMXS4zQi68qsTMrKESKy7WxNGK1x6Lpp6rgiTq5NGw89eHc76x1XrNbBk7IqGbVE + zkuzgn1ved1bX3jxNTWPnK3YcxfDdL51WnH+wTszX95JssW1gFlJVQ1DIKmV61/+xi/83udX9+3f + 6g+TV82zHTwcSJSV57Fz3VgcYCCVXQn6j3dhZ0XIPtkLtRVhqCgxUt6Pi43L5y0BRGCGJqSoQhHK + SSWp2Mo7ZYpsO8dzc8AffPnzXnHdS/6zA9UlVZqEY8nFqmnGCBTa3mWrVMjgFwoSEhpuJaenWUMQ + o4hDG/OliRNDUuecAzdTPfTle/7sP97wwU0+kqouUhQoE4EpdxoWN3Slxc196fUpjSiYBPmCNAwu + hu7tNRoLo3DBXjx51qX7L5dDwRAlSHG2PgP27dt35OjRyWR1Pp9vzdp3vvOdDzzwkDFIJ7hX6GMa + w2QT63xVYeYYY/7QOXeuml542kDHvZHjPt557Nr5SwEtLEuUCQwabi02lwtJxOYWuunvXPvKF7Xx + 8sPd/i5Qriqi1MZQr1TdVmd14Wm9c/3Oo0PGnpEhI4A0GzsvbplLD3e8cKxZdkJSMEhSrv7Sof5N + CYkgpKxEUN6ppVIlksGyOn84dOyweqV8ZwEgAINZEwhp4VrN26vAsPbFCIrydXnHiBzbW6DH3eg5 + DyogOphVLw/Uly8+u3bNnqzY0225SKgxCiJElip1Kyk20/isA+f/yatffd3NN1LfY3wASqYa9aLT + 0E6qBgCs6aZb1XiE5YHy8PS+h47MQqFQKBQKhUKhUCgUTp1hfgHAIqxxSkFspiTSjEcAZu1cQET6 + 4De+8au/+qtEZAgiCoCNkZTOYesBLEVHoyQABvQf/98Pv+Z3XvM33/Gz8+k0GtvU9bydjyfj0PXM + nB6vSU9wGN8YY63NBs+JEUXe++u/fu2117773e+WrZQgfdcZ4iSx76Wufdu1Z70N27bWCghgLbPK + PMpNX/ryTV96l3vXu6655iWve+11r3vd617xspc3o5pA2eRvfWOjrmvO9ggiSRUqAgJwaP1oVVXe + e2s4paQpMjNbk6BQFpHNzY277rrrxhtv/PjHP/7FL385r1oWwkjbxiG0HX3JgZ1FBoixNsXYdx0x + a9oOywgBL776qve///3Pvvx7kKTrg2UejUYpnCVb6EdhO4iHxYxtbpN5FIt0ETHGVFUlIhsbG1VV + TSaTtu/YurbvmlHzmRtu+JX//h/m5Zw1T+vHo4vBEjN43s0/+/k/++e//Vu/8gu/ELrWWtu2rXNu + e7ohHzDZ2zy/FxECbZ87j2YMX+aKCoVCoVAo7ClSSsaYbYtrACISY8xF3KqaUnLOicjTtl57Kena + AACDAXBKqC0hgYxEiHWEecL6MSvnfEpUF6NroeGHIT0kJnOssr/xldthHUTUJGZz5hoBhe8YBRIU + oL4NTe0AIAVMmocdjUhVMWmqtu02u9YCsxhNBJ/jvVUZE9o5QnLkoEiqy2f3AG2/FAqFQqFQ2PMo + ANYUyLnEzCNHzejtn/ljdP0nrn/NgTnvx3xMoeojWuyrTWoTQz158ny0aydA5eu2bxmomnE/nzrn + yJqNWWuIJ8YCqEajw7Np45EiRg1yVRrXmCtartbVHrbm0Oq+v/LpP8K4wkqFESu7CGPgKwP0gO4k + UebkTs25hLrrRwkYSlUYQG0o9mKtA3pUzcP71r5O/nBsRBvQExQNfnxIY2zrxvd9z+Sdre8P6ZuT + GpWHcSC7HO7NsX3i/LywnFzJgElwlhW13Deqx6Z6wGCysn9z81i9tvKEb9VjISAlp+q+5syLfYPI + QhBD21u1E/vfDoCf25FlYu2DwrKPfbJsMBq17dxPxlzZv37TZ7E5++jLrr30wPkVR9t3pu33GVPF + hkWYqQ+tcwZAJ8lZG/tYMyGkqabGWG9t33cj52IMosnCRcBXXrqZdQwVEbiau5lUFgBmQOt5C5p4 + JndfAAAgAElEQVQad5h0/4WXfSPKGz/2sZdfsB9ro94ZhrcK9ALHgjhHZ9Gc9pzAd835gp0jqlAo + FAqFQqFQKBQKhUKhUCgUCucEIgXhMYpHRISYoApgbXWV97BH8nLCFg/GKGpAogoYECBaVS63X1XZ + sMighgeBRjBcXY2AHWWSvBjVvaWAxbrtKzOIfUEZYNZFUJ2yPt5SrL2IWT0FUVVAH1sp64mk2FoX + CoVCoVAoFAqFQqFQKDzF2O1rgIXnDpKS8aQAgTxXqdsylf/Jj33ka9/7Ui8QbCs6D8rEQqRA4kG7 + GcCgpn2aNgZLLROC5lxzK7jYeHQJIgAq6wDEpPbsFVmKCDPnEspcLI3HtLUuFAqFQqFQKBQKhUKh + UHiqYEAqClIQ26x4m1QgE78ybVtOxgS+bPT8y19/+Teu+stPf+ljtzx0k10NYLT9NHEyHoYRUvaa + BXZ8o5FNkRNJ9g3OMSHZDgcZ1BWIqG819RBDlowICQJZIiKRpHEGNn5U+X31fHrUDHJWeQkypEYx + CYvQINGlJGACNEFychxnqeLsG6ZQYh2iUlnLQE4sQz8uZBUVMQkAtvAEG2unnrfc+fUlL7zqpa+4 + 6rpnHnwutbVM2bBL/XRUN940IakkMFkWBAlsdtxJd60LuuiWHf2IxMDIPNw+9ED/gJmkjuZJOi+O + 2fQiahQeoEgkajRpH0VHK/Whoy07+MrP+sCwrmq2tjbrCnzK5l465HnmX7YtSEUIXcRkBV2PqNrU + caPdjHFz/3mrm9NZyzBoqSJNokpQI6DKNZ103is7NeJl6remfZqkdOycK6efOgwRJAYAA9gc+hRA + Ae9dlAQQPKGJD27dfdQ+EJquN6wkxEIIoEgqigRltm4mElWkUjI6ZxUANZKA9VwpCQqBLYIAqqzR + muiqOYMNDIQ62cC2MR+xqkKosYY23DPSRWYkLKS9srXO+xDaHLnN3n5KAk1CwjCnme+7SEjFYsMJ + ACxzEtWUYIjEMgkAS16mqZF933/1X33Jc175n27+6Oe+dsMRfLtvpmS6euxJtJsFUdQ1JdaugyVA + t+UkGMiyK5EdMykoISElIMFZWIuuC97BWwuRGGdtEICZ2TsPQFVFVVVTVvpmsKs9VSKp1ygxZrn2 + QehGwKRcAYReeiRVwAFGEQyEkAgAkzIrpluxcgyWin2N0exwd6C+4GUveN31L37rhA7W/cgGR3Nn + 1K/UE5EIlexQyFisjIZL6J7K3M0qMEogJcke0hwBOOcEIZG2vLVOD85XDwfLfQqwFlBFjAhBOoWA + QIwgUCCfYbWDKGX/8rzwU0QIVtkICyw4kgz/VwjdVGJEZeEdh9S3fV9Vvlo1IR2LSn0yqiAiIuPU + p1jH2Va1GkcrnI6EPs0tO7VJIEbMd9BbMtx8lImEiIzAU/WM1ctf88I33njrH55/0SWH+qOBI1Ek + FqhQAhEsqyigwspCUJLEgy8m0mn4UwrBCjQfw4S8EABEqkmJkPPFs1mpUUCRItgxE/cSkwpbYctE + iKGvUFNnmrj6kktfdu1V33flBVdNaN98PTD7VVsbNjKDJnGuItqxr8723nRm+fMkRBGL7PV8UUkM + gL2t2umWb2ry9iM3fHg6ProZj9raJolEyEMa0WHbQdBFCv+Jr09hWGTQhbUAzDCYEQBsYARe2Lfm + FVe9ehxXLCpDJLJncsn3JMs362Vxs2MbW2tr+zc3p0nx0z/9M/fc8wDoJJ7Wj4tzLqWUZxhDCHmG + sXhaF06RpUuW7Lp6HTdIWNjJgCRCI4TBXjmL1RGACOsQKSBuYP2hT13/hldthQPTdhwDe6N9H0Xs + 2KcZZvPOWpBg4e5MudDIJSDP9e+ZqyirWiQjSAQQkTBAiXjbx9oImERIQcKq+VshzrcJlxabohCG + kgiYNQ/ABGAlCazZuNrk0qudZysGwNDtDsZQRUYC7QwSwwkW/UYCViIBZ2NtaP5rSsOAVkDKSMiD + yuUhuIJArExqDClh0eihPcND8mKT88O4YOnDPUVuEktuZ96CBEqgNHHoNh660jb3XHP9XePJ9//J + x3FgDcl7a9YcAyGBkqqOV6dJK0MGAAQsESz5aN/ZFYVCoVAoFAqFQqFQKDwlybZh22yHOI573/Zd + 1dSxT12KKYlxbnU8/tZDD/3CL/7Sgw89rIAovPNRUkrJOhf7cE6brVAyrElUtXI+hp6A97znPd65 + /+on/vqo9n3bqTHT2dwaE2M0RHj0QD3pzuuw/HP8vK8qm5sb4/E4pJD6VDWNt/a/+Ks/8n2vfc3P + /52/++ef//y07wjClmOUtm13TJ4ff9E5+nHyyeJlCZjt90QEaIjDgeCcYea+C1++/Su33PoXv/nb + /6Ly5oorrrj6yque85znXHXVVVd8z7MPHjw4bkZN01hroSoieQZ0bf+Bvu/bPuTlr6+v33vvvfc/ + +MCdd339nrvvvfXWW+++++5Ohrl7BlIOLhobUxIoW8PMEgKUt0uJCDI0VJFCJIIqau/m885aTlFU + 8RN/7e3/8//0TyrvaufbtnXWkirpcS7LjGEi6VHdlx+jWx/ti93xUygR7RhxL38OAJKSMaYLPYDR + ZBxCOLa5YZxLXQtrPvbJT/ytv/3zIakC3tvB1np7+cMizyQNYMm1cFfTRAQAgaKKJVaFNfZ/+Y3f + qK155zvesTqZ1N5Np1NrrfWO7TDXIAqGEhGYiJiIFlHDRd3XbvbQxHOhUCgUCoXvekIIzjljTE6l + 6LqOmZ1z+VVVs5W1tbbrOu/9k93ec4gu7MIgDAKIFTAG/TxWzkKl17lVQt/vj+rOuav10KScHJgR + Qu1Hm2SONTWaMYyDMWQpqTCVMeaTCcMp4EcuRXDfkbdI3T+/49b3Xfn80B0L835UV33b1Z4dm3kf + Hv1x6uyQJNmkP/eCF77/zq+jbc2oBixUd56jd5QnCoVCoVAoPAVQQpfgKpfa5L1BAixwwQjavOmr + t2A+/z9f9/0Xte1oY/2SMb59+MgFzvUhVID0/RqBnQl9Wzc+zPvZfDqyCH2wnMZA7LvKGwhkNt/H + NvRxVDfa9r0mS359jvVRfXh15b6Rf9unP4lRg6oBG3g7Yw4w1MbVChDAYj5PzXhRawgoEHKJBMHQ + kFKbgJz9ymBLgEDaVDWm74KfVPDpJ2/+JEJAEmAvpXbn9N3QoTJQRYhX1Q28ReXVugRrdSd2b0gS + RIeAu9hcTCkAwGx7WONqWhu/4ws3YzqDAcIMTY20l5wGWMA9QOjppdUIfpSsz4m7aduBmKIiEAA4 + KONcP49EJdP4/IjkzbSLI2vrpgEEFjiPsc+++YEvoevQht97/Rsv1jg5sn65NNXGvEqxNk0X5oZM + 1dSzrnUMqqs4a8fMYLRd6wAm6TU5NjA0Mk3XzhjUBTGAIcSZWCBEEPF8VN03qR8Y19/05uc+9RmY + 0RViUU1ABHgvDBEQAwlKBFvBn/b0GgsI3yXny2JKds8c/4VCoVAoFAqFQqFQKBQKhUKh8PSCiFQh + IgnHFbMMpQmkYGUVIlYSaupRSklTltuSc5zh8p2wK7DMylCCYSLatilRHd4RgQBJYIUl11Q1AogI + mm1NVBW6EBXbO2RxM1WGcjaUGTQyFWAdlPEAgLP23JPY1MLThmJrXSgUCoVCoVAoFAqFQqHwVGNH + lpoXli4AwIZiUjLEQJvipBqDI7xXWCNEO6YFACBEqpyIEwkDouBBNUZOswySlhtkFEJQsBPU65sI + Ccc2MGqCdp5qY85mQDallD2tRSQXS6uqtSXeVSgUCoVCoVAoFAqFQuGpD6mqQInJMHFOdSPQfHNj + NJpE1X4uvlpTHT9v38sv+8Grvrbx5T+9/TNf+ctbhW0zwny21aHnarfdmnK2QFMg0cLQOn+5iO4Y + g64DRIngPRmQpiSa2FEfVTUaQ77yqrrZb8TNjcnICyQh5dS2hT0nYgQvtAgSMBjpEgwRRCGgwdya + CBDQ4Cm2aKrQjvrwwpYbrEyDeJYkFiJ4BilRZ12oR1jZT+e//rVvuuKCKy9eu5z7Ro7Yyk7YuBTi + Sj3q29ksdNY6Q1aSRk3sjWjcUXnLHZ9bspA8zmZpUAIM1CZosCmM49RtzXXLOqQUkaDVYA3OQ3oi + KRsC1rfaamK8q/s4eCWSSl1ZVgGBcgLj471iuzMUCrBACSBhRVOBFQYIikRo1mzfxaNbG80IQZFS + rwwyOW9SBRSxqXUQowRU3NlmJXEQk/ZazihDaHBHztrZyPtnPp8753qNzDpNW9KEYLb6pm8Tg4k5 + AEkHiz8QCbTrAkCwFsKQCFFYuyO8fir9f7qvDIQexsBWhlWSaBdVJAHJOQhBExQgBsEoZ2c/40aV + +C5qxzFQSsTcti1bQCFgMyjICSibdn4ntr67u5qI2KhqTJJUiMiQqaiZ+LXNoxtOJ295xVtf/pKX + /8lXPvXnX/3TeTjWdTOQeGvZIoYogKGs5i8LbbsdjcYYoyoU8AbeGwaHENo2+Rp9xHweieAsXMOq + SCl2iACYGKwMwyTZJLttW2FWTTDkvLOWo4S2jWyysyEMI0p2rEdVAXFoxHEi6d5UOk8TtyKbCFv2 + +pe84Y2v/KF97oIqHHRx4pLhaDgwAFBQJGIBBLQdgefh372aubt0wVcASAIiskatTvXIzB5RA7FA + AjMxaRa1JwIbMKNt4RieARApiyAKjDEJ/akf/0D2exahbGjNGJx9wYymgQGFmGDgKiMpHJv2ozEE + UI5ZeZMVfZoG1BPmqPOun1tMDLskSJSISEj4DHZB9iOHCEn2n2AmjYkMj9x4a332lte+dXPj4S/d + 93nsc4kCES32d7beHO5RCuRbg9LQWtl11D8+Fg7KqklIwCKAElShDFr0gOT9ogBYifJ9hgx7Q0QU + g2hLI4x8aJ61esW1V1//que+9gBfKOsaO1pbOW8+n4uqISNRjHHG2ZB6ZMvzXcYbQqfdk8JIiYUA + BTMgxAkCcIyxqUZbs64zsTqveuTwETvBXKNZ5Omr7lx7H+MVOCdX5ifmFRhu2JqrNfIRk7eOIBEc + 3AQHXnbFq9OGn/CkD0pFRvaMYOYYha19x9/42Tvu+BoAKJwzIaTTWk4IAUBVVdPplHJxCRBjLFON + hcdFd2p65Pgvtl+XxyGEtHO74F1/LxFtsGEdhx/+3Jt+6LJvPXxQ/UiEKYFigJLBfN57D1V4Y7WL + pJRvQ1CwglVpYTazdzC6/bypDJHdo0RlFYjmSilazk4gRS5zU4BksIfKPcZYeEYLWCn/9+PzGhgi + iwbsQPlJKvtJ52Ue32BWkDJIDLLLtQUIlFgjQLmdvHuZun0Ly9tLw7N2vi/kYrWTQo/+1ZOIID9q + MkOyaiSAlKAJDjjfY//hI9iYfuL7f+BNH/1/sKowbPe5IBqFnW0C4Myiqm8YPwvAiXbvi0KhUCgU + CoVCoVAoFJ6C5PkmXXoFTvJ07+uqj9E4R4bbfuaa+qYvfOHd//BXbvyzz+VHZett1/dEZKyN4dx6 + Wg+NVAVARH3oATimra79pV/+5dtuu+3dv/SLk8nEGeMbv3lsY3U8STE82qbtCoM/UTCz915ELBtn + rIpoUs/mogsv/IMP/YcPfvD33/M//upDRw5rFJuDb4qzIhx0UltrLIT3jeEYpQ+DfcB84U3eJbn9 + jq/dnuPVebYa8JbX1tZGo1Fd13Vde++NMZubm6o6n8/X19c3NjbzPL0hBN0JWTFBmZA0AFXl2i4g + RTAxG0lJ0hAJXw4IbgfKmKEC70w77whIUc4/sPaPfu3Xfuxtb4coQ2MfJqPxbGur9lXbts48QfHw + YXJt+31u9u5AmRJENaVorVWiKGK9r4wJKc677n/77X/5a//4vbIwXDve0/qcUVVV33UAosp4NJ7P + pgn4x+997xe/+IXf/M3fbJrGW6dE1rmt6bRpGgCkmlJCzg9hyq72APi4JJA9GScsFAqFQqHwXY5z + LoRgjDHGiEhVVQBijACstbmIG0BKKX/1dGUx8y+LGWYeRrOCqrYQQHXEBn37373oRZeqWjmH8/W8 + 8PVadvfKE/CH+1m3ev4/u/U2+ArsYU3QYMgUD7AnG0qqlmAM4CukOcYNOH1ra+u5dd13QRIqg1kv + BhJxBr52p9kawv7xeNT26AJWfYy9tTb0yVaLPOSlZ7T8a6FQKBQKhT2ONQDgKwMVSABUx75LAajq + qvmxP/4suh5h+odvftN54+b+zWNrbmz6bqQmzGejqprLzKVoJ1WwtBnCilDXRjR1dHy4nbNz1aRp + 551z44f7kEaryVbajB8KYWPcvPmTH3/ZpMLYwxIqB+MTTIBY2HFlkQBCTLAT0wMOIAhDE0iBmKuD + ACIZCoHAQzquAgRXGQ3wtVNA6hGQzBBUdntINT23mPJjQTBMIikaI7AJMMsNHergNIe1T1oD1oHZ + sXcOIw8oeDWIWK5ozwzpFTGgM1CjjMjJ+QikCG/BhO2o//DXJyb1nv0GMWAAA3DfdaaqXGUDgBS8 + MUk0Wo62qqvGrETM5Sc/93l0U2zN/vAHf+TgvgNY31hhWsOa9C2Tdi45b2Pf1eNqZG13bHpwZSKz + aR+SWxk9tDkTT6byM7GjpnHglFJIYutmMyRtqqnKIe/edMNnXjqqMfHwFWBhRxCGAkmhCcYACd6B + ICmw4dPbtSRDRe53y/lSKBQKhUKhUCgUCoVCoVAoFAqFM2XQG3mMWKsAIIaklOQk4jw7uk+qTESK + pq41Kp89pbuzxfZ0xO5s/EVUOs9HKRNr37d8YioMkYgS+ZFbraynTpmIBAIFQfUEaZE9AykDnDgq + CZRJTS5syZ4yQjtzCiXq/tRluY7pyWXPTDgVCoVCoVAoFAqFQqFQKBROnSxoMvyyJHtPkkO9npyC + iBOsiWRIDHMCdGEgACVOjJRtDFR2lnumRYc5jJtViSMTgINBP3r99W/+2pcBqckJEmC7LlSVO7NV + HIdzTlVTStbavu+99yklokdt/94JyRUKhUKhUCgUCoVCoVAoPCbbLlCAOgzJbomIVlerra0N59za + aDSfhX6L6mql6g682K5dc911D1x11w23fPrL997s3HrftNO4kWxIPDgoGxVRmOwZnb3BlA2QNdcW + 1tORAbIwhiRqF6MBnIcInMkmlhQ1qqqrbcXcxwhASHVhRJ0tOcEAOCspWB1CUqRgAUQzMpicERYe + qMghJjq+L1gB5fxDykpCJkhS0/kqrqzI/ssOXPmqK1/zoitexq1teGxbq4lhjGGIBIjMt0Llqnrk + UtIkMEbVGIFotj1bRMwyQ04hiQ65liZbqJKavkuJoR696TsBHEx2+8q+rQpRqDBASExE1hgP127O + iPXAZDTrZtNj/eqYRBTAsC2P97pj+J3FjpWFAFhQZKbNI9o0ODChral2XfQVyCLNKbvsZZ1kIoIa + gETEWksSWGEUjp1NVvs9p0JGO+bBpIBAs1HfqKkIhqE9QpQoJgUbOg2GKyhroqwnTgpNgzZ0bRiw + qU8q4pmZQZE0yan0/Jm+sicvvWpKSmRInSXjiYj6vs9yJMpMMEQGAIzMtYdhgcQYG2JvrKvqNvZR + ewbOhsggYziw82k+WAdmDUomqwRvIAQiYrVxKwWKfjLa59y0X3+mf/ZbX3Lhq77ntZ+66RP3b9z9 + SPtA5I5IEdQo142bYyYsgFC2rV0k5jomIlJFTNq1AgXZ2nh0qWUL55FtlbskooBZWCyLKIMlCkFF + WGEJ3tXMpo9dP9WWwRaNBdHCD1gGqRsiKJtg8qEAmy+iJFnasUJl0qja2PfSZ7/qB175lgOji+IM + +8yB1AbPoCgs6owFVFWMoyghe/INipBKe1TwUVkJQpydHoUEFElBakkJSYkUPqJBD9DgLKiCoetE + oD0B7K3rY0ICkTprDSlJ7EPv3Gkc/4mlszHxcNXihZMxA1CWqEGUYAxR16lhO1l13Xym/P+z96bB + lmVXfed/rbX3OXd4L1+ONahKKlWVitKASqI0obmxJCQZwu7oBkthogOkBuOO7oj2h26waQMO20BD + Rxj6g5vocACBbTB2u2W1EUKMdtOyQBKDBgRCo1WqklRDTm+49wx7rdUf9jn33ZdZVWSW8lW9rNq/ + yDj58uR95+59xn3WXuv/ByEaE1gNJsTiIWp0E+0kWs00SaoICgb0au5Y+x6fBA+gBBoS61WtqiZN + v+fw07Obzi8efsdbv/ur/+r+B+svddSqAkrZ/huen5CKQVlpcGjmUcH0yiceyALZlJ0dKkig3nhf + c3/lUeqAg5XYiWOMXdck1whWdTQ0SfMtnLipfvYb733zS29/VdSpXRDEqp7UHl1b4xSCVHWsE1nf + 96lPzrBrbnc6bDA5RcDc1dU3J5s+XT6888jm6eluu9QekcBE7Kyu7JxdyR9nicO6Mz9JS+O188GZ + HQZ2NjNjD+g27rjppadmd4SLG0lmcAMZkK7xoXk6sX7ervnazjbmDz744N/7ez/00Y/+kQ/G4XS1 + ntbDNxAtl8v8MzPnOcevs9WFZwyX3Vf3rZkvdbZ2QMEMqxCGSqgABUQNtoedix941atv3959Xqcz + i7UrYI2aMFLEtGLpTBypQ0IKRA7uefDRIUDsMnftpx6CgxxCGKbuSQWEcbJex7yClQA3wcSHsQzA + xvlN0VblXgRYfoVFHvZEcmYH+TAKHd4b4HzQUDz/jhEBHNXyC8b6EMWALOXGANwBE3c4DwM/Gqq2 + jFzJMNR0MTsIxACBAc0i5pxfh3MfiGwcOvJaWx7N9vwphwzuhMQOaFRgVcLniDU1rZP2VMmxbvsb + H7TPvuHN99HkTf/htzA/FuppQCRnV4QwvAukIQ7AIHSAUpanLBQKhUKhUCgUCoVC4TrGaZi9fNQo + DI/uYghh2XXBw9nz5//hj//YL/7zf9mm3gERTmpdlwA4QVMiZtfDD+m4g0DCljSw9KbHNzd2dnb/ + 5S/90n/60If+9vd//9985zsWTbO5daxpW37sEoxh7m/0c3ty/K3VEwfq2mY6ne7tLZm5rmsyU7O+ + 7//m3/jON77x9f/ox/7xv/6/35MTG4DLzBIOdIgPrL/KLrh7rCtVTaog1JNJ2zQARAIAVU06RFNE + CM7m6o4u2UNnz/vZ85dsLSdErB9+8zyxT/m7krur52Y2bU/CzKwpmSpE4I6cbXCwo0M4xzCf1Mum + DYQg9C3f8i0/+eM/cdedd+7u7GxOZn3fm2rqOgYx86SqVS8PrV/7+VDPZ5fDx1Bmjq2tvNNsPFj1 + dLa3XBCRu/dm07r+9Gc/+6u/9r6f/4Vf/NJXHgAQJ1VKqU8WAqdrfRGtnzKrPdy2LYB8dHYXe4EF + po3Zr//Wb33wNa/5/u///u999387nU41pTidLppmMpkECXCoKhMRyMyMhz3AOftnzSe+mFsXCoVC + oVA4UphZjBFALtZOKYlIzqNommYymagqM2dza3d/nFLu6x+zwXluPxlofzLaFdahV5w/P6MqHHLd + unieKIfTkBYbDB0jzLceigH1DGEKkKqxsMF4mL4uPCUwAYFYFZKzB0JIhCBEN5w599Wzp+Yby92L + G4xIYCEmeDrc86c3dNt7W/Mt9AnaUgjadrGaYO3FxzC66x3BjIZCoVAoFAoHIUdw875XcQnBBxkp + RJk6gAlwKkIVNPu2P/h/IQxv0e/97FvedsMeTvKZZm+xdfoG3V1qxWdDOonwwocYy+WFzY0HfEEb + x6gKi8VievxMStgFt8e23vn+90PTXVtboIAQIQw2iEOkhzFoywOWhuSYSJtcZqRDJNzgIBqi8gaE + YarBQC5jAqevWQ5QABzkDoWEGu5gGoLoRwTixiARBhhiDbhItkPIwX8lCK8GVLzK7+UhJTU7KYBy + rc0gGmYgQkqgEJkBHJ3+ElChAgA4YpB8QOJwQMeOSs7MpdzLQx1MEhBiu9R6grquFSCowXJUnoRq + VDUmTddTmHFkHDP0Pbb6b/vEn4CBpkHvP//6t5xOdsyhusSEOms3qtA9cv7GU8f39ppTHojsovve + zSe+6qbzDY9ndneaCdVAUK6Wsf6O33gfJjVqQRUBRlWDFIzs9Y1gcAcEJL2lpaVahAA2r5/I/nFk + H+9nwPVCR6flhUKhUCgUCoVCoVAoFAqFQqFwfcKPnfjhWdktl7TYY8ZjhaP1PQITcRUnAIdQYUkQ + xjWXrvq6sLVu8nquFBH5oAmCpt0jIjgznBzD1BURgIomx6bHhYK7A0xZ45PJiIfpm6NEljPJNQjK + KZEBzM7sB+pBDFjbG0erC4XHgWgorTlSBjpFfqtQKBQKhUKhUCgUCoVC4frDR2GT0S0IDnOzwAEO + U6+COJCkCiHuxriIoTIn6BhvJXKisdTwgALLE1JG8VHfJ0sVG0DwWd/dKHP0HUHJESheQ09rAH3f + xxiZOaVUVRUAM2Nme+zIeKFQKBQKhUKhUCgUCoXCdQAZCMROTgCSkZkLhATL5bKqIzmW27uEuFlt + AlXbtFv1jYuHt2+p7/6ub7nzLd3bP/bFP/zjz/7Bl859oY9dCq1y59wDCTQEftgBC1gTCB4Ft6ND + Tc3UhREj3NEpImf/Z5ibZcE2NxGCOxhCo022ezYwCyTubn0CIKBR6BtmYGJmJiIDubsRERFs9PUc + rT2HPZHtQj03L8AFzmIsy1md4i1bz7n3rm++57aXn5ncXHczWVY1am/d3UWEmLVPAKoQg1QpadM0 + HIRIEpKbQ9a0Hpxyol7O3mOYrcQjBs8zZuO6qojIPDmUCEwgd6EA6bLuxiDA7OBReDppI8EBb9pF + YGzN4b4ffaMrWK7Dvr8EGGqbcwK8W7hk2Q+FEMU4cSMmd1eCuQ3O4izEAk9gBvWqXU/MlVRHTSnZ + wfmUymJ8IBtE+YybZlnPptrbZDqDslSVe8eUzdKNiZhAMkh5k5ElApzAgYUdULgr+Ir2/BNcOpBM + nIkFLICllFKX1BECBeacuWqmbubuRiYBREEoBoSKgvbJuUmpRcSoas5wAjGcnc386xAdXEsqoH4A + ACAASURBVMsPzoqTKSUzIw5ZbrJPaVJP1E1TD/MJza1NFU83t7Zu/6t3feHhT3/0L37/E1/8owu7 + j9TTGdh3F7uYjnL943mU7e3V3eBuIAYFIYi6JUvEMIcp3Acra2IwZ/9Xt8GcEIScIksBbl2jBCbU + FQDku5CtadxEYQqiyZtlyme0KMSZLJAzu4jWVdr4pttf+YYXv+U5J+7ivUm1nFRxknZ0GmJqmsBR + hLuuYYHE0PQNh+BkOUsZTgbmnN1LOEJpu85OhuxVTWzDtawgRJEuJfTuiuxubwpnsMMc7nCACCwC + CW6UFIBUUdxNuw6wGHga2MaA+xXer5xsXYp91c7UW10HJ7KkzsyRkqp3RkzC7AiAJs0nhdawvu/J + EVgCBXYm16EPg5bPlZPtzQNgoAQYSB3MLqYWKKglXWIznthZdn/rHf/DP33/T5lp07fOxIGV1Dw5 + PD+hVu6bYll5fhASukLbYwCKzsBEDlLOtppZmkngBhAsi9k7ZZOMNrVwmtB04hPbxTzN7775RS95 + 7stfdvurprYZm2nAREJMSduuExFSTKupO/aWC2aWKEYAZTuF7DbB5MQ+3GavFgPDeXw0GwMgBSgG + eJfMkvZpWtX3X1zWEw7iUCd3hwuRH3yKPerSDunO/KQsBwjZYFUssDPYsjLQtJ6Hnfldz/lGNDyp + Zl1rdR01tUVE9urh7e3tn/7pn/nN3/xdAMKipiGEvu8f9dPZ/eKxtqWq+QN5hlFE8g+H1fbC05Hh + DnDJWcaXrotZry0BBGUITJJhucDu+f/rFS9/4253+uIuwETkjOQWYx7ToussEvW91zEgOfKwGFDO + N097AjY8TwYu+S92gIzHZIKciaBrg8Y8eMlW04a1W+raqHV/mLFa4zb8FgyAMpTIWJRcGUpQttUX + McAqlWHSi1h2oIbTkGPh5DQ+kYGsJbcab9hQ/DOMdNmGJA2yUT6NYfkF3uF5uwbA8+gdq0cmyB7N + W+nokHd3buraamdX4WBdr450aqO2nd3N7d3p9OQH3/y2133wV+n0qVy6F0OErxSuxwvAwYzLnZEK + hUKhUCgUCoVCoVA4+viabrrTvn8YHm2KKK8/d/78p/78zz7+8Y//+/f96sc//nF39GM4IM+wE8MN + cAfgh1/swCKmCqI8z5NMqygXdnYr4WXq/+yzn/mBH/zBf/APf/Rbv/Vb3/xX3vTSe15y5+23P2rv + DmwTT54Fb47r1nXdtu3mfCOlpH2KMSJ5CNEdt9x40//+0z/z7ne/+4f+/v/yRx/7uOWZL+wvh5+G + zT0RHwUiygFkd++7jiWHvJA9rWNV9V23+mRuc9IcXAKDEjk5Bic8GZIMAkGB9fVQV8DhGEPZtPIG + dCdhAJrS0CZV5IO7Znu26hwDQbhp2ggc3zr2oz/8I+985zuFqVss59Wkb1sRiXXdLpvpdOpqfd/L + 2KnDxsfUlLEM6sAlhvGfO8vFww8//ODDD913330f/uhHPvShD33hC1/YWbbIMTvCshn2eVIbrqlD + JsZoZtn/m4iSaT7BlmrtxYs//r/91I/95E+99tWvet3rXnfvvfd+w/PuOn78+LGNTScYE5iESMcj + NPh8+Kg5VCgUCoVCoXBUMbPsaR1CUNU8aJxMJgBEJK/Py6e6pYfHwYGm57lppIQYoN1SKqAzdOlZ + 06ls79FharbSmCw6TnCDPGdW8wXXn/jEn6CeQBgAi/RIJeXlqYUABjtchDRBCODYow0x/MSHP/Jj + 3/jSixd2NkDMUPU+uQHhMN+yyVETSMK8Tz/4ipf95F98UoQg0dRZaPWqAmBMQi0UCoVCoXD0MbhR + YGEyeIIktykFU2USMFCzmjvVMruRAKQGvvnf/f4fYA9Y9ggBzRKhhjbYqtDi/fe+/fjx06/57ffc + PWMwYIpAAKMHpnMIIwg25nBGjid3jorA6JE0C171jsAQwFFPqQMMXmE10UJMILDkqPiqWsBBgKzN + yLAAgKsBkBAAqJFQ3sBRqd9xQOIwgspvRAKCqxABnFu5SpFlIIt6XVZrkH89j+6tS30VIkKE5qKl + wzWGvkoYDpi5RMvlcgYSJLdAqwxqzlmjSofuag1AHdVMhgxVKJERSKECYRJ4gGISayUsgcgsXBvV + DcPRT+dzWTbv/siH0CiaDqSoDNqAGcnR+Ae+9W03JG3bZm9z8uYP/Nrdk4gQ4IDUSAwEcAVizGtU + AVNp8pyUYpL3QN9JzQYHgdzNySVUEhpoBZ5KhTxRdFX76Bl2vVxlsVihUCgUCoVCoVAoFAqFQqFQ + KBQeF2eMxQ5Gw+SNu5uny6TBBniQ6CEiysYfgViPktXupTjnbrLD2MhBzO7kALF1XZP1P8aKD4Dg + TG4Qrudxk5xdLZeKOCHPffERzvd3ssSqpADWpcP86Da5cL3yNE4PLRQKhUKhUCgUCoVCoVB4erIu + BrSSoSGAmEd1YIKBGAZGjA9HOTeJJx22q8KoAziJGiJgWWOZvs7EXoIzYPtCzORiNhHC7h7M0Pfu + zlWs66jqQa5NInqMEQAzr/Tls2rMNdl4oXANydJaWdlqpTR1SGTHhfUvKh4MhUKhUCgUCoVC4Zqz + Modzx5OmcvtMw9GD4AjuCiJidkAd4Erd2CGRAU9YkDZUUQPnKkaH74Wbwu1vuePW19z6X1xoz334 + E//pcw9++oEL96XJQmfdHi60pFUFdMQAc3b6NYXnoIq5ExFTBEZjYCKWpHCEQRSYCJKdm80ZIIX7 + vl2ggACXbOY1qjzDAQGYFK5kzGyAOZQcxJFAoFyPbtmCGMiuX5rATISKIHCBS5DJ1LZedeebXnjL + S5/7rNtqm/jCq2WouBKGaQsxgN2TWyAWsgBFgpoAwkoOdEYAzLMJHAb78NG+mniMuK07xIlBHZQs + GKLJxCO7SofYeAiiGsCDkTJgIDMM0tI0qjK4w3y0RM1v6m6jnelfshzigW7ktvLeHraSo2EEGr/F + 4eotAIKBAQcRHA4wkbRtP5/AOqhiWtfWe7dSwT5CsBMN+heDA57C0XfLjflG0/ahkpAid3UdN3rp + jBKRMQFZ0WB0U6PBczbrlqth8Gvz7MV6xfv/qpbsBjFydsCy1R07MyMbEMLhiuyJTmCHANC6Shu+ + 5Moq9CTG0BSF1RMhn0PZ5JuNvp4gz3rgdLiHYxVfdc5S4izovUVWP1RhInV2S66Elu6e3fMNr3zR + 21781/748x/58Kc/eP/efZONWac7IAeTuye4OcCwQO5uDgjUwVBAjcEMdjBibgYN1xoZyFUhwgRz + YzARA3BVILGM2nnDwYVgMAAmsBlUyV0FUocI71MHMFVhw5dBFpM7TrzgBc95yWte8oYJ5rXMQxMH + 4f3OKhJLHUd29wSlyhzo0FEFRQKyCSMRGB7gOSP7iHnzebADfpYGMjLAVBxAFbTmZQx1XYHAIG6w + LugPzZ7NxIzB2gAS8pWibtB8alzxVRAMYbidDl7FAOAWBEiJCAhw2GDUnkU2Xd3V2ZgAghjcLYoH + NiFz6526SA6hNvWM6mr2zqDZkh9CQO9kRonBgLiDiNmjG6EPx/h0RfV//20/9Ou//+8/9umP9lXj + 02aBxsQoQn1w3CRnmPPKXZ7JSMjJAHa+ZCkQdZCREzHYWTWkbC7unj2iIU5E5EYGd3MHZ+kmgpsn + Eooa6935Kdx0z7Ne9qq7Xvuc03dGn0kKAJw4QdUgHmYWYTD25AlgjuKEhGSUHTZXduNfR4DagzsP + yqhuWD3poOQJcIZGd+p9K060JfXkrMo2nCHwv/T8MRzKnfnJWyLPuYEdYkzO2UydhLplc0xuuueF + L6Zlv1xemMznTdfGI1zJcBRg5q7r6mqaUnLmruumk7mZ/ZN/8tO/8iv/BkCQkNSCVKlPdZy0fXP5 + RvIgNc+brKZO3D1LLefPZG+S1Zc+Sd0rPJ1Yq/YxN2LOs+b5+maAkjNoECNj9IB4j4vncfH8h1// + +tft7B1rEg+abp6InCWYkqIiGMicheEJw2tLnpt3EIx85RV9lFTsAFRV0y2qUAFgD21q63rSdV1F + QvDgAFmvFivq1EUgid1cYcJMBg7RVY2QTGNdsaPtukkMrgphqLKAfdjxBnTErcgyhCXzMnATo07i + hbavto6d2754ZmM2275wg8Iv9u6p5tBbH2cbzd5uqMjdg4HITQ0A5/EJJwBm4AjtIQQJsB4hcjLj + EBdNP4sR5m7GEpjr5AZ3Bpklg0mYiCpcAQO5A0Y+eGkDYs5PjgHUFWBgB7GDLdE4fmMHnIjYVMAi + ZCBNTSuECeN0t/Cz+OBr3/a63/0dHEuYzkATGIEqKELct5BiOA2v+IXCNSabKLRtW9d1fo1h5pwa + cUnKxMpuoVAoFAqFQqFQKBSuil51Y2Njb2+PmGUS3/GOdyzbhogcnF/wiQhqe3t7Fy9c2N3dffDs + I/kX88yEr/9s+8sn5q/8BDBVAFjTD+p6JaBTyy/qjaZme/dX/u17/s2/fQ+AeT05fvz4yVOnNjY2 + pIqrmCosVVXVLZp3fOd3vuu7v6dbNjmcetjhqDy36m5BRPuWgEDsSQXkDocFkbbXV73yFf/Pv/t3 + H/jN3/zRf/SP7//qVywZCbm6AUysbiKiqoBJCNobDtpRX8IqEybj62kQDksHpgj7dn8jfiDWz4AZ + fJgVARRw9fxzWrPfXl+/zoE2rJfGjL9pqiBQCJ5SVdep691sEkPfJ1cT4Lu/67v+7g/8wOmTJxkU + SZrUO0iIYW6mVYjaJ+DRs30eQ5/qCeKOEEIydfeHzj7y3e9613RzbmbkTA4wqWrXdU3TLJbLvu+/ + +OX7MO6Q9YYMEdfVqpwase+Mvdb+y373ibHaTt/3a91xENyHS1sH2wz83u9/+Pd+/8P5tyZVdXzz + 2NbW1ubm5mQyCSEQUY5OkPmpE1v//Od+QVNyUxFRTzioJ3XtelAoFAqFQqHwRMgpE3mZXasvHzTm + 9U9rT+uD0DD9T4QQ4ASZBGiH5N97xzfcS1UYJoEPtwkE5FzUTrExDWmZEnh3UqGu4AZG38OTSc1+ + 1JIbn3mQg4gcoDDEBSIYxJjPHya+lWUmoemX80ncafqKcdjCv8nBjrn7wxe2kXpY9NRzVWPINllv + +uG2pFAoFAqFwrUh16M5wUOulwrE5BASqMEUYsIMFShDAN6AzhAUc2BuEIAMDrBBAPO/+hcfhQIR + kDH0n52nhcEBoKGgKQ+MGZjkMjwQAgAFQrVWq0SIQAWH+VDsJiBwyLmvOnYBY70Y5VIkGGAwhpFg + rJ8CAjSbYftRSUEkoKIhQjwGqQ2ObO0sRApWMPJUwbqn9fqoL9snjGslRAWYmISHTR01hBWwfFIA + hpU181jPCM6zHvlUOrxxpWPlhgwYiIgQmSAI+7MbMoxyKwYDJCawGQAQgVHNIIbJWqXRsDVGwtv+ + 5FOwdjh0kuvfDibAEoMBZog7LIApO0w7QMZ1UMBBBs61UXliZwqpkKeFxlVXAQ0NfUZdL4VCoVAo + FAqFQqFQKBQKhULhOoFopdZSkp+fYvjyIzB6Wru7c9bbgXqKUZbby8fajpmFEFR7VVXVnAnPHPWA + ct1R4JJA/yD8SERmBg7M3HR7bVqom8GZgqU+h/Q9z894tTU7SYogAsvKRLlegNyPjBTIPhxCSMnA + lrg5v/fIIKeQy4bWmkt+WUZQ4cjj7nBnFji3bXtJZdNTxTMmQ7RQKBQKhUKhUCgUCoVC4WmH5YqD + A4m5trJ7Gf6b5dt/+zc/+epXh929G+fSdzo6hYAAGURfHF9HZvJKTSdb/gxix27aLrY2TmB7G1ub + UoUu9THU18rTulC4LlgXUF73RTg8snDAuq11nlApTgyFQqFQKBQKhUKhcJ3hnHUKQNkM8kDeoLLR + 4EeqzASCM4OIIFASpUrq2qZT2vzO1925oxfuu/jFT933sU/e97EHd748jQ2RqKlZUnTuyVmdcyU9 + ApO6myUfvJc5ayNQ7NwxvFyunIYBZoIN/rJY09vtOyVGCERMRnB3dag6CdyhamAwUwBMrVOvA7mA + HeJZn1rEmU1qmXsHtFRhfnrrhttvu/Pu573w9tPPP7Z41kQ3qSH0So7A5Kpd38bAALOvGg8nKI2l + 7xgE5JzW5cQBgJ3J8+8eOA40in4QwM6VT+bYqJZ1m2RSzaahin2wlihQ4qzxnECaPSzXvoIAJmf4 + uCWyK0/GNQIo+bB7mZ3ImXPaqCM7leYPjr0bG+/ZqdgcMARAiDlqnMDJNC0MCJuTrQ2e85HRLAAA + ZyMbjyMGSQcAMAlkfRfAQWZ9Wmzi+O7u2SgbHNVJ19QphgglOTthUGzI+hV5Lx2mixx5NkweDoSt + JCQGhhODYfnYOkLqZEJbG3SC2lBzzRISUm89gl2mYk4HsmkPofnk7mTreuVsAiIgTHjuau2iOSnh + zS/99m9+xRs+ef8ff/xzf/iZ//yn3XLRWmOMekIevPOu63t1QMAECYOJ7+AEbDRYiMLc3cwU7u4x + RoKDWJwc5k7kMLM0ePHCaV8i3wxmWSjcgkSWQERZByX4hE20oQmfeMEtL37F3a+769SLNv04NRKp + YmUnmJk7uedE3OwiiVFoxyzbn2cT8eHq4PFmcNRyrNmGMP9K4H11+IwRYB5TvcVnvGUoSWS3lpHW + bxfradrkzqNgfb57KF3F9UIO8XW9+1GEZyW6M3g6mo3Xq2gACB6U4ZRAKm5VP0VTpwXCiWpaTaz1 + ru84GJFcdSEDOdzgPE6ZWF5jJOwMYnYDwEZmkwnkpjh552u/784TL/qPf/QbZ3ceqE/Mdml7Z7EM + FYyG1O2AkIOwZpYtCRxO7it7TXYAJqBhyZyNSN1d86cBEJhhBnOn5IzgxmQcJVZUE9gVnlScn/+c + F77iztfedfKFp+lZM92KNnOTZL2yOamPp2buoZEpG/slT4TVk4LIh0cej5JTV7VHHWLg0ciZQUYw + RjJLdZDAYbenaZrLchpUpIqdNUZXIZZ6vafFG4HdCIk9hDQDkKRV1r7pt/j0C269Z+abU6mNXfvO + VcFXZdP+jKNt28lkks/RGCNBuq775V/+V//iX/xSSsbEqgoQM0PR9Y9uQwJARNYNrQHUdd227ZPV + j8LTmf2b1jhhT8yLtgn1hMYPOCBCSAATALTNhBw7F3/5W15/x0MPfcPu4uQyOVE2PHawE8iJTBhm + zuBhNCzDs9owFJVZHqbw0I4nyxDpykjdopLQWWJmT6muahcyhgFs3ppOq0k9dUtJVRkQCe5JRGQ+ + ffDiNldRJfZmk3q2u1haCPH4qV3vd7qWYwhBgncEA+AmTtyxtFw1QZoQv+3970Oo4AmTKfqEuob1 + WO782lvfdlzsRKy2ty+cOHnT7t4eb57p3RwWnFjThLlWq7ok7updCBydrOtCwInN2c75xWbFZuaK + ZP3GLKY2hRDcKGlvCoULKITIMtF+aalV9IHC+DpzyfP2CB0sAGQMgGkYdhtBfN+NifOLFIxAgIuD + tLlJIp27+OFXv/rLJ7a+4z/+DrTFdBOmiAJHUuTyPXEfX4mO0itn4WlBNlGo6zrXxDJz13VVVeVn + /SpBwszWRwKFQqFQKBQKhUKhcOXEGLuuM3dm2tnb+9gnPv7g2XN57mQV9c4WD5eohawbWuMqg/CH + TQ4hrdqWX9cVIGCnbXYe/NoDD34t9279M1HAjpe94uW7i70oQYjNDt227bEwM+LhRS9KsD6dOnny + rW95y1vf+tb/85/93C/+4i9++ctfJiJ1dzchNtVJVfd9r30KoUop9V1X13XbLokom17nrQ0CRteG + YUpx3Z758ZePBeUUDRsNtsd5KQTxlAB0bcvEs9mkXTQR9E0vvedH/v4Pv+E1r9WuJ/cA2rm4fezY + sZTSNera1ZH90QG4+7lz5z75qT9dpl6zc8pqCn9wCQQAwgELPn/Umayn/BWfxiY5sNbg3K69rlue + feRrZx/BWsuZ4A4BbrvxWRcvXtzc2HCgaZpYF6mfQqFQKBQKhSONrxz3xgGrAaYpph5tuiVO9OwF + h/EhK4HmcXIM0rvNJqFZ9gL4dLZdRVSCut5rfV4TwIt+EeOlTuSFJxUffdDXZsgFBAgMP/Pnn/6J + 59y2aBZTiYumrwjJcNiKCwL02gWnM8fn6HuoUqg1QcKB16syo18oFAqFwvUDD3VuDmQL28FkeoRW + lS/5nxjceQdnaTjBAIXl4ooq5DzX1Zh3kKvqCA6E/BU6ltrQfoCU1mYZmPej64SDZVDGYBZaDwSv + te1S1qWyLvsfOhpLAD5a8/JYopLXMDPlCj628ejsd2u/y6MmmA/7M0fLw/o+OQo9Hfu7H+sGCDZ6 + D6+qJg3ONGZJHzIGqAEyfF/Y3405i3uohMruzoODxdp+FQjAgojhHFudzUNnIzCF24ErCKvtOGDj + 3nDABDa4XtNqLa9PNcnqSvGxQvWJ7KUDX7HG0/R6KRQKhUKhUCgUCoVCoVAoFAqFwjXF3UEg8pWH + yOOQ/Z1z5X7f9+6uT9y05EmDhgkLwDCofykpgu8sthUKYTiI2Nx0iN4L9eHUxmk2Yh9MwZVhjxp1 + PwK4e9/3EuOi37HNfvf8BbBqn/hR6i1s/FO4/jgKbtYrSq1LoVAoFAqFQqFQKBQKhcL1CgM22gkd + iB/SYEcgAIgh4WHHbZvHqF12vbIggJijewIsu8KQr+UEXy1DbDnXL4Ad0Q0OYa4JCBXOXcCZGyRM + soxOUYstPBPo+z7GCCDrI2dVpidBK7nv+xACM6uqiKSUQghFo7lQKBQKhUKhUCgUrjM8Z4uJAQCv + quiHJTlgq9w3zf5nbkwMMpAld4FwCLOw2TbtRnX67pNbt514/ptf8tcu7J374n2f+/T9n/rMuU8u + w46jA7XOjXMyQ0oAuwwC3O4kAJnDlFRr0KDmPGThwYyQLGFNtpdHzd44jWbWuSXNfqGgABFIgCdo + DzewegSEYQGLnM7oEGNOIWoVbVKn2Qybz7nxzntuf+ldt77g9Pym2mfWgx+ROW10bepNPTAxmxsQ + QogwYl854JqRmfQAyGlc6cC68fOBHc8OcnfCpWl5znASi92233bybp/yHl906idcySJG1I5og1uq + OidA145adrMWsgCX7Glt3IOuVDnaybMjKQ1W1oQDttYHDZPJhiDdsF4JCYATG5g4Jm0JzSQEP2G1 + bc5wM52TcORSyHg9v3NlER1jtN5IyTsTDS+69aU3880pLMWzj282TSYbHM2ZHE5Go3jEeFAYHp5o + JPRK8NHXfGWKnNVfspBE9rv1wWybzIGWZI6t22Z3ehsMDjMKHgDdP5mHPTFqoF9d8mt27T3QxPVg + 0UqGAwZwvgxBppyU8oVJUSs2Sm2qZ9MwrRfKzd5yNjn+ihvfeM/pV/cva7/01S/82ec/8fmvffrs + 7oNN3KOaQhCrU3I1c7SAZmf1EIiN4K5u7u5OLgQEIkLf9/mwDGIdrsBwuJIDDiYwgxxkcEcVCOow + omRE6kZQ5z6Gfuue577yJXe/4taTd2zFkzOeR4/W9nUEey8W3EidlViZFSCE4VZA5mQHZEOcAJBn + w3sfHJGPIM64zDnYzNxMLGxWx++5/VXbcrHvqQpTURIbDa0HA2+snjL5fs6DmIs5Ac52xdFFdogx + 7aejD3tyaFK+QdFw5uevHvdocDJlNUoMCxqO0+kzszu8nbjVBAnCxKbaXH3y90rhhOFEo/szyAzM + 4OxCbWBGqLqamkkVt/7K3X/9Bc/+po985v/7w899UNsHpvN5q7tGrZkDJkSECGeQK/rhAQNn3g/E + pqQYg8M+YoRKAtxsJY7D435znk42Ypq2u2Z7fPLYTXff+cLnP/vuF9/6oqqrBXVEZI1d13XmTMIc + yEEu+XFgQBI1QDnl+8tgJX7geUe0b9P+RBgsV8lACiSQkkM8EZwFfVLtWwR+8S0vv0lvMWW4CB/F + ZP1DwgFlAM5IbIF1AiCFxrhL1m/KqXtvfW3cPRZQM1iTRJ64a6kEeBzqauqG5bIJIfSdhhDe8973 + /sT/+lNdl6qqSsnMDUDbd0IMQC973mVUh+sx21uGENq2PVKp/IXrGd5/zjnA6M1jPXGg0XYiNQHk + 1icNILgTC1KP82c/8PrXvujc2WerbnTuRMpugEMAYmMxZANo5zyHz1kdOz/PyCErXWM6omOTINQj + aY2dhZ2Zh+Veu93h+AalRV8JT7nquiYBs2o6k8TMfd8KwR0PL/fO3bj5UJTtOnKY0g6mZ559/97y + v/kPv4GtCeoAEsCgWVMRcIYRiEAMYrgjxmGpjiAAQRXHznzbH/05HLAWkdG3cEIcH1KuIEKvWNi/ + fs1bjjO10hDa4yTTttto2t2uPz6bLbs2GGIdtel10QdB2/YChCqA4a5EtNd0s5o1DqOn3tMwrMrF + bE5HUHaNfcj3IBdnNXIHxAHAKIFUTADY8F5jAISD9+0xsrqRGx/qfu9Vr37DB38XIaCKy6afzKML + uix02bWQAD7M16/CM5WcCNG2bV3XAFY/uDuvsqjcV3bXT2VbC4VCoVAoFAqFwvWJu6eUmJmDBIp7 + y4UCtl8HQQDUHXl6EuRjnGil4v/Ymz7Uhv8lrH/5une1sKh778MMTs4KcIM6TCGAE0JVBRGYu+qT + VBAyToIAQ3iBYxCRdrkAEIT3FktLemw279X+p7/zP37fu77nve997z/92f/jM5/7AgBzm4bQdm3+ + bU1dCMHV2nbJzGa2MnteuS8/Fo/V2/F3LpsNuard48j2C37ZlIHrMKOUtydCZJ4crgogREmdCqxd + NM+95da/+4P/83/91//LKCF1bWCJEph5NplilUHwGIpSBx346EDPrrAHtN7y/W8xM3cHE8fgRIuu + zzOa66kSBhByKgqp6YEvzrbxj7Mzr9HVdPlmaG3p66su/9BqI44Qgpm5+bptC4HUh650mibzWQjB + iZjZodg/7jnJ4Rp0p1AoFAqFQqFwTRjGtWuDwt5AbDEEpBadVTuLCVMk2KWJe9cYUUYWSAAAIABJ + REFUBwJBzdXcvXewhfqC0s9+6pOIwayfTaJ2cPi0mthT+85Z2MeQEwodlLNgNzaxs4fZxJpFpxo5 + mKcYDv38qcPEUtNZq3u76Du4edPwdPbYzX4G5fsVCoVCoXCdkn1zlcBAgMGRiEEIgQHOtUw5aw8w + yzbYK5dfB7kJgcm6lWU1AEEHIPsBE/sYF1WYw0Ry2SA5QOBsfS2AOJyhQJ+VpoZSnFyuRJBVOdXw + 1UOw23kVgV258gLGsCE+6g4YgSV/wA9GbJ/aJeA+7IF9K+XcCTcYSFhyJU7O/l31ffzcKuCcC+V0 + 5ZacDcLzp45CT1f9pRzGR1z9j+dCVwDu2dfZgXw2ripkDhFzWIcAGnZX3nt53iEQInLr0rCzKe7b + K+cNkBGy17LtT8vkdON8CIa+P3pHVgU6GPaDAoAJiAmgweYaAiMYfCjNAhEC+1V7f6+V/zwDrhdD + STcuFAqFQqFQKBQKhUKhUCgUCoVrwLoCyWVVEuZEhsfMVnGoExE5EZp2AZi7GukRlTVZ4ZSnXNwB + Jjc2dxK7uPuIsoPJkxPRqjZBqELPN564mQ5UWAz/zUewryRErp48WMt7Z3cfciQigmf1UdhKsJEM + dGlZSuG64KgJYR01TdJCoVAoFAqFQqFQKBQKhcIVsUqbNrAAgK3nsg/CPQCIUc9w/NTeg1+Ji3Zj + Rtq5upsrEQiDNQpnwwq6eimUwdPCecyoJs85xQbQ3sULv/K2t73zQx9aBbRLAnHhGUKM0cyy6BUR + ZZPpJyE6nL20AYgIgBBKBLhQKBQKhUKhUCgUrk88ADmSMhpakw9OnPnf+0XyDIBUGcQsOUBD5gZz + A1ukLjBXgvmcjp+c3nzHC1742he/6Xz1yAPbX/zSfZ+774HPPHz+K7vL82pNol6qqJ7U4EwgyTX0 + ZsYSFermDoW7kbuzkzGHNf/m1XsvLbo+MkE4CpxcYe7whL1d1BFzqYSFWlinAQKSOsZkBEXNszOb + N9x20x133PS8m0/ccsPGLVPbmPhGaGu6GNDxBBMh7tMeC01j5BA617bviUhCgK8sWmFgz4XuQLaF + XrGu2kxO7KMJK9lo9Qpcml/IcJyYn3ndS970zbPXNLJU7cWY2zANM9LR4ovcyABzsjVH4gBncoEH + gIHk3Btfqa01YD64C2OMrq1srYe0yFVTsyetERxEg8lbnw+QkpgLkSfbqwXiiJin7bgZT2h35Y05 + dGx1pMjhxGuK6l3fi7Mwu9pmvfmtr3m7bSQPiXrnLHfiZJR9xAe7XCeA0pqtNYZjcYhKGdna3EZH + 88HTmgA483gV09gkJWhkTzLZm2zwlvSx61s2qCut2d5lN1l2tmub+XqpdvnqEjDLls5O7IO8zHRj + 3nTLPvVcVxOXdreNjo3qdHI7cdMt33jzvRfT2Qe2v/iZr37qL+7/s6+cvz9Jr5yIiAXZZtDMeush + LbEJMwQAKUw77w0x7gvg06jFonD1QWrEjUiFIWIixqn1WZxVVHdN8p5OnTh99wvuft4tz7/rzDdJ + M406m/JGhRqtAV6HyrQlh7uTk4CIWMmcGCqre5evPI/HiDY5eNhLNka3j1zmblbxAGy4Xlx8vBMG + lltPP/vtz/qvdNqT1TXX1JH4vtu07T9oMN7P85TBuNLF6Eqvl2xrvb4Caxf1o3zj8KXDuW2cjNUp + ibEu+LicpEVImgKTRDaBqRNdxSXgBHMGrcvBOwAjYzjBDBidrdlc2Hkapm3XmMgts+e+9WUnXvvy + 133p3Oc+9fk//vR//tPGdtq011sPzkLzbGSBakPvak5A704Oc4OLM5iEBEzkABOTEKRfKDsNJteO + QBI5BlRB4wk585yb7nzebc+/7cbnHZ+dhEba42Pbx0InYOIoYO9ZwczMyI9q53yglaEwJyMH4JfM + 86wM2vOuzisNWPmLXwWkRsie1vmIyjj3o25GvjE78aZXfDvm6hbdKBgBzFmv6AqW1zWjrbVJvhA8 + GsFkYZSIiLpwOtziO86JIgLMzQxc/AkeD3cnoqqqJpPJ7qL57d/+nR/54X/QdQmAmeXJl6qq2rY1 + N3rsGUgRybaXqlo8rQvXigOPxjVjFac8bvGJ1AJ07WJaTyQQDLAeiz1sb//WK195997usyGhbWGS + Fd+cslwgxIdHqdF6tdD+89XJjVfP6/E2csROalWXKKnVUyeqfrsTwo2n6t2L7UYty6UuQzc5fXKx + SDsSF0INNExOLLXvCe3m/DXve88L5hNUFZRhMywNx7YwjYjWTacNEIAq698hjxEvPRr58PQ9YkTT + 6HRea19LgBo4QGHqGogMFsCtJ1AQWFSDLN/xh3+M1CO2QI+ugzqa/r1vevMpkUnX1prQLE/ccBx9 + g2W7MZ9o20yJ0TR5gnY+QUrmgBnkUgtrWr2++RGrBLM8HgNbrllbnU8EuIMSuTBgYHgwMrU+RPYm + nZrhjPd29tyvv/Xtb//d38HEphtbUEPgBCU4WI7ayVl42pATIeq6zkOC/IOZhRD29vam02nO1nD3 + qqqyB/ZT3eRCoVAoFAqFQqFwneHuIiIhNKknJqmiNK0IZ1teEiKibCm2mnj+yw2tjxirphpgpqs1 + JJxnGwaHCYMDRNRrspTEQE+Op/XlDSaoKgchInevYpy5m1m7bCSGdm9vVtXf9653/43v+M73v//9 + P/cLP/+hj3zUUiIgSjR40oSkgEcJvSYAzCwiqqqqAPJmn5KuPRaD27PD3BhwdR8SRGAG67UWvvnG + m/729/2t733X99QxCjGZx1gRkSY1M3a0bRviU2NLRkQ2uHYTEQnBHKGOXZvybL4NJgsO9/0zcv38 + uo6mUQhJL827ICIH3ElIhCmZuvvu3h4TooTrqXeFQqFQKBQKz0ho/SeCMJIluCKlv3PXC54HYWtW + eXqHhwLuaN0iQUK9ULsA2q4iiBCFOaYeIQAgMzALHWJybOEK8FXy/3ggcqqAAEHO9f1WVYeUkqUE + VIefO3AhNSfilKzdtv777r33n332s1RvrPQpsrwtr2RtC4VCoVAoHHl8rPfwXPYyhlUVTEMlHTyP + IYfSOVMEXg1SaDS3JoRsa41c3TQUmOTt8SBTPlTWjF+zKjNhWm3HQLxKcHUHKYgApmw6vDY0peHP + MBOxb3ALOZizOPzf2M4j4c47LvO+pdEmPO9tyZ1dlfWNnTowJqRL/gZo2M6B945L4uRHYEnZitnz + +WNDXdXgTW6DtTQdSKU+PAgQsB48Vwng8WQeTJHz3F0+4234O5uI50/R6uTPx3Ct5QYCmA++VY0v + WasDSkM9r7PTUIGaG8Q0nCE5N3ysaQWIfSxfuqr9lK/NZ871UqZNCoVCoVAoFAqFQqFQKBQKhULh + sHmsiomc3r8P02K5hBw5ZYxHgwEzAsjgBCYzNzJD2l5ue23mLiAiYofToD5HvZw5fkMO6ZNLFvdz + QGx/m09tr9ZhZtUeZBTUY/u5Bz5N0YgcPkrV7Cf/5PD8pVonhcLVUrQ5CoVCoVAoFAqFQqFQKBSu + P4aqRgJoFSbMxjlDqJeY1xyraTeE3jkCaNwAroImAxnBCGBHT8h50F8H7jzULRJlQW3anE/jskGf + 0GtPKsyPIytfKDydyMrIK92uLHSVdZMP9XvXt29m+UsP9RsLhUKhUCgUCoVCoXAIsCF7TyK7IIMA + z6a8Opo+kiPABV6RI0JZnYwIglxVr25O0+lm07apNRKIRLhZr6Hf3Nyrnx+f9aK7X88v0qXunNs5 + 9/CFr57fPf+FL39+e3nh3M657d1zrS2pSpMaHE21USSDu5vDwW5MYPTWr15E16TZPARmENxUnWzI + cROjeagl1byoua2C1hvV8a3ZyWPTrRuP33By6+RNN9x85uQN83ouFrxz7WiymAaryANbFERURICx + 0hSOzs01WXAOiO5mfW/iSuYEB5NHeE0WCAZqQR0w1sZnjTAnrBl8YnTzyj9i/9MAYGwA+oVHmbJX + jDkzB45IHBLgPSF7746m2msusGz7Yhzk5Nn6+uryNS//MI8dWZ0qyHaxDu5Z4MwAeWLqAQVgJOYS + qirpAtpDW0EIVHd7jYTqahrzJLAyFWY4GGwmTixRSMFMXae6p/PqWLvsElKkenB29QO6J+RjXuy4 + twdjWz9EpQMns1WKro/nwQFba2DN2dfJm2UjFKKGvuuJiCJRYLFq8Jt1NsJKd+KJhHDXT7ZLfaxX + Ju6rhpmxAxATdiZjJzJyDf3SllxxDJVAVFU0MuAtiSeRqg5xGjbOnLn5hTe8rH9Jp5Q+d/9nHzr3 + 4Je++vmvPHLfdnNOsURIIbDH0FCjSS27zwtCoIqh6ivJDnO4e1YvlzCFixhDCYkrq2qfVT7dCMeP + 16dvPfPs5z7rebfe+Jyt2XEyQcuTC7OJTJiRkqr2MId5copx0rsDRgKBARYcblDU+/vVCZR97o18 + CKvRoGliPjqjX/0xODwGSUGDsRsNz4wAQKraYapKFo5NT/ddhxbBJSLkW1W+BQKAc77FjZosBli2 + YDcwvL4aG3gDfC0fnQEa5ymGXZf39eoaJAePOjBK5qyJDYBwCFaxiJCZN70nS+6kuETe6PFZuUiQ + AoCHYQ8BQBpu18MnAcDImnSBgzd7u9bYbHMScXo233zJq7+5/+buwt7Zr577ytfO3f+1Cw88sv21 + 87uPLNu9vlWD5ruNMGcnh2wvbeowMne4mztDBDLzahbnW7OtY/MT/z977xpsSXbV+f3X2ntnnnMf + dauqq7sl0S0kTSMhjYw0YEtjNJJaWGIkrBGEPR5mHGHQOAYwJsZEEI5wOIhxhL9h7E8OIibCNhOD + HeEJ/ME24ABZEggJgaGHGSSEkNADRs/uVnfX4z7OOZl7r7X8YWeec+6jqqtKdatuda1fdJ86NzNP + 5s6dO197r/X/P7T50MXtRy9tXdqanP/OR76TkRiRlKwHejBzsjaUSeRkpNqLWmHiEEIAiYqSosbE + E2y8hUXDaElfDzMwmmiu3+9uN2dAjQvIwLUOmcFqDKhyQjITzj22+JGyV9TAHKMCuClD65eArTXG + Qx8lskGJjVRCY6RQitqUeWZlI0OkRFxg5T5I3riXEIX5fD6dborYZz/75z/zM/8YRMysilKGquu6 + rn5Z87s4ytKSZGtra39/38yqYfZd2AXnJc3hm5EN8luBqFNhRkDQ3E1TgigOZmgSZge4/OxT7/nB + Jw72HupyLmqGOOQFEYyDIZgAVcyMjQaZQLLB9npQ+GIVHrLCyBCs3lvPUpM25pBKkXMplmtdJFCD + /ee7aYIuZJ/wwsXt5yNJc+5KiM9ttj/x2x9GCGgaMMCMas1DjJBAPKj2cQBxUxBFuA3QscYB2Phs + QXVEFjlLSqFJ6Pt+2jZGkAYCNIQ8KymFGFPf96FpMsAU668RFOci9ju0m+BNLOY414IAwY98/ovo + OxAgGaXHbP6//dB7X5kmuPzCznSzvXbtsdA0BEybg739zYgI9IIUVnp0Y+TGqH63jKs4AyhrIUJ9 + KgMpMaDBjNbk9wCpfyqxMBDRad4IYKG9brad+DXf+NYfvvNdf/OjH4F12DqfYpttlMmEetqbc0qU + UkIIyxgMIooxisjm5iaAZZjEYrGYTCb3sqCO4ziO4ziO49ynqCEQM0svhGBFRK16P4NgqoY6DEFV + L16Px4cfGqGrvzsy4TS58QYOdyaZIVAch+ZgqutLVieCEELkwEAKnGLs++4UCr22WWMcM4czgppC + SmwSgNz1TNS2EzFVVWbiGBezGYn83R/5kff/0Pv+6itf/eVf/uX/69f+7xeuXsPQQ2Exxq6Uqtyj + qjruLBGFEEo5aks8bPpWd+CWf6CrX9BqDUQ2vvaujBUCkak1hMcff+xnfvo//9H/6O81TRNBZDAR + Zi5FzCyl1Pc9A5vbW4vFDFi2yesNtA19RLhztnxDbzyRwsRQDAKULmO5i7exoRvW7W2eWTdzctqx + JU9YD9XRh5o5Yqrj4AUprKgWkdQ2RkwwpkOnmuM4juM4jnOWYAwmZACGh0AjzPt+s2GYwOjRjS1+ + 7gUOVOQ0o1HrexDBDNGwkdq9vrNmU3Z2fvHT/xrTCQhSSkyxFlaVo6cS33OG9sBYf4EgFpXA9N9/ + /s9/8bXfTX3/0HSzL90sl+aUwz0uNhvX+hkxCNKaQQA14aWH5fhSfLqlcBzHcRznDqKAEmw0pa6x + pStb4SF9bwixjFga/Y7dw4MngXKoTwCxuhJzBMrQD1oiRktrrn7AqwEPqcYA4EAIDBiCAsQgGDSD + bSgJRyDQqmfVAIXKaNMbayGrTTcpEYy5jIbcXNePZbnPELI0RTYEQEYzXmKAUKAKBAwZZMBgqDw8 + bo2pf6P/OAi6Cq8kDGu5hfyd00ZrGiQoAmrIgAIJxASGcT3YQpCayAmkU322NIYhUBjdozXUiapx + lFwb6o/GDKbqZc0AQ2mVLGqEQEx0qKp5PDBj7uGwtAx/LR0seBXUXfeaxlMRShiN3i2MZ6TAlEiD + MSjd/PE1IAP2QJ0v8JcTx3Ecx3Ecx3Ecx3Ecx3GcU4MUpgBZVTVZMYpvEYzMyNRMIPsHe0QEJuNT + dlO4M5iRGkUCzASMeT7oZQ62rEKIXJMyaBgBa+J0a+McCy/V/Jb7SHbH8inuFALrpbSTaFwW2PvS + Vz8Tts0kExHAMMVyyIMKSKuCzT0tsnM7DIqLZmdhvMRtrR3HcRzHcRzHcRzHce5DbPwkjGH9ABhU + 1ZKqMUWdQdicfDP333/hIcz3kRAUfc5CHFYrWsJkuI1IZavR27QSJFZCl7OJbqWAnEEhciACTFy2 + 2HkQiDGqagihmiVUe2k9ffGhlJKI1A3RKI0kIiH4eec4juM4juM4jnOfsmYOaqM4gbESk9GgMWEU + KcFMtAAENiJiIjPa3d1t0mTStKoqJRMhUAzCm/F8yVL6XiBbPN2Il17+yBPl0fKON4RCXa/zedm9 + Nn/uhWvPXNl9dn9+9crec31ZdF0362aLvusWi650YjJtklGNgqodUVWil6snLakx86Rptzc2tzfP + T9uN7XZnGrfPTS5e3Hrk/PYj5yYPtWmarG06jpbYYB1owWRInAJFLWZGKtCVB6eYloIFkbHCpERN + TWyYA0wUwli+fgthGTW4lHU45O97OO9dD9U2hp6zpVcowIk50kSKFREiChTZyFSoSh5Y9VYmBfOa + ysCaD7GOnXbpJg0+B/9XG4p3yIQVXNdNhzakSpw0AMzKjEDE1dbaEJQmtgALxWRmcxJtqA1t7EXP + XuSoou6+sYKrBIYo9aWfEFIKLacCQ4nTGCQDo7g5xgpZ82lerpOt9n+S4lZsVm/1c90vtgp/H/Hx + rTosY8G0CRsEcGSwmpoRilhWCyGx8aAaM+zKrR+pwSsRJ4UqHj4pBgvwtahGYyMombBWy14RWSw0 + KCeOTWzNVESa1KoV7ZUpBoqJp2KaUb73kUfkZSLfk3OYz+XaC3tPf+uFrz+3963L88v73f7+/v7+ + bG+eD/KiNzMzJSKBsDECD+cSEVMTZTptt86dO7ezdeHC1sVL2w9f3HpkZ3L+4Z1XJG2CtCwROdJ+ + DIiJQmtJu9xpJyahCe2kZWMRETEdgm5VyIICZlAObELVEt6WBuI0GMOvV+NYk6RnLGy3oGqUAGxV + JwgKzObzhpMaQMz9tLEmFmooqJW6LNl4rgBYRpsPrdcAHfbUkhLfdPtXI1n5NNjKDLGaW9dzIYwu + 7cM2q5gRAFIhhKAKFBUho2qSTdVjWxEYRwLjXxw2UiAPojAWQADKYHQNMmMszzJSMEJDW81WX4rN + reGtxs5ZRoA9bDsXzj323ZferE1vqZPQFcr7e7OiUnLXl1z63OWF5FJUtAgFjpxCik1MqW2a0Dah + 2W63GrQptEEbLpFKM8EkYoIFQYls0MAhMiUDKKVGBSpQtRibGGOx3HULTmwEXbtthaVrOCmMFbq8 + HFZD6/EiqUY63tcOeV2/OLS0P69eqgHGbFFJF2XBkcCCog1NG6DAYowot3zATunKfBc+61lDxk1J + AIRFWIOyghKaSWg7XrTT1OVFb4WJ1MzHy24MM6eUQgj/+lOf+uAHPziZTg8O5oBNppuL+TzGuPQX + qUpQ17tFxhiJKOdcPa3rRFVlV/J1vg3qBfHEcziAIlilTzFAgC6DAy5f+dW//Z5XP/2Nx+YHFwRT + YjTNYjGnYAoCOBgFEzKrAnbDxRbAmnqZkSnR2gPKknufkXIUUmPqAu9p1Mi2Mbm6qdpMTONia/P7 + PvRraBJogpSQDFtbiBPE2AMAGjCygEJVWDTAVCkLESMXTmEIgcCaEONYAaJSDZZEhBlNE1GyEiME + AYoiTSIMEGtSY+N1QxUlS2gCRe42NaY07/tm5xwD+wfd1lZLgMi0YSBnqOFc/5/8wR9ibx/E6PJv + vf/fny0WaXePpVy4dOlqN085b7aU513LCHr0iC3f8s4QNOr2WfUsCgrj8enXhvQ8rYEpDOlFUkQE + XevyuemkMdsWbb7+9B+84x1fmDQf/NCH8eijGylJmoLjGXtydl46iEiMEWMshIjU6IgaF6GqNUJD + RNzT2nEcx3Ecx3Gc24OZSy4GBGKOsXYnEiGEKKbrbrSD63D9fm8KewdQW44YDkbdYCJiKwWAASJS + ba+L5L7rUrqrEiXLUfLUNn3fhxCYOZe89KWW0k83NnLOmvP2dBNMgfivvfpVv/gLv/DzP//zH/7w + R//5//orn/70p2fdQkohIIZIgVW1lEJEtcP5ep7Wd5XDXX3LhhYjR6MswgCZPfm2t/3UT/3UDzz5 + JBExKKWkuUCNiOq7sIgUkZhSauLu/l4T79l4xGBrbUZEMQRRWY1G0+Hl6B75PN9296qtdVEOU2wZ + PHN0E+PeqcBMTJTJfJzCcRzHcRznDGKjed/wR/2XIMCkafr+oA2Aot+fNRSYBRA7zcdYJRRGAm8w + H/Rdi3i5Xzxvm5i0MAOHwAyoQU0phnDoAdW5+xypfNMa0KcEDgEpIMY904e2Np7Z399itCnYrcfX + 3Qrc9blFOFBJgabE6HtMA9P6e4vS4M93OITecRzHcZwzyTI1jqrUFBhAMGZaLTBgQ2c/MKbCUDXB + 5ViTAqzGDNZEAwQMC4T6bFBXXhNo6Hg+ng4bMx4jD0HMDBRAgTAkP65EsRRqUB5tboGa9TD2stZk + Bxp9e5db0TP4bFKtlLHcRR2Mh+szFfPSa3nt4dwOH5rRiryGZSqwymKkM5dfUI0QalSzYkyIW8s7 + gxB0cEi/C68jQ3ZtHDPyYArSYUCFADBoNKSuJ4wNyQZhKG/9GQAEOjxSAYTrD/YNqm4jyzw9Hh+p + 60N1GBbj5W8ABgqUb692Hqzzxd9nHcdxHMdxHMdxHMdxHMdxzgAKmNm13V0xUS1ErZ19Y+tRSqsK + ZhDRlWuXBUVYrfaumzBDCaYG8PbG+UmYUmGyZe/70s2ab8Of5TRhKdY0Tc7zdif+xV99tsesyJwD + zEZ5NxuHLEwBJQtn/oA5Zx23tXYcx3Ecx3Ecx3Ecx7nPICgIED4xnlgAgxIQag4AA9P4n33yY3/2 + 3d9zAVQ6iwlZZHtjo3SzQxHSt93XaAySWhwjIoMNDhVEhgaDO0gNChdVt9d1HgSqbnL9XmWU74Kn + NYCc83KLAEopMUY/6RzHcRzHcRzHce43FNXJzABSWoWOJbagBBgzKahatBYQhCIEQkNCvLEBIKbJ + Rhs5qiq0sFiIFIxURfIe2BJZywQwBKWwKNsMRhsbPNnh7ZfHR+2R19KjYmQaU1GYmUIMolAzM5PZ + bGYmZmZmZExEzJGI2ukkEAcKAYER2Jg0MBEbV2FpZqbARCSqWmyKDRhDrZqeEkAaMMb3xUhGagyF + mYnCYCnEyE3QYkVQrGdTghIoGIdBKDgrMngOMCzC4tFgQeP1+MFRblxqDa4cf6nY4PKlokREDYUJ + h1KKWRdCEOhg70WkQzdb/e2weiUAynVTNpiw1d28mc9aTlI2AkxlmAqDDEbXo1PpYDQKAXVkzBQI + GpBrMQQNAVLIrFWRGEmtL2Jixc6WbsHg/MY6Nn4iWDBiY1BMRUXFooXcFyPWhohoNL4FGRhKhmW9 + BKum6BFgWAAAUiO9paNwK58Mi6MWhBKU7bCXXd22VY1qBhi9qRYLQmx9KSFFMAVKsFB3oppl118T + 9FaPV3XyNvBS4EHp6BqUxmo3Io21mDn0Whc0JmPrERGamJi5mMzzgZLGFIsRRA1CAHNggBFJA0vi + rLQo4KaJW+c3Hn3V5hsVIoWJKARGgEH60nXdossLAMU0gBA4UiQwM0dO09QwiCiQmioYHCgmTnbA + EDMNjBA5EgdVszzP3AlyCM0kbZhRt98VzUTUNDEMEpIMZTMyEAgEDShG64bxYDAZj1om1U3RQAXG + Z8uGlpRsqfBBABOgiAC4iSkGzSWi0WJksc0UYH2kamk8qgHVS9PYJCwC0FEUpzZjtls4X2BBKSwv + rmO49ritNVcHMhWGsAFIClKiQdUoGiFEYphJySohEIdEJmw3UIk5GauXYipKCm1hEehBqtSBFNYA + AKqQU71i82x/PplMmrS9WCwCpSY084P5ZmoAU1LrimRR9IWzmF3gqDBitRY8GXT4jZQMRiBjUSVj + IzACEXE/fAGIlEzJlHorgZhCYANRIMDMWE0gs7LLMcYYoZRFs2YFNCVhq6b1ZBSUyThqbQVqtDq8 + o87U6Hg93JLqoak+zHoLztbjkqQMEKyBBgJA1EwmFi13PYNbm5Ch0wWQb+lgVU7nynw3Po10sIgf + KiobiYJgTd8HJS0QISw4G2MaAlswUTf7vAFd1zVN81df+crP/uzP7u3NDUgpZSmLxQJAKYWZmdnM + VG7U2JZOJGYmIiLSNA2tpNoc505CQCAOAHGAGGYLhISrux9/+9u//+qVyV6/TXESabaYB+TJZjPL + 2cBJKNSb5OBpDYat3/EGCWFCvX5XhS+yUXftrEEiReYBe5tbz8fN3bTxjdge5jSwAAAgAElEQVT8 + g0/+LkJCjtjYhM6x0QIAKVgQAhAhHAhgZENMgWx4dTJVJkYDmCJSIRXT4R1zuUXD8GYUggB97pvU + EGCig8NtLjEFCmZQyTnGhKzEZMYcQkNACjCoGKWmQKcNlzwzhPObCWoABaq3vkQANCIlbG0jC3J5 + 31NPoZuDGLn80yeffAy0c7D/aJFzmG/lPNUSBsk+GKmCjaCkZ+fYsVm0+kUUxOCqUSlE9a3KSJVM + 2QBJIgGYENgwz7YzSaXviLgUeXk72frWtUe2N3//3e992+98FI9cyMwI05LRBBeac+48IYQYo4i0 + bdt1XUqphmRUH7J606+2ZBitr+91kR3HcRzHcRzHuc9IKakqzNigWbUYAcS07nw8WNKqGU4S6TnU + /bsap747CjfrL+N2vRnHf0XEIRigIhCzwaWgxiCEyJzARFZj1O8ay1E8I0iNTlBtmNu2BaBFAEwm + 065fEIVJ2+Z+YUATUu1q29qYfuD9P/SBD7z/6aef/vBHP/Ibv/b//OG/fGouxcadMLMafs/M1432 + v169DZU7DkG+2OI3WseR39R+QgIzw6wUNeDVr3r8P/7Rv/8jH/jh177qNYvZwYQDEZVSUAqsJumQ + mc0XC1VtN6bz+bzT0k4mJnk5Xm20Ki2tbZvuWIfVav0hRTMTVTMUkSwyREuA7PAg4tghesKgCREd + lsFaHaPrtvM7ytrw5nJjXKebDZ+VOmaB0e1+bRVspkZUezMYYOYYCKLqHWeO4ziO4zhnmRpdxRCw + AAFoQ4AW5LyRWp3Pc5Z4yoE/BhQAZPO+bHMSixcvXvyT+VW0EU2rpmRCzMQEIxhUwOl0i+S8CEOA + N8Z3Wc7VbW7RTxkQKe1kNtu91MZZV4pKc8ovBR3yVjOd9XNmlNkBQjry+kRjcZfRso7jOI7jnG2Y + bDRAxmjZq6CaAkJjaDqxLW/0a8hw52emwfR6WEYAIDACwYgNrGFMQKqW1QBo9KFdFzHn0bsag5F2 + nRsAGjcGHjytIwTgOD57GECsAKBcTW0jEGjYBBmg1WD7Ns2ATwMCGpQx0w0F6AAAsdaJVq9lrnON + oUAYa2/AAGIjVoDp0DGqjuDpbD2SsYEBpuEoJxAILAQBmBChIBg4AlEyTMEJfGqvSQSjVbOsU8YM + sFWZl4NYBtSWHAEYgmAIBh4f1lf1TypghpIJ1ZEMWtljh8HofTk8sZoVTavzdz2zlvbMRqvMnWAA + 4m0cVwKacUcekPOFz1b7dxzHcRzHcRzHcRzHcRzHuY8YUj9elKoViVGH6vhcYibAIHv71/qSs0pD + ekRB7KyiCmEKSjDS5y4/X4UyiGBmalYl91QN4IfOX0zcnB3RjxclNqmb7c32r/7xp/4oTXhuPQfK + AiLoSvFyGDM4zewK57Q4a+bxbmvtOI7jOI7jOI7jOI5zf0JH/q3feIw6HmGAEgKFjYbmgVn6bNsb + G91sr6EAopVlDwDDmJ1wCzBU135oYCUxoGliLN3O/j66HjkDybVinQcHZiaiKm61dEe4kdzVnduu + qi67oatimqry6eV+OI7jOI7jOI7jOKeCAgCtvUUOZp+81Be2mnFOCkJRIYACAFZSVYERWMnQlwUb + MzMxIKqovj5U12GqdVMJ3FBjFghESmpKJQsVRhHiYrFBHFyt2EBmZqp6KZKZ1VhFGDNRfSMuCyEi + BrNFsurBPbyZDp5YyAVZuURmogAhM2YDEfFoGStmpGZsRqqASMZow8ng0quaVDtDmKkqmQUQjMiq + DPJYPxYH82BUL2FVAhsfekWnNXGB+mkEGlUHCNWXOqRUehE1jmAQiEIgKWo2KoHAADZYDc0cBY4V + gw5zFWO2cbE690U/xawa2xlgUCNauhwbjAxrkgtDkdUg9RcKI5gRzMwkp7hBgbuuZLUYIlhM7Uwl + /Q9CJytZbYxaJihdP5k0QSlLn0JMKTQcBZatjK531fUZMOOx5qstIMEUxmrKCrOluPSd/zQzMqpN + oFb+SjBjWMiq7ouykZEhcSgmxESJocLMIkarSlCQwfTQBeFWuRVliupxK6RGg0M4Q8k4EEcKItL3 + nZJyw7UnikAUQ6xi3KIGEFGiKJkiRUZM2lhWFFFSNo5oVchMjZRD3UOxqBSDiJgZM9cDHxAiBZv3 + NJoFA2Smg3Hwmjm3FQEpgymQQjgyDQL0HGNMITJDSr9cnmrEMQWiKvxYdxNKgFWX33oRHg/BshrP + JrTmjGs8Xt+0iJS+59iQcRNSYhURU6oukFIFggZkXIOtnX9spqDajId5N/HJNI45KI3insM4hJkR + YAJiWL2X1JtQAQeqJ0S9oZBICU3DiUu3UJgppGgM8XbCpo11dSgPn0dj0LwSeNR2mm5ulV5yXqTY + kqDru0mcQI2MAmDUsKlxSlwv6IwxLLjeJZenKhGNtcrLKWRQ1Wq1jgAmAkFqFZkImNUAJiMDMZNx + VpRelCiEEJTMjIjZTBRYntjBhsMmhOX9DodHe5ROzii4RXhY2eHTYbFYhIZVlUGl5EABQAhUyu1c + uE7r+nzKn+ON3mRo92JmBoZZdVuMbRIrykSMrGIigcJZvaycBTjG8OxzL/zYj/34V77yzSoPlUsG + ALOmbUvOqjqMwtx4RWvjJqraNI0Pmjg3y9i27LBvCY2PrLIUlcMgSJwBIlNQyAIBQNjb+9Unn3zb + 5csv270aw6aUBZQ22iRdzoveQgCIR33g9WSuelepD7UA1t1Ngq4WqGV50Sywo3eBYe0AlnerYSdX + Sx5/+Bwv/kp1rvFQNsoc5iEuYpiHmAPQ8jWmrwJ/9+OfQNjCxga2tjBt6ztSoRZAA5D0g8KhDTew + UsARCphaCER1lDMCQJ/71ESAlRDBfHSXh1JXT2sAWUriCChUGUrgeTdr2klMCQASwzjV50kBzBCG + NQokqLYpwQimAKMIUjRDDyMiIU5tG4BCJW1uIBec24IpZvOf/lf/Cvtz5A57+x/5O+/f7hfbfT7X + 51Z6gkXVVjRqfVE1rodteUsdKvyku/VaC1Ra/er4nb0emlu944fxUDNMVw2+NvPa3mVoGARSkEAy + pi2VPocQSpbNdqrd4gJsm7Cd7UPf95b3fuZPJuejog3Tk663dlgU+9Db35l6PXXOLtW1GkDXdSGE + amWdUso5t22bc66G1qWUGKPHKTmO4ziO4ziOcxt0XcfMFJjMVCUFDoCJNRhGghUgLQAIRCCFLkez + TmT5wstAGHVi6HQ+j7Mq23XKF4nMRA1WZLkS5urghgQyKbnriUPkwMx6N7y5j0KGImUymZhZ33Up + xBCCqsYYc84hJitStMQYzayIhBBy36emYTAzv/Kxx/7RB//hT/zD//T5y1d//UO/+Tu/+7FPfOKT + 13avMbFa3Z2jFsO2/u14XV8XXfV73Mx+1S3YCRPJYKqvfuUrP/DD7//h9/+d133Xa6fTKRnYbGtj + U3IBkGJUVagZFMxmNplM+r7v+x7AZDIpfc93yU79KNUvnJhTiE2oI8PVB9qsDmBXjSigVsDxOmZU + T+sT5h7nNs8aO1Q1N7BMWJ5BdFJlGkCqJ27FjKx23QEgDRxMtJTiQxWO4ziO4zhnmjpgSrwMOdMa + wt2Xn3z9G16XJTFSiF0u4SYf/W+XAGaCwgQ2N/3a1av/+3NfRwhAYACsue9S01Jg2CgY4GOu95A6 + sL7Sih3+nUwa5Iytc1c5Poqw6LqYgpiq2qkq5E7QdP08RuSDcn6rRS+wfVzYGufzMgjxRd50Hcdx + HMc5U9gqBm/tCXDo6D6kN7WEsPSlXq4DR54c65OMoZoWCxCAQGMgIYBlD2rt1V3G+41PEsvcidEF + +dD2sczYsKGbdYheHc25AdAylnMVInu2oGP97as6tEOz1hZaMxumYd/rHgec6ecwG4aJxjzP6tnM + YIx5jGMbIACmsNMdibCxfa8lGrJBx9RBHGkwisFSPSyl2GonP60tt6p/Hf4bIpplzCgdD+uRw0QY + XtSoBt6uxSiOL2XrA0o6nAO38ro2nukPyPlyVs8Dx3Ecx3Ecx3Ecx3Ecx3Gc+x62ZaZEFboAmQKw + QcaQAYWBAFPiSExQyEG+tpCDDdshCocj7u8xBCiiQQliy454YxCbksUgJCXo5YPnhTNQOMBUig6j + BSAKCDsbFxNaWqp6UhX3GyXC7K4aeROwrmu3lEOr/wirBr2Wd5sL+pkv/slXvvXl+WQvbYWZSh2t + YOOw1OtYrsG73e9DRtP5M4HbWjuO4ziO4ziO4ziO49x3rKKpAxAOB/on8FoPKECMThAaQGdSJmGT + GyxmB5MIMTWEIXCcMsEYArrFHlPS6gZU5Zm0Si0pwJJLPhcwme3/7pNPPvlnXxAgDCkLOIPB0I5z + Z6kaymZGRKWUOuW0Pa0B1E2oKhENJkCjubXjnBLMXN3s1ic2TdN1Xf1uZiJSpcMdx3Ecx3Gclx50 + qz0Jzs3Ba/nkw1dSAMpqg5ProDdRDWiJDKPvKBkFYoB1MLkkkJmJAcQEhLWwJVr2BRkYsMFJy2BQ + AhmCGgPEdW79pSxFLwJkLZ+8ZvUrAONqJGxUzZWJAFpaL8MIBEoUFRFmUOJq1zbIGKxWCIaRwkAG + poDVfA405t6rAWCEKskxigEP8g6GcFieY1R/ILXjSe81vrAuOcb2jQa3AQgioBCMucegylBUwYOO + xKhAMFjBrVnH1XUF0LjaWzTHNRqObV0JjVrntSqWi40OtayjzgIIZs1w5JiYDDaXghgAAqmtmaKe + GWpnI6DENdbVaDgTmsCaCwwcUlYBA1aMEGBL3YjhOLCaYVU5g2yDGZV1+YpTgYxqkwUAMxw+XENh + 1pdEsYIANUMvkZMJapAsCEutDzvqvH7TGK9+OzY8PkErZCm0wbo05TWOMjQqNjVCMQWBIjERFGpK + IDaG2WArytXil82qw3w9f5QMsNG03gqDUT2ztW6bASDb8EWWu2mihesu1NOzLmIMUls/j0ZXPLPh + smaoV1Kz6nuvh6uO6zHS8Ryl5eRlLRmtd3bUagzr1XgmGI5vUAxNy6BcnZahqghNMliAifY9AREg + C6v2v6acsrwbwMIonHIb58u6QeG49uVWqhFzda5XEIOWd7G6YQKMYAFGTCoZpsz1hmIpROCWbRpr + w2ZLAACpxx0GIKEK5q8tBoBNtSASEBgmIHCEWH+0DmgZA1zj1ddmLZvQyh1C1qYAhMFXb1RrYgqH + 92twJzUDKVM9RwwGIQMRQ5VGB/qK8PCT5fl7Yj3peDFhGwwn+Jbbs/LgigAjVR6yFBpqUDTUB4Fg + igJCEbvlIaf7GUIAEcASCwBQINJgBLJis9DATKHWUIQqGUC3Uf/3O2sPsWt2uU3T7O/vt21rZqak + qiEkonDl2u5P/ORP/5uvfIMZMKJqbmEGaN/N19e7bPAhBBEBUIdI6sTqaV39IVJKdeikDt+c+h47 + 9w+qpTqgj80DhlHtL0bI6jUld6VpExnM6vtQgUnkIIg9TEEKAyiYQBTXLn/srW/7W7P9C/NZoGC6 + YIJBkOtDE7WiAJOND3trl2+j8WYA4kOmLcsFhrKjKgAevWWv3iWVlgpmAACSpYLyoKWGWB8flJQD + 5dynGKwYEyEQTJWgggAi5iwKssCci7QRucAi7TXt11L7/M75r06bf/TRjyAlxPiWQOAITggRcXgA + YRrSVwhAiECsdV3rO42ihTQqfy9Hl5pmAmgA19vN8RO47tEkNfXPECJQ3025PoBP241VBde3h/FF + bfg7UgICUmAdlwHASMPjSgMCkEYZvtRGGJAiTEGMc1MI0CRIwUPn3vOnT6E7QLZ/8f0/8AqQldn5 + vrxsNz9EUnS3SdC5BSJwVMnMsajExGpmAjUkhihCA5TVEVfAyBShFl2NGYeTBgkA6605W5OtWh4x + VG2QHBxtyzUYsRgAVhiBDBxQxJSJBC0F9AtiQyTL3QVLfz02H33z29791O/zpQVjWzURx5K7mJJk + rYdGCzQBNZ3JdNCpJBYgucq6cxNUK+t6x693f4xeWV3XpWpgD1QnM7/pO47jOI7jOI5zG1Agg5kK + gMj05c99bjl+XN+O6+c6y+knr/DY2/r6eu7s53FuXLb14h1fhmoPVe3EMdwdQ+v1YpCBl4YZzFoK + gBQiABGhwGJKFFRBFIggddiMycwiBxNhACqj6YJdOr/z4z/6oz/5Yz+2dzD/3Oc++/Hf++QnP/mJ + P/3TP3vh2tXReBhmqy41ZqjCDIzauw+1o/VEYzJP7ThkZoWZrnVHrbr7EA51yNSfD8QAVajh4Qs7 + b3zjX//Bd//gW9/6ljd/z5tT4MViETkEQ87ZQlAzCgxA6gBuHWsyZabcdwQEQohB+35wEFmTWDpe + 3zjp0N8KawYQa+vn4ciZlvyGJ5544etfr2Pkp93Ob/XzCCeufL2KbnXr679iGxoGcTxxNSdv23Ec + x3Ec565Tgy7WB1lyznUIpu/7pmlKKS/JVEFatxkjBsHAEZlAGQyLOMgvn3UT6YsW6Hr43akQjFFI + IFPGjMpebBY759FOrJmQAgIwUjNdPfCfdoGcG2MYohONQVyoRp8roIoYYkLkf/oXX/4fXvGyBmVf + BIR0ugVShRijFGxHTBf5v3rd6/+7bzxDAKgAgMXVO8tLYqS+Rv4Q0fKSVa9mKaWcMxExs4iYWYxR + RIZITsdxHMe5v6DV53D7DljFQ9KQzkDrC4/fw5jacei+v5YON7pPH05bosObO7J1Wk1cPU7Xddpy + hbz6oS2XXPX9Lz+OFPhYWc8CDALiYPbcrtcDYy2LbU31a93TelgFlosNn+PROVO7S+vaZRiz4QiE + 5XMsY/md07rfw+mVh3CobRD4SNvhtS86hBzzMt8NhxfG2sKEtYy/1WLDXq9+Y1wrYWzaAeuLrRV1 + +L5ayy0e33E3H5zz5cwV33Ecx3Ecx3Ecx3Ecx3Gck1jKuZjBh93PCEPU/pqSDwYtymE2A6NWGxGF + YAqCEITYgGBMxmxIhL7LcQpusZhd+8bVr1zYeoysAfrrxdjfC8bwLpqTcdVTAwKMyFgVEu1AD57e + +0ZpFlUCKwNxggNDABoNDH7ZhZdzDlESaTBEhQJS9fB4EN88rSEPIwWpEQ2qU0pcNSsBqvJxBKMq + zAkAwjm38zzZ++ILn/u1P/7VebunTZn1GiawAjaNigAeckYsjRXi3Gcwc58lBBIZQjfv7Tn3EowN + dRzHcRzHcRzHcRzHeSA4Eve/NiWsTzFG2+IFuZb779qadguGlc1p0E7qXEVk6FpI9O15uhhZDekm + VJVto8gWGLrXXdpWaAGgpQQCwoMm0+84jvPSpKqEM3PXdW3bLidWE/c6zFyzu5m5ilbc0/I6juM4 + juM4zkuAalp5EzrRxlh5Y69NPjrhWC/NWmCiAbCqfXx8uRfp3jk8W09WQDZec+0+tPxqkbUfrlt9 + 34BxfXzk7+Vq7djnkVIdKcPaxGOrvA5sq88brOfmOeq9Xbdy0paPz7JD3xRQPjrj7GFHDd1xrD5v + PHdouke5TlO88xw+j4Drt9vBgm7JEWvbO1Ocm2x4a3bOa4VZ/+3RCjxqyHp0Q0eODh+ZdXhnb1jI + uubjnzdY+HAZji5CNzrHV5NvYpl7jx29lK7blq9bL8vNtv9TcyNYO3bDjcVWwjBru3C8eeDEKbe8 + aehq7044oMevJ+ON45QvHTfatbUqGhc+4QAZIHSDA3fCjnw7hspjgVfXhPXLxdHz64GiNm860sz0 + UOs6eml1sFgsYoxN08xmsyZNzIwoXN3d/7mf+y8//enPAFAFYNWOunpYXm9VtTu6elvGGFV12VNd + xZRVtWouu72lcyLV09pMABhYAQOy5BBSAEwMQNOkKgwdGQVY5MVmaueLWQgxpcmu5I3QpH4OEexe + /c13vvNv7O6+/ODAyHD08kjLy+n6xGOFomOfJ7Iynqm5SXx4azdOWFm6XxcTZE2JVSS0UboeGoqq + AZMmwsIsdxvtdJ67LnBu0tWYrojq+YvfNHr3xz6GzQ1stJhMkVqEk7JU6HAww2ERt+tVwRp8g7kn + zzo09fDP6YRlxuLxCYuduIkhww9g9GAEbtoIbREUpMAUl6/8g6f+CIs5bI6in3j3BzavXLkQzmF3 + 9+JG2xaEnJsQoBJT7HIhRhMRqnSjwQy9olmrMgUA1RpyUV3UD3F7D0yHq2nZJmtbGl6ex2VseLGU + 8UJa/1FCrwZY6GcXF+VNcePjb3vXO//4I5DM7Q6E4kbTl9ykFoaDy4vN8xMDBJAaPyIn9jw4juM4 + juM4juM4zpmgdq2E5Xv39UaarzsCfR2Oj1vfqc8bb+tmSnVzU+8aLyrHUrsuThzQOf7bAAtgWfRT + 5u9705v/5r/97/zcf/GPL1++/NwLL/zRv3zqzz//uaeeeuovvvTF2WwRCaIwRWIStZoyAyCCqkuW + Lvuvl8PXdVRAFUC1yyLDsqd6NLQ2BjGxmVWb8ESA4fHHXvGmN73pb7z5zW9961vf+MY3bk03uq5r + U8NElksiJoDM2hDr2r7NSrubjCcRALtL7fzbX9v11n/jud/O+h3HcRzHcc4MyzzBahBbgzGqQayq + Nk2zWCwmk8m9LubdwDDYEgfwog5w7u9v9V1DMm0o99ak1Jd8qmWIxJMQyPrOsJvoF/70T5CaTBiz + NPmQJMAp28g5L0IdxB8ixKBUowkyQRfFOCZqA/pkqS39om1Tl/OpvjIYIZuIYmczLvoSurzTEqTk + Hqk1YCwnACCAYbpyxLw/WWY6L4Wz27btui7nXAPPcs51ipm5uLbjOI5zH0Mn/sknz12bct37/I3D + OG/m8eD4JujIxKPFO3HirW30nsFLda9DkbLXq8Zj+3JiLOvZ3OPr7cVxP2XwUT/0u1aek08IAIdC + gq//oLtSb7te+Q9Pp+vPwnUP6G0e3wfwfHEcx3Ecx3Ecx3Ecx3Ecx3G+PWocyIld3kbV4RoAyCAM + oVEzyhhAEyLY+pKtdCn2X3vuL/+th/7dbtZFkBHIcEY+YTwoVZCAiiLVvYoxZkgIRA2u7l0mMjaU + DhstFRgbCLBs6PD4I49NaFKVNIxIGEa6noJxeuUHkEVG7aPARCAK1dDazNhAqhCBGCkzW5t35dm5 + XvsXH/3nL9izMpVCagQKqNI4QUG0JvFn93fwj3NGcFtrx3Ecx3Ecx3Ecx3GclzSEbt63Gxv9ue3n + X7jcUgml06wMmBlMalfyoKy/Lkx80yhrXQMZ2GrPtSmZZQRGE1C0ADkAFNhlWRzHcV4yEBER1Vzu + 6mYNoBpd82HDHfe0dhzHcRzHcRzHcRzHcRzHebA52fKciFJKs4NF1+XpZFtE9vdn/+Sf/Dcf//jv + AUgp5ZxDCDpygw0QkZlNJpPFYmFm1SmklFJVlZem19Vu5FR20blvWQ5qEJFJ/aqAGawJSVTAgYhE + LCgQCQQ1QLCZtgg6nUxhitLvxEnoexTB1ed/7y1v+b69xeSgM7RAd9q7YAQlCA3mPUTKhmDA8ZQz + IyhXm20jgARmSjBCm4KIEEkxaJFCaJpIwiwCANZttMj9XBu6lpoXJlvf2Dj3vt/+bbSTNzQB04gm + QHukB8ydmNRCvTbxIHhsgDCYe7LmwiPoMrZaUAvCO/7g/4XF/+Nd7318p8wO9rb3dx8OzN1cDVEQ + J1DhnJHiZFFmbZgcaJ+mUXoJdQOkVoM6SKoJNMFA9ehTnXWrwR63scPVemhsXASY1TI0aJtUruYJ + Rdrb+2u29Vtvfuf7/r/fxVRwbgvGGtN+V7aauLkzgSEABs3QAm4DoCDRQAB5ppzjOI7jOI7jOI7j + OKeLmQVmIhLV0vUU+OL5C+fOnXvtE0+A2cz2ZwfPPPPMl/7yy1/60peeffbZz/3FF59++umvfe1r + ewcHBASmooLaMwJgTW2fgUjVxwxmZiLVYI4HCw8jIHLY2Nh4+NKlJ5544vWvf/3jjz/+hu9+3Su/ + 8/FHH32Uma3IEJKttrWxmbuulJJCjDGpqooSP1C9b47jOI7jOM7dY2li3bZtzRk0MwBEVKcvl6zT + HxB4/JgQ0C/+67f9rVdO2m5/FmCRsZ9zc8qDm2Y2K7kFMOHZNKENAKy3GrowvGkAADwW5qwwHggG + dPSfa2MCMJ/lKWEfuk3AIsfTHxtvYixSZrMyN0yZRTK6Rdo6D8svyXH5egWrQWKlFDPr+z7GyMw1 + kKwGj1VD6+UXx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3GcBwm2IW5EQUrGIIbxYjGjBhwRArcxff1b + /wbfm7V0UiIZc5WzuNefAEAKyqBihNFgRQAVnakpp2C5v/Kty5y45SZx0V1lYDpF4NRQmlLz8ocf + KfOF0pRIhc1IlQACMWpknJKe3l40zYaaEWBmqvX/3iAIpMhqgmgaBGyqMrf9Lzzz6d/8w1+/as/l + VC5f2z/3SEqUc1/1ZGhNUUavp+zknH3M7EyFZbqtteM4juM4juM4juM4zkucdtpg/9o7PvRbn3/7 + Oy9J3qLGtAcrKQBlKAAyNpLam6y34mytNeWVoLbWqwtlIE44LxQJ6Ht0PXUZpaBpXYbYcRznpYGI + 5Jwnk0kd9lDVanFd51Yr6xBCztk9rR3HcRzHcRzHcRzHcRzHcZzjhBBgHGNs22nf9wcH81/6pV/6 + jd/4LRs9rQHcjAt1VR01s67rANTvIhJjBJBzTikNm1v703HWURHmsHSFCUAgGMAc+ty3qQGozzlx + QsB8vticTqoCcXdw0LYNlMPuPkwxu/yhJ9/+vQezSwcdpwmILN+N8ldPayGwDeLVxyGrbshBLYAU + MIYqDx48UkQVRdFu8nyuFEBEqtKEMJOyx1g0cX5uci21V9Lmez/yO9+TptjZwUaDNhUAKNGaIVvr + gcGADAAWoAEAMRgwwMCceoAjmJNwayjNy89hb/b3PvY76DP6xf/55NsfC3ZJN/nq1YfaVvZmjdiE + GymlDRu9SWymi0U35UQAqABMUB4CNIZjbMuC1DQ8AuupR2MYiOzoUbX+jgsAACAASURBVGbDwV4+ + P+UyX7SCl5X24Er3ybe8/dlL2//hh34djzw8mbC1rSnQCQVDAJEBEGgPbmq2oF2n7TqO4ziO4ziO + 4ziO49w5IofBos/MzAhgooZCnwszcww7m1ubr37Nq7/zVe95178HIKVWTHPOs9ns2rVrzz333PPP + P7+3t/fNb36zlNL3/WKx6Lqu7/tSiqpyCCGElNJkMtna2trZ2Tm/s7O5uXn+3M7DDz/8Hd/xHTs7 + OwGUc1ZVDtBSJpNJ13WLft62bQBKziml2f5+CjEQi0gpJXKIHAAIzpBajeM4juM4jvOSgZkBhBCW + zq8A6pNzjb4opcQYVRVA/X6PS3x3CQYAef9AcplGJAMpNomLqZ3mEGdoUukLBewX3Z9GBINI2yZg + ZWhd8bHWMwGNo/gEAgKAQZAWKphuJOx38yYYTWV/fys1petPtTilFBAWhu2WmZpgQEooBfFIc2FA + XwLBHiEEVa2RZvUatcxrJiJmrte39aAyx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3GcBwcjLD2teYgf + qV80tlG4FEXOymX3a4u/3JXnLmxw6ZksksEI9/wTABsr9+CZgaEERELPMAWETFu+cuWZed61KKYU + Y2onqe8XWbRkIYvb56ZxCtG+D8YaM3eFi3EGUGIh42qwcnp7UVSMiFdiSkpkQsWoWFAKCta9bvfr + T3/tS1/6wle/9VfPzr52za5gS6/Orlz6jgtX9q4IYTIBOozRPgpSjNFAZJ5wcl+y7mxtZvc2FtDD + qhzHcRzHcRzHcRzHcV7iiCJMWkw3vw691MSyexACGRAIBCMTGI2yx6ZDnuQtoGQAGCTEVTk5mMGQ + F5omcZ7Lhdj8yrv+9o9//PexMYUYgmfHOo7jvBQIIVQLkNlstrm5SURt24pI1a1omqY6W1d3EBGp + CzuO4ziO4ziO4ziO4ziO4zgPHKRHpxgDUIGYZJXNZqNf9P/zL/+z/+l/+RUimKGUUhesHtUYvatP + XL2IVDHlqkC6XMzMFovFZDLBqKpcO65PZyed+5XqdM6cIAKGdB0zU5NgUBUiamICMJvNNqYbIMyL + TSYTZAETSNt2Ck5YZOwefPjJd75idvm7Xniuja2lOOv3VTENxKeb90M6elobQYFoxjYkZdWksup0 + zACgQqRgIyZTGANSh/lLQdrk7kCl4xSiaJHFIhIEuBL4mUcf/mLT/P3f+ziaKUJC2wKESAhFSqHY + dr2F1DywgQB1DEwIXB3DCb2CGYiRgAhYSQDQJtA+MIFO/oPP/xl290Dh19/zQ5eeffa7ptPNxUzL + IiSalX5zZ+fK1d1z03PWZSNVAkgZRkBtTjWdTIdcNQurNnbacuU0+KYbLUtChmQQQV+0mbAu+vnB + M6+79PjF2cGlp7uP/MD73vOJ38YOaJrAjEmAASgBKgDABWrgNgDH7hWO4ziO4ziO4ziO4zh3HFUl + NVU1QgiBiVW1qExSUlXNYkBiZo5mJrCymIcQNmKcbG3tTKePP/ooM4cQand07b5eyccQxIyIiEhV + oVo7rpkZOiwj3aKKzEQiUmLmxWw2nU63NjZms5mpTZq2lDJtJ5GDquacCZQ4mNki9yG5RIzjOI7j + OI5z5zGzUkoIgYhq0MUySGNra2t/fx8YAjnm8/l0Or23pT11qA6mjyggGWYbMdosG6DDiPypS4Ca + mQIzAV/c/h8/+yk0hBDKrMSNaOP46rKgBn5gh+zPEOMxCLZUAQYADii9xcS/+JlP/bevec0rp5vd + /CCc8gEzEAPbjZWsc53zRoIIRBB4cN6uZTvdUtw9avwP6omjCqBmNJdSmLlqAasqM7unteM4juM4 + juM4juM4juM4juM4juM4juM4DyLGMB4UKQg8mOcqANWSRalBaBACej34wtOfOR+fZj4ThtajrTWT + JlABLYwIugkLRB0gDBRY3rcvP/N52Vr0YdFZ4QITmDGYiUpsm+nO9AvP//lWOR8Ls3EfULgoZ5AG + abmGhNFp2VoLQYMpRERy6XPOpfRZerV+d757+drzz/3/7N17rGzZXSf27++31tq7Hufcvrfvbey2 + GTMDA8MwIN4P4xhsY/MITGZGAkVKRCQEExgFCSkJUZQIif9QpChSJlGIEimREoVJMiAYNDNyAwbb + bRsb8/BYCDwQDIwBj+1+3Mc5VbX3Wuv3yx9rV526j2737e7qe+653492V1fV2bVr7137nlt3rd/j + uU9fv/nsxjYm5lLd6+Dr2aX59XJr+UR3Y3OjAseX5rdurGcqgO56WtPDqwU17ZKSWvmsB7pHbGtN + RERERERERHShtY7T6HvMZvaGN5788SevdelkyCns2lcbRAHA1WD3n0brChhgonBRgThMoECKsYwl + RPVheHwckQdkwWz5qh4fERE9MGbWuoAsl0sAwzD0fS8iXde1rO+u61q/ELYJISIiIiIiIiIiIqK7 + uYu799385OTkn/yT//tnf/ZnAbToelUFEGMchgHArm7yPe2C8mutrSDprlBpK6Z8cnLShrJFWMKX + 7pRSyjlHTRICqoWuh8BLEZEQQkvDyjkvFgsAJ6fr+XIOA4Jg2CAoJOLGCqvhN77trV/63DNPbG4c + HS3GIa9L7nqgAHboNsMTdRgQfGozDMAFtu11vccBEz97lTggCAKsrU9xcF1BbXG08lJn3UriM4v5 + t/36e75+vkCKuPrEAHUgwcq46jUFxWazmc8WVuGOGF6bwz0vFBpg23rhVqFRAKBTlFbe3DE3CIAC + RMX8CGpjrSGmcHwJm/zvPfUUxvrut/w7X3L52K9/7khqqnVzckMByQNQXBxigItDsdewfFsnXV+j + S2zvqG+PK1EABcfHGE6R3VKHo9CfPPOpY+m6NTrVf/atb/97H/oATDGfezaZ9e11CZZh7eKtiOHC + VMsmIiIiIiIionNMzGOMqmpmtVYvVYLO+9kwDKoaRQ1uuRR41JBS7PvZmHMdRoj0MYqq1ZrH7CLT + qIxIG6ppIzQqUBUVgQYXdXd1iAvaOLbvVZhxd/cQFBqG9WYAQgip72Du1Uq1KqV12woQM2vNtx7U + eSMiIiKii63Nj6uqu7dvy+2bq4i0ntbYNrqez+cto/CB7u/hiW27/QpQMTpySe4pxOh5muCUULwc + dC/WeXM0P17X/Hx1BMU8wRD7CJnKAQjsAnUlftgpbo/O2P6jUb2au8QkANB349FiPBmPEIpXP+Rc + v4q613FE10GrzmL8wb/95f/nn//pdm8vmhb/k1IahmE2mwFo2c3t99Uu/bndeSR+jxERERERERER + ERERERERERHdwYOJqpsBU/0KAAJVhZsEwDHk4TM3P/0Lv/pztnJL7uemcbK4igcArgOgsDkgggyp + YhVBQ9ffKs9vumfRe4gC0/Ekh5A8+pBts7qxWv3hX/z5/5yGPlkAUBQl5BpGwIJ14qp+wIM1gYXp + fEqrGyIOmKEONkqERMPMio/VaxUEoF9i7bd0gXUZS8XR8dGNGyfLeedDFjcTA9zEsG0t4xcxKOgR + 8aCbWZ9hTBURERERERER0SOgGubzT65WX6RShnx0tMzDKQwQOBy+LaBz/8WOW2lsFVSIQMUNYlXh + Dq3FHF2aWc3JVpA1ZgsORxERXRiq2ppVj+OYUur7vmV9l1JCCO1+S//uum7XQYSIiIiIiIiIiIiI + HmmuAExaIoSKijueeupXfuZn/ptSoAozAGhNrM0MQIyxlBcrBOzurTJp13Wnp6dmllJqTT5CCCJy + dHSEbX1SliWlO1RHTL046jiG1KEUhCAxAig5xy6NJaeUWgLIcjkXRzFDNJ8FQcJpxueuv/ftb/vr + J89eKyepWlmtSsBJwesXYdxUPXi/YVWDiAkQ3cVvazlcBabbwskGhYvXdLaCiAugcNMggG1y8Vl6 + fpY+u1w+/9jl7/nFX8LRFfQJecRjjyGmmhEShuqm6Lqj0/VqOV/MZgZYcOAR60ss0OiAKSogFhQO + a/leedyEfpbhUQIUGAu6ODWhrhpiLNU2q81yMcPrHkcu3/2Jj+H0FKvNv3jnd/zNYXgiri7lja83 + GmBAAOBQC+ICcRczOHT6rAVwlyoBgMAVB8yUE3dFyzt0QOACUbHqDgyICgNujYhhmM+DuM9CSqfr + 2WDv+epv/I6PfwTJpF9CAYswk4AOVgGHVsCBR6wrOhERERERERE9AKqaczYzEVFVEfFqY96kGN3d + zTRo3/UmsFzGzQB4SLFPyQVWaik5iHYpisMF4jD4/m0SNbeay64LoEMg0oamowYAtdbWLLDtzGw2 + G4ahlJK6vuYyDEPXdSEE39qU7O4xxlnXD3l80KeQiIiIiC4yEWnflkUEgLt3XTeOo4j4tm5iCOGB + 7uMB3Xuy0gH4D3/1V32Z2Zjzsg95qD3EDlnDtFkgllI+kzfrx65i1rmobzY6W7zY3tKD4wCgIoBP + M+rTf6piyNmTCgJOU1yPp8eH35/Rax+DoJ6MuDzvbt587rE3vgk5I/bY/iHWO/7/MGspzLXWltS8 + uwNgHMe+783M3fu+B8DgMSIiIiIiIiIiIiIiIiIiInr0qInCFTBFK1Sx9zNFMdSKeY/+Ulzn676U + HHLV89LWGoC4QsylAuI+ByDIgqLuxc1FShx14RsH1MehPrZM1WsJZXSEhAFDsWf6OA8mgJdQSqim + ra11VI/i0soiHYi7AGixeQDcHTAXWIQEIIjBq0uFSICorEYLARkYK5az+Wq1CSpiLVnFWldsTAVG + AnBh4/outpY39KD34gzDqoiIiIiIiIiIHgF9D68/9hvv/+TXfE0c8nByKgIEtBFHFwsWAL99DPml + UsC29YqnnMsp8zJ2IuvV6rHj46vrAZsBdawyV+bKEhFdCLXWVs1tV6iitQlpD/fvtx896P0lIiIi + IiIiIiIiovOlRdV/4ANP/+RP/mRrJVyrA1DV1tC6lUVuq71Ic+sYY845xrher9vL22tbBdJWtLSV + Wm6dP16r46OHgG8TdMQtdJ2XIimiVGiAe+ySAykmAA4fx3HWteK2yPCkitWAZ557+jvf+cW3nr9m + 6zCUfpaGIYeIq0dY36zzBK+vxYGE7Uy97E35m8ClhQRMz4ujZZft8sbUFRCTkAUruD1+9G9j+NO+ + /7vvex+0w+NX0S8gAhEAdTOERe9AH6RADFjMFwDKJsc+QdvpvAjFju+PtBgIhZsIIAb4vE/Fxygh + wGCOKJDSujaLwDJi0u74yAELsUQJsxCP5livv/fDH8Qzz73ve77r8kl5PGFex76iL0gm4uICE3GB + 7XpaO+Bo2YMmCDjsBecCwCHmUMM2+EMkwlEgQIw4WmAzYrOp81SHTV7EbrFxVfz8N3zL9//Oh/GY + ojNID2mb0wCBTG2tiYiIiIiIiIgOzQQIGlVdJUAqXF1uqwpU6ogpRrrrOndz9zY6LSJRg7t7tTaU + sbtVEQiiaOtvHUQhtxUb6lNnZjlnACGENvrdGmudnJzEGBeLRc651tp1nYjknCVoa54dY5SgVurp + esUhbiIiIiI6kBZrUUpJKbWvpu0L7TiO2FZOjDG6++6L7sUmgLcZcK8otdtsYi1LVStVgQLXA+fK + i0Mhq5xnV679tx//PUAyYtdPb3vHxPwj8ZE8vMwgmpLAgfnsZhCdz329bhUaDve2nXalZAeOOmw2 + m8vz+ak7csG835aCAC7QxZNSGsex67pSSgghhFBKiTGaWdd1LRQthDAMQ9/3tdYQWMmXiIiIiIiI + iIiIiIiIiIiIHjUK6FR+ZPeco5QSZsFDHTJMsEGWcCohjOeurTUAcwUcjgpXkbGliQBwR0gYHZuM + ZY+UUGo2gwdoQIjII9CP2ay4uljVUrXV0IB5EWtFjQ5VMkV8270FAsBFAbQu2i6oZlYc6ggaJFUv + ebQ+YszwiL7DMAxBur5L69W63zZ6cbHWHcahPu35Ofq86CXatbVuqUYPdmeYs0RERERERERE9AgQ + hUbENCJ4RUJwNXF3QVX4NJop2lJs73PAShwQVAGAIC5AMABwM9HQSbBbqzed1g+98/u+9WMf8mlA + 81DDsg98uI2I6NGxS9veb1ndCljcfZ+IiIiILpL9f32rqrs/GtXJiIiIiIjoZVLVWmsrcDwWc5cu + pVpr3/cf/vCH/9E/+k9KgQPVIBrNzG3KkWgVRWutAFrXEFVV1XZ/1+i6rZBzNrPWErt1HGkbaYPY + j0hVZXoZRNR33dBbS5gYAFQ3RXC4QxwOoO/6WnMIBmhGSOs1nrv5L9765q8Y1pfzSaw1BdSSY4AX + oCBEGR1B7nsK/r60bZ91ON57vlZIEnGHi43edbGMRTqsRoROi6OTkL10KiPksyLr17/hEyp//33v + +8rFEWLAfIGYPKi1GABDWPQQGMwAhQWoADCNXQ9HVQDQC1Ty+PNyoAogiAI4IHslp92iSGgPdRuW + IbXVNk+qDtTt2kE78QIYjhPSHMdPfvvHfg+n9f/5jre/cf3sk+v161YueUghQMsgVtWTwSqiCgzV + EVKsZl4y9OBll237ARdtfdMluMItuCvgFajoACikIgFeR5Hx0mr8Frv0m2/5zje//924dhlJoJ0P + LiGhOhRB4Q5hhhO9ZO17RfsmgO2v8fbNoX0TAP/2JyIiIiIionsxGAQGONy9le9pQ1oOAYJsV/Op + hx3gAkhbA95Wu0sbQsTt+Sz7ATbVDQIJuv98CCHXkvoOwFgyBBpDexcJ6lOZIZi7WxUBe1oTERER + 0aG1RrDtfvviuquTKCKllEdm/sUwdbaGGDCOV4BUKsxCxAh0CBX10DshgGt8zg19D4mAVkGQ6Z8v + EADqbQrXdXoBnR9uaMVnVWEGVUAR4v/2+3/wM6/7osuHf/9sVUSquxnMEWpJ6xVK3i9du7tk/EJc + Pl3XAWf/dm532tzxLtm573vsJUcTERERERERERERERERERHRy9CCiNz9kYkmuiAcimkx7GqpiKqg + 1FodKaEYQkSp491lTM6FsyvOIAbYFOYFiMAKguAoACvtgGjmgmKICmREQAUmRbete9VhDgXEVUyn + DR54z6eEEj8LP1ObQlvcFcUBj62NS7GkKIAXJHRiKGPu4hTr4wKIGWBQQ4QHyMFD2ujV5e4hxJyz + CNynchkPFtOWiIiIiIiIiIguMgHEUWqJXQdo1pRduhThGVZNWmEd+HbU9f4LagvccfvMQW0PBWY5 + SVimrhvt39y4jloMNRyspzURERERERERERERERERnUO11sViUbLFGFV1HLKqfvzjH/+hH/qh9XqM + UXMxd3e3ruvGcfNC2zEzMwshqGrO+ejo6OTkxN03mw0Ad6+1svAovQzW+jG3eW8HBBrCerPuZjMB + BC0BxEOQOm6C6qJE3Nr84jd809fWfHTz2Zl52JtqDwZDmDbnfsC0pbu0VjcmUEXXp+dPcqeY9RHR + YRqDwqyLcNVSaxbYcnGj7/6q2snVx9/6S7/85Vcfx9ERUo8+VbeyLYUdBLfP85sCum3uA1EIfHsa + H0Eue0ET01WkmCIpZPukArZNA7OWy6NAaD8UrW4Vnc9SDwU2SLN///0fxnjjn731LeuZPrEZ+9Nb + dazL466MYzHMujhsSh87lLIZh27WCwRuOGBaoG97Wk+ftkFc4BB3dUBgYgCw/S3srpAOZYNF9LRa + jX/56X/5zu/6d9/7HlwCJEu3rOshzHoAp9c3yyuzC1Iqmw4s5wxAtvb7W+/qkpdSYozDMLSi5ERE + RERERER32HWM3t3iXuWW/DAjFW2z4i+4/d3zd+/nuasJRURERER0wSkAmHfD0HlNwFjQRViFIBgO + Hg9gMT4vhpigvUIQtNYaNOzmhW/L7+d86zli289i6my92YyzWURMCLH2PQAvwyF3QEMI7nUWMWZE + QRLthmEK8wAwXSyGqWAxEREREREREREREREREREREV14rRkyxKFTGIliL1uhBZGYoOrUfVmxjUp6 + 4LfbfWvduKfcCjlLstCzSC6Fd+JQ2QAwh9j0EtkLlBFXwIJHcVVXgfmuSfYBbk0w1evYhnidNQ5v + dTpcxe3sEAC4qpgK4KoOdZjYWaCPwMR2GzNRBdta0yvFttZERERERERERBecClwCVBDTOqQSO8/r + Di5tTFKwG44V9+0z90UABAdgrbDOGNSBGNwL3KvAaqqWCoLpoQr7EBEREREREREREREREdF5VErp + uu70ZH3p0qXnbtzsu3kI8VOf+tQP/8h/POba9f0wDDF2pRRRHcfxRTbVdd04jrXWWmvf9ycnJwDM + TEQA7De0dncRzkzS57eXvjTdEYED1Wo/mwMYxmHe9QKxmnPNqVvg5Bb+zV+8713f/ebq8Zlnry3n + eb2CwlrWkIsJ4BKs9S3OBy0b3XKMWt6RC0xQBS7IFXHMV45mZcwyurqsbeyhGQid2FBSF6/H+Fdd + 96fL5Q+8/wOYL7BcAIq+h6iVihjD9hSdHYJAoe0dpWVkKVqHYzlrZvyoEEdsSWFn52fq8C0CuLaU + r+m0tIw+N4hVUcAiAMOUFyYIFaFLtRiCYnbkGTK7gjz7ex/7GG7e/MV3fMebPP+1PqpZGsfQpZJr + UB3L2HWzILg1bPpePL8WBz5lx8GCa1EBYBARqOttJdOlDkBwZCB2mqJc2qy+7jM3f/1r3/KO330a + jy2BWVj2tSIolo/P2kaJPq+UUtd1tdbW37rv+2EYVNXMzKy1sg4htK8KOeeU0oPeZSIiIiIiIjpH + XkZCy+FyYF58y3c3x2OfMSIiIiKiw9qWOgXQ5sGtpc/ncWGl5HE5669vhk1BFK9uQQ77JX1ALnFe + jo8gCg8B8WRzspgdTft5RxNr9rR+8PauB7njGZt1CZBiY9Qgy8XNk5tzbcUZDqXUooIhQ4DlrLux + HhZzRR7hcsi3JSIiIiIiIiIiIiIiIiIiIqLzy1sf6G2gkW07WQNQwLYBVK16Sdh1SfZzcAvAVQ2A + mej2SdVtK2jxXUNeVQuAAREooU6HA0Adsu3nrSiYHqoC4nA1O9jxqqPobXkiOnUT1+3+G7bVPJpg + 0QCt5jLtubq9UJCYMn7sYebnJqKLiUtERERERERERBdcGWtQyQ6k7qRL11XSfDb9zCHbBXAXuGJv + gPYlcaiaBvfg3ip1wwUQDYhRzFHKUEqZBUGuccjwu6vrEBEREREREREREREREdHFFGOMMYrI9es3 + H7t0RVWfffbZH/3RH/3sZz+Xcx2Goeu6Usq09ov2om5Nr2OMAIZhEBHfBua3LdRaAeSc2dOaXro7 + rpUpLWh7Cc27XoC83qiEJAknA66vP/Z3//7feu7542efudalcrqqtn3h9OIpTUMPnDdid13mLjBF + FcwWaRjh1epYUR3Qeb+0FNOs3xjKpaO/7Od/fPzYN3zgwz/wW7+Lq0/g2hPDYjks5mNQU9cYxs0Y + gAgLKIC5oApqK90NlV0qilSIuZR79dl5BNz1EVSoQeFTf+sKVIEDpXUcV3WJgE6hGWdXiMIFGSGo + jYDA5lhVw3yO40u4+sQ/+PBvfv1HP/qvrjz+B7P5Zy499gwkpwQNXTeD2c1hM++j1Tt35nBHK+7B + ENyiubbrv/U/d2klsB0wkb6HCPoeJ6s85PXVLly9fv1Lb9z65Td/G565gfUaVsy8Kkotw2Zz6AOg + i8Hdx3GstS4WCwDDMABof++bWd/3AGqtIQR3Z09rIiIiIiIiutsLDWPds8n0eehprXu3RERERET0 + WjP9z7/ua1Iev2B5tNoMDqgipKQHLgLqYinOhqj/y+99DKlDEXH03RzYr0xqj+hM/Xkl2DVHN4hN + U+e1mtUWECXaIaRn1qe1i4f79yYAAxxIqY8CB07W47XlPAyb/+JrvvbOS8Z1tz4RERERERERERER + ERERERERXVQ2hbY4YPu1UFxgYoLWNVnV4+6nB41veRm2+6PqpjBFUTcTmKiJusChmMp9VIiboIq6 + AA61qBbbRlzgYpAW7mOKAhTXcncJl1f7ANRlWrCtTOJiLtaKukDQDsx0GxjmCmz7yMhZ022XFvCz + K25jwkCyh5O7+/lpag3Ez78KERERERERERE9vBwxhZKzdAko73j3U//fW7/1xs3PXYlwEwDBHS76 + skesXAExmQYwTUQcXY2AjWOZJ0gf61CWSY5Kxa0BnSFwUIqIiIiIiIiIiIiIiIjoUbFZj/N5mM+X + ZnZycrJarX/4h//hH/3RJ2NKOWfVOI4lJBWRkrOGYOXFthZCqLW2hta11lJK63IdYxyGoe97M0sp + mZkq23zQ5yF7zWB26UVt7lxFSy1BRDTYOKSUYMBzN3Br81tve/sbnnn2Uh66vmSz0MkszcpmA6Cq + i09dfk2KycE7W+9raUYGuGCzyUfzVDeljz2gJ2XQmIahLnLNXfcJ17984snvf/pDWB6h77xHBYrV + pEFhQxlnsZvPOrjBDABUTdD+aIb90yQVMIdWqMEA6CPVXkfQelcboNtLyPcKVZtMWX1VUIAKCBCA + 3gHXadUICEqFzJI4RKAzDOtNP+sWM0M1DAWzBUKH+fJdH/sohuH/esfbv/zGiZyejptbV5OVUi/F + zop2iO75wFXLZa+WtYtLgO16nJuIAoCbTAmB6zWOjmentzYxQgCZh+Tj6+r6m5/T937jt73tQ+/F + k9fSfLkGZjHE2B1yz+niEJHZbLbZbFarlYiISEqpNbeezWY555RS+27QviS0jtdEREREREREO/cc + wJIXGMd7oefv1/2Wc9rfSXGovGp7QkREREREL43v/utK6WtdnZ7MVSX6anR1gyoOWU3SBNfLpvZH + cEeIQBiHGmZhNZwe9UvR/S7EBui2yio9MHec/t3nozEAUjZrCQkpwqT2KQTUW7cOWm4haKxuxTGL + CMDJ6boDYi3YBjkAxl7WRERERERERERERERERERERI8QKZAyFY1wOBQCaw2ed9EvrvAoMgWZQM5b + CREDpnbU7bFPT2oVQ2v/rMXdAFSJLbBKAHgEpiN1KdNGBCLWw7F5WwAAIABJREFUVt5u8YDHK0A8 + KweyvefbA8LtBWoEJuZAK2HjbgKzbZGT7esUUHUIiqIajNFADxERsfP3iZ23P/BERERERERERPRq + KzWmZAD6hD48G6DL3gQGhQdxCT6NV7Yi1/fFBFXhIuqugLoDEkyD6aITcWyGEvpQsqdc/vd3fDey + cFSTiIiIiIiIiIiIiIiI6NFxfHy8Xq9PT09r9RjTT/zET/z+7/9BjJpzBmBmXdeFEEp7WOsLbad1 + pqy1+paIxBjNrNY6jmPf96/dUdFF0Qo737MljAJRg+eiIQGCMSPEp77lm79sfXoprwOqwMYRZn56 + ugYCEODhrLvxlNB1wB7DL9QzWxzBoVXUpZY6mOnx8i/G02cfX/7rK5f/6I1f+Obf/t3v/+AHcXxk + y27VYw1UIEE7WATmsXMzePUxb88EsDtX7XR5e35aBFBoONyhnksO1NZHfHs79UTf/li3faz3Lott + UejtZ+eCAmSFAy4YxgGwfh7ds5cRwbGYI8QxhHLpEq5cxdHiP/zgB77+/e//o+XxrauPf6a69V2p + xWquZXPoNurqAMREqsIFLi7uCoNYe2jtz5KYiZlgAcVpnafkFQ7cuLFa5dLZ+Pit61851n/+ru/C + zZtY3ZijeB0Pu+t0gdRaN5tNSqk9NLNhGFof6/a8u5tZu8+e1kRERERERPTwEp8WvHrdtYmIiIiI + 6KW57Sv4THUeQgJGM1fXiBs5S4gHjAYATBBm3fObFUKCKxRdHxSY9/MKnMXW7PaU02LnwTYmwOEG + tCXXYmax60OMAOD+jz/+8WdObtmBS4Cq6s08JIUqNgWdYJnCMh60lTYRERERERERERERERERERER + nVsGGMT2qlLoWQSUAECwtmxrZsi5W6b9dqjvR0y1J9TFXM3FTGEK1+JiUwPp7Yvv3prJtBx658UR + zIKhVeYQP6vistuT3f4AcDETA8zFIMVbWY8pRkkcYoho/a9RxcurebHQa8jd/dwkDTG8jIiIiIiI + iIjootMAYCjrLgB9vNXHm6uhNyQIPKr71MxarA2h2gsXv76nKtqGOvXsGRFoGb2LmM011xo6bE5O + vvhN13D9FIv5q3t8RERERERERERERERERHRurdfDbLYwA4Af//Ef/8AHPqyKUgzQGGMpZRxHiHV9 + P44jALxArH0pJYQQYxyGwcwwxeW7qgIIIZRSYoyqenp6ulwuX7MDpIeb793iLN1HAagCJipwYL1B + 7DGMr4+5u/78qEVn883JeqGQ2Tys1ubuIsECEAQVUvXsDV6L6tHi2yl7A4CkYRzHXpLHuBG77jn/ + tat/EPQHnv4dhBnmM9QRc1EtOua+m4sDEBRBHtAlhUBd+tDSt9oJCft/NAUQxTbVK+zaNcsjVCxb + AIVhr2U1AIEKDAZIa2htUgF4HxAgQJTdi/e20wnGYTXv+pASHA4pGq1LBg9QWW+6NEOBj1mWl1AK + 4uzbP/rbP/fmb/s7s8vh1o3F+Jy4zRfwTT3sB+AKoATDrnu6wNDiTgzbuBF1dwFcVHo3mG3my261 + GR+7pCiWV5YWR+nGc18ynv7zb3jz9330gxDVuEA4bM1uujBCCKqac+66bvrmANRa47YCu4iY2Ww2 + a18MHtyeEhERERER0Xn0UvpD76/jr9JYy8voS33Pl7xa+0NERERERC/M9u4oYDYOYylHqsXsxgax + w5X5rIz1xbbxyndCcFrGoyefAAxBq8EF1caYYnvjIC0ewYFHaJr+YWF7wSIaoteMWhC7zaouUw+v + 6dLSb64Pug+11iuzBcp6NXoPSMBprnXMODclUImIiIiIiIiIiIiIiIiIiIjotWV7wVETFxMBAHUI + rNWUUIPtVzA5H8RVfWqJAkEwwOFAFRXoNtvCzoKpBICJQ93EHcAU8uUKYGrOYhFQ17I90kMdr3g7 + w8Du1G7fbD+cx6aHWsUE5mKuMMDEsM0ocRdv3YenY7aAItPBsWoHvSK8gIiIiIiIiIiILjqvsNrH + HhDMF381722eggDT8Os05oizqjf3m706DXKeFc0Rd7E+QoA8Wh6hKl+wPMYn/wRuqAWAwxw2vWJb + 4drPBk/vMbRNRERERERERERERERERA+dEFKuLtD/8r/6r5966j0hiG1nAlt36hhjiHEcBuAFe1o3 + qtp6WovIOI4i0npa11oBuHu7ZU9rug9+e4oPrP1XrYoDrsgFpQCOkxs/9663Xc2bMJaoOF2tl7OZ + m4wna1GBWFt/b9785VSONmkZVC91ZYhBTFrSlIu6tBSRapZSOgVuLZef6vs/u3L57/zKUz/w9Afx + +NXx2jVfLnDpEgK85lkXa17DK2priN3DHBCr1YEKte3R3M33/3fnmXwk7H/IU0HqFurQ0ttgMp0X + AyzCAyzsry2tNzbgZd53QFvdAThCgRtChqfZDADcZdYXCSX1WB7jyuP/wUd/66uf/o3fXvZ/fvXK + eGVxOqAqIG4Cg8IDPLSEunteVyZoH5uJ3/5TN/Gqe0+6GtSwizBBS5dz2Q/scN27IMS3hxjU4Xk1 + dhGbUxtXSAnD6uSow1+v9Ss35Re+4S14/gTjBnmcwk8c+0EjfrYYYI/khUZ3qrWWUlarlbubWbvN + OQ/DUEoBICIAYoztIREREREREdFD52W0wSYiIiIiolfKAaBCK6TNhsZqKDkVu9KnwcyA4143I8Zx + NDtgAdPgcOgwn//U0x9E6pBLCAgBXeqqb2urApBWmVTAWdRzRrexAADMTUNAjKi2nAfUAscaocrZ + PL34ncu++4okOXuV1zyMp8XnvY6CVcFjfR9KgecWt+BQiLapfX05ES5ERERERERERERERERERERE + 9DBxceAsEKV1ShYHHHpWKcUgBmjrwXz3gns9+bLKq7ySA7ntzjbYxm6rUyFnVS8ggJiL7R4Bu9IW + CrRG1wfu57s9R352/u+s09IORKaCG2cUcDl7oeylnKhDGTxGrx62tSYiIiIiIiIiutDEIA51hXpa + 4NKl/+jX37NSD+05BEzjyC7uakEsqt3HkJHConl0U2+jlqLuglGQ3eAGBfogNftstfqqsnrf296C + cXSYoVZY3pUkrnCYwaYW2e3FHAklIjr3aq37zUKacRzvWI3lwomIiIguDBF5kYdERERERPQoq7W2 + /pEhhBBCzllEVKNBSsV/99//4//3n/6iKEp1ByACmFkBrJSx5gIHbJp0TCm1bfZ93+60Z0RkNxzd + dd3urUMI++u8ZodMF8TUd7hqFAlBg8QgqZuFGERlOZ/9jXmPW9ff/XVf9ZbPfvqx03VUzKocidQ8 + iCKpuEEd6g7JLtXFfLfZ+8m9MkEVr9sew7vCxOIQ9/2lNR5u7yEi2StiB6gZckUBiuIk+HN9/GSf + PvH613/7b/42rv4NXPlriH0CBC2lKkjsgRBTDwmIigAEIAaoakgC1RcqXizTjez+94KrXmy6vwh0 + Oreq25MXoAGagAQEaSk8t2fmCRAkThsRhQSRkIAZwgxYtF7XCiSvagESXVAVscOVOb7w8e/+xG// + 7Q9+4BPzN9yaX1sVSIB2YTS4J/Mgsas2XTcAxFVc4WoCbw2wpSWwTXEj4m6CoiiK6VJ0BcSRHMGk + RYkgGoKLONRFXcQluOwdkOiUuLhxX0kQUZEivUsnqIYaUDBq2Vw7Of2W5zZPf+NbcetzGG8iA3Xb + 1toNMDcYkIEMM9heYIndkYlHj5r2ZQO3/72vqjHGdqc90x4SERERERER3ReXs+U87MN52B8iIiIi + uthaJIa7t6iMNv/SbncPdw7XzvkBcwDmXgq0IsIjBsNq9Q//1pfO3YYht1XKaAsFYFCH3N+paPOz + 917O5p2hQAQM4dbiMcyOYA5xlFFg5iVIahP7AsAV0l51QT+Uh8oUITLNmsewrfApoo52tRSUAjfM + j2+leVHFtqG14s5lV3nWZBvVcj//JFRYEChsDmyyDQk1aR5q74LhBnwtwFgxAuNUgPgiXEJm1hKZ + d7+m2p1a6+63HG5PhSYiIiIiIiIiIiIiIiIiIqKXYr/YC4AQwt1hRfSwcNkVMzGITaUhHHA41Hft + nx3Bzpa2Wut1vbuvftsKh74gXMzETFttFtR2IArXAhkFZYqBabWLthdsC7ypOpqU7fO2i5ZxHV1H + SDl0CJYDVVodj2lxwEWn9tt+VrWlNY8JPgURtQUAoGrtnLugAGX6gashmiS2JH64mJmqunsLeTon + v1F5DRERERERERERXXTicAfw/GYcY8R86bHfjU1NRYq3qZHq9z1epDC9LdXSFFVh21LFrVqx9NWu + jKsr4yk8V8sKM69B4QAKoLcPN7ey3K/kqImI6PBCCDHGxWIhIqq6u10sFjHG1u661ppzZrlwIiIi + IiIiIiIioguv7/uc83K5fP7550VkNpvlnIeS3eUXfuEX/4f/8X9SVbOzTpMvJKW0G1gehmE2mwHI + Ofd9P44jABGptZZSNpvNa3BcdMHtWjLv15t2oFqKfVBcBq4Bv/TOd3zFyc0vePb6olR1CY4wde3d + 35RNS9vuq9RnxqBV0ZbbtyeA1ArVEKEwG7yGPvZ98tQ9E8JfHT/2J088/jVPv/f73/dePHYZs0Xt + ehfdbuP2ksj7jZanyf/Wp3lvKv/2Zsz3PpPUCLbVw1un6rMS5LetcxvdP72yzTQTAxwQK7Daejn7 + lLJ2mmY3UodLl/CG133ze97zry9f/cyT1z4z754bauySBAdKK23dWkCboOUQmsAxXU8uL7hDt+3b + dOsAdHv96/bF+2mHe1tzYMqQMwE8wFsLbMyPY3F4tSPgyc34phsnv/yd34PVKawAKCWXnAGt2URg + pfVb3//Lw+Tu80lEREREREREREREREREL0sLw9hVRXT3VnkWwHK53FWkDSHknD9v1MfDSqzNV7b5 + 2FY0FKUsNqu0fb4KAOh2FvSVvJu2TsbTNKsqWnXRbdFSIAf9bK6IPcwAg4pbgUxTsgHbuquu2xoB + F6Et8UPOfPcpbOvMAnB4hZeSoQJUwBDj9VKqKPCqxJXcm06RAmcXGiDBgeCwDDcNKA4IvBqs4uEv + 61BrjTFuNhtVHYYBgKqO4xhCAFBKab/ldk2viYiIiIiIiIiIiIiIiIiIiB4lhhas4mdxIuoGQEzF + dgUczMRMYNICToBtPFWrWYFtZ5N233f9ox24q2zJq77csyrGPdb0vWVvpUPv3ufZ+duChXSvjocC + elv0zhQbto1Vc+zKa0ydxadSHjZtdmosTvRKsY0EEREREREREdEjQGVwW846rYCH03Uxh4oZahVA + RM1dWvFjO+i4o8AhOSoAlVzRwQRBWtajBplGSuu2SDarXhMRnVu1VjNLKY3jKCKtPkUIwcxaQ+uW + 4+3uKaVaa8v9JiIiIiIiIiIiIqILrNZ6enr65JNP3rp1y93n83mF/Pw//aWf+qmfwrYqaIyxdad+ + ITnnXWfrUkorKuruwzC0277v25hzjNHdd4WViV4OgYsZTASybcprgENLzh0wA94KPP6Xf36UZR47 + r/W2BtivKt1WA3dxg6ipCaqIqZqYwlNFaKlcLuoKiKMiQ8SgpsBqzF3sbkl89gue+HiUH/zI01gu + MFbMFAFeNxI6NgN+aOwS+O5uGe6AYOm4VX2tMl/0eH359t95GtdXv/I93/tl9dP9yXNpiZCxGWuv + UqEuVqQ1soa6iQcgVPWWfBgc21rnULdojr2MOHELwFQG/eX+xq2CqtMFfP16iRHLWfTN2pAeT5eW + f/E5rDaYPVPnVwKSIJWMGBWOpNsC7KqABdQWYfJKdoaIiIiIiIiIiIiIiIiI7rALwNiFYYQQTk9P + W/CGqtZaU0qllBgvaOnCoCLa5mlL9ajAen3cz3W1xq4C6JbcfzK+TtP9U/r8bgs+9cw2FxRFAUbg + NCFcvoQUEALGDZYLiBavQfZm/P1szpRzp+eTAw4RSEgJcKw3SB2qeN/X9Um7qBSoL3pFtQ/3fus/ + TOEBmIJhWkFbgSGPKPNhPI3HxxEIgKjC68s+xnOilJJSAjCbzQD0fb/ZbGazWdd1AEQkxrher1NK + F/Y3GBEREREREREREREREREREdHnc88YFd8rorKLknLROrUKmepe2PbhjgIQ3W7WgpngUPVYHnYO + mGLqRn32pO6HBZnvnT0xBcDzedG5H7It0P1jQSIiIiIiIiIiogvO4cU8ijoQA2C+Rhr6Litciikc + updM64erwQ2gqwXrEZuMW2OKM7QB0eiouWVVireMXMVUK5yIiM6pEMJsNss5t4eq2vd9KaV1Jem6 + TlVb05G28oPcVyIiIiIiIiIiIiI6vNPT08Vi4e6r1arVAM05/9qv/dpP//RPqyoAEUkpjePYHr6I + NvhcSmm3bX13N7O+79sdM6u1sqc1vTLWFodXwCEtw0KBADuCPQl8JfAP3nTtK2b90t1KOfQOhW1N + 4R0XN0FV2FkZanGBCUw8hoQgRXCaES51w3H3JyJ/duXyV7/73T/4kQ9jfoTFEa5cyWYQxMA/Lw8V + 2S4Kh2E//6cCAhQchz7pYtSIy8e4eoTXv/E7f/W9f/zkk5/6gif+CrKO0ASJfneumk51qwVQ9QAP + rcc7XOCqLuoyXYdiLg7UlxNP0uJA4OrqAodUUQMeOwp9hHvZwOJsHtfrLxr1ve/6XgynYbwum9Nc + DAnrjDJk+Fn4yLbx+95CRERERERERERERERERK+Mu+9n/7l7rRVArVVVSyki0p4xs4ucJ2gG9wAo + oEkAIMZyciIO286mtjnKaSL3lc1X3vPVApigCrLq//rRjyCor1dYLCBSoYUzpA+n0ay0q2axQB4R + 9f/4V79nclbwt4WFuNy2NNvJ/Zf1xoJWDjcYgpvAg+HHvvFb4OgXc6/wiqn6rdlB60u8BmKMu+zm + YRhE5PLly3K74+Pj+XwuIuXw8T9ERERERERERERERERERERE54x+3oIjez2tsa3Hst/TevvT9qSr + bWtgtPbM7MH8Ilq8WdieKXVTt+AmZwuw/SGg8MgWw4+Ic9XZOj7oHSAiIiIiIiIiokNyFYlSC9xi + 0OoWvObLT9x47pmFbfraRngFEHHXwyccLor9/Nu/8/uf/k0sLmMNWUAFKCNiqACAYIBDFEG1wCo0 + ACytTUR0Drn7ZrMBsFgsVquVuw/DEEIIIYjIMAwAUkqtYsVFLldBRERERERERERE9Khps4p+Z/JD + 3883m3E+X56cnPR9r6of+9jv/Wf/6U+enq4dEJHW6Ho2m202mxdpR62qLeBeVc2sFUduz+xe9Xkb + YxO9ZFWAgFAhrqgOWAxAD7sKfBPwY1/4hjc+85lFqeOIFBV20Fl1gbt4ywZzgemUm6RaVR3a/vCJ + VUFVA3yT63IWaxXMuz95fjh5/MpffskT3/erT+HoGKFDnKNGj9AuljzEGDj7/nBxmRo6G6C72AkF + 5hjW3veCChWcFF/3SYItuhrfcPldH3o/Nuv3ftM3f0VZdzefE0DFxQDIrtR1q48dTMK2m3trYu0C + EwMC4K2Xtgl21avvt1J2K7StbUPbIu8CGVfVAYkIAh9W6vKmcBw//fyvfe23vvOD78e1XrSOUOkQ + PU2pi2IV5kDc7jARERERERERERERERERvSpas+pSSkoJQEop5xxCaNEa7WFb090vcsCGKgCrkIAi + 6NSwGS/HLgwDtiVXVSD+sicsFW3G/87npwnZ4AhVTcwBdUUIiCqXLhWv1VQ0inqbzaXzrrU/F7RO + 6KLqCIPn4DUuFzAgqcl25n5rPx5F/Wwef9rk/VeBaFP84hYc4gpAYXEsqIBaTAgCKwgBuBD5v7ss + 5r7vQwgt2CyEEGMcx9Hda63tt1yMrL9KREREREREREREREREREREj6j9iBS7VyDU7ufBAVhraD0V + u3DDto7Etk5F24RBrAobirwgcSS7IxwIgAWfzvD2J7p3yzbhF9kdpbfc/Tz8+bm44aFERERERERE + RARAkPMYYtcFFQAwLObf8S/f/WkPWQFU8bPaxIAD91mE+D4lq5c3GwwbZMMMZnDLiALY2XsLpsHo + tsNERHQuiUjXdSGE1WoFoO97AO4+jmMpRURauQpVbTUsHvDuEhEREREREREREdGBhRDMrNZ66dKl + GOMf/uEf/siP/MjNm2sN0kqF5pwXi8Vms8EUTH9vZhZjbN2s2zbNrJTi7mYGQETa1l5kI0T3RaDq + u+wOSbDHYG8EfvCJa19889aTVeYVxzOp23Sgw+4LZJrHl1ZX2NUtGoIJEBxiUMg0wb7ocXNT8uL4 + L6Tb/M0v+9oPfeT7fvUpXH4MyyPM50gRgmGoFYB2jsgUkodI62ZdgQK0uugKF5gDoyAsxBwQqONy + N4uIFbHO+jKL9drjuHTpbR/6yIeQ/uzxS/+211GgDrgaFK4AqtaqUzyGuIkbYNsu2loFRXfdo93F + q3gVf3nXvzjUp8tZXNS1izJLUEXo1KR24r5+/nWrzVfeGt//lrfh+s14uloYFChjK/JtEHOgAhUK + 4WVMRERERERERERERERE9Cpr7avd/fT0tNba4jSGYRjHcRzH1uK6hW1cTK5eDCIpoBocgBXEmEbb + 1RLdhRXscvNfHhNUQdFpqYKp9qsjOpJpcAUUqlCBioSuQApqQCh+cT+Ci+GuWfXqFhAcKA4JnQvg + BvNtVMjZteR7y20trl/xTgVHcGtbDcVRDSK5VHGEgM3p6St+hwdvHMcWUdYe1lpbUrOZDcPQulnj + rmqwRERERERERERERERERERERI8IfWnxTru1djEWelYvAq1v9fa+7S9nAS/O23vf2i46SM5C0Uy3 + 53o6uQaYSTFhnBg9APFB7wARERERERERER2QA9LNRphCvViKClVk92uv80//WTLH1NNaq5i06sXu + 90iafJWom+oGYcRcNgEBiBDAUEqIvQFQQFABQAN7WhMRnWM555bpnVIqpQzDEGMspbTeJCn9/+zd + bYxs61Un9v9az/PsXVXdfd7uq1+xjXmxxwQC2GDsa18bX7AxCDJMpDAzyuARcSRIRpGQyCRSlA+W + EimZSJMRmczkQzJkgoIyI4YREMS1wde+9sVAMBg7GDzYCoNfuNf3nrfurqq99/OslQ/Pruo659xz + 7nnrc073+f/UqlNdXb17V9euPt37WWv9U303xtj3fdM0d3t/iYiIiIiIiIiIiOjw1GVGNzODzpf9 + V7/61Z/8uz91YXeZkvRDjSLFbDabz+cAptPpYrG4xuaGYVBVVcVq6mgVQlgul5PJxMzqvNFhGNaD + R4luigBA7Z4ydfcA7MC+AfjbJ09+W8SZc3ua3YDQSFd8Gg5xPR2ujgAYpEC8tnIFIMBhAFRcHeo6 + AIgOFwwFfRP20vSLbfihJ5/EqR20U7QtRPu+S5OYS27bWAALAiAc4t7TbVZjpR3IYyUFBIBDxAxq + QIwoSyQF9vNW0q2pFsFyGKZJsTVBjD/2+T/B/OKvve2x78677bDIoQCIJoBmLYAHFHHV1ZcDzLXO + T1fApEhwVZjBLQCAmh+0x93gY0kGW43bzsVDRBH0g6WAFLSN07zoHlj6y/bnv/u97/6ep56CxXZ7 + CwHw+vjrS08L1IFYvzU8momIiIiIiIiIiIiIiIhuWQjBzGolxroTsNZj1M7BzQqNY1ynITHCNQ8W + GzUUCP7et77hdd0QVrNEVxQ31f8u43KrOlDGyaQmAGSc/aqoFQMYoEUEIhBdliGGmFQNKG6N6OUh + xzLOPuXy6b1i45lQIIl2JccQkyYHesttTIhJXMM45mE8ni4bHWyAjgv5N7kjJuNGg6+3bxMAXcYU + KYWhs5R0srUFL0f9AGqapu/7GKO7i8hkMlkul+uW577vQwj1CpOtiYiIiIiIiIiIiIiIiIiI6L4l + V8ZWr/gV79q17jMWMflB+rLKGNqsEOPl5ZdAFoVA3ABA8jjt5tJv8sHz42bQ6wwjpyPN/R56mi+v + TiQiIiIiIiIiomPG3RQqhhQ1lx4wPPzAn3bLLoRg0HqG9071Giaz08sOXQexARDAvQCGGGXjXJWv + z5y6XX4mm4iI7g11/ISvAMg514gRM6vd3TFGAMy0JiIiIiIiIiIiIrof5Jxns5m77+7ufuADHzh3 + 7rw7hsEBiIiq1kzrGk19jQmh9fRynZi8XC5DCO5eL3POk8mkbqTve3c/rrOS6U5R1NHQAsDF0QIn + MbwM+NHUvnXaTl94vs3ebs0KMPS2PT3c/gsTFEERtRoVP46xhtTU7dU4Y1m1JS0C/mp76ysPP/oH + QX/o934HD5zEznaZTbugrghNO5hriEOfI6DAwMX3o2aVaw6Bro4JwBCBbMWA1AIAJhFRUSCOSUoK + cZHSRpw8ge1TP/zM//PZBx7581Nnnp9sL2PKqkXhgqIo6kVtCFZCcTFIwcHQbL1sT+rbLT2WcSOS + YiMmYkgRMWGwYbnYi6HErvvGZvKqr5996nvfhr09zPfHHVHzcZD3aq9845KIiIiIiIiIiIiIiIiI + boGZqWot1aidgKWUWo9RY2I3bwkh3N29PSyCnAcATaOoUdGl7GiciY6DRAEABnUZly1vYv20fsr6 + E4OPgdZYDYE1IEOXUZYhQnXZDym0AuScI5CgoU4EWAdZj2vIMC6f3lVXexYEiMA0xAjknAWI2nRD + BlSBUJfRMR4DclvHPfgqjn09+rbATGDdgBDQd+5IjZZikFWA9lE2DEPTNKoqIsMw9H0PIOeM1eDX + Ukrf923brhuiiYiIiIiIiIiIiIiIiIiIiOh6OeAHcyfEbXwDUOte3ACoq/LyxS7rcJsiahJN1URN + YHJJvRErWu5D91ohE2OtiYiIiIiIiIiOM3Ek12gIAjhiiJi0ONH+rd/92KJJxTEosngQlQJxyCGf + LpoN9upz3cff+V70PQYLgIo6MJgBEBsbN+tcZthLbQ7Axhk3s+v7BCIiun1EpLZ2V2ZWStkMI7nX + 1kWIiIiI6BbVX/Dqr3wiUFVVFp8QEREREd1f6plhDQiNbAcxAAAgAElEQVRRuq6LMeacQwgGLS7n + z1/4yZ/8wL/9t1/JGeuzxe6+XssrpazHg67PJ29eqfHVIQQzCyFsfqjOSq6aprlGNjbRdTLXAsmw + Ii4xTWCvBN4D/NCpnVddOH/CgwbJywWiCKT0rn6IR50LuoguwgUGGRSDwuqUYS8ZvWmWWYSZZwnt + 7NnQ/vFDj377pz7x43/yB3hghuiImgEDOqAoGpFgaGKsQcgTMb5mjhIHCqSgASIwjkY3wCyabask + rGZgJyACAeP89KLiGoIgOra2cfrME5/99Ld8+g+/dPLhczL1adPnkkKQDA8yd1+o54QML+ZRPcKi + IZqpKyBwVUc0RBtHad/w45B1+9yqqKMUcURHdGBAEMSILCYhSNefyd1rc/cv3vZ9mO+hLCAFYyGJ + Fs8B9bMMLBG5X5VSAHRdB8DM6i8Ym5frFep6TyIiIiIiIiIiIiIiIrq2dTX4+so6u7qmXG/ecoxL + x2Ns+7oIlUuAQ6D9EKzUmOvL3czquwFWk4yjaSpIBW2BFqhDBL2gA/pJOtuG//bzn4HqpGkDoMA0 + xgAk2ci0pnvSmGxdD486zBfj2IRpjAoo0KYGLlK8VXUAjghE1HVx2Qy3HqOyN6Kpb2xnBOsyEZO6 + HWtSgDlSEoE7Qjomr+iUElaLxSklM1vXlW0OoKhx1yw5u2fVwkIAwzCsb+QIESIiIrq29cSbOu5m + 8xYiIrrfrKvHc87rzjUiIiIiIiIiui04f/KYcLkstXasS9GNmw0wiEMhEaoGNURoFBUDClAQILr6 + JK3lMVLzTcyEby/+5ppNs0s2zSbjWoYCKmNSuAIBUB+fAoXc3HwPOkLMTFXvqYqm+NJ3ISIiIiIi + IiKiI2192lEAKNQxaTFplyFq02SzGDT3QxSViK4vzWGuBTTFT5uf7Af0y+mJLStDyV1qg6o6Duba + H3TVul6ts9fdV2sY0vd97Ta8xkk31hcSERERERERERERERER3boaOD0Mg6pub28vFoummfR9H1O7 + XC5/7ud+7nOf+1NVXE8LkoiIyDq+us4NAbC9vX3u3Dkzc/cYYyllPR+Z6PYSgUC6YT5LbcrLh4G3 + Aj/yyIMv29072feAONTEAIiHcZywHN6w1HHLJlDXusTtMLhLG6OZw3fnezG0Xds8B/vygw/98G99 + FCdPwTtsb5nXBiVXyMHquAMCiAFltZrO/sAjYqyagDiCAK515jXEAINDxBy68Xyursn6s0ViRETR + FJrmsaef/vDb3rrfXXjoxAz7y1Z12dnWTkDAcrdMZpL33LMFrYO1pXa+CQCX4A7Ab6wPSuAOwEQg + pqbiUmdt1yNc3IK7K9ZHZzHrbZim6fTi2TftTHHxPHRAexreDsMQY2w1KmClqAPO/xfuU/VXgrZt + SykiUvv0mqapv0LUzr16JYSwLi4iIiIiIiIiIiIiIiIieknNpHWgjQEYYLaVki7mEDOMy/AKiI8J + qzeRNFxXYBVwBwQBKrBJkN7dHHUkaS/hgmTEANFx3XdzE5tDA2T8FL+5lG263V78ibjySaw3uw9m + AQhBzUyAjHFArV16b7upOo/NjdRM67K+cSxHueQY5iFE94J1iWDNHhORYRjqFBEiIiKiq4kxmpmZ + xRjXt3DOGBHR/an+XVn/llx3onVd17bt3d41IiIiIiIiIqJ72qocCkEhQd3dzHOu8yAAIMADRFUA + FfH1efj6rwEwmCAoAIMLxHl5xSVEikABU8DhUueHKAJQCswgAomSggJqZhu1YkR3CGOtiYiIiIiI + iIiONQGCwTfbFSVDY4hLaRYZwUqYNAV9dg+qkmAGPbQTlSbIyN4U6DxjMglN8AgPASgydj8KEBwv + 2WVZSqnV5O7eNE2tIwwhlFIOa++JiIiIiIiIiIiIiIiI7nvL5bIuzA3DUK8XeGjScrH8+3//v/jt + jz4NoBhU1czatu267mqbijEOwwCgDpOqN4rI+fPn3b0OEMk512lTej1B2UQ3xgSm8FmcYLCXAa8C + fuzRB1+7mM9Kp6iZ0nXdWnVcdj/E9WiBt1YMcIQiAa6COh+7IOf9glmS0Kb92dZz21t/FP1vPvNJ + bD8MbTDdAcx9CIKUhxCbVdcYROskawMy6lhsOipkNTd6cy65riopxB0wmK/j0AGFFgBaD+w4fgrQ + d8tpE/HI9hN/8Kn/84kffNWzz35Lr9PlfCc1uxd7AyYRi7m3LaTAC4JYDY0WV8AgetNHvgmyAkB0 + KNwERcdZ3skgPk7l9npPKdPt7WFv78F2Yl/58u8/8fibf/8pWILGSWxhgCBLziFPZALjbPb7VP3F + YD1fbH3F3de/KtTrNe76bu4rERERERERERERERERHR3mcHMHghQRx7JD3ysOwtA2u+9vphFfDj6t + KMTH9/riBUitInsKk4tDbk7uwMrl68Wb27kcK2ruBZc+C+un+8WfQYeGJrXzoZsXa4BZMxm6pYiI + 1fIUw0Y0teGWhj/UGQ5+xb6YjGUIRPcIM6slAXWtv9YDsG6QiIiIrqFGlm5mWpdSSiksHSQium+V + UlJK9a/LnLOIMNOaiIiIiIiIiOgapKZWq7i7GayHiKlqE6Im7SzXBOsCjBnLxUUdgIgCVutORCBA + wDrfZLNohpfjZa3eAYoI3CEChUAQPFguQURSdPdiMmQzK+7WprgxTYToTmCsNRERERERERHR8WaA + QVCTrR1q9USvhguTZreNj2ga9vcjgsSwWPaTSXA7zHOUYim20i2Rh0kekB3txHOWdFALrgDExhOt + Vx9BXGfUppREBEBKablcMtOaiIiIiIiIiIiIiIiI6FCFEGoctarOptt7e3smKgj/4B/8D7/6q78h + AhEAamYhhGtkWjdN0/f9+kodJlVKMbNSSn13nVXJ2ZR0SHJeRg3oAs5d+A7gJ17x0CsvnH/ArC0u + BhdAarC1ABCHH2aKrvr4JQaBA+KqgAuKWAwxSRmaycVJ+1kvT3z0N994ageTbXfBVATIGTG2ZjW7 + GuHSUcQCcBDx0VNb97A5WFqxyim3sUNwzC5f392BAhSob2xg2k76Ya5tiA/s/MSHn8Te/u+/7TGZ + zLbn850kmKayv5cViw5bJ8L+xbIlEDjcAB2PeZHN/bj+x3AwF1sMQG1SLIpgMEHw2nI3hltnwWK+ + HwSWu0ebZnH27L987PG/8fTTaBKCIzUQy8F78Umw1eOm+079DaGOrq6DxsysTqXc39+fTqd1pLW7 + N01TR5Ld7V0mIiIiIiIiIiIiIiKiI0AVw2AxBTFBBiSWoUsx9WXAakG/3tHFTG4mZtgE6uP4VldY + gQKaUAqGwWCwYhAsc0EzfYltycEK7mEWMtANWD8Rfh1Piqm8MHQtcHpra29/f69bNqLFDKt5wS5Q + P0i2vjm62pSvw61XG9yMTWdVCd0jVLUmUO7v729tbdW6wTogm4iIiOhFhRCWy+VkMqn51vWWEAJ/ + hSAiuj/lnFNKAGKM7l7LyOv/FHd714iIiIiIiIiI7mk5ewhoQnCVUkoZzLJDxRt3dbgEETdREQmi + HkopcCgANw8HdTKcDHQNCgQBAPdxDIebw5EtxxhExLxkNzfRJClEVS3L/m7vNd0J99TCFmdzEBER + EREREREdew43uEJQAKvndYO+5yP/92e+7/vk+fMPty26YrnMmtQth0YPsX1VHUPX7ezqv3z7E3/j + k5/A1hZES5oAiL7R+ygGAaDXaNwspdRWNADz+Xw2m7FwkIiIiIiIiIiIiIiIiOiwpZT29/driuRi + sRCREMI/+h9//hd+4Z/XO5jVfzXEppQOsBfdzjAMAESkxlLmnLEqtV8X3Ldtm3OuX+KwHxfdlyxG + waLDnv7v7/3h//T0A6/d3d/OQwOIiwtM3CGAiClgEK/RvIdG4C6OBJiYei6CPgBAyW5p+uVF+fLO + 5Ik//DhOngAaTLYhEcCwN6RpcqDXqIrBLdX194PxwzomIkM50PqocMBgDgRRwcGzWaB5NbxaYAmr + jxrgJoKomgW5NrY54JaHoZlMHGbLi3oqIc3e/KlP/vq7fuCNPR5d7E7nRQzmaFssh9JsSek8FAjg + Ur+MuTiAcKuHv5tYnZHtAtSXFUTMVWCABu3cpAki3i2704Lv/P/OfeLNT7z9E5/EqRbB5p4zAhAX + KMmMccX3rZxzCKFmVwMQkRhjKWVrawuArhpeOYOMiIiIiIiIiIiIiIiIrl/XDW2bHAAEiyXMZpPJ + Yv+CaL0JdYGzrqJ6XeK8kXmSLhCHAUVQFCZQgwNdBhSTFPLSIkITg8YWuYwxw1eED19yZSOLmLUA + d5FcEQrtgMjVA64FnsJsNl0uly/s709TI+5mZXA0q7sYcIvzStUhroA5UHTcnNXd3Sgp4ZFD945S + yjAMk8lkNpu5u7sPw7CeKEJERER0JXevVYIhBDOrt4iIMjeDiOi+VDOtSym1B61eYT05ERERERER + EdFLCg5kGFwEQWKTgkgwyYvSWYC6F3MYBFCDSQmoI4AUAAZ3uDsc8AhnJcpVCBANahCBAiIiqgZ3 + dbNSBC6AQBuHYlFKWWAab6w+jejWcYYLEREREREREdGxZ6hneH08WRkAQNE2F6btq0/MhgvzFFp1 + z31/qJnWAOAa4C8/ceprFxfY7zDbcWiup1Ox6oEUIPjYromr9tGKCICu69q2nc1mpZRSyuHuPBER + EREREREREREREdF9bxgGERERd4cgpfS//cIv/vzP/5MaRR1CNDMzhBD6roPI1WasunuMMedcg6tT + SjXout5eSjGzlFJNLa0Tpu7cg6T7hAPF0Bc89/wrv/KVv9b1Z/Ki1HHDDrhCzERNIGLRS7CrzRu+ + jXsUAEBMAUEWAUSyhi60Xw/p4je85l2/9ss4ecqnW8DMgL6UqCHNEoDlsk+TBoCLjfO0x/HWOqZZ + H/ru0+1ldaC0AYqDZOta1mFQBUJ9r6ZNO+D1qYesp2aLwRHbtht6TUhbW7AMjUiz9z/z9JNv+e40 + kcnZcw82W9OQ9xedz5B7n0FEEByAjcnW9ZAS1xvqI3St2dvradsKd0BdVqPXtcZmj910JpPUdLkf + gJ0pWkmn9j09v/sv3vmuf/93PgEv7c52xlgZIlF5RN+fSin1d4M6aKyUUn8tqdPHzMzdVbWUwhlk + REREREREREREREREdP1qpvXufHFCHTH9nde+/o3LZRNj7wMArYNZoQXmN7v87oLgcBig6lBAgGmr + 894WyzJJaTkMSNMLuUeKl+Qky+XXL8m59ivuQ3ec+CVPDTaTra+k2H7ogfNf+uKkbYZFn2A55whM + YrScx7s4TMbRtHazT27AuMRet3Cwnc2D2FeHGg8huttCCCGEYRhSSnXpv23bnHMtEiAiIiK6koj0 + fd80zTrBFIA7Ex6IiO5rIlL/tKz/NbAfjYiIiIiIiIjoJaUUc84lm7qoKkSKFS8+1TaEGBC8Dl5x + CZ7cxbMJQu3xB+pQCzU169yFZ+lfnADBTRzuLmMuOIqboyBAkyL6Mi9y10u0FEUmkgdfDROhY2u9 + sOV+TwxQYY0OEREREREREdHx5+K1zzCMg4Br52u44N55OQHAbDxfFRov/eHtiQkM4cILz586dQpd + h+LuQEZMcIGMzZEGmEALABmbcq+kqsMwtG1rZjnnWkFYB98f3v4TERERERERERERERER0enTp8+f + Px9CaNv2l37plz70of/GHS6YTtNykd09xKbkDCj8qg0SIlKX+cysDg3p+75W269nU9Z7coYIHZYC + 9Iq9/o9/7Ee/vZtvDXOFu6AUxJoKbKko+mhACdkxBgsf1tFoUCC5GMREahg1WvMh+Feinn3NK9/x + a/8Kp88gnhBLMEjBpA0FOYsHyHQSYZaXyzSbuKCIFgDQsEo4lnuih4WulwABDlgGChChcMAggiag + AIbVIVkF1PzyUo8cGAAXWIDAmxQHIAMBoQzzuDVDKD/w6Y/82rve883zdqvLE/MogArUV8nRwLrA + xCE+zsu+oYegjmCAQL022kF83GV1FEVWAIiG4AjQkm0aMV9imTFpcwFOWH7d/hxffwGvfDQUTHIM + rQCWh2WbJrhklDvdF2p1UCmlbduu61JKpRQzqyVD7l6Drjejr+/2LhMREREREREREREREdERkLOZ + +9ZsipIxX263jeq8GwZE1Gmr64Ril4O84Rsiq2XTVKBA6wDQL02A2Sydnw9t2D5nw//yZ3+GqSLA + 5cXjjB04WCr1jVVjlgTcLX4QFC2yfkJsTLa+9I4ARPCf/daT/9Obvm1vf3Hy5M7+xd0AbE3bftHF + ddj0Ktnab+pgU9d6vOlGmrU6fL31y3aLBw/dA9zd3VNKXde1bVtv5KI/ERERXZuZzWazxWIBIKU0 + DMPd3iMiIrrL1kXmwzC4e+1cu9s7RURERERERER0T+v7HII0TRQTLwpD1DDFyZ3uzMn04Imtkzs7 + p05vnzqxc3pnciKlNmmrGqNEVVVVkVqiAmUByrVYSLGUUkoZrOSch9J3ucvWv3D+uc4W5+fPP3fu + a2f3nu8W+wP6XhZoFzks7/Zu02ERGUPg1/O17gWMtSYiIiIiIiIiOs5WMdYCbLYsZigwme7unFzu + 7mmSPOQQ2gAMQx8Pe+Rv0GkIJ7rlb773B3/w059WwSQiDyipDmUGXOEBUgcyX3UCsZnVSsGN09Zg + pjURERERERERERERERHR4XK9sLvfpMlg5aMf/dh//l/+16owQwhYzIcaJJlzTk0z9FlDsPLiydbu + XmeFrN/FKsG6DqOsoZUAQghMtqbr5cBqQPCVR8xqDdrGMOB+wIX5r7z9Hd/xV8+eWO6mpDWHPQrg + DqxzfH2cUX3j6mhgNYWsXwiXbUoAwBUARBzBoJBBBXCYYBCcayZ/+fCD7/vXv4xHHvF2Bou5RwrQ + gJx7RHGgwKMbTGI78SFbigUoq/X2+q1QYRPYUaTjs7Z+8hziCDKOn8bqoy7Y+GlrNfXaRB1QGACB + GFwQ4/YJB2SaELd/+MNPPvX4j8lzF052F7eDeNfpKr46q5rAZcy4VgcgtvH6unRw9hVTrn3j8Fvd + U2x8POup2SaoY7QBwExQsmFnqnDfX/pWO9Vu/sgcn3jfe9/+9MchsZlul4wQNaRJ/Syvm/TVFwAc + euk3jEf+sVJTqwF0XRdCqFHWde5Y27bDMNRA65xzjJHjrYmIiIiIiIiIiIiIiOg6xajmKI7gQBm0 + 66dNq7l3H1diHahrlFLvc7MEGtwUqGu8kyZ2fe77YRKDW4mTGRSQq055ZYb1vU+uKA2pDm5UQWie + XyxOxjDf300ptEF3F91Ug1nR1ZN7kGp+tS2+lPpJ6nCHOKL5pBSspzGsy0jksKdLEF0XEVHVWito + 9uI1h0RERESXqdWDIuLuNdO6Xr/b+0VERHeBqppZ/e9gGIY6mpL/KRARERERERERXcpcAKxmqAgc + cEGUFEsjC23z9sMPvPybvumbXv+yb3nt1hu2cTJoEhOYiis8iok7FEEQ1oHWAMR8HEDhAnFeXnbp + 4mZexBEhQVVhYmY5o+irbMBgsvSYXcvZi8/9+Ze+8Gdf+fyfPPvpZTMvocuhz8EMCIA5XKECLQhQ + bJYYEd0yxloTERERERERER1zBUGgwQAgKwxowoDG4Vt/8yMf+/y3vaGUObQZYLXF1S4fPXyb5Zzb + GE+XvLNYwDJ8wJBjk3rEca6wA4g+TlnG1YKtVQ9urxNpie4p7l4zG9bv3oEK1/WXqDEPfGnQnRFC + qKPD18e5qpZS1hEjzBohIiIiOn7Wf93wNz0iIiIiomNhcz3OgDEWVETqcoOIdMthMpnknM1MU2M5 + G+If/tFnfvo/+Xu5AIAISgYAd6/rI0O/BNTKuH6xOW6yDgoB0HVdXfWr8dU55xo/uV4KXKdR8q8P + ui5jjxOKwIAECMwBQMUAwSBwwKybqqIodnd/63vf8uazZ0+XLqp4cdQM4RppXV8IwCQbsF7qu4FD + 0QRFFIBCxGtwr48B1g4ALoC7ISgEUDUtAhEZiqsgKhYZ8+2dvzx56n2f/BhOn0I80QOu0Mn4SKPE + gwVIAYICEG0UiBvtIrr6OB0puvHP6qJGNK8yrQWr5/XSlGvBOOJc1++Ox4PUYdQCQCeA49TO409/ + 8n/9vrd/+zy9cr67syyt5wBZWumipLbR4t5bRIQDcBfrgwJoCuAwtRr9vloUrD1vorZ+JdU71OBp + x+qHuXrNyEZTVvsDACYiUO0HBJOZGvrlVDUOne+e/8hb3/KeZz6Bh05g6zQ8AQopjmJQ9foflyEM + AAzJoXH1KjPY5veBjroaZV1/u6i/PwCo08e6rksp1VtijFynJiIiIiIiIiIiIiIiuiHX6Aest5jZ + ZnP3MVMrBSIExeE2ddehBA/FFLBBTQG4iaNxBWCrGa/XyQAFyrhqaQAGAEDucwKsYBplaYswTBBa + aALCiy5xXv415WofoDvoimdhY8X+Re4FiUjTYetUWOxPDIJiuTSixUVFzU0BqyUuAvWbSbU2QAV5 + nNWA4BBHW+zk/j5yjyH7tJX1JAe/2jiHI6OUUpeS10vGtc153fLs7rWAbd34T/csM7vFgQwv+gIk + IiKi4yoPRaDwWkgLAJdcJ7oZdrd3gIjWbuyPu9qqVi/XV0SkdqvVPzbruYJ6uuB27y0RERERERHR + MXewBG+2/lubjhZRuGKMtc4RgGt2AK1Yp2G3fXl87b/32N9602v/3UXuo6ZmaIOFepZG6qgUX4VY + v0jhlIwfq8NVeHnppcECpA5R8lLLyAKgSYCFJW2BmYsDtqMPvur1b3zsW3/w889+5l9//P/6ev+X + 5/V5PSmDl36JaZtyGZIgmUSIS61hU3U1oM7JoSPB3UVURPq+xzhZ69BDTF4ST5sSERERERERER1v + CmjtjgVQxn8NQUrbomk705gmFgRBzewOjHNtQtScZTE/CUcekDsEAH5JOa+P3bkKY5kvHUW1RT/n + rKr1ZdU0Tb3xUNWWWhEZhqFmWq9LbIkOQz2866xwd08p1WWP2uy9DroGwE5vIiIiIiIiIiIioiOn + DiOu5e8xxvl8rqoS09CXENJnPvvZn/7pn7m4twTQNukaVfH1XHEpxczq+kVdzqiR1SGEruvMrH6U + 8ZN0S2QM/bXVexgjgAFB15kDDqhG2IDFAvP5ay5efPl8b1qG9SbURdcNVGIKS4ZkUJcbHQLtq7c6 + a9ihLrD6rtRMa5iIek0CNtOsofRlHjU2Oyf/AvEvTp/5/KkHHvvNJ3Fiu4/TDIRVE0gNDAZUVm+o + ScarzOOw8XbDu073Cl09xQCwkWU9vnfZNdl8rldHwub07EsPA0WzhXaG7cnf/b1nvuujH/nSyRNn + m9mgESFN2qQo+/N5KUVEg0TzMT9YHRtJ1SgyHtL1C7hsTNO+vOft8sNQHcERxg0CBy8WwRgGj+DF + l8tXKF6/t/iNd78bQx+Wu2U5wA4KTQ4eMtRX2d/jBlfTvZlpTURERERERERERERERPSiantg7TFf + twfWD+3t7W3eTVWHYXjRjRwDckmfuylyjQZWHxfqbbXmetNL8AfVAoAJiqAIUmpCiMUhjgcnp5bz + BaDFbuQrsCbgXnBDz4IoNAwhiiMadFzkHgdCuNQyEtzQUXClWqBitXLAEQzR7ITof/Vt346oBcjW + w3MxPwbHTwhhuVymlIZhMLMQwrrxuWma2mufUqopVux3JiIiIiKiq+OoLqJjqHaureehXXYKlIiI + iIiIiIjo/uHueLHpQD5oKtMHmkd/6q//9BvPfFd4/sSZ4WXT+ak0bMc8jbmNuQ0lhZKChfqmrle+ + ob4BvLzycvMbFWz9FmIJsaRmaJth2vaztt9uuxPT7sS0O/XNp9/0d97/wdPysm057X0Zepzc2Vou + hzrTo5YD4ZZLjIg2xbu9A0REREREREREdIhkfQJID3plgQgYQoAo4nTo5wvvmyYmiJUSD3NSvDqK + Z3NLoikXDB2GjBQLgHDJBGFlhS8dZaWUmsogIrXZte/7dUv/oapVs6q6jhMmOiSllHpI1+iRnHN9 + V1Vr9IiZ1eNQVesrgoiIiIiIiIiIiIiOkDqMWCXG0Lj7bDbruqHAmzT52rPP/szP/MzZs+cBTCZx + ubjWzOLNJZJ6SrnOOK4DQfq+zznXc8vDMKSU7sBDo+PKASjqaJmwzrxdDQ5uJ1qADm5Aa4az5596 + x7vf2PeHuktFCyACrevfLlLUUaOm67813VpKUTeBWG4V6ukv98pfvP4b3/Hkr2M6w9YWUtsgIK8C + ewNcrADhUPeejjcBxDwvZRKgilc98taP/fbvPv4D6ew5W573YjszmRn6HuZAG4IpYMFdzACoqQmK + rg5JR3AYUEQgkI2k6uvn4kCd4V4/2VwcglnC/OyFhycnnn9hgXNzPDgNbR3oHXR8KQEBBepQjC+R + 8eXvAkBlvTNcQiciIiIiIiIiIiIiIiK6lKquLzd7YHPO29vbZlaLOkII8/l8NpvdtR09fOIwqcuQ + KFpjp01dx9RhBwAX3N5E3N2hb0UbwSLneT6/dfIR5CHE4/x9pjugTm24bFaDACWXGlcfgAKoqKiM + C/9H2XK5nEwmWGVXl1Kapun7HkDf923bdl03DENtfGa/87HnAEeVEBERERERHQu354+72tfW930I + AYCqcjQfEREREREREd2nisJEpI5myQDMEQytnPC99j/48f/w9PTR05MHuguWc45RUWxVhEJ3mrie + 2T5tOf/tH/2P/um/+keLYdfjIu/3rYbiRQDAXbxGibuYibmwWIJuFV/wRERERERERET3h1WcdQB8 + nORryN1uM1lub0mKwT3ozYwVvsHdsBC0bVqFbIn+07e9HYslXELYGE+/miquUIUKT2HRERRjXCwW + AEqpY/Oxvb1d06YPFYBaL1uztM1sHTxMdNvVKu0YY4yxJo7knJumMbOmaYZhUNUQgoiUUuoxSURE + RERERERERERHi6qWUurAjnoqeHvrxLPPPveBD8WYIx0AACAASURBVHzgq199zgyqWC4zgBivuqjn + 7iGEOg90GIZSSr2eczazOvi4lCIidXLoHXt0dCzVxTlFVpRxhI0rDN53daV8BtnyHvPuV7//Pa+8 + eHGayyHujbgCCgfMBS6wjdE3vloaN4GLmwACN+QmnDtz4ound97x4SexcwpnHsyTLdcWrnCDZ3iG + mQDKxXS6JQZYViACs6ZPikcf/p4nn/yLhx46P9veevDU/tylx7SJUWW+3Pc4xk4H82AQGACvBzBq + f5vUjGkHXAw33PPmqzHZBsDHROrxI1MVWe692sOT73wvzu9j9xysE8CgQIYMDrt0Xby+WCxsbJ6I + iIiIiIiIiIiIiIiIrlRjXQDUlsBavwEgxliLRmppB4DjnGntgEP8knXFouMqZF0KlRqUKjXu+rZ9 + 5ROTae0LDsC02Vl6QZPMWT9Dt009etfH7JlTp1HMHQHi8PFYO+JL6pPJpHb019TqEELNtK7Xu66r + 13PO7s76NCIiIiIiIqL7jYg0TQOgzkPrum49G5CIiIiIiIiI6L6hQFSLoSAYIDCFGLQEuxDe9z0/ + +rqH3mC7un+xCyEFERTWV9xNwXRxdjkr29/40Jve85YfifPpzCYhI4kGWxUCyZg7zkzrI+2eyhDh + GCMiIiIiIiIiouPMgbKa3A1HKIgGIAAhAJi2j/3Wb/x5QA7Qkj2XcPini4Y8lL6LIfbnz33ztEW3 + xKKDw7GaeexjrLV4nfJNdPTknKfTqaquu/f39vYA2CGr+cFY5Q2rakqpNrQT3XbuXkpZLBbz+dzd + h2Fw993d3fUlgGEYRCSEUGPXiYiIiIiIiIiIiOhocIXr0Jet2U4pJedcioeQSvHnnnvugx/84Be+ + 8KWUBEAMsU41zflF2xusrgHWjbRtO27eHavzzHUgch0LIiI8n0y3qCZF1yBbr9cUEEhIMJMyoJvL + XofnXnjF+XOPDovWhsPbmWBIhmhjsnXNtI6GaBAXcVUHgCEgKxRIhqbVs03z1Ky8+3PP4MEzOPWA + SYKGoT4cNYSC4FCDq9xDnSl0FGlxxDjp3QdIaCeYTPDqV73lYx/94mTrK2d3Z1sBjmHZN40VoAOK + wgSuGAdai9XodnFVHz9wK+ohre4CM7EiBzea+TTEMyW//qsXP/62J5CX6HdrqYlLAbLAIhAcMu6c + AQ4v4uMPhFWOPBERERERERERERERERFdrhZypJTMLOcMoHaqikjOebNHtX70eDpY8XSIAe4CXz30 + 4KhL/PVGF9gNrj/qagvjRla3z5eLzkpoUmjTxX6+9AxRlgPQbXHZkaQOcc85QxVA0CCA6HFYTO/7 + vnbWD8NQSlkHU+Wc1yHW9cdXSumeGgVLt4Ne+kZERERERER0udqzFkLY398H0LZtPZNARERERERE + RHRfCR4UIbiKw1b1S7GkB8PL3v0d75Vz7an0kHo0M5izvuLuEpfGmlPxzN5Xy/f9tfe87oFvTV07 + 1VayiYuaYFXA5gIXN8ZaH03rF9o98opj5Q0RERERERER0XEmsLH76pJ+QjVoyQNU0M72T55A2yqg + Ahx+/G3TNqoouT8zmTw4n2NvD+aoUduozWJ2yf7eE6fRiG5MTbNeN/DnnGsYw2F/3XreuYZbryOu + 1w23RLdXDReJMcYYc86qWkppmsbMmqbp+x5ASgmA10Z3IiIiIiIiIiIiIjpSmqbZ39+v54Hbtu26 + rmman/3Zn/3cn/wpAHdXRd9nVcxmk2tspy6RxBi7rsPGMgoAVV0vpgCo55aJbpqsppMKFJAC5JoG + LUBxGNB36Huc33vqfe9/dR6axTwd8lJaMARfh/VCXdUlmKhDxz4vB6wmwM8DvhYnf9pOf/z3nsHJ + bUxn+4JB0edV40fw9SMkunVBYi4WJAqCAfNculnCQ2ce+91P/ZtTp58L0z5AI/ql7WxPRMTWQ9sF + gKtDgGAQQOqEd1cZ86P95qo9xgPcHYAJTFAE8PoFs88vvE70Fed2f/Gdj2PZIRcBBAZY/YLi0IMc + a8NGMDYRERERERERERERERERXUOt2QghzGaz2jYoIm3bppSaprkzHbL3AgEAg1lwE7e6pn8wq9EB + x+2dAdq27SSl/W4YinkISy8QFIhzRCTdDjVPXVcR15351mSKxdLNARdIHkoeyt3ezVvVNE0tP6sJ + VQBSSqpab6nlak3TYCMAm4iIiIiIiIjuE/UUAQAR2draAjAMA+ehEREREREREdF9SEREVFzVx6kQ + IojevvmbvyftzR6avNz3Q9tOoQIgCIuX7rJp2uovlJPx4bjcfud3vid1TemGoAgGHIzzGCvZ/L4o + 7qNDF+/2DhARERERERER0eESW80MVojDBQUANMSIISNOLwy6m4eZYCJi2UUP+dRj30vUpVmTy87X + X/j1d3//+//ff1MKogJAUYNYQO3sVYDzuOmoWi6X7m5m65LWUsod6HRdf8Wu69q2xUZNLdHtVUpx + 9zqQYp1BEkKoh1wIwd1FJOdcI0/u9v4SERERERERERER0bVdPnJ3GIamabJjOWQruW0nP/XB//ip + pz6Zmtj3OQ8AsLU13d9fzOfLa2y3nkyup4vdHcD58+frsGMRGYahnkauX24YhpTSYT1Eug+s8msV + ogZzwIAkkBiw7ADDuRc+/tj3v/q5rzfDomkbH4ZD3R2417BtYOwKkvE9AxxSVJAMWcKg8uxk6wsP + nnnvx34bbYs0hWsrKEATEcaVc4EoII5YBMD6dqKbkpE0AuZmufi0aQEgAg8+8PhnP/c73/1db5Aw + 7F2YKYb9JRxIMBnz48UhjlRgAnUB6lR1jwasxmHfBHEER4AY1MWyjvsZFEiInVneO22z1z7f4/wc + bRdSLYLRGvdeBOqQDIiux7yv94UvFiIiIiIiIiIiIiIiIqIr9X3fNE2t2aj1GwBSSjXcpV6pt9R7 + 3u39PTQC1DVGA4q1xYK7wIBguCRiWh1Xltlcz+bh4/xQk4NCgv2u22pbAaBaQpDZFKWosHiGbsmq + fuaSkbXieGB7+4t/9TVMJiFIPSpjOg4Zz2YWY+z7vhaeuftisZhOp/X6+kdZSumy3n864q7yPLJA + hIiIiIiI6P50lS4GMwPQNE0pRUTcnZ1rRERERERERHR/MjV3A+AOB6AIjhaTf+e133nKT9tFa7Xt + +97EppO2WyxFOVL+rlHXftmldqvPTVu2vullbzo1eejZfEFQIKjBM7XYTW68ko3uKXUY1z2CNVVE + RERERERERMedGAD4Qf/heDMAN0zaiydPdjFqQDZXOewmLUeQfrBZTKHkh10eKYb5IvhBA68DDmO3 + GB1pOefJZNL3fe1rrU37dyDTGoCquru710xrosMTQqhh1XXZw8xqRzeAnHONu3b3deL13d1bIiIi + IiIiIiIiIrpRMcaccymlxk5/6EMfeuqpjwHo+wwgRgWwv78AEIKEcK3lPTMTkZyzmS2Xy+3tbQB9 + 3wOYTqdd12E1EJmTQehWybgkIYBCZTVxGg4osHvhn7/78Vcv9l5ZhhOhGbr+sHfHRYqICQAXmNQs + 6zqsWuAKAOrSa3p2evKLJ8+896mnsX0K26cQmlwsAOoI47hhK0CBwKM4CpBvYk420ZqPY3wti2iM + KQEY+qWn1O9sYWf21k8+80fN9MJspzQTEbRhDKuu9R1F4eICCz5GXAMQFzVVd8hqKPsN7ZGMGxFX + dQBaRIpAAsyAYi6mkpv57uuyf/gd78L+ProOBrjCFEABrP53ZICIQ0q9ekXNDBERERERERERERER + ERFVNdCltgfmnEVEVTfDrQGEEGro9d3e2UMjG/+aofgkW3RbrzOaoOh4B/Xx7VbYKnh4EmM39E2Q + ATa38t9/+g+gxyFmmO4R9dBeH8kX9/ZOnzgFh2UHYG7Ho/Sk/tRqmqaWqAGomdbDMIhIjLGWpZmZ + uzPT+rjj80tEREREt0Mt9OclL3l5dy9vnxDCeh6giAD3VlQMEREREREREdEdYe4DJNcTI/XkiEhI + 3r76odfEPoUcgiOlkG3Ig4mwhOkum822u26YtpO8sAl2XvXQa4LIus7NoIBATN0AyO0+pUZ3hRx6 + TtBL4GFERERERERERHScOVAELgav43shjgAEACaIDXban/jt35jHpgzjVN/D3qU+e7M97fIQ3ULJ + p8UxX2LeoRRXqLvC6wBuAChXf2j1xPcqS/Wwd5vohtTm/KZp6rt3OIBBRO76qWe6f6yPt82i7fV8 + ivWhyDZvIiIiouOk/ponIu71kj17RERERERHnoiEEEop9Xrf9ymlwVxiUokl+z/+x//zP/tnv5hS + s/6UnA9W6ErxUnz9xwJWiyMhjD0q6z8c6mzQest6JaVt23qFmdZ06w6Wjh2hoFlnQouhdFjOXzff + 35qfTxiGvkva3PZhN5fsDHSQUBCKwAQucGBQLSKuWBZIgrTozfeb2edPnXrimU9i5wympxCmGUmi + AkhiggwMQMl1CX1Mtya6NWLQAWIepR8rStCkiQAJhmmLhx9+/A//+Atp5wWLcbpVCqKrDXAHErIg + C1yAsG5HVACCmw6QFvhBDrZ43VJwBAMkIAMW4JKnaqf259+w3/0fj70LuwuYQQFonbVd6o6IAtEQ + HRvZ2jyJdYzU3zFqpgI2zljWWIWKpy6JiIiIiIiIiIiIiIiuUwhBVd29rrCsu7ZrOixWfdzHvElQ + 0OcCB1z+u8e/f8dk4go3wGu3vgEmUEdwJLvphdHLvibMTFVLcYd6SggB4fjGh9Od4nVBf4MACjQa + xpdzEAAqemwKUNaFZ+sG5/WNIlKvqOox/zl2TK1LAtZP3+oW33jbgCDgpcAVDl5ez6UgqKhK5PeN + l7f3uKqvx7u+J/f4JV93N/S94k/467msP9XvhT05Epf8/eHFLhWuKlElCgKgcAV08zovr+dSEOrb + Xd+Te+eS35ObuXS98ud8/XkVNARN9fb6k7/m+aSUNoehra+UUkIIwzBcdmagnicppazPiNbeOiIi + IiIiIiK60mYYRAiBTdxHjxhChhazIqIIUgx50Fe/4htDScFCEgW8s6UkzQ5IAPSlN0uHwwTLPjdt + u+z3UwrRJ6955beYmQtqtY8jOqK4C1y8Vgbx+Tp6RKTrOhHUAs67vTtg5SIRERERERER0TF3ySmo + 1dlGcaDLaBJUoei2t6xbRATf24ceavehNNO4v7fYmrRl2TUN/MLFX/6hH/nrH38G01Dg0VVEMsxR + Smdtc9XzV3Wefh12X8+13Qun24iIiIiIiIiIiIiIiIiOllJK0zTz+d5kMgHQNI27L5fLdjpbLpdu + 8iu/8iv/8B/+PIDlshfB1Rbl3H1ra2t/f39zywBijKUUd48x5pzru/WL3omHR/cZVfViIlLHl1pG + P9i0UeQOu+d//e2PvWnom6HkAoW6+WEP53VRBxTi4nC31ZfLGdOTYXGhlIC8Nfvq9tb7n/4YtnYw + ndbAYAAKCMzrIv/4ia6rBqNwWTEA0c0wCBzqgAHreGoBPMYSNbq8+/d/71PvfMfeX335lU2beptM + Z5a7YRiG/5+9e4+VbMvrw/79/dZae1edOo/uvvfO60LAYwYCwZJFwMH2PLh3hgFMiJDG4Y/EIeHx + x1gCgQO2UBRDEsu2hKLYyCRWhEexFBsnipGD8HiYOzP2DMwLMh4iQpwZYMDYw+PO3Ec/Tp3ae6+1 + fr/8sarqnNuve7v7nu7Tp78fHe2uqlO9e9futevUWY/ft6JLcPdSpigBEFs32ns4GgEg6tpWzYkh + qAGAi8FtvYrOFTUZLg7jV15Z4uohekG/X1erMJs7skAgEWEdJC8wBQCF39vB0ZlRSgkhlFJSSqUU + M+u6bpqmVoAspdSmD52cVkRERERERERERERERET0snyTk4pSyuWrYTWpQgAH6iYhuEVZh3sbrTfA + 5SWp2OLrCQCZxUXp/uNIOj0kTpYTEZEbqou01LHte2h7/37Et9sTwu1NtqrqLu4O6KY1Gc8bt/e4 + DSG4q5m1drW5Hs/EsZ3ZrTuvu5fZqiqO36n4Dv/y23auRGQzK5bbm2xFpP0cdH/J5weeNwAA3MUM + 1302cBcBz8/tti3HqLUrx6Z18X3+xNZdBC1RHtvz5vy0cLstYowAzOzkp/f2flWrAzWE5O5m1sKq + 3UvOGYCqqqqIXJdXHcL6Sp/NZsMwuHv7i+3JrQVvn0NEREREREREdM4YYMhVPChcxM3dQ6fz/d1L + waKaAmZiLu4KVIULpD7oo36keZAitRXNCDXtzy6qRJfsgKu3fi8F1E1d4WEzd4Lo7jHWmoiIiIiI + iIjoPJN1B5C2uYtFge382dkMDkyO0H8hhj9KYffF5Ws1+il3Ox6t8qIPeRjTbjccTgu11+YR1y5j + tq9xBxodPtaxD303iyjb6YU30aYDllK2MwhVdTuDkIiIiIiIiIiIiIiIiIheVkphmobd3d1hGERk + GIYK6Wbza1eXs9ns/R/6wI/9Vz/enpZzvVWmNQBVXS6XIYRaa86567qWZl1KASAiOWd3b1nXjJmk + 0+IQKLzCAQkaMI+K0fDC5X/0tqf+/ZwvLI+sIO125Vo97VxoFzgUMHXIOrLXgguA0CUsswF6sPid + 2eLrPvxB7Oxidxe6qYUNSAVEs8KBHgZ43/arBa4BitsNpxO9AqKACSxsmpILBGpmpbpEQw88vveN + n/zlD/4H3zg7HC7VVV2tOglRQuwdYuIIKrUeF15Pdpclr03QcuZNjotRpdoOCS7Hrd0EWWvn+Y1X + D3/pLU+/9f/9BDCFeT9NQ+xCRS0SbbOHAKDdERbjPidijO4eY2xFx/q+H8cRgIi0KUMt8brFXZ+s + PkZERERERERERERERER0ezFuIqyWRz08ODRIbsvuN/lx4vc88Cg3WQivgAuKKlQhyrFNelU4WxKd + C+7e0sXaXZHbtGxWGqFX6mRdmlaspjUz1qtp/BZzhW97ARJqzdvbrVG127c6n4+adkJuPBs8P7e3 + fV+Sl2rz86l9Tti2rm1zYrO6vevOTyvaxna1JRI2N45bl5mxWd1eqS+pW7h9v6qVMSrH2IruVCnl + ul/0NvHVpiru3j6AqapZAdD3/TRNKaVpmlrWdStK2bYnG+Q4jm3523K5XCwW7deB1Wq1s7OTc04p + 3ffXSkRERERERER0+sQc5gJXiIp5sCoR/cXFRXEBrCpcq2lpwSbCHq0HygEXMVSTAvFg8cL+4y7J + sDJZ/++YmHibH6TqrKfxsGpjXGdkNJ6x1kREREREREREj4DW9SsADDDZdApNU+5igup3vP/9v/62 + t73Bk1++etp1r/sgboiK4XCa9ThI3f7lyygTBCoYV0M3n/Whl5dWK75Rm/m3rVTr7iGENnHwdF8A + ERERERERERERERER0TkyDMPu7m7OWUT6vs85z/rZ5cuXH7v0xDPPPPPDP/zDAEKQnGuM8WVrFbVq + UNuMyUZVa63DMMxmszao18qCnOrrokdUW2lTC4K6BxFgOWIcsTz6E7nsvXjloA+rUC5fnS7M5sjV + T7MKpThEDIC4Kqq1xUDuAKapmEpa7HzO4m8s+q+7tIfFTnFExTaOFw44VFHRFhAZfL1TiME1cGyc + 7pUAGpCB4FhHQTugqkmRUUoKmuYa9R2/9JEPvuWpr6h4Q51Nq2sdQp4mSZgKRFzFHOpiuO00j5fV + rpGwXt1oANRhAATi62WPJqjiJpIsL4bl4zBcWyIJ5hK6VL3KpsK7bXfjgHIN3vlhZu5eSmkfS1pN + sVZOcWdnB0CrI6aqrcrYgz5eIiIiIiIiIiIiIiIieji4Q9fDkhKq95B1wUiYutbNgKPfS8XWE6OW + LlDbPCYKVABVNgHXRKdNbnuX6Iy5Ltb65sHDrKhNd0JV3a01K0ZZv3KMH769EMKmQfnJeF1qbhuX + 7pv3cW6v34qs36/YqG6FZ+YuhBDcq/v6I5a7M3j4JPe6ufGSx1nX7pU4mS7fbvK83cYtf7uhjU37 + kW3jOnHGPKVumiYR6fu0Wo0i0hay5ZzXz9g0SDPrum6aphZlnVJqz2m/bE7T1HWdu8/nc2zmohMR + ERERERERnUOuKgGAhFbYQaUAojv9LqAuMFhVY5/72eGC6i5iimrF9/oDt7hNnHE1uLVbwv82epUw + 1pqIiIiIiIiI6JxzQETbfPUoABxeWr9QTCkHpHmC6HOGN+QSYOmU1x0GRCtZg6j5UBCC7R9e/ui3 + fMubP/4hLOZ915ephk6r15I1plseTEqp1agdx7Hv+/WL5URzIiIiIiIiIiIiIiIiojuxWCyuXr2a + UtreMLODg4OPfexjP/iDP5izB5VaPYRQikEC/OZFi06W+RjHsZX5UFUzq7VO0zSbzcxMRBhoTaen + VMQIaIJYtrEThYw4fPHD3/Cn/r1xuBS6a4fj3mKWpI55FPOg0FMbYVZHtHU0L0SqOOAqFRCVTg4u + ft70dxbpuz/5ceztoHoMAEyBDBUgtFF+awP9CiikHBcSlnXJOqK7pYDCAc+QKoKAuM6CFuQ6jlK6 + 0Is74HjNE+/41U/88tueKn/47JPdjqjXYZoJvEOMoQzWZqMAcIG1FGm/i1rBDgjExNfB7lVhguDt + OpWWae0Cdahb1PplO+mX3vz0Wz/8AXxJrCqAJu/gCIIj2ezSt7um88DdU0rTNJVS2iMt6DqEcHR0 + VGs1sxhjrXWxWLg7y+ERERERERERERERERHRK+Hr2LiW6WWqyAaz9ThjcGwzc+49bsgFJ2uJbm6L + Ccc16dXRWilnaNF5oYC2dr1NfTuONjtJAIDFmhuWXbk9bw0JwLY58aTRPbMTobACiGzjPB/YIZ1l + 29Ni63AEbm++dTcA2n7GbRoV369ub3ui6FY271d+/Ycpvl8BANwhsm5IrS2pQlVLYfzwy2s/AVtb + 2rxl8YK8ORGo3MV8+0fMuv24CFSPr8qui9NU8jTFgFp9WI0qEHGDqGqtVVW7rhuGAUBbwjZNU/tW + 3/ct/br9dtmCrodhmM1m2we56o2IiIiIiIiIzq/ojgoArhCHhqJJegBVoScKRCigDruxK5nuH3Vv + 8eOuDjPvQi81OgJQXWBSHAkI4uICE84Ueihte9Hdz0RNFMZaExERERERERGdc9Jmqa8XuBrQMq3N + XSW0DirDYudq19cwdGnuZYKc2gxa12JFIFU87eg4mI/5dTG9cHQFMWAcMUuxC6VMXYy1zU69RR9a + zrmV1G+Z1rXWNjswhHBaB09ERERERERERERERER07pRSFouFiDz33HMXLlwws5zzZz/72R/4gR9Y + LicALdM6xlhrBrCtEXkdVZ2mSURqrQBybk+Guy+Xy8ViAUBEVqvVzs5OG+y7Xy+RHhUOSIIDdaqx + i10Erj6PKf/vb3/rW6JeulpCwF7fjcshpTRU292b1aPxFA9ILPg6NbuKupi4Q1CBZQh/OE6f27v4 + 7R//ZcwWNe2EGNb5u9vFQttQXqzTh4EosOvDg0/xBdA5t17VJAIB2vJDxNbAupgUKdsYNGE+hymm + /JYP/MKHvu7rX5t2y5XnZ1ARHUtZDnUvQtyqKLBZ7bb+KXEXzdPV4WImcMC2lSvlJQn06lDHykq+ + /Ny/M1163zu//dv+5Ue6mNwWELRroxMABjWYgtfLORJCmKYJQNd10zTFGFusdfv4EUJoE4dasjVr + ihEREREREREREREREdErFNZj9A5U92rwKjAgOgSAQwGTzSCm4E5zh9RhbbH/iWFLAfTEnkzQqsJy + cJPug9bw5M4bM9ED1ELIAHRdeuI1j20efkkj3sRay2ZqzCO6vXjx0gM/hrO8NXMRiGiMIcYUgqoG + Ebacl9nu7x888GM4y1sRBVw1XNeuzPyBH9tZ2LrjunPVtgcHFx74sZ3lba2mKiHE1q5URUT5fnWr + bXtvV5X5fOcsHM9Z3qpKjCnGEEIMQdv1WKudhWN74FvZcHd3F5G2mqaVuaNbMbM2iTfGGEJQVWFS + +s20RqUb8/n8QR/RmdbOVftkFUJs7/OAD8N4cLB/dLSKMeRcUoqf/vSvff/3v9vh7ddGM2uZ1m0n + 7YNIa5PjOHZdV2t1dzMzM1Vt09G3QdcP8CUTEREREREREZ0mdVM3uMKtxhhFglYJ6+INWtVMFIA4 + xE1cwU6+B8tFoe4QR3SRKoIe3gED4BA3FCCty0BJhT/oA6Y7ISIt0nqbbH0WMNaaiIiIiIiIiOi8 + cwhQBJBWftggBRATzQNmEYAixauzOECnvEpyujPqIpJHXMnTTKyPXc3FbVx4xnLA4sI4TmHeRQTU + zQLgW2gz/7bTf9tdTgckIiIiIiIiIiIiIiIiuiMtYVpV9/f3a62llC++8OK73/3uLzx3eWeRjpYZ + QLVaRwc0pZinCdiGRB7nW7f6HduRu1pr3/fDMLh7y7Ru5Vfm87mZMdOaToPBDsvRLO72s2SDa+9Q + xeXn3jgu59NgPnkNqEgINefdTlfXhpkCp7uUqppKRTCFbK6cKeBwlr548bFv//hHcXABs75U01BF + KjyJY13BSQ0AXCGogAMBgGmL561ABWJ7kOiuiMMFGRHQhCqocIcLiiKpVJvHuQ0DNGhS7O7A4vJL + nnjhd178UiTp4zAstcOFC7EeFnWowQT17kOEPbTFVgKD5wADOlsfJIAsoo7gAm8F332+CJq9L+Nz + z7+Iy4fo9qRlGEcAiFYgDpEauP7uXGnlw0SkfYDJOccYRaSUEmOcpqnrum3pMVbEIyIiIiIiIiIi + IiIiojvggDpUNIYywQIE8Io2lNmmyLigCgAIoPc2Erkdytruxzm8Ra8el3WjffmGynZHD4/tNICd + nZ0Pf/jDAHA8K2Q9lXHz/qxos6we1a27PPBjOMtbkdDOkogD2rbu1QwP/NjOwradH7arezyH23Z1 + Fo7nLGxPtp/WxrZX4gM/trO8befn5Blr7Yrn7eRWJLRrTcTb1cf389tva/XtudqePfeqGh/4sZ2F + bVsXc1KLHy6lnPbH3YfaNg683W1VAR/snnjB8AAAIABJREFUIZ0pbTnV9m47V2xXr9CNPwEfv3Sp + lEnc9/d3xzGnFJ5621t/8if/+l/98f92GCZVbS2wTTWvtQJYLBbL5RJASmmaprbnGGPLt04prVar + drdNR3+QL5iIiIiIiIiI6HSoKywIkmotxeA1IqpJ9ACoQYHoMGkZ1zAIINf3l9L9JIAguImIdJo8 + W5AetYMWoLg4UOAKb937/M+iVwFjrYmIiIiIiIiIzjsHxAzqQFwXylYA1dDP2lQ9heK7P/DM//PV + X/9l/Z5PR5u/qMc7ETMB4C7Hi2LVtH3rzo4naCnT/m6apjxO0yz1kEmG5f/y7X/uez7xyX62yADM + EQQCc+gtVkKqqpm16YOqCqwL5bfbRERERERERERERERERPRKzOfzIU+Sq6Yorl984cXv+S++7/c/ + /1zq5Ogob5/WskLzpnjHrYQQaq1tzK5lWotIG9drIZSqKiK11pZ+TXR7m5RbAOZAG+wWnKhHKgbA + 0YaJdRZnDnOoRkEpGFe/+I63f/XhVRlKTMFKCCHkskoxIiJO974sx03Eb1Ku2l/yHHUTRPMqmBTP + z+a/tbd4+iMfwoX93PWqiNBSpxQCHJB1Way2W4ht9y03VHbi6DjdI1s3VjVYACAFiEjqBSEqHNrN + 2lwR0YidxX/0zDMf/vp3iF97vC5TgAhW10rfErIBAOoGgYncaQF3dZi8pEkr0BY9GtbJ1u1psnkv + GMcaI4ajwy9J3Qe+7Tu/+X2/iMd2MdtU3G7vGRIdqIAwA/68aJ8otoXGWqZ1i7gehmE2mwFoU4na + 05hsTURERERERERERERERK+QGyQIAAlaHNXaEvztt+8p/bdNbgBMHe7HY6zigJr5ycKixuFNuldi + 17XW44k2Nxaxvbe2TXTabhz3V0Xf9+6+adqtwa/btnibtFLxqG/XJ4fbW21bE3IHUN3hXrFub7J5 + Z3yUtzc/b5vJktzefCuyrsoEtMT0dbt64K39jGxVj88P29UdtStA3Q3HLapucmPXc6cf5W1bniDi + Itre093bu7oDeNA/i8/uNgQRaefw+HoUkW0be8S3Ich1kcxmxewmn0vpJHe7aYw1z1sjgu2ZcHcz + B1Arz8/LagHVLfz7+D2/lKmUsre3qLW615zN3f/jd73rM5/57P/6D352mkpb2tZ2kVIqpSyXSxHZ + XtrtCS1WXERKKTHGtmURSyIiIiIiIiJ6SClgtyhAsh6NAQQhiKo63LS6YF2ToWoxNTUVVwVknZFs + rGjyCm2n6WxKw7xkcs7mzktOpt40hfo4F0bFVRDEqxUJEj3CzKIoABc4YAJv/5aYXF/xhuguMdaa + iIiIiIiIiOhcc8C91bkGAIuAQq0CnQLA6NZ3AegwJN1/fHj2+SSicHgAwqYotrnAxaugKuAeXMRV + IeJw6B0lW3uFSvRVToBKQCmA78zkIF/DtETZDZK8i1ZrUCBnpIRbTLxsk/+28zI5F5CI6P6bpqnr + una7pZKcvN2WAJVSUkoP7hiJiIiI6FW2Wd3tAsQY3Z1LJomIiIiIzpjWVWvAS5Y6uHvXdeM4Aggh + mJlKrLVW1OoWVKthOBp+4Ad/+LO/+bsA8nRiyYJjUwcEODFC545WuaPd3Rb+2N5YF81SbQ9u98BM + a3olfLM+J8AAM6DFV4eWa+vrBTYO2GaJTYco7W9mg62wPHz98ujSUJIgWw0IXjyhQ61Y1aS404U5 + bR1XWyAk7lVR1F2g1urGQRwuDjmOoFYVeA0KcYyCy93O5y499vQnP4GDS+jmrnAgACF06zJ0AmmB + vidWJa0vGDm+E9bPJboHAt0sK1Io2vQPUQDyksE9EQAhoLuEvb1v+r8+9X++7c1/4o+OvmREL2Li + 6sjuGszF27NL8b6bec53Mp9EdFMlSoFY19dU256s2n4ccV0RuhBQ94+Ovvbzz773G9/y7b/xG9jZ + BSrEEYJXSEUUmKLCApQ/e86H7aj0dhi63WiZ1rjZBw8iIiIiIiIiIiIiIiKilyGQALjCpLqrQAVu + aNMVAG2VXMXvsgaor4vDQoBgMEEbFBWHuFWgKsQUricGRYnuUmulx9HWm9x0bd8Sw4k5Jy7nYf5J + W+xcSgkhbPOotiudAajqOI5939daOZr8cGnhlICqaikGwA3wKus6KobrqzPfQfETemTdmLm4nRV7 + vw+FzpGT7eqmuZ6PuBvPCc/SXeOp2xIB4Ld+V6dbuclJoy274eMkg4dfCZ6ll3XywuPpesXspaeq + 1bgAgBg15xGAthwfgVn50R/9keeff/7nf/69bQlPyTmIlpzbqW//BTlnANvQ65MVLNu3Wvq1bH7G + XHeDiIiIiIiIiEQE7iIiIhxcOwNa5ZP1mLluSpRUtxBCrRa6kPOoChX1am5FxPNYkkDNgwGAR9Qw + 1jCqzdWDVoFUkwyBi50IWqbr2bqYhroArhCzdTkab9Hg7Q8XdajB1BVQWdfPccDaNB5pJ9l1HSXu + 2krOqGoe8izsmJVlXtVONZjXlfc2tdI2tRcx1KOZRi3wyGvyYWJmgiAi0zRtex/d/cFO5OIFT0RE + RERERER0rp2oY70u3g1tC2a9mruHoMtpQtehT793tLocdAy6XaPo7cmyWbV4oifr7tbcrv/u5iDa + mlsXzIt96ViwXCGPCqtAUQDQyDWBRERnV0tAmaapTdQ+OXV7HMdSiqqKSEqppaQQERERERERERER + 0QOkqsMwmFkIQVXbVlMchqHrOkgYhukHf+iHPv0vfx3rPMiTX8dijO6eUmrdwqUUEYkxAqi1qmqM + 8dq1a7XWbZkPorvmx38cr3ay7QI3aet51s8SAAYYcjZIxrXVP3vqnU+a7BrkRIXotjTLpT1y1+s5 + vO2h7XY9gO66rS3dvpW3/67DDXrw+OcvXPqmD/5zHBxMs/mkwCagerOyCMev5YTjkX85jr7maDrd + u9aQwjrhWiERottmdvy1fmrEbI6DxXf+0od+a2/3D2azZXUUuCP1QVKsDq+wgr6fX5uGuzqc9Vdw + hBPzUtQ3k13wkgfLUGd9nFl57eHwx1YTVtcwLYFyNKyK1VakCgZxBCgXUBERERERERERERERERHR + rThOTj44viUOwPzkgL63B++M3TAlwKB2YqKAn3wu0b25cTZMm8cijs00m00zOxflbHPOXdfVWmOM + ItJuAFDVaZpUFUCtte97AMy0Pl9MHQqDGMTkHqaCERERERER0UPOuj7+zb/5N/7kn/xaM5hBBOYm + t/1NUVXdPcaoqrPZ7PDwEJtk65xzWxZnZidTyYmIiIiIiIiIzqBW9WR7V1UBMwGkZZAHrwavIWmV + aoAkTSmJiLmMZTLNVbMLxDVYDKYcfn9ZJubipr7OtIaKRXWFa5tatik+02KqRV0ByImJYcdlatZZ + MLK5oW162lAzkoQuapQSq858nA77XoLUFDSFmbu6u6+zkAMzyB8uImfxKmMbIiIiIiIiIiI670Qg + 66rzJ/uBRTWPU3QkREBR65/75EeuPL53lFDXOdZW1XKwrHBAHLGiL+groiHY3ay5BVphcQO8KsZo + Y7SqWEx44xfHj7712zAcuq8Ay1ancWTHNRHRmVVrFZFSStd1IYRpmtpa7pRSrXU+n8cYzQzANE1t + sTcRERERERERERERPUCqKiJd1wEYh5ynOk1TrTXGTlVzzj/2Yz/2sY99DEAIoXXw3lQpJaU0TZOI + 7O7uAnD3Ukr7J8ws59z3vYiwBijdO2kj3Q6YiCO2oWxs8tbFW5B1QIkt8lZR3GNfUQ7/6Zvf/sbP + X5mv6mpq9adbgzSIu1hVZN3u7hUxgYu52PYuADXEKsFUTU3EoPAAF4NkgfRxKN7PME3QtPi9Sf70 + Bz+I11xCTB0sAWk7hI6T4dVEZ5EDEIOOSPjWT336N554/Yux13mwgCHXwyFPFWm2HzygjHuzHnK6 + xdZDFxTIY1EYRBfF/9Fb/wwuP49cdmbzKCJurrCACHS8vIiIiIiIiIiIiIiIiIiIiM6jlFLOOYQw + DAOA7Q0AXdeN46iqIYRxHAG0eW5EREREREREdM50XWdefvqn/84b3vBEjHDH7mLXcctamSJSa40x + llJagvWFCxdagrWIpJRUtd1mZwIRERERERERPVy2cbnu3m67uwQdfBxQS0BxW47jUR41xdR3rZQK + pKibOMQlWFQPwpjkW3NBCajrABeNNaWaQu2DJXhyT+bJPMFTsD6VPtWUDMEtuAWHugABntqXIZl3 + hlhFq0hVFAVmOnXlql29Wi/XsAxpdF9qHesRdLKUJRQJoUPsVtApSOV/18PJWzj52cBGRERERERE + RER0vilk3QV0sj5vu911fR2tS12dCnZ2seh/V8sQIwCFAY5WklscgDpC+zIEa99yF7+zMsSbTOvN + 0TgAg0TD/jg+ORYMR1JzhHWh62Y9y3YTET0sUkrjOLaYEzOrtZZS2szsruvO0MAIERERERERERER + 0aMq56yqquruKaWWPN0Mq+knf/K/f+97n8mTz2ZdK8ZxwvUDgm3VSgjh8PBQRObzeQuxNjN3n6YJ + gKrWWm/YFdHdUXgLt0bLpq6Cuh5KNqClVkOAYojBZbiMqy982bWrX169K7bTJ/EgLi6oag6YeJVb + F6e5PXETN4EL4BJcgikgJlIFVQQQuFZRFxmGMp+nmpGTfGH/4LdmCzz2BKRDCAAERbwA60BrjqbQ + GSfuUEOvONjDbOc7PvKxz+/uX9Z0WCCC/b3dJDqtVoAO1TCNOOVGnaeqghRhZma2D/+yw2tYLrE6 + wtERKlCtSs3t3aKe9uEQERERERERERERERERERHRA5BzTikB6Pu+zVibzWYASilm1ve9u7cbAGKM + D/hwiYiIiIiIiOgUHB0dqeqTTz75nve8p+97ERwuD2/z/FYSrZTSegxadnVbdpdzbsXTpmkSka7r + 2lo5IiIiIiIiIqKHgm9jcn2b8KG73f7eeOHS+Pjj+bGD8TV74xMX8+sP/PF6GbH00UIwhVjLHHGB + sQTKbYlrMAkmLfzbxWz9hRYNrK7qGiyKi1pUU10nvEBcxSI8AtGQDAEeXLD5qi4VknNZmRVVTbGL + 3ts1fVzf0F89eG194tLR6xZXL82XF3emS11eWJYuzR7wGaG7ctaCGzitioiIiIiIiIjonHOoAYJ1 + de+tPE0pdSEqsoU0hwzYSc9d3J2WV0LrwhIDIFB1AAoYNn1brUO5KgAEh95Rl5esSwWLo6+tV1pd + kGZdfeHZX3jHt37Hhz6Ai8kXcaylC8pUayKisym0uAWRWmsIQUTa/GwAZtZWgG/lnLuuewBHSURE + RERERERERPSIcwXWA3/NOGR3n81m7m6GEIO7/9RP/Z2///f/oSqsIucMoK2RAHBjpnVKqRXjaAU7 + Yoyr1QpArbUVAO26zsxUtfUkE901ARSQ9Xi0wgwCOCCoAGAKSEu83qyHqopUMi4v3//Od37NcDn4 + OGZLs3mo1QUlGODJ1suocIcpt+oA3LZLt1wE0HWmNRxqAkFbZSQOODSiCjSPGA8e+9Xdne/86MeR + 9tC1YZSy/vfF1vuGnbj0iM4Yh5WpSM0Rferj/hyTfeNHP/mrf+YbviYpLh/l5arrZtM4aN/NgmMo + p31EKYWSa2wXjRddXv6qbv7ht775m37lU7g4h7u5ARpEYEABOFxJRERERERERERERERERER07qSU + zAzAdTPWYozb2q8tlarWylhrIiIiIiIionNJFbPZLOfpK970xp/6qb/97nf/xVIQo5ZiuMXqoVY2 + bRzHdldEsOlDAODu7baIsD+BiIiIiIiIiM6gTeWTGx53BzyKyolZE0/0b/iRb/mvS1yZuwm6kBSp + LvHkY1++s1rE2ocaALhUE6taIK7GqkG3FBz9FAGYImspWkza4xYc4h5MAIXDBaYFgK7rybQiOQoX + k23yuAMGMYFBKgBxzCRIkWQJ6CN2U1j8xW/+b3I3ZPMCkdCJmpdrl7pLu7aYlkPs9cYiUUR3hN2g + RERERERERETnmaOV895kWgsAa9W9Q9ehOFSgilpRHbvzv/D+9/3m136dWqhqrTp4cFsXu3d1MXFf + V/cWd9l86w4PqnWtKiAGFxgEwGq49pqDCy8slxjG1rcaQ7SaQ2DZbiKis6jNuk4pbQNLtmaz2TAM + 0zSllEop7s5MayIiIiIiIiIiIqIHTlVVtaKq6jRNLX+6GP7Bz/7s3/27fy9GlIKdndnR0RBCqrXe + aj85ZxFR1VprCCHn3HKsW23QVrljW7bj/r08Ot9ks5Jqs56q/WmQAIUALhAAmMGwXOLK8vV/8Ox+ + GVJC1NmwWvXSGWy9kqft0sXbEPodcHGooGW/B0BNxaWNoZvYdXsTR5+wXI1HB0/8fzs73/mRf4H9 + +SbTGoDCbf3q2qshOssE2qWENGE69LoXU7h4AA2/ffE1iz/411+9t3t07TBOQ9/FcRy6gNHQn+50 + D6m5BgEEGoNPeT+l5YuXX1e6f/jUU//px34Foeil/YppKiXqLpdPERERERERERERERERERERnUtt + Glub8FZKiTFO0xRjbHPYzKx9N4QQY2w3HvQhExEREREREdGrzN1rra1P4Omnn/6Jn/jxn/iJ/65l + Wt+UiGxXz6WUzKyUsv1uzjnG2NKsW2/DaR8/EREREREREdGrSkWwKc2igB/EC5f2L4h4ES+1hhBU + 46hlVmfqqqZwQNzFXMzFAZiY3k0KySNBXZMpgIyiaiYOMWyK2oTNeXM1WweQmwG6rZkjMLgfF6kx + gQEVMPUCuLhIreIxiQo6s2SDvPHC1xzl5XznYJiKiyJm9xcTQu9J+jT54Kzz9BBqpbrc77wG0ing + BU9ERERERERE9MiR1i8lMEGZKhQICf0Couj6rMkQimJSAFB3ham3Hk11EQBVUQUOtE7SO6XrDlO4 + QBzqqq7zLmk96o9ehE04GnScpFiQtK1LTkREZ4q7t7SS1WoVY2zZJC2+ehiGvu/bI+6eUmpDI0RE + RERERERERER0X9j1+biucK3F4aqpS7O5Qfv5YjXm973vfX/tr/11OEpGDHp0NACotarebJ75ZvZ7 + K/fZkq0BTNNUSmm3W7h1rVVExnE81ddJjwLZjjAcZz9vm7cCCgQgQBQCWMFyieXRJ//0m//YVBcR + 1yZf1ewxmFRFTVaTtT1KMI12vObnlTPAFCYC15MrQoJ7NI/m6q7tthtMri0WH9ntnvr0r+C1T5S9 + Re3NugoxuEIiJLZlHXIW1pcQ3dZqnACdYzarIaBACy7s/icf/8Szjz/5+Rri3u7o5jYlhSSdnX7V + piAQlVoxTlXEyjTuzsLrQ/zKoxEvXkbXCkjVWewhyGN52R0SERERERERERERERERERHRQyeEMI5j + CMHM2nrn/f39EIKIpJTm87mILBYLEYkxMtOaiIiIiIiI6FyKoqjWBd3pu3Fafdd3fdd3f/dfkM1C + nc2aHd1+ubtsvt0isVNKItL6E1JKtdZaa8u3Zv00IiIiIiIiInqIiMg2KDdA1CEmQaKWGPKsLzsp + zzD0GNIciyS9mAKAVBczMRPb9KYw4vZ2qqwzWoJ7stJZiV7UW3p1KBqLahVzzaYr09ElV61VS9Vi + MrlOkAkyCSZBUdTglsyiIRmie4qqwYZ6tCrXiq5ijxR1t9uxo5wmnde0k7uZz2LVaVgO+fDuImOI + TuI1T0RERERERET0KGldiq7ruthRwjxAAEep8G4Hsb/c91f7NIbgArSe4/VUupeU0RZA4HLHs+y8 + bcTluFfa1YBSchmn1wDv/aanAIRWKL/Ue3zFRER0SlS1ZZaISCmlPTJNU0s6GccxxphzTilhk2JC + RERERERERERERA9QCKFV2bCNT3/603/pL/2Ib3pwS7G+77HpAb7VfrY1O1ovcYwRm/odAFq3cKv+ + 2fc9y3bQvVqPTAN6csjaNoPNCqivv4CacXT0v735LV+OsFdsdc1jj661Q6kCD75ZQeEhGILd6XqK + 9T8vvqlcA7i4iSuqwoJXRYWYqQUHPBx5eH6++POf+Dj299D3E2RCsW04twEGB2rb1b2fLqLTNOtn + ZgjALAagIsmggsXu0x/+2L+59PhzXucdNGIy1GxuOPWsdg1T9ZD6FFWA1KGsari2ev3V5S++45sx + jah1FjsguFman37ONhEREREREREREREREREREd13Zutpb20CG4BxHAGklMyslNJyrwGIyPY5RERE + RERERHSeiIiZicg0TSklDfjLf/lH3/GOp27zfHcPIahqq59Wa23r4EopIhJjDCGklMZx3C6mIyIi + IiIiIiI6+1pXhrvDVURbt4m7Q2WyMnmVkFI/C6lzlbFkVzMtpuZS1rVQoMy3vT0TK6HmWCxUW+dJ + q1pUj2qhnT2T9Zcf9y0ZUCEV4oIqcIFDXOHiUFfxKBbVEiwNY0Xow7z3mZaQLearh1fca9LQhZgQ + PRsmVfQaU+g7lqyhe8fLnoiIiIiIiIjo0eOAayuNnYEigCAGBRSh+7Mf+sDvL/raz7rYrQoQgIDq + VV110yUZHMkQDWFdHfwOtC5UNVUL4uqQqqiq1okaZoer11fDOGAcAEMKt9uVGVrP+OYuY1OJiO4n + 32i325twm9vdnpBSat9t+SVEREREdP6ICNfgERERERGdNSGEnLO7q6q7tw/tOWeJSWKyinHIs9n8 + 137t//6+7/t+d5wcYWvlO83aOhMT8e3H/hi1he62mh3uvq372fqKb9oVzF8Z6F55S322CkAVopCW + Zo0AiAOO4jAgTwOmjCuHX3m4jIdXUcvuPNYJeZpC2ERiC8Shpup6t4G7oi7BEE0BMUEOqGoStZo7 + IH0YzUOMxWsJ/Quz/Wd3LiJ16Gco2KmYQyKKwyGGDBQAKEAGjMnWdLaJI6A10xbNbrMoSBGPX3rz + h//5lW4eYneUEXvcnxLQbggaS3VzQQAqomJu+viUn1yNWB5iuRQPE0SCAixLfa5M07S9vR2nxolJ + RERERERERERERERERHSntgVMt7Z314VNiejVs12TC4CX1z1SVQBtPlt7ZDtlrj3eoqxFpEVcP7gj + JSIiIiIiIqLTsl1DB0AdYq6qf+tv/Q9f9VV/HED7jsKjigAKaR2etdZtF812RrqqppS2+U9937cn + tB6GbYfD/X19RERERERERGdC+41YVVlM5kxwhW9TaG2TSA33qpuHW/F41VDVhjiMO+PYTUM3HunR + CqscJ0sla6laq1YTd2nhyionQknoRlVsiEeH4TDP7VqdJglVZsW7YsErxKEQMXcXSARmxYO7CKAi + URHgChOr6qYOcagHeIL1sB2zHfddCReG0q0sjCKj1pWOstBRxzFMk+bJs4coOjPrMlJ2MWEk8cNk + 25+5LZ1xFt5X2YaIiIiIiIiIiM45Oa7Gve1T1u0dbw8J0Ep+h4RZ+MLB4oVhGpfT3o5AMBaPXZtR + py2Uuj1Z7zzTenssJmLQKtL25mJ58lnCxZQed/0f3/JW1IJSquVb1RI3s1aIH5uJgKqqyv4uIiIi + IiIiIiIiIiIieqSN47i7u6uqOeeWOR1j3N3dXa1Wq9VqZ2dnsVh85jOfefe73z2O9WSm9XVijK3W + ZxuSK8VEEKMCqLV2XXcyP/IszIyn80kMMGuBtAKs09UhBZ7hFV5RqivQacAw/ou3P/26o+XFvltW + 1CoBkqBeTAEIXOCCuw20PnFQrsB2bwZ4KRWAKJCrCIZSbbH4oy5+7uDg6ff/Ivb3EAAHHMgF0NpG + 7DcHsi6Pw/UddJb5ydz17a2KYNhJuHjpdxe7vxdC7mQc0e3t+K1/vrxKx7MOpzdBFRja9Yjg1o95 + /+jo57/lnZhGrMYAAQxWIad9THSfuHvXddM0tbpg2/XP0zSJSCv+PgwDNoXDiIiIiIiIiIiIiIiI + iIiIiIiIiIiIiOjR0YpShhB+5md+5jWvuSQCETi8Wu1S57hdAc0QQs45hBBCaCvmSintcWzW0InI + crm8Ly+FiIiIiIiIiOhVoSa6rtsCrNNB1oVTfJMVAgDGAkKvhDiCllAv56v/5srvfu7Kbz+vzw67 + 18rOkGdj1slQW2ZKrdXdU+yjdlK1jlZGlwr1FCQqgjjaf4hBq4pJNOmqRHgUV4O4oCoAONRaOMwm + KSaYiIs4cNv+LjrLWrmMMyI+6AMgIiIiIiIiIqL7xoBbF+huAdeacLD3+/PZH9+5uJMvl6Mx7mCa + UKZxJp0CVQCBGlxQIYAK7I7CrdVhIlXUFVh3elqsCH08HEpKJV9+8Y0XL2K5hDpme+2wbtxPrbXF + WquqiNRaQwhte6fnhYiIiIiIiIiIiIiIiOjciDHmnIdhWCwWLc1xyFM+ynt7B9M0XT28duXK1e/+ + z7/nhRev3H6Ur5SSUmoJkYvF4vDw0B2lWBukm6YJm5nxOWcRiZFT0+lVZ23Fk0MBdbRwWgBILSW6 + AgEzFZkG5IKjo/6Lz14Kcng49KIqSdyjwQ0icFn/3Tb8bHJ8+05VhWOdjx18vVONsAKv6Hf3nz+8 + esXqH7zu8bf90iew6NFrqSsxCf2seu+AoVZ4SAZXMaTNcXB5F51dAvg6Ht4gQFTY+ubg6Pr/8Fc+ + +XPf+Kf+7LVrr7PV6trR7H4dl0t1sQIER9hcRBdFn3zhMl64jPmF6IBXKC+vc6JNDSqldF0HYJqm + dqPW2nVdKSXG6O6z2WwYhtnsvrVEIiIiIiIiIiIiIiIiIiIiIiIiIiIiInpgRGSTAWMikoKYyJNP + vuE97/l773rXn58mA5BSKGUS3C4rptba9/04jtt9xhjNzN3brHUAZrZYLMyspRMREREREREREd1/ + J/OnN0Ehdv2TXDdP0L726vLS1Gp3sU18iW3+8g07oRt58BG7Bxeu4Iuf+p2Pfuqzn5jv91/zVV/z + FV/6VW987N/dCQfzspdMImAiFVOZoNhJshsjDBVm7oCYq7dEaoeaGlxLLHAVIJkFd7iZIQiAKFC4 + iid1DQ71FlFei47mcJG7LaJDtMbaTf+IAAAgAElEQVQGRERERERERER0zimggNyiF1hginLcR6yK + 2fw/e+8/W7qOZrGXq0fY20+pT9iUDDdB62Ouinq3VX9dcDyfTyqkIvuFWWfZX7+z+6XLAdeuInjO + w632kFIqpahqm/bX0qxDCH4Ld3mgRERERERERERERERERA+VEMI4jjHGlNIwDO7edd18Pl+tVirx + 6tVr3/u93/uFLzxXivV9f/tdiUjb4eHhoYjM53MRsc06FHdfLpcAUkrMtKbT4sCJsOf1chzA6jph + Fw4bB1jBcvWP3/HON13Yi8Ow06VuNl+NY6+xjYb7iaHtqqhqgEPuZjGVCfzEDtVdgSoYKzSiAFcP + r3aPve75Sxfe/Mz7cGEXF/aBGgNCH6xmuJbigDgAqdACgfj6i+hMO76O1CGGsH6wC4gBO3vv+vgn + /+188WJIGjuJ/XaJ46lyMRM4pIqYwAUFNZXyuqPV+7/5nRhWWgwOVC6ePJ9SSuM4tnJgrV4YAFU1 + s9lsxvlCREREREREREREREREREREREREREREROdeWwS31WaSt4nlb3rTm376p39aFSFIKdXcWtXK + mwohmNk4jtvntGRrVQ0hdF3X6l4SERERERERET1cxDVYEIuhxmAaDMFc16VOFICtA23b1hhufXvq + gMm0GqZ6VNNq2rn8rH/uI7/9T9/zzN/+n977k8/8q3/yu+O/utI9u0qXa7iGeISYi9QsXhQmqCom + Ul1qEXhs+dQOuFjVXEM2zYLawmUEEFe1EGoKFk7U8TBxFVdxu7v6OUTXYaw1EREREREREdGjw1uF + b5d1HHUAEizCAYPaOgFbe8wPymIf3U4uvtdheTWL1Rs7kqpoFfE76WIyaWHYLm7REA0BtWqtWhVS + h+KAXl2+7tnnP/b2b8XR4SyFW/Vc55xjjO7e933OudYKQETCLdzF+SIiIiIiIiIiIiIiIiJ66LRK + GS3TGkCMcZpKKRZDt1wuf+iHfuizn/1cjApgHPNt5pOnlKZpAlBKATTGbrUa3aX9E+5ea10sFgDG + cWyjdUSnRKEnR3wd0O16qJxDdOQRf/SHX3K4HP7ouVqQYjcNYwcRLzmX1MEAc6iJIxT1ou5iejdp + pw6gKkxc4MENgAHZEOY6QbvFnvaP/etV+Z15jwt7SKma5NUEAyoUqS7zTENCFLS/WmsbwfcTqd1E + Z5MAAgEUCqhDHQku0AwbsLOLxcE3PPOLv3mwOFrsL0sxefld3sPBrMOsIe4CQ4CH9qj2XS3TxSG/ + 9to1LK9iuIZSoOn+xGzTaWtTgERkO1Oo73tVFREzM7NtATJsipERERERERERERERERERERERERER + ERER0bm2LqPpLr5W3auVaWfWPf30U3/lr/zIZrI5qpVb7cXdZ7NZm68eQmjz0luyNYBSSt/3tVZV + zTmrcpECEREREREREZ0JJrhpeYdN7LG6WFEroZQ4ljDWMNYwmo6uxcU2f1fVVV2V6bYvS6zrRcLU + JUmdqHqN01H34nL3C79VP/V//Pr//Dd+7r/8qV/4qx/4zM/92/E3x3itpGHqjpbx8FCuHMlRTVVn + QbsEUbQaHgDEIBmSoSvIWAVVgiM4oriqa3AVV4hVsapuCkesSFVSBetpPGRE1lfdmaqJwTZERERE + RERERHTO2fZPP36kFZUPgLjDDagOg7Sk6x6aPjccXp6nMQCKxU4oeb0bAcQBAQD1u6hAvDkIcYip + u7q3wse11jBbxG7HvTwOe824wmjI9Rap1kgplVJEpJSyDa7W/5+9O42WLLvqA//f+5xzb0S8ITMr + a0IloREhENBSITHLhSRAsDwskNTtntwyxthurf7QdH/wB4zbC5q1mgXIq2UMNnYvw2pjil6rQW0G + Iak0lAZLAslikhg0IChVlUqqqqzMfC8i7r3n7N0fTkS8yKlQVsXLzPfy/1uvol7Gizgx3Rvx3j37 + 7L+qX8HV31UiIiIiIiIiIiIiIiKio8fMYoxmVkrZ2dkZhqHv+/F4PJ/P3/jGN37sYx+DI2ebTCYA + QoxXGmcYBhGp03AhhGEYmqaJMQJw95p4XUpx97Zt68WIDoUjLKeql1PUVmeZYUAS5Bnm++987evv + 2JvdORm1UebTffOcRk22DIELCqRIME+Lq0kRuFz9PHINdlc3dQtu6l7PDAF9Z11vj+53Z1I6d/rU + 337PuzBqIK5B0vYOJAIB2ZtRWk6b173GFBlYzMLjUGOAiZ4erwUcVndGBdSgEIUIJiNPwHiCO27/ + 3OnTn57PZLRV5LA36LKqQlFAXNUUwKzvo/rYyumh/PprvgPzPeQCUe5fx4O7i8h4PN7Z2ZE1bdvG + GGtfsJp4XZuFXe/7S0RERERERERERERERERERERERERERESHq7aarGEw6/0nU0p7e3sxxh/4gR/4 + vu/7WwDaNj3JciIzm8/ndbS6bk5VV3XpdWFdXUaXUmJ/SyIiIiIiIiI6Qky8aDHJpsV1cM2uGSgm + Biig8IiDJOzrfXdveAGS+2K5mJXBuyLzToaplHnq/Ja53jF/WD7zHz/yKz9974//q996831/8huP + 4DPnRw8PO2f7ybk9OXt+eKIrMw/mNfkFGmpQjJu6CczFXNzFTUwdwaGmwRYB2CbZgCJwaBH1K+Sa + 0w3uRjvAyPYcREREREREREQ3B19+rbf1dcAMZoAVeBYUgTnQtt/34fs/t63ddvPEHKUr4yaJQ4Fg + CA51qCMamnJ1R5brcc/gAMzEIQZBAILDBd18H1bGIpB57Pb+w6v/Os4W5CvegJkBqE1p3d3M6jlE + REREREREREREREREN60a4ljlnOfz+e7u7rlz5374R/7J+z7w4ZyhCgk6nU0hUnJ+kqHc3QyqscZD + 9n3OObt713VN04QQVvN09QJEh8JVgLA25W0AxGADogEDUNBNv2ze3TabY7+D6yiMRgg+n0pE3JEz + PQzJvS0SDRrdkz2tpRTRPRq03hkBgOTYiu122G63Tj0Y5Fvv+22kFm2DaMOwfyb3c2lnA9AERHio + s/ZaF3SIl4DsgqK4sZabEF1IAMBgQEEwRIcDGZol9tAeQGvY3n3d2++b337r2ej5cFcseY23N0Ac + aggGQODaJLiVXGxX9dTjX8C5JyDiXIN3XLh7CGEYhtlsFmOsfceapun7PsbY972IhBD6vq/NwoiI + iIiIiIiIiIiIiIiIiIiIiIiIiIjoeBOHuAIQkVpkvvzet7cns/l+COHHf/zHX/GKb+66IYQrLjCo + wdWqOh6PAYQQzKyGW3ddB6CUslpGt7ohIiIiIiIiIqLrQa8qhXat5YKhto3AWqiHB0DqgDWFhAc+ + noxHG2KwUZMmIpptcLEmYWuMJqCf4/Hz58+Ws93p6ZlbH/nd7n3/75/9wv/+S//L//32N334s7/9 + SPlknpzBTmejLqODOCDBJJaYSmpySrmJppBi0pv0iizIahZ88SVukOKaXc0FLsZmNbQRjLUmIiIi + IiIiIrppCCCAL44ILQ8M6fJLADggAXDD7ugvttpHZv2pEwlA6bOL1Y7cqxzrYC4oepWHKms8NoBF + s3FfNPtumqaOlN0BbJX+OWfP4dwe+rzK5F50Ka//M2+axuoZlkVc9OoOoBMREREREREREREREREd + P6paSsk5m5m7bG/vdvPhp37yTW95y2+GADjMll0z/Mmm+ladNcxMVWOMgLmXUkrbtu7u7qtOH0yO + pMOhEIViPe1ZgACUUhAD8oA84OyZX/2O79g68/i2WQqxlIKSVSACEezv+9bWwWopdQRDsIOJ76ti + dYN3BHj9Z1EAyAO8+BNl+ELTPHjbbdjZxtYOEFGGJjVt3OqBNIoAsi3WdUk98QgAzLOmo8ChcF2s + PjQAkOVqRQAFQwHQJLRb3/aud/3laDSNjUHhAa6AQ9zEi8DqF9QWu6bXc54aBdQX9SLigJgLoEgx + +Ln9ZxX5je/6Hsxnkvtl2Yk5si8f0npFSuGueBSoav3NRERyzvWcvu8BmFnTNLVHWNM0wzBc5/tK + RERERERERERERERER85q4tKX/9VzxADAdbWsvlYdXEXtgRiWLV+xXFwPAHiqFQx0dHltDWwuWgTq + Emy5bQAmy44Ka8Qv+LrI+ix8PfXVmQITuCI6gqOIFgm1UwNqGYBwAyQiIiIiIiKi48DdAVutdFt9 + MwxD13WqCrGU4k//9E997de+qJQrHhDJOdco69lstvpnHXA0GtVv6gWu0QMjIiIiIiIiItqQVYmI + OgAFQu3WoF6/oA5xUV8Gl7jK8mttFIOYXf6rVqrYsnfEMjREbHmtCwa5Vo/7sASP4sEdZubuGkMu + OL+Pvkc70sl220t33s7O2/1ua3omPNafOvfxxz5y733/17+49//4xbf+qw/82dsfHj7V7Z7fHz8+ + b/b6OM8hmxig6gJXwCBF4eK+KjZbVBYJUC/ji64dzCCnjYjX+w4QEREREREREdEhklVgtRwc802A + rY4wagAC6n/1Kg6MW7Q7r7//3Z948d35iXOxHQ9dBykAHCp1KaRYCQV1xeRVLFYUh8MPbq6u6lVH + 8T6peslBxYvvAi88+/j9f+1b7/mDPxgEadRCdGpIAY0DblA4vK7QFAHcTBSitXn403rWiIjoS+bu + McbaLhxA7R5eG4VXq9QTIiIiIjpq9En/vjYRWQvA06Peycj5e+uG8eAMrTn6laM3lvXqXiIiuukc + fArU38BrfLW7184a7g5ARNxLCI2ZNc2o7zNc3vSm//Pf//tfFoctjubCcgFWLXcvf3vuHkIopTRN + 0/e9Gdw95xxjxNrh31WbD6KNc6BABRbE4FoDpIMD0FKDdC1i7/xbX/Gqr37wwTsxcRsgUBV3hxgg + UnykwNzhRdyWo4rX7f5qN11XeIAYJJugCFwAgQFRMEOZnbj1k+PR6z7wAeyOgAHDgNEYCC3Q1El5 + QQzr+1xNCK4/WU7uE92Qak9rFcha0nxwQGAOEbQIyD08YDTCydOfOH37yf6BE0OxjKQBOp1nxDFK + kZoPr4utvkDg4nAxwdXUnyzultpirxav3d69FKQE64ed0IQzw13SY3YGI6A5XaIYBiArNNQjWsUA + QDEENSACYbFbY/2Tl24o9Xee1akvj1LW1mC1axiA+hsLERERERERERERERER0ZfIAEeJoZTiQWQw + ryXzBsAtqASP5urICkAWU56rMllfngmBG3xtxnE1ESpQgQpQ3KCGtSX/Aqjrsq7dOF95rBl8aAOm + ZmNNodhIYu+9iJq6i5hDgNoRWBaB6C5Y1Gi5e3b3Wv0iiuUG5lKrUBSrHsEB/YDtMbRHNATgcQ+D + RsCA0kMUUYorRFizQjc2EXGHHyxiuuB7IiIiIiIiIgBQry0uLxVCCIDnIYZ06y0nf/onf+K/f8P/ + 8MgXzqqghlPXBXQptcMwALgo9Lq2Uwsh5JxTSl3XmZmqAnD3VXh2Smn15yqX2hEREREREdExIyKr + njZwTymZGf/8ve7UL9/f7zL9iFwFErS+jFGg8MXL6raoSKn/FKtr+AUIUQIAQw0FgYs5isFV9WDW + XhfVLO7uUgueXNz6jEk7KqWY1Uxmw6JnRQRqXwgo7Ei31HOUlOLZ+Sy7aWxcut4QE9wwDObexQAR + 8QIz8YCZz9F6Sk1x+71HP/SHj3z05NYtt56461tf8u3P2HnW7TvPTP1Ie42WVIPXXayYAlGjaoBq + 7+K1XYcEM6BAJCQVMR9yp+yucNTUnbTv+7oL3gjFMEd4hyQiIiIiIiIioi/F8sC+Lr8gqxBrAWoU + 9HIRrAAlG0Lwdox2a95uexx1Xd+b+cGaxrqUcTnuVR/kEkDUV82IF62+1aGwZciNtMVOd7M7Z3uY + 7iWJ6DoIUlgNgMW6ygXHldrtExHR4agV2LIEIMZYG4WratM0Nd0EQN/31/euEhEREdFT9eRVJQeZ + 1od+R4iIiIiI6HLqIVm5REpJRNxlb286GW/de+//8/P/+t/mbGszhl/Sr/EiUvtu9H0fQkgp7e/v + MyGSrjGrf386AJTV94YQAvoBJWPe3fHFx17oGubTjLKYcZbV4isJJsEkuCmKouiiobQ8zXa8urgr + i7+OQ8K8SZ9u9Hvedz+2Rt5EiKJpIQHQAMS12zuY8b5wr+SqPbrxGdb2Hgfq5itwh0JDSBBBHjAe + ff999505deqJtjVtzHoAMcIMxayGUKOmR0sdVopc/SEmhwLqUlOoXdzFXNCMZdajKx5CmBhumQ7/ + 8bu/B9PzsDKfD8BQO8G7wx1QXXvHICIiIiIiIiIiIiIiIqKb3KqoYDGDmaJERZDafRUCUehqerMu + t19VDxiQHcXhuly3LxCBKtQPJlodUtfZ+3rxgqstx+Wa+WPOAR+G+TQ2CTE1ktQd8NoruMANbu6O + Iu7FJUM6kblgBpmJzjUMMQ0plqaZq9avWainYRZDp8na7WlGasZBMQzoB7SQOXQYT85HRUCXe0Mo + 8KBMWSIiIiIiIiKi42w8HuecSylmlnNvll/0ohf+3M/93MndiTlUgWVw9TAMIYQrjVMzrXPO4/HY + zOqyvtpjzd1TSgBEpO97EamN14iIiIiIiIiIrosr9SNyL8t4aYWEeroMuzb3DBRXIDiCSgxDGYYy + DJazZ4iJegghhCAiB/UmtvgSF8/uJjAPKq1Gy2bZYK6+uJAsqqJ0LaPk6DKJ2J+eP7l160ue/w23 + pWf6Y2k37zY5NaIpqSSBopibwbOXwRGKNdY3877d60Znzzdf/Hz5iz/f+8Of+7Wf/Jm3/MQv3f+v + f+fh+x9rHupPzYbJbBZmOZg0MUY1K33Jgw2GMtgw5E5gKcQQ1Tx3uQ8ik8mEFUBH1w2QZ73AtmJE + RERERERERHSBkBQwQID4mOnj0FNBtoJ6FhMUNSxTscOir+8hHqjcGvpfvedVr33v/bjtZBF4MfeS + Y4AgQJf53AYXCK5YCUhERIcgpdQ0TSml5lu3bdt1naqWUkIIwzDUOmx3rxd7koptIiIiIjoGnPE/ + RHQlfvXJZERERHSxiz9PvbbE9QKBilyUx9kPBSgpNSm1995774/92I+ZW9BQ7Kpr2Ou6FDMrpZw/ + f348Hrs7W5nSNSNAqi2gHcvs28U3PmQxw9nzv/KqV319TkM3b2J0yzjUP0/FFAZXsQjxJMVksT8O + GWca/ewtJ19xcoyhk1FEm7INkbsL3QQcKG4i6lZSUExG6AZsTb7prb/9u6965XPzE6e7XDLiSIfe + 1CABgIoXBQQoAoe6iLviqe7DRVAUwQFgf99TwqRt0A2CeGs72XnoMexljOfbWxN4AgBTCEwhy8/Q + xuGCAhQg4qnfEyIiIiIiIiIiIiIiIiI6isQRas/WWl/jqigCWK51ATDAUQQCsWUfSV1NK/qyPMAX + JQ5SvAZhe4CIOKT+zAwooqZmAq0tKRfXVbhAFKIG1UNdwE/XmcFspB5E+n4+c2uhAmQzRIhAABGI + w8UBFNXSjjp3KzAzEan1Ku4uaQTABQaHK8TgKi5aQtue6qZ5hJH1fSvxrA992H4EOL81RgoeG0OI + gHW9xoZtGoiIiIiIiIjouOq6TkRqDzR3r+e85CUv+eEf/uEf/pEfGQaDoElxGLK7lXLFdQQiUjut + 9X0/Go1yzsMwpJRUF+v+9vb2tra22rat51+bR0dEREREREREdKl6DKR2B3LAAYhBBAoXd88AzMyX + JVAhhXqtWu20GMC93WrdXdzdvdQLZ3P3EKKYCERdVaKIKNQFvQ8KszxEE5VQhpw0xJgGL7KI0150 + SFIErMVcH1F9mW1PdoYz5cWnvuF//d7nffgT73nfJ37z0dnnZu1eHlkJ9UmSAFHxxgwZArgia4aI + iwx5frbrdr5s+8z8s+9/4NMf+ou33ZLueO7tL3rZV3zLi5/90jzNI9kKAi8WEZrUApBs47YppeS8 + B4hogFhn5nMP2lzvp4SOPMZaExERERERERHRRWwoWUMMRb7zXff/2bd+c3P+C40CCF471Is7IA5x + AfRQY6smuTzz/FnM97GXcCq0QR0yQ6+IAg3rx5trOs6RPgJNRHSkuHvf9wAmk8l0Ou26DkAt4BaR + rutqabWZAWCmNREREREREREREdGmGVZrSJbqP1W1aUZ9n9/33vf+yI/8b10/xBBzyWvx2F/SBJ+q + rhp21NPafYOdNehaWvRodgBQgQFeu/dqwHyO6flnn987WULwZtr3owQXVz+8xs5uAkgJZQSHwFTc + HIOG2Xj0xC23/p1334dJi5jgJSNkTQHKNtN0bOiVf1TgjtKEZhi6FBSjhPkMt576ixM7d8z3bkXK + 3aA5uNloFHOvALQ2Z3dABBBxyNWWfNQaFrh6KAJAiihQtseas5WhnxdspZGfO/dlwd726u95zYc/ + AM9ot+r7iAk8YoAroDCBikO4xxIRERERERERERERERHdnBwQiAOucHURuNS4alWIAI6CABVD8QJB + zatRwAAVNxcFLMbGvXiBuylUxFWiSvFSsGjGaiZqgC8reMSxHEoX6+XpeNMISY9u7Txxbn7bbbc9 + fn6vkdCO2/1+WuAuJq7qNdZaDRiCfHF/OjPL5mU5RgEc6NH5sgGxA/WnASjANvC8uHN6cqJJ8oVu + muTE52f7b/r0ZzBpIDrL/SSmACgrwYiIiIiIiIjouIsxllKGYYgxtm37xBNPRMhrX/vaBx588M1v + /lksluYhhFBKudIgdeGeu4cQ6jq7lFIpRVXn8/loNNre3s45xxhXiVBERERERERERNfLZfoRifV9 + hiLootODqIqIiOSc67U0QEVEtF69z8NqQIUoVIO6uyI4RAF3MTd3wLILtI0avFhxLe4CFTMprlbL + o8Tg5mLiEa4mgBsOM+LksDVNM/QleZssluHkd37N33j517z0PX/0jvs//vY93+ubocScYX3JCiSF + GkRhDjeIujQI0czssdkZE4QtjGP/eD+ceejRP/3LP9wNt73ouf/F8+76ihc86wW37p4u87A/m2EI + AcGHYgYRkRhExEwEIah6NtaeHSEiYuYQrLX2uv7tThhrTURERERERERE6wywGLR3D1vbaPoH29Ht + Nirn5651cayrrw5raV2Ne3iHfSe5f0E3e+e33/Pq3/+9ACD3JiWFUOC+WCCMeqcgPFRKRHRNicho + NJrP59PptE5AppS6rquV2U3TABiGgekmREREREQ3o6NcI0ibxxpHIiKiDTv4XUtERBcLSCBYfEFL + tvm8/8QnPvHGN76xy0WCXDHT+km7ZKw6cazWn4QQVPnhTtdO7eAcUDdbi6LlYAmGYXb+7d/yTV8/ + 7Sdzi2E0SF8U4TD/HDGBK+B1qjrAg1hWxSzKAzunvv7XfwvtFlRL1AwdSh4HrtegY6buYMsPgkWq + NIp70mDwAoTUzrrpuB3h5A5yfv073/HRr/m6Oxzt9u7ZvXMn2naY91HUABNAoB7UEOSpVJ7UCHt1 + GIBFS3kIJM/NAY9og8DzyOWF7W7Z77G/h3FCEVhAA1UUoFgRVQOCGKDBVw+Nn3dERERERERERERE + RERENxOphTQGoCiKqEHrQnp3B3xwGMwgDkAwhotD4YC7HCQL+9DX2lkBAlzc4cVRFHBAHcvKBwWg + bsGgkAINpsEUABx6I7SopMOTEm657Wc+/TmYYjZDSnBg/zy2J4sX3pavvygEUDxja1KWG1n9ma+d + 4oKCMAiQgAj86uMPoZ8iGFSRC5oxQkJooOGk6DAfQpscLsE5RU5EREREREREx5WqunvXdaPRqJTS + 933btu1kNAzlH/2jf/i5zz3wlrf8+pDLaBznsxyj5nz5pQ2r0GszW0VcAxCR8XhcO63FGFEDjdh4 + jYiIiIiIiIiun9orftGPaNmeSBDGKcJVAQPES1n+NGpyd4N7qQdGvIZVp9Q61N1RL+kwd3GYCgQm + IsFrkYvBi1mRolIQuwyzod/ePj2forcsUV0cYssCKxFXAAFHuF+luA77HjUO/XTn5NbO5JZHz585 + 0T73b77073/d81/5rv/8Wx/+5Hvy5Pz2Lbove9PeoYgBChFxK3BAg2d1UzQ7GHqUgrmVlLq0Xc7l + 6dn80Ocf+cS7/1Ju+ejtX3XXS+5+3je+4NYXbU9OSxfUWy9uZuIqcIdYLoPlJjTX+1mhI49tkoiI + iIiIiIiI6AJ5GEKKQSK0YDJ5/MSJveHMROYQrJbRAoDAIQIFyuHdmWRlMj17OjpmPSYDvA/jUYBG + hNrjONTLLbvnC8yP8mFoIqIjpJQyn89TSsMwADCzrutijGZmZn3fm1lKKeccYyylhBCu910mIiIi + IiIiIiIiOl7EDubuRGrgtBtCSH/+mc/+3Td8f865JlMLQgghl3xVw4cQRGQYBjMDUEoRYctcuvYU + sOWWXvs3K8ywt4dheH4ok/Pnxs2p/f7sZBz74eo28qegpueKmLpCFCJw34/tp7a2vu72OzEeoUkF + yCgjZlrTsVOrMRZzfrJsjy0QBwQCmZduFNrUTopbcUttK9vbD548cUcI6bEzp1LK5gYApnBb5FgL + gOCGgzzpqyO1pbsDUHFTKKSIwBxQH4a5IvTnv7Cdd+999av+6w/9J2jC7g4cfWdhrFGjwBRYpmMv + 2sSzSTwRERERERERERERERHRTcUFIhlWADNBVmgWiDgK3IsDAlPNCg2qir25KVTcXWQ5xeiAxBgA + qENEXMTdSynuGC0nIesUZ6WmAqvTlC4oakD9ymwReYwV6BAUIYqhHSUAcGAyRkDtArwsTwEAF7jg + 4XrN1US2rCVar1ud7yowbI+AFpIBAxTQMs8hpfnebLQ1bprkOSNphgdOkhMRERERERHRMZVzDiGo + atM0s9lsGAZVne9Pm/EE6H7iJ37i4Ycf/uAHP9J1T5ZpjeXCOhFpmmY+n9dld+6eczazpmlqj7VS + iqoy05qIiIiIiIiIbhAiogGAFJSMAjF3r11cXODu7hZDLFLcHeoKgTrMs7j51OVgHFnkWhvETcxQ + CtxQipehlOK2N5t6GUp/tk43X+MAACAASURBVJ/2Po0vffG3DDpGiXFRB7VoHaNwh0ktZ7lsAcwR + kUKYjMf70u/v74uGRiZi4/0nps+bfO1dr3z2N7745e/62G/9/gO/aw22T4xNc85ZVBUSYQ4zgweY + YG8PKSIF9d7mw9DpEBNkhHP9LG3BMHzoL8/87ic+dPv4mS957su+5vl3337qriaNAoINIoMlH41k + HJqUc+/CiJYjZhU/f4NgzSIREREREREREV0gxmTFEeCqcmLrde94xwe/8jl3TMY274Ob1K7hBwsT + DzfWWt1HCae8/OJfu+cNH/4dnGgBxWyQFDViWN6D1YJfZloTEV0ztVZ7GIamafq+r2eWUty9JljX + 6ZAaecIyayIiIiIiIiIiIqKnTBwALlg5sPx+VZheA6ezWc726Be/+A/+xzee25+WAhUVkWKeS75M + DfuVOpwCAEopwzDknGOMZlaP/fKQL11LdXoaUMS6zZtA4cBg6Pzee779ZWfONBGwfhImw2wvJRz2 + Eht1mMC1lGXCr0HPpa3Xvvd+7GwhJR9yk2KDMDhyRsvdhY4hgwCuwOJzJKlMu3lsR6PQon6wiKbY + AoDJ3/rg+3/jm7/pJd049WWeTWOCDeKuXq8PcYUUB57yDixAskUT7noHNKmL9RkxQlVH2m6V7nld + jyf28Izbc4TP0bRagK6fbjUjAAUOWKhvMsJkayIiIiIiIiIiIiIiIqKbiMMKBkEOmqFD0VLUVDy4 + tJqK9UFgSYuEwYZczEV8PDJRcfiFE4uWi4gI4O5iDiCmZgSTvku2uLHgCA4AAQjLFOs+WB8L4oAg + BleoHORf07ESlrPbEBQrMA9JoYA5RJZz1lIvIHVyXiPE3AR164BdvOWteL1eTCH6oAgwFRMfckmx + 0VG7t99tb4/hQDZJscC6oZ+k8bV45ERERERERERE15yqhhDMbDabmVnbtiGEvu+n071R28YY//mb + fuq/+2//zmc+80Cxg/6ay/V26wfozN1VdT6f13xrVa3J1vXH9Vbqmdfu4RERERERERHRzaz2Z/DL + lBi5O8QAd1WDmNkc+7bT9zJzLyISQhB1d8+eAR9K3/d9l7vc9/O+z/187t1+2R+86/u+67qum3X9 + rMt9zv1+P83WD6Wfl1lXui73Xe5KKSE0ajaGtEN7Qp75rBc/f2f0rDF2yzwHF4gJClDUa/WL4khH + ikjOyOdmU2jQ0VYxmCGqbWmyvdJ0J1568p5nfPNXfOWXvfeDn3rnA+c+6eNeAoqZWgrSADAMxbPC + g0INqQ9wRRCPyGEYHM0Ipce5Mk2NNaf7vyh7D372k2/99K/eeeKZL3rOi7/2hS955slnb/e7adqm + afR50EYLY62POBG5vhnXjLUmIiIiIiIiIqI1rshFY8hWJMUgwGQ0vfOuzz/68Mlok6G2FV5f53jo + ByjzHFupvKAYzu1hKyEFxLQq93PAoItk6yN9AJqI6AgqpZRSAIQQ3H1VTt33fdM09fsQQgihpp5c + tztKREREREREREREdHzV5hf1NOfcdeUHf/AffOYznxVBjJqzwZFiO+ThKYxcu2msTgEw05qusZot + W6CARQB1edJQsDd9zjyfbgOGMuQZEJu2yV0f9Jo0f5ECF0BnITzRbj2ws/vVky1rGw0iaGAZOYfQ + xMRUXDqGDuKel9u3m03akQG+bN4kQCkeVLCzi1b/xvvf90d3v2x3drYNI/Vs4lr3bVdxAAYY5Gnl + SIsjLL8VjTZkE6ggRO+H3vt+a9Tcfv78W77rNd/7+38MpFSnLj1PmlG90yowwIW7LRERERERERER + EREREdFNyqGGpMHPjNpWoiSLkCCah2iaLaXzWR7r5jOg9N5hXpbdVVenWCZVY1nw0AJbW1sn2tFW + 0yTL8Lj8IQCouwAQmcGmo/HZpkFMkKCInLg8zhwC2DBoSiEEC8UBgUHXmjiIQRSymIV3W4aiuyxP + UfOTVslJ7r7swKAStC+DJIXAi0hAjMkAM4y32mIQhyZ1Mwk+Yj0YERERERERER1fIlJKUVV3DyGU + Uvq+Tym1bTsMQ8525513/vzP//zrX//6s2f3/UlDY+rV6/c559X47p5zVtV6KwDWu7ERERERERER + EV0X7m6AuEM8l2Hfz73zQ795Ts7Mu2nf9zn3fd/P59M+D0Pus2f34oIaqmtWCvqSimmGuag7AHHA + CooEZAwFxdSKQsaAAg4TaIZIyPtJ5k3acjs/zOfzkTfBVUQBh9RMawNwfeN7nyYXeEBKqSs+7+ah + aVUxDP0ktKKp9KUM8RmTL3/V1/7NZ9/13Pd//G0f/fQHfCt3Mnd3U4N6NoO7CKJDTII5oBa85DIY + TBAKokoJOljXYa4JKUmw+On+ic9+/M/e+/H77tr+8q9/5je+/Mu/7ZnNV0rPg1G0AcyQICIiIiIi + IiKiC4UEh/XTMJrkiNimV7/rXR/8lm/Y2h/KfFBAUsyW4dDDr5kzgTfQYbjtzFnMOnTFkisAh7lB + AKgDfbEmKGCLNb5ERHSthLDoD7/+ibDKtF5hpjURERHRsbFaa9c0qe+Gek7fD6qbGV9V6++WvoQL + f9t8+laLAFc3tMHBn+RGsewbddGZG7Hejmo1uLvrpl6YS16Fa/O8PTXrm9D6ORu/ieqaPRUXbbGX + vuhP2frK2PVtdYPjr//zots6POt73PqbyeHd7lEfv1pfMn0Y41+6ARzGW/2lLl0BvtnbvdJomxrf + zFab9LXZnqsrPW8btHpctmi5eOgbA9FxIHbRGQaklPo+1/6lf/cNf+9P/uRTANyR8+LCfe4uP9ra + bl1/AVjFVw/DAKD+Srl+SnStOUyQAamLHxyl60Ie3v7KV3/VuSmKDYoUXM3QIUpwXLyPbJSYuQhK + Qdu6lXImxj++4/Rr3vluNElrorYAKIiqWOtaTXT8iK0+RFQUjiDA2geLqMABc4y2MOkfmmztTue3 + DtaYWV1lCNFFCLa7OATwp5JsXVt2C4DV7u+ukAQ3AAOCABGl9LfsDc+Y9Bi6aOPF7ilqnoNFwEQR + BD0AQbPoC/50grbpcIUQcs71D4r6F1P9+2UYhrRsub468/reVSIiIiIiIiIiIiIiohtfKSWEUOdW + zKxWEopInZGpUzN1heBxnX8RaEBrQAaaOPnZT/0lcoEqVCAAHBigAWjv2BoFwIGynFFcP12OBizr + BRTw/f2HHvk83OCABwggdb4SgMMNBrgCASHWZf7Cycpjz6EpQcwX/REgUAUWu5cogJppXXAwIX7x + 9uZYL+qUg7FhlqHIAgFiEABugMKW26XXQYIK7Hh0Z6jZUas3qKZpuu6Ckrn601X0FB1dIoIbeD0L + ERERERER3bBWxw1CCHX1nJjHCJT87Oc861/+7L/4e9//9/veVHHJ8YNF0tLqwMJFK21rC47FmCKz + 2Ww8Hh/2wyEiIiIiIiK6lmrJUO3sJ+w/c8Oo7RXWX4x6+GPRLEFEAFEUsxDjQ48++J4/fvv++PFl + s4d6LMM9AckAq3UskIPjHuKGC4qYbHGqDoG6QyACc4jBFPOM7RFKXwTSNkFK30AakZStFky5mkNL + /U6gR3ny36Cmo8EM4tqI+Fxco0gpg5jHFIHSd/ORjL7q9N233/2clz/vlW+5/989Zg917TzHYYZu + MGyP1DoLpgoxzyEl86Ef0EzEzIPBIS5BREQyBO6eZfCQLdjZMhum089/7IE+99/90ltGuqsm6tw3 + j55hGFa1MO5+fesGGSNBREREREREREQXMkAxakeGslfsxKRBkx7Y3r1r77FbxoIsxYo5UhK16EM+ + 5MNbohJknu+Q4X2vvOcVH/8D9QAHEiAo1gUdm8MMJXiAh4TSH+r9ISIiIiIiIrqplVJExB19v8i0 + Xp5uJjYs54y1hMuLIjyfvlUd5PqA16C92mVjXDcYO71aA1l7LW08Nri+7hed6e51A7iccGna4jWw + ikTF4bysq6Tni7afzd7Kk9/06hY3eLtXip3e2BN4YaD7NbMogF57D1mtB97I+Ku3qYvOPLxN4trE + P6/ehw/pti7d3uo74TVLiF/Z+O3K5Tb19felp6M2Ca02MuCX6EpB3ZvaNtabA65/9G/qeSO6Gbh7 + SqkMQ99nEXGXH/zBf/iHf/jxqx1ntXaoZlqPx+Nz587VZsRE150ZMup0sPiQRUOIir67bTa7Zd5B + dNEpWkqRAA/ql0l/3xR1hDQCCsIw7yAtzjTNa971Dpw6iRSA9R7Vdixa/hJd3qJBtlzY2toAubCE + xA1NcKik0Xe9590fveee8cNfGFtZXNHVRLTuv4uO3Fe18nCxMMtEIKam4uK1mbdAXcUtuLvCgCII + jl3B7nSK/T2Mx4gJog4PtRu4L6plfNEi/Hh07T6ecs41OCGllHM2s6Zp+r6vf1OklFZ/Pvd93zTN + 9b6/RERERERERERERERER8Aqx/qi2rCU0jAMMcZaW1hKedL64aOtJkk7UAJCO0ZTEAKCQuAwoADB + oF9AqzBBsSvUz9fq8FWy9WLucTSBYhEmLHBZjAiYAgEmDrhi1VeUsdY3AzcIbK3FsAEB9aU3QMuq + yzAWW5JDAfPFZS8+Xfu3OQzLf9YhxAFDWG5XdSNEvUUc+Ya2q9nhUkqdUK4lyutrCrqua9vWzK7B + +hEiIiIiIiIiuvGJSIwxxjidTkPT3n333T/6oz/6j//xP6nLW2PUnK1t267rANQ1d5cdp/6oHkTN + OccYmWlNRERERERERDcOB1wMIqalT7NZe04dJlDUlnzmi0oVAGvNFgBxhAJZj0le9nLpe4SEGEWA + obgViAABscG8g/XYtkWB1dD3bSkCCW6mBmgN0Hat7Sbs6CZbO9SgDhX06lCHugEKKVkKpJhYQvAc + MIu3YWf7xIlnft9t/9/7f+k/P/A72AFC144xnVujKG4aYl+gZZCIdiwppqHrgwEOURQBwqKQyAUF + 7tqbWAhBBunTfg5D0Sye2CWDnia2GyMiIiIiIiIiojX10KQBXjTIOKQ+d81tt/xX97/7E1/9otne + XhQvIiGqO8yKCvwwM4rUtOvKqcluN5/euTPGdIbJ4KM0FSTkkcZhPjRtikmLlaH0ZTi8+0JERERE + REREwCKCNJRSVGBmfd/HqGVDnYxim7AMo63Rncscms2Mn8viOMZaHyKBSLiGfb+WsZ2bHDPGuMo6 + NfPVU7ip2OwQ0mXPv8Lyy+uWRaoasdpmcBgBVIvErPV0YT38nlZm5r4e+FrP2djre9EjcFvsfJtr + 1yWX5vBeg7aGq7u/HvS+wTZkdftfPbTVPnh4j2uZZ3y4RaN1u1p/ljabo3xRPPyqD+Zhxxgfdvu5 + 1f540aPb1PZQO+uZ2UXB84edcn3p+PV2N/V6hRBWD2R1W9c+45zoCKlvAes7STazYQghAbo/nf7T + f/rPPvCfPvoURq7x2DUYMoRw5syZ2pJjMpls5J4TPWUOeEAE1CUJECNmUxRHt7+Vp23JQBBAUUw8 + iwKIdpitdl3n3VyBJsIi8vbJcydPQgVbo+XSjLqECoDW9VpsgkvHS9291n4bXMU/rz6f/OCyJajC + JBtCg91b/mh76/Qk3Xq2qAIuZfHLbTFBEQCIV/+boAmyLq6rcBOURR94JIM4xKHLO2XmJ8V+5Ru/ + 7W9/+MO4fbdIEqgVWzTpdoNrkNo7fvno6MZTj4PFGIdhALDqFLb607ImXte469qy/DrfYyIiIiIi + IiIiIiIiohubma1K4NbzrUspwzA0TVPnZbBWKXf8OGBiBVjMimo5CJZ2iKzlTAvc6xRkXL/6yiqi + uH4vEIdDllUEsggYDkAQ+KLOACqAmBxclY49g5ivbTyy9tr7hRX5axvGwalfco4tr6iw4DBHgHmN + ZBeFeO1ruxgzQBUFKFA9+tPjTdOskq2xLOc2s5opBcDd27YdhiGly6+MICIiIiIiIqKbTT0uWkqJ + MTYxIIbX/5ev/cyff+rf/ptfKAWlWEqh62YiklLs+/5JxgFQSgEQQqhHU2OMh72umYiIiIiIiIho + oaaE1Pzpenr53BADTL3WqJg4VABfq1FZHcxwABCHIUJiLWNxqa1UzAXNbiqlzLMBCCGkpAJkiFoU + 2DiF1seYtSJJREIQWDGBA0VQRLKqi4ojXreOlRsgywoe8Siw4IvWGyaGVDpkAKLRs8iAVptJc+eu + pjd85/901x/85tt+7zdkR57YP99sox+ABKSAoO5wsT7nzvpoGBnEDbDaMsOXL5AjOLSWCYmLeIyl + jaUVdm47Uty9tthd9dxbdWi8jhhrTUREREREREREF3CpiS/ife/RJLYDPLXtdPtUizDs76Ug2YqK + FssA5JAXKo7CpEynAXky3/vle+75b373I9JuB0SHC6RpEhyz2Xw8GUVtD/WeEBEREREREREWK/Qg + AlWpaZSqWqz81df8Eqy6nmER3yubLa9ZxbWul+8A8HK4dW3rSbrricibemir0erLsZEx110aX7qK + WL7cxcN1TLZeD0bd+GrP1Wu3PnJt27fZG7rsTV+ax7ypB7i+/eDCsOGNjF/bG679c/E0XoM43ktf + svUt5Gm6NBZrg4NXFz1vy39ei4rD9QdyGK/UReNvMKb9S7xRbHQjv3T8uoVvcDsvpVy0SW82pv1K + QgiXTbbe4FZx0Y5zDR4U0TEzGo1ytmEoKvLmN//Mr/3ab8SgpdjV7qX1fbjujznn2miDmdZ0g8i9 + haBJAUMZ+iDAbPqW13zHy/I8eoEHILgWF7gYXJcrfQ7LKG4DBT6bp/DJYq9462/j9KmZaAMEB9wg + DqgjrBpV87ONjoe1Dtp6mWTry23oBQYgqCJFYPSGt9/3+1//km46j0UECkDqX6CyWEHnV727yEGP + b6mLIdWBoggGEwQXuNc+8RAUw0nVF5lh3iEPpZEoQUNYPBqPtQKGGcg3ODNz95xzjDHn3HVdPUSZ + c66/vdQu5Kq6v7+/tbV1ve8vERERERERERERERHRjc7da4JLjYDFWqlnSmmV19I0zXw+H41G1/O+ + HiIDCgBZxFpfkGMNaP1pnZ2slQkX9nE94MvhsJxj9cUgsDoduXYFgQYxP7i8LS/LcOubga5/d2mF + iV8wU29rk/UGsdoId/mjS6r9F1c0g4bFZVbDG6B1O7RjNEXeNM0qtbpGXKuqqnZdl1Kqta8ppfl8 + nlK6tA6ciIiIiIiIiG42tQRdRNq2LaVMp9Px9s4P/dAPPfTg59/2trd1gw9DaZqm7/u+70XkSstq + QwilFFVdHX+4to+DiIiIiIiIiOiKXLxmiJheWnOyKETR5UEPq20ZDq6MRXDzIs3aTAwCF8xmQ1Ck + IKqKgmEo4gqFS1EDHLmzkqGSQozdLDcag5tLvUUNDkcGcKRLpNRXT53KotLMXByAxibnwQwBIUo0 + gZVBZghxEme7r7n7dV/+rOf/h3f+u6CPPLF/RlM2wbx0UjTGGCQC2RxNC5tBazsOaC1X00V/DRVR + R1a4uqsjmIqr+lHOCb+Jbbp75dPCWGsiIiIiIiIiIjpQF76KQxUSU3IrKFPIifH4kdHWp7/w6B2K + Vm2/8+3oCKoh+lrc1KEoxVDSKEzK/LnzKc49jq0Y41gQur5rNUBkMhk5MJ/naxLpQkRERERERHSz + MzMRlOIAQgjDMLhuJqUrxnhpLOhGRq7W4/pqBE7NzoxyuGVtNVwHy75vqwjPTcW4rj9RhxFGe+n9 + rA/n0rjr1TU2crtXy2w98nXDmeg4CFu9IKEcEJHDbWtVY2Uv3DUAYHNx2gc51qqrlmhXXF77VG7g + epTLXfS8rWKGNzV+zvmiDQ6bTuS9NIYZy1f/8Fz0JgxgtZR6I+Ob2XJLuyC3+8rvJ5t32TfMTY15 + 0fvPpm5iPZ39ovM3Mv6V2PKNFRc+lk19fl26veE6vWMQHQFy+ffJnK3v+3Y0efObf+bf/PwvqCLn + L/0d9WBfrl2J27adzWbu3jQNls09n+49J3p6BGjVIYDBi4U2YTrHww8994mzW3mozXVdUBQGqLt6 + kcP8JDGBi02H2U4an1H93J13fuPJXTTLvwiWC40y2tr2l5nWdMws9i8BoL5sii1Yfk758pOlbveO + RrTrOjQpxAh3jHfOjHcfbbrdobSlRC8KiNds6o3sK25ii4RsAawuaxSxxYErbXDm8bPP2k7vuuee + V33sfc3WaWSDRoijHhoShAtyso/wMshjrLb66vs+57padfGXSwhhOp2WUswsxlhK2dra2uzf6URE + RERERERERERERMdSjV3JOccYATRN4+7DMLh7jXUJIeSc+74/vpnW6+HBqAWOEEDUlz1cIZCDdq4m + sNWc6WUrA3yZGSwCd0BMarrwRb1fBXCV5UylHJxvcGXNwXG2XL8gqwoTX24eAsjBllJn6sXhF5aQ + yUGm9YICJouGuRkoQF7kZdcrlOWGbXVbNqiubvqIb2yllBDCeqZ13/cAQght29bL7O/vb21tHeP3 + MSIiIiIiIiK6KinGPAxN2+Zh6Pp+d3e37/tJ2/zoj/2zBx544I//9E/n82yWReBuT3L0pK73r2ux + VbXvezPjojwiIiIiIiIiumZWbRH+quoPg5iJKbA6ffIrKDIAg7ks+qcUAYDJDmxAGRxWFIiICnX4 + aOTDvO+nXSia2qYr1kRFCiUDUAWCaYABBh0cMCQ/si0dBBYM4jCF1XgXURcDkmcJJaq7QkURk2fv + ci4+yPb41PTM7Cu3X/4/v/YF9973i3/0xY+e7R8dn8C87DssDz0kxpCyeA+XWODIEk0keIyWoyGU + mKFi2otHyYJepF+vIKKj5UbrhsFYayIiIiIiIiIiukDf+6gROFCyxigQh0PiX3/7fe+7+6XPiPPh + zPndKEhN6eezrh9tKLbqSjr07c7O/Pw5ETx73P/2d37nd3/kQzGlLNI0WzCDGxCGobSjUQiplEOO + 2SYiIiIiIiK6iS0bk/XuEKDrOlU1E9lQvOUq8rku21tPCd3I+HVZ4Crdtt6KiKAcbjFWfaJW0bCr + W99soup6wm49c1PPW42bXY1/0emNYz3GeD1HfFPxq+uuZQTvKqVp/XZFpHYPfPrqplhHXj1vG499 + umh33njo+KXWX6P1521T46eU1t+yVucf3n5Rt2Sz/Fde8ulYbQPrp4f0frX6J4AQDjceft36Y9zU + 67V6e6//rNuGmW3qca3u7UVh7ZuLt7+8+sm1/oxho/Ht9X17NSyWT91hvG8THVciEkL6pV/65Te/ + +V8CcA+q9b3i6t4fVNXMuq5b3wfZPoNuDAYVuMFUoiIPmM7e/b3f98Jz58a5uAAwE8BFxcVND/d3 + TABwL6ndfkzl7OnTr3vHfWgbaKOrhVK+SMbFYk0WY3HpGPG1BuuCZbv2tfNk9aODy7dNOy05RlUJ + MTbf/tb7PvJt3xax11jxelUXuKrXFu1X+be2qyyutbgXCndAXZbJ2moCiKkD7iXj9q3m3N5j/z97 + 9xpkW3rWh/3/PM/7rrX37u5zm5uuSKALCAQiCEXCIAl0IeISg3EQ2AZXpcoUJvmQb6kUhUkFnHwk + Dq7YDg5QqaQC5aRC5YtBXCyNohIyiZFFwFYQuFyWBEIazZw5p7v3Xmu97/Pkw7v37n0uM3N6pvtc + Zv6/6lpn9+rd69Zr79P9Xp7/Y3s9EJgKdA4RSMRmot4mjZ7T9u5fZtbqj7dC5Cml9ndE+/vIzNof + Yi3Zmn9cEBERERERERERERERPacWAQtgmqZtX0xKqXXBqGobyJpzrrW20df3+IjPhwC27e8URSs2 + ulnqSep0CLx1l4bcGFONm6vDRgCC7dBCAIDe1Km6Xrntct3ujV7EBLt95Ot+6jjJNvfN7XJDFHpA + gGilH243irN14Z/0dgsEut1IQCEBcQGgDkGsE9t34rQfWO29q+u61WrVgqtTSm1QHIBhGPq+39vb + c/dSSvvSvT5kIiIiIiIiIrrHSik554iYpqk1kIrI4eHhxYsXf+Ef/6Mf+Ks/+NnPfqEUz9mm6bln + 6m0HtM9ms93KAEREREREREREd4G38SG3JW0cSrggJNZFWm6baR0nY1p2Bi855GRUSRtT5QMwIVd0 + MrNIMplGMtHh6vJlB4+++hWvfu0jb3g4veYgXfZjr3Uy0YB6QAXiCVLEFfpgl3TQgEWrzwkoXDxa + FY5QL5Eti0qtpfqkCWIVOcy6OsJ8ZkP/UNf/6Pv+1v/5uxd+/7OfePLq51K2bqFTncaxZFWtMow1 + dwigYr0TBDSggAai/VxQQmtIjedKKKf72XkX5DwVxloTEREREREREdENuk6GErOkyCmWA1I6yDNY + YLG4/orHnvzsp18BIOlquXJgsch1KOdXHNzVIXp8dG2RMOvVnvryo7XgcIXZfurnFTB1IIapWu6K + o9bYlk4mIiIiIiIiojO3zb4FMJt1n/jEJ65dvSoSUz3L1gFVTSnlnFNKN0VpvnC7xdRaSreZ9Tmf + 1fZvq+/7tqPdXYvIWUUju3vboJm1S9dStM8q7rSlAe0GqTZ7e3tnsv2zUopvk19V250jEXJ2MXJt + rGXL91UAZ3udn4l73WYy7cain9WkVjOt1d0DQEuwPeto5pvv893w9fMzjmO7btu3kfbyP6v3k/az + 2MaBb8/oDCPPd1Old53V9m+rvZ+0MOPdYzir8m3bhOztq/Vs7+dnsn2fbHaP4azsvjzPPLh9G8bW + 7rrt/1/n/f7TipBi5yY/25jz7c/97rwtEL0orVar3/3df/53/s7PYB1Fr7W231gU2/kGt3nJ6o2P + ffeNcblctl/Fz/3oie5URQSsgwMFWE2PjOPFcZVDXFA1AkiuGg6JzeSoc/tvRVzqdE3xuf1Lb/3Q + r2OxwGx/WIbNAQAKVEWoWfvLYftd53U4RPfAzo3tNw7P0JOC23DABCjuNbo+AUgJSIKDS59/+NGH + /3wQHLfpjgbVMK1wcY2d/7/ugLTJfg4INCCABWQdlw0NVEVRAEgOCyTD8mhcdLZIjuWEZcUMpU7S + 2fYX6wCszcGMk4xrKdxriwAAIABJREFUuq+4e/uzaJqmnPM0Ta0JsRUfb5XKd//kvNfHS0RERERE + REREREREdL9rkS3u3oKrzawlvwKYzWar1ap1yrQ1L+Ys2BYUvM731Zv6CiUACQMQbgisx9wA2KRW + rzOvt1s7ebDeUnh7WqB1tkJlJ1H4xM27phelkHVoOloPe+zEWstO4jkc0mLOE9rK1icefsugsN3e + djWoB9ZzJOq6L7yuU9ORdu+x+6gs6vO3TZ9qmdatH7l1Ik/T1Pf9NqGqPY2IiIiIiIiIqJboum61 + WuXc5y5dv34953xwcHA8jJcvX/77/93P/8RP/Cdf/NKXp6m2doZn2VSrNtD3/TAMbTrwMAz5nOtX + EBEREREREREBCAmJUww2eobnKtr4qHBA10vABYAGHJtiDghIwKbU+byLeSp9nrpL84de88rXvuax + 13/Vo197cXZlZtbbvBzr7OlFHWXep1IGCKpYRVIYYIIElAd60IoLHIB4tLxw2a5WyPrCRcAjvISE + hig0Ha9Wi34mUmOll7sLP/j2v/rwbP9jn/6dLxz+O3Seulqqm3ov5kBxVIVjDKhLqYoaqFIKJAyj + QsTNSlV3jRB34PzyYug83FRo98xLFz4PrDhGREREREREREQnBICjTxKQMq7yrAekjsU0Yb733b/+ + G3/01q95aHXY15oNkdNyVfKmDdrlfNorpeYspYYf+wJ4lfivftu3/PD/8ymkDEsAEOhzF0AReEyc + q0tERERERER0njw249FWq/EXfuEfl3KWo19UEbGu7SUCEZxdPuyz7bTtRTd1ms5paUAo4Ge/5SQo + cfs9thabF7iUuP2Wb11/PyyTwDqb5U6SaaAiXvgV2C5DZbtNmHaWNKfO0llt/7bLJGpdnuUOpuLh + AoPAFNXPai/tjCRZn7J1OYmGStvXC9++CWqExGaYqqqJQPVgb+987wRVy3nWdWImETUiqUI1aj2r + vdQIBcSsz9lyTqohcoZncdN1a9s/2Juf6/3W7oR2bydRmLY75MzuB0i730Ilq7VXkCQzyLme1/58 + AdN2b7d9ndUZrd8nPdqrVXPqU9aczvb4xQOm7eq1x7PcWZfvwnULlXYnbK9bRZzVfsUjVNr91qcs + yVTVBTjTnw6XXL4IlripdmhoC/50wSd//5M/9rf+UwACtHzH08dvOoDYKKXM5/P1F9xfzJWI6Z66 + 9Y/Im2/c2FkVAU0+hUbg6PB//cB3ve3w6p4AVV0Q2v5oNIQK6m03fsdHJA4LgURAvJUERghCXQBx + jdCQCom9/X8z7956eR9ZYdbnNDpUvQK2LiW82R4n/NCLzzP/P7MNtW4PAhBT1U257anCOuzp933o + tz/5tjdfHq2vVQMO01BBMUScJtP6hiPavNbEoQKsZ0huH7SDkjrFfKZRvTz5xK+9//1/5WMfh87S + /qzA25PaWQjU8HyOhO4OVXX3iNjNtG4R16vVqtUoj4jt05hsTURERERERERERERE9JxamnWLgC2l + mFmtFcBqtQIwTVPrfGnrzexeH++5Cb1hxAIAQDadiRs3dybKJmz4GbpTZadHEwH39YCg9WBRkRvG + OsTOt9FLxPoGk53l+t8Whb4tOXsymObmu/JkS777JYnN0wXrxGwA8Fh3rb94brNtTFQbBdc+FRF3 + 3z7e1oFt72b38GiJiIiIiIiI6H6QOwvU9uD4+LjrupTSarWylEXkzW9+89/9uz/7Yz/2E6Lw+myZ + 1lvDMGxbULuuu+cJNERERERERET0UtAyrfV2Y5c01KXe+i2tkqgEJLQNSanqAEIUkSQAKMR3nqkA + rEIjpZpT6btpb98uveLKa772tV/3ule9/sr+laQ5l710fPFALq0OjyJikXo4Quq4Gix53SRkV4GE + QqAhkHoyPCZ2Rry00wkNcdmc2vNYYqfuBJ4h8nn3ot3y9U0JipYYDeweJADXtotNJjGAgAag6l4R + EI2suSJCXCNK8b7vXYCIFGYxs+HSd3/jf7jf7//WH/z6Z5/+TL0oZghBnWpUaEIEdLP3AKoAgojS + DiQE3lai/TRZJYNeKMZaExERERERERHRjoAJEAhB6hbAAKh1GQHMO6xmTx5ceWgos+PVfrJaTc3g + 1TfzbddFim+YGek3bB2I05SL1YgOcI9lxXzeyTTmo+tfZx2efgL7hm6/ehVPahBBaqHct5+HSURE + RERERESncsuwpM1Iq4i6XTFOZzybru7sNuJ5xpE97536pn3jnJYVCF8PdDvbLZd45j0G4gUvbz3m + +gzr74dlCUxDHYbl8x6GyCWXXHLJ5X2+hCCZppxzSmqGiHPd48ULF851+4hQs5xSyjmZiep2/Zls + X0U8QgCImKql1K7bef+k9haLtkdR3R6DR6jIWV03iCSzlHOXs5q1vZzh/QCR7eP2M7KUktm5Xre2 + ly5nUQ33dsVUtVXbPPlVWVxCA/j5n/95NfXim6+5iEYEcPswXRFpXzWzFvTYVrqvH2zrewJgpjWd + k7jNH5yu7XV3GxrWlTGyCZZHuP7Eq578s8vwqSJDAJiri7eZNs+8kWcj7W8boAoKuoCJDoJqhphQ + PVLfj8OxiVivPrhFfy3yD378wzgw9D0UMQ5dnwd4QE2BwAQAYPlberGRGx7LenDGzf9ZyM6qEEBg + 7X8ly3BgkVHr5+fzh8rq0tPLmSbP/eF4/WDWldVgp4wfbjHYGuuDCwQ2W9AAxAXo6smxW9aycgEe + Nnvj9eu4+hT29ls7V0aRSACKINantc3ppvvO9reU7a8u7UHLtN4+gYXIiYiIiIiIiIiIiIiI7lDr + WNmmWbclToaaYDtw5cXbBaOQ7XLdxbjt/RQgYLLpj3RBux43D8+53XCdzWrd2eYNNVh3/rnpIb2Y + 7fatC7B74wGw9bCT3Q7r5yw767tPa+PqN53lbV0YxKAABHqy+sZdP+i28dW45f1qm2/94n0fIyIi + IiIiIqJTqO4QT52N05RSAlBrVdWopbqb5fd8+7v+65/9L3/qp/8rxLoBR1OqpQBAqKqKSGtK9U3L + Tfu0lHUMtrur6nYJztcjIiIiIiKiFwWRbe/8M4yVobtFY50/DQCyrT7UcqNbKQbxOKnAYA4BigJA + qjBXc4X4FJ56HSYPl5nNEF6xAqJWzPv5sFxl1wvdQb2OvXL5TS9/yze/8Vtf/dDrH73wyhgxlkmm + kOS1eFJbTStNBqBGhbgGzAxhHlUVImHJp3GKIfK8K+KAAA6BtiJIAUA11AGFOkQBF9doS3WBBu5w + WcV3hsRoBDR0s5fN2vW/DsDFIVZrjZCkBmhEiEiRGuIeCriuk6012vOhO1UvgND16B1FBELco1XK + EkBSSAAVESKqKpPMfJG8e+cbP7C/d+V/evwfPb36C+wdFUcEzBJQWlEqhWtNCgRKK61hgQgkT4EO + ka2auYbgDoYY0f0iIoAQ1WEYRACI+73/8THWmoiIiIiIiIiIbmO3Q0BbMf0MpPTOD/3Gp779na+G + Hx+OB/O94+XxduKe3NiD0D7zVsY4bvOEOxQVmlUVtcY44dKBTofHH33/+9/1L/+5zTJkDlNUOKLG + mLNtDpmIiIiIiIiI6HSCSy655JJLLrm8j5frjOEIBKbiUxlWMoqc+2Dcp5++fq7b39rWUtxNL37Q + 7daIPNvz2m5NNrYhzeeklbPcFpU4V62qRTuj7TW87dmZ2S2vAN++aG4VESmlUkqttVUiTiktl8uz + PX6iZxE7M2CerU93My8ogFqRs8Arjq/9xnvf96bVpMspz7QOEFdI1YBEAOuZRaess7t+vYQggBA4 + 3EIhMg7RLWDHKOMwXxx4nZarFVSOc/f5vnvjXo+9WSDVMVLKCJf19J6bTuvWNUQPMnmWz25eH8AE + KJDaK18ARQhk3n/vxz7ykW/9ljcPmI5WI1aLfg+xlPU3vZBS2Td/r974X2KdPIC0f6CHh4+I/M77 + 3/veT/2hxmLz24oDaoADFdAXT9VuIiIiIiIiIiIiIiIiIroTemsfoex+dfNZQOKZx+c82/Zv3ia9 + pN18JzxLuvmd1pw9Sba+4Qbd9OJvNnvLrcibkoiIiIiIiIheglrmU1vGydwfd5/P58MwRcQP/dAP + /ut//Ue/+qv/u1dEoJZiKdVStjHVz7Z5kWma3D2l1JKtAUzTlHM+v3MiIiIiIiIiopcUARDrWOXW + VHHSxrFJtm6jQjZhzJvCCpuoa3N19WwIuBjUFB7uBQhVJOt8iAO74MvQ4/13f+N73v317z/AQ3m5 + P8dBPuxL8R4TAvCaohWL8Fb7paVptx2JaQedap2mwcy7roNZ8eLigCscCEcbkiWAeyRAHY5wByDu + cMR6TMwdLiPgO6HeEh44GVKzDkyRbRNPaymSQHXRCAnRcHi4nAzDWadsI7Rd6Wgr49aqMg4gbqnO + oYBvKmqEtEo3OVXrBnvza976rre87yOf/qdHYxljUDHtcp0csT7A9hN0BQQSEEADuh7Stj4eiZt3 + Sg+KCMj98bNjrDUREREREREREe3YxlkHRBwwQMWBgC+rzme4vPf/7ecrx/rqxWx1fH0vzaKO6zF5 + OxtpLcVV1i2YErCAnj7Z2kVqjRyavHQwN1y/7pcXSZ+4immAV4SXEqk3ddH1QD2WBSciIiIiIiIi + IiIierG5NdM3Iu5CAnSrF3Dezu9cVHV3y3c5M/u8z6ttPDawkw7+Am3zpHf3Uko5q+0/y34B7Fa1 + ePZrWGu96avPfsFzztM0tcIZtVYA0zS90IMmel4MQGz6pkWBGyrkxiahXQATlNWU5gWpPnRcLh+6 + VSyrJxWob2bYuAuqSBVY3Bxk+5wCqJAqChktYIC42hyr4zozJEuHx0cA9vv0dNJ/e7D3HR/7Z+j7 + iqRIKSscMdauF0BRAYHJ8ylfTfQi4ydJ9gr4epKeAD3w6MVv/92PfuYb/v2HBnGxqY5jqfNOopzv + S8dyNsXh4fXUdT6VSxWYVuIFMEiqCgDmMCB0HUp/f8z8IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKi + cxcR0zTVWruuc/ef/umf/tznPvfhxz+Rs9UadSpm5h4AUkqllGfajpnN5/OWbK2qtVYRYaY1ERER + EREREd1LoQhIbGM8vCpawkgpCAFkqjKJwEKyJxXUY/Q4eMfXfcd73vq9F/BIfVr2F4+kmdTVuIql + ZTWxCPGxE0A0AN8t+RIABF6ru5vmft6PtQzTSiw0a6rZQl3WT4Q6QkLWFVtcgFY/ZlP0QTZ50nco + xSa/O3Sd97zZVEvUBhAtN0UCQDhUVaAQrZpCakEkgVWDiEsFikvanNjzSEPx3RIWVVrxHahlGdJ3 + f8tfPh6ufvxPfqfm4otYlmW3+53SroWuD/uWLQN+c1gM3d9E7sfiRIy1JiIiIiIiIiKiG7U2LG2N + j2ldTDygM0M4DvoPfvi3/tU3fIsfD73kKEUEiHV89ZbGOtk6ABcYUHHq6uFNBTKQE1bD1M+7VKCr + 8lUve/h/+M4P/Pjjj2O2SL1Nk+dOEVrH0bqOdYWJiIiIiIiIiIiIiF6sWq5wyxvG+ec07wYM3x0t + Tfms9nvTdtrVa5nNZ7L9Z7Ld0U3rz+rntT2vU4U6n8pt86TP+37bjeve3up45vvwtMfTQqzbNlW1 + Fc6YpokVMeguU+wkV+MkOTZuSZANoFakzrC8inF8OLSbxr7rilSvEAnETgy8bL7nFAQIF3FYCATF + AHMTIAbvswxTqA+Lfr/WivAve3xmbm+9OINYxQxQcWhAckb4+qQEquBcH6IbKaRAXKChssr9PPXD + wYXpcLUclgeLmamW0e308/ZOZZymLneWFIq5y2w1YBqwOkK+gIS6fXcChC9iIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIqKXmPl8fnx83PdzAO5eSvmlX/qlH/iPPvjJT/5hm7Hk7hG3nzu5q9YKQETaDD4z + u/szVYmIiIiIiIiIdqiLIpKitKIKIQgt65hkhxg8oEBKsNFS6dJoD81f+a5v+sDbvvrdeXklHe9d + yhfjqEwYPapmVxMN9UnNk6p6jIDLOnc5rWNKBCnBB4/JHaFA7s3nw/XhydwZWsK01BBHi7IOBSTW + D7CJag4AErZeeSdnC9iNjTHb4wHcBYC3TOvYpEFHhaqFG1xUzeGOOpN+fryXqkEU0Pb9z7eojAOw + 0NouvqAIAJUIjHYwXfmut33/577w2c8Pf3K1filaCPbO97ro5krsnGVoC7Re513TA+i8C5qdCmOt + iYiIiIiIiIhox40tVxWwVvtb4KVqL0DCfP9I83I6nmtWAcJDorXGtuBqCQBQtCZRCUEFDHARO33L + 2Kzrjscx94ChLKc825+mo+UXv/BovoLlAJ08LHdaCpLBuu4FXgAiIiIiIiIiIiIiIrqf3Z0067vm + 1njp26Ypv8Dtbzd464Nzcjfjn3G7y/gC7SZ/74ZM380Y9XYMd34/bLPen0XOudZaazWzaZraLphp + TfdGbD4EEMQmQrYlXEvABLV1X2fg6aexuv4r3/pt33p4NEt7KINEiImGC6ABiYAgYADi1BNtBKEQ + lXADLND6t93FoIqSuwSU4zJF6oaLBz/8sccxy5jtARmACKCoxc10m7F9xm9JRA+m9nJeB0VLe2EE + UAHJso9O/u3V4WWaL16yo6vLuSEpzvvV03Wzp8fVhcV8qrWUca+W/+MDH/iBDz+O7FVv3v16QiER + EREREREREREREREREREREREREREREb3IPEMGUikl52wmR0dHi8V+3/er1ernfu7nfvRH/+ZffPGJ + cSxmUoqnlEqZzKzW20/oM7OWbJ1SapP+2rQ+TuUjIiIiIiIiontHAZVNY4aLt6xnBUwQhnCowgIY + pCsHj9mr/so7f/jrX/e2cs10teiwJ8tIKUHCJaBeq9da1dWkU1HHCHGNWCdFyzpJepymec6CHDWq + yojhD//0X/za479yOHt6zEvAISUkAF+XeAgVrAOoBYEWIx162ljr7Zm2zfru43Ws9fpKtH8kkkHC + iwYMEi5i6WI89p//wM/K6lLAECqhuonuxs2hLnd2YOEAimiVdp00RbrQXS5Xh3089Dfe9x//t//b + f7OYHx7pEnX7k4IIZFPTBtGyuMMFtj5yMNX6AXVjObh7X+OEsdZERERERERERHR7sVlKa/7tbYQX + nS1sOrx46anrq72c/fC6KFxQBQBCILFuq20fFqibuOvnycMUpWAxk9VRYLXM1j22N3tjGK4v0Q86 + n8OREmqBpfuhzY2IiIiIiIiIiIiIiM7YM4UKn3me8U0e9PjnW6OO7048860/l7Pd72788xluduvW + o90Ntz4/u3u8wyt2U/z2s5umCUDf90dHR9t7o5SSEoeU0z0i6+TYCcAmBHczEwim6whcaOBoeNMU + 81rda/Wa1ao75CTT+oYtnmayzXaWkUUYINHWOMIAyYI6lAGl37vw5RqfTflNizn2L46eVFFHwACF + Zr0hpftk83c6IYroRUm3IzjC2wskAEBjBCJ97yd+/w/f9XYc/fkFQ1IRWNT6bJt7wcq4unjloatP + fnmerE+pm4aLTzyFwyPsXTnfHRMRERERERERERERERERERERERERERER0X0vIoZhAJBzdvdxHFX1 + Da/7yr/39/7eX/8bP9r3eRim9rSc8zRNzzR1yN1FRETag+Pj4/l8ft6TOomIiIiIiIiInkVAAZjD + pSUjwwEFwqECACUQgTqhn2av2HvN33zfj7/ywuvKl2w6ist7i2xpNQ0IEXgSqQURsEBKKTzGuoS1 + hhKHtBos6gKI56xRA1NxSOTQXrE3XdMvPqV/MegxxF0KBLFTraUlUktoC4HelK04XQmX7bO3NWg2 + FWbWeds3Pz9URKROAmSIOzR16lZ10tB6EvjbjspdTnE8AbiohssmvDgEVSGBKN53i3J1fPjiy+d7 + 3fvf9j3/5JP/o10CAr6JBoc4QmPzjesdn1wQNjo9wO6rNkOWSSIiIiIiIiIiotsJbWnU6/Za8cMy + OLSzPXSL7/jND33p4Qt/dnhdRFumddH1R91pitVA8ug8NCABdX0e7VHLMs1msw6ox9FBs3auHkeH + D/3Fk7/5jm9FHRDTeH2FQDUUYdMpEREREREREREREdGLUETclPjbxDm7m+co58Ddb01KPu9sZmx+ + XrvcvR3MmWhnp7c421O4k/M6W7fu6w6P806e2YjIcrls10pVa63MtKa7zgFfx08bQjECBSitb7p1 + UVegAg4JJJ9Q6sfe9b5XfOlpq8OQYNq7hwY0os1EahNvJERC5TTv3G0CT4gbqoWLS0CqomqIibvX + gCkW8+7Lq8MnHnvkO/+vj0LSskRWS4GcAUMN1HYMBiSH+WZGkwKKc3/HJbqvKVqmtcOBkPbizwKk + Dhcv/8H+wZMeOUFEh6mc98EIUJ56apYQqJb0iqVHh2MMA0pRrLPpb3w6ERERERERERERERERERER + ERERERERERG9VETE/v7+5jM3k729+dWrV9/2tm/+B//93x+HSQUCeK3TNInIep7UM2zK3QGY2cWL + F4+Oju7CvE4iIiIiIiIiomfmACTQQkMAj5aaHBIOuLT1MuHhxave8bXvfTS/ZnZ4sI8Ll/culnJ8 + PF21frQ0eVnFWKxoHzmZQWqRowlLyHr7Eg4gZP1RyjiVwcT25/tmeTUuV3FUdKVeUg2rkSq0QivE + IRVSBdVQe6k5fIbaS+3Ds8Nd7vSjqI+bj2nzUWT9cVLDymX7UdwnlCqogikwRQxSilQNtVBZV8tp + gdbtap1S3JDSIrHOpTbVw6euPXzwUJ66PMzf9jXf9sZHv04Ok/gzldISF20FMVy2Wd23b6EiOhXG + WhMRERERERER0Q7Z+cC6lnhri5ynXoEaASguLD4z7/ShyxUnNfJDWhVi+GbInATMYQ4LnL55FQA0 + sOj61fEqCRQQ0RplmKa56JXir9WE40McX+sWs3EKaKttTkREREREREREREREL3I3xRufn7t/Ujed + 4At007m0le53qTvl/K7k9kRucobb3z6+JzfD7t6fM677pkzrZz/UWmt7wrYoxl27H4hw0gG9/iQE + E1CBWEdA35Ir646x4Gj18OHRQ7XMVGqUGq5i0qbo7G48bl5zZ0IQLSG79W8jxAGHV7gt5oNjHMZ6 + 6eAPUXFwgPn+LM2iAIFwlAoV1AjfHHvdbhfbyT9EL23S/qNRIK3fA6qjE+zN//rjj5eHLx9W1Kid + 2HkfiGmOiD53EZjGsQ7Ly8vVP/sPvhNlSoEEGE4GzBARERERERERERERERERERERERERERER0UtK + Sun69et93wMYx1FErl+/vrc3X66O3v3ud/3UT/0XbSaf2XNMPIgIMwPQdV2tdZqmvb29Usr5nwER + ERERERER0W24tMoPjp2CCiEIwMwkFA4FcmCG/Vdded23veU9B3ollV5HRHGREPOKOtXRzMxy0qyQ + KNM4HbmsurnekhCyzl0WkZSSBsbVNE2TZk29rOqRC0J8t06Mtg2EAqoBQHbKyHjb2h1+tAow6wey + PtkdAazjvSUgoQAkQQyRRE01WZi4SVWX0JYwHbI+hudFAXWkELQgcQ1YwByoZW8xn4bRC2LsLtkj + b//q77jgD6fa4aRKj4d6SyLfnIGe/CTFn1/BHbrf3KsSZ1uMtSYiIiIiIiIiohMBVEGVdalwBQSO + UISmAAbvRNBl7PUf/NhHviRaLUlol3upKCO6Lk8V7q3W75oENNZNm8+jUTPK2KugAoKqtVq1pHBH + nS55+bX3vB9Hx/AJvQxRn3tzRER0dlqeyq1JP21le85NeSpERERERERERERn66zin5/JvTqjs93a + vT2Xe7X3s3JvTyFOH0PeDnXbTrvN5DaziNiu3KZlP2dsNtHZWifGSuuKXjNAAWuzgopDAEMVRy04 + HP7nd377vA7hpVfBVHPuPOpm4lBAILFOxdZTvlI1QjdzKgLqSIhkbhpSBdUEtXRdGs2enM1++PGP + 4GABNQmoAgI1JIMASQTwFoaNTUR3m871AL/9EZ0Fh0MDhjCtAkAdHn2gR8wVe/ufT4un5rpSKXru + Qz4iQlV9HLNgSoD4FY9LqxV8QCnmEMdYoyoCymzr+9w4jtvH7Zeltnygf+0kIiIiIiIiIiIiIiK6 + V2qt7i4ibWzJdjBJSqk9aGtOO4iFiOiumaapPdhNh2orI6I9cHe+jz2g2n9PJ0sIhwcQERERERHR + Oam1zmazYRha3hKAlJK755zHafW3//aP/8iP/BCAlFSATcFOiEgLsQbQHohIrVVExnFU1ZRS22D7 + kzYiWiPG9gERERERERHRfW5bsiZiXcHmXh8RnZYDDvF1bZadegoiBug0Ro6c63xeL3/nO75PV73U + Ijq5uAMBQ+RADukcySE13FFDISlEavXhlljrG+yWsldVTVazTlmnJKNhEhSgKqBwqWouOkEGSyXZ + KDKY1FBA9A4/RFSQxA1VFZotm4gEkmpUqEpnSSERoQGD6OaKuEetXmt1P+N6UwELiMs6YFuAVDW5 + CjwwjLFyc0HKdf8tr3j7Y+krc5klaDiyola0FqrtyJeQm4K66QGzvbumadqGWd/zt1aWISMiIiIi + IiIiopt4bEpsb1qZASgcXdY61rBc5gss9j7X5ydSGkXH5TBP/cW92bSazJDneVVQ9YY2zdMWEN+S + gAQC5iIuAawLGXe5m7785a9ajTg+hg+C6EQEzgYvIiIiIiIiIiIiIiIieinbFr9oNRwjou/7Ws89 + LpToVFoHsgIJSEAHSEuB1gC8SikCRMXx8itrPDTrJVBW016XvIx+u7To1rN82o5pCQBwkaoS0nqb + VUI1mZgOw3S1ls/Nuj/tOly6gJwhigDEISVQFMXgtknmXs+QivVmiV7KBEiAAQGpohNQN6+RMWoB + BgCi7//Nj3z50iND32l78Zwnv3FWnkX0te5PE46PUCZU96laXh9EDXDK1X0rIrquG8ex/XrT5uap + 6jiO7Zcfd1+EOf6wAAAgAElEQVStVgD4+w8REREREREREREREdFzGsfRzFR1mqaWqtIqYAKYpqk9 + qLXmnBmvQkT3p3Wy1DhGRIubWi6XAHLOLRoq5zxNUyuOzGRrIiIiIiIiInoeaq0HBwdPPPHET/7k + T773ve8ehtp1qaXMzGaziGiNqNi0pm4TaFTV3Vvjapvut1qtWsT10dFRi3G6d6dFRERERERERC8V + GmiZI76TPi0BQEvxnPO8m2NUGeZvfdO3PTJ7Ra4Lh1aNTc6IIlL7qKJVtAo2Cc0qoXqbeJDY7Bci + UhE1irujoo6Iktzd3QNVRM0kJU3JzCxnW61qaOROl2MpEt2eDL57zM+9FNeontRSSlGwWk11ChHU + 8JRRPYZhKu6qkCRt77V6RJgimWTT3qwT67UDfB1EHYoXkLey/jnIuvCFOSxgjqTi8JqmYsURWrrL + 8oq3vPbtVrqoKoEIMYEC7lUCuKHkDluW6CzxfiIiIiIiIiIiohMCKCBA3W2VFIUAY4UjJRMg0CPP + v+tjj3/+ysEw67tuMQ7D8nDVp2yC68up289FMem2TRkAXG6uEXxnIgSTShW1gAIBVME0ja/cv/Dy + J6/91rveg/Eox5BRvU5nch2IiIiIiIiIiIiIiIiIHlC1VnePCFU1s77vh2HY1sIguk/IJtO6CyQH + VhVt8owhEopghRFlhaPlI4fL4yeeth59wjSWElPqc1W4yLYzWgIaoXieZWcrrCK5lNCyPjrHMJZs + qV6+/KHj5fd/4qOY9bAOqhAP8YpwVEEgHNURKoC0OU4OBKdq0EteANVR4dAJWoAABC6BTrsUmAXQ + ZRxc+nS/92XgLoQPt/mBVeGCzpErNLCYyj95z3fi6BiH16I3BRQxeUAS/+O8P9VaRaSU0nWdmbWU + hba+67pSiogAmM1mq9WqfYmIiIiIiIiIiIiIiIieRdd17j6OYwuuzjmLyDRNAFJKLeu6hV637ph7 + fbxERDdT1daJ3N67ROTixYtm1gKiFouFiOzv77eYKCZFEREREREREREAQHc+npt49Wl85KHLUad/ + +A//wetf/5pxLClBgGG1as+pdT0xYhti3TKt27D2rutSSiLS93172t7eHja512d+ekRERERERERE + NxCHBASxyQ2RgISKq6NWdxVBSbNy8Zu/5p0HekVL58hFxaFAMk8Wai6AhKAoJkNRdZh6Nu/Ns4YC + bfu62T4koJq8okZ18ZwtadYpz2MxR9cB2cVK6AQZw5e1LKsU9L0CmMJlhgG4OoXOAYGEa/idLBXF + JOo0Vp8sRe6RZ7BOQjFVWEa3D+3g4hVl5cO11WgGE9FA1KhjLasaS6/LKcRdHNFqUWybkk7dnuMC + F/dNY5QAyWEBhdQo3tWaiqNo1f24/E2ve0cvByimUDgMaoC7y/PJeaH7kWx+lvdVTbB0rw+AiIiI + iIiIiIjuLwIovEJ93XikFVCB9IYyIQRISYHocLD40/3u8tXrl4BOe5hMq6EAfW+rcYJABeq7+dgI + ueHTOzyiFoYdgAIe6zbbnPNweG0f+vI+4fAIXaf9fm89G1SJiIiIiIiIiIiIiIjopczMUkrDMNRa + 24P7avw6vdQFsM2iBuDrEGiYYRwx7yCynJaW8xwGj99833u+cVg+sr8YDo+zwgxATNMYogoFHLGe + N7VOxZbTz/wB1jVopEVrO4BpHBd7+4PIZ8bptyv+s4sXEFKmmrJBtKICbu1bPQOKwHop7ZRUds6U + 6KUoNq8u0xZjL0BbJYFwSEu231v8tQ9/9NPf9BY7np7HgJJTH9PmLcIcEhISfY3Hjpc4WuLRh0rU + Xkwgqhrga/jBkHMehiHnrKoRkVLCprDXbDaLCOHUTCIiIiIiIiIiIiIiojvQdR2AWqu7p5RqrWbm + 7i1zhX0uRHQ/G8exvYlFRIuPavnWbU3LkRrHEUDXde397d4dLBERERERERE9kHLO4ziuVuOlS5ee + vHrtl3/5l7/v+77/ySevmUmtYWYR4e6qKiK11pzzNE2tpaJ92lonALQG2FKKmZVS2mD4e3pyRERE + RERERPSSICg4KbHiAHQd+KHTMIRbp/uPLl798v2v0MNOa6oSELeABWRdCmJdscHXo8nUQgGoCxBV + 1+VWNrnZrgACERIRYaomIiJFHpq97O1veOcXx88e+tWjo6Pl8qiUUlGrwuHDsJot8hTD4Mu8B7e6 + KqgVvWwKQMhzL12QUzpeTRKhKh4YB4gEgL5DLfBVuxCqsGx5npIfRkaHqJ3k/X7vwpVLVy4/+qq9 + N6Tat3NxbCKpT59pvfmuCAEg25ErEvAaLm1VjRC4YGUvu/iqi4uHn5y+YIFSR4U4NmU6tjtvP75Q + hCKE5TEeXPdPYTDGWhMRERERERER0Y4AoCK+adBUABWogGGwbKiKCqlA6pDSX/voR37/m77l+pPX + 1cue9bmb+bhEhDvE4AEHRKAvrDlMXUMDsW4oFThCq9cM7Tu97OM/fde3f/fHPobLszrPxrrCRERE + RERERERERERE9BJWa201L/b39w8PDyOCmY50v2kdyOtpS+tPgFkX4kfD0V4/EziWI1bTFS/zMtU6 + ZkEFVGGWxtVkpr6ZqNPyrCEucdpQXEGEQkLc4YoqgKsgdJ66w8k/K/J70/GngOj3ZJBkBqAAbdcC + IBSi6+PfnlvLzRVVdl4ThYqjl50Xg+hyVWazVIdjS4ZFh8Pktu/lehKH1PM7ljY3UmN9LAF1oHN/ + +TTh6pO4csGiO14ezxcLcVHl6/c+1cqLt1JfLUGh7/v2pVqrbLTCXvwViIiIiIiIiIiIiIiI6E60 + LpVpmrYBKq1TJiJSSu6+7XNJiXULiei+sw2rbm9iZtaGz6WUtkHXKaVSyjRN7EQmIiIiIiIiolu0 + QKJb4ojkZI1HpKy1xDQNe/N+3j/2i7/4iz/yIz9ydDSYiZq11GoRqV6hMk1T13XjOLZGiVLKfD5f + rVbb9OthGESktcQSEREREREREd0dGqhIACRcAxIIgVqEIaL22n3lY6/vpr2uWKoaBoRIBOCKAgDw + 2KQ7O5KGAoJQX+dkO0IdDlnXftFACMIdailZjRiHVXV/5YWv+O63/lCyrKqW1DEdLq9/8ekvfOHJ + Lzxx+KU//fwff/7qv4MdpsX88PAp79AtUIGoAOCCuLPl0qfIEEUR1IIQZLMu9dPgMXiH2V6375NO + x2U2Wzy297Kv/sqvf3TxsoevPHblwkP7i4uqSSQWmOflngZcJoVD1CHrOPDTcYX7DYNWHEAIQhSS + IlBQFK4KX05p3r3y0Vd97nOf8YD7BFNBjYAp3HESsR0KIEROErfpgdLKgt3rozjB4aFERERERERE + RLRDWjOmmhQA8ARZF/Y1VSAQjhJIhhBcuATxPzd/KMUj+/vXD68tYL3m6pHgfrtGMDl9y1hAXSDw + deZ2rDciIpAKjwvT9Kqr13HtEBceef4nTkRERERERERERERERPSikFISkWmaWqZ1W9kKXtzbAyMC + 1tG2rayLCU5iqBWhPqJ2LdP6eEDNOC7702Djccr7tR6LuimG1TTv0uAKwFHXlWNE2nSm0wokByRC + ZT3hBwiBT6X6hf2nDvZ/9/NHTwPXXS7kDiNitp7cYwBC2/GHbs5ou1U4oCyCSy9pApgiti9zBxyi + gM5m6g7rOyCO67BY9F9K3ctnFxblWufnGGsNoL3KNSAhAakCdSyuXX38+7/v3f/376WD/bRYAKil + WMf5VvepiFDVnHNKablcbte3ml9t2l6rV96W9/BQiYiIiIiIiIiIiIiIHggR0UJec84twbrW2qJW + Wqa1qrael+Pj48Vica+Pl4joZqvVajabAVDVUkqttfUgl1IA9H0/DMPue9q9Pl4iIiIiIiIievDU + WnPOksTdI0RVvuEbvuFnfuZnfuqnfnq5HEodReQkhCYCQHtca93f3z88PNyOfm+tr7PZrJTS2mZb + w8U9OzciIiIiIiIiemnQcACIFAJBEUBDHT7VahmpAKO/+pHXyso6yVGnpOriemO2iARUAi6KAmhL + Uw4BNpnNm8hn102hCdFUa135YGaaEyCp9Hv5ynBUNFREQuuePfzw5Ve/4fIwysrfMT01PPFvvvTH + /+KPP/Hpz35qKEcKX9UlzGMTmH0ny1LQ93BHLTGzbJbqKuqRzGOv1739dPllF175hle/4au+4o0P + XXy4973ucDbXA4tOPFvNdazunlW0BuAQF3gAEHUA0PX1vEPiLYPawwB1uAqquoaGZLFUygAAClNx + hLu/8hWv0c9l94JIGlEFcvsqNopQZ4EbOgtsoyQiIiIiIiIiohMBQCEAvCKASDip71pqdUt7SKiC + gKBYcvuej3zo/33f+6Y/u/bqCxfkeKhlEJc+2+QVgMU6iPp5FBAH4NCqAglFyLrYsVgg4MVhKu6R + hvErJH38e/7yX/r478n+/EyuAxEREREREREREREREdEDqpXjBNBiHVuZTnmGqQlE90SbAlQB29SJ + DcUxCqAZEU8fic5xbfpf3v2B74g6AbBssjeM103QGyJqFQU0uQK1ZWW7QCPWudl3xqEhHeCGlQuK + AkByKMJS99lafvupJ/4lIMCF6CBAhwookJDgAQdEwjBgnXWtooK6+awtiV6iqmASAJi1F7xUAHAF + UCPMBCOQMDdAx3d/+Dd+7+1/6Q3HqRun8zukzbzH9bRJFxRNAB4x+7Pr1xCO8AgXSUkNp3s7obsn + IsxsmqZpmlJKtdaIaBXJU0rjOHZdZ2btwb0+WCIiIiIiIiIiIiIiogeAu5tZi3oVke0Ik5TS4eHh + /v4+gJZsvVgspmnKOd/T4yUiutlsNmvJ1tM0mVnrMm5f6rpuGAYRSSm1rzImioiIiIiIiIieh2w2 + rlZQnfWLYZhqrZb1gx/84B//8Z/8yq/8ytPXDnPO4zi6u+VUS2ltEW2g++HhIQARMTMRmaYJgLuL + SK0VABsriIiIiIiIiOiuCRGXFj7tElDx6kgJIohSH7vyWPakXkWqYwyESwasokOIIDRc3CEV8JDq + 0NBSRREqcKxrv6z3JQACogKgRHH3nPuINB3XEcNs1jmqVEcECqxo1sVc535ckucLVy5903v+vT+/ + 9vmP//7j/+ozfzDOj57qnhjTcqecy7MuxWeKLJhGSEGXJZahg13pH/mqV3z1N77hbW969Zv7eiCr + vNCD7nA2jTWsaAhqieIhnkLhqpCQqYpLaEtw0XW29mkyrdeXowBQNxcFsE62BhBAWC2SuxyYIiYk + g9aXPfKohkVIUkUUCdg2MuYkaXxTFCMUcvpDIroRmymJiIiIiIiIiOgGjk2SdaxLbOumqrhZqrWG + WQUqYp4S5nvYv/hHom+7dGH55NFYxoPFfj0+Cg8Lg7gGgAgJQBSO0BdU9jcMgMJdoFmnUme9zYaa + l2XvqeuIamNBStHaY+HSDj8UgltaWLFp82UlcSIiIiIiIiIiIiIiInrxUFV3jwgA7t51XSs9fK+P + iwgAEBqC1lerra9WAEEBEtL1epRtJosDPL3Ecvna8TgfH12cd+PysKAsZorRIYhondmtw/ekB3h3 + dtOdEQcgO5sIROtsLuN44eBTT177IlBbf7PAPdp0KcR2v7o7rccBgwQUm+lVjMWll6wAKgCgCixu + eDWYSaklpwT4OE1932GW/uyRKy//8+MLEzROxqsA2MydW4djv6Bj2kzDCzmZpmeBPEyX+h7TEuNK + Uh8CNb5071/t9xxVjYhSioioaqtI3n7tqbWaWdd1zFQgIiIiIiIiIiIiIiK6E2YGoPW/tEzr/5+9 + e4+Vbcvu+v4bY861qmrvfV732W26bbfbNg7YBvMwid0Q3MbdpgkECYMIj6BIkfIPyh9RRIQsKxES + +SNpRQmO6BhkJKJIgIkC4e3utjEoSCCIFEUkKICwMbbb7m73fZz9qFprzTHyx6xdZ5/76nvOueec + fe/9flSurr32qqpVZ1ct151zjvErl/0ve6b1YbeIYP4FwDXUWuuZ1v0c1WeNW2tmNk1TP7/N81xr + JdMaAAAAAAA8nEwNw5imi4uLUsrx8fHp+fb8vP3xP/5ffOlLv/RX/+pf66MQEdHmpZTS17rP82xm + mdnHJZZlkdTDrfvYRWb2ZGsAAAAAAIDHryoVV9qypIWkWpQpScXqM8fPjG0VEataZmUqpEgrvd9D + ykJeFPt2DRYutZ4kbXHZJsLD5Nl7O4SktmQtY/Gcpmmapmq1WFkPq+18Jldx93RLt8hczBTmq1tl + bDZfnN79yOrGN33vt/zzr/9//vY//JvT+f+rzctL2bWyXDac6UdyeE0e6jEo4anWlC4zrYeyWo7y + on7DM9/8W77je3/VR761Lptxdzzm8Rgbm4otXl27PFeNaFJm8bQ0Zapllv5vZfZgDW3elOc+QKV3 + vImIkiWbxjrOS6hlWijyztFzPlV5Zk1l7Ptu7P/HLA99bS7/mu/M0eF9jWVVAAAAAAAAuMcOIc82 + 7NOtTcO++/ZKUineByqrzCTVjW598Pf/H//XP/01v25jGe5Lm3MoFipRZSG18JYmy/S0Q4/gt8kV + Hh6mtJJSmF0ODWezpqqYW4SqDc+E/sLHv/tP/rN/8dOq5zaoXMhls0qq2DBlTjn3xO6iQ7vjdu95 + AAAAAAB4fzOziAf7z3bg3aJ32evXERERtdbedw8A8B7WWwAcfhyGYZ7n12wE3qt6pvWyLO4+DENm + Xm09DFwHpuYKyyLVnhk9pMLsuAxFUpjkP/49v/Hbzl46iZZLDOaDPKcmSSlLq9Yk+b7Q6JBm/aBv + 8nQtcfkgtSlliw0ytzKdtelnpVf7U9ZQuhdTKq1HcfdaJnfp0LTbJcnt8BOfObyPFWklSfuYd+tr + UGx/NZSqlOSr4USRen7zu3/yc//sO75tuZurulJktFwUbnI3i9h/mnJfWhdyXTkDvE2WStvfxz09 + Ww2X3FMnsfyF3/yb/oN//I918mKY7MonGddQZl697jck9a/6h5QFepEDAAAAAAAAAAA8kMPCktev + MOlb3CnHBnAd9Wniq3PEffq4zyYfVo2SEQUAAAAAAK54G/UIeW9E9LL/pYZaU5qm7VglheXyJ//r + P/GFL/zCP/pH/yQiTFqv1he7SfJMyUyZfdl7KaWPTrTWSim94q/3QDisiu81gMuyDMNw2PMxvHYA + AAAAAB6emczMzEjRfZdJjxzC3G0rSalmnh6SSqjNMh829faN8abdVbptW0tfKZVmyh5c3e+nZpLs + kPghydJ1r/HLvVGX7N0mTBGh0OC9O0tY8SXmajUUFsq0/jzqWc19wGQuK7upKXKOb3nuO7/u9/zq + v/IP/tLf/xefO735pTbMU9M4Sk3RVPvRhFIe7in14O2xKt1mz7bLo+X4I7e/5Q//u//xBzYfKmeD + pDBTekSaRY5LSGZFrRSZuzIs1eywXi69v/aHf9fn1eY0PYd7/+DuqZzWY112i5lLcvMS9ZbuPL96 + 8d+0L4ftwmWm1lQkD6l4b4nhClOkKemP8S6UmV7Kbrczk5lfh8Z4dOgAAAAAAADAfS5rjv3qliLd + C7w+jBNLWXxXjtZHsbtx8/Sll1amQXkxLzdX69bHbq1Jij66mfsewQ94POFSk0um9LAoKZnmkLvM + ZJJS6znu3H31Oenn1c5tUF62MZYsU5d52n3cN029FToAAAAAAADe8w7N9SLC3XtPvUPiEQDgvaq1 + VmtdrVa73a5nWj/tIwKenP5Vp7fpjIj+dYhMa1wzIYVUdJkyW6Q2L+NQcp6ltebdh7U8sz2trafg + 9vnee2/jku9QNYaF93DdlIWauazOZr5eL7dO/sn/98/bix8oimiz+2pe2jCU16RWX51A328HINlr + C5Z8v/XqHpJU5dJK2qxfHkocrU7PdhuZNIyr49YuljYP932qMswettiv383SUqmw7IWR25jXbfgm + H/TKXW2ezXFVRBUtAAAAAAAAAAAAAAAAAAAAAAB4HbtX07Tdno+1/unP/I+/63f+7l/4hV90L9vd + 1lXSJDNdFvr1QOvXJFv3R1iv19vttudbL8tSa+31sMMwkGkNAAAAAADeOZ7mKe8pyF1zWUZJK0rl + MJRVUXGzNIXLejOVNwir1n2dVfIh2qzE5THdu+uhwYNJGeZXYrMlrax832/49+pJ/ev/9C9andbj + tJ1VUtWlkKdMIXkPeA6ppOa5N7XRyer2zXz+93zfH/ya8pH17qYsmkXztn9ei+hBJmlXj6f/6oFf + 2VvIN/t3ijfaxzZ5dFROavrk6i/vYB9Ivt8cYQo7xIrjXSkz72/I8nQQaw0AAAAAAIBHVvTr/+KP + /ezv+O2b07u1zTesTrud+9A8Ds3EQ/ZwK+PSXj+O5lIrIT9stvBcjqd4VhqUytmi52BLUpP1+1hf + B2iapZRWMl2LMToAAAAAAAA8XpkZEb3Us5QyTZO796BHAMB7VSmln/8luXtm9sJ+kn3xfrAsS0SM + 49i//LTW3J03P66VlMdl/ZCl3JSmOgxL2w4+aDdp3raXX83pYaeZH5BLlpLMUzWW3VC/7PUXs+po + nUqFvFkW+VCYYQYeFy+vDqtfylc/fLQuc2rRvDu3wedU6UWNKUnNpVTZ51M/6HPcu0MzhZQWlloP + 693UVl8+0+w6PS+bY0n50MtcAAAAAAAAAAAAAAAAAAAAAADAe0mPFHpdlNHR0dHZ2dnR0dGP/diP + ff/3//ZXXjkrXlq0zH0RhJlJ1sOtM9PdI8LMer61pN1u1wsAI+LQ/WBZlmEYntRrAwAAAAAA72uZ + 6WZKH8f1dWhP1AdSXsPDX7z14nd9+8d+7uyn/8+f/QeyVtXclG3fRSKldmXoJk21atrq1uZWvlp+ + 5yf+/a997mvLq4MtlukmWc9PSTfJFXF/xvZ1UErZbDZ2uv+LZKr/cWKfttKPNi4vwDvgen0GAAAA + AAAA8K5TFSrSCy/8/PHJy/MupsVS47CSNamF3eslHKZ8qOHosLQrY8gpeaoWWV6OF2cbWns2/Vul + I6nkMkp9XV7IFqVJpXdFDyl1udLPJdc1GyYGAAAAAADAO2ueZzMrpUgqpczzPI4jmdYA8J63LEu/ + kZmtNTNbluU6rJsHnoBa6ziO8zwfvgKZ2TzPT/u4AEn3UmVTLrs3VxvS2fZiKKOWpvPT/+WTn9ik + Njdv+BtUG73TR3T5FCkPM7N5LvHKjZu/+7OfU6lFqampjPMyL9ke+9EA71teP/nZnzi7dfOVeTfN + O1UtWpY2Hx2v0hSS5b66LkzqPz7ieg+TlFIomk27D9ejz33id2ocTBFLGmtJAAAAAAAAAAAAAAAA + AAAAAADAQa9iuFLL0DOtJd28efNHf/RHh6FEtuLl3j0ySynuLikiImIcx75R0iG7ujdDmKap/7jZ + bJ7QKwIAAAAAAJDMzKT1+lrEWr8hT9++vHtmeP7j3/HJm+2ObYejOpRwT/OokjdXevSOFLnPfvbj + 8Xh5Wd/x9b/p1370Ny5nNm3nw6P1S//Ropa4Xn0pPd2snGyOzUqm3ijpW6RZvzdkvmGS+9NBnxUA + AAAAAAA8PFMUhUwah399crJbb7yUULZ5KtlMmaY0eaqklA+RIZ29H7EsLK+mYltN86YIuUmWNk93 + 5uXb79x4QTqWRsmkphpWU7IejB2SSSZT2KFP+jUdIQcAAAAAAMA7YxiGXsPZ801rrRcXF9doARcA + 4PGotc7z3Iv5SykRUWudpimB94eLi4taqy6/Ak3TdGhyATxlKVOELGT7coaQpRZpXG+0SBez5t1z + u3PfXrRXTx/rsXjKcn+dpuaWJlfuin62Vt2+rWlqy6Jh0LS4q17X+ivgvaAWHR3/m2Foq3Hc1Dbv + NutNRO4udvf2SXfJlXqopR4p3y9cOaw+sZDl0uab43r58i89P0sX51pmd1NKjBwAAAAAAAAAAAAA + AAAAAAAAAPC+1ztqpl3WGRxCj8wkjaUeb1bf/u3f9ulP/zeZSjV31eq9DqnX9/Vka3efpsndW2ur + 1WqeZ0kR4e7u3hOvW2vb7VZSa+0pvFQAAAAAAPAeZm8UhJwys8xcr9eHba7y5I7qbfC0G35zOF99 + /fE3/srnv23djr2VNsdQRqkqa/bokcuk55RayyFXt+K5T3zHp8orw3o+OlqdzNF6VEmJaumSUvuI + a3vgCJXHK9M2m+PMtNj3vri/583ln9JS+wgWvPvkNWuIer0+AwAAAAAAAHjXafOscaVnbv/+v/6/ + n928MdUSxZqi9yAP22dJe0pSPFSX78t+wmn7UVGzdLW0lEsqLlfJ+Xg7f6Suv1V6RnIpVbKnWPdH + 6ONyLkmDVKWQGl2IAQAAAAAA3gd6RWgp5fT01Mw2m40RRwcA7wNm1k/4d+/e7et3h2Ew4P2hf+E5 + PT0tpejy6xBwLVhIcrmrpnQ5oyu1pUhyk5tefvmDF9uvuXknH/90rl8+Q0ghb+az62Lw3/UTP6n1 + kY5vlDLEklrV6iXaZFTyAI9JqSr1S+vjdnR0erGUdd1tL46OjnrwvKRm3tec2MOdGNLDLMzUTzwp + T5WQZ8gl9+f8aLObpFQu7ez0nXthAAAAAAAAAAAAAAAAAAAAAADgXc0vU13uy3Y5Pj5+5ZVXJGXm + arX65Cc/8Uf/6H8SoQwtS0hyl6SI+8qReq3fbrcbx7GU4u4RkZnzPJtZKWUcR10mYQMAAAAAALwT + 3qpZiuV+cEORGbpuAc+SLN0WO9LN1fbGb/jm7x7auu2yyCJCaSEP26eZHNrCpJV5l9/2tb/mw0df + v5lvrnS0LFEG175nhUmHJOxD75trJDM345Gl3b/xtXs9wSPCO+l6dgOrT/sAAAAAAAAA8O5W67Db + bUsd653bP1eGW1ZeGGw1mKZZ95qAZ9i+sXBc6Qz+NlnKM18z4J2h4srsY6ixluZpem4afvPtk595 + +fRVaXZTuMvDlziMq/Yx5VAxLaZ4zdpAAAAAAAAAvOcsyzIMw263W61WJycnkjKztVYrS6cA4L3s + cKqfpkUYxckAACAASURBVOnGjRt9o5nl65ZmA+89rbVSipn1Lz/9i9CyLHz/wTUQvdKpqma/ZUpT + Ta2yqsW2xNqnn/rk93/bV15RW8zLW1dGPTpLyaSUTGFqrnC7OwzabLRaKWVmyygz2YPPdAN4AKXo + 5p0//BN/9//+ld9wS1LVJA3TdjBTZpiamaSSOgRdP5AwNfM0lWzW14qk+iOXVT29OB9VStvo/FQ3 + j8v65J1+eQAAAAAAAAAAAAAAAAAAAAAA4L0iXdJ2uz06Ojo/Pz0+Pj4/fXW9Xv+x//w/+1f/6l/9 + 1E/9vfPzSalabY7UZVBNRBwfH5+dnUkahmGapv5gvfT15ORkt9v1YsDMvJ7ZNgAAAAAA4L3HzCKz + 1ip5Zl7DDl1mtj3bnty8sWk3v/mDv/poODnNL5fBWkSRSxEmmTyl9LSQZFZGrb/9I7/+pN3W+Xi0 + PvnC3V985rlno4XfC7GOMBXJ0mXtKb7A17P01WqjdEuZvVV+tSf9cN7F+sctM69DtjqpPQAAAAAA + AHg0Eav1UaujVqvv/6mf+sKNG7uhTNu5/7K3Ek5T7psKW1+E9/b55RiWKzyzD4ymTDLVQa7WMrN5 + tZXy5jT/+uObH5HWUloUyWVyk2cfi0tJCuX+IQAAAAAAAPCeV2vNzHEc+7KteZ7NjExHAHjPK6VI + WpZlHMd53k9bRIQB7wO1VjPr7/zDFyG+/+C6yP1lP5XcN5qUTWorpc5On2/zM/M0XpZePEYWOkxk + y0yxuF4Zhy9tNlqvtBrlQ6bMNCuizaXwOQIeG3eNK5VRd561o/rK2XJ8VDMzo683sTSlSVcWojyo + ++6SspSlPLWdlrHaWMcx4n/+1Kc07bQs8utQ8wUAAAAAAAAAAAAAAAAAAAAAAK6piBjHcbPZ9FJW + Mzs9Pf3v/7tPf/Sj3zCOLiliXxvl7pLM7OzszK7kVfdK2F79N02Tma1Wq77lGiZIAQAAAACAd7Xe + q8Hy6o/70JDMLKUchiOuDl88df1gjo+Pl6mVGE+GW7eOblfzlmlFcdmJIkySPGXpFjXTlsm+/Rt/ + nZ9Xu/CY8s6zty92Zz30ukvLy/yUeIMnforSTT6WwVWUdmh+cfn36UfL2BHeYcRaAwAAAAAA4BHk + PnU6I1Srjk9+5vaNLy/LWCVXtD56qzCFa2mtluoPPshpl92ED8nUYTLz1pbmyqrmatlSy3rZPffS + 6adu37khrS2KWstZFnI1k1yW90ZZS6q8c/8SAPA+dIhI6bf7sml3P8w+9qw4Sa21p3icAAAAAHD1 + v1+GYXjahwMAeHJ6ju/h5N+HsID3if7Ov/pFCLge9pMI+3haXU7a1lQstpu0hO9Oba2lzU/kvB2Z + TWXIplE+u//Mqn7i735e67FPhl9+eNwL08vA42TKKq03X7L6FbmvPSIiDqeK7r4TyAM/w+UKlstH + 2W/3lCtTzaazDyzSdlaxC9H/6VrrrbsOk9SHOet5ng/7mBltvAAAAAAAAAAAAN6OiCudOjP7ln6D + 6BQA7wqHM1W/8ZqpZF3OMgMAAAAAALwT/JDwUkqZpikzzUyK1ubVaiil/Oif+7Mf/OAH3NWa3OWu + ZZ5dlmmS9+u++v3QnK211scx3L215u79x8P4bWut78yYLQAAAADgyTsUbmfuGzrhXcdeM6KQrvQe + GpJpbrX4kGnuVekZ16hVUWZG5tyWNHnWavXrPvz1raUVpefVmOrOU56uxT764Y/atgxtvR6OW2u7 + eafBwiIspUxTWqRF9DGb66ZpvT5qLXvnqD5E5H6l/cbeNQvkxtvTRxTNbJomM12T/mC05wMAAAAA + AMCjcdfSVu7yops3ft/nP3/xzDNnpqlZKcWsZCpSmVpvjrbT9kEf/sowd/ax0T6UHaaUKy2kkJpL + piHas/P8dWHfKp0sGrSkmjLvH1PtrcddUsnXjb4CAN6eiHD33p+izyVHxDiOfQ30arValmUYhr5y + mrggAAAAAAAAAAAg3cuR1WUTF0sptSyTYtF296Of/P51LG3bzCR/7NO5U6Zt1m1ZmtIWTcPwlRde + 0GalsbZ+tCmTXJFymVOCATwmKTVJw/i9n/v8F8uwM5/nKJKtVpJ7uClNkabmergSyBLqRZWy7LV6 + aQpTMbWmiHZzHE9evauXXlYurtcVL+J6WJallNIno3U5SX3ZBUzDMBySFaZpug6VewAAAAAAAAAA + ANdcRFydVTkkwvaNfSImIq5GXwPAtbIsiy47aPcer4eEpz6JrMuAKCaRAQAAAADAw4oHiQiKZ555 + 5n/6kT99fLx2V4R6DnXqreKo3b03c3P39Xp9enoqKTP7sEZfSF9KOSyeBwAAAAAAeARX+sVfRjr3 + //G3GsB4mqJks5Bk6beOb1cfwrQo9q1hJL83+OKWvvL1szdfqBqLhqKSmWGR2XqUdX/IMIUpbbmm + ydZ7bum2DyC/3NZfAl0x8I6ipxIAAAAAAAAekcvdllkmrda6cfMXjlZf2RxpcyKVpbU5tF7X1jRv + z9d1fLghzrTLYW0LKfP+4d20feS1Zw6xvOj2W2/c/FpplOShlOJyfNyuXAMAHkGv6x6GYbPZLMty + 6Awuab1e73a7vsXM5nlmGTQAAAAAAAAAAJAkM+X9VQwmSbUMitSrr9w+P7u5Wi2pYTPslvnhwmvf + prCsVdF2XsbV6tak5dTr7/rsZ3XnjuplpHaopKoUUtNb9o8B8GgipeIahpdv367HtzZjjdS02ynN + 0oYIVzbT4nqIz6KnXGEKad9uP83CLGWetnJPtTLvnlsWmWna8WG/tmqtvVfXPM+ZuVqt+iR1b1Au + aVmWnqwwjmNv4AUAAAAAAAAAAIC30BOseyhsa61Hp8zzLCkz3V1XgmD7dgC4VmqtfZq4q7VK6qev + Po/cd8jMQxAUAAAAAADAA+kRR2EKi7cTce0Z3/iRr/+zP/KZochsHzi0Glf2Jl0wez+3WuuyLH34 + 4vbt231kYxiG1lpeZhYdVs4DAAAAAAC8f4RFer9ume3ZO89VDZnZ1Idr5Kke/BwmS5W0MVcv3vmg + WV0y0rPl4u5xf0iKK2QR+6DraxcR7bJyGTT8xg3+0z1JIn4Xyz1dkxE/3kwAAAAAAAB4BCZlykxe + 2hKTm9ab7/vf/uoXTo6/cLHbtqjro9E0nS+r0lfUPeiYrCn33Yjv9Su30OXyPkme96/Qa+3mPP+G + 1dGvlY76lpCpWqo/VF5NtiZlFQAeVl/cfHFxcffu3bzfyy+/nJnDMEiqtQ7D0DuJAwAAAAAAAACA + 97V0yWWXNTN9wjakDFloe6E5X7h7EXfPhkHb7VzKY5/QDel8yjTN06R6dDauVarGdVPxqzulp0RT + W+DxMWkwqc26ffuLt2797Fde3k1LHcs4DL30yVKWStuv+rAHT7a2lGf2SOyQR4+6lsxsaVFNsdve + Wqaf+NTvUAu1HQVX11NEZOayLL0R+W63K6XUWiPi6OhIUp+ndvezs7NSylM+XAAAAAAAAAAAgHeJ + PvnSp1fMbBiGzOxJKrrMt46IPhcDANdKRLTWzKyU4u7zPI/jGBHjOC7L4u59ivnqiQ4AAAAAAOAB + va0063t7R5RSvuu7vuuHfugHJQ2DSdpNuzfbv+fWLMuyWq36DUnubmbLspRS+thsD722N04xAgAA + AAAA+Op69rO/rlvDlS1+GW57vToupBRKs1Tk8zeft1aUNaWeSO2x70cRHlJYSjt7/uYLERFqUTIt + vSgz7TIAu4+wePZ/lOuVaW2Sy/oo0OFP8/oxoev1F8K7H+8oAAAAAAAAPJIl5ogmqXhd5pQVvfji + z964Wb7mA1HHXOYyukIlbRx8WpYHfwaT1Lz3Ju79he8N71q6XWleHKZmMUzbb5iW3yx/RtqESqqk + 94bGbd9q3C8fGADwkA4NKXoJd2budruIkOTurbXlyjmfdhUAAAAAAAAAAECmPlfb7HLGNy9jrSWZ + /53f8vFf7euh5RxaFfMlX18K9Q7yVIZObq62y3areHWsXyyu41vn2qTcdEje7jG4FF8Aj1kLrUfV + +vv+9mfzzm2VOremaClPcykvP48PtdrDQpayOHyS0yxMYS6r1au8DKn1tD3ZTZpjrWJ5vSoP0WXm + oSFX3xIRvVHX+fl5a22e5557fXx83Ht7AQAAAAAAAAAA4KuKiJ5jfVBr7fnWPSnWzHo07NM+UgB4 + LXfvp6l5niVl5t27dw/XfRL5/Pz84uKitcY8MgAAAAAAeERhijcqa7Arl3FVU227Pf9Df+gP/Ud/ + 5A/mkvZmjS8va5dKKbXW3W4ffX3Irj7c2O12tdbe8A0AAAAAAOCdcq+XSvpDNnN4EjxTkpuZhd1c + 3SrzIFV3ycIUJb3EftwmPTxVduXO0bOZ2TzCW3qaiqfvL2Gebrl/9WHXsbmEy1yWmVeXu+TrWm5Y + 2uGFAI+CtxEAAAAAAAAeRZTqXkwtJW0Gk1Lrkx/4/E/+i5dfbkfD6TLHLsZhiCX1sEHSYRbaD+ha + ylOyfbK1pzy8hHtayJpJphLL7e35t948+SbpplSluOw1fGhxnLbPxwYAvCPmeV6tVu6uywrwWmtP + uZ6m6bAwGgAAAAAAAAAAvK/ZlfKY/ZRtKKVl0bI8P03xxZ8/smpmuWQd7XFP6g6u+e5uLFXr8dXj + 1Sc/+1mVVbtXZxFS9AYxRvUF8HiFWVORTm5qGC5W61gNS0hmkiu9L/iwlEn7wPsHrAxMi946yiXJ + LPZFlbtlkrTMzVI3h6Gen2lu2i66joWHUCllmiZJ4zhK6mkKklpr/bc9WaHW2rcAAAAAAAAAAADg + rfVZFXc/1AC6+zAMEeHuh4aYpZSIqLU+tQMFgDexLEs/WQ3DIGmapnEcI2Icx9aauy/LUmuttV49 + 1wEAAAAAADyI0IPUGJyfn5uZu7fWfuiHfuhjH/uuPnDxZsystbYsi6RhGEop/XZv6dZvr1arfqM3 + dgMAAAAAAHgE9w0vWKq3YeidHPq1XacMD09ZVku3lJsd+ZG3oWqQzDKk8JCnUmqmMFl61erm6pa7 + W8mwkGdmlnBLtzTXPt9kHwh9rV6tJMku6dBhA+9FVzPLnzoaKwEAAAAAAODhpTTnMi2zVBTKXdOw + 0mqj1cny/O2Xcjq6sZ4kZaQkCzc9aNvxlCs9TGkK075jeMrzcly7jyb3nc3SzV22bJ9z/ds3Vi/2 + WOvLQO0iFWmWZikecIEgAOCqUkpm1lqnaWqt9epuSfM8l1IuLi6WZelLosdx3O12T/t4AQAAAAAA + AADAU5ZSk3rK6L1Khj6J3FwX82aZnjs6yd0yls2c0vLYSy8iNIzK1raeP2+zjtfKsR4mmK3JW4/i + dqlK9LUFHiOPuU3bIh0dn431lXmyQRk92tr3H8PQvU/ogwhTM6WlSaWvOZFc8rSVr2RWx1pcF2fb + W+tRr16oGStKrqeIiIjMPD09zczz8/PeoHye58zsideZmZmHKk0AAAAAAAAAAAC8hVKKLmNRdBlf + Pc+zLsNR+g5dLyEEgGul1mpm/TyWmf2s1Quc+3ms1tp/tSwL5zEAAAAAAPAI4srlrazHlcsioo9U + fOYzn/nGb/zG4uX+vfxQX9UXwB9ut9aGYTCzzLx9+7akHnpda22t9XEPAAAAAACA9xP3dE+3zJql + arXKdbEa0RO5w9OlfZpJmiSNGtdl7e4qmrWkp5os3XOfZu1plraPtb5+TCpmPdUlM810X/OEdPVu + ODJd15eAdx3eSQAAAAAAAHgkbrXUlYrk8uoyRUir1fd9/vO/eLz58jSP1eVDSGoq5as+3huzw5Wu + 5mLH4bce95blVXOP2Ox233nzma+T7khSuwy+lhgUA4B3iJlN0zSOY1853ae1hmGQtFqtaq29n/g8 + z6vV6ikfKwAAAAAAAAAAuAZem1NtkkmxaLv9s9//ySOFtltXappXq7o8/kxZdy07DavVXcUXbt7Q + 8UZzrm2fwN0PLwlFBZ6UWocmqYzf+9f+5t3V2jfrFv3jGFI/g9jl+pAHPkFED6pOKWUpKSwlZVOL + 1nJaimu9KhcvvfRXft/v1dmZMnL/RJH7ar/Li+Lyhi4PhhDsJ8TdMzMihmGY57l3J+83ttvtOI66 + bOnVw62f9vECAAAAAAAAAABcdz3z9ZCD0mdY3P2wpZcNRsTVjQBwfRyyq/tkcSnlEHE9DEP/bT+5 + 1VrLQze8AAAAAAAAeNtaa5LMrA9TrNfrH/kzn3nxA8+/WSp2XwBfSnH3fpfWWh/QOD09Xa/X7t5H + P/y12dgAAAAAAABvU0jRO6iEuSRZH6bI3Ad3WOx/G5LSrlcLBTOz3HcScKvFhsGqNckU/SL3lNS7 + SahaLSpKz8zWZu27ELikMKVFWEq+7ylz/TrLmC5HgSxin7FiumyEI8l7one6LK7f4eNtuW4NMVge + CgAAAAAAgEfhJk95c2WR3GThY9W40q1n/uXt5+6ujxcrbVmKXFlz0b106rfJwhU1VEOefSjYPK23 + N5dFWqSFLEqqhqp5WxZznbTlo3d3n9JwRzrSopj7uGqEampMFXleryFxAHj36W3Bu6s9Kfptdzez + HnQNAAAAAAAAAAAgZSqlmHOWSSm10DJp98rz25fXuSyxmFLr1cVuyUFhD1o6cyVpNl3p9rpL/2VY + Ntec8tHavLQbt374X/7rF174ms3tE/ejoZY6mtXRfHQzk5rUelEPgMcki6U8JTNtbn9pdfvlaVdH + yea0UJTI0jTMbmlxNVP6QZinWZosZS2thbWsLWrMkg9HZ9s4GY5Ozr6keFXRmiS1VJsVTVL0xHsd + OkldnnFCSbL1k3PISxiGoYco9Cnp9Xp92EFSKcUe+P+JAAAAAAAAAAAAvO8cKgF7x8+e/xoREdFn + W5Zl6ZEquoy4BoBr5VDdfDhH1VoPP/bfmhlnMAAAAAAA8NA8/TWX1++T911cVkopEUtmK1U3bx7/ + +T//545vrNNUikkhC1n06qdMk7y11kdoJfUbw1Ak9cRrSZn3Bjh6cvY87zOZnsA/AgAAAAAAHfPv + 71bW9m1eUkrvoxPhCpPkkkkuS7PrOc4Qrc3ybJkpm5b5xs2by8WuSpKaay5qphJeY98apg6enjFH + pq3r4JLLIxSm8Na8hS8p9WTra5fmm55pGZYeUppZykPWc1U8tf+7yXVoeYF3m8x0991u567DEs2n + 69p9EAAAAAAAAPAuYpLLL1t4X9laqzarP/D5n7y7vnEaFgopdrHY+lhvtA7vq/I8NAi31225b58i + M5MPpqVtXj373g995KPSC5IyLrZnLZrbvr1wRJgzPgYAAAAAAAAAAAAAT4JJRVaVLnmqhVqmqqul + zs6+djvbq2f15FaTzi9OV+sh3omqmTcs2ogrVXJT+ivFvlj9y9KXTLPclZcVOyapSMog0Rp4vLLX + zZXRpDZrc3zx7HO7YktTeIRFmKWZ5PtKqAevdd23jsr+CCFJlmmaW6ZpHOru4txUb42bm7sLTRda + ll7EaIqQltc+aehqzvU1Lc4EAAAAAAAAAAAAAAAAAAAAAABPVET02OlSihQRy/HJ5ld86IN/6k/9 + D6WoRZZiMpM0jONbPM4870sWMvPk5KabLi7mvqUHXfcgMTM7Ozt7rK8IAAAAAAC8u1lcST52yeP+ + jg2XYdAKu6YByXYZuZ1ucktPS/U86jSFlCZLL3HYX2ZmZp6WmYqUXOlpClPaEhZhSpM/VHLKE3Pv + L5Wetv87HfpbhLksr+1fDe8u1/qTAAAAAAAAgOvvSs/gKzwU0rB+ZRjnzdo3bkWr4+OXtmfx4J2F + H8huaWY6b2lHw3o1+pe/8p9+zTf99M/8nJZlXG+qpUUoUlK4zW/SxBwAAAAAAAAAAAAA8I6LebF0 + C1OYXFlsjtCSn/13vu9Dv7x9frxxevrKPLjW3lob0x48TNqk/cMfLlce42qdlSRZU71x/MVbx9/9 + 43/jXJLJ3VOtZ9VaqKRXSeGeWR4mSBfA22cKc0l10Gg/N5+1zWYbSilNaSmphIZ4mAhpT/VTwtWN + /YdSlKl5XkarJ0fH26/88uZ8q6lpkiZpUU/CbtLkalXN4rDYJKUmLVIj1xoAAAAAAAAAAAAAAAAA + AAAAAEillMyMCDPLzHmeJZ2cnHzsu777T/xX/6W7Wsuh1HEY52kyf6u8mFpdkrufnZ3JtNkMkiLU + WpumqdYqKSKOj48jiC8CAAAAAADvcWbWr7u32NPd+w6ZmZlX7w5cH1fenk8fsdYAAAAAAAB4VCZV + qV7+0Czk0tGRvP7Wv/V37h4f312WMJ2fnd25cesxH0uubqx2i9Ilqc3Ts9U+fPfVv/Px36bzC9+e + K1KxyBSZkiWp1gAAAAAAAAAAAADwZKRKrW2aJKulXkzniyYrLuVzYS+o7rZnYxlXx6tliVzCHiFF + +jIHV/naZGtJ6mnZPYT2F1559eeP17p941SS1LL1X/VMa5Mk39+f6WXg8TGpSMUypeoa84987m9t + ZUebKvllZHS6Qoq0zAc/PXjuP/v3P6uWkFzVTRnT+Ssv3rh1K/JvfuJTypT1ffa1ifmmpwGKswAA + AAAAAAAAAAAAAAAAAAAAgCQd0qYzs2cstda2262kH/iBH/gDf+D310HzPLfWJOWbx1G7a1mi51UP + w2BWIhUhd5VSxnHc7XZP6jUBAAAAAAA8TYeM6tdseYv9r+5wjaKDgTfx1GPX6ZwCAAAAAACAR7If + hW1Sk6RFmhU7SeZS1Y0bP21tqjLXalVP777yuI/n/O5urBpTeTavinT6la/V9NxLX9bpmaWUS+ai + mlZNkhqDyAAAAAAAAAAAAADwRJikVsZR0nY3rcfBlbvcSs1zatOuDuthvdq+fFEWjauhvXlbljeV + vr/Iw9Qvh2TrnnJ9NZq23rwxb45+/vhIm9VWGjer0GSeRV7kl9UeLpMUsgc/HgBvT5POlVtpail3 + barWJW01nS8lzFMlZKmwaJ6zq5nigQuyUkpZpEXKQy65ZEqVMpi7mZlyuvvK7Tk386wSOUjFJa/S + INUeva3YP5ikfR53P2NQogUAAAAAAAAAAAAAAAAAAAAAwPtdz6vuOTRmVmstxTJbqTYMww/+4A9+ + 7GMf67uNY5UO9Ur+muyYCJnJ3SNinndSjGONWHoO07Isq9Wqtebu8zy7U9QAAAAAAADeF14TWf1m + +zyZgwEe2iFt/ZrErjO8CAAAAAAAgEeyb/otSSFTSNE3mHR8rJPNy88/f16HeVLEshr8cXf6Xg8q + xarKug6ueVTT3Zc+pPaXvud7dXqh01Mbh1l5Pu+KtCrOoDIAAAAAAAAAAAAAPCFmMklar0aTfLk4 + XlLb6YbXMpTdfHF2dnqy8qOieTv3AOxH0aeye/btaxJwPSXZ+at3z6z+h5/7CR3dTNd0vivVFU2X + tRYpbzKZ0pT7+XAAj0lZpKGaTEvbaTW+JGl93MylDA9ZpD18LZalXnNvT1n60WZ992JWKaoe0mao + 67ltWsiXSUpzyUtqTJX9I6QyXvPISte1KBMDAAAAAAAAAAAAAAAAAAAAAABPU4+arrVm5rIsrTUz + c3czW9p0fHz06U9/+lu+5ZslTdP01g9lpohw91KKmUXEZrPpv6q1SiqlSBqG4ZqE3wAAAAAAAFwH + DJXgXSHzGr1VibUGAAAAAADAI8jLRuAuFU+pyKtUpQipSJv6e//W35iPn0uXpVzhj3lsrC1a5ow5 + 27y0OY5ObBy03p3/yvMLfeVljatFto15GFYWWs4n2goDAAAAAAAAAAAAwBOQUpN2benJ1hcXZzWr + vnj+l3/Tx+vds5x3J3U8GUYLWWowta/WmeX17M1+tJCFLnNt+yXlWVd251mVjepmCm1WNebw0g/V + m9SkRWquxaLp+lSCAO81LhVlUc5LylTXR2rt/M7tXyg2FQ/T4lpcpigZPV7aH+wDmbJ+Usgecu9p + llZCbTsdmXKelnlejaPG0ubdyVhkc2ppkuxyeUxKGVcyrX3/f4fFMwAAAAAAAAAAAAAAAAAAAAAA + 4P3NzMz2VU2Z2VrLpXkqIiLi7O7pB1988c/8yGc+9CteNGk1jJf3Cynuf5x9dnVEmGW3LIu773Y7 + Sa211trhSZ/U6wMAAAAAAHgK8tJD3PfqcA2AN0SsNQAAAAAAAB5NhhTNtEixbzTsRZJJg3S80tHR + v/H1V+qmHg3L/LiPxgZXNblsGMb1WtvTVGqY5xdP7/74b/s+7eaqHH1wSaG6Gr/qIwIAAAAAAAAA + AAAA3hGL0kq9uJglnWxOdDFpmV7MdlSKvC5tavMklSWkYqU8zFOY5Fcib/3KDbu/NGlxO12vvpCp + 8Tg1FC/TdpGUmSE1KXoIrqm9pisMgMegykbZUC0zNYdWR7/tx/7y+cnJ7C6pmZr1+sL0B860lqQ0 + pSn2lYamy/NDLDnU0qQ6+jRNy9n5UfU8O9O0rNWq4l5ktUkeen2tItWLAAAAAAAAAAAAAAAAAAAA + AABAkuTuPXDa3YdhqLUeYpPGcSyl7Ha7D37wgz/8wz98crKe5unN6pYyNU2LJHctS9Tq/baZrddr + SWZWSomg7AkAAAAAALwv9EzrQ7L1WyRcX02/JtAaeDuItQYAAAAAAMAjsJCFTHllNVyRm+QWsphk + Ojr++E/8/V96/gMvRxR77M18M4qimEzLlLOGqiYNmben6YO7nc7PdXa+SotIPVQPdADAwdU5vMxs + rUk6rG/uN5ZlYcUzAAAAAAAAAACQFNJOLaRaq1JaQm4q5xf5ys5zZ9FqZM1sS3FblJMr7MGja+9P + tjaFKUqpLVL7QiOTrKXmof7SZvjE539cZTBTC6vFJbVUXk6FHzjBtcDjZJL3GqeQyaQqDTq5c9eH + 2YskV/88Z8+ltnywT2SYQmpX7mQpS5lUzdVCriVCg1SVGUdKnc06nXU+KSXTJM3Wll7YaH4oyNo/ + LOeIJ2uapsPtPh/dr/MtCk8BAAAAAAAAAADwJnphYGutlCKpX+vK5MuhrWffEwCum3meM/Pq9HF3 + zLs8wQAAIABJREFU9fbViWYAAAAAAIDHKjPNzMz6kMW9he5tKUpZmKeUv+pX/Vuf/vR/q306tfUb + wzD0fa/mLfVBjmXpox/7wVszc+9B156Zh2eZ57kfw9WNAAAAAAA8iv6fuv32YXER8CT1kZbD28/d + I+It4qr7/v1Gf/defRsDT9fhbTnPcx8YvA6ItQYAAAAAAMCjsf3VfSNN6cqIaSe5hlF37vzTOp7W + sY6P+WDS952HvTW/XEWXKpmrab5xeva/fvJT2i46uxjcZIr7G5EDAB5IL+c2s2VZJJVSpmnqq5x3 + u527b7fbWiu9KgAAAAAAAAAAQDeqLrkbRlNKKUXT6asvWIwtJJcyTM28mcejzeReTbb21G6a63p9 + 6Mgi91LLXekLJysdr7QskrzUqUUeJr8PB5Aq2l+YXgYek5SmtoRUTIqUF2VRWV2UYTFP23+cF9fi + eqviwrd4ClOYJPNwu9KXyfbPrzCl1EwhDRF/7rd8j3aTvMQu+n6h/eVwt6vHjycmM8dxnKapz0T3 + alJ3n6bp0PZru92KWAUAAAAAAAAAAIC3p5QSEbXWZVn67b59vV5LGoYhIszskHsNANfKbrcbhqHH + OC3LstvtdCW9SVJrbZqmcRyJcQIAAAAAAE9Xz1vKzNZaZm42m+/8zu/8wR/8Y33LMAzuPs+zma1W + q7ceyjiM1l5cXOgyqGlZlszswdhm1tfY9wZxAAAAAAAAAK6bfR+k64FYawAAAAAAADy8lJrUpJKq + KZdCapJMyvTqYyrm1K3jP/wP/8Eva1xmKR9jo+8whby55pLN1WRK896vXHrGy4e+8pJ++SVJli3U + kuExAHgEpZRlWS4uLmqtZiZpHEdJ2+12tVrpSt8KljUDAAAAAAAAAACXVtIqTKl5e6Hqavn3Pv7J + j/zyxa1dDE01emytLW5KlZA/ZOVF9NljV1jKUqtaY7ttmbZeT9LcWsqXk6Of24w6Wet4NV0sSwsr + gyRlD6yNnr1tUgl5PN7JbgBWPCUtqRb70GgbtrbaVV9cJWWppWgqPUP6QRd8WGifPv2Gn+QedJ2m + NDWTpz6wHjTvtL1YrEkaTEXhakUumeQymVS0/xlPRmut99Uax7GUMk1T78bVWhvHcVmWPnO9Xq+3 + 2y2xCgAAAAAAAAAAAF/VNE3/P3v3G2PZlt71/fc8a+29z6nq7ntnPP4zWEnskIAjx0QRkRIY2wOY + MTYiCbyIwQaMI6SI8C5+YRlLeYXNCxuhMALFEhGSCSLJG0IcwLE9Y+OYOCQokTAgAokd2bHHf65n + 7r3dXVVn773W8+TFPlVdd+6fud23q7v63u9HR+ee2ufUrnWq62zprrWe5ydpy0oppWxhKrXWUsrh + cNiOS6q1SrpKvAaA22Oapu1SFhG11v1+v6VASbpaVr5aUO69P+/xAgAAAACADwL//KoHC1mYZ2Sr + pqJ0Rfb1y77kI9/6rd/6R//YH5ZpXdfMLqlWn+d5m994S9tcriQz2+/3krbp3KtecA8fPszMaZrW + dd1mdwEAAAAAAADcErcnyvo6cnsAAAAAAADwXnhu2+by2Pz32hxYKlNL8zK0UdpPr9x96f7p3fmG + O8Z2V3/ULbgoy9ZnvCum1r784fmPfuITOhzUmit79ts4aQcAL4Ktfnu/39+9e9fe6OWXXzazeZ4l + RURrjW3NAAAAAAAAAADAFKYY3JU57Cf1UGsfDX/psNToUvhlsuxT+4nXztal4t4PB5cNJycXbf31 + s/M/+alPaxxyuRj3VW4RuYXVbhG1piiKolRK4WJ1GbhJLvVYVEzufW2aRu12r+3HuXiYLFVSJbe0 + +ycphnLJ0zxcl/HVadvHOmzb+7J9xtPSzDP14HWtF5rKsBvmWRaqafUYYO2SlCpS2RKzU1winoth + GOZ5jgh33/IVJLl7ROx2u9tZywcAAAAAAAAAAHCrjOPYe2+tDcPQex/HcRiG1lrv/foSzBZ67U7f + QgC3TmaO4yjJ3bfLV0RIioh3zn8CAAAAAAB4xrbM6e3e3ed5vn///umd/Xd/13d9/OMfkylSw1DW + tUta1/XtzrPN324TtqUUSbvd7uLiIjO3+zt37my512yqBwAAAAAAAG6tzEcTeM99Ko/toQAAAAAA + AHhyJhV52R55HI9svXqLSVKtKhYpRf+9P/VT/3x/+rCONzmgkELKEiohpStdUkq1jmVevuhw8dH7 + D3T2ui4ealkHK/YFzwkAeCtbNwoz2/Yum9k0Tdv+5swspUzTtB3fDgIAAAAAAAAAAEi5XlzITCm1 + rnXty/lqfa5trhGSS0NXDaVZc4U93opuWqTFZWBtpm2r17m2NtQqK6s07HZraxrqnS/5Eo2Thsnu + 7OSREUqTudy2hFo7xlnH5emPC9AAnjqTvPfJqiLlVqZRvelO+bZP/+1DtS1wuqSmpqlvG0LssT6P + nrI8XlBSClN3rSW7K7cY+5SlPGSSpQ+hj4yD2irvXZomKaW5qbvi+KPTLtOsU4+uE7hh2+rz561T + u7uZRUREbKV6W3+u5162BwAAAAAAAAAAcPv13s2s1rqlwC7LsmWlbAczs7UmaRzHw+HwnMcKAG/l + esJTrbWUsl3Q3H2api0marvW6XLRGQAAAAAA4NmxkB1LDjLT3VN9bbO7hqFEtIi4e+/0z//5H/iq + r/rNpWht3f3zJjH88zJlrvbPS4qI7cv9fm9m+/1+m9TdesSN4/gO8dgAAAAAAAAAngszM7PrsdbP + HT2VAAAAAAAA8J4c24ArlHFs02uSKWUqtfXee4wmne603//CSy9dDDdc6WetqHvK00whi24lzFpb + BtdJbx9e5x/5fd+oi4PWzvwYALxHdhkmMQzDPM/bLufe+9ZDXFJmmtmyLM9tiAAAAAAAAAAA4PaI + GE520VNdOl/+q2/85pPi7pL19N5NIZUtjXrLlX6Cn/BW37Qd673tpulimR8ui4/TL7/yOfku66hs + UjdJ8np6V5GSLI9JtaGQSe5yf6IRAXg3wr2or/KUaV6XPNlrX7Ubuslyy6VWDZVQmPXH3+7hKQ8/ + Jlubuqm5mkdYPnpBWgn3tKFr9/oDtabX74e0NCmlWqXjBSWvIrK33TJGrvUzsi1A7/f7u3fv2jXT + NNVatzTrbbW69759CQAAAAAAAAAAgHdgZtuqiru7+/ZlKSUzl2UZx3ErIVyWZbfbPe/BAsBb2MKZ + trimdV3N7OWXX7Y3unv37hbsdIsawQIAAAAAgA+e3vvVlGxmllLGcZRiWZY7d07+6l/9q/v9JCny + +Mq3O88Wj63LeV3pGIPdWluWZRiGq031mTkMw7N5dwAAAAAAAACezDtMBj4ztOcAAAAAAADAe7PF + WkdXdpNSalKXTFWqNg5lcLVV66yX7/2Rn/yJh3W8ubF45pAqKctiUTxTym62uvtoa7YW7WW3L/qN + z+q1+8pbMD8HAC+yLa96HMdSyrIsW6+KWmvvfWtRsVV3b6953oMFAAAAAAAAAAC3gCuV7qZZOtjL + Dxa/WKs09Rx6plmzkpJnWro/fhfZsEzLON6u/1jJFFLLuIg4vXd63pfTl17SItOwrud9OZ+smLxd + HCQpHyXXdkmu1bXF2gK4KabukksWMQyLNEtys/QausyeVhzjqP0tM+zfSbqlKW37eKepubpfHZCl + SqqESvjJqo8e7Ee+9vdqOikKH9RdKurrLPM0PUqxNqlsw34qvwV8AVvrrnVdLy4uaq1bbd44jsuy + 1FqXZTGzq/Xr5z1YAAAAAAAAAACAF4C7Z+ZWCRgRmRkRW+TJMAzXl12ujgPArbKFM23XMTObpulw + OEjaSpvNrNZ6cXGxrutWB/2chwsAAAAAAD7AriZjh2FI9bXNZraua2bf7cfT0/1f+2t/bRhst6u1 + 1syU/FqajF+7HXNuMnN7sG2kr7VuUyKllIhw99sQhwMAAAAAAADgLd2qvUzEWgMAAAAAAOC9sTc9 + uCalpc1SaJzWHjo5+fWT3avTtPhxYirkoa3XcF7rAZ5PpR/45YhcWZpSrjp4f/DgX4ryI9/876v3 + iLjqeyw1bW2H8/oIQop8WgMCgPeRbXv0NE3LsmzdKLb1j9aapGVZ1nU1M3cfx3Fd1+c8XAAAAAAA + AAAAcAt0yeQySV3z/S/L9e44tqaSKimlS9Zd4eEZnnqsZOswveW6dZqp1AiFspSyn+rrh8Pr4/7f + +7s/rmnXWhumkzKOLZsU6qF0SUrllmwt5bZy/BR+AQDejvcM90FSi15UJC82SMNchrmU7pcfSFla + pkU+Zm8lky7DsY/3Ja99rFPb8yZZRo24u65fVkatWeSRSte6LmU3pXz7NsvjJSelzq6SZ8Xdr7pr + bWvT7r4si6SIGMdxW7xmkRoAAAAAAAAAAODdiwgz2woGe+9bsnVmnp+ft9bWdV3XNTPd3Z2+hQBu + nYiQNAzDuq611nmedbmUvF21WmtbvfO23PychwsAAAAAAD7Aaq0R0Xt3920+tpQyeBmGofd+st9/ + 9Vf/G//FX/gLh0NrrdXqb1fPVGvtvY/juJ1kv99v87pbiHVr7fo0yK2KxgEAAAAAAHgK0iWXXU6b + pB49fn8m84YstvcYpjCleR7fKb1w8NS8Lz88AAAAAAAAeIZMMsmLbJC8SOXarFORxjqpDCrDcHKq + Yfj43/v7P/fSyxfTkJkZlmY2jRc9w7bWwGmZ9qjx72PbNt+ldVlPSVlq9xrqXWXyeY2Tob482+lZ + U67m0VM9tu9bW5+l2E7RpX48WYTUt9M+pd8ZALwPbNuXt/7gm63we3sqIoZh0OWG5u0xAAAAAAAA + AAD4YPOSk1Qv1pQt6q/tH3xmrNmklDythpVUmLqlKcsTtE1JtzSXXPKUpUkW8rXYWW/TNMRysGzz + OL7y8kd18kXyWmuNLNLQFbKm6MpUqlR3+THpVsplGd4yNBvAU+JWtwfVy6CYpBqSxvu7u68M41mm + xtJTxdyiqzd7zPq6tEiLtJSFZdaIqWlqsrSU0izN0nT5mjX7eYlFUdS1ZWoPw3D1M8v2n8utJOwn + eZby0vb4ap16e1DK8R+n1vq8RggAAAAAAAAAAPBi2VZYtoLBLelke1xr3Z66WnnZjgPArbJdqTLz + qpZ5K3OWFBFXi8tXC80AAAAAAAA371ocdfrVLcNMxa32lqZSy9h7l5R9LSaLXlz/wR/4/f/pn/qP + d5NHD5ncVYqZXU1ruLm31nSt/9vFxcX2YBiGZVm2Gd3eu5lt98/ynQMAAAAA3vfMjP/ZxHNgj6Kd + paqsb3q2SdtUzLMf3NOXprj6nB2nhrqklDKHUA152DFIhTTiF87VLqZ1Xf3W/PvdmoEAAAAAAADg + xWXSsTH4MeTarj0jSeZyl0lD1d2Tnz/ZvyZ3H9xL73m4mE920zZRlaZ8D4sRYXb8dlNadlfa1qxc + kpYlphMbIuq8vLymDhe2zDUlV2QPhdubZprzDb2HH68jMgAAAAAAAAAAAADgSkpd80UbRpPWv/OJ + 3/2Rti6vP/BqWy2Np1v4VjDjV2U175qHf17w9LYAnaYM2+2mdV1LLbFqHYb/S6m7p6omyW3MLJE9 + omX27JGZ5+eHdV0zc2v1shunDFaMgRtkkl1WOZkuN2wU/8Tf+eHze3fa6POhp3lLubTbFX+yZtMW + klxRUtvNU5+fWW/hil21YilTD63KUFN26dgMKi9PdbVbBgAAAAAAAAAAAAAAAAAAAAAA4HH13qt7 + KSXWdjic/+ff82e+/uNfm9IwKFJb9LUkmUnKiLeLmFnXdb/fZ6a7994jopQS1EMBAAAAAID3mbR3 + kcD7vmgCke5bX43L9JeUpXnqKpDlfRHi/cH2ZK1TbgKx1gAAAAAAAHiGquuO/eGf/tS8v3feQ9Pe + 1E92u/UwKy2lbrZlUUuSLJ5g/iotZUqFqZtaie6RHuM4mGu5SCmG6C8vF//dx36XXjvXRY+mtJKq + Sld2udaWJlW5oipqeZ/MPQMAAAAAAAAAAADAczZN1SQdDl/aht+Uu2k9ZsqGfOuSUlIlLuNiH5Pn + Fm6tMK1+vElRM7yFpNb7yTisXr/9Zz6tu6npMkPXLC713ltrwzBk5vZU77337k79BXDjUt7lXeqm + LNIg7e3XvC2Dl536rmqsvWs971/4XO9NrNnaqvW8DzKZ5PKi6JJc6lKXy0OKreSRjSUAAAAAAAAA + AAAAAAAAAAAAAOBxjcPucLFExDAM0zSdnZ394A/+4Mc+9u+0RZYahmJmkor7MI7vcJ6t9Gm7H8dx + q5aiHgoAAAAAAODF5JbHOOur9GpTWoZ0a5KQ8R5kZt6eUGtirQEAAAAAAPBMFdPJXlP9xVIPp190 + cVjGMvTDxTRUpYdKmELWTd0Ucn+SmTS/ahfcPbplWEg5X6yDNA6KrszDy9m/8v657p8p2lBlsrY0 + L1WydVmG0VyXU7J2/G9RFD1R63QAAAAAAAAAAAAAgI4VDF2LLPzsYjh0T9VpSilNaZLk+aic5nGF + Kex6InZKKUv1VmQtlKFlbt2rhtTeVSSp9y7JL5VSaq2SSinLsmxHWmsRrBcDNymlfMO2jJDk0jg+ + yKynpxdNDy6Wua3DoGHQTQdJl2K1Vp0Mob62Wdm3o1djy2tDINMaAAAAAAAAAAAAAAAAAAAAAAA8 + gdbayclJ7z0izs/Ph2FYluUHfuAHvuqrfrOktfWIcFfvfV0WL0Vv0xIzIrbqp91ut65rZpJpDQAA + AAAA8KLKY6D1o7iWNzS2iMvXuJIpIDwF/BkBAAAAAADg2Un5rKrTe5/4X/+Xf3F3fzGV7D2Ukrqr + uaU8Td2s2xO1/M0t0Prqe1PWw3tYnOxqX5R9mxLr9eL137q2T338d6mdxXLh3aZyup7NkobRt6nY + bupF3bVuJ+tSu8y6BgAAAAAAAAAAAAA8FpNch5wPmjXm/s5p76245vnQXc3VPbo/eXR0mJp7c0ny + 1BDHWwnVYZDlvvgw7Mx2hywqg6ysa5dUStmSred5XtfL9eHeI2IYBknn5+fTNNHJBbhBKWVcdVYq + 6eW4PcO19NOTe5+7/8AGnYxlkG8B0zcu8jCfa7kYFHfrMGbR0iWladt7YtuwTZIn9VkAAAAAAAAA + AAAAAAAAAAAAAODxDcNwdnZWax2GYRiG3vswDB/96Ee///u//+7dnZmGoUSEFNM0RH/bmophGMzM + 3ed53u12WyXUsizP8K0AAAAAAADgKbDcetz4FqxieXmTTCG71pwnnyjSBc+VXQviyVuTfUPbFAAA + AAAAADxLbhpVR+3q/3N3uj9VG30YytpaN0uTKbQ1K94mSZ/oZ9jl7FtJlZQpPdUPrUpm8kEqOhl9 + eP2VL3rwqg7nnqF5lTScnEom01WjZF3GWLuevHk6AAAAAAAAAAAAACCluceulHvd9Ln7OjuEsg6D + V9PlOu9W4ZCm7upPWO7gkm1nC1OYZFrXVdHXiAe9vXZn/+pupzpIZRiGdV0jopQSEdM0DcPQWuu9 + l1Lc3czWdT05OZF0dnb2VH4PAN6aSVKRio4bR8p2fJwu0obd3kKesh6Zl5s5bpTrTh3UVR6eqYUk + Raqbaav0u6zIMqUUz2REAAAAAAAAAAAAAAAAAAAAAADgfSYzJbl7RJjZOI6994uLi6/+6q/+oR/6 + IXetrUsahmGe51LK251nXdfMNLNSyvZA0jiOz+yNAAAAAAAA4GlKV/qbgqtDjzJc/Cr6Gi+ivD2h + 1vwZAQAAAAAA4FkyaUxXjvrIh/7Y3//0KxmrrLdupjSF5KmS1ydHHy9M2lOWj+5raOiqoZIq7lZK + NM2zQlrm9WRfvnTy//prv1avP5D72eGim5qVQ7Yu2ZaKHaqpcZucdVdhPg0AAAAAAAAAAAAAnlAp + rqXrs/P/8E3fcnKxDtPu/ryGsoRqqEaWSEuFaXVb3bp94XNe8VTtql2eSmktaq7m6qZhsjUi98Pr + d4Z/fCd+z0//iMqQa2TXMAzuviyLu7fWMrPWWkpZ11XSuq7DMGznPz09vYnfCQBJMuUWFt2lfjyi + JqU0Dp9Tr2Vqs3ZlLFaqKdqNj6il6v3Df/M7PqEz17Ie2qxxkpm6SjzKtBaZ1gAAAAAAAAAAAAAA + AAAAAAAA4En13rfypWVZzOz8/Hxw249D68vXfM3XfN+f/bOWMsktTZH9bQsqhmEws8yMiMwspWzJ + 1gAAAAAAAHjRecq3xhaWlnGV4RKmINkaTwN/QwAAAAAAAHiGUmpSqasXjdPhiz/8WQ/b123i0yVT + bmHSppBFPv5GOE9JmXaZS50qKcuUld67j7upDCHVUfNFH84e/OsXs84OWi5OTveSLg5NtltlqWtd + hyOUSlPf2igDAAAAAAAAAAAAAB5fZihCF+d3Hjw8LdaW5XQ/ZFeYS/KUvbd82Ks6m3jjwm5fsgw2 + L+0iNd+9p3FSpNVxezYixnFsrdVaI0JSZm7tYLb7zJzn+YlHBeALSqlvH/68dg2wkEv78sd/8tMP + l+VOrdFS0hLy4caHVErZu39pFB0WmU/jJJN6blHWdrxexY2PAwAAAAAAAAAAAAAAAAAAAAAAvH9t + WdSZWWvtve/3+957RLh7qfYH/+B/+Kf/9H8yDGVZ2jQN+faFV+u6mtmWab0VSZlZ7/1ZvhcAAAAA + AAA8DSFJ9i46WiRhxHg6+EsCAAAAAADAM2RSkVKhqmH6uh/5Hz/3odPPrm2cqoc85JKlSmbJTMvu + j9mv3MKUstiSrd8gomjUEhlmKi1kg04i/tXXzz79db9bWqUooV2pXVoUIWkbk5oiFFq3Fz213wUA + vB+UUiSZmbtvDyRtjzetNUlsawYAAAAAAAAAACZVk9Q1zHES5+tF3e3jsFpqdc31URa1p2qohuyd + zveWIixCLqnE8SQlt7hr85an2u0Po+ZBvlOmuetyaaPWqmtrH28Yudk0Te/t3QN4JyE1aZVkUkqm + vu0wmaTTUfvap11ELr2laaoW640PKVsvxVKzbFGs1kMpDSX9sqwvtgTu2EaNZ+kt16nNbF0f/WVs + jb2e1wgBAAAAAAAAAAAAAAAAAAAAAHj3tg3w7t5a27bKe0Zf1lrrd37nf/ZN3/SNkuZ5rdWvmrxt + W+t1re1bxLFZZmvtKip7awR39Sw77QEAAAAAj2sr3N5qurfWHPzfJXCDLHo2lQx1r9Z7RqgUM5On + PLe46yQ15YUWEWa2LMvW4ug2XFSJtQYAAAAAAMCzZcqiWly16HT3a/td3+/PL5okV16+RJ4K226P + O4nWpQxTN+W1WzdLk1SVrixbS+Gh54cP81dE16uv2cWFumrdorcHKaSQuqzrsccAAO9/rbVSSmtt + GAZJETGO47by0XsvpUTEuq7DMBwOh6utzwAAAAAAAAAA4AMtU61p7bv790/LMF+c1dRYXVLK0h4V + zNjlwvG7F6bux5ViyXxLs04pZUXLEqenp+ehV9I07mQmM6JogVvCFa5wSS4VpSmlRbFIixUN49z7 + UKdShpCiP4t6LB/q0pZui7zJpOKSLWuLq9q+ywtIkZxk62fl7daptyroYRi2xluSlmXZDgIAAAAA + AAAAAAAAAAAAAAAA8MIZhqH3tVRb1/V7v/d7f/tv/7fM1Fps6dTu3nuXtDV82+/3b3eeaZrmed6+ + ZcvL6b3fhqQcAAAAAAAAvFlKVuL88GBuS1e6e4Za6q3aJ4QTbo2nhFhrAAAAAAAAPDspLS1DaiGt + TdP0K9P+c2f95ORDLunavGeYUorH7i6bW3fzbupm3RRSN62u5rm6UjJ5CS9Rtibm0qLP/cbf/H1/ + QA8OWueUqlQll1LZFalQCblcxxsAQFKtNTNrreu6ZuY0TcuybE+N49h7j4itk/hutzscDs91sAAA + AAAAAAAA4HYw09J+6uu+6WvObX++TNPehnIxRw2rsYXCXmuJko+3PJsWzTM8JXkqTCGFWZi1pnFX + Xjs/Pzud/qN/8Cl9eNLoSdopcGuYNEpVkfboox9Sk0JFrd7Z3btYDtm6Ity9TDe7fSNMSyqHUgZT + zop5Vs5uPtYiFamZmrkslVLI4g1XL9yct1unNrOtqVZrzcy2uOutRRcAAAAAAAAAAAAAAAAAAAAA + AC8SC1ksy7Lf75eLw+l++tBL9/7Lv/yXvvyjXyxpGIqkLdxakrtL2oKr31Jm3rt3b13XiNhebGb2 + VjlIAAAAAAAAeP4sssTcLupo8kzPNGWov/E1V00uCE95cW1dMm4J/pAAAAAAAADw7Jg0DDa3Jpfu + nOjk9Nt+9NPjR//lV8/Pukl2nDi7mj+zJ5pJCynNUh4q/WrDnEVahEVKJnnKUpaqbh8p9pWvPdRr + 99UuMucijZKlm8ylLcw6TSaV9/j+AeB9JCIys7VWa5U0z3MpZXu8LMv2eNv03Hvf7XbPebgAAAAA + AAAAAOD5C1MobHrl1ZfP5pNS7s8XMp1MtaRKStJl1LQ9bqb11Y+Qwi5P1U3dPOV10Lr04sN5SEWa + Siuk0AK3TB4/k13qUkimrNKgKhuWw7r33bjbyeuhx2GOmx6Ou8vi/OxVldBQTJbSejnOkPrVNSS5 + mjw7b7dOHREnJyeShmGQ5O5nZ2elsNMHAAAAAAAAAAAAAAAAAAAAAPBCioje+zRNDx8+bK299NJL + f+Wv/JWPfOTltnZdpllLaq1N03SVcv2WlmUZx/H6t9yqyBwAAAAAAABcE2s/nM8Phl1JtZ4p15Zs + rTy25vGUS67cOu1IN96CA+97xFoDAAAAAADg2eox1boqmkw2SftfG/cP9vfm4tuUZ0phSpMdG5fb + Fzzl5/EtlDotVZRlO48pZb176x5pYXmcb42W9fz8K18//8mv/VrFw+JrURwbJHe3LKbSVbqcnXcA + cF1mbg3BW2vbkYi4etx7772v6yqplLIsy/MaJwAAAAAAAAAAuC1Smpsi9nfqeZzr7v5kHM6X3tbL + 9QXL7sdka8/HXqM1qWbWTFeEbQHXljLJ2qqh1L2Nd4adhlP5ULwEa8DArZJSavvQNimlSRrJ8Nyy + AAAgAElEQVRTNqeyug2HWOd5bdF3p7tpUjz2dpLH4Kk+L3eH3csnO/VFPUprJinVTWly6RiYbE+w + sQVP7u3WqUsp5+fn2yL1lnt9enpKmy0AAAAAAAAAAAAAAAAAAAAAwIvEQnZMITJP8yzFzFKKcay/ + 5bf8a9/3fd97586JpFKKJHffttC/wym3rfW1VjOT1Fq7egwAAAAAAIDbJkyq+dkHvzG3izUkl5ky + zd3CFHbVkIco6/eD29MVg1hrAAAAAAAAPFMtuisGSXKNd3R672P/09/9lZemuVSXPO3Y8TetpNnj + dyq/bHFultXSJfc01zHZOvwq2TotZSkfy0kdXl4Ov6nPujjTfJ5nZ+Zbu2RXVmVNeUi2BWY//V8J + ALyQrsKqx3HUtf3K7r61ES+lXO1g3l4DAAAAAAAAAAA+0ELKqsMyDl5H/9xrr7r7WI+LvJ//WlOY + lI9R8uCZJVVS2tZ7zSRZutKL22r2ipZXskspeW9tMNZ/gdtk2zCSx0onkxShLq9Fbp/RvLx0Z/Gc + o63LtlB5s6ZhWl57/c7ZqtnUVNxL12CPhmfHGr+UEW797LzdOnXvfXt2GAYzq7VuRwAAAAAAAAAA + AAAAAAAAAAAAeBFN0zTP8+Fw+PCHP7wsS++91voN3/AN3/md3zmO47quV6/svbu/UxGWmW3R19tJ + 3D2C3CMAAAAAAIDbKYfJX7v/2Xk9hFTG2lOthVl5U2OLkN2aVGQ8vrw9odbEWgMAAAAAAOBZSskG + lzQpfOm5Svs7ulf/7xM7H6yEbS3FPdwkzy3l+kmUUAkvvZRwSZZyyaXwbKV3y+6RrjSdLX1VRJmH + i/t/8xs+oc/et1p7HL8hXc0kqUhFzbJJ7MADAEmKiIjIzIcPH2bm+fl5ay0ze++vv/76thYyDMOy + LLVWti8DAAAAAAAAAACFNKfCc13k8VKtMa9hqrthW0jYQqktFaa1aC3qT1Tx0LdIbMnTS3gJt9TD + IX/xS4ff8zN/W7txntfqVU26RcUdwAdaSt2OIfcWxz0eMskVkqb6iX/4o/+kPozT6eXd3dKiSn7D + n991nb90f+/LPrf8xNd9s1oqs0jeZSlLlVSRJPVrI8cz8Hbr1Ou6ZuaWeJ2ZmWlmW+I1AAAAAAAA + AAAAAAAAAAAAAAC3mL9laszhcNjv92b26quv7najFMuyRMR3fMd3fMu3fMs0TVfp1F8wpnqaJknj + ONZatyNbyjUAAAAAAABuHYtf//Vffe3+58rkch2bJ8j6cfrnah4p3vQAL4atFcatyrQWsdYAAAAA + AAB4xkwmhaJ7LTZIPXTvzh/76Z+6P45z8W7aehR7lCc7f+jYebxkuNIVlpJkIUt5yjJlIUmpkGrx + OgyHtb9U7SsfHnSY9fCsuElKU5fiai42mUwDgEfcPTMjYhiGdV1rrWa2rquk/X4vqbVmZuM4ruvq + ziUUAAAAAAAAAID3u3zjTZGKrnh0IEPL4Ye+6RtzXi3NUqVapC7mVZaW8jQLSddTSB+7cubqGyzT + 8/IrKxeph7sTlUHSNE3Zm55wURrAjfDtziSTbxcCc5kfuzNVnb90etbX5XCIVKk3/gEext35w/tf + ovrFVnRYZKX37uXqinY5ZJmkMN2ucrH3r7dbp661Hg6HcRylY1nmFm79vMcLAAAAAAAAAAAAAAAA + AAAAAMCTyEx3N7Pdbtd7L6VkZrHsff3u7/6uj33sd+Rl5dQX3Dy/LIukrUfclpqzbb8HAAAAAAAf + EGnKR/eRFmmStuQOG8JLqluEZ3el+a2LuE1XerdI6+HdFR6lbFMjprTtPmQRJmW1qCVqWHTLtMzr + nWwUsX3L9oU9q0zoyx9k1+6342ER3sPXXtZe5lbmn/1//49fO//lMlmElqW7+zAMdjkDFKYw3bp/ + I7zg+HsCAAAAAADAs2OSSyGlR/qaLp24hhNNdz+7O7m/3y+eqpZW+jabG4/bXtY8t5+Taatskfpx + ilzyUA2N3WpchmenlR6e6tKw9q947f7f+x0fU6RaKBXHl2nV2hUKV6+EWwPAFXff8qqHYdi2KQ/D + cPVsrXV7cP0gAAAAAAAAAAB4P0lFXi3rphRSl7oUIUVXNMWqWKUuyVbp/t08v2NT6VP0JvUoCpOr + e6bSUzXlSh+6hojyeCvGFlmURfKSWSRTdo+1hKqKhnJ2ouWecohoqiaLqyqfqz4v110d0bto7wLg + vbB0C7dUNzU7bi+RTGaubffI3qKmDVZrsap285WB63xipfRDrOeaxrQsYznuSdlqGiXTsRzTrxcN + 4oa93Tr1bre7eoGkUsr2LAAAAAAAAAAAAN6NN6+bX325PXjeAwSAd3J1mcrMiMg32Q4+30ECAAAA + AAC8jZAuqyTSr261jMvczKz3LikiSjEpzKPW+ORf/Atf829+lUnFpUyTaq3bjK6kqwfuMrOIMLNt + Hnh7mS6rpa5O3nvfHgMAAAAAcN32f5RXX5ZSMpPdRC+WkKc8TSF1j+6t+zHX2dIG1brWmmX1ZZ3a + LKmMklveqlvtslbXg85UloiltGGMkxKyVJrSFL7FdbtUyjzu/WRZD1HXRU21ZGbvPSyOL5bSQupp + GZbaul7c0E0yhWWawhSesi1QXDLPlj197XaY7b52F+f5uX/6y//wR/7R31peOj+0eShbg5zMWFzN + Mz0jLVMKFalYmhGe8qLZrqJmtizLdjX9ghfVN78g4in3XalP93QAAAAAAADAO3uUbC2V7eviGve/ + 68d+9B/9nt89zvNuiZ7dZDYOams+9oTYNvcWb3FQKm86m0nrspye7td1rof5y8ug8zPt72i3z6qe + mbaa4tiLmEJFAAAAAAAAAAAAAHiTlI7lD9uiqkmP+qlcBr72UDvszh9OUbKpukdGa9rtZAeZIlUk + DwspS4byWAT1rgfhMkuTZcrkqTApI82XtTWvfudl1b3Wpv0gy5692PjUfgUAnoJHxXL2xi9lrqzS + eu2VN59svZUiZsiU5qnwq1HlNkSn3BYAAAAAAAAAAAAAAAAAAAAAADwzEe3kZLcs7S/95U9+6x/5 + o5/5zCuSSrHWmi7DqjOz1nqZbnPsoenuEbEd2jLJ1nUdhmF76nm9HQAAAAAAcNOOCcopN0Vepnak + JIWyx1J86HZ46K/Y5JZ31zgv42JqSpfFc7+3dM/avcU4r/XheXm1noZdWF+adpKOydaesjy+s5z6 + /Xh1uLdb6sP1kLFmqXXcj2uEFNuvQZZhl7+frcHNjb0LK8fk7MzjP0BmSlnH4Xx+mDXLGIsOv3z/ + s//4n/7sT/3jT53fub/Wi3ApZVedN0yW0jHTWpIrXWRafzBsM3uSMnOapoh46hN6xFoDAAAAAADg + 2Uo3k6tKx7ndbiq7oo+89PP37nzotYuXS1M71P10fjGbaXTzGwuTDpPthljXdnYxVk+3XcR///Vf + /4d+5n/XsDuvNplVec91MJekvmVxAwAAAAAAAAAAAACOjtGyJrfLcGtTvwykrdoqfqSWmuNl2U4Z + Wou7e9SuPMbUuj4/pfaqFurd8lQo00ImpTyV20lT5eTOL2nWiWu/c3cpilGZA9waJpnralNGXrs3 + ySRXc7miREj5TDKtAQAAAAAAAAAAAAAAAAAAAAAAbpfMzMxlWb7iK77ik5/85J/4E98RoYuLWVIp + JSLGcZzneV3Xq28xM3fvvZdSeu/jOEbElmx9lXIdEaWUw+Gw2+2e23sDAAAAAAA3wKTaNXaFPE3d + 43hUslEZ65wPf+nhv/gHP/epoZ/6choRKkvaIrkUz/3ew4c+SWp+iGE9lAe/evj/1uEsrG9p1HYZ + aO2hkpLPr/ov/cTP/bC6q3vRlF0ud6upLsnzGGidx642kRY3N/6wSIvM7D1779Gy9956T/WLZQ61 + nsurDz73q5/9lRbzMNZlfxHD+uZ/R7z/ZL6rGB53b63pMtz69ddfd/d1XYdheIqDIdYaAAAAAAAA + z9DWrDxdrpDKVQfyMujOnT/0Yz/+s//21300HvZ2qG0+OT05OztX+o02I744rOOgoVhrIdld6V85 + P9PFmWKpmkJqy+F0HJQZy+LjeHMjAQAAAAAAAAAAAIAX1FYk0XUZay1JbltUdfoxgrZLc7s7t/Xs + 4TDu1c4jtJs0z/JjfdDld6bS0t5V5cU1FspjUvXV91oqpTLsX8v4th/9WxqbqlqLWj0inGBr4HbI + 7QIilbx26FGsdVyF3DuB1gAAAAAAAAAAAAAAAAAAAAAA4IPKzK4Cqn/bb/ttn/zkX/yTf/JPuauH + JGXmFnKzcfdSyrquvXdJvfdhGJZl2Z7d7XaHw6H3vr1sO/Ic3hIAAAAAALhhJeUp27o4RA2LVKRp + 6erqPfo//7V/8gu/+vPtYDX3kse4ht2W3g4lfGzFpENbfJcx9PvzgzqlqsVllxtPWcrTLRW+/vry + mR//2R+ez1treTKcupXsytZL3b7hWqx1WlqGhW7s/aaUbrrMMPbL3jhhYZZLX+Rp1XQvfPALXczL + RTH3x+26gxfTuwm2NjNJ7l5r7b2P4yhpm817ioi1BgAAAAAAwLMVkinkXZKiSEUuq9rd0b3+ykv3 + vrgdPpS6WFS1juNObb25sXjqdKqHucWQYSo9h/vnv/Ve/amPf/3H/9k/y6lOXsqw09mZdjufxtg6 + rwMAAAAAAAAAAAAALrmOMbNbMK0kl5tUdJlKK8mkiB/75t//VYf5wycn69mrVfJBhwvtR2W7draU + LGRb8U9eZdm+G+EhqWTKjsnWntnNz6IfTna6u9edUWa2/RBCrYFbJqXLOshLdnkNCPdwy5BS2u7Z + wAEAAAAAAAAAAAAAAAAAAAAAAD5Y3OWuzC5FHfxjH/vY93zPd/25P/f9JtVivWuLqXb3Ld96Xddx + HJdlqbW21lpr+/3+cDiY2TzPkkoprbVa6zzP0zQ97/cHAAAAAACeurhsDBPKanJPD4v0VgaVIh/V + o91f7+eoaTg3lUURt6ajQ0kdxlbM197qWJbsZTJ5SVOuXVvviWt9KsKbncar8epwYhF+0JppKqlR + tjWjsdh60oRJ6ZLSdJMx3p6tm7lJZlZMZmZmIUW28EzP9GgROcuqfJDabckUx83JzHw3odZS7317 + /bIskswsM/1p900i1hoAAAAAAADPnKlLsTUxVyhdpoPqbnfvG378x/7P3/nv7np9Se2wru666T7i + MbfqCtM4WhzytJT1/v0PW+rs7HS3Vw9NRbudfJtidvoiAwAAAAAAAAAAAMB1xwTry7DZjetazY9v + j9vJst65WOd2fjLt23zhq3ajssnSc1uJtdC7q7h4s7BrIdqXj0zqpsO0+7VYNfnFctjv7ri89VYL + sdbALbJtxkiFXRb+bZnWuX2iw4cwWYbHsUIQAAAAAAAAAAAAAAAAAAAAAADgA6aUcnZ2Nk373nvv + rQ7127/9j//iL/7iX//r/+2ytFprREi6fr8F5PTe79y58/Dhw4uLC0m1Vklm1lrbUnCmacpMM9pt + AgAAAADwftM90q63hXFJyrqszZrclFKp8lE91xZrpPLWzBBEaknVGlHUS19XFct17l2atiTeVJh7 + KiSZ0qKblpCGLCXmPmeoFJkfW9pcJXZvGdeS0m62i8WwHzLSUqnWemSmUpmq1VLZU5JKUbrS3hDR + DUhy94jY0tBrrcuyXD1+ij+FWGsAAAAAAAA8Wy75tenQlCJk7i6VQbv6C/f2X9Kmk7kV1+DKiBud + PHUzdx16LkueurXeh519yWh/43d+3bf9zP+mlz40n83T3aFLLdro462ZQgcAAAAAAAAAAACA58yO + dUra7s00mGJLuY7jK7qpK9xULU9bvOTuZuqrSeZSlh69vnEh1jKfrLqpe0oq/Q0Hl6JXR4+PfFiR + +91dSdHTSgmZXybpAni+TCp6VANZ9CjTOqSSUiu1V0ndwlySSrzD+QAAAAAAAAAAAAAAAAAAAAAA + AN6H1nneT9M0DRFx1mapDsPwPd/zZz7zmc/8xE/8z701XQs/cnd3X9d1HMdlWR4+fCjJzEop67pK + qrVO09Ra672XUiKilPLc3hsAAAAAALgBaWollu3/+DOULilVlF5N5qnsEbIipeZVksbbND0Qrohj + D4oIFVfxkiX3w7DNb6SUdpXDHZKmccqYs8tr7bFWc3fP7OYpybdk6zTJU1UKU/MbC0QJ2TqvZvKU + mdxkLjOX8nDIYVJ170qlesuU3I5jvKHx4IWzZVpHhKRlWcZxvImf4jdxUgAAAAAAAOCtmeTRLx9e + n5G2lEy6d+8P/cSnfmPcn5mVoea6+k1mWkuSDUvLXdHJqDDVwddD+v0Hv+Uw6+xCFxfTyb6pPljn + 6qPypkcDAAAAAAAAAAAAAC+UbRE1jjfbqhTyMp82lYpUSF3Rhmi2HMwzeiujdyl71DJc1QZ5pr2H + uhpLWW61Q4/G1tzPpumb/8bf0LhrPRRW3Ap51sBtk7LLi8f2Ae1Sly5r7WqobMWE29fBhxgAAAAA + AAD4/9m731jJtvSu77/nWWvvqnO6+3bfmTvGY4/HJiYm4DiYJLKIgsFjbEMwjBObCAYECVIkK8or + rCDe8AYpoCCDYiGZEGOMZCnKK3hhQgA7IcQYOygEgYgQwUo8ZmIY2+P7r/ucU7X3Ws+TF6uq+ty+ + d+7MvXNP//1+tO++u3btqlp1us+Wev15fgAAAAAAAAAAAACAF0wppZRyeXl5cXExz7MUrS9nZ2ff + //3f//Vf/2vHNdM0SXL3iGitmdm6rmYmqdaama01SWbWWsvMEXQ93vzJfTMAAAAAAHAjQuqmcIUp + TGlxzNswy1pUi22UyvCMotTZVDMeVpJ54puFTFK6UtGlsL7muo9oaekm2SGoW93UTWm6utpbmmcp + PmVYy4iIrsxUlzLHZpGWmZlpozTODbU/c5q8FLNqXiRXmiKiZ242KsUjItbMtOrVZZl24/kseNZc + 77vLTEm99w/2I4i1BgAAAAAAwOOTiqboakWaJTVX+KhrXk3K1GbS9vwXN3de395eFGXSsdz4DTXI + FZpVsytD3XLJ9KJbUT724MHf/m3fot5kWjK20y1TmtGJCwAHvfcxdLGu6+lkRJxGMiJiXAAAAAAA + AAAAAJ5bD4cC4hg9e9TGPta+FmVdF/Xm0cyzWbSiJUKuMPWMY6p1nmJqR0D1e+Kpkip5fKPjy7vZ + 65Lu3NMSHnbIy025iLYGnkLHm4kp5YfbytpU667WJXutHiE3yiS90JZlOR1HxGnPCDUAAAAAAAAA + AAAAAM89pgcAAAAAANB7L6XM86weFunurS/37t39gR/4b1555Z6Z2rq6SXFtrZbZ+Df1CLQeMrOU + EhHuPkKvM/M0Y3+/34+D64XmAAAAAAAvmtMQ7Tg4/fvxSbYJ74eHFCbZiIluIwDaM7JltqyaPWbr + 02RnbXXJ0/SUbEop52yzxbZoLjmV8LOpWm+msBxp3SELV0iudHeXm9z26+KTWfGwyEOq92GfFsft + dOZm2i9FRihD2aWx5aHRWiPCZVWSIsJUTNTTeCGY2X6/L+WLuqm21sxsBECMjr4Rcf0BItYaAAAA + AAAAj1m60iTL0QvscuXoTa2mqWje/Pb/5W+/fu9DF5nqj6E9nuYx2jKm3WWZQ3cu7t958JqiaVnP + zF3KHtLNpmwDwDOklHIaQj7lW7v7eDiOxwViRjIAAAAAAAAAAM+9kRZtcVg8k1LVGPDdluLrXiGt + vWbTtcU8IUu5pDDFIcU6voRB2fSUpG62uqWZZGnRXHbnJbXUfOZ16kvT+4rNBnCj0pSSSUVjDodM + cvm67HW+UdHnFD7Pyz4m93Xtzq/wiyoz53lelmWsuBuj1e6+LMtYfRcRu91O0rgAAAAAAAAAAAAA + AAAAAAAAAIDnXmZ+/OMf/0t/6S/durWVVGtJPQwei4hxbGan6nA6JmSPM9vt1szmeZZ0dXW12Wwk + res6TRN15AAAAAAAeLale8pzlFsJU7iaazmmXB/6DUIW8jAfictPy2YujXgTeUo2ErqbKUpGSbmi + hDxk6SV17Pi4/tUiTTqGTOepa8ROH3KzX2F00lwvdBMPu2fk8fCPiXo4L5QvmGb9OBFrDQAAAAAA + gMfHpCpVyRWj/LBcKYXCPGSty7U9051bP7eZ9vN5xnjRDUqpm9aipaikpu6epYRva//yW0W7B2qL + rVlCxa1f7W+0MQDwDImIZVkiYp5nM9vv99M0RUStNTOnaZI0aoUvyzIeAgAAAAAAAACA59Nh8Dfk + WqUmNZdcKpJpvbp0me4vf/ET3zH3Q2x1muy4yidNeW1JTdq19T/vtSGpNO2r9sW7eZrC1M0vu7Q5 + l7lkPlVJbdlL8f4TtAF8oFJapXXcNjKVIalIRdrOG0Xozub3/28/kVPZSNZjM2+fdJPxZPTezay1 + Ns9zKWVZllLKOD/Pc2vtVEtrt9uNpwAAAAAAAAAAAAAAAAAAAAAAeH6kP9wkSZ7hGakui6//+l// + p//0f12K1rXX6u66HmJ9nbtLMrPee+9d0n6/H/PwT3XkWmvTNFFHDgAAAACAZ5qn1yi1q3aVHFuY + whSyJmthrZfWvUVZo6zhEeb51GxhHh5ReloLb+FNFjLJdChvkyqpKVS7avcSbhkmWcZxk2lEeo/X + XTtQmOKmv4LklvJ0D13fLA9p3J7V008bEcPPt+v9dU9PsjV/5wAAAAAAAPB4HQqQR5fkD0OrM3tK + aa4662zzPT/x469vb19O22433oU1es117EFWuhTW1V/95b/6bZ/Q5aXWxTPUosxMpwOAA3ef59nd + Ly4uJG02G0mZuSxLa03Ssizb7VbSPM9jvjIAAAAAAAAAAHgOmbrUJZlSEYoRXN37Ie563sy6WtTy + lV5qxOFFKU95aqxzkiQLWYzx5LCRfa2HI8pftPHp4WMg2CU1130zzbN6yHys5qibTbb2wfwEAHzJ + 4vjLqzwdSZJJ+/2qedJ2UtXebOlyq7Esp+pLeJFN07Tf7yPC3TOz1irJ3SNiu90+Pev3AAAAAAAA + AAAAAAAAAAAAAAC4Ub33UfDtE5/4xPd93x+R1FqMtVxmdgrLycwx2T4i5nnOzBFlfT24OiLG/Pyx + n+f5cX8ZAAAAAMBT43r86rufxNPMwy2r5QhXliSZTjVe0iVF+iHiOqxJMa56GvZSSE22pLf0OLT5 + 2t/BU2p1SXnK8hDQe9jnW/cpj0PRmxIqKcubbv/4CgqLQ5z4KZ9l7E1ShD3cxvXA41SfdAMAAAAA + AADwIklXSBbhPsqaj/7cIsnKfr/6XFVcm6qXzj9756XbV+tHlgfn7QZ7TsObLMuxJHpKYSHLMuml + tI8/uNAbb+jWxrxov6hWURUZACRJvfd1Xbfb7fn5+ZijvK7rZrMZs5OXZRmzkNd1naZpnAQAAAAA + AAAAAM+l66mhZQRSh0pR9Mx1XzZSKdpfbfdLSUmyNEk2ImkPK20exth2l6S0w2XvlUuWbmmnZnXX + m5NrLtpsIuRFkjKb1fLeU7MB3DBLWSjL+BVO02beyGLpy3xr09w3LslNxjK8F9MYejaz3nspxcw2 + m814qvduR+4uKTNZEQ0AAAAAAAAAAAAAAAAAAAAAeL5cL4j5cG3FdqqKLovt2fyH//B/+ulP/9yP + /dhf2+/7CLEek+3H8eFd3Jdlcffe+2az2e/3kiJiXDYOIuI0P38cAAAAAACAZ5SnZFI+zFR+i9NJ + C+mQ9Gx6WvZph6NDJHcqJJfCpGOItWwUrJErIkf9Gbnc0l2yDLewQ12at3z1IvXxrW+m/akRHH4w + Pvyt9TLi+oGl4pQ+jufa9c66J46+PwAAAAAAADxmLtXTgziccck381kukVIrpu3m2378b/7LW5ur + Uj/vO33pLGTdM0qohHlKFuk9LfqibdPH2/pj3/ybtey128ldN9oYAHimlFK22+26rqMOuLtvNpvW + WkRIGpnWvfdpmpZlecJtBQAAAAAAAAAAN8wUSlmqSlWaXa2HVytnU7Sd+k7SrVolpclTJeUPl9CE + KT0Py35CClm8nyDSQxB2SZXQablEc//P/tZf11xjtzsWUlHLSHuKlnYAL7gxcaSMB3bYuil0WKIX + 01apy7asIXm1Oj3R9uKJGUnVZ2dnd+7csWs2m02tddzke+9jT80sAAAAAAAAAAAAAAAAAAAAAMAL + ove+3+9rrcuynJ+f/6k/9ae+4Ru+4TStPjOvx+TYcenWONjv9/M8l1LcfUzOH3HXpZTMbK0xPx8A + AAAAYO+nDAieImGKUeHFlKOegx0iri2lPFR6GDVh7MYynt/f3iTLw6ZTMrQpUyl1827eTd3UPbpF + XsvATpPS01yqKVcefgInoxLOzbb/WoWbL1Dt5vSjx/NudNadeuye+D2WGB4AAAAAAAA8RiaV0Yfr + 5ViPuEuSe1e2Pk9FoVqqpq47Z//qwy9/1dUD7W+uQXmYH5c2DsMjrMvkXXXNW/fv/xtf8RW6f6XN + bc2lZas231xrAOAZMiYoT9O03+83m804WUoxs4jIzFIOZefneV6WZQRdAwAAAAAAAACA503KTC4p + pNRhfKBlLZ5SKMs8KUK52+12YQpZSfeUpaWl52GN01hUc1j+JJXwY8j1FytM3STZ3Mf4r6dUsjeX + tkWzuZ0rMlva7Ka6V9uwlgd4Oph0zKkO2Ui393aYZqLeWp+KprnOGy/3s7c12/SkV2ThiRgj0eu6 + rutaa+29Z+YYkh61t0YhLUaoAQAAAAAAAAAAAAAAAAAAAADPJUtJnnZt1ZWFpN77+a2z3dLMcm37 + yPjzf/4HP/Wp3//z/+L/ay2uZ1rrWETu1q1bFxcXkqZpWpbl8GZmY6L+5eVlRIxnH9eXAwAAAAAA + N6J7tPL2Ei6u9JGyXCRplHqQdEyEfnqcykukQlXyEVbdLTSCqw+Nf9t3fPhF/FDE5vhWp3hpk5Ty + 91Dh5j271vzREr/+bFhI8oxHrgMeJ//ClwAAAAAAAAAfkJS6FJKP7ulrXaJW5NOIvOyJDd8AACAA + SURBVM7oIS86v/U9f+tvvLY5uypTNw9TN+/H7tTjwzKe+hK7V0fHcXik5eixrXP11K2U/cIv/pXv + +E5dXKmvqrXr0EZlG73PefxeOTqsjyf1pTYKAJ5qZlZKqbWen5/bkbub2fn5ea1VUiklIlprVAwH + AAAAAAAAAOA5Vo5LdKRDuLXcJPXoKVvkSlOs9zynGHVTZDoM05oO+dU6vYO+1KxaS5XUWG4U8m5V + dZa5eijNqi9rHlca3eSiIgDvxZivkfKUy1ynhXkmn2rKFKn01mV1rn52eD794f5L9/Z3y1LCtTZv + qytCfvhYG3e7cT3zQx4fd4+IMTDdWhtnRvGsiJjnufcuaZ7ndV2fcFsBAAAAAAAAAAAAAAAAAAAA + ALgR77Akapqmy8vLcTxm3X/kyz78Qz/0351tt7X66bz74djMLi4u7NpCrlKKpDEtv/e+2WzG9a21 + R1KxAQAAAADAMyRM3bNbpEWa0kZhh5qqypqqUlW6pduo/JA6Ri/72zOYPw+/ye3QKktZHro2Rmsf + qTXx+YvIxLs9mfJ3+Mof2GanRo4fch6+0cOfXfqhAddOho247kfPxPHkMSEb+GDwlwkAAAAAAACP + jx07UE+lh3W9N3o8beZlkk/anuvs9qt3P/S56ewq3bxe9L4UT8+w7Kbmtvd571M/dKGmvcfpbmHW + ZV2WluE9LSWVUAmLribJpld8/rWvXui1B2pLKHZSl9Sb+qLsUoS0l5rUFYqu6Hk975oJeC+k08zL + UUO59z4OHs/nRsTpeEwMBW5ORIy/cteNouGDmY2IawAAAAAAAAAA8BwaQ78ZCsnGwzH822WteMms + Gedqsy7vf/jy9W1rJaXDSqeQxYi49jSl0szTaqiGXCF7b5nTniqpKruMZvPsRfveynzWWlVupDPZ + ZiwyKpO5VKXIkBQRZpaZow7L2Ndar5dluT78AeDmdKmrKF2pSVEUzbSMu4t5NBVNS481o5sr3cxN + xdKszBGZoQzLsDFifliXqDSl5dgOHxSmkIfcrPZIhZlPlmZWe3ZLV/U1c5fx8vaO9lfaPTD1vfp4 + g1XqD5ctNqkxP+SxyaNxfBqwHgejipYkxqkBAAAAAAAAAADeq+uj5JIy8zTmMk0T4+YAnman1f1m + NkKbHjFOPtlGAgAAAAAAfCAOy7KupzGlKz26apk9Vc3VW1HGunz8qz/2Qz/037qlSVP1iIevOk3L + l7S2VaYeXXaYjT+m6D+yzOo0gb/3PoocEncNAAAAAM+90z/9xsEYf+ffg8+akLX0UWZBIQ9zyR/J + hH7nFyrCIlzvssk0Sjjc2HaNhWW4mmtxLUVLyVaj1YgaUUO1axSuOR7Ho1vX2EoctlH65ubaP+rn + 5LFXJy3iuL+2jav90OlzSB8/JFtb+Mgj737Kuo5RNMPSPa8lZ+NZcOp5W5bF/VDs6InfV/k7BAAA + AAAAgMfKTpnWbz9j1wqdW1Wt2my+5a//9X+1mTcv39ut67yppZR4W3r16EiVTh2pX7T0UAnzuNYs + T/Pw1ttmntu6r8vy4fsP/u63f4fuP5i1VumYTjy63u1q3zWyriUplAoFwykvuBH0a2bTNE3TVGs9 + Pz9/+/LXD9wYzyuljIeZ6U4nMAAAAAAAAAAAAG5ESn2M0ppkLpfcDwOvlrHslemm4tKaf/d3ffLe + umx697cNph7P2Onh26/5IltkIc+441Pb76/WZT4/+5fr7vVNkdfDYLRLJpeKokgj4NbdR0JqRJz2 + l5eX67pmZmtN0mazORVeAfCBS6nbYT6GjcchiyjqFjKpSIqYTMVCttQpItd0k1uP1tX6ui919lJV + XMXDSzd18+7qZilLszTrrhjnx1Outbc6b819bcuiJqlOGymWtdfJtn5++fqbP/It36J1r2W/kVlK + Kde4kxxbbBorCQEAAAAAAAAAAIBnzogeMbMxLD7WpY79GDE3s3Vd53le1/WJthQAAAAAAAAA8N54 + xm/4xm/4s3/2z0hqLUqxCL17fcLW2mazkRQRo7bhOJ+Zoz+5tVZKKaVk5ulZAAAAAADwNPM85Df7 + sa6L5TFx2UJq4S2tjciPkfcRch0CsKV3rQMzYj5OMcw3vj+0+e37a7m8pwabHrnmWBjnLfvH0PLw + U9L1ISxcilPQdVocf/Iu+UjaPnyD+LxdOYfQa2JRnjXXO9yebEuuq0+6AQAAAAAAAMDnV113zl7/ + 6Cuf+dl//rWTS7nsllWavJSMkr1qkRTmoTDL91Hc3CWleZqU1wsMe7HIxSer0c7UX1r2urrU5blP + vk4bFVeYdos209mm7KQ6qhWn61i9vTLF7gVWSjkd997neV6W5fF87ii4P/qjx35MCX0Mnw4AAAAA + AAAAAIAXTZe6JMntYbyrpbR2L5Mii9suVHvPBxfz1VJueBTV3bJnqtdS63T+2d3lr3z5S5/4yb+h + 2dPVXSaVkOUhhtaO42in8tySxojbNE2nyt3j4PogIIAPVkh7SYqNoqgodViW16OYirTqStrvdq9b + 7bVrWdpcldmWJpfqvbv99Teu2uKS1ZJSKKWxkMulhwv2UkrL0GFlnqfmTdntd7NpOj/T7ir7aqWu + mSpqlpOtt+r2lalqXbXuyzQpNpLXOL6dq5tSKtc+BQAAAAAAAAAAAHiGjNHw3vv1BaqZGRHXB8rH + SPoTayUAAAAAAAAA4H2Z5/l3f/I7/9E/+kc/8iM/OtKRSimnhVQHo4znSEIy2+/37j6uuV7GcKy3 + OsXtmBnJ1gAAAAAAPP1c8qg1TmHPIR0CnsOkkY5shwIyB+GSxyH64xgIfXjt9Tc+nIx3yopmf32f + X7gHJSSluaUs3SPSJcnTTSrhYYdSGZbywxtGWqRf/wPCM+bpSbYmywQAAAAAAABPsVp1++y3/48/ + Zi/f65F96fO2KFXnjadKZI1WsitN8jz0fb/PrjcLecpzVDAPL1q61jXnyW3/wD73iz/xH/0e3X8w + WVRpt1+kovnW+DRXVsnkkuk4ry51qISOF9Nut5vnWVJmLssyz/NjmHPZe4+IUSxgzAQdD2/6cwEA + AAAAAAAAAPBiGgsSUt6lLg9516G6iSSZr63XIk16adrc2ZzbzY5cmUwhudfWl7a73Nw5f21yTVLV + KrURwm2PjiqP1Go/KqXUWiWVUpZlGWdaa4+WawHwgXKpKIqkfOt0i3VVajLXbv/S3btrV0rbM3Op + d83bmqb7r7+xk85eur1U301+OdeLzebBdvPG+fzG+fzG+fbN43b/bPvm2ebB2Xy52Vxtpt2mPIjY + 3rsTU9lfXkUqpHVp01lJUym263vLLMuq3U6Srq6kLotD81JKT9UuZ4kWAAAAAAAAAAAAnkWZOZam + jhSTU6a1pFLKuq6Z2Vq7nlMCAAAAAAAAAHiGmNlrr732J/7En/jkJ39n75K0ruu7XD96gyNis9mM + 3uMRdG1mrbVSyjRNkkbXMZnWAAAAAAA8A9JLWAmVPGwmFcmkEVt9+ud9mtJGxMbDHGvTIU1Zx0zl + t295ei3b27dRRSM+70/vrVt4jh/4Id/a0v24LyFPmaR0KcIjLcKCuX3PoqdtTmZ90g0AAAAAAAAA + Pr9StN3obHu/zvvi2Xvd9W2dc9dMp07ulFwpU39/FYItD32v10+623bK3aro693t2VeV6fIzv6AH + l5penT70ZTaf5RpWpcje2rZOlpJc7pKKwnSoaO5yptq9mLbb7bIskmqtvffW2uPpHZ6mycxGef3W + mpmVkRkAAAAAAAAAAAAAfKBMqlJKXYrjwGjXYfHSuGLNVmTV+huv/rJCntcWM92AnhmmYlnrZmn7 + q3VZYqO6kZl0SJ1NyXz8F+u6TtOmlNJ7L6Xs93t3H6VVRl2VcXx5eXl+fn6D7QZeeEVyyeTqKSmL + QipFSqlO6l37Jj977cHy1ZvZl3W9yuo2TR6rspSzabps/dW1rWdn+2L74ovZWpTybso83XdiTCzx + jBKaI6Yet3y+vLj0tb9yfuY9JC/LLnZ9M1tfbTPd2i1rsapatV90966KujxPK7JCxcYtBQAAAAAA + AAAAAHj2XF+F6u6SImIcXFxc3Lp1S1Kth8Gx/X6/2WyeUEsBAAAAAAAAAO9PvPzy3fsP3vj+P/On + P/3pT//jf/xPJc1zXZb26IUpSbXW1lqtdb/fS1rX1czu3bt3dXV1CrGmuxgAAAAAgGeQW8ao+uLH + TOswPYzouJaiYBnSMp56ZH9NHF41gq+frojep0lKOfsjYSiH4PA4nLxWjceOP+eRa+GS0lweCjuk + ZOfx+gjvaaGk5MUz6alKtibWGgAAAAAAAE+vNNe0se35q7dv/8r91z88X9VF1qJlK37oYA3JUxqB + 0u+xALrnW7Osr33y5S6nqu2si51SV3du2Vet+vFv+7bv+D//vu0uNL9kc2lNdTJvaQqFK6WiNFl6 + sXjbND28QCIiM6dpWte1tebuETGq4d/o59Za13WVVEpZ13UU2V+WZZ7nG/1cAAAAAAAAAAAAvIhS + SplU/LAkJo6n1VbVKmkzb0J7rVdf+au+rH3mM8VvMtRaWrrOtnPfrV05n5/P26JWtB7W3pTTuh07 + jC2PEb1SSiklIkY5ldba9bLd67qOTOtTwW4AH7yUpZSuDLlC6pIUbhmKUiRtNG0+8+EPlwf3N13e + c40+beb92uVm03zVVzs7/wef/vQvSctxG++Th4WQB2O+SZVmaZLuSJ/4mq/23e4Xp+nitTc20zTd + udWjhdYWvplfenB++9Nzaj7T2dl49Rj476Zxm7D3PF0FAAAAAAAAAAAAeFqMEOuRUJKZp0iSzLx1 + 69Y4M65Z15WQEgAAAAAAAAB45mTm1dXVPG+vrq5++Id/+FOf+tTP/j8//w6Z1kettdNe0jRNEbHb + 7UZH8ehP3mw242B0ID+mbwIAAAAAAN6v7gpJLj8WXzil6VoeK8akKz1tPGoyxTEKRDocv4NxPkdC + B/t32F9LA39rsrWO17xDJniEfPzMTXJLKVxKydM9ux3eOSWFpYtk62fV0xNsTaw1AAAAAAAAnnIu + 2Sf+1t/8qX/v390+2NU1y2Q1a8sWJpeUsnBP2Vs7wb8oFqee3JTH6fMyzidTiZRuzTLT1cXlS/P8 + ZfeLXr+vulG77Ldv90mSrLhSCsnUTZKKpAwz7zqUMMaLxt1772M65iiFv91ud7vdTX/uqLA/Pn3M + AXV3Mq0BAAAAAAAAAABwU1KSLFWOq48O/58nRaYp2zJZ6Gr/4PVX62bOdb3R5pxt5v1uqV5q8QeX + l1dt/vDdL1Ns1L1I5Tic3E/51hHTNElalmWe59ZaKaXWKmld1zHSNy6QRKY1cIPGMjuX5LKxHFKp + XKWmXmyqVWV79nv+4f+uqwtZlRWZq3XVSZKWps1GLf6TL/vIiLKORxbz6eFCvjw+NKlIRfrj/8c/ + UHFdXmp7JoWWRZsqb5LUZ+3av3/3Jc1VkdKs9MmiS6443EpMLjexxg8AAAAAAAAAAADPnhE3csq0 + ziMzGyPpETGyrk+j5wAAAAAAAACAZ0gc1k/FPNftdvuDP/iD3/3d33N5uY+3FO58uCaiVo8ISe4e + EZnZex+lFO/du/faa6/13jOz1tp7L4VymwAAAAAAPO3CFKZWQscQ63EgnYI93NOVHuYWlubpb6/Z + cHirh459C2mSPA+xIWL/yF4Wshb5Dj9PN4XJ860/WJNCqUiXMtJb5CEPe1xlaZ6Hkj/v+McEvA/E + WgMAAAAAAODpdehBPT/Xfv/ZD73yNUvrD+7L+34XmpSmlErKU/bub/RunxGekjxM3SXJuySpRW9K + l6QiVenMy4fX9le//Xd890//lF6+E1JLmalaqjfZLD3a7UvF4hfWmGSZme6+rqukx5BpPYxM61pr + a43pngAAAAAAAAAAALhZY3g0JTuspfFxrneV0ntWr9otMntpntf7F9Xf/9DuFyP2y6Z4uF+t663z + szfL9OpulU8yd0kKyZUqx5aPNkfEyLQ+ja9l5qjHPfaZuSzLZrO50cYDOCZby6XQoT5SUQkppX52 + pizl9kuSK23flnmaT2HSy26dt5uflR/vQ+O8S2bX7lWpkWg9FuaFlIquV15py1pfvieprfs6baQI + NZdpCb28kXmEvD5ckylFSOXhLS2YJAIAAAAAAAAAAIBnVO/d3c1sjJuPQfPMnOdZx9zrdV2naRpx + 10+6vQAAAAAAAACA98DM3H2/39dazeyrPv6VP/zDf/EP/sE/FO2dr2+tSRrFDN19dB2PUooPHjzY + brfruprZKLT4OL8IAAAAAAB438Kym1xKU6YsD1UYPEeJBoUe/Xd+vnWm2IjBzreccilshHmk27XL + 2F/fS5LF9Z/n6fyh8sW1GjiHejimsMPesrk8LDwPLxxlOTx9ZKz4W/5UgPeJWGsAAAAAAAA8xVLK + UO+6e/f3/I2f+Gf/zm/62La13dU0aedKqYYsTRY6dn+/V56jWzfSPEyShaWnm6Io89gPW91y2d2y + s69440oPFp1f5Gwbm1OZsijyGG+jMjrdTSQJv8jGJEszi4gxKXOcjIib/txRPmBMAI2IUkpEMOkT + AAAAAAAAAAAAHzw7rEEyKQ/xsCNLNlSL5KWYltRl+x9+2+/85tYn000vhBlDcmuPzVzU+pX89Ttn + 2k4q6pKZfKzt6YeGjnG0sa+1SiqlSHqkDLeZkWn9RRpFzMcPcFSo+YIvOV02CqNTA/0FdfqbkiEb + dxSZrEiSl5Sa5GplXqQpZdI81Ydh0qn58Es6Zm+c3rErj2nWj3ycSRqzTUJSnaZxUZ024z09Z6Xk + Ps67SXGYmtKlJje5m0zqko5h2ng8SimttXG3iYhxGzGzkaYwrjmdfLJNBQAAAAAAAAAAePqNgXId + x831tkFzSWMUhsEXAAAAAAAAAHjmZOu1Tqu7u+92u81m843f+I1/8k/+V3/sj/1xSTKZnRb1lNNS + oFE+cdROHMeSpmla13Ucr+s6z/PpeJqm/X4/VmAty3J6CgAAAADwHLheO2KaprG++8k2Ce+HKfKQ + bH0o1CC1tFI8IiKXOs9msd8vSlU71Ig55Sq4+aNVRNLNzeWmjGyP98s8Y8I0fmnyEG0iSWaKLncP + eUSkqdaapoy29pi2alKEYlL0iK65KtbDn5zlocAFmdbPtHVdM0e5pCf/B0msNQAAAAAAAJ5iFsrQ + ZpaK7rz86fS7LV/ZqC3ySSGzVMrDwnXsPX1vfW6HV4UUphiFjU+Vi2VKlxQmSa7Ytvaxff/xb/32 + 7/jHPz3nul/XOp+lJJVDpXbpfQds43kyBtVGyPRpiOWmM61PH3EazxuB1mRaAwAAAAAAAAAA4CYc + gmelMrKiD+OqMl0bF+uhfX9p32pE3vxA6hIxbze1rdmjR9qdO9/zP/2YzlzFR+p2kerD9FyXnOFd + 4KnwcMpHKsPMilxji4fzMeJ45zmOgr9tNHxM+dAhrPowhSOvP3s8sLc9+5b3PKRZP3xJHp7pdoi3 + tmN8tr/lroeb1VobmdbTNLXWImKe52VZxkyJaZrGJA0zowwWAAAAAAAAAAAAAAAAAAAAAADuvixL + 7/38/NysLMty9+69T37yk//8n//sj/7ojy7rmIafkmqtp9TqdxQRm83G3cdk/hGGLWmapt77yLRu + rY2nSDgDAAAAAODp4akyajCYLB9GIffsGbGZ636vB5fLPKtOcldfUq5iXiaz9IiIiAyrXsYLDx0K + XRFdkpn5e8wIeXGEKfuheIWZ3ORmSrdDoESpZpq9Z/TeQ91S8zy1toZrDfWu7aw6aXepjetY7Mck + WbqlW1q+vfgG8B4Raw0AAAAAAICnm2euvXut9ex3/P2f+Sff+lvOPvcL90proZArLcY1GTJZvuei + 45ZKU0o5etIfll7XqLge8pTCIqWS8eGlffnlXq+/oWqbszupeOPi4s6tu2kPC7UfXpsuEXH9giql + nEKse++SxvzLm/7cMadzfKKkWutoQCnlpj8aAAAAAAAAAAAALxo7hFgfhlhd0rVh05T6WLHQ2rZ1 + U4bJb3gJ0lwmrWuxvOx5PtdWq+6c6/Ym3VIxRtGqj1BcP6TeAngKpBSmYqGIY4C0H2Zw2DFS2jVG + votJircsq3vk19nedvDu5xXHM/6wPS5JJY+5165+mFMSrihKk9RNkpkX1ztkbOMG1Foz81Qqa7PZ + 7Pd7SWY2ZmWMxOtRIYv5EgAAAAAAAAAAAAAAAAAAAACAF5yZ1VojYn955e7q7cHFm2fnmz/6R//L + n/u5//enfurvLUu4y93XdV9rbe2dl1zVWnvvYw6/u4/g6hG+lJmllHVdR+71siy1VmKtAQAAAAB4 + SniqhtXuktIUFrJRMUFl9sh4cLm+dKfOve2vtNnowX1tJ0UoM8LkGZKqV7PS1tUO3Mxk8kMcByVc + Pq+SXkvNVGYqpXSLjGiRWaqvraeFpamkrJeiuc77N5c0lXPVM2XT0uSueSsth/e0lCc1Lp55Ix7+ + KUGsNQAAAAAAAJ5qmc2mqaQ0T7pz/n/VfMnrPfXS3cz6Ya5aD5eHve+OtzDlIRV7JFufuORh45pe + sm/W5UO73V/5jv/ge/7e/6pSNcXdW3elRzKtpXyv+dp4rrTWxszL8XBUTx7zL2/0c0fV5iEzM9Pd + qdEMAAAAAAAAAACAG2I6Br7maYA0pDF66sclR62oSZGm0A0mW4epR3OXtTyfa1/WXV9V7CL7JOkU + OWuHLd+ebAvgyekKV9iIkR6TLo63F7nS1cedRZL2kqTp8CglHYPqT7/Y47UmpU6zSQ7/P73zOPXw + +LBcUlJIXXIpTTZWZkpdSsVxjkkcPpcbyeMVEZk5ZmW01vb7fSnFzFpr5+fnkqZpkuTuFxcXt27d + etLtBQAAAAAAAAAAAAAAAAAAAADgSYoISbXWZVk2m835+fnlfrm4uDg/u/3n/tyf+67v+g9//ud/ + Po7LwN6lWGJrTVIpZbzhqK/Ye3f38cIxnz8i5nm++a8FAAAAAAC+WCZZquQolBCeCpNSaaqylJcS + /ap7+DaiXE4vq2zabfXDv/RHUYWq2czOzs7MrJiXUtzd7FBvwdOpvPD5pLxFaT2zR2ameigidktf + 1aLFvmmVd3l2axEtTR/avrx6f2N5c1m03borlkVlK3s0P5xka3xgiLUGAAAAAADAU63LqmRNcunW + 9Kmf+rv/92/4Te3Bq8VSCklpWXQsUjwOLN71Ld+RS1ZSJvmot25ShlQk9wxZSGlSat3uL77q9aI3 + L7Td2OZMqd5Vxoe7pLBwHYsaU8D4xVRrHZWUR5p1772UctOZ1pJO4zfv+BAAAAAAAAAAAAD4IKU0 + gl3TH56xOIy02nEUt2QrvZvCVG5yxCyl5nL3Er0UmdRbyOfqZ34t0nq0qkuSF8ZzgaeGy6U4/E7a + tU0hj1UKeZWH2vhd1/Xf3+OkEZPyFIYtKU9J2JIUKZ0usIcXHG5ipxuUyaXUKoXkaRZSylNKhUsu + Uxaly5kf8riNQlfLsox6WDoGXZdSLi8ve+8RUWvtvd+6dSszmTgBAAAAAAAAAAAAAAAAAAAAAHiR + lTLtdss8z9vteURrrbnr7Ox8Xdft2fyX//KP/IE/8Ac+85nPSjFVb62/+7v13mut1yOuT1P3R8XF + kXI9ajA+hm8HAAAAAAC+GJ7X/p1uh52ltGbbx4dvf+jqzd0U83m93S/i677y67/y9tfervfu3r57 + 9+7dO7fvnp+fb+dbpZT9fjEzl11byJ+ZKipELH9e6VZrDym7mZkps/fYR6673YOWy5tXr7325q/8 + yuu/9LlXf/mzv/wLn33ts8u803Z/6+x870vft1J0tlFvBA8/nzLzaShYwt8uAAAAAAAAPL1SMqv7 + ddmUGleL3zrXsvsXYV9+dnu7XNQMWZr6sdSwlCWsv79Oa0tZqowSyak0haVCUlhqVDJ2ySa7pfyq + /foT3/Lbvv0f/ow259GjbFyH7r4mSeZKxbWKx3jRrOs6TVNEjGGVkWn9GGolr+taazWzMa2ztVYr + ncAAAAAAAAAAAAC4GSPBemTG5nHM9JQUm7Lx0KNbyMJvfgB1njf7/a641qs2lbLZnOlimV+epHjY + 6htvBYD3wySpynLcSVIKl0uWIfURem1yV5Wu1Uh6a0b1YaHjO9xt4tE1kI9c89ZFXiaVa8+lbNxE + qryOi1MyTzs0hRvLY1NKWZZF0jzPy7LUWkcNrN77eLaUImkkW1MDCwAAAAAAAAAAAAAAAAAAAADw + gqu1LssyaiGu65qZKr4sS6aZ2cc//vG/8Bf+wu/7fZ+6f//q+gqsdzRm8o9M681ms9/vzSwzW2tm + NlKux0x+pvQDAAAAAPBUCVOaukWMkgwmS3koF71y/uHlVd2Jj/z6r/k3f+Ov+6av/di/Pi1nZ8vd + Oc6rFTPLtFgir5RhZ6WamR3LNWRmKpSj9Ix7Kkzs376PtMw0hbubmVmmWmYPrWW22Cz7l/bxsTW9 + v3nx5ucuPvsT//Cv/bNf/Kehfu/ll1+7fL3nutnWiKZjuYu00Y8TaUojE+WZlJmZD//krv1iPRkk + mgAAAAAAAODpZfLobTPNyvCz0rOX6ezbf/on/8lv/uaPPti9tK4lwyWZQgqZTOV99LelXBZSSblk + Upq6H3pjPcd7xihh3Jb1bLPpFxevVGnpWppvvXcVHw3Ow/+M/tsX2jRN42DM4DzNsHw8nxsRpzLN + o0uaaZ0AAAAAAAAAAAC4CWOVSx8LlkwulXEuiqRSRvJrpOkw8HqTw6gltV7tt7Uu2TZndnXVJy/a + 3Mpd843LIuWmQ32V6o+E2AJ4kkwqo/aRl1RIEVKTF6laKqOalJNCMsk2I2Y6FfYw0FoyP/yGm5QP + R8lDGmsg85H6ShbHF47Q6+Nrx7mcxsN+LMtUpZLjlhdyyaLLQyo6zDbBYxARETFqaU3TtK5rrdXM + Wmuj0tY8z2PlnpmZ8ccCAAAAAAAAAAAAAAAAAAAAAHih7ff7adpE9Myc53lUnmEZ2QAAIABJREFU + Kdztdi1ju93ef/DG133d1/3AD/zA937v97b2Bd5qWRZJpRR33+/3t2/ffvDgwZjSX0oZU/rH8akY + IwAAAAAAeOJSaq6lSFLaoTiCpSz91nTn6lf6K/Wjv/d3/6Ff99HfsPuV/Mjy0XbVqrllZChSlmYq + xYpVy5aSXEUahRpGoPX4lDSTSezfvq8uWViOWBVXSiqyaH3KJmlTtCnVaq2bl37VrZc+8vu+65X/ + +R/82N//Zz/zxqtv1Km4a92t0Q55KAcWaQpqKuADQpYJAAAAAAAAnmYxFV/WRXJZcZvlVXdv/+zt + s4upShqVieP9Fz8/5lBnuuSZdnyjQyVjS1lIYXk4rJP6fn9b+VGz//43/1btmtZeyqibPIomH4se + U7P4hefu7j6SrSWNusk3KiIkXa/LTKY1AAAAAAAAAAAAbkhKXT7KlZyWGYWKVGUpyVPqqf3+Q7ur + TQ+Ld3mzD8Zcp97aPE8X4Vdn8y+0RWFe6zuMKKfsxofvAHzR8vR/P6ZQD4ewa4Ur/TAnI0+p1cfb + ih2mbbzT7/qjB+98gcVxnkc8fOI4BcQlH5nWh6v98KHHB8wPeWzGNIyIuJ5pPQ52u908z5LGPI3M + fAzzNAAAAAAAAAAAAAAAAAAAAAAAeJplZq0eEaNQ4W632+/3I3l6BF2b57d+6ye+7/v+yBd8K3ev + tfbexzT+Bw8ejJPjnUemtbuPef43/b0AAAAAAMAXKUxpkSPT+ihNnp4X5UP+q777t/7+X/+R31je + uPUV26/xB2fTcu5rURTXVH2qVopcXdmyWC02IkLk6Rnm6TqkNYv959tnNEVm9oiItrTWsvfoMpsU + xXOedO5t26+876ZpvXPPP/qt//bv+qZf81turXfP/Hy72fRV06SUwjxNaRRTwAeMOBMAAAAAAAA8 + 5fo8zUopZCltz/Xyve/+6Z+8bzZvN0vXYorN9irMlbOa672VQk8zyVxhmbLIkXOdKqESKhmWKeU4 + n1J2S1fLdbO7/2/tV/3Sa1quJMnUTYusq4wA7JIqCioXw8xGzvT1tOkbMhKsr38Qmda4Ob33Wuuo + A15KGX/xpmnStRD3dV3H+THhGAAAAAAAAAAAPE9C3uRSnaU5o6aq5PLUJJdKk6R9+zvf/Ft/zZsX + Z/s1H8Poae/Fa29+VbaffunOt/z039F2OgTSSpJ3qY/1UClFPEzSzRw1U647ndG14Q8AN2JESvvY + ucldXqUil4pskheZVI4h0ibJ7fDrfX2TNMKn47SlIq89fLg9/LV++/v4MSp7tEDl1MgiVcld8iJN + ZFo/du4+5kJM03R9nHq73Z4u0LVRbAAAAAAAAAAAALyL09K/00HvfRwsy/LIGdYJAngKjXvU9aik + MRFI0pj8o+N6fwaRnw+pZCoXAAAAAADvSSnW2lKKuSsiSimHzpNUX9btVNVbX/f/xX/+vb/3P/6k + maZ59KioVi+lSJK8lEnyCLXWxtueDiLiNJ+/1kOu1ZjnDwAAAAB4/jD4/izyVPQ2F/eQhaqUqVUK + 1fP+8jf96t/yjR/7plu7e+frS7731KoSZuZZLKSeGaY8FGAJ9VBPi7QIC3mGxSEzm+3zb7KS8pTL + TMWtKD3TQgrPUHaLrKEavoky92198PLL67/2Xd/4ez9ef/Xca1/2k8lSKQvzMIUUpjA5cyieZaf5 + TnoKShuRaAIAAAAAAIBnwaEksdLV543Otq/evvVLu6vN7cldV5e7opL5JS0/u5aHbZJ5jk2ukMXD + RqSXUrzKd+u9X/zcz3znd2rZqy869Jh7PKySHMeuYgB4DpVSxl13s9mMiGt3H0Mgm83mdI2k/X5P + wjoAAAAAAAAAAM+lw0BujuHWJsVIi5UkpUnq/eXd+vK+z/0xNclTlr56fW076WxW9VPkrKU08qwf + Nh3AU+P0qyrXMT/apLfnTF/75X1Po5BfZIX943seP+gtn/nWNnAjAQAAAAAAAAAAwLPO3SOitebu + I8e6lDLWCc7zPFJJTmdO+dYA8PQYS5jXdZ2mqbU21jhHxDzPESGp1v+fvbuLkS277sP+X2vvfU5V + dd+PufNB8VMUKYqSwkhkTDgEpUiyPiKBkQIkESwgRB5sQC+BgERGEEQBEsQOHCh+4IMiR4IsOHkI + EFgwYiV2HFmmZDmiEjpRFFlGoiiWxNACydGQM3M/+nbVOXuvtfKwT9XtO5wZzojTt7vv/H+o2/d0 + dXXV7vPV1fvsvf45Ity9n/EuuLlERERERERERJdGSklEdrudiABe6/RX/spPfsd3fqTNMY4lAmbe + u4WHYXiV/mERUVUR6X0vFx7AQ0REREREREQvJa6qAddAcohDAVFoyI3hyY9+y3es7VqZV2vZ1F1V + 1RBD6IPbK4gzH+m1e3i9OQCN5ZYcyZGtlHa0mm9cj6e++8Pfi51kyVn796hLL+gDYNk6wm1wZV2q + njTGSBARERERERER0SWXAA1BKEwAIIVD03f82q/88Vueee60pobrQ9kMWSGh514uuIa5e624sRre + ef2GfvGLqBPMYRCoHOKxBZB9VWUioseRmbXWIuL+/fsRsd1ua60RYWZ3797tC6pqZj33+qLbS0RE + REREREREREREb7AEDEDBPn4WANCA1pcCMMDhAhOYwuURXD11IJK7wltPwpXD3QCQArk3VgDl9Vwi + IiIiIiIiIiIiIiIiInpT69MAc8611mEYaq3uXkoBUGvt9/d7emTsRbeXiOilRKTWKiIAImKaptVq + BWCe535Pa229XpdSzEwexfglIiIiIiIiIqKrYZ7nUspqtZrn+fj4uEdcf+ITn/jAB95fWwUQgePj + YwCttTPf99KAm0PFudVqNU1TSqm1xrpzRERERERERJeKiLh7Xw5Hr8gCT+9869e+661fa9XdIyLc + XZXhthfOI0wBjfwt3/yhp66/Lea8xLTANVz2Wch6iTKR6crjkU9ERERERERERJeZAhlQoDl8KTke + jpRx7fh3U85P3VIVn+q826WUanM/54mEJZdmkTPu35/r7ReeHtLPf+xjqA3hCiQ8XPacsxqJ6PHV + xw1HREqpf+xzuVV1GIb+AOyvVvZlIiIiIiIiIiIiIiJ6zEhAAtEzo0UDuixDEIdAabgs9z6KJgEK + f/CZOPqruy5tcCBgQHt0jSIiIiIiIiIiIiIiIiIiIrq8emS1iBwdHaWUcs4iMo7jMAzDMPTJgyxX + SkSXU49fyjkDKKVExO3bt+Nh9+7d2263EcFYayIiIiIiIiKig1JKrbW1dnR09Pzzz4uImb3tbW/7 + 6Z/+6aeeulUGKSWdnJwAGIb88Lc+1F2sqj31qtZ6/fr11lrOmXXniIiIiIiIiC4PXwrFQ0REEAFB + VtMS47d+87ee3j4VU5XcWsvjIBIRcd55H/TqBC4R0SRh88Fv/tNaR6+S0Av9OAAFNBRQCW6qKy/i + UhRA4ghRIiIiIiIiIiK6vHrFcwMAS5gEjgAEGArW1/7spz79QkunLXTAsIJF67MNz5W3Nm5WInI0 + QIBrGte/9EXc36IFAAUSvPfnhrAGOhE95npxCvclGSIiWmt92czMLCJUVVVrrRfXTCIiIiIiIiIi + IiIiOh+vcEFUDl9SQBEQhAig/gjmL0SPrZZwdcXLvqIAvJhLREREREREREREREREREQE9LDqwwTt + PhPQzACISK31EAErIpekeCIR0VmllMNya83M+pnN3adp6ieulFJf6Oc3IiIiIiIiIiIC0Fobx9HM + ttvtarUCUEq5ffv2u9/97p//+b8GRG1WBgGw2+0A39863d/g7mergPa07ENtOiIiIiIiIiK6DCIi + AiISgELFRWo+ysdf/873tV2MZaUiqtoHifHv+oulgayCiEFG36UPvPfDa72ZdRARCUjEmWI6TCK+ + 2i7VmEzuTEREREREREREdKnZUvDcAYM7HBAgJxxdx/r65/NqtzpCSac7hHtrTc+5801Fp9Od1agV + IZDt9luGAS++AGsAJJbmhoA97kT0eOsJ1tM09WuNfaEPLN7tdimllNI0TQBqrWfnhBMRERERERER + ERER0WNGAIEiFIACCQAUglBAHVANVVc5/5aELInWkCgucEUgerPOvHzA5aE7iIiIiIiIiIiIiIiI + iIiI3oxE5GzIq4iklACklHoSSUT0e1SVcbBEdAmJyDzP2OcnpZR6rLWqjuNYa73oBhIRERERERER + XVI557t37242m9VqZWYRERGrkk/u333/+9//iU98AkCtkQsAiLzSTCwdhqG1llIqpfSOmnEcexcN + EREREREREV0eAbggQkQSLCVPt649rW24vr6RPNfZcs5m5gj+XX/BxBURzZKUlV67uXrrM9ffWWTd + WvQSPxKQcAmRS5SJTK/bpcq0BmOtiYiIiIiIiIjoKvEAvAE76FaBYfVdv/6pz25Wt802BXkog6Tz + boJkGRMkUI5WTXSo8dTnn/217/kzqFuBw4FQg3J2IxE99nqC9TiOZubu4zj2wcQA+gDlw0IphbO+ + iYiIiIiIiIiIiIgeQ4IQh/SpS/0OFCADCEAww5ENgMSjyJB2gQtMYeIAsmW0hIADIftka4GJ+z5+ + m8nWREREREREREREREREREREhzySQ51EMzs6OmqtAXD3lFKttU8qJCK6VKZpGoYBgKq21rbbbT9l + ATCzYRjMbJ7nnHNEpHTu9SiIiIiIiIiIiK4KMzs+Pj49PY2I3g+82WymadpsNuOQf+gHP/YXfvzf + lkBYn5IV8jJTsRRArz7n7rXWnnqlqoeSdERERERERER0SYggItxDNYsjx/jMjbfETrIP3lxcDIBK + a43jKy5ceCui0STZuLLjdz/9vjDBvoCPPuilYeEcesMw1pqIiIiIiIiIiK4AOdtBCnWoCFAGrMfP + 3XryZDVWR8wV+8nS56fVBlUAMU1SVmh2y+a3t1NsTzBNcIVooJdG96V2OxHR46gHV0/T1C8xuvsw + DO4OoF93jIi+MM9zKeWCm0tERERERERERERERG+0HmYdcAQQy11yWAYEBjQJaDyiaTAhS4h1AI7U + I7YBN8DONDv65edzv7xMRERERERERERERERERER0qfWQ10OatYiYWU+5vn///iHu2sxKKX3+IBHR + pTKOY621Zy/lnMdxBNDnNfeTWEppGIZ5ng/nNCIiIiIiIiIiAtALxKWU3H29Xm+3291uN47jPM9m + Nk3Tj/3Yj33843/2Kxb4zHkAEBFnu5F7Lw0RERERERERXQ4qsoybiICISGjBcHNz69rqWj1tiNwH + YAzDME0Tw5IvnHisVqs22XS/bfTGW594Z1QpRSHQfV+NsmzO1Rfnn63z2jHWmoiIiIiIiIiILrUE + pOX/ASIAMjD0jq0V8OStH/zVX3lOh7QaJ8f5x0hHVsA8K8xhjlTU4ev5/i98x7fj3gmazQKHJ9jc + dufcGCKii9TTrPu4YVVV1b4AIOcMQET6wjAMF9lQIiIiIiIiIiIiIiJ6BM7OSAr4PCNisAnTtC7D + HE3K2MJe8dvfICrJHTnrHLbLGTmjNizx27pvpJ//lWUiIjpH8zwflnvRq/7xUs3ZIyIiIiIiIiIi + IiIiuhJ6sVIRiYj+EfvLLodPD/r8QSKiy6aUIiJnZzp3Z5c52flK43gAIiIiIiIiovPg7r2UXES0 + 1oZhEBF3P1qN8/Y0C8TtP/mL//G/+JEPqaL/da4KwFMSnOl7aa31hVprXzAzAKWUwwuBf+ATERER + ERE9LlR1iUemK8XdRcQRWrTWmrV49RubmzHpkFcaauaqUuu0Wm363/J0gVQxTVMpZZXX9cTf/vS7 + I9DCEQAgwUzrKy8iUkq9dMYlGZl5KRpBRERERERERET0sgQQuMABBdJSZDw0AwrMwCSC4+sv3Lj1 + bMrD0UZSejQNCwBIApdwF6xae9/9+7hzFxEGKFpCW+XB9mPsiIiIiIiIiIiIiIiIiIgeMwJPPc9a + ztwACHQccLqDAQafatERVvP5X89t1YrqPLkMw5fgSMB6nOqkUAEQQKC3OYDgRDkioisoIoZhmOe5 + F7rqla1UdZ7nnqzg7rvdDvtKWERERERERERERERERERERERERERERERE9Brdv39/s9nknKdpUtWf + /dmffc97vrYUlCLuyDmbWR+9D0BEgJdPumqt5Zy3262q9ugsMNyaiIiIiIiI6CJo4EwYef9DXhU5 + ySAhGgrAJULgAgmmJl88lwcRw4Ovhiiqefn8oU3D7URvGMZaExERERERERHRZeb9FlAstwelxlUg + 64xh/b2//Eu/V+RFxKMpRxsCk+TQ7J4jAhgNX3fv5O9/5CM4ndYBm7YCb/Oc8/AI2kNERERERERE + REREREREdDEM8H4JdZnpEoIQmDWUAafTz33390urcxhU53O+nquBYViJ+QjEkP7Nf/j3cW1E+LqM + CVgitcMlIgEVqJydQ0R01fTqV621YRhSSvM8p5T6/cMwtNb6fNrVarXb7fqXiIiIiIiIiIiIiIiI + iIiIiIiIiIiIiIjoNVqv17vdTlVLKeJxtFr/1f/yv7h161atPcc6eqa1qqq+Wkz1ZrMxs5s3b5qZ + qkbEPM9nMrSIiIiIiIiI6NGREAlAEPv6MCKSNfdM6y/DfNsLJiIOhLqKFNFBi+qXR41H/xfsbrlq + Dl1kr9K39ujxsCciIiIiIiIioksuzvyvACAAUNsEwBwoBbduzO977xfnmsYVXr77+w1vVNJACtNw + AIPh+HR+D4DTHe7uxpLdrQyDt0cRs01EREREREREREREREREdAECgCPggO3vcMDhKWecnuLe9FST + IXRYrxxtOO900VCfZ5U8G2YIMrBKGMo81QcTcHoT49BUIiK6wkop0zS5ey9xlXMGoKruvlqtLtUU + PiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiy6+1No5jaw3ANE2r1eq9733vT/7n/9kTTxwDqNUiYhyL + mbl7Sq84Yez09LQv9KH+IiIi7pzORURERERERHQxllRkAcRDXERUl1APFw9xl6UQy5fnJ9Mj5iEA + XBywDMmaRMKXIOt9ER1h4Zyr7VAQ45JUxmCsNRERERERERERXXLy0KICghCkXDSwDsAc4/j9v/AL + fuvJ2cPllZ/pjdC7a1NA4KEGieQpeUpJhu39X/y2j+D+CVqEjoisSLgU3YBERERERERERERERERE + ROdDHlwUFUDh2udLDCuEHJ/sSsRuOrVwCHDOF1AFCdBR0VRxNM5wqI7DGP2VlxlWDuF1XCKiK6lX + vBIRM+sL4ziqai9u5e59wl6fQHtJJu8REREREREREREREREREREREREREREREV0VSbK3CEOSfO3a + tdu3bx+vN9/9nd/1Ez/xE6UAAlXM8wx4KaWP7X9ZwzAAmOe5p2RN01RKOcRlEREREREREdGjpK59 + 9n0sYckOQPahHl+Wac2/3y9UaARCENECVcIF7gIDopf2CQDwvuE0GG39eBA555Sdr4SHPRERERER + ERERXX669KIJIEuPVkANjgCKYnUNRzfuDsNdoOm59rgJIAh18UO99eSQkIq0hn6zV9y7g9kstJkj + PZTKTURERERERERERERERET0+BBAAVn+P9wncBFBOHbz9ZTWQykpu6O1829RLtBcHadtRsDLGqEI + OGDSG9zTtZEA5YQKIqKrJiJEZL1eX7t2Tc4YxzHn3Otb9apYZsZyV0RERERERERERERERERERERE + REREREREr0sfjZ9SqrXWWjebzfPPPz/P84/8yI/86I/+aCnigZ6DNY7jqzzPPM99oU8E6A92Z9AS + ERERERER0aPWC65IKJagjwgYenGYB/ZZ16ESF9NOOrAQh0ADYhJVw4EIAFBHjr7hhN0sV17vZLsk + WJ6DiIiIiIiIiIguMwW01xmHYAJmAAEJVISIIjnEUYEYv2D19HjVzrXHK1S8uEjTaMkESAGIm+DU + Uw49/tI/++S3fRhVSpSUNZhpTURERERERERERERERESPrxCEQIEUkAACCEeERYMEskz3TzBNUduY + kNfn3p657cKaJuRhRBkMYq0BMKABPdAaAsATUPZ3EBHRVRERvTbWdrvNOfeJssMwzPOcc57nWURS + SvM8p5QuurFERERERERERERERERERERERERERERERFeMu5uZiKiquw/DsNlsVHU3nf74j//4D/0r + PyiBYciqODm5m9JXmJ6Vc16v1+5LUNbDcVlEREREREREdAFC4LFw8X7rBWToMvC+LVQiWUgTBMJF + IhTeo1sOmGxNbxzGWhMRERERERER0SX38l1YpXeUise8RS4o47/2qd/4/GazzQoEQh1qoibwpXo6 + ELrcvgomGtBQOxQ47327ORVM89fk9I4UuHtHpia7+uDbYvlggPXP/MGdRERERERERERERERERERX + TkAd6lA5mw8dCqQkGSJQzZsV0EqW1hC7c2/SIEWyNgMAWCRIyvnQuAAgfX6OSs/hJiKiK6VXxVJV + EWmt9XvmeQbQq2WZGYBhGGqtX+G5iIiIiIiIiIiIiIiIiIiIiIi+Ci6Hm7u4ABIKZEcGFPv67y4A + 1JEd2cVdDWIQd4HLwyMwHzztlS8+vl8z6qIAZH9biPc1YLqsvS//9seDfNnH18WX/cTx5evw5V/v + sVlzr8/ZPS1Fv7ngwTHYD8Mzt4ft19srrT0B5FX3ypd8TUKX28OPeaVbPyL6SWNZ3r/iS15UvuwG + qEuvsqW9GYeVIA9/135VPFgPfvan2r/u/lseNBB4aO09aPZy/8s37CvvrufmlV794bY5zqztl3vA + Qw/zh26vozF9XZku39ufbb9W+wOWDXd4fhx2oYc35f6cicOGPrOBLpXlKDt7APb9fGnw/lfAV/n8 + D4i/9PbqzmzTlzz+EZxDH5wfoq8lPHxMvXQH9lc8+zxYCQ8ddOIQT6EpFMjAQy901sv+/qWX6Hvs + mZMnDruxi5vCll9AD+2Wy0G638rL/tarIQL9vP3KW5boshhXBeK11mEYIqLWOs+zdgl/6S/9xQ9/ + +IPWmjtykj6G/2WpKoDW2na7LaXI3iP8UYiIiIiIiIgeX/u4jX1PX5bIEjnEQxv6tcsQ8bw8XDzg + ABRIAfFQDw2EhGlg36ml8eBa3sO9l1/eo0nnRQM5kkIEyQW7VJsCUZJnACGtV9DJpmm/fYm+eoy1 + JiIiIiIiIiKiy6vnUcd+mO0ADL2wuCCi922p5AQ35IzrT/7utRsng8pK5zDXYUppp+L9u0OB1G8S + kIj9078OLmgK0z5VCBpwQRMNQbZpA2u7tpnnX/yB78KLX4DNZ0csA27wBrTexW9AW4Kuez/+628O + EdEFc3d3B1BrjYi+cPhqryH+kjuJiIiIiIiIiIiIiOjx0qckNKAuV0BVl+joSMjlJIvJLGFZRJDO + t0CZOHS2uhuzpCawvAqYV0hT1LRcllVEQZSXfquIu8fDDvf0B5xjy4mI6DU7nKX7cr9mDaAvpJT6 + pzlzBiYREREREREREREREdHrYGaHKyw9WaRfkQEQETnnw6dERJfK4arx4TTVJzj3T/tXD1eZiYje + QIYIAVQiRUsWasmRXD1GTRurPuQcuVlpFh4y1Fpy2dRooVPKrfrOBZCkocl73mFPxdYQD4krnTTp + AklwwRzwkFxUw7VCTXomLhAu0cv4tBSu4fJQdmzIw4HEL42VfZCZ/QhuZwN9RdLD6cgaIf13kYgs + OX8iAqiIimh4eIM1gWs4whCmy5hU3QdbLpHMOBOWiWU9hKuHRP/2flsecDajdB8WKxHLA95Mzm4s + DWRHMc8eigiJlqKp9+0okcQLIhmkRYN4ypIUYRatIiwhDmsvNIUmF42QLIBbtNrXs0fzaKGBhNAw + mMMM5uKhoaoamlwTUkLqx7X3fSIpVFxgiBmoIpaSJA0zgYUCCoO3MIGXpIctvryZcREXNUnu2T0b + dHlybapz0qbqguTI7tk9+f6Q2e2OhqF5w6Dm0jzlNKpmg8zWtORcdJ5OcgnJUt0EniKyW3ZLEQI4 + 1FESxlYDKUdJjp5eqXDp+2dyT+7ZkA3JkRz6UHCvnznMz/H4BdBbcvZY2OdwaPLePFeERADm0t8l + PnhA8gfP0B/mEiHhGi1FSxGvp/0haOpt/5vCpQKmCIG7w0VFszm0aEi0XBuqhCbvvx0023KTWDZ0 + Uw2BYPkp9MxOezmoeIErEpBcCxw2m0tSiUhhiiqoLhFLfDtecjJ8yVl3Ofc+yIFWQP1shLB4L9zm + 8uC2jw9fmnTmaZfHu5gWGKbQFtH6mTk0xfJL6rxI36yuybUfv3EmKt4F0u8KaKBvdM3JES2WgHl3 + ACq5QLOhvxHRaIZqfadNSa3W4kkm1RjcUrSQ0Nj/6jwEMEffsa/y+43zJjicBOCi3k8UCIWJeEhM + InNKqqukK5GkSABcEFAXTa7J+8Ebks0xwU1Dh7LeTa2FS5Fz3d+Ivkq1NUmQhGqzSERYzureYF40 + bVarn/mrP/317/laAcIiKUpJEIzrFQSHt80pFV+isoAzderOdj5vt1s8PC+AiIiIiIiILtQrRppG + hCwdqkhZIgzsXLpgva5L7/mMEEWk1Dbq4y6mGFwSEJpjTF6SZBGRjAqoICpSxWBYxSiBlmbLBinq + RZp6C8BQ4OpN0S/b9e6yFJEiFMsFqZfcLnqFPFYEWKnKzmBpgt4frF0rPq3TfBSoLdW8wTzvRhs2 + vkILKNjfe7W4u6oCmKbpcOeFj25ihQ4iIiIiIiIiIrrUeidoAhCQQ6Y1oAIPhKjkAgu0CavVn/vl + v/dbf+oDz5zuArJKCWoquYSj7kfCh0I8Hgzl/BMO6pSAAi4wWRqmglKS13Y0ze/44os43eGooc0o + w6HNfQS0ACmWJQF66rbC5ZUv2BARXULu3otT1FpLKbXWnHMpBcB2u12v171QRa11GIbDNRIiIiIi + IiIiIiIiInocBaTBM+AB9eUKryJpVYQ4YnlQv2J7fs0wRyqAY3c6w9VDVei9AAAgAElEQVQdKaWA + ZTiA4DVZIiIiIiIiIiIiIiIiIiKih/XZfznnWmuP4jMzAMMwzPPcZxG21nrWyBLAR0R0afT5y621 + Pq/Z3XPOAA4zoLFPS+qnspTSBbeYiB4XGRK+xAhDEBERpsje5hXysUh9/oVUahqKzOthI6d5uHd6 + WkZxa3PUUjZN1C2kl9MJ7dmkSxjiq4QGXBFWW4gWKTmn09Pbg6RRMjQbHICEpUBIj/Q2gUOW9GYA + cigxBADQeKg+0OExF6K1BqC/Sd4HWAP7CuMRiIjlRwQApJx7BqmIhCC8D2ft42rdBbHEmbsLdP9D + SWBfHEkAhMg+t7bvFz1G2xVLJaX+DP2ryw70JrZPTpS+s2hIT3ToK0jEAWhKzb2aV5sH1f6GAUCt + VUShLr06lAsgIqheSyoYdHmzIQlACvHWckhSTf1M4GG1wr2kQWIpJWXuTcywpKJGUmSNlBzawpu3 + 2XxMKRDubh4iklI2l91Ux1wiIjxE0Pe3gIWHBg6HxZlh2T2G2dOZIl2AAn7t2rXpzu0nRomTkyHW + yTV2u9nq0c2jey6np6fjWKTkFs1c8lDMHPAcULgHFNIUCLj7UEqL6q1m1QxxC0nJIkxdQg/7cMiy + zvd7ct8sy5c1cK5JriGQOCTLAlAINOChKlj2hXBIHI4awBXq8P25V5dVG9K/12RpOf4k5x8V9xRJ + EBoq0VeQailTNXHLEJ2aWNUReRhjQuxD62VpMPTMr4Ve+ayv28uXUKHQ5MBud3c1JjQvWqQMzbzI + mTUXAtGz572+V+jrXbeyP+GKJ1eI9/kCh1cSIOB65lOEhnhAt3UeUskpt1rHlHezpfVY5yknPcf1 + Kg5BPAiZBgDZn8k1/CUP1sC8a2UcUtZoFm6DKiBtbs0tDSnlFHVG83XOo6Q2n9bWRk1r8VPEToCc + Rkm1LZEYPS277z9Lsnjw76PXaPk9Ky79jZ8Lhqzz/W1WG8ytzRDLOQdgohIyNlWgJmverG5VdUzj + yf2deVmPm0gtrP6JSyASPQriDz7Gg19DwzCcnJwcH19/8sknf+qnfurjH//4nTsnzWFuEEy7XRmG + OrWISCn1fuaXlVKqtaaU1us1gIhghToiIiIiIqJL4zy7yOiNp+hdrMs1tbA04Xh3N+4nL6rjKh9F + SFgtMT6t78Ks2nA0riRJbPVYnzqKm2HZ3ZOLhKasIqnFbp62KQ+6rw8TAu+9/+gXuvTQ2x+HGjJv + 8mtUb7Q6zUMeHbrOehK7+U57R/q6u3Uj4/1du5NmTZ5vydtX9ZpNoVndeeTSV4ux1kRERERERERE + dCUFYOEiGm4lKTYrTBXroxdX125P/nTGdHoyAddWuc3NHCNyiEPcJSAA5HUPYgawT6RWgQumhBCU + Bg3MMKu1NTw5DHqCf/Dt3/dnfu//wBCI3pmvdZ9gPWCfbi1AIIkHYEAW9rkT0VVymGLXi1PknN29 + F7DoI4YPmdZ9KvhFt5eIiIiIiIiIiIiIiN54Z0oFKkQA9X25PRFHHGp7Ic7/eqgLkDAbksd6cwxV + T3A3gSXtlf9gAl0qUp1vY4iIiIiIiIiIiIiIiIiIiK6QHhzi7j2QT0TmecbDgSJmxqmCRHTZtNZS + SocoazNLKfX5zmcTr0WEZzAiegOlQDZ18ZYQFkkCSzH3OkJuWjt68UXYhMFRBffv4N60uvmk1V0e + 0izD5E1Sthp5P5SxRxGbugSKAz0O9uJ+wK+SBgZJZibwQfMuQocsUebJMCQNye4AElIINNwTpiSm + kEAKoMcPx5nC+KGHENweVqqBC8lUyFmxhFiHe9unWQs0YwmdFtn/DgJg7hESIgIJARIA8SRuU2// + IfRX+pDcWLIHEBBB7KN5m8BFFUvZpL4ABOB9Rwlkh4bIEnQNe2k86uNLw4HWl6sikgayBjRQDABM + 4OKuHvC+g00VUoaSEGHNWvMGa+4+jiOgDjEPNANUoBAxSy6qDa3NEhiTpnCp9ZpqqnVoNjSHB0KX + LScnEEf0gzggCUmgCSKTyk5Rk05JNSURQc4GDYNChqSOMPeWNI1H96c5iYxAEkBmQ22YLYViraES + KgDQBMjhEqGBFN7TrE0kRPteuZ22R7AnT2ZYwBuaoVWshtNnTzZvfeaPJbVSUoLDqzWVbCmncAC5 + 70QSIQ3iXtsmZ7Fd2FxSBrTVMBEZRhftgc37pHeFPLQHKiCxJDPbstXOi4lClmPHdJ/EKf0IUYlD + ZqaLQwWmHuK+b/w+ETkfQqwl9PA+Mpac6dfc/tAUSUKzA/DYP3sImgNACt9ILnNsZDh1qSYuaNlc + HHBzKJAcQD/Il5JpJgjol0eNXjgHTFGGPMpwlFOctBDoWLZeWxocrfQMdMkeGuIu0FhO8BqvHPD7 + ki+IO6BYMq01REIlBGcmCoSoQyGewiH9wOjJ62oApD+m1G1LlhVFDRGa0wjM57Z6EMCcGgDfh79o + aHIoVFxD4YD1tOl9GveN1epkezrVOgzDKhV1D69ZVdfl3u5k23wzlKFE2Z4OcxyF6WCmqM3Wq+NJ + 59k9CyIsBdD3w0CfVSGhwUjlVxVQ77ny/V2HAAGJHNAaLgq7c/frbj0hX7pd2i6vR1iL7TZETVRC + c00AkKxpk2yTVdWiMtwzz2Vstbp7SowVp6tnmqb1ej3Ps7u///3v/5mf+ZmPf/zfGgadZl+NKzOr + 01zKWGvtXTSvkmydc46IiOiHQ621lPIofxYiIiIiIiKix0NyBVzEIdVyncbb/+2v/bXjt4wffP+/ + 8OTNt7V5G6cy5vE9T3/jv/exn1xdW7v4bncfwHoc55M2pvVY1ykGAB41TDRLiCakwQGEQ0xgyKFL + n/nS598vUYkbemej69KZRm+QnEVyvb+VhCdXm6c23/SOH/oPVzfSfZzMvhuGIWHwrQy+3uCobWtS + dq1cSRGX6LDhyCoiIiIiIiIiIrrUXmXQtCECNqSh1qkkxargXvueT/7q//bRjxxbvb4uqdV5akd5 + zCmjTkBADII+gNmh2I9Ofq2NCTi8j+cOgSnQhzW7piIAjlfAXDfN3jpfw26LUVCuQXU/mhcJkD4u + fRkC7Qlo+8YQEV0hZtYDrXtxitZaT7nu4db9Af2KSM55nudhGC62wUREREREREREREREdB5ednqE + 98p74SmaABAsRbPOeTJFC7hgOD4+sQZEA4ZeGtCXalZx9motHsrlJiIiIiIiIiIiIiIiIiIierOJ + iJ4sIiJ9YmCfJxgRx8fHJycnAPoDttvter2+0MYSEb2MQ1h1Tz/qc58PH90959xaA6CqItLPckRE + X72lugIMQEKSgCcZmz8R9oX/+Tf+6Bf/7pPz7uT0XhmHlDdfTOVP//k///Q3fcOd3TQcjR5Dm8Nq + HVcDzGPJtvToMZbe675f4UI0AsBDXVXFm43jmEqGKbI1hCBURN1dQhyCgAcEKksF/H0d/MA+8Nvl + wXDVpUT+Bf1oEbFseoFCkFQDoSKiPZMPQIS4+/KpKkRCEIjw8N5ujyyABEIkEIf03yXTWvfR3QpA + BCYAoNJftD+yP2BpSUBNdAm8Fkgo5BXjAx9D4ikC4gE1EROEAK4IpIAERF0BVwu4wwEtZQCkf6ah + IpGGFZJO89wrWuWQLFLCk2MwZLO8c3XLgEDQKu7cbbfv3Pvic+3e/bu3X9y+8OJ0966dnmJuLdrp + 7tRTZFFJZRiGYbUaVhsZx+Nbt8Ynbl57y1vKM0/j5k2MGaomuk2lpWJAlZg1a8lusWu7rEkh4d7q + 7DF7coyp5NwqzmT69qzukH0RLZMlsrdnBRf3I7Pd7//BL//X/821F+8ki1E1Q043q/LBb/3n/o1/ + fbxxc54M4iWnIhoBEzFJJq4aEvC+wweSqLsDmnMGkosOq7FBqtcA+gFwSG3umwFLWyTgiH3St1ic + Z62tJSQ5EGLi2nO0HbB+jAk8RAI95NiXU5AD4dIbuqRvO1QAhQIhIUvKNcIlDunUr0GIi3p/RQkJ + 1zCFi0NCkyeHubl7HgoadtvdsFm7uKkDEYpsEIGGp4AAJj34Xk1dIveYkMsjxOc2VfeVRp13apHS + AJesxXwOCEwloBohEYiAnw1reG2r1frevs+NR/RI7BAJARDSj4cwCSASQh0uAogEvKeuONarjQaa + tSGXaD4Mw/3dNq2G84xcB8QV0bf+g+kNUAlAfH+fAn44pE+2p+O43myOba5mTVISaKtTadtbbtdz + yrdP7v7+Z1/8w8/eu3MyKprU++Gn5fgbv/d7yq3rojDXYRz630Qd06xfj37oewgkHMgBReioKFZv + Gtpv/p/P/eZv6cnd4804z3MqQ/8WCc1WNGAaLbWW2l2Punnin/++H6ibvHWxOg/r5G+mX9f02Ki1 + Xr9+/eTktL8r/tCHPvSX//J/+u//B//RUNJut+uP6e+KVfVVMq37Y0QkInrEOzOtiYiIiIiIiF4n + D3EBEC4Bhbq4qc1l+3z88a9++h/9T5/+H95y4x1/6hs++oH3fPCZzTPX9NbN7S05GXfzdJSOcs4p + 0lqA6uIiEMAh4XDzkIRUBpiJi4oG1CQhEL0PFhCESc/bWEgoXk/eB726ALbehoQ0SpGUzevd+gSu + 446u8xNbqw2hqhIB96aRc4rwfiWE6E+MsdZERERERERERHTJ9W7ofU+oLB8somhyhAGpjNvpdD2u + cPM64C++611f+Me/MzryBh6o1Yqm6B3cAgUcEUgmooCHvq5k6wfODIJWwOc2jGm3a0V81M0TGf/V + R/+lP/ebn4Y6ErQXbe8fe8Z1Otvhzn5eIrp6RKTWCuAl07n7ckoppdRHHvcA7IttLRERERERERER + ERERnZ+A9Op8OJMbDQBhxV1jX1xQznzpXMg45C+d1pPdbr55C0lyr8+31KxSJlgTERERERERERER + ERERERGdJSI9L6THh5iZmfU0kZOTkz55MOfs7uv1ui9fdJOJiB7Sc0NVtZ/NRORssrWqunspJSJa + axGvPYCQiOjVmCASmqAKRCR7EoGLr2Jev3jv3i99cvXrn35K0i1vLkg61qyfe8v/+M3vfacmn4ex + aAmPrAZ4QA/1Z1xcl0Rn1VjCjK+oENVBw2XXdnnEdj5Vr6bZBgWil9fvqbeOEGAVIibSc517bR7Z + R5Nqr4MP6cGlWCr2hDzSyvj9xdx9n2geHhHex6mK29QfJiIiKugBvkvktSCh/ycJgMBT80P8qi9p + uZBQ7Zmnh/pKAEITXKMCbqK+xLVqL2S0z7ReMlwVpj2S9830G08CPSO4B7VKHH56MT0suoaHeN9t + iorPszRkTVnG1ry1VtXTMJrPsJrhxxGb1oa5yv0JL9zBH32+/n+f/eIffe7+s8/tnnt+ev75uH+6 + LkndNFoSbCRUESoAnrGIkDA371Hoqpqh2VJ5MeJzHnPSfP3ara95y1ve+c7ylmeOP/xBvP2tuHkT + 4XdzsXI8qZzMc9Ei8CwJJUekGmiz+Gw59XRV6IMYaQGSCZpGCHp8b0+8Pqp2a7v7p5/634df/813 + eSCqCqK2Oaf7J6fl+37g5uZWdRGkwRUe1SEJJnDBLNinBGcJ0ZTnZnOCDqvTuVnF8Xjk8zRmQ1g/ + SkIUD4KlH/z9uOzWPYpdXM7z+NXDjhE4RGi4LIHQwLKZAESIRqTltCOx5KEvIeF93UZAAIkzO5ZA + Xvv5OQC1CDv8wKY+ZQvxhJYNrphCaskqurFyIw1z1B727AIHTF0AdRWXFA5FPxUACvSf5BLFhAhw + NA5z3eas89TGzeiarLVwGVRz2ABAMAugnvo+83pmF0ggOYAeYC8OhKijby8FoNFPjP3sCkDF+wpS + QMIhgIhCvG5PJXyTc9jOPcpqhZgCjvOcciCB7ADg6g41BeCmbtAUy7qQWH6oUASQ1uuphdQZHiE6 + hUvGJuX1yemN2fF7v//c3/mlz33qf8337q1T3Nud6uroZLV+/olbH/rAB3G0juPV3GpEXt5U9Nj7 + ZRdy4d9HX1GoqzcFZFmJJkkDsd0+g8jP3fsnP/XX2+/8X0+06Z5ViPv+TCGuGj2gN+bkU8ado9Vz + N5/40Ld92/Fm3IpHqZ7EHcqYGbpqNpvN7du3V6uVqp6e7nLOP/zDP/z7f/iZn/u5v64Kd5SSap0B + iAheeRpZ74IexxFARNRaWaSOiIiIiIiI6PURdzFF7wPNEgpoIJridr1Xj1vZDJ+7/4fP/tbnf+N3 + Pvm2G1/zvq95/4e+4dtvrb+mrFfwYhbRYp3GujMFwqsqdFAVzO4NkTRbpASVSOKaRAGYeIibWohD + rPfZppBky9UB9jm+UUyhY9q2aRzQ5tNkrklVBpE0zZLSUUpZFRDz2IVXi6ooF91quvI4NpSIiIiI + iIiIiC61Pmi6T6WA7GdNCCQAgUB2Nq3SWMaNhScErl/7/v/ub/3uRz/qt780V1sliCdEuOyHHu+f + OCR57J/5NTZG+pyW6K0qBoSIK4AxZbe6WgOButtOz//xN63fhjtbjEcYRgFyIATSM637hBQ8eHUO + LCWiK6eHWKeUeo71OI7TtEy06zO9DyUqUkq73W61Wl1kc4mIiIiIiIiIiIiI6NztiwYunzq8DbZU + hOvlyRL8XOchzad1sxm/WPKLSeD7ioVzxTj0q8xJ0KumJVku3RIREREREREREREREREREb1pubuq + mlnPtO5pIhEhIqraJw/2jwCYaU1El5CqAnD3njNaSulp1vM855z7ZOdDoHU/3V10k4noMeHo2bE9 + J1OTRACrueIzn2m/9dvvs3a0uzPqaG6Ok7Q5+v1/9Bv4o49de9+7T92zo2j2olOdRy09UFWAFFCE + I131KjQBoEhzs2iD2M25Ds0UAWnbXQOiRJNAoAAQ1BSeLfc878MzuPY8V4QgID1fVgKpB81K9BRw + F7zhH8863A8gpKdWi4g4lrfNIgLVyRskifSsalj0TO5kYa4SiCX1V+Du8FAdFNrTuxF6djhrqPda + Rp3CAJdwSKRwWdbvmeRguEAl+gpbwoPffJkBCgAhcjicAiFhcAE0XAIpegQ5EOrzTkNzKqopzMR9 + gBwF9N60Rtu4Y3eKLz138gf/9DO/+3+ffuaz8//zh0enp6XZ2nXd/MZUV81HAXyfPq9LAnED3LGK + B4HDAAABKkSaN0XxUipkPjmZP/f5F3/7t7fD6tm/8TemJ25ce/e73vmtH3j6Ax/AO96K46PIspO0 + Fd2pehkxrJNmCaluyWcIepSvSs9v1v3+sKTCSy9sFRjNxeS5/+XT75ns7V7rdOqBsWBIqy9MOwxj + AUoZEc1ahThCs0AE+zT5B0emIUbBam5HATSP2Y/aVE/vlVyBhn3Oes8SjmW31n7wPjiyevGuczt+ + D+shBTR8/7oa/XzSg4RjGfAuoRqeUHuRsyX6WpaI9KW9AQDJHxymgT9JewDVgARMfVc8pI1m0Syl + cqp598TNF+7vZI4xl5QAh8hyAuyNdyBJ7FvmS6Z1QJeNc1mSrTXcdidH0Z6Yd3meR7Xm83aWoawk + ag5T61HW2hQh7uJ979VXPm2dWYfQHgstbtK3pgbgst/nlr21J6w/9L0aKq7LCaF/JckcVo70xKat + xGye16WZ69n5EG+83jxVXxZDwgUI74etBnw5anKPV7fJk0pOJafQNufaNtt6PE/4w8/+v//93/7i + Jz/11J2T90lazVOKKkO59+Lt2xvbmsNsdCsZzXU3T5rLYbUAr7bC6awQBDTEIQ92Cw1fu+d5vvs3 + /xZ+6x+//cUXnsmptSmV5O5Y9kZFLH97NsVJzM+3emdqCIjVAFKS1lpmRAhdQcubYfeTk5Pr129u + t9ujo6O/8O/+O88+++zf/jt/NyWp1QD03uaUktnLn3EiQlWnaeo90r1mHZOtiYiIiIiIiF47B0Lc + AyKqAJb8DUFoHlJL7cTvRbGxxAttunP72X929w/+4T/5B0/feOs3vucD3/T1H3zbE+9qJ1Jjs9kc + l7RqE6w1cYQGVIBw9xTZoQqI9MsgIdJ7wNzhCFGEhMjSPcv6LW8wd8zNhjxoycgtS5qnZhYuKwHC + MM8zoqbsRcCckytHRAD0sZp9ofe9XWz/PfusiYiIiIiIiIjoSnDIvltcgEBROZ12eVyt0og+/l0U + qxXcsFl9fr0+Phlu1O1YIYLms6tAlsHHKjAAy9SO19+U/QDT7BBXBSQQ5lBMFRbAEE+Ngz/7wie/ + 81/+3t/5NNDgGQHpXeoCCBxuQJ+AsAxkjj7snj2/RHQ1tNZEpLVWSmmtTdPURwYDmOc5paSqtdZS + CjOtiYiIiIiIiIiIiIgeV682qygAs9HaI6t5pIG0Gv/4dLr7zhv/6q/8PaSSAghFGULUoakXSJM3 + YbU+IiIiIiIiov+fvXuNte267sP+H2PMudZ+nPt+kCLFl0TLkhxJli3JkmvHiZTasVE0iYP6QwPY + bdEU/ZICBfolXwo0doqmgQu4cWsngI3m6dY2qsaQLcuWRMqmZFG0LNF68qFIfInv+zrn7L3WmnOM + 0Q9z73OPREomaV3xXnL8CCzue84++6y911pz7zMf4x9CCCGEEEIIIYTwPFocrIiM49gqJB4Oum73 + aV+JdYIhhKtTrbUtam7ZorVWAC1LrFWAbV9ptyPTOoTwndKCJ7kSM4iQWqvjdTasz/3ehxZPPL5M + kgjGowMOdBiOPvPU3p0f23nDrSCmCiEiwAiVQQ4CxABy8hbnzEZXS0bpS2Bkaq5WmP2Em3/6s7NL + K0wK4o4IMLjCGcgAwBXucIJtywoRiCCAAPkgNBgH5fiBlufbqgZdie1hB1/HdssMEYhsbuSMnPvj + ZyC5VfnfBNLmhE5cteakKU3kKqxCKlBiGBukJVE7eQseIIK7EYw3r8RmV5SgAgDZPFkLyjUjaLub + o9dNQrADVaAA+6uofpGDzRmAE9qF42ROhnYRtUxlA5sw2icBczdKUkinOjLzIqUjk80vraQSvvzA + +Ml7nr73M/uPfo32d5NPZ+HdZJ17y0gmNTLvGMICZpi5wQ0EJIIwSIDa8k83xegNZlA4EglgqAOR + d0AmkNPC9OjU753f04cf3r/77mfF7ejyhre99fgP/uD8Pe+Z7+yUIzsXhHetTFAGMzOc4W2zOT3Z + mR3sUAKIlQAyB5Qgbnjs6/7ww72tbZogSAJXDNNwfu8Z+HhhXO3NOhEoXBKSkxQk31xw3vLSUQFA + bOla7/sSnnjmiBOqgbJMBZ2BDATB5hK+fLVevnFwxAy4MlfuwZa2v9Ft8xq1IOtNUPeh9qQ1O7xp + b4WQ210up0S3s8i3T8FADBCIX/D+2KaVd4EzlDPZTAykMEAVImXWL9/9AzI/oouuTMaOpJwAZdNt + xraTO1rItl0OC291z66mmBCBdhhPrfb1T+7pi8E4ER9Bh+Uc0wqoqA4nIs6yTQtvbdm3md//DU9w + c13z5WJ0jG9o8Wz7UO1MMwhA7X1j+4sMACMl8XpukU696+3e0XkUpTS6zilducUGDoySABMHYAyH + QxzWnoMfVJ9rMTEs4ATuiEddWVndkJmHNe761LMfufOxj3/i2Di80W0u5mU1wTqHjbrTdVMPTwou + g+heHXM3l6J8EDC/KXNn5N6Ss2NtxbdirTUgiB20GwzSjOlUxu6f3P25D/zO99TxSI9SRyNUU2pF + DgFAAW0HlN2Od/0EWUhCtVrVtJvn+aQ1EsbDNeB5PlUaYCJy/Pjx3d3dnZ2d3d2LKaVf+IWff+ih + h+699wtJkHM3DBMAVf0Lf4OIEFGrX3cFnkAIIYQQQgghhPAKxu4tnsMMDILTtkO61OSk7gW1Yg3x + vMAk45SHp/xrD3zlMx/40m/c/po3fd/N3/+mG7//zOK1ttsv0rEsvVcyrSKcGDCIMzmBCqCgycm5 + dbN7hjGQaBvyoWRtYCJ8p5CzaDcH22TEVBSeYR07wVHdlbnrzL1SR12Cl1I8RX9j+MuKWOsQQggh + hBBCCCGEcA04WN9xMMnYzRb9rE2K9e13JiPmnHaOvu8P//Cev/qjs2pHzcs4iiQlB5HBxdudnV5C + 9yoZ+WaCOjla9y0AJ7ATAeaYzbCaUHd3b905fWFvD+MILQCDtjPzCODWvd7mEXPCwWTfEEK4ZqSU + VDWlVEohImaepomI3F1EVJWZW7L1bDY7XMMihBBCCCGEEEIIIYQQQgivDNuyXtt/OQPgg9pGbqh1 + pirbEkh25WtmlWE8duLYg1AIQQiDoyOYmqTN+LCDCHp4DDqEEEIIIYQQQgghhBBCCCGEEEJ41ev7 + vsVaHwRdAyil5Jzb4sHZbFZrTSlKF4YQri4pJXdvmdbtRlvmTERtBXT7ipm1Vi6EEL4jWoNyOfWU + mGudT5X3poc/8anXidTV2M8xrMGMnEFjOc30wEc+8vb//Gfmx2bVvKiaWNd1VV0AMSYYOwxQgtG1 + HnLIZlVSSuL0zLnP/dvf4i/cb3u785RcK8PEDc6G3sEqBTDaxhL7JkVy8wpspnpuUlv5UHqrEXxT + nv+7sm0pAM5MBCI2U3OAnEk8pX0j6ue57/O8T7O57Mz7nR1eLuanTspylo8emR89tjx5TI4fx84C + uQdm4OyESVAZRVAY25RrtvZS0Cap2ggOoW2JJSN38sOTcreRAa/aibGsLWkYjk1oqxmZw/1yvapN + IqkRA5VZs9dUytFqxyTzan//81965E/v/dofffzkhdXOxUtHVqtTWnqvgALmgBCByM2cwAJ3TFU7 + gvu28hUBrXyU8+amOzaZ7ZSIid3dzLwFYKcWF22e6rREPUkCUx0mI4x7q/2n7/jix+66cOJfHn/7 + 22/6sb925q1vPXPi5CWRiaQmKSRKTC1MGy0H1wDe/GoidsCF3cVtXny884+un6aFKwAzEMMdfY8y + DmCWnEhEYcpIwtM4zTDjba66OngzD9w4mV288OhH7nj8Qx8+tl53U0kgIipu2ypcMLqcskyO9iwP + ynxtM5jb4bgiV6sTDOwEcbCb2CYKehPfu/3tYkQOMXaCMvRgrwpq6SoAACAASURBVDZs+2xwEO1N + 3kLTYUhOL3T/ndy4AoAndmFjAMaV4J1WIllxOnf0yNv/2//q2A+/Z7rxtU/R2BGRg5zFmKm9KZih + lT4DAN4mN9NVGWDfJ1o98tDdv/SrN19c2TCmLu85TeSUVNx6NTE2iBKPyUAuRuLf8Lod/u9wC9wu + 8+17BG3PK/7GbG8DeTv3yOFkk8ABcW7x5QyIMZxcumc6efTk4mf/2T/1607wfDkaiXT4iwNYXzoj + VEY7huItDt4cEGza/M1TcDDYoNl0p5DsD2eTp2kcP/Fn/+F3P1jv+cyZi7u3T2NXKhOcURxESJzc + XadpYuV5BnPqu9UwHT+yw4eyvv0gx/0KPtFXiNb0AWBP7CxG5E407ZSBn3jyz/6vf3XDan+22hNS + VUgHe54Kgps2UMdxLKU7dgxE3PUgcWWq8OhmC9egUkrf9+v1WkSWy+Xe3t5sNnP3vu//xb/453/7 + b/+dJ554umVaA2Bme75rAwARmVnXddM0AVgsFrXW1r3z3XsyIYQQQgghhBDCNS85YDAGCETuIGYH + OWfpgEkTUSJ1rWRDLd1R7A5Tz5MZHjj/+S8/+uUj/KEbjt/6jje955Yzt99w7CapnY9M1DGyV01u + DBgUVNE64MFsLUyDN33yRE6udBDb8XK/JK8U7KjV+zyfyiBdp2Z1ck7sapK8qro7gcicmAlMHq99 + +A6ITusQQgghhBBCCCGEcO0g286PBRNbVUkCYJxq1yW0OWpw5Iwji/t3jrxm7fXchcQEr0QEBxt8 + O21c3AHiF1OtnH0bo739EaPNnHomB5ABGzEHINDVhUU+jmHEeo0lj0gZsvl1ZARv83oJ3/yYIYRw + DVFVAG2Zd7sB4PBM4lalIjKtQwghhBBCCCGEEEIIIYRXJNoMdPJBeUZyMKG0ul+15mHIJO7KzK6K + K1xeJJOcq3WUGboEMHqCG5L4ofKRh/YeZtaKpDBzq76tqiLyTZVQ3H2apr7vr+jOhxBCeIFEpNZK + RK2aVatC3iqS55zbfQ6++PLuagghhBBCCCGEEEIIIYQQwjXkuWMrB4MvXddhu1rwVcjMDtZIfvtY + 3DZKdXCjJekeHsYKIVwJhy89bJc5H1743FKuX9Z9DCG80hiBkqjbWLWfLSa1HbXT1Z/8wzvnF3f7 + qWSGjRCBAVqwIPRVn3zs8eFPP3P0R37kYpf3yYi51ArahK7KpiINKrMRkl3DZd/ZWWSnaq2YlsjX + XxiX59YoE+luEtoEyDqbFWcGm5EZ3NyV4UxExA42RbVMLbR1E93qgBIDEAfB4Ax6GbYOBsyIDxKF + neBk2ERQs4GdWAkVNDIb0zPE1Q2S+uVCjhw5dttttrNcnD29c9ON/c2vxelTmHdgnnIecj/lPFEa + CYWglBjUgdnc2Srg0BaSuZkiJVzUwEicAKh6IvYrmst6lTGCmjGLEKMqFU2JwTLaREmc4EYQLloU + Nc0WU6kLBg/DqdWEJ8/rJz99/0fuXN//4NGpvMGmrJq9JjIIirsY2Jlh7g53UIvVBYBMgIAMbrBW + O4rJWdiBehDuTAQCzFzbMWlnNAFWNzG+iQCYewuyBQF9RTKbl3J6ema8448evuPj5czZG3/0R868 + 97247RbN6fxsue4yW8tbdUA31awI1cCUkogN01wST4p1/cqHPnLLUHhSBzjDHGTwyskZ5y/Ojp+l + WpgsC9s4dixwUwLBWqgwAcnghJHLsT6d3lvrk8/emHw2rUux+bwrE1drs7LBSQAzr2abiG8RtBeP + GQwME5JIC+G+IlcoYEYgYiJuQeJQCCSlyYvTJndcWqiwMwAlJqLnFv9yd25/AG6ubmvVdZg3Kekv + dK8216O0xgEAwwiWYKrGefnk7vjw//mv33niujKjdOKYohPkaTUlFslZTSXnohOImYwcYsB2brxf + fR9yh6qnqT9+bn3zvk7j2tPq7M5iVScfx445KagYaYaIJQZc3NwqUwJZLebQRMnZ2dme83r6JhYa + yQ7i0pkdRiBydVMoCQhOZgQwU3UGWHwbam3OTjAy73aO7py7sAulTLlMNE+LWoy4Pu/Kg+8IJyhb + LcaQjnNSmBkJI6GaOdysEsBsTCD3XseTWrFe494vPfSBD56/5zPH93aPw1JZM+ACOMjQORuknV0E + y5yTCyps1J3lsk61Vc4zgjGU21uXJTdysAuu2c8bVxxZZq/FQJmVexKbVrOuLNeXHv/VX7v9q1/P + Fy8uhbSiIzDJVJXk4Mzcvg1s0ujR932tCuLiMBYzIhKPVz9cg5hZVXMWAKWMXZdaP0zKfPLU8V// + 9V/76Z/+6VJqre1Tk4lIK1J34CDKGkAppT1mWxpw0PXaVni1G8y8efMNIYQQQgghhHB1IEL7Ow7R + ufFya12Zh/9sZgc5GLSpF+/mVs0VAiFgwgyAJkl5ZcBciz9zYf/pB+++e47uxpM3ff/r3v3m177r + dH9rmo6LpGoDaiHhTnr3VMdKjpT7JGmapmoT5QxmhSuDOVGtB9M2iIiZ3V1V40/7lyaxoZaOMk+Y + YQdumABSMxMyqAEOhrtWdyS+cp3b4Qpxd4Bqrcywq+PovUqnh4YQQgghhBBCCCGEa86mHPp2qEKn + SboOhlq1z0mLSeLNWkJT7Bz7ex/6w7ve/VdTl88K2XoAO0DWVgPA0brX4W1NyAtHAGBwapPUfdsZ + 3laZbJYJbXZYF3X8wI//5H/ysQ9j3rmIAUTQqXD27b7yJtZ68yNGwDeOAoQQQgghhBBCCCGEEEII + IYQQwlXscrJ1Y+QsDEzl937yp945m9H5i6ZgNsIVr7HogAMGBqVNaTeyVkJODt3tm0ZkmXmapq7r + aq2tDvVBNmrbElFkWocQwtWgNdS11pxzrdXMWlmrtsY153xQqbw17C/3/oYQQgghhBBCCCGEEEII + IYRrW8tcaaNRbZtSqrV+q/u3WJfZbDYMAxGpqrtHpnUIIYTwysOOOk7cS9fN1uv1bDabWeXzu4/f + +SfXjbVTQ4u0dTCY3ciRqx6dxgc++Adveee7nde0s5jGsev7lufGMHI4tcBLAJsfv2axDuYsxEKT + Hy+83BvJpy6RTdoyXUGGquZaqSqcmCUnMxvHYoYs6JjYnKqSYxsKC6eWiQ0xpm2k9Hd/69gErBpv + wlYPJqZe3lvf7DDavFYmM1d3OndJ+3PPfuWruuwvpnTJ6kV3HD965NZbjtx447Gbbl5cf8Ppm27G + mdPo+4mlcKk5rSblnArT6IokXddZsfVq3c0XbqhQJ4BZDGJKMPC1fPq8aNZm/KKiY8r9nEyrWc8z + Ix/LILPZsF51TMdyP148f31Ks9Xe8OX77rvzric+fvf8kSdurH6LFS5jos05ptQOJTuRAbwJJ97a + BglrhQBMYIYRq5mqVvdMzI4WVtrSkkUY1DIkrGUKOLeyUwCgDtAmagKAOFJFDzuqGFarUyRlevrx + 3/6d+z74+2d/4K23/fX3nf6BH8KJo/tcd73YrC+OWjFbHBmqzpeLpy6c25nNjibKu5dOKi7c8bHl + ufOz1VAdSoCJmYk7I3EFhkFUxc3YyFwcbO5Ujdi30axiLMZOUHUb9Uh1cH9y3KO1GYAydUBCAsPV + bZqMwA4H0kFhLtuckJxwlOCDAnrFrlAQCZwMFQBzgojWaRrrXFqUuLYX+WBauwKCTWq5b2fGA2Cw + wwlEIIUqHEDOgqFsvv8C96o9npsSVybAkxs7iFEUna1nPHvmqXOf+Mf/yw//2v9Gi3SebU+HY0dP + DMNk6u5UppJzVq8GCIzgcGOCEozAfnUEHWwJJ1KcQHdknJzZvI6XLrG5MBKbOMTAVlBrHU3hGRDA + UNqBkJTg8Kk87+vpQBHAKRvoUDvngLFJSs4oRVE3ywfcPYm7mbt6yyInCAEGN7KpnDq6g6peVbJI + FRSjzC+2JN2L0jPnnrhiGqdSKUsCZBgGz0wCYRIt/VTmVpfVUx2nT9791Y989Om7Pn3q4vg9nOfj + pCiSUACjlk8DALxNKyE4t8h2Z3JmEyAZYGztM4YBIEPLtnk1vVW8BOSwMs26hVXZH4bZYmcn8ZG9 + 3eGuj4/3fPr4E4/PARGhanBCFYKStU8IONSWHLBNDjmsRas/5w4hXNva3P43v/nNv/Irv/L3//5/ + A/h83q/XY+tfZWYzaz2rbZ7/QbJ1+1bLxm7J1ru7u0eOHCmlMHMLtw4hhBBCCCGEEMLz4tYT7iBn + MTiREQAWEfWqNpKAybWAHT0DFeRwZwUrm5M5KXiYgFXB7rlnv/bkA3fgw6899qa3vv4933vLXzm2 + XHSUtfh6HLLPl4ulGE9DmXToum6WyODFHQ4y0qoACAZsMrUBEJGItNvhRWpdiM5uuDymBDiJs7MB + bGQAjMyjs/HaRERwbC+Xq0J0xoUQQgghhBBCCCGEq1ybN/uNM/sd0nUwh3vK4sUkMRzMkmhTDP1W + 4B3Af3ns+NLpyDRMIAcrEUMApTa/86X0033znGNlazsF3yRbA3CCwWdVX/PMBVxc48RsFCKkUmze + ZaBuV5/gYAaqH2Rjv4SdCiGEEEIIIYQQQgghhBBCCCGEq4IBYDCIZDX47r5XE4IWT4IrvZTC2AAk + ExiDzOVyfTdgm3INHARbM3MpRUS6rmsVUgDUWomoFZU+SLYGsL+/v1wur+wTCCGE8G2llNw9pVRK + AdD3/TiOAIiolbJqidct7lpVReRl3uMQQgghhBBCCCGEEEIIIYRwLWuVVdtoVIu1/jaZ1tjGYA/D + cDCSJSItqeW7s8MhhBBC+O4Qx4y4rkqedyKJx+mUOu65F194YNE+LTjIIZvEWwBWKk7N01Of/Czu + e7B/6+v3utlMxaqSSCtWw0ClVp7cDmY5XqPIkalXqHOCowOLm0HhIIIaaoUDggIwJcoiKLBBAZ2z + OMNNvbrTNxfhIec2I5RA2yI9L8OWQO4MEFsL6N5UjcehI0ctXtfhcALYKFFyeFXz1XRE1MvEnE4z + VZfp0rPlkXMVf77q+/PED8/m3XUnT9x+69k3f293++tww3XHTp3YA4/Cfb+YQOPexOiPL87urQfu + chI3n6AOLTMg57yqVV81BYzYUcs6EXUsiUQJo7uqdRCqtsjdfl3PdpKM6/ne3pldw92f/fr7f2d8 + 4Cv1made3/GxLNCVqfczWAE5ZJv2aiCl1KpCkQMwkKHFVbecgG1qNQzuBkLn5MzqagQhQTsHHK7u + mwjabVi0b7LHDa4sBEvuTLb9Nhxc1BP36oT1dEPmU+Ow/uidD971qdmtb771J/7G8id/eHn22ONl + Py92dvrl3u4ITgNN/ZFlSkYXL52qKzx58av//rev27+gVp0BTtBMboAKsqhjb0Wm7gZAobk1QcTK + WsSMwJYzuIeQc58W8KKVTaVMyECeM9RQgARzm6q1NGtJAoiOE22jXYWTmZXqSRJaMPOVukLdvLIk + hqiWYhMbmGkucD/UvBKM4YARZHuIN7kP7uQEEjcD2iVMIkkAdavF0uYxXvBebWKnN7MZnaCAE6pi + PoNNymV1rJSzT473/8I/ecP/8N/RTTfmk6cvrC513UKrCyVf19wnqwYy3rSB8FZDjTZXwdXCKXHW + 6tV8z1dslUWzYzFjmGkLNAcIRpw6SiCyWilnAYlWOEFNvQDg53s9CcjK2JRo2zS8DjeCEtZTNaBn + 9InI2vFmVwGYIU6qrGowBQBGrdOYsYBVmqZ+ZqJFAN18/4oQN99fuxv1i/7IshYfpspEebGsYrvr + CzuztEQ5duHCbFR85otf/L9/S+/7/IlpOqM0Y8rqTiSerVrevPW4kYGMAUBbg7N9xQguRgznbaKJ + iZkABCRDpwygMl497xcvQUrdOI6J05ETR/anVTdcwBPnvvDr/+bk049lIGegVmtv+pYFBC/YFiGk + gzUsDZm4wRykRlWZWgv08jyxEF4If+F/khgAaF3O59O4fscPfP8/+kf/0z/8h//jej0yg5ndXc0B + 1Fpbr2nLtCYiZm5z/lW1Lexy9yNHjozj2Pd9e/ToXA0hhBBCCCGEEL4FAxdyELFYFhdzGMPJipdK + 1QQQMJAduUAcRDACYEQFxM5mgBMrjDpogtb1VB49d/GJ++75eP7U8rab3/Lm7/nB73vd244uTpS9 + dSnaY8Y5p66b3LVOZkbOSbpEApLq1Wkzw8rd22wr5mt73PNlQwaokymYNp28zA4Dg6z1PhoxYE5w + MnKQ81U0XhCuTRFrHUIIIYQQQgghhBCuXnR5tQY/N9kacDDBnRIDKENNYNvMkk9Pov458BmUWyCi + 4Lx5HHOIO0M3wdIvMkXaaTtb9NB8OycnN6fNPHTb3nOu02vWw50/8ZN/7d47d3ICmSYex7HvZXMP + v7wX9pzA7BBCCCGEEEIIIYQQQgghhBBCuKq1kmLPqZ+oisRycj47ukez2UyHQQQgwpVcA2Pbhxdj + GIGggJATtiOzZIAx+GBo9iCyupVBGceRmQ++QkQt33q1Wi0Wi8i0DiGEl12rVFVrTSnVWsdxFBEi + qrUuFgsArQ1n5v39/Wi3QwghhBBCCCGEEEIIIYQQwl+euw/DMJ/P3R1AzrmU8q3uLCLMbGYt0xp/ + UQx2CCGEEK5dQqwOV9vJ3XDpKUx44sMfOTtNyVV5kzBKzgAcAsBhvdrZ/WH/wx899rbXPbva7/PO + /rqwCLkBBpiBDsra0LVcc9wJSOa1kBVkLqxZiDWZVQDMyAQiIstqmGyyanPOQkzegme94vKE020Y + 5yZXmDZzVt0Bevm2bR8ObQ8//4PIWxDIW/axEwjEnE2E60xIrdJY2n2YGGCQlfXawFX29s89vXrg + /vvu+IguZntHl91b33LjO99x5i1vw/GTQF5LP4mv62pntphgdZyItO9EhOu4Xk+r1M+v9XD0F4GM + CDkluA11hGVKnLu+y+Lj6NPqJKYj69rv7u3+6b1/+v7fr3/+5TcN03X7ewTjQlqHqQCA1k0VKvIW + Xc4MOMyIAb58HqIlWzMAIoPDHUQgcAKRyCZYGSByOBNARDB3OFECNmH35u7u5i16F07bolUtpdgY + IEZOOcPUS5mP9QiY2EpdX/ril7740H+4+NH/7+a/+d7X/sfv05XvDrvXnTi7Jlm7k455tXd89xKq + P/R//LN035eXZSQCCQHCLg4CnBy9EXb3mIjIDURt39wN1HKCjQAyB2uLzFUVESdxYqdkGDHaYMgA + SnVGSpvaXOOoDu3BRKRuDgb1JK6qqpYJ7Ffu2jQHTAsxREDE7q7qBqSDomXYBFprS7Y2MAADt0NJ + cDi8OiCpg3vRAkUWMFAA2RzZF95WtNhaZgf55QpjXUYtcENiF/LryR665zNP/8t/d+a//wf79Ynj + J09dLKuqeTnLy/liGgdICxuBbFodc2LlTdj61cNVswiYO5k7r93VDLY2MOAgalcAq2qLYHbAywiA + QZmlmjqQJbu2dOlvbm/pcpU2dwBkRgCQEqcEIiFzL7U4GEiQCiVAACIisIiJAE6q2QnTNCHnDiCY + uznTFa0BR45O8lxQifb3dye1rptRsjKtU9GbgCO7+/2FC/rZz33u/R+4cM+f3wqcnupsUjUoYACR + MIlAWh+Fcnt7Aby9wFCgMioDDGP2lg++/e3tNjkANrpy+d2vEE6ooAonKtksjbsn3L/yy7+687XH + ToGWvUOhCgIxsjoczBA4tsUS2+fBzSmqxEqXPyG06oMRah1eSZhZRNbr3eVy+TM/8zOf//znf+M3 + fhOb8HeAqGVXl1LajdbLqqoA2j9b1nXrXO373t1VNaUUmdYhhBBCCCGEEMK3Qs7kxr4ZvHCCk4J0 + 0pEZLFAFkc0k9c61VsUm1how0GYo66ALyxlVLGHoezh40vW9T3/qzx699+gfH7v9hje+/fZ33H7D + m47kk1I6qiSWupQzdVThpapbSglkreuSmYmo3W7b8BJsO3VtO96gSgzYdizyYGtX1TBBeFHc/fA1 + 4u4vMjbnOyxirUMIIYQQQgghhBDCVY0uZ0+zb6cUE6BWmKhN1vdilDnPkrOnlEzhSmvw47D3X9z/ + 0TPXn8pTpkIwBlGbnAxqnewvnju1Lva2e06Ebcc9wd0IlQEgGXrVkzac3dvF+ZVIj7mBWfrsVolp + M8/9UG+vR9dvCCGEEEIIIYQQQgghhBBCCOEacnnsFHRQ6KtVcHNbnTvvw4h+0RYeWTWiK7x+otVY + coYzHLYt73ao3I8TTMBtqPZwpjWAvu8P7te+Mk1T13WLxWIcx5wz86umxl8IIVyV3L2VrDqo/t+C + rkVktVqpqpmllFR1uVy6+xV/3wkhhBBCCCGEEEIIIYQQQgivaPv7+8vlcj6fT9OUUnL3UkpK6VuF + VauqbpK30Pd9C7c+mJYQQgghhFcMI+xP666fGaOsVmfBuO+Lz3zpczfT5FQLgx3sLG4AG+BMQjSu + hjNd98Sf3P36p//WketOrifJ/bK4EgCYbpImWQDgiqZqfhfUCcUwzswg9QL2rad5SahEbqTmLcsZ + IoTEycV2p7LkLoloHdQ9ETgDQNVN+iz7JsmVzAA4wzZl2l+27WHsmzTr505XYrDBDapWCeRgmFb1 + DhAGCGpeXQkKJiEX8SSU3PrJpslsb3X0/KXyzN6TH7378W6xc8ttN73nPfN3vXN+y4193z07rKnv + FkIwS9WqF+0oyVz16oq5vdKIqJiagFg6d/c6TeNYKmg6jXpq7bjrU4/8xr9ffen+s1qWPtr+GglM + qAVEmHcAUKdtZDptjzI5QbfnWgsPMHbHNveWmWGOTSYyzF21OLwVfFe4w1piObMQS6kjAy1J1toM + Z0JmVC/tcCmxgwCWTXRxHcfRgSSS8hx1qhU6TTusN4/TM1++9NAXH3jstz/4/T/1nx7/sfdCLuVe + epfF/v5xnzDpo//rP+U/u/fmcVh6C5F2gjOcAIKyYWaGS7sJm4l2BgKTqbOzGMTABDEH1XUGo7oN + IHWqQx2VvSMGU2fKzNXMDMIMIpj1kiCCqYJF1Auq6gQRT+IwN20B9Vfi2gQgAjdUhzuqmxkyS991 + mA5yHQzkxOYMwDpsrxcDtvXAFFDAbSICtahgRUqYdbAJRvZC98dBLptTCMgGI2JnkDG4qgmgwMi6 + 2t9//bHlgx+6o7vplrN/92/t7l1adxlZJi2iJAYT2DYKt9Vks6tvgiTByapAV3Vl4ijaSyY4mCpV + JWcDWhU5oiSAwBilei0gcuLK3I5j2axT+Kbn6Nt6dNs3ghbqzI4yWmYQrBoY6LgDWG1KXXJ3aJ3M + q4EImcAknnvr8qgG6XJK7j7wpJSEN1nEVwrLOAyAdl3iTiDFaOx9uG6o/cWVf/jjX/qd37WvPXza + 9IZquVSrtnZOkvrEqjrVUqEdwRiVUQUGiAMGNhhhZKyTjQIICkM3jYmxG8hgbAQHF7bKAphYrI/4 + lgxswkOpkpyGSzetV+d/9w+Gez77usqpugOmACApw62YAUibD3ItajwZoGROpoSR88QZnOGJvXMi + RzXyK3u+hXDlbD8OHf7K/mr39OmTe3t7gP7jn//5xx77+p133pUSu3tVNzMAZkbCYCqldF3XOl1r + rbXW+Xw+DIOIiMjBGoHoWQ0hhBBCCCGEEL4VdjZL7IBXB5SrsxqPoGHRUzc5FFZh4EkBRyWpnVQx + cSMYuRGQHA5UhyQhEoVP0Oq8BgnVNFuh2zuP858+9/Cn7/ro0e7U997w1jfe8La33fLOftop0yKr + JBHJRF7WOoh0bpuYXiJqow8H+dbhRSFnMZCLkzm7AU5mtBmCYE8Ay2acoHWeuJNd+6PMr0bfGGz9 + MotY6xBCCCGEEEIIIYRwFTuc+kw4WNbhAB+qIU4d12oiXE3rBACCXkWe0eE4cO+F82fni2OlztXZ + nUHsANhYvU2df54lId+SEQBnk7ZH7IBffgijy9OeAYi76HBsyO//Gz/1dz7+CYihNxCIuT0J0PY5 + OZiiuzeEEEIIIYQQQgghhBBCCCGEcK2hNuCJdGiZhDAA3HDmZN3bxTQlolpM5IrXyNuU6NoOxDLM + YAx2at/aFiNrNSABbiXhRAC0CinYLPnwloradV0rhpJSikzrEEJ42YnINE0ADopYtVa6ZQO0IlYA + Whse7XYIIYQQQgghhBBCCCGEEEL4S1oulwDGcez7vtaac/7241DM3L5ba52miZnbz3739jiEEEII + 3xUOWObC6kbdMM0Wy6989I68ez7X9WYOo1++Y8vdhHlHLFqHRx+5+PE/OfMzf/fRYlWYTNnNqc2A + FPYrPtPyu8AIKQtyR7quWh4/vnj29E5eFSnWSQdzciUHPIFMse9Wjh49srta9VM50vczwMehTHCA + eTvncxP7jasn1O5wbC0AkJEfjtZ1ABUqYCKCbxJaQUrwRSeoqgYALHCCO9xdMpditU4M7AixJK1a + ppHWdVB36eql/fu++PlnfvPfHv/Bt930I+++8Z3vxHKm0g/kA9NEPoJFQHg1zZ1yZsekFV3qO7Gx + SqnHBXP3pXP90lc//69+c/zEp2++sH9TVSxktb9ezLFew4EZgxk6wYHE7Abf1Ps3oxZifZB0DBDY + QTA4nGAEdVQiJSpExuIilDIEYxkhIJIW2AA1M4NrP1sQTGqFGpsJKBESg6tvgn5hgBxqRrTvO0CH + UauusxAJdeZkmlar6wnXLRa7jz/92V/+5fG3fuuGH3rnbf/Rexanji+m9bkvfekrv//7Rx9/4jX7 + w7I6M6rDFUAlEIGc1OHZKnYvXX4h3SHs1RlMALvBPRkMqGwMZKRax0tHFk+e3NmrkktObgIionaF + spvXwqXOnZYEhXaqC+4yZLDiWlOfzQ43kt95SijAAAyC2qWx6yZidYEz9yTtSDpArmSVATKqm9iH + RCzE3FJmXRcp1/1dqXp0kXpHHepU0b2UAmEOmG+f8yYo3XlS69IMWkZTZz86E1zcv2E+e+A33v+O + G2868mPvWCfnbj5Moxbpcz96bfP2advKtPOTrqp3DSeW8bs5TgAAIABJREFUblfk2VNHv7q7V1bj + PHcwUXOdEZEndarmhOze16mrU54wYyyWolXriCwAYyrIz1sT7uDkORR63VKB+4xSoAClNEpemRZh + mh+9VAYkSTSDcIWbtfunyWlvOb+w7LHaXx9bOgE5Sc4+lCv48oDXpeY0W6RE0DqspI47pvNhfPYj + H/vcBz5y9MHHbjOxC3uMYZb7sUzCGSmplvU4JNC876C1VKPt2yJTK4K3WdOxfZ0cjhZlbWhtF+Bg + hzgKw8FKAJjIIlb5W+P1qp49fWY49/jxYUUPP3Lvv/w33zdM86JucD4oOzipwUEpdai2PRRkBx8T + CE6M9g9DMrBfddduCH95OedxHM+fP79cLqepTtPwS7/0Sz/3cz/32c9+XoQAuHtbAuCHVm8BUNWd + nZ29vb31eg2g1opDeVci0hYLpBSROiGEEEIIIYQQwjdhuABuxCAFzFuKtCcf2fdZuNuZJcr9MA1G + LpkHnYxAMHFj33RROQGOqlpNiSmllERUdZwKuDq7t6GFDs+U3QuPPP3Zr9zze3e+/+23v/sH3vCu + 647cOJOu545gPiFRlzm3v+XNrCVbX0WBvdcebr2LBnMya+EqoM3YqYO9DRcQACOQb7rNw7WlXSNE + dDVcK9EHF0IIIYQQQgghhBCueodmy9o22RrAWMZ516vXWq3L3aSGlLNQGauSqhkR9hx/UMa3XH92 + cW7/6ARA2YRclK0yWu3yFz6t1mjTJ2sE3k4VZwfgdrB+BJwUoLaGBkLox+F79oHzFblK3wGmbkxk + gBKYkAzthxlGV9HymRBCCCGEEEIIIYQQQgghhBBC+LYIrYKiAdvoaKCN8Zqdf+bZ7+kELlDAK3Er + iHalbJbgAJUBBhwJXmEKNiAzaFMgCGiDv4DZJoHb3b+p6nQppWWjuvtB7nUkpIYQwsvLzNoq1lJK + zrmUklIiolprSmmapq7rDlbubUqChhBCCCGEEEIIIYQQQgghhPBStXGoFpoiIm2Uapqmb3V/M3P3 + NmLVbkSmdQghhPCKZATv8lhK53KUBQ88/Pgn77nNx+TWJlK2gEmCOcGIAZihTwlU+6oP3PHH73jf + j89PnDrfwprJbFtbRzb/P6hLfq1arUvXper9paMnrv97/9n84m7SlLkbigvJXCiDrdiwurR/6Unb + P/foF/5cn3g6PbN3ZrQzE8/RJxgJmxZjY2ejTTikgwhOZkybOM+XfXu5GNGmJpLhG8IqjQgEEIEI + ILhhmjQBIgJCNVUFACIMk3WELBnuVWvVyqAZSVU7wgyapv2nE+H0QOMfPvL1Oz/02HXX3fDud5/+ + 6+9bvvF7l0eODeaGRGnOOh4UaHoV4J47rZOZT9NkdTyT5dT+hIcef/Tf/faTf/TxYxcvvdZ17oOh + DvtYJNSJ+pxgDjUzF2J3N9sGOLSgXBgc5C0/eJPE3GaktUzrSrwmql0/dHk/yT75KKI5a9/Nj5/w + nFNmZjazOo06jpim6dKlrtbZpEu3ufrMkErNpfaAuDOMHUYKgAEiJIaOUwUyQTJUfVSkhM4SrGaH + rVc7w+qNGfX848Mf/O6DH/xgmi29Wk98cxm6UamodKnFQyaA3QhVyVVQydimsreXHewsDjNyYgMl + Z3GUbQSCABUMZ3FadTjx4++df98bPSuDUnFxTLVmYdQyXrowPvXM+rFHn/zaw+Wxx287ed3uU8+S + 1mVOeQLI2aZSIIfieL/jWxXZo2530e8uOztzcnb7rUduuzWfPFkkLxZLchbbHFMjd4IScp6NpZRx + rMO6rNZ1f9/399Jq/bX778f5i/2FS+tJj5r28ARzI8KLaH8AAOa8mbuOTeEyIwcboRqQZyQkvB6G + +SzbMLzu/HD3P/nff+i2/znfcGqgzNwv+3kZC7K31kYJyTZl0662XFylNHDuX/u61/+D//o6MqM6 + TzMf2Igv8ERC/XqqF3f3L17af+Lxc1/5Gj/0yM1lmHYHmHaSCqophJOg4iCD/Pn4N7ZxZADY4S7d + etY/1cn5meA1Z+Y33HDm9W/AbNEvd/Kst5ycAHMABpqSnBbCa28us2yU1rVqKUvOV+71MWLtlgwe + ptXOuD5tinO79aN3fu53f69//Knrd3fzehyBOdCReK0Z5Fbcpo6EUudWyjgRkAnmSIZkcDA5WstF + 7h3ZotqiGKond3JzsJExTKxVumM2rgylg4CTV8/7xYvDzsf7o9OTF88y+vN7D/zSP7/1/H6/Xo9W + es5ODqpmMAMDPTnRNInrNm2dXNnBDjMwaefca4GVXktnUhKB2kebWKISrh307ZoLV+1zppmMw9j3 + 89VqdezYsV/8xV/82Z/9Lx577PE28/9wilVKqZTSgq739vYAEJGIHPSvts9R4zgedM+GEEIIIYQQ + QgjhmzDcALA6mbexBZ9zPXrEX3MM54eyv55WYz9OabTenJAY2cEOsdaFxW1Uk4SEqM9uUNdSa8lA + n2HVuSVnKIxASS3vrrv9sTz94a89eMd9v3l6cd0bXvtX3nL722+57nXHl2f2zl3aSSdSSi3Zuq30 + jzotL40DlQ2AETsYSO1oE4xhBAXppngOyAnweJGvPUTU5hge/PNlj4GPbrgQQgghhBBCCCGEcNV7 + vhqzButzX2FE1OVu0pokQbUoWkA0iFyxAh4D7nr2iRtTxz4Z0cEiEAcB7s/76N+eE8g2XbRkB8tL + DrSFQYrNxPwl+Ynz5z76vve+99OfRK0glSQAGCiAAYk30d0UE0xDCCGEEEIIIYQQQgghhBBCCNcg + BgwQarnR3KqN7ezsjLt7GcaEJLCKKx0wSg44KQNkcMBJKF0ecnYGFOD2hVYLEuC2DqoFoLp726aU + WngqAHcfxzEqTYcQwsuuVady98OZ1i08YBiG2WwG4KCIVWvSX+5dDiGEEEIIIYQQQgghhFeI566j + fLE/3vxlHiSEEL772nhT27Zyq6WUb3P/NlDVtrPZbBiGNvEgyrOGEEII14yDqDbnFkfNDoKxmxG8 + JSs7O7hWTZTm8MU0jnd8bOepZxcAWsGbzWNs/sduACdKU50y0/FZfvb++/GFL3bv+iGZzckNgJMB + EJPtfMxr/cMD9zlLSuv9epHk1Ht+WMqkkxPSopu5wmtVt4x0lPS4D1RXN+sal/bxmQcf/NAdD37u + i6dWelpB474QwRkE9svHpv0KOpR4+hduffNzLXecD7JmlQGAnZ9zT7RDQ87f9GjY3udga2TPE0JO + QKspBMChDjiEAYcbOoYbTM3gBggxmMk9A+ZWtTAocQKRm6mrgMjUDRnoBVwdkw+rcX/96JOP/L/3 + 33HXiR961+v/5k/c+La3XY/Z08+et1lXmZXNWp0kZ4APJ+BuXs5DJ/xzj6IRnvu86NAxuJLa/tjh + PSQAfvlEsE1uKAMYaiXGPFFXfV7r0Uu7qz+++3P/+v858/DT37s/pnHdozqZORYJqjB3VyVqOeMM + 2h4YODYBDqBDz9MISqjCo1DhtE48igwpza+7fnH99advu/Wmm2/G2VM4cRLHjmFnCQVENmHYMLi3 + 442pYHcPTz+LJ56sjz3x7COPfv2RR4enn11MZVF0plNvZV5L9s2vLoqcIIApfIJvwtFZzYSyoZCj + E/gEHaaTBEpp2Nuf8aJaAcDMntJqqpnBDAFgMHeHGUAOUfX/n707D57kuO4D/30vM6uqu3/XnDgH + AIEBCQKkCPAASMmkRIoSKYVl0ZQoWbcVjpBjvVLsatfrCMUq1ruhtbxyhLXeXdle79r0WrdkiaJk + UpR4EyR4iBcIAiCJkwAGwGDu39VdVZn53v6R3T2/AWbAGZJzYd4nEI2a7v51ZVVXZVdlVea3HUNU + VaFcNgMlQAWzWNbynZeNh7laR1x68d6ll+4dUyaiIOwUAQjsNPULfeuJIRlPH8Bj+w58/K4DX/zi + 4WcO7SaMUt+IEoOPNxN94714tj8SKYAMQMFb9lCe76eK0BOv11it6+7yq254w+tf9Le+E1fvRu1Q + ub6uo/NJFAAJE6QElispESViB/aQAA6EkBNSjz5eD8bqKu5/4PCnPrP/i/fKoaNDyaOcRl1fS89Q + mtUqW0qF+SZKyihx6PPMYDph52GwQBhMir5rB477Ng69qyeTq51++p//5mt//X8aLOnTkibSgd3s + 8zFdD4ATZD7JfnoeKWG972Q0vOItbw65y8iqLBMKg2HDkT03nfg+XuYYKeHwKp5+Ch/56BN3fWrz + mYO7XT3IY8odJNN0GactifNM8GfNbl4tJ+YIPxkMj9bVeOf2nd/5qpd83xuw92qA4EbwTXacCREi + WjYpUlVPWHDhQM5dBoILjMCEnM+ojitHC04AEp2WFoBMjxmmhWQACnbCDbRu13dmwcFjGx+788G/ + eh8/+PCVWYbjSaPCPLvvVbJMh6SDI1LNOWUCgiOoZgEDbvrJ0+pKwCBxAidwWpJMylHFSWJoWWc7 + +yVmS+P0fNlZZ98jqxCmv+mRPRRO4yjH4Wa/+ud/3X7+3msUUWJdN33XEcCOiJRKlQ/0UeHLz+7s + czENuYfCiTgVqMxi2OUFcMBnzFYiWo4jvPdtO15aWjq2tnHDDdf/m3/zWz/7sz97bHUTQEoJADsn + OaeUSlvrvA9XSqm8AUCJuy6trDFGV3YzY4wxxhhjjDHGnEAALi2T0wZV9STs0+jvv+0XHz5w/yP7 + HvjiVz/3zOb+POyyTtbGa74CASUkmbY2hIqKRskgByaAQIQSaJ0zVOAckUNW7USJMw+yui4MNo9Q + +swTBz734Cd2LV557c4bb3/x91y2eOW2ahu8o8QBgTVoms+Jn9vMu8WJr85DQE54A0i3XN6aXkMQ + KAvJ/BOO/9lFnvQsJErlAkRZkPniTC/zlRVR2iOFhC/y5b1EXWDfmsVaG2OMMcYYY4wxxpgLGJ0w + TdNGUwbAWx4JqNyspatERGsmgapvkR4FPjKOP7x9McqmGzaqorHTBCKe9lh4vobsE7BOuwvwlpZr + JQDEOu3tMOtRML3bVxMGVV5KR7dNFP0GWodBgAIZxABLBieGn3Un+CZSto0x5rxQVQBVVXVdV54p + NwFjNkp4GTq89JYo0+extMYYY4wxxhhjjDHGGGOMOUsIKKODlLHcqAzMBECiKjliIoWqKs5Btqgq + A+yO51h7ArzOujkxQK5c7y0dO0ppmXmeZo3ZRZAyQsr8k6uqOtuFN8YYczrmI/7Pr0GXiZJpPX+D + jV1ljDHGGGOMMcYYY4y5MJXgma0DAm6dnsbSbM3WSNE5V1o+RURnsSs5Z2Zm5pLApLMrYiIndJYs + F7zKtTAiSjmramlBVVXnQlZ5VtB0+bTnDpPGCgGUSI93gizRRtO41r7vg69VFUw5Z+9DSolUSyEZ + AElKKTR11/fMPJ/tLCGMgGkc0Ol7/rV3QurY7D2XVK72qba0k7qk1ox5llKlEFFKyXuPWa2y9aJ5 + 6SFY6pOzWpiSCY1Z3XVW53U6nHMiMi9JqU6fVdluJSIhhBJ9PQ/AvsAzreerer7yLzU5ZwDOuQtk + q/u2KPvyPGRdRMozZ9t8XvPNaV6xnG1bv7tywKOq8721ZCOdg2IYYy5qQgwIl5BinQYckhKpOhWv + ObN0DIUXMKnz4hc8hfYQ2o2v/+VfXzuRoJQAUmYq2cnTw2ynEKBXqXylua/a/qr1tYN/9b5dt92+ + mhRZqNLkRMnlSQR8GDSTvruoB4wuSY25z1VwpLRWTkUbBiBEcOAQStYmwARHqAIP65XdfueL977x + B/Wez33pt/9g41P3X1cvZj0mKXuFKipf9aknwHvomdTrClbPKaXKQTMAZQ699MFTLl9VEiclcxRC + BMCRQMpQQELzD4EyOaV5mG05chZV1DQdiIgJYIKqCDLg87QMhBNikgEQQaGk5EBQsDCRqkYqgcqk + qknLcEZUSqHlUzKQM5yiAtDJNZXbdeDY4fe8/8sf/+TOV9x87Zu/+/LXvS5h2/4q5IHrpfdVrRmc + nSapEEQkIXJFvURAB1XddZ0jzFJXjy9zyWTFPJhzy7TQGaW+nikmCQDAnUKFEsBOweqhLECS6CoX + Y1/XA0TqU9JAjlPQtHsypq89/Njv/tGRT332ykm71KaQUpjlCjNBExhwgVOWKGCg5pBUBOqDkygM + MCML2AGEmOC9UwqbREeYVhdqverKhZv2XnbbrdteehN2Xw7vlHxkFoIQhKjUHtOw3Vm4KUhI4VR5 + 52537fUe6kGXpXRZzmjj5L6vHvjC3U98/nPuySeumEy2pVilVJKme5CnIKoZ0cMN2CGrgBRQcqJZ + I4jgCErIOXlikW6WCh4TwB6iIEWJyBUWAoIgM4bBrx47vKsksqr6wEmiMlKJXBUGpOyprAIginBd + rYsAKhQAMIOUXFnG0GhVgSRkGV17XX3VNbtvv2P30cOHPvzxR979X65OB3i8Xmt2FXR6qnT8xOq5 + rRal/hQClFmZAKUshEzEgNNMCiLNZbcgyjrYHIweXsHNP/UjSz/8d1EPoqPeITJH5uxIwbMGNNq6 + /SpQ4u2d+Gm95J00NSCk2S/Vo8u273j9a3cc24j3P3Tvx+568FOfuXljY2UjDR1Icy+oAkNdSrns + McRghmaIiMP0LkcS4dnh6vzWRoICqugBBLBmCYCmTGiX29h/+YF9v/FbV//6rw4Hw7bxnaBxjJwk + ZyKmUGnS1E987S+kVGsAUgfOkFUJjl3ZBTiwgDKzEtoaXNUE8SJVM6wv3xlufemef/AzT7/r/V/5 + s/fu7eQy1T73wSOLQEIJUGD0oAwABGbkBFaoIngXU06ANsNNP3ysqq/8kbftfcfbZdvgqM/Not9o + J6ChwiudvOISIvVOiUXEE1S/cRvaiX/OSh5CXoVUO2RABr6adF2uSKAEDRxUVNWJhkGOu9M67X+q + /8AnHn7P+9NjX79SdSCJ+9aTE2JVsChBZkHzIJm20pT9WvPx6VIEzIbgY+TZ7xYnZrjpfjSvw4WQ + GDKL3z79YfdeMKgEV2v5RVNhAQCFwCtXOefGQft+6LlNWblSz+Pu6DVBcefdj/z+n1+VCKkNDn1s + fdmjMxMIJEnACp7F7pQf1FmiuZCACQBnYjBHdomJwKwWbG0uNs8bsTNtmBEAWpKtm4pznNx8y0v+ + t9/4Z7/4S/9tStMWm5zydEfZ8oO8td2GCLHvCWBSJuq6CeD6PpWOXV3X1XUNG9TOGGOMMcYYY845 + IlIVIqji3NwGYE5DYoXAlcYoVoZSSAFryy+uX3HjTTd/780/+PSRffc+cPcDj9735Oaj423HNnlD + AXWz1kSSjGnUhyo0IzOIIOQiVFmVVBVZlTIxO++o3L9KDqIY68RVHVV4Qo7tO/DgXU98aMfoyhuv + vOnlL7rt+l03LvOu0NWM4MnnKERwwavmLkVmVFUlfVahcotsVqSUlTWEoJqziKows5sVkdlBlGe3 + ypZHIShUSJR0NuYNZHpdg0+WjX0xYS2NmHLikwKwkmy54DB987ksm/k2UBYV50JKQkSqBCjRSS9T + nLv2fKvcjTHGGGOMMcYYY8yFjZ7nX899fnaXrUzv6u/AhyD7gXE9oOHisfF6AGqgbthJyDlvvant + dPCp3/6sl6a38wbuW1lssNvJn7z5e3/0Y3fCDTBoQA4KPxu1YdYJgaBsydbGmItCGX+h67qqqnLO + OefS5bt07a6qqoxSoarln+e7vMYYY4wxxhhjjDHGGGOMOVtIoQQGBOLKwDoqgEK5jIBUBtg7ByWZ + DjYGhcpsCMAyOD1AAka2gX+MMcYYY4wxxhhjjDHGGGPMxaNpmpRSCUYtOdbl+bquVbX06IEqEZVX + S3eekihZ3kkzzAyiEtipM1ujjOdTVMaePBOlhxETC7TrutFopIq2bUPw9WDQTSbj8WRpZSmlNJlM + RgsLXddhlpJVoo9YITS98ng2lM8vylxe8I/GnL552r33vtQhJeW67/uqqkqocwkIOQeJv8ycc3bO + EdHGxsZwOJw/c7ZnfVJlJQCIMc5jcefB1ScVY6yqqu/7nHPpfXkBZkWXVSoi4/F4YWFh/sz5Ltd5 + UNZD+crKM+WH8vyW6luXUio7rHMu5xxCSCmd7eUq41aXvaPM65xlWpddNaXknCsTAErAdlkVhapa + 4pEx5nRMj3hIAGiJVlUAwioMZBIoWFmVXJ+W+l6+9EX/zIFqshmCTyWCWBmQkqAJgLUkHYaYegZG + HjqOB+69b9dTT46aOlbc5tTn3vsqhDpmmvQdOyean2eImwtfCcEFoOBESPQ8RxoO5IRc38viyi63 + uXnZ7bfeeu2Vm//hXY/8xV/uTLKjAiVGgur0lFHzqT/spEiUHXkQgwg5KUpmMZGIEoEIIMrQRJoI + wi4BGojYK5OyE0ICFEhJwMrlbBsAlESh2eXsoawgEZ+VFYHgiSBK5b5ahSgEIDBYsoIIzHBKUFaR + rL0qHB0/e+VZtnS5O1ZRMoanw5YTwIoGlNs4RF7x4ejBI6t33vX4Iw/qRz527c//V1ffcP3BdiMs + 1KubmxRqn3SxGqVx2zRNYtdJS44B7VJ81nH+PNMaOK8nmCSZoAScWIaqGcQ2xZwGdZP7lPq8vLww + Hq+vxLR9bePYhz/24H/6g5Wn9l/T9wspOcmsJ9zBXAKMUxTPGFRehSQJCELaxlg7sKe+08ojZmwq + aHl0OOej6ofXXnPNK1+x97vuwEtfgmGz4ejQaNhSiI6gXgjzBAU8b3JAOUyi2RaUcw4x7nzD6659 + 7auu3fxJPPEE7vrkQx//2HjfvkXRgYgb943EBVd7Yqiq5KzZcxAREJwDAyLT4GoQjic0kAAomw8r + T3Mc5luXwgkjRek7SC4lmxaPNYuwMm8Jz+XpRohMyO6EpaPZ8maS5EjgGNj07AXB0WB5YefbV3a+ + /rvW//1/2vfhj+zsN5oogY7no59eRceK2Z3hWjI1c4mzzqreY4PdEa5Xd2977W/+Kq7aeWS0sFrV + z96gTnGcLwQlAeCInQJgARIjuZLqkasoQ8HK4uJw167bXnErfvLHN979J1//4AdHm5vbVEcxTvqY + ISMfSB1IYs5dhgOq4Eic5FTW+sl2pZOEECgBkDrL1cpPfvaeJ/7db+/5+Z894AYTpijEYHIQkfnJ + qXMh5v50VuI5JApEdhEnqfnT9LnZSxT6brxtx7Yrfvonr3jZd9z/v/6z6ugzw64v9XxJcADptK2y + 1EuKDMAhkEsph1Blx1+fjMfXX/Hqf/gP8aY3Ha3dahOk0hRXq8FQxUNP62yXFScJvv6GSEGSkUkF + THVVx7YPIaijnBMD1CWetCthMETC4YNff++f7f/onfUDj12TeSlF17dMJEAkFS0/lgQw9PQzKmbt + wADKEkyTTp61NAxIPv4rI6ygWXT9paRETpeav6wnlwkZ6r1PfTvwLscYU3JDn7rxZSR4Yt9X3/l7 + e1pdSDmIJIZzQAJpWcMkpSbeUqHN9neePZYZsZSKi5CJ/bSTyzldeGPOHTqhEnvLW77vH//jX/4X + /+J/F0HO2Xuf0vPVcnVd5ZRUNWcFEKoq9n1VNQAmk8lgMMAs09raeYwxxhhjjDHGXOpoflWCaTq6 + C5x47kfDagGa+twuLC2+6NV7Jy9/y+G0/yMPfvDRQ187cuxQ5pS4j7nVkF1QgSQFGME7Io4xxz6L + IHgQgQnsGEwiSFFExPtqesWKU+Y0a8BvqRnv69efeuSRzz70iZ315TfsvumVN97x0j23xL6uBwPK + ueuUmZthJTlvjjcWB0uaEXPSLN77uh5EiW3bVpV3IHJM5VobAOXSIjdt1ps2rE2vNrCyTNNJyqsq + xPOXL2onxnhvYSHWLxAX3PdosdbGGGOMMcYYY4wx5oVFpv0wpm2tJBnYVBx01TMJly8MfGwla9+L + d9LnVDv6Zm4mPm2xk6rhSSc+pGvHE6xvYGVxk3joQAov8IRMIoAjtoZgY8zFRVXnffXLBBGVXt9t + 22LWq6e8ZMnWxhhjjDHGGGOMMcYYY4w526YD38tsCD+CAMygE0cwtMF/jDHGGGOMMcYYY4wxxhhj + zHl3qlyordHOfZ8YVPmaiEQkpwwm733X9czM7EpUZMmojklyjszsnGMXSr+enLOqimTvPZXgJGYV + 0QxVoS1Jq6QlsFaB4xlaczJLtKIt75//O4TAzClnZk4pjdsJgYejEUiPHTvaVHU1aGLfee+9442N + jWnMwDnsUFmWqJT5Enl8VkD4WcoLNy8AJcS6RM+q6tbw16qqVJWZS7L1uckIKWUAkHMuccsich7j + lstKSCmVVOASl/s8mdYAmLnv+xKW3Pd9COEC7F9ZisfMCwsL8xzx+cq/dOScRaR8R/OUO+dciYi7 + eBGR9z7GSEQ557quu647B/Odr7eyLbVt2zTNOZhviZBX1bLUMUZmzrPDkrL4MUbnnHPOso6MMaci + ZewZmiYTomRyEgBkAjGgXLJ1nTIJK0BedXMcWnngI5/mzXEAXM6OeGtsqh5PmAQzqygRHAGC9acO + Pv3JT11xzZ6DdZ188ALOcM5n1hS7yp/dwXAuNKRwmZeHi12XtHJHRHdevW30Cz969Y7m8G//3rZx + Swo4yshCCHzSKNhvIPdRgUTwXIKlfRbEXjyDGY5IHfcsE9LOYxL8pBq15CNpYuQq8LB2o6FrqkEz + UlX0SfoOkz5POml7SZ1j8ZKrlJsUm14GKWkSUvhAKSYAvqqTaht7JR40g64fsygnMIQhnuEd4DhG + EYCVqaSr0nNP0KfbVbkxNos6EIMhGBKjzd3XD2zuX/38Pf/kuu//vl0/8xPgKqwsrBO85HZ8tKqq + cVzrVIWdH9TIEuNkoRnE/tlR4adqtZiGXp/xN3BGBNxlQuehRHUKroSJQyJk0iV1TmKukBp2MkB7 + 5MCe4MP+w8f+nz8+/OFPXHHs0KJmkj6rui0LQorMSAwAgYhEJSZRAPDMPiBnpIy+04FHn0DVyqSu + Hhq45rZbXvbDPzi48Qbs2JZyWieXh4PEdQ8VYhLeYEXJAAAgAElEQVQG4BRKEBKlEh8tp0q23hqu + TETOucTyDNJg6KvBUrVy08KtL9/78z+9/uV7Vz/72Sf+8gOX+/XheDP3rQDEcB6akThmAQv8rJIh + gIi4xGsTAHCJOjgx25ZmAbakIAUl6Sct5uX5pvJtFYgMBZKbxlSTQAlKaPvUO51ctjhcwuIv/0zc + s3TkD957+dF1aJ7lf5/yY7e2bAgEJGWJWHTecJVVHPtW5VBTPXPj1a/9p7+Ca3Yc0tj7kyzGSTOt + T5hjqYsEAJzCC7oY2RMR9ZSPSZywNCuhWdq18Ms/87KffMtDv/On973/oy/q05X1CDnm1CvgiTyc + IqvjqOKl5VPEaT+PTNyKLAY/XFt/8g//fM+e63e99Q3d9uUWLnuvRCzKqWcldVWcpo+febV4YSDF + 4mBJUz6mceW2l978z3/li//L/3zFY5uXKyAsQCZlyLQyVCghKuDRKypHOYGEJuRx1c6X/5NfwGte + OVnyR7vsmSknjRycj3qq+uzbgJG6flxVlXgH+JQSAV2Mi81i6mMTFrnvF3Jcbkb4+iNH/uLdD3/g + Q0urG9e0qWm7KgsIRBAtcdYCAtRNm2DVzXbdi/XLvQApWOCUVaifZX+zQqGS8qSpBwrKWdVXoa5b + xKbd3DaePPkH727v/8oIjqRnp6xQARH0xO/mBRCQY8y3qhz80LNrLe9913U/93M/9+ijj/3pn74r + J6SUmqZp2/6kH0OErS/VdWByohmAqpaGndJaewE2uhpjjDHGGGOMMecHCaZtxdMLnDFGZS9J2hjh + UY2GwTdVv/j3X33L6vjYvkOP3ffYl+7b96WD7VN5OMk+drxJTqKg7bKnXHkaNB4ZgVizpJw0SQbI + ITCEucxOQVAGJSChzFiyC8JN7tA/Hg89vv+rn9r/oWXeftt1t99yza0vufzm2g/adXW9G9TD0Wi0 + Od50ofLBAZyz5pQYWvsAAYGcllhrogxSIiByyiwobX3E5YKaUzghr2XZNbNkLhcSBBdgaLAxJ1I9 + 0+tIZ5fFWhtjjDHGGGOMMcaYF5DS3x4gMIGEFUQKHWe8+X1//bXvedP6+lPDXuuBy5MsiMM65LPc + rdQ7xE5CCLzR7cLa+/72D/zApz/hml3T0gpAAjftgnRWS2KMMWfD/KJHjLGu65RSGcJg/ob5ABbn + qYDGGGOMMcYYY4wxxhhjjLlUlGEllYQggIIkQwSsNBu1DUDpDTUbbtIYY4wxxhhjjDHGGGOMMcaY + C1BJ7iEFaBZ/5b1zTgk5567rSpq1iJTYyOmbmYKvVFVURXL5ECby3uecS2ATzdLUiIiZRRRb+gep + 6qnyTJ8/FJmIYkopia/Cth3bu67r2r7PKedUD5rgQ45JkIkQu66qKpnlKZ37TpXnPW36XD5alLU5 + HcwMoNQqWyONiWhzc3M0Gs3fE0Louq6u67NannmoNoAYo4hUVcXM52v4xpLq7b0XEQB935e18fzv + B1AqXmYulfA5Ku5pK2nHXdcxc1m9z79cL1TOuaZp2rYt/2Tmsp2f31J960oOfdluVbXrupLIXjbO + s6ppmhhjCIGIyrT3/mzHpTvnyl5WUqtLvvX8yfKFDofD8Xicc74E49uNMaeNlbjE0ZIyAAZIRYkV + SGAldgpWLmGtQsKEpm/x9X2HPvvF64HKuZR77zgphOU50YaUcu/ZMeWUEEDbXfP1D3/0ire+GWFH + 9vXI16nto2QfvPeseqkdznNdhfXVDT/aloI7PEkpYHTlytLb3xq/8KUjn/7cEpMTkRLJy4R8xgeH + RHAOJIjAhIOEug9Ba4x13JJECdlXYWVh+YrdO/dcdcXuy2n75di2DTt3YOcOjBowoALJUAIRoMiA + ErIiK6A4dhRrazh0oN9/cLx///pTTz+z78nu8ME6y+KgrhTo+6Co6ppVNyfjug5ONUgiVQiEkBIk + CjlAHbTkAQgpcwnNJClJgbTldE8JxGAiFU3SV3BN1WTC4iS33YED7/qzR79w9y0/9WO777i9WR7J + IEwadgExqmPPYBVicqS+T9MPZMVzY7TPQ04niUKVoERQj5LwDQEgJHA+VCER1URp/dii0goRvvjl + L/zWO3d8+fFrJtEronTCYAZn4GRtGsycc2bAM6tIyiKCpHAeCDhAfr2qNxcXdr/m9tf/yA/h5Tet + ct5cHCZHnWqGywoVYnhf6ggSQAQC0hINfaoQXTox4Xg6za4jtAQMnCox+eGgXrrjjqtfetPV3/cD + G3/+7sc++uGFtdVlZB73LqLxyApmsEIzFABBySlAzwkcZy0ZkzRdDbQlsJyEhdrNDYAcCAoBVJX5 + zKofIShJJggJK7yoUwghMbipJ7k/KO1ooV7k5ct+/O2LB/tn3v1XO/K4yfINq7myx0spOimmDwJw + +VsH1xN3dX1oOHztf/9f641X7+s2sLCUv9kGJ9LpDeZeQARmlzOEJBP3ddAGWWjThZZCVfu9v/I/ + 7P3uN33t377z0UceXej67d47yaosqs7VcMixS4rKKc7ojFA5q4x80/eTJXZLxPf863/7HdfsuPK2 + l++vFjfYZVEQkVPJqoSc0slSvC8aCk6Kicg4hKxpx03X3fj33v70//H/rsTOE7GKIs0SWQCg7Gz1 + oO42O9XUuLCeZXWhuuEdP4zXverxxneI2lTS95XnQT2ctK0LZ3fIo9GojjG2bQ9mck5Um6ahNq4I + 6o31ZQ7Yt+/h9/7503d+cNfBAy+J2R3tRlUD5QTxHsiIinA8mrwsaqnl3azyt2Trb5tM03pRCKwM + IDNIpILkfhyqquu6ygVWqdrJHkA/cOf+935wb9249VUggTCNtUbpujL92PJ/a481BgCUp3XXLN86 + 55xSGgwXfu3Xfu3BBx+650v3isisOe7kv2HOTQ+ZVNF10TGYnKiWdlrMWrNtUDtjjDHGGGOMMZe6 + 6dn3fPAUmSVbYzAYiSQiWhgsZ5bYtyKo8rDpF0Zux2VXXfOya151TA/tW3/8iw/9zecf+IzIYan6 + JghCThJTnzKSc+hjaS0H8TTsVgBPyCkpvFC5iMQKLxAm8YSYNefEFWgANP1GbLt+9aMPHvrU/R+9 + rLn65mte9oq9t+3ZfW2HNo4xWFzWlJMIEQtUszjnBlXdd51CRRORA5i4jCOThUSpXJhhTK/bMhRC + wiCaXmHl2arArMjGXLgurFBri7U2xhhjjDHGGGOMMS8wDkJgBgsAKJyCsJGBhaX9Vb1c+W2+j+M8 + aPy4T51EfzZHKxciV3lto89uR+UH4/GO9XUQGiQkDwUYcJIBgKcp1xfzbeLGmEtKSgmAqpau3aVn + e3lpPqJBXde65W5gY4wxxhhjjDHGGGOMMcaYs0yEyuBl038rkKGep5djHU7sdmTDBhljjDHGGGOM + McYYY4wxxphziLRcrDp5N8ISLFSiL8q0c66kjYpIThEAM/sqlFhKJi65jFkVIlmk/B0xExGJJsmq + qpCSe5VFoQpmVVVVFmVmLqlAOo281q3JKdOrafMLbEyKZyczKQOIOYcQnMPmZMzkooirghJCXYvI + uO9IFaJN0/gyChudei18a7auw2kBt6zP85DFdf48N4rMmJMqNcws6l7mIccARqNRiaQtCc1EdLYz + rYuUUomkLV0XnxX8do6V+rb0pgwhxBifvzDT+pm5xAmXAN3zuwgnVQpW1nN5pqz281uqc09VS4hO + yTwu/WTnccgXL1Wt67rEljvnROQbbrrfLm3bhhAw26LOQaZ1UbbkkqhdVdW8v3Nd12XZx+MxgKZp + LNPaGPP8lJiFSac/BE6RCJkAhipB2GcCvAKsynGyRPnY+z+6fGB1gQgqAHKWrac6QoCCwCBkzZV3 + pNAM78I28gcefhB/8+mFv/2Dh1JK5Ak+pkSOq6rqU0dw52UlnBcKxEzqfFQhUl4YTjhvdDrYtrLj + B77/vvu/OuiT6yZchqMX0udk937jWTBcqCj3m9kdHK0c9HW72KRtw6tvv6W5Ytfl1924bc8eLC8h + BGSIanSUPRFRVhIRgYIdM8/PN1W1nEYDYCV35RWaFKKBsEJY6XuM17CxtvG1Bw595d59d9+jTz61 + PB4vx34p6yDQZttPhx0igCBA9hCgys6JL2fMhH66ERHmS1xObMtNsQI4T+NeGKicZ6W2HwMYeV/n + uBxx+N6vPPTr/+q6t/3Q8jt+eH3nwO1cWt1cgw9NU8Uksd2s/XAQFtrYO89KsvUG2+eeQZ+zI1oB + QAmEOoWygjOBVQAMqnq968g3It1a7q6uwujQUXz80/f8X//hhqhuc63TDQFn5OGgbje7svZyaRkA + AHgBgCyZAeYSoQ1H8A4Vc5doox58fXmw8t3f9fIfezvdcGMSt6o0GSy2SjmKOnbOqypUvPNImaZb + QqkoTjcbYeuxGakbcdPn3FNiIp+jptx6f2zXbl5ZXrrpl29+x9ueeM9fPvL+Dy21T19ZJc6Agj0g + 0AzR0mCDnCWACWAtoeiMU7eElC3Zg9LmBJpp2lBT2oO+mQYbhrCAVQcRADqHzjGYk6+HzaBt1/1w + aTzU4U/85IFPfGZwpG9y3NrEtLX1ZitSgJB5ul5dSY0FMgOAZtWqOZLzjW98E1720q/EOFje1QwG + ab39JhahJNTOWq3gFJ6rqDEKJZLM1GlWKJI2rol1/fhkc9urvuMlv/Grn/9Xv5U+d89gbXOhqiWm + PkMl1fAVeUWSfGa3qxMQwMxISYa+2lg7cgWNvvwbv/nyf/qru2++Wep6MwKOs0OmHEgd6TncO7/9 + hDDuU6gHzlXdsH5w/+Ebv//N9K73r33lkSVClcVpnrdyHa+BM5yDCrgKraf2xuvwd956iLAxHBJV + SFyJsqAn+HoochYzSwQYT6JjHoXA3m1q7rrJdjfyG+s7Q42HH117z3v2ffTD7sjBF5OGrpNOl+qF + cbdB4MYNc+wBBM9djspKgJIoQMoAdDaEnvl2EbCClSBgTAPnGUoMXQzVxsZGXqqpado+1l17eVA8 + 9PBXf++PrtkYV11y6B1Nf30dY16L25CBxpzSLNk657ywsEDk+q77j//xnT/yIz/62GOPSV8qdXlu + JaeKnKdPM0MV5aeuNLGWpuzSjg1AVcuEMcYYY4wxxhhzaRIABNZZxPXslDrH1KcoJKGqiAnJOwoh + eMroYisRzg+W6cpRc/neW1/5Q6/8mYef/OrdD/3NvY99YaJHBwt9zxs9WiWkCmAwQAmSUFrWGOId + lHpVZOIML/CqJFBxiTgFJQgwKWfuQJX6eq2Pqxv54GOPfvkDD/zZjoVdt1z3sluuufXFO28NeYGZ + SZ33FTmWnNY2u0FdqyKJAMLslAjQrOU6LJF4r84JlwRrJcmcE4mSYNa+ynpp3SxqLkZEJOWagEKh + RHwh3GF4yd0+aIwxxhhjjDHGGGNewAggEIFQ2s81l7s+JwCCe2rY3KALh/cf2VG7vk3Dhapt+7Nd + pL6LooCIdpOF4HdJxLHDaAagBTBApaMIU4m1Pv8NhsYYc7q896qaUooxDgaDrS+1bds0TZkuw1hc + miMaGGOMMcYYY4wxxhhjjDHmHCujqUnpcwV1QJ6NMmZD0hpjjDHGGGOMMcYYY4wxxpiLTtd1Lnjn + HM0ueKlq2/feexEpmalg4pJj7TiqqCormFmnsWvE3vVtF0JwVSAiBxKRlBKYVKdxbcQEVSVAVAmn + uLwmOEWsWsmPJMcr27cfPXqsHjTMHHOOKUrKwTnvnGdux5O6DpPJJNTVWVpjz69k4LBC6IX/aMxp + IqISB5tzds5tjWHOOZd42tI38NwMmygi3vuu60qEdilVKec5mPtzqar3PqXEzDFGzAKhn2dtNE3T + tm1Jti5/W3KFz2Gpv7FS/vnqLSt8ngdz6SCiqqpyziXzuERBXwgjhH6LnpXPvXVrPNuzZuayOZW5 + O+dK1PRZnWmZUUophCAifd9j1ru55FuXCiSE0LbtfLM3xpjn2pJKSKyaCQQhBYgFILAcfyd7jcM4 + weEjj3z0zhs4VH2bJXlGOvE3nxVS7mBUOOKcsweYALi8unr1UvXIBz5w/VvePKqqnKjyVeCUc6Tg + IcqX1l2P3Ke8sLJybHNMChaM2240XJkM3OJrXjm+8rLJvv1Nq6UG/4bHYyfl4Ls2RYXu3nnDD739 + hhe/BC++AVftVJmMGz/xw33BR9JMTOSYWaQnBglUFaIO5Jxj5pRESEQJJAImBzBBOUei2oGJiAAh + GVQrozrtGF11+XXfeft1bYeDB3H3Pc986pOPPPCA25yMRk3dRep6lsgE55AJyCDhWYb1dGNSOn6W + V0J/GYCCCEqIUYlADCVRoQpEIFK4DFmfXF1Vi6tH9v3hH8d773n5L/2DhZfdWC3Ux6DjzdUqDGvH + GnuumsBBNILkudHC07aIb+W7PXNcxmJSOAEUmcDztZFBmWLXNqq7lEeHD8X3feC+d/7u1QfXmjSp + wOR8lFgPwuZmN6gopxPKzrPEYgHYU8waBTUBjjcVa+SPjJr6lptu/+l34DWvPLo0PMKkraxs3zXZ + GFeDJhBN+i7m5IiZKOfkAGUhEZCQwimmFckpkqHLdls24C3bsHZdH6rKOUqpr1WqukoZB2KUZvD4 + eHzFjTfu+cVf2nPbHU++608e/fwXljZXh1GGGQ4gmiY4aBlpi5VOndNQ6iLMNioAQShujiGZJM92 + qjOuelgBTEfdd1JWwvRT2r6rRoO1Yxu1Y6oCLQWsrG17zasmH/zr5y/hCZ8PIXXKgtLiQZBZBDsR + rfbtZPu2lTtuH7OnhaUMf/DAsdFwWHLQz1RJy56XoZuMyTF7X4cgLBFZVJ1Qv5kXF5fXETa2ub6p + X/Wrv7L/X/+7x9/3wR2rG4sA143k3KeuIUceEs90nQoAiBDQ992Cg65tbpMnnvr377zyv/tvrrjh + xqOoNgSJkyADcK5kHlysFNwsDPqcx+M2OQyu2oNDhxb37u0e29+3rZfM099ilBgUAIF933ZNE2KM + uUvt4mj46u/AjmVdWIC6cd9X6oPzUMkC9k5Uzl5DGSt7bhyUUlZNzLoIvnxtjGeOfO0///HqZz+z + /Ojje1Jfp94pXODskLqNoavgQo45Qz28KLKoK9UGQQAmwbw+mU6bbyMBwMosBIAITkk0eu9TFBcq + kX6nd/Xhgw/89u+Hx57YHnPSzjmAkDOcR06l7j3Pi2HMhadUZDIPtC6cc13XETkiWl5e/t3f/Z03 + vvGNIklO3UxFRKUhq/zGERGzMvvSxlXGshORefO1McYYY4wxxhhziSrn4LqloWoWbs3MTVULNItK + hqOGQBJFKZLnQIGVOaLJLJlqHd28bXTbm1+1QUe/+sTdn//qXQ8/9dWxrGIgaxhnn0HKpL6Co2mK + Ro7zNlshFQcoGIAkYniQI1HRVMZ+USdJknqQ60MFL5Nn8sahh5/8zFc/uZv33Pri17zsxa/YsXhZ + kKZGE7Rx0ZEIERNRUsmkRKQkKkLqSJkAhjIykIUEBCVRkvnVXidMCgaELuYGdHNpuNBuVLMMCWOM + McYYY4wxxhjzAqOACHyGlshoKDoCdi7+xJ0f+uxLX3KLY1RBu5wnvROc1W48rHDe55yJ2SXtYle3 + 1X/+vje/45OfwWgIx2BksICt76Mx5mKUc/bezwdWIKK+76uqapqmdDsv+dbnoM+5McYYY4wxxhhj + jDHGGGMMK1TBCsV0/CYqw/YBGXBl4KCtY5TZQELGGGOMMcYYY4wxxhhjjDHm/BBgng3FwAlDC27l + fBAgxQQghKCEo0ePHjhw4J577z18+PDjjz/+2GOPPf3M/mPHjk0mk5TS6tpGzpmI6rpeGI2Wl5d3 + 7ty5srJy/XUv2rNnz0v23viiF71o165dVVWBnfM+di0TERETYRadrdCtl9JOiLPaMl3yYEoMUkqp + qip2/ujRY3/n7X/3y/fd26VMQAIqhgje+PrX//Y737m0sJBF6sFQniev4Mw9d+3NU5qeNV2UVLlL + 4REnSYMCjl8pvbCGwzPnUQl5LT0EMUvALY/lDWVaVc9BV8EyrxKxnHOuqqo8fx4HcCyzLo/zlVPS + gp/LOde2bV3XMUYiKtVjCdm9AFVVFWN0zl2amdYAYox93xNRCCGl1HXducl+PtvmyfREVDbXkth9 + DmbNzPNM+q0R9WdVCc8uG7CqltTqnPO83lDVsicys2VaG2OeBwOkEDCVNNEyaAwkTwdAZy2H2crJ + SR3T9rY7eufH3TMHFoQop/IRJcd6avYhpACUHVKCEpiQc6oQRxEP3nd/uu/+ba969THf9M7VhNR1 + JKyql9QtjkKgqjqytjoYDVNsPWGxXp4c6ZUr7NrBL7lh8uRTO6AsIIKKEnvoGfxkk7ITTmBuqurF + e/GOt+bLd49DtSl5UC8DzFIFkKooNBGJihPnZyl6YEBUkmZN3ldMoiDVrEpZchnpKDATibJT1Swi + qr3j7OoJc96+q44xLW4bXXfjZW97+66n9h/52lfv/4v3bNt/eNeTh5e6cZ2zgzgVFTCyQjIxSJwo + CJkgBCXQbCvdGm6tilBDlbpeGKjIEVHM0QHeoe37hYA9OR+9//57/sffeNGPvW3p7W92V+08Mm4d + oW6a9bVWusgE8dAt99XyyTZA2fLU2cuIBQBlN81mZkBm+cQCcOy6heFgEvud0IXHnzn4R3/8xLv+ + 7PLx5pKjCuhSrp1QRjeJqLGRtWI4AT2nwAooaeehCia3FnFwMFi77qprf/bHdrzxb3Ur29fgMnGl + Gharo6uHlkfLm2urberr0TAMm0nbxpSq2uc8PeAoXwqJ87PQ6OdfQ+Xka3quwUqDatJNml6XKq+g + dnOcyY0Gw5gQRivjGFvZuOI7X3PVK25e+NDH7v+jP73qwFN67Ch3qSbUDBZhBTwSBMpe4JRYMT3x + J5nHbE9zo5WVBIBTyZstYqScAEdEJ29HeP5lAVxmJckMJfQOTuAzWFEPRsfW1i9b2dYeWx9mbiex + Xhhue/Wthz/yoVm0BE68t/t4A868JKQIClEuyeuZp40epABDK7++0OBltzBXrtXAtHNpR5/a01+O + eb1dtvDsjj/f1IsiKecsfcwiiuwcB1exo35jvFQvrh1ZH452rPnm8l/+R5uN79575+DQEaYcasSE + XnMNphMX8LSKBKTUO1d57/tuvFiT6+Mjn/zE8PrrV37o7buu2auh2nAclQRZIEx0qiT1iwBJZpms + bl7V7Mhde/jQ2tWD5ctecetX7vrsMLaaZWvdVCo/D5eROGcWZNXN4K6+/daDqnFDFkI9GjYp9/1k + Utc1h2p1fXOxqXHm38Jp4wqNiHT9pKpp4PPy4UMP/sv/b/LxTw/btau79VHshw4ukHTa9xIcEiDo + J33PwKAZou/Xc2oCl7h2JSgBAhC+iY3HPD+GCBKpkoKUgjDLNNtmLNnVFRKh7xuSUdfFv3j/6vs/ + dov3eTKuPWWoKpTg+HgNTwou1VFpV7+UDt6MOZUTdgRlAAx4H6Jk52gy3ti+bfmP/vD3f/zHfqJN + GSf26yo7VwghxlgaLXPOTVO1bQ+ASEsLz3g8Lg3XNqidMcYYY4wxxhhTrqTMpmcThJSTd5Ujp5pB + BHaqmkSEJARPhL7rNWvNVVDOfV4IDY8hunLzttfc8sZXR3QPP/7QPY9+8b4nv7QRj/aymV2Hqu9d + 7BUxw1fA7DIoaXLzuzRzo/CAqFd2pJxUJYmqwjOYQcqSc08i0m3S+mZ17OEHvvxf7m+uWL72tmtf + 8x0vevWVo6sCBpR8zQ1T8ERJRJyKguG8eFIoJeFOXFJSKAFMEki9VyYlVpCWOyhF7A4RY86QxVob + Y4wxxhhjjDHGmBeUclOaEEGzA1Rn3e9rh5o3t+9aF03ra0s1EOFO0Sf/20hicsxQiRrrauDHk72T + AVaPoV5BM4KCaJZpTVvutzfGmIvBvI+39750ca+qqgxeUEYxaJqmJF6f75IaY4wxxhhjjDHGGGOM + MeaSQAoqw5ro9L8yGNjxgU7IxmY3xhhjjDHGGGOMMcYYY4wxFxadhV6UQfa2PmYCET1z8NDnPve5 + u+666+67737w4YeOrW9gy1Uv3fI4nVDt28l6O3n68CE88rAjUp1GCRBw2a7dt9xyyx133HHLS29+ + 0/e8gYmZiaF0PHuDThHA8ewOkDL7ExXNKm3XuuC/8KUvlazXMkpiJ3BAF/ullZXxxkYdgog8dwZy + TpI/lC6tx2dF38xDvBSXVECe+cZKB0BVLZnNJRSkPJaIX1UNIfR9Pw+ZPgeYmZnbtm2apmT0nrNZ + P0tZFapKRGX6VJnW85fm+cFEVFKTz2P5T6qk6JXVe77Lcj6V8BudAZBS2prpfpEq3X5L996S0n3O + Mq3L7ETEew/gHGRaA0gpzXOMyiJvra/Kzlv2TevvbIw5TUoMFQCkQsRO5qcqLIAylLSWiPXxw+// + 8HV1E9cO1gAxYoJ3J9yjuHVQm+mpkIKYCXmhbrpJu1jxvjs/cd3el463L6z20VVCDOccpTPIbH5h + EJG6rjXG2gFtTqLLw21x3Epolva+SD/+ac+sKkRIADSd0UlNOQkS1Ui6znL59uG+xRB9JYIudiFn + lqTswMJMjgVAICZFOURQIjgRZUA6zVBhOGJiZTeLXlbKSURzVELgIEyi6FR9M3w69pqlWl6qyTkV + WlxeuO6aN3zv9+Kzd6+9/6P7v/BFOXRgIbeLmpv50Thlnp25ySlufC1bV+WROiSod/DsREREiUGE + LGgcNGGQoraro8wPvvN3Rkf33/Rzfy8sLx7uN9KAguMq8Dh2842V9BtEVk93h7N5eEsAa4kl2HpU + xgAGg7o9duSquhru2/+1//P/7j7xyT1tvyQ5Z00OdUDXa12TRM0RoQqIx5sopnH15bNKmkFGqviw + Gxwa1Qt3vGbvT72je8WNX29qqQYpYpC1cn7ST5pB3Y43hk1Vc2hz104SO1S1I4KSCkBEXD5VeVZd + nPx4shyZzDOtpxNAn/tm0HiRtuug6pvGg556q+gAACAASURBVGPXO+f6XpInLAwel7QcVpbe/NbX + vfxVX/6Xv9E/+mg4eGRbTrXGnAWAIyQFSJT4+PkHSVmlSjJdA8rH14ZC2xYps2QQiAIUAM0X4zQp + AWCBgDQxFORFIJhsbiwOhuONzYEPiJld1Q59fe2ezvFJmybmpToRl2DyrcHqZZiunHPvsPNlL0Ht + YsbAVTnlHhmMMzoLc4ppwMU8RV7BCklRc3YqzjnvWNWVNHvnyPlqczIeLS1PYptd1Y0WbvhHv3Bw + LEc+dhc/88zuxoUAyoDSmTbCKCEpqsaN2z7lfliF2MUAXLPs7vuDP37d5ddhtGO0bUf0ISIDWSQx + Xdyhnv14c/vCKK1u1qHaubxr4+jhhVtuOQrdzgxMb/7XacIzk5KoBLgcMwAOofduae8NTy8uVv2Q + s7STznv1gaPElGhxcRGxP6vl7/rJsBqqq3LupUsj9u0Tj62sHbtcOtf38IAideoYlfd9n9z/z969 + B0p2XeWB/9ba+5xTVffRre5W69F6Pywk25If+P2SwfFMICROMg4eZvAMAwFPhsQwJAQcwEmwYxOM + jQGHRzyAYzB2PIABGxuwkTHClmyEkC3Zst6td7ek7tu3762qc/Zea+WPfar6drckq4Va6lav3x+3 + q+vWPXXeVWfvfdbHEAItojXeNxnHUNWj4bhtB0BlkBKvziWB/qjO+IlJuexKymRcjvRgCmarqkSB + CHXXbc1TfP2W6z704QtDJftXR8NqPEl1BDNEkDpUkS0rjBlQGGND/rpvNecOk3Mu5ekALCwsjMfj + Sy+99J0/859+6M3/9mFfn1IiotIcDWA67WJkES1tOyLSNE15XNq+6JF6tJxzzjnnnHPOuRMFw/ru + gBkNgdQyVAlQECQzhSpEYpq2Y6XQVJUFUskqqGIESNtc02gQF621rOmyk0995o5v3scP3Xr/jV+5 + +Zpb7/va3vXdVk3rJsdGkyYjVYAJVHqXyhsTiKBsiiSSIWAGEyIjZ6QOREpERmbRQkP7bD8NMcD4 + 3pzvu+6uK/7602dvOe8Zp1/ywme+aCEuD8MSWZQM1sgcmahvvSdlg5TOEDIyMIwUQYHSg0YA9GgP + RnXuCXGsjSr0WGvnnHPOOeecc845d7wqyanlcX/fLJGYAQbLAAIAQ2cAowWaii7/s898+VWvPNum + eb2LdW1dPtrB1kQwM0ViDpJkOUSsrH72W15z+Zf/ViYSBsuBkNRiJKNHLPbgnHPHpjKid/6z3M49 + PzOXB+XOc+ecc84555xzzjnnnHPOnXCYS4kQEyE6supgjxvFCNGYDcrIGf1NUBrmfbHzPlnvnHXO + uePWxhLkZfhQ+Tkv9Omcc84555xzzjnnnHPHLCrBsX2CLIUQVlZWljdvUlUDTC2JVByqppmuj+97 + cPdHfvf3/vyKK75w9VUGRGIzSyW3esM0N9YjPLxDjgAx25hHfc8Du+/57O4//ewVAAaRL7300te+ + 9rWv+ZZvvfjii4fNIKcUiFRVRIZNk3M2ozpWXdfFyMaUcw6BiSgnYeIQQpJcMpmM8Jd/9VcCKFBV + 9XSWGcMAmKZdV9cNTBlU8o4Orhv4eDoTH6nyYOmdLK3HFLht2+VNm/bu2TMYLbSpq6oqhNC2bYyx + qqrpdDq/H+rpZuOWpwMZrTFGUsuSiIgMqjoYDMbjcaj8RrAT3SHd+kSUZ3mKKaUnLdN64yFZQpef + 2uqN83d/HLNR/uRYqz6J2SxtzLR+2p4JH4ONuzpmQebHu7JE+cmNRJ2vuvnu9OT04R5+I/PG81WZ + AQ+0ds49FqX8jDDMQAS2EmuqNXPbZY41x6DQaTte3rzQ7J3gmuvq2+/m8f5B1WhqDaCAThC4/1uU + LFYrly5WyrjDoAIizWlaEwY53XTF5875J9+p9cLC9m1p/ABbYgwDTqwTF5crXTFGDirMAcTTnOsY + JinsOPf8XUxZNVbICcQwIqg99opByprRSR06a8EGEmHNORORsSmgrIAZC4CgYGMoGdgIQsgMJTVi + JQWYzcg0mAazAGU1kJoZsZExA1ABMcMCoJIjAZEJkkwURgHrg8ja8Iues/zSy5bvu+euT3zq7k9f + seme+05JeWhGyUK5lDZwFSuFmNEjfKW0DCbUgBqyigIWAEAA5j7CwAgDQtWunqP1no98Yucd95/9 + g9+3/fwz18D7SdfT/qoeaLDcJhMdDAaWRUSauk4pPTXfEo2ZYsqJm9hJV4eYsw6rOueulfFJlkZ3 + 7r7+nT9vV//1eWaha5lMAxJBFByQkxF4CKDTyHEi3aipksok6aAGCLnFYIjJBAPEcb381Sp80//2 + +m3f/YZVtmm9EFBxxxEEss4kUIQo1aFFUgMFRIOalmaMkmtuBAEDDOLyfYiBh022ngdaH/J8JO4k + t2DUg6gIZsGUI2VOmTUFAKAc1ph0cbSpOv3Zb3vr3j/8+N0f+Gizd9+AiXkqimioDAoYUwJqZsvS + GQYlfnUD7RtmEMyaGLBnZbDjjFa1y4kjqx1ZELMQMrFRn8PeMQKBDWxoAne5k4ipSTRT2Go3PfPM + HairBI3gEIKIcsmo3hDlviHZut8DS7J1v9rRv5IBCVSffRoWmqSilqUhsRywMdn7G5hPWRnALD65 + X0UaQcECGUjLcHgYNJO11OqwmsikNo4Wc1hcG/LJP/zPVwbIH/543RHYkioIgaPpEXwtV4IGTLNU + zEHJOg0EYYSxXBTDNb/0vuefdsbCpc9eDYvDzaPpdBIjbdzXyt7Vt9Qde5eihwumAwJ302YwTF3X + TSyECidtxfKijtdKGko5FcOYjNjAxMlSFZAE2WT7WWehHuY0a3WlbKZKQmA2tZSP8gWJhloneWXU + LORMEqs0Gp7/91554+23Luxb31LRWAzAqIJKSMqIdVBJjIcorlZxOqiIeCRYQIX1aYCwiQKo0XaI + ipo9JvkJRVo+IYMyLMCgZAAyQ5UoRgp5mLrB3v1f/sX3bXnooSZlipimxBFigCIYCLCss5gecHmm + nL7m5yzn3CzKCkAIwcwCCEBup3XgnLtv//Zvv3Pn3e9+9y8e/DdkMAPMrGRaFzkrZgXuSmOXiJT/ + ls87EZm3DnVdV1WV31PgnHPOOeecc0dDGQBgZgSUe7qZH6n7wj1pZi1SBiMFQBuHKpECYDCMYUqi + LDqiCoBmKX+t/RQMVDGADDKuUDeAyKCi4abN2y972YvXbeVr997wpRs/f/uDX2+71SpOprRGASFC + EkRBDOKQbEoBxDDrOzvJAANpqMCgqLCkqjAEwLRSYYJC1nkfLcWJrq7k3V+97dpP3PT/n7bpjEvP + ft5l5z1/x6azQjeysTCsCpCUIQbmYKOyT8ZABFNNIAohKKyTbAQKgdSbCNyxy8yYQ+46ZogeKyMM + fQyxc84555xzzjnnnDu+lfoI/W3JsH5UvIEwbzBmQAWC0RCDdPvCwvIebApkSVpoY6G/CePoIIOR + AVQGnkbVza1sn6xjfV/Y2qAVNGEQyp0yagD5wFTnnHPOOeecc84555xzzjnnnHtccs4WYu4EBsQK + BANqClAt9cUUYPJIa+ecO46ZWV3XXdeFEEqVKwDMXLKuzazUsRoMBiLipcmdc84555xzzjnnnHPH + mhCCiHRdp6qLi8tJ8uYtJ7UpmZkRKPBotPDQQw997Hd++zd/8zev+9rXtERiEIionUVFGsHs4ECT + kpT2cN1gfTTY7FWH6Ii+8Dd/e/Xf/O3b3vmfLzj/nMtf8cp/9B3/8BUve3nJAEgiTGSwadcG4iQ5 + xgqAqoYQOAZVVclGCCEYUWC+6otXl2Wcps4Omocn9cbJMv/MIedsZlVVre3fP1xY+PRnP3vPffdO + p9OmaXLO5WVE9PRIMH04B1Y7mc7//8yLL3nuc59bx2BmTCQiKaWqqtRzcpxzzjnn3ImKTUuRGgOU + mA1KymSkZElGddOp5S5RwNJCI6srC4R7P/25Tfv2j2CaOgUCgRiBDoqyLYXarX+L/q3KBVz5cj7I + tm3f/ukVf7H1Dd9153j/IHAnNu1aohNu0EswABpMCWXAJ2eCEUXjk7ZsmeYUAFMAYIaIHekKMiq1 + +8uVMcOYjdkCzJQUICUYMaDBSrEgVoKBhbVkAGtfnkiVEEzLBOcXWkoKKKi//mWDgglgsBIALeNX + S32hNmAsmptIC3HzpvPOPO2NZ37Lq6d/8mc3/tEnmpWVUyMNpnkYoIZpyhVHUyUitnnU7gHUz+3h + CwxgFkVgINJapW4nw2m775ovX/X2d7343/7w4lln6KaFFdOELk9kMBgEUEkKLJf2fQbzwRN+7Gni + j5uRikgY1J2mMByO18aDephMdby+lWR5Mr3+He8cfeXGUzQtdB2MOgURSuSxAow++5YA0W4U63Hb + 1TUNG2pbI2BhyO1EBdwubrq9Gbz8Lf8vXvr8nSyD03a0a1MyJqgRDKzEbCUevAxENjIGEGyeGc4o + QcizE4iBQAbbGMz8DbABxmAkPrDCyVB2tnn9LCNkxrhC5tBUm076p687aeuOa3/hF2z/3mXrBkFh + YIUZMokaSKWu4sBwSKZ1fxTMFoFVdDJhkcDIBKIASUe4vSBgI1TlCAVKEa3ZIWMAK1nmwJE7GJoQ + FgZGrERhw1piwKxfXiWEw1YgGc/PsGWfZ0IOVm3d1DWcQEpmZEYGPbLdtOzVbDACGZj6Jw/Z22cH + GhvUCEoJYAFDSakSGuWT+ML/83vW9stdn/z0VihnRRXXpu3CEc3N/L1IlcEaYEEhUTEStNPJX/7s + f37Fe9+7bXGwa7zeVNx1aR7kCaCEyhTHRaInGWoYQVpOEglEQoym4s3LsmsXH9S8OD8YpE9ZYbQR + vLSAEJUo8/x41LKrsOFhA+af0AXQGFRV2un+eriwd33l5NFo4dWvXP+jj+dph8laFVJmTDmsGu9F + jMubW0uDU7aNLjz7wgufUZ9xBqoGux5Id999x+euHDzw0JZpG9K4azGoqQ6WOg+QeGIZKJOxogEY + BJAAKAk30rZD6BbTvb/94fiVG7eT1aZqEAYZ2Jj1QHVDJQDGhhIsv/HbnXMnsCM65er3ft/37Ny5 + 82Mf+0MRDAb1dNrZo/ZQmFnOmZkBDAaD8XhcVVW5XyDGOJ1Oy+0DdV0DKF0ef6elcc4555xzzjnn + jgN80LBMw4GsjQ29GICCFLM+o1k7ls4mMX+l9oG2RqU3JGpo4oAJbTuNWr/4jG0vuPAl9++/a+cD + N19z0xfv33fn3v33dzatGsSaAYzbSb2INkE6REYVAIUZYGwqWrpQYqhiFLMkWTqpmAkqBGM16pQA + TIKytehk7b7rd/7pF/7ozOWzX/DMl152wfO2Lm7ftzpdWNhU8zC3CuFBHJJaO2kDKzMZW4dOOSAE + NdOcI1ePvb/GuSffMRJlvZH3SjjnnHPOOeecc86545KqMnPbtk3TzEvTAkAgGEgtlptFAHAA0QiM + tsPWTf/oik9//dmXIq1KRB2idvnJGAxqDKIy2j6YbmrTH73y8u/43JXYtpwDkBWWYhV8YKpzzjnn + nHPOOeecc84555xzzj1ukWOI9dLCMrJA0hQNgyMAYRA0IgMBCPOyesdBtS7nnHMHlMpTOecyTGg+ + XqiUoMo5xxjNbDAYlNJUT/X8Ouecc84555xzzjnn3KFEYeDRaJGI1sbrRhQNXc4UQhWrm2+97QMf + +MBHPvKRPftW6hANEMyCeMwAcAgAVAQ4rKuLNjw4NHKq//fwAmhdFgoAKIt9/dY7br71jt/60Ie3 + bdn8f3z3G//J6/7xWWecEYgXh6Ou60y1ijHnbICogCmEYKYiUlWVGPav7R8uLf/e7/9BJ2IgYiKm + MquKAyFMB83XUSvIFkIwM1NTVSMiIjFLuXvzj/zw3ffer0AVgpllPRA68rTHAM32hZ/+yZ98znOe + Y2aqGkJkZkm5ampVeYrn0jnnnHPOuacOmyoxNlwglBxiiEWwqBiRUgqqm7oON9+255qvnNNZU0MJ + ARAgG5iZVfuYYeqvevos4366NP8BoMnYuj7Z+ZnPXPQd/2DIg7jAGmOnGqoGkp/MxX9qEZQBMg1m + yqrEQugIwSwQ8yknZzIKSAolREbKCEcy/pMNJRU7EJMyNNa5IqtAAYAChqBAJgMQDUwQgpGSMQzB + HmG0qUEIQgwoz1JU1RjEhqgIAAwMU5ASBMglOYAMTVUHDp3hwST7Q3Xyxc9YOPv05/zP33r3R//g + pk99+hmEumtba6uKQ1ON1/ICDASlDRewJX93Fr5b9l3aeIlrXK75g2KeuzmqeG3vrgtuti//u7dd + +ra3bL3oXFka7kldBEnbZTNVreuaiLqU6roW6a8TN17XPwkBAAndoKnGq+2giXEwalNXx3DaoBne + fOu17/yZ4XXXnRkxmSYFONTBiDVb2Wp9pnUJJtbI0XI3IKTOACw2FUS7iTQ8Wh8Md5667blv/XG7 + 5Bn3LS9orPeuTUYwkBipEGCVIgoqAMGUTWfJugrADsScMwwg1hKma3zk1aM4GLGA1Rg5mBIsGCmh + kgCruMRFmxIElIR5HwI2b1n+thc/97TBV9/+3vr+Xe3+1UVGzYhEMUQAuU2ScoicgENCHUvmN8r+ + o5b3r1ESGsRyXJE90h7/aMjAhtDnUrOw2izrNZgyoKRakRBZHZrlRY2RRGTeWDXbddkethHJMPs9 + QY0hBAABUKbRaSevRrREGiBIDAOONPi+pJUDCiI1AoyprKhy/i7Z9kCJU2bjoEqclHVcMxDq1LBV + SYIsn7z4L3/gjvU947/64rnDJqd2sYIdSVA4G6KwsWYGAdGMLbCyEqbSNdqdu3flurf+1GXvevvi + yZu60BgxDk4BnSdbHxex1gDYVGBtlBwpZOoYGMTBKdvyzTcr9We5YKwEIwgZtOTJQwPWIy2cfBIi + CaP/1CSAtOxRJWpdD0SSP/HITLvpYFjv76YVD5vB4h7R4Y5TL/iHr1v9+fdvzWMGUsR4WO1eWJhs + P+ecV19+3sufT6duoaXNAE1VOYQQUXXTC7/zO279rx/c86mrd6QBY5qn47quCEcWM+++IYIZadmd + jABQOeNFpqpLp0C6q790x8c+fv60ozZxDUogYTYANMu0hhKEAAJvaEztv/45dwLbcAh8g3zr8mVy + abTwH976k7fddtv1118/nXYAYoiqKrZhIhsPK0PJsS4FSOu6VtXykaeq5cYBZk4pxRg909o555xz + zjnn3AmltMbzrEEb80vqg8OtDdwxGzGblp6joLN+TAhmbV9GLGRGRIZ2PG4GVSAb5tr2U+yWFmjz + aSdd+OJXfduD6/ff8cDNX73rupvuuXbv+v3ctKNhXF3LzQKW6goJeaqkxMwWgUo6VrGULFkCEZhQ + 1ZESY941QAhQUAY0Dnit21vV+xdOGe3Ma7d/5SufuHFp6+KpL3/O3z+dzjll8fRmsFB3g2wxWiAi + xMoChHJSUcnGRGBmz+d1x4FjLdnaDxvnnHPOOeecc845d1xi5pxz0zTlvyHMRpObwVAGWysgYJgB + hqwYLIIDRvmuarC1yTzZNxTUR7nkQGnEZ4OWsfxQM4yynrOyhnGL9X25OWkQGRZUhAM82do555xz + zjnnnHPOOeecc8455x4P42zSZd3TjtHUGDSEiFLsf9YpbGABaF6uzDzZ2jnnjmNVVbVtW1UVM5tZ + jBEAM5fSVMdRWUbnnHPOOeecc84559yJI+dc1/V4PE6ShwsLYiqqo8XFe++/7+3/6Z0f/ehHx6kr + r2xFBaibQZs6VSUiIlJVw+PJFuodHABQ/msGMQPBDApMum73g3ve8bPvete7f+4VL3v59/1f3/uy + l7xkaWkpVnFtOqljFZtaJGeREhXAzKGqUtdt3rLlqi9+6baddwAIVcwqJg+TkXz0oqw3CiF0XSem + MUYKoW3bwWj48T/+5N333p8ABjoTKBQIQAa45NU97X7OzZ8sm33Pykqsq67rGDC2EIKoiQgdzZgf + 55xzzjnnjnEEsGlmBng25lCVLBB3XQcOMXIGbP/qsoU7PvWnm9puEZCuCxFgaIISYOBZafhHeiPb + 8CCYLrRp16234/qvnP6i5+2apjAaMleiSvRkhAcfIwwAtEQ+w1iYhQgwIbQBWB5VS0vd/nVhI4MZ + BdiRXl2SISgzRUWEBiCyRmMyZiVlncdb9hGqoPIQZMzQ8nPjBJX6QkIAjFgQCQoLjLILEQNKfQQy + rEwtKsAWAEOg3HYWQmwWunp0v+mgqjddMDzjB77/jJdf/pVf+uWw884thEXN47XJplGtk+6gty6Z + 1huKJpUVEmaZmmRsoBL9a6zQ8nKF5O0h2L6Vtp189id+6vJ3/IelM0+1TZtQDbKAAyfJbCXRHSXT + +pCM4Sdnt4xN3L9/39JoYX08iTGOAi1NpsN777vpl97f/O0N57Dllbw8gHaYSDesRpoUpMoMo5LZ + bFAAojlGImJOUg2btUk7AFGzdLsin3/Wc3/0X+Kic3YvL64QVxyIgiIzspKCWEgNgDJZeaxkCoBB + ABQMqBH3WwSzcHEoGb5hmuNhjIDKMkjKPiPMsACLQYnLZT0BEJAKQwejh8h2h3juCy695C0/csM7 + fm5LiM36OKVphCGnmsGlxSNrCXWcZZ/3ew3P2oUI2q2vRVUlNQqsRzzojgyxRGYYAGZTAFqOAAYM + UY2IjExgwkSBh4tLysQWskpEeUOyg1da2cn7x7O3Kg8Vfaw1FMJY2n7qbkbmACYWZSLuW0GOYO3P + j6AS+N1naPdv0r9GqT8cDBxMg2kJrbcy+xay8Hi4aTQKz3rz/33jtN35N9eenrF4hPsCGQJIZu1/ + RqqgEiLATI3YYG2123nHX7/r5775J35sLWcsLkwNuWSuE/Vrk+hYS0F4NExqNiUhrqBIbKh4tH1b + ZhZiVoWBQGycWABlgBlm0EAT5pO3b8vMmRHK6ZZgxKCHi0c/OrKKGsVBM815OFyYrK/dNt1/wbe9 + +prf+b1dJDy0B6lbeuYzL3vdP8UzLsXy8oOLoVscMsfcSVYBMwVEicsL9fk//K/27PrZ1WtvoKlU + qLou1YTjaEseH4xArKyKYOhTrYNlnnbbIfWd9175a79+Uc5Lqc0Ey+WQnOs/A40UZP2ZecMXO0+2 + die8I/vMm06nCwsL73//+1//+tffdttdRMiSCfRId3mVuqMAQggiwszlDoLyKwDl3gEPtHbOOeec + c845dwJjWImytlmU9SzZGgBMSYXZSKEwQlBW0mB9C7kRyJTBStpfnRMWl0a5nQJYrBYAbtdShXrb + YHM7Tg0vnrxjx2XnPP+h7t47H/z69bdc87Wd14bhtNvfioE5VszGkk1MchIRBhiRAAJZaZAXAwOs + fd+WWt89p9mUagjymq2qQQdYp5WVds+Nf3Lbcjz53FPOf/Y5l1604+Kto1NG1Sg2A5KQswKIsYqR + y4DbQJw7MYNXG3DHuNIRcIx07nistXPOOeecc84555w7XsUYS+GDgplBB8bhGiD9MFCFKWKAQYU4 + VK/5/JVXv/Ll54fcTCemR3obwJEqLeLKgMEUEAq14OyWrnzVa19+w7UDZBUjNQ4MtUceUOecc845 + 55xzzjnnnHPOOeecc+7RBKrCcNhtGqBWmClsOCu6BmLMOmMPdCp756xzzh1XQggAiEhEQghE1DRN + +ZWI0MzG0lRP5ew655xzzjnnnHPOOefcYUKIIhqremFxqZOcuq4Vfdd7f+Hd7/15MaSUDCAOIYSc + VU0n7bTcchiqaGaa8zd+j8dY1qyEdYVgZmYKoipGExWVNmcCpmKfvfKv/vxzf3nOmWe98Y1v/Mev + e93ZZ5/dTsYUOKt0qRs2g3owSCmNx+NY19OufefP/Ew2U0BSAgVwhGYAbMR2IE3oQCjRhkbcJzbw + I+dMRASqqkoBhRHRr/zarwpAzATKKn3IDweoqJkBCjzNfs77R2UW/UQAA3Vdl7wHDkFEYowhhKxS + Gtidc84555w7AZVMa50lGQcDAGEoIUSWpKDAJmxpCzFuu2fXlVdfoFmQcnmxIAAUWDJK8nIpv84b + YlmLUhZ+PnyRgErycjvd+SefOvs5zxwg7lNWMjGLJ9iwF2ElAwxGLESGGBVG2gVDoNH2beN776so + BJiIhm88vYOQgUGKIBQEARStlMgnCGdAiHLsrwtL2HWARkMo228WV1xSrkudov7yqeT4CrFSI9Sn + GgczNgOlMMvrBtgIsGCoBCCoduvDOnBsJtnaLEIh2nBfqCfbFzZt2fLsZ11w94c/uvJHn4kPPrQg + k3bc1Q974XwgZuCghS3Z3ARUKspqYGGQEVtIKnVQIllsu/Pup6v+zU+9+K3/ZvScyx4y5KquBxXU + Jl0bm7ppmknXhhBmOdllMQ4eiHt0KEFy2rS0vL5vdXPddCTD1f0nrbS3//Kvd1d+4TwFjXNNsA7M + YOJJahuAysrtr39VyUAqCoZJkqqOk5QMFBe23rS+tvsll77wh96kl1z0AMVpJ8vDQZpm5v7gZUDn + Y4xJDZCS+lCOa2NAASojkzFLdCZo0Me3flQ497HOpCU+uazpoCWRHX1AOgUrcemBRbOFwd0iO170 + zGf+xx/72lvf091yx/JgFJB0mpKiDlQbqYKZTTMONMiUPblfWYEpr4+bkkVtxoZAUY+kaH4w1KIK + TgGJEJQZyjY71ZUDC6ZMxmAhqNULw47UmKIamGFaKoYdkghb9m0DhBVA0ECgkkAvxADY1BAGJ21J + iASOysgWAvKhp95HYyWknABStj4auZ/pflv0WfJlmkpsBDNulIMoGxTKmgkUYz0x2cO8/byzv+lf + v+nKf/fvT921t929v3nsa3M2S2yI2rftGIxUg2GitrRYd+Nu6aGH4l/fMHn/by9+/3dNKwr1os5y + PefDJo+R8INvqGxNAaBWxntmEAjNSSdNiA2khGB8IGR8vocYDCGFqt66dT1YDqhFWbljKDGDARY6 + 6jHDRsSD5ZVJOxwt5Tbl8dpwIaZA1GP7lAAAIABJREFU09p2/K//4Krf/cMdz3n2C/7Z63DqyTqo + c6wnwbThaV7PWZnigKtglpOq6D7SSGnLj/7g1d/7L7Zl2271ACSp89a6JxAZMeqSji4kAIKiVm1U + qjQeTWTff/vISdffvFDxWG0Y5xG9CvCszbV8PzTMM65nH9An2Bc3547Y4cdICGQmp5y89b3vec8/ + /4E37dmzp+uUaPaJiwNftAqd1QsVEQBmVu4mMLP5x195TfnV0V0e55xzzjnnnHPumKAbL7m1f8yA + 8oHnGfN77ckYSQElYkCYydhw4PflapwNQD9ONWfLBlVVbWOsYxNEpO3WKHATMMBCStXQFnZsP++F + 21+z/pKVa2+/6vqdf3vbvTdPaGzDPA0TY42DOEClkiwbKyIzg1S1M5GYM2+YVWjJ4TZFXQOGdgoG + BiMmw3i6PjxtsGdy/97Ve6//ylUL149OWdrx/PNeeNl5L9xcbR/kTY0MkVmSAmaUFQiYNy87dywq + TVuHPPPUNjd7rLVzzjnnnHPOOeecOy6VtrZyk3xVVQBU1UStNJ4b9/fwlKHhUIUxBWYgBiwv3bm8 + uGXvrs0i4Si3zykYpGwG6m/4F9KoIY7zcpiiHaOrWSKaEai0mKs3czvnnHPOOeecc84555xzzjnn + 3ONAhv057czrGEUQc2cIBAABIDAQANlY18Q559xxpQwWqqoqxjiZTObP13XddV0ZTVQSr8vPp3BW + nXPOOeecc84555xz7mHlnAeDQcp5/3g9Sb76S1/60R/78a/ffluMVZsTgBBjzppLGnSomEgtm2pO + CZhVEDwkk8Y2RBY/lp8bqMhsIpbKW6CPQCZCqwrgzvvueevb3/au977nta/5e2/6vu99xgUXnr7j + tJzzZG09TybMHKooZh/6nQ99/vOfZwJRyCaHvRnDmGFkNE93Onq5MqpaVRVU2pQANE1zyy23fP7z + X2BCmkUflKifJAeSwu1p9nO+bmd7jfalH0GBkwjHwCFql0QkEHumtXPOOeecO7EpqL+KIesDURVQ + ghJQEYi6rmuiDmPoPnvl8gP7uOt0Vta5E1QBTFGsAypA7dBsWypF4HWWOYy+Pg6i0SbCLV/4/Nl3 + /i/LF120V0lIKVaYX6+dGBRgMlhZN1HBEYDBDJZ1cfPmDhRDDRGVLjLsCEeClg0irJkBmlf2VyMl + aDAGNEP7AABjBoSMrMwalPr3m104lScBY0AZrGAYk2kfcgyFab8nEGARgFIAysQVHDsxTW0yNINh + XQ1iwjR14xj3RWzZceoZ//t34twLv/6rvzG+f9dWTTxtoyoDSuByfbehpPnG5OmDl1rZoKQGNoIC + NcUsOQZaIhqMU9i7evXPvPtFb/mxrc967n2K9f1rzXBQx6pNqWYOVZwXUjeax/0+GapQT9YmS4OR + TddOi2GY8h2/8qtrV/zF2TkP20kGKMAMFGk61cWmRtfNDjczUMm0NgLXaDtUgIiMxZY2bbl7fV0u + Oe+lb/5/9n3Ted2wmXIF5dxNYUYUjAKMyIwJBDZSQjLu10C/hmdre55aXjKtyxYpKadKOGxrPKIS + mUz9W7AyDJDZhg4wNi0bWQiJCIB1Y+KAUMniptvbyXnPuuTif/EDX/uF/9Lee8d2wqYR21gNRiGI + ZlNw3x5wAM+aD9jQrk82qRKRwMLG/IrHZhbsqmV6wjDjckJlVRAYpAaFQTnAIFQPRmugwGXB2VRo + w5uyzY9QAFDWsooO7IFlhy4PKWC4lC1UFghEoNm5/AgIH3jfcHBOrR0IAumnyqaJmQDWUCkoqBIr + 1Mg6SZ3JpIorcTA447QXfdc/2/n2X7ggVCbpyGYIBoC13zdAWs48w0DTtW5QYQvR2u5dd3/8Uxde + cv62V73swaCJD+R6zqM9jw/GChC4UiUCjA2UDc3C4gRMxqwlHp11FtAiDBCIoEbgEEYLZBZBQUGm + sPIJwTBWYtCB7OGjQcFEQdlSssghda0k1IPRA+N2x//0Ld/20pfWp58+Xah3S8uDGponkwlNAY7V + cFBVVWhVu8RK3DQ5VjQaYXLX1sufhyuuwsqKWK6O/PPOPQrrP6wRqHxGMwPBdJTyZqPuM5/Z+cd/ + fEmsJ+sro0CWjZkAsxKVS2Dl8s3QSMMsMMgIUm5YsRIV5BvMncDKF2Z7rB0NpR9nZWXlssue/e53 + v/u7v/uNTKz2aEU4q6pKKcUYc84xxpJvXT4B27Ztmmb+CXicfRo655xzzjnnnHOP3yGX0rPHdmi/ + QHkxwwgK49LmbQSd/QkbhEDou7oYVnpPq7omIhFJkgBEQhWQLWmnlGPFVaCGldUsyuKrzvv7L7n4 + 8oemu7965/XX3f43O/fctpZXNeekXeAQiJgsJwUlZoSKEh1I5u57YYwBjZGnY1VF04ACxp0SEAdh + /3RPhlGEVpRodXX9wTu+dPMf/9UfXLDtWZed94JLz33+SQtbLFFAYDJkUqnYvKHAuSPgsdbOOeec + c84555xz7rhERKV6QAhhbW1tNBoxs4iEQLD+xp5yQwWQAdC8KayKGA1f/4mP3/bKF9KuPdAjH4r+ + mCnYiABWEp6NwiczAayuBzG8/xUv+74vfhHDkwF0kkIMfPTmxjnnnHPOOeecc84555xzzjnnnt44 + 0sLgzZ//czQGDo0xOoCQB1CgBuJBd189WrkT55xzxyAzCyGklEpFKhExs5JpHWPsuq6u6xBCefBU + z6xzzjnnnHPOOeecc849jMFgoKpdlxH47W97x69/8AOT1FWhmuZkQIw1iAClKjaxmU7Wy1/FWJcW + URiIqNxKOZ8mlcim/qduePzwPw/GIQQzU9VS+h9QCswgMSUmU52KgLCyvv77H//D3/vYx55xztmv + ec23vvryy5/73Oeefsqpa2tr99x156/82n/9jQ9+oFMIEELJB6NZhAxwcJYTGwGm8xSoJ/SOylLc + sCwUAFVV1To2H/zQb2dA+jwxEHNpZ+6DvZ+uVQt51jtqfeIW9buBiUgdo1kf+aCmHHgW+e2cc845 + 59wJq794Ccols1AYWS0yiwHQigj379r5uatOEUAEdQyaYCCAQLlLFSKVGFQAJR6V+gsxmqUfgrSv + itP/34aGxT170meuqM6/iBCUNAaY4ghycY9zJbpV+0pBpLMM5spQZ6JkNVdKUUFmxMT2eC5ezLgT + jikmhM4ol+tpAGRMRrDKoEIg4kBsBO2jZBWYpfShj648fAkYGq2kTus8+toIWtIuKRuhr4BkrODI + QzXLUZmMKafJSs6IMXKHwcJo174H+ZRTNr/mZRddcuGt7/2126760lkxL3dK1g97DdZHBtJsN1E6 + eEQsCWYZ7QwoqRBAiBxZqRWLCKGies9D52t788//4rn//m2jZ1yIdkpETd1M1rukojB6PBnBf1ds + gHAV6kk33iJ5uPvBySf/7MFPfurMScddSzFWxlPpjBAyNo1CN+6qsvOQGqDEbBoABaaCWIMVlrG1 + Xrp7ff3GrfVrfuT7cdHFwhVZSJN1G9Y0qigjUgytkbESlJUhjEwQgypgYAKCMgMwmjVosB60duZ7 + 5hGMT2aDIrKBDCBlhZKWcFRQLiHrMCgUQGYwbLFmE2vB005NByuVnfyy518cv/+Gd7177+13jWpU + EZOMhjPAgRqzdv528/NKv2lV0tqYshIRM0GO+OASgsRyTHLZIYXYGGQIJeKdSlAGs1IwwEIzHKwG + AkgT+uh0O7R9SDemEc8SKsujYCABynvEBqEG1WxMCiVSpsPaoh5NCaktgdZkAKMPg5/l05ZE8xJ3 + HRRCrJSDciWRLTJpDpoZ4FxxRcR1Vacuj6kabd6GMBJZPzxW/BsgVUJ/7BoYIFIAMRIJUkIVsRBo + aXXfVT/7vhdv2zF41oWry4GZ58nWAJjZDmsHPDaJhUhcZSXj1hCI2XgYB2vKlaIEygsBpMFgBGGI + oaZgwgEVuKlbHY2IzaRv4mPWfqXbIfvSE80InWpd1zxJgxiaatiOW3BV1afuPimNdoweyO1611aj + QZuydbZ1847UWac6ljTNWqtWFDlQB9ufu3GanHH60umv/ubbP/0XlaRqhHYMHwv7hGJBRdAmq7Dm + 2al6MQm+/NUbP/Chk3Waxu1SU+U2Ra5FO+L+o9yAAMUs856Act4WhjAMTEZcPp3Jm1jdiW3DIUCP + GnHNAaq6uLjYdd3znve8n/7p//iWt/xUXdVtyg/7+o2Z1gDmD0qadfnUK11pOefBYPBELpRzzjnn + nHPOOXes4jJGsm9Fn1+Js4LnvTvzBlI2BFUgKJXn+j6O8vvSDIvZCMzS3RmqMOk60xxjiE0gFVMi + omE17Los5eVmZsYBIx5061jUTZv45FPPOutl53/rquy94c4vX3vzNXftuS3RJIdJomkKUoaXEhkD + sbQl2MZFYBOJAQgQBYw5UDbIVEYxRobAUpKMxCwyyl2zfn2774Zrv/j71y6du+2C5z/jRZec8+zl + arOthYEsM8LRWvvOPRFmHTuYt3E9tTzW2jnnnHPOOeecc84dl1Q1hADAzBYXF8uTgct9OSh3/JSB + xQEMaFILTKY5RgZVWN58J5rFpl5OXSMGI1hpXLYysPuwscgG0N9xjPLshgQCtOsmw2E43xqsrqHe + Aop1bAxaGvn7Ye0GzO60KbhfrPn/nHPOOeecc84555xzzjnnnHPuKVO6OIn6zlCedagSKSiXOoPl + dbPSJEe3tJ1ZnlqGAdUAYn35sgoHqjuWqnIFeZerc84dZ5hZVUu9xZxzyW7pug6AqtZ1LSIhhLqu + U0pVVT3V8+ucc84555xzzjnnnHMHMdAkdRyqG75+4w+++V999eavG2CgThKAEEIpwR9ilJynSUEh + MESkPF/aSEsgcZngLF6HZ4lp+IY/D1emCcxihAimKgAxmyqV7CRVYk5Za6ab7th5y/t//Vff/+t1 + FRZHCznn1fUxARmo62rSJREBDDAwwxSkMHqk8J4nNtMas6ghMrRdW1XVsGmmOY2n7X/74G8Rw9SI + g6maauq68idlSZ/g+ThGqOFAjy3MIOjLNDJABulSXUUAKaXI9IRvDuecc845544XRlCogclANk8K + NjIIzAxEuhB4W27Xbvhad8fOhelUoAbtMgIhMECYwJbqaF3ChgLxRgAUxoagB8cclrdJsErllBC+ + fsVnn/WG7xotDBMMickY0PIn5Qs8GwOss/heAFwutR41Lu44YwRiBrSMOzUNIFAUZcTaRFGyWkUf + 5+ULCSMDUFIi6Qvmm8LYqERRsoHnhftnm2y24cpg2Vn9IKB/GZdsSyigZdP3gbizYbRG6CO0AZDA + kFQ4VAKFSh0QI5fljSGsrq4ubd36wHj/ShPPPPvM8//1D+/98O/u/MPfP3V9fbnLjUiZoDAACnqg + EJISQHpYZSQmU57PUs5MobHQQULO22Ldrayt33TLNb/yvhe8+YdGp55239pYhxVVsR406+NxxSEY + yErON9h0nuJ5pBWY5tuMy6VqP0010mAAjKyEhDIZk1oVEHLaJIYrv3T9//fBs1LeRhbq2Ha54YZR + Kxug47GMIkwAQKhsH53HfUcGE1IGM69KWtmy/Oq3/CCeedFKYKmbnNvBYDS21KVphSqZVOC+oJOB + IKUOFUEYhFlGOFmf0UhGhpKzW4IfdL6YR7hymOdHcT/imsm0b/MphbAOWZlda0YCEFUhDFvGvXV7 + +gufd97rX3fLb/32/of2bg3GGcxsBzUIsYFK2m8fig6YWdeuQyQomKNSx0dYMX++n5OVlcBG5SdM + jYiNDWDAiGZBGXWjHIISGwNWhnsTweygwwuzNcl26IoNBoNqjBQjwERECpDOsowffwOHbViieaZ1 + QX3LmXL/BtFmwclGqlCgC1zlNrFoHC5NlLokIdQmkyObiQOzz2wHTne5tcgIVZy0eVhhMaUz1sbX + /ex7Lnv3OxPRZLFJVSyNO4zAYEGeV0sjlJzs4thqByM1DkQGVoR+YzJihYM2pKLPgO/buIhIzcAB + oWIlsgMbLvSfmPN96egubyC2LHVkzWKBmmaIDOHQDqp9ki1w0yyKCHHVDAd7VtYIMVQxVFFVGUxG + BnSaBqOBRF1R2Xr6DhoOeGWtG+emguUjPqecUOZtn5idgdmYcODTUGm+/soh0Medl5T6SnUxtXGy + vuu///fq1ltPinUgoFMAZmp80NmknI3LqaB8GuKg8xUrwJCjtqzOPd0QkYqmNImxjjG84Q1vuOee + +973vl+e/frQ15e7A1JKAJqmmU6nAKqqatu2rmsAZlYKk8bo4TvOOeecc845504YfU/WI/QVGpdO + h358ad+7AZ51OM6b3w/6IwIZFASg67oYI1MQyTl3AUQUVES1FTEOVYxRJefckVAALVVLeZqhtBhP + GqkMeXnz2ae88KKX71q/52t3X3/dTdfc/eDtCNNqQIbc5jUOWuax9O8Y+j4pgPuOIypNfAxCqIJ0 + HQhgMEEJQoqgVKUkUxAS1m5c3XfTX3xl8S82X3j6N11y7rOfc/4LaxmQBbYmaMUaWQPAs/bDja3H + peekf+bwRlklZeOHySghnfXV8uyV8ykf1DrNeHr16rqnKW9Zc84555xzzjnnnHPHJea++ZVoQ7P3 + 7KHMmn1DaSsnZkYG1qnbRFUwhcXLP3vNla948cVrd9ZjqFoMI5OU0FWRzURBMJ7dNGLGZTgq68Pc + v/HIMwktjeL9aFQjBWeGkQ24XZjm7XsN0wxda40arUnYKighGGBaBslr4DSrLVEBAWrzO1UOGffq + nHNPolINvG3bpmlU+9v/SgHxnHOMsVTJiTF2XVcG/jrnnHPOOeecc84555xz7unEoAoBlBFpVqAn + l2pQSERJLMOYEMSEgVIm/igmW5MCqqoIm6FDcOyLy3Ffmd0AIsRy88/BmdZEpI9aqv6gjmnnnHNP + nY3ZKmZmsxqa5TRealHBy1E555xzzjnnnHPOOeeeCnbQnY5cbqtp23bQNADG4/FwaVFV/+CTn3zT + m97UptYAJjazvoKdSAldkpzLEzCYRkIwSLltB0BVhVK1v3RgmQFQjkQGEQvEODii2QAimneHhSrO + po9YVbmTeUNrqHj+K6CfTMkMAwBRApIa0EdzdEnG+1bRzysATLpks3kCKcqMHHY/ptKhT22MCDoi + 86wjm5UCLGkizFUdq8BxvD4Jg/pTf/bp3Sv7+ttONR8ykadtpjUAY+agmkJdS+pAiAGW8U0XXBiN + KmKAIsdJO63qJqXEwXtFnXPOHcdKD3IpQyEiRDQvSVGij8xMVefdys45t5GSGSkAEg7KQgLSaEoK + QQQTw5p2GldX7vqTT2+WNuSWWSkruASaQsyqiKlOa8wKl5uiD2sFoEIEY4L0OYilhDyBI9TyKMPu + 3bV+7VXLy6/S4XLLlZoowUhAGQa2GIWBkImF1QigTGroQ1iZ7Ti+tClJpQxSYhizEpEBWdimRqAQ + N2/er9pAomVTDUR2hMm7BpBRLYQ8G1oKBSgoA8ihJKVyUISyJu3QQvYASkL57OfDvAXASgc/Y7Os + AOiBCRlAqtZGAAQIBCBiEARSDap2Mo0UUI12iy6cdvJJP/A9g/PPuuqX/suz9q0144lAKfBa1qWl + JVkf98nW89D0com9Ie0aABlCGTxrMAhgFWCWLaMGto0n9Jd/eZ/qjh//iYWtW1YDOstkFmMdJEXh + SjkRTSsTQqWZDWYsR3IFWeJmjbRkQrMxLAgwtTxaqqcre4dVrEJFiJMph1CRtiOy0La45fZrfuU3 + ztkvSyKmXSIwQzVFkBhIbUB9pnX/FkAAQh9MjyjQhIbjSuDdmxe2fttrwsteuXvr/2DvzuMtu8o6 + 4f+eZ6219z7nDjUllaqEBJKQSESSkLdRkQSNDcFusEWaqW1R4PV1HrpfFX0/7fg6vE68+Apoa4tD + wBGQIRiFBvQFBQEFCQRIgEBMQmWo8d57hr3XWs/Tf6x9zr1VGcwtqNStquf7qU/Vnercfc7Ze5+z + n7XW8zur7bJSgnMi4sgzgZRJc+b+sVMSQEAEBGiZikbaP5rzqdDru4Ee/ekmzTISZsUNVgi4fKBA + cn2whAJemCFKDgRXtkjyVNg1iwec3/W85/Dddx14818PV8e1R9dKHeqUOqZSjCHAZ2IQWEtxCQys + rB5CIIrqPInjmKKnTcy+27i1KJnxszl95UjWPjQegGQGnMTatyoaUQnx7IQoWK8OscweTBIATjcU + jvoQjpwZE5GwuAhRVlGOKQsxQUk381xwqa3p/OOyBzBmp4l5q7FZ4nV/BzsGAcICElJ2ECJCTp64 + 4hDblihUNct49f5z52d35wG3U0jAGytdM66cmdrUABpTQ7xrOor/cvsnf/6XLvvpHw+Dpbu9d25I + 2Tl1mlUcl7xtp8zKUnLNqX8uHv5DdKIRaZLIzkUIE4jRktKwyQSFEDIIRBkAFKxwJTVEE9fNSBOa + OoeQmJRF+75tKGdjmh1QJ3DjFS5nlHqpJwCiCcylAuoJUJVYFlggS/aVA1QoUoYHvABAYjBBp21F + nBCa3efrcNuEDixXnFs57jPLmYCA8v5HiMvbIQBQDoqQwYrMkhiRGYAr50+dKgOVn7TJo2q6yc62 + 3f/GPz3wD++9gEBtJg0KMLTjBOpfUyTBOaeaieHn5wQqATrwCoUATokF2Z4yc+a63zvkhz4BO/Ix + t845ZqQUmfEDP/C9n//8Z9924zsAeMcpCQjsnORcbjzGXF4927YtN1LGy7quI6Ku60IIAEQwKwit + V4TKp7Y0zBhjjDHGGGO+VJxzJFlVTmDzEPNwzKrZAI4t/B414CUAlGbDHJD5ZftRydaz2vV6WZWA + LAIQiOFRJocyqzI7AJJSx0D5BECUFgxiRwBFNyDf0DDHbnu168KLLvm6i67bv3rPpz73iZs//bH9 + h+5uq5Xx0j1Tnqoil4KqU+ScS41XhZjJBVaNOQEgR9r4mBMI5GbDYQJ2ZcwPSaLyiBemMY//6cC+ + f77vvW/+8PWP3nPRV1z8pEvPvXyX3+umQ9/VFVW5i8F5VYgm7yrnXBLNMTkujxXnfhYsdPZYKKlA + lPoxkfKoMxKgIIF62TAMCACUjqmOSBkMsWRrM0NEqkizadtljncZXDuJrEOHMcYYY4wxxhhjjDkN + laJbvwpAAQWRgFC7KkFc16KpMM53Li5fMOFdA+G20pQFWrkG1PaVdurDpGnDbW4aCeu8HwUDpAQg + B4af5rNr+auvf/q/u/mfaspoE7zvfxHNejdAeMME9DJtdrZdNmRjjDmZyrLtuq7nS7vn8dXe+xJ6 + XT6oqqrM7j3Zm2yMMcYYY4wxxhhjjDHGmC85QWmcNR+jLQO10kFTVVpqqTgHBXIuP3oit4ZKzGmA + BhCDRJlLOyGdNRajfjkWn8iEbWOMMcYYY4wxxhhjjDHGGHNGKy3yVXU4HKaUVHV527aVyei3fv8P + /9vP/FRd1eR8zklV9eiVi3T0SsbyA1VVdV0HoKqqrpuiXzipRPCecxZJOltNKTRrU0ggIsrac8RK + yDGV28kqKUZiT0QqAqKSae2qKsfYL2/UfpMwC/I5ZpVlfqiHYHZ/1m9IoKSk86ZrGxKpvwRKd0Wn + UKDruj5TvGmoaX7nd34H7KCCTcaeneoYVO6y5gwAhJRw1tLC1Vdf3dT1aHXNE4tICT7XEz2aa4wx + xpwY8yXM5RUtxui9V1Xn3Dy7qLw3IyLLtDbGPKRZr5lZTqETgJiCH00nCw47PONTn21v+mRoO0aW + ja3hN8xHFMIsuLrkH/cXeqVNvNtwUUIKEJTQtnnB+bOcv+XGv77qq5+8vODunE5CVaOP5BSA+xtU + BrEQlCJBQcIKgTuhmZ2PjHlvHaU+5RrUX+iJJ3WVsOMc6biiWLU8B8pOlAHoehSlzJ6FEqlbfiE9 + WJch5fW/H+x3PeC90413sZBjnrWNn5WfV2Dq3NShdXz20665dnHw0V9+hSMeTsc1u7rWI6ury+zm + W1uCB4QAAguOaXl+9Kez2AIAwCDJuSujO9//gYN/8dZd//mF7YKPg6qbTjyIdZYJSEoKpT5cWb7I + K0jlsqmOXY6p8kyMSdf64NgHpzoM8EeOLBwZv/83X7N3ZbQjp5S6eb2j3ISbHbLz40833NPyLIqg + qppplw55R1c8fu+LXnjfcPGemAbe9f+9ZDOsVyrkqNSHTaYzfhGO3bE37h4KzEPEWUuU8uxpgbCK + EBL7lSrE5B//HS/91EdvnXzuDjcZEVJKsZyG+Nh9AABUQURxOoImzoqs5ADe9P3cuLWzjx/4UFVA + GcKUCazskAnIACmE0L9RU8bRJbJZzHN/gp19FcJOmfonkZNyuY1NB0I8xCn0/sfpLH5bdHYslK8o + MUNAYHGsEPgSe90/HPTww5X7ROR/lVNxWXZNJ/d+4pMHX/u6nd/5wu27d3SeDk8m2wfLbergCLOH + Q+aBJVsPQZSQyakKg1U1E6vzShBSN0scFwIpK+BJE8oZScQRPIFdVi3Hq1OZxczPw1pOYEbIxtTz + DXdJjvnuBiKzBRdOUULGS1y6V3LKQhXC0C9vS94L8lZ90rYQmj3fmdazZGZEZg+vgpkgEF/xZDIR + YBgGaTw9O0fcdNPn/vLGC9q2yYCCwVqeJgKABBBQVXXX5TVgWDltMysCAeXkPDu6Rfv3MLZGxZiH + SUS890SUc1aVyaTbvuusX/jFn9t/8MAHP/hPKQuAUFWx61DWhK2/ph3LOQJ4aWlpbW3MzOWNUkrJ + OTcvGbVtW9d1Ssl7i+YxxhhjjDHGGHMmkPv9DTzUMNYD2/Cth1FopVKeVVIB2Ck78QAkh3Y8Hjbb + al4YhuULLr/o2suvu+veuz5zz81/d+uNRyb3xRzVAV5iTkISAmckAkXJqU1EqBwTOckpl6of4BnO + EYnmjDhFcAAgJOI6ZkQvAEh5LR88sO+ef779n7e5sx933hVXXfLVF5516ZAWF4eLmmKOohlJZTpR + ZTeoaqggQwiOghJEUhYlUiJBGF57AAAgAElEQVQilMGyfjotKwMixH0VmtYf5NlA1gOVMizT2mx5 + VjszxhhjjDHGGGOMMaehUrd1AKRvjeAcE+V+6dBCjXGLnYMXvuuvPn3lpUdGk6HXiHYAD9LUJech + CkAyQxVO5yuINjfNVwgKlvVkaynz4JXQtVheGrRtd/byNoymqAZgFvJl/ndC8iwgQJkENc8WP6mg + XxdDICtAG2NOpjJDt8zWBTD/AMB0Om2aZv6BZVobY4wxxhhjjDHGGGOMMaclAriMXc4pqHRdFEKX + KwGLlqVBzHAe+lCN7Y0xxhhjjDHGGGOMMcYYY4w5hW3Mm8k5hxCmbVtW38BxnOQf/bEf+4M//VMA + IpJyGg6G48kYQBWqNnYbbumoNoLO+5gSiKC566bUry1ULZE5SRgYVO7snbt27NhRhaZpGmYejUaH + Dh06fPjwymgtK5gpi7DCEZIidh0z6uC7Lkk/4EcEUuh6pjX6b5TPTq0EFedcSqmu6y6lWz7+8Q98 + 6IMC0hMXPrVVlZw2ACJCBAgYuOaaa/bs2RMnLTNXoUo5i4iqumND1Y0xxphTQwih67qqqsqi5hBC + CUYSkRBCWf5cVZWIlJXRzNalwRjzAFgJYAEraWZA4RROGERJUPtAOqGUD73r7+p7Vxacm0A8Maus + x1QriDbeILBxeiWVXu/CemzWoRKIkXIaRpWPfR4f/Yy/Zjs1lShYGWCASbmPEyZkFiUp/1EVpY9N + ySg+Xd/QExGXeLoMvd8DeCZoHQ4v+e1PufKKn/y/Pvgrr7jw3kM0Wq2cNBUhZoAJYGGCgBAZUISj + M9QfmoATUb02vf361+686DFLT35iu9iw5EGocuLE6FiUxAGq6BwAkD78jF4AfVQ56SyNkESJAQRw + nkyHXDMwpcieQYrY0nRtIU5v/63fX/z4bX5tLbGEQZhMYsPH/t4SKVruLPW54yhljMwgjyNdy2FZ + 9p5z6Yu+BRfshQ+hjY7cJrZ+i1FwnqUjOAUjk2ZhFkhYWITicT/4Pe972U88QReX8mhNYlNREpAi + ZAXEKUNlXvPx4O7IGpQYUFVW0Ik/yJi5BEAodL0MtXlERETob62/HdWjT8cnmxKUjspc3/AtOeaL + pUPZw8m0nqtz2r62cuub33rZReed9ez/cDdWl4bbkmRXBUEi7UO4k0OpJRIA3XJ1PiICEUDav7Ax + PXjmKBFRv+/ohh3glNFHIM82WWcfEFFWVQK8W9q2rJ4l4RQ+VT1SlHh+yMwj5yOV+HDJBJn17kss + BEym3fZtO9f2j+tKdmmkA/fc/N9/d/nO/XXiDCnZN/0ri0AJnSJ4jLq2qbcNlv3q+Mi2BR/XUgCE + kBgAPOAEDDlt34oZc2KICBGJiIg0TZNzPnjw4Pbt23/1l3/lxS9+8Wc/dwczYtt57xWcU3qIcaqc + lVnbtg0hqOayhG0eX722trawsFDXtfXBM8YYY4wxxhhjTrQyZMkA+uFLYWUATT1UUkcOqjnlylcX + 7bn4/N0XXHvFM+45vO8Tt33sps985AuH7+BqlKp2klezT+pAjLqCU3gSJ8ii5IJ6QDR1KYkyo2LH + npPMBodIMvcDqaQSqBYC1d0R3feeu+54/7+8/VHbLrho9yVf8egrL9hx0dnDPdwF0So0FUS6PNZM + qsREjhhAVufJO0c5R0BKKbIfBRZkZiWnpIREKqDMKqTMSoCHemhf4hUWQIF+kNeYOVXVL2Kk7ESw + WGtjjDHGGGOMMcYYc3rR+WKeo1fQC1i5ctTmNhLCsEZU1DRa2DbIrNNcBUhOjpyiX8SSSxI1wenx + T1wW2rgcQhlZlaDwgbu1qaewOB6/9qnXvugf3o/lQB4K8CyM25EADAUJHG28RwRwWVB0Kk2pNsac + Xsq03bquy/zg8kFZ6V1mCZfvAggh2IxeY4wxxhhjjDHGGGOMMea01I9X6tGfiyAmdIliB8cq6poG + 02nKcGwjnMYYY4wxxhhjjDHGGGOMMeb0F0IgoqqqDq+u7NixY208+u7v+7433XCDAlWoutg5diXT + 2rHrjsq0PhoJO+QkgIQQckwhhBw7AFc94fFPvfqa/+2JV11yySWPetSjFgaDmHMItaqKCDOX+MaD + hw8dOHDgozfddMstt3zgAx/46Ec/eni0BsCDU5cYcOwARMlM7ELouu4BVy1urcZpD2K+ltM516XI + 3uUcr7/+ej4jM60x63nH3omISv8sPv+5zxuvjSjLoG4YlLvonFOxhonGGGNOVTnnqqoANE1T8q1T + SgCcc5itdB6NRgsLC+XHjDHmQSkzWBSsUjIRBQSwZmkcN9OMO+664/3/dKGrXZrk/v01Q0FYz5dV + gpAIeJYozPP0tT5zkdYjD3UWKxsCOIIm0/P80r1vf/fur3lCACsCAFKCegagJCwCEoKSYtb0XACn + cLMmOaclYnbO9SG4/cNIX0wU7iknMR8mpuWFbU+68it/9Edu+qWX5xzPQpumyffdh2g91lz75OhN + /QpH1UKSx4zXbnn1b3zZY391RXM4a9skCZXQaydUQtwJmaDEIW/uLlB/zc6zz0UICgSAMhE5VXae + AeQ0HqZ2J8UvvPUth97z/gvW2iqQ5q6NCB48+71CcPNLfQUUDDhAQFAuaaYAMmFa+4NVddkLXoAr + n/iZGCuiYe2zyOyBOvUIQeFBQgoHYRUCsjIgnehKluXLv/zLXvjcO//gzy/0dSWSJWcGK0TFCZWA + V1KU1lWOqFsZQYhIQUoQAukJLgT1cdSA4ovo6jXLvN9SIdYP6AEfzPJYA+DZC8T9v/JwBM3bFY/O + /LHf/6Orzzp3z5O/6r6UWudjzjzbx5VKhHaJ0/gi780JV8Kqy5v5h/oxACUPm5mItlrqw8OhOPYA + EBHRDNDi9m1TQsqZrb/cw6DE5TXPKYRECQpJVI4pBaAgUikvRKFqjqxMF+vhYDoeTFa+8Gd/LLfe + uiepTwR1XLJvypGoEICAzM2kCoe9P+85z7n79a+LByfnLgYZRZT9kAABtJxT5dTbEY05qVQ1hJBz + Ho/Hw+GQiMbj8YUXXviKV7zi21/yksOHVwGklIgfKkzHewaQkgCo65rIlWRrIp1Op03TLC4uppS8 + 96fii4UxxhhjjDHGGHOqKkOZs7EYR34yHQtJNWhU0mhlrITFwXZkH3j73sdd/NTLr9u3dsdHb/vH + j9/+kbtHd6Y8mWKVXfYNQ3I71UQyGLjVSXQezpFzxKzl9nPWkknCs2TrUm9nIEl07DPazOKWoeT+ + ZfKpz936qQ99+n27qnMuOudxlz/2qovPu6TGQJJUPBhU25B8TpJTC3Afbp1zGdKYEQDKAjCpQ5+I + IqxEyusV/vnA3Wxeq1i11zwMWgJyTh6LtTbGGGOMMcYYY4wxpyGa/6OzvxUQJebG1Z3GFpSDDBea + u0OzbXpkV9Llyq3F1CCF4MGUUzzmxoQA6HFEXAux037mKahPo9ZEUNnRVG3XXjgJWF3DQg14pwGE + BC2bTCQQ6Vdl0GxzhEEM7SvjVos2xpwsKSXnHDOXCbtEVLKuMVvsDUBEAFimtTHGGGOMMcYYY4wx + xhhzmqL10cy+RyMgGW3+o2d98zXEOU588O1kGgAfguZ0UrfWGGOMMcYYY4wxxhhjjDHGmC+lstyw + 9KGb5c0QgJxzCGE6nQ4Gg07y9/3QD775hrclIPjQxg5Alhyqquu6JJmOiePasGLQhxC7LoRAxLGL + DFx2yWOf/9znPP+5z9u+vG3YNDlGUq2qikEdEGMXQiDvUkrdeOSc2764sDRoLr3owhijCyHGeNNN + N91www3veMc7brntNgVEcnAuA1BJsSOgrqtpuzFpe2PO09YNP+YNeVQxpxDCtG3H08lb33ZDhBKI + Hee8ydyt04MoKUqzu91n7XjKU57iHDORSG5jdM77Ps6ctnwOlDHGGPMAyormGGMIoaqqkmxdvtW2 + bQiBiIbDIYDpdBpC+FcT8owxZzAHIKiUTNxMAFjAzpGure6WvP99HwgHDtRtG9O0rkJO8+ujvkf6 + ekMa2piYyyVQkWfRh4USpHwriRJ8cFUrWFn7woc/unv/gZp3xDpkBBCzCvogWwhUZ3m9pKWhDR19 + 1Xa6UVXME3BVqW8idGYl0mUirpfum07aKuz+qq+6/GX/9aZXv1I/89k9jI4ASMhMIAWzICihv0Z+ + uJfwrBRTXK4Hk8lqfdftt/7GKy/9if92x/6DcddOByEV6vfn8rBv+pWUAFaW/hgpWyVKQgov3nGV + yMUs7IAuBo07NeITt9z+prc+ejKu27VhhS4jKgY1SgOnzABIFQR1G2oyTkHgkuXrIKzIGaPFKn/V + E/Hvv35/GMqAc55qmhIPNnsvthJWYoAJZVa2EuA0K6FNWi3vvOfIgXO+/UX3vf+j9938ybMcSYvc + IAO+P1EJIOvnKyFdm6ITBhERZwVpPsH1AWYmIhwd93wch3Ufj71+fiDCVow3LkkVbsN2lUSN8izI + 0cnWwOZCxUnBKW/X8cV36U0v/63L/9/zly88f79XYRfghCUTlJRnxwiUlbZWfY/oqBTv8gwy/ysv + bes7KTPmLxCnhP7x7+/g/GDU/vVNAR1sX1ohEigfe6CYoyggs0eSFCBhhUCUNPN66d6pUsmrBpP6 + 4NC200WJeO/7Dtzwl7tzDJKdcBCUMr0TEiJSMDD0w7u7fPeuhStf+Dw8eu8RoscMvYwjAazwwgDK + W7X+aN5ix5cxW5lzLufcdV3TNNPpNMY4GNTOudW1I1deeeXLX/7yl770O0NwKWWVxMzSH17HHmVp + dl3mnGvbCTOXZGuABoNBqReVtnhVVZVPH8m7aYwxxhhjjDHGnIHWa6DUX9B3Xec5EBEnkPM76ibn + 3I4jgZaaZbBMuubiZunCKy/5+suuOzC6+0OfeN8dBz63b+WOyXQNTaYwTeimkqtFJ8iiCgERsapk + SBbn1kdm0YdMgxREElxWBSWkCLhMQbDs7pvekxbSfUfu/fu/+Zvtfvtlj77sikuvuGTPl7cr3YLb + EepGk0KyZyaRGKPz81qtRAZQpqEyayJlKAMV1LECJAoFCaib5VjzvBOPMfe31Qa2bE81xhhjjDHG + GGOMMaeXDdOLFQADDnBASmCGQKMECgB3AJz7hv/5rvuGizxc0LZbqNkFgDBpI2blZ1agrwtvvrSn + rCDMJpdv/HpWqRa2jSdrYXXlMSnfeN0z0LWUOmiCigMRWMomsAACAkjA6wX5zedrG2PMl1LO2XtP + RDnn8ncZAhGRruvKDwBQVeYzteeLMcYYY4wxxhhjjDHGGHOaYyivj6PSbLhWBaN2sY0UIzMptApO + gRzjSdtSY4wxxhhjjDHGGGOMMcYYYx5Bqtp23XBpMUn+vh/4/te/6c0RykxtigAIFHwoC3AWFhYe + 4nZSF73jFGPu0nXXXvv6P/vjd/71X/3If/k/d+/cVbGTrmNRyhonbZ52HjwIFYnmttOUA3HlfEUu + gLVLwXmkrDFddfkV/8/P/fy73v6O977znd/7Hd+xY2kh51x7H5hr7xlop92pHpfCzEog79797nff + dfc+ACGEM3B9U0kAEumT7xzw1U/6yh3btjMzOS6Lwrz3OeeyUuxkb68xxhhznLqum7/Wl0xrEUkp + 1XVdXg2JKMbYNI1lWhtjHpSygKFMClYBiRAysRIIspAjVsa3v/P/30XIadI4Qk5OQVK6OpOCMyMy + IgMAK5Skz6cECZEQEUAQpQ0BlkQAPMEDKeaaaIiuWVnZ947/uRdUZxGCgoX6/jfzDFRSsKDPd559 + ZUOQ9mmLZpmlW62794nHa6ttGGyfLCzu44xrv+bxL33RPTu2H1kcRgclRIfEKkQAuZLf+bAzrQEQ + tIaXdlITdqZ27T3vxdtuPL9edFFISxaoYJa8ywonx7Ozzf5PSWovB4ci5cBB2GewKlWi5zBVBw9+ + 9vf/6Ox79zfjlYZz7joPLDboOgggBKH+AwVIQYpyZBEIG44LAdYYh7ctfcW3vWB1x9JKGAg1sx5Q + p8cuNO9AJQxxCheqw0niOeeMgn/ci7/14M7lI0m8B2ufmaAkG8MgGeCsrsuYtgSFg6rSI97T6otP + I97i54T5438Mefjh8w+BkAUDxTmxW7rjCx9+xf9X7z+0LXZDzwSBspKCxKkEASvzUe3ZtopNPYNb + /Ok+Xow+8d0pEJYXsyNlOmWyuk8eJVZipT7T2qk47c9ipHBCTsgLeSGnIGVHjtu4xxEO3Hfz7/7u + niNrS1ml0yBKUPSvMP0xK+BRzGvDpfCkK/BNT0ddpbqJOUHmb8ConDNnJ07LtDZmE2KMVVWllHLO + w+EQwGQyWVtbq6qqi9Orr37KL/zCz+acmQllmOMhXzlLBzzMLhlEkFLaWC8q/fEs09oYY4wxxhhj + jDmBymDl0aMMfSWNXFU1ta/TVCZHum6UnIQFt1A5PxmNp0cmgzxYkuV6dbizPefSpSd8y1Nf8l3P + /KFvf/p3X/3Y63bTY5rxzmq6w7eLeUI5lhAPpKRtVCHxg6AkSiKEUj0og0cAvKPJJE+nwoGbuhJF + K6qVuGXdn/bfG/e1S6urw0Mf+PzfvebG3/6lP/y5d3zsLTfd94GD4Y528dDIH1rNB1sa+4Y2jCv1 + QzNCZcxFN9YrMiMTZ0YmyQwlVVKlDPpXKhvmjHXMkMdWGBWwacTGGGOMMcYYY4wx5vRUqrlloigT + /MBLm9k5Ip8nLVc0cDUCsLh4aO+eg5+7Y6DiPK2MUCMNBnXuWqd9rZiUlI5z+Q4pAIKyUqYN5UGH + Oo/WhkTDYX3oyKGzkDGZoB7AKxwT4EgUTgEihmNA+v9NIAasAm2MOdmcc6VrSV3XbduW+bsiAqB8 + RVVFxDnXtm1d1yd7e40xxhhjjDHGGGOMMcYYc4LMhlIJigQAkgCqJ9GpqCpUVZXA7JxqOokbaowx + xhhjjDHGGGOMMcYYY8yJxgohEFFVVaNp94u//Ct/8md/ngEwOtGmqtuuVWhpo++9H41GwCxPRjf8 + DQBwAJJc9fjLfviHf/gbn/ksZkbKKwcPLi0siGYi9uwYEmPUnEh0MolVUw/qWqCpi+104pzzzokI + VCrvgmtSSqlrlxaGj7v00p/72Z/5qZ/+ydde/7pXvvpVd3xhXxIhoHLcZVGdbdW8T9rWi4yZt0Cc + L94sX2HHKcau6/7Ha16jQAhVl87EkUpBJkJpfMdA5f3z/uNzc84pxuCcY3bOCSSl6JzD6ZkJZIwx + 5vTXdV2Jsi5yzkTEvB4BR0RlpbOIENFWaAJrjNmqymTIeTcXzsSAUOrO8h7/8GH51GebFBlw3sU2 + ub6lTf9PZHQOIDSxvzBUFjo6/VcJmQHAzX6JgAJ50pQExNlzNYjTz779XXuve0aze7gaVABWnoUA + M4CS58uAlMxt8CMeQXsSqGpp6k0EAlS3YizrieOEt/kln8MEXV6s9rWTvdde8/jx6MO/+dsXxbic + Y+sFynUqMZz5eH6Hh6YsBLS4uOpu/pM/efxV/2bnlz12tULrWaGkVCLWFSz0wBm9D2be0Z+UASEF + E0AJyrlkMqsDQygPSQeHjqz+1btX//6D561OlhYGo7XJYgALkAFFdn11gqFKEJI+ebRUAxQgIQAq + rBh7Prw8vOC6r8cTvvzQcNhGdcypzQvDJqZTeg+S9TIIOLE4ZRZRQpeFm8GRlCeES6550rYPPKW7 + 8e1YORIySpixEFiVZoUUYtYsnBNGI0giavBIlX/mbfqJ6Lh/4/xGynz1U+Wd3izuon/VYV2va5Uj + xW3q1gBygANJ3oVp9+GPff7XX/2Yn3zZKitVA2XODIZ4gRPO4D7ZnbZQ6zIiwuwkX+jRnx5DRMF9 + Gnp56h+BjTxBNr6CiwgzM3GG+sVBdMhEOP7j40zR78pUDiUprzSQ/sF1yk76R1EYBLRxusdhYf89 + t/3ua6ov7Nshbm3Sbq+8xA3VYwUAJSSmrtk23r3r8u95CZZqOBauSj/CvgotjHJSJuGNFX5jzMMQ + Y1xYWGiaJqWkqkRUOe+9j6Kq6sj9pxe84DO3fvr6619HQAiuiw/6Lrf0u3PO5ZyZOefsvRfpj2vn + XDnHnhLvE4wxxhhjjDHGmFMab8jx6MvREAZAPJ2OWTmE0FS1iGpSpSzUDRtHyjG2SDwMtVIzbSe5 + c9ubc68495zLzrtqTdbuPrTv5k/f/LHPfeRAunMiRwDhgEzS0TQrSFN2RxW9+0EQICZtFmsA47aV + 3IXGVYwYMzMWKygjxclEleuGKr5H9v/1HW/AbbS9PuvLz3/Cky558kVnf5lGjFcnAx468VAnBFaX + GSBRQEgZKhyhpASlWbY3QGAoE4QVBGEVAEKbGmEzZ4TZIDhtkeGO48viMcYYY4wxxhhjjDFmqysl + awESkIApwLUDAW1yoQ5UISZwwMLwGX/5ttHScMyu7fLigLyj1LbcB1qDtMxQ7afAb7boy8fU4Ail + uKxMjipVTaPpYu72sv7eNddi2kIFpfSsnuAFPoMzkAHp/3BfnjbGmJOqrOsGUGb0ppREJIRQvjL/ + GQBlpfdJ3FRjjDHGGGOMMcYYY4wxxpwos4FLJShmjRM1I0mTtWIGIKrsnEI1H1enPGOMMcYYY4wx + xhhjjDHGGGNOHaUZn/d+ZbR24403/vpvvqpfG0gAY9q1VaiCD6KCWT8y5x4grYYABwTg+7/jO/7i + z9/w3Gc/pxtPNCZHtDAY5JiICKJd13Vdx8whBCIaDoekaNs2x+Scq3wgRY4JgGbJMWkWiEKUFI6I + QST60he/+MP/+E+//vJfu/D88yrinKVkHR0bs33qrGqcdp0L/o677nrfhz5E5LrYiYr3/mRv1yNO + FUwM4j7Wmp/+b59Gqt57ZhaoiKSUnHNN03Rdd7I31xhjjDkeVVWVNc7lPZX3fjgczpOti+Fw6L13 + zlmCkTHmwTGUS86osGDWXsYpGkmI6Y6/fud5nbp26gBpkwdKLGn5z0rALF66RE/PUktFSbGhWY3Q + vId7/8OacxSEGiLIecLt2sK++3DTzQsxOk1Kkmdh2OUGWMUpnGAWMMynd7L1MZGlZ+yJnDJ1ozal + TuswCtXq4kL4hqc9+rnPuW+wMPEhESuVbvizPv2bI22auorajArYpjrYd/dNv/+H28bdMAkAkDAU + yiy+7H6blbnfjWf7cr+lWrlOs2YJBJWuaqe47c5b/vyt5yfeydSuTZoKOSNnpIjgoYASWMuRdNR2 + KJBZlZSRGSrgiQ+Hdu866z9+06p3Y2hoAiQN6qHEUzsotuQflLsvxJk4EwFMSt77LJIVbnn7aoXH + POdZBxcXR76Gwkn/6IFkngMLIoh4EUynmpOSCOgROJ+sZ1qjdPX64g7tLdLm/8HRhoNynmk9y3o/ + 6ieP7VT2sH9BFxEFy573jsaj9/3DnX/8J3un7UBaUERJlFcPJQWEHomneFPKW/R5bMPGTx/Qxm+c + 6rHWwPqeICLKjohECSFEthZzDw+JltMaibICIAUrOWWn7LIn9awe8AA7lWXK29rV/Ld/s//d797e + Zkzj9mqQujQ/GJUgnDMjMo9C9WlKl//Q98re3V0IWNoxnXRg5qMOVL7foWyMeVgWFxfX1tZKEDUA + 5xwzp5SYuRR5Ukove9nLvu7rnjoYhPjgmdZEVPrdlWZ3MUYA8xDrUjKaH7cP8fpijDHGGGOMMcaY + E0QIyuoCc+AMTZKziqpCGaCcUkopOEdEbUxdFx2qQEPfDnhUV2vL29tzLl264puuesGPPO+n/o9n + /OC1lzzrXLq4XtlWT5eGui1okxNhNkd3Pg5LACtc5caxHXUth1ANawCSsiMEhUaoIHhQrVNMVjGe + DsYrYf/q4N57cNt7P/uOV775V375tT/1xg+89rPjm1eHB9aaw5N6JfpJcrHkWZMyi4M6KCkpKAMZ + FEEZ63NvZwPQpLJVYouNeSgWa22MMcYYY4wxxhhjTkllZljJSZ3np6qqlnKtArpe/CqT0RIAAioP + BTJqVwEeTY1hc2ftxsuLwl4m6kAkfaA1ZnPBhcAKt9lMawUJkzDPbq2UtjNBoFEjLQQBWDBoJ+eO + pxh1EFGCCKBQQZaysQCYAQZjlm9dpqHb3GNjzMlSJv6Wybs553JaLpN654u6Qwjl68fMxzfGGGOM + MVtK27bHrL86juVYeZZMJiK2mssYY4wxxhhjzizUt0yS2R8AUAol3xoAkFQEoK03XiBS/hYAKSXM + rnDvf6U8H5U2xhhz0pVe5KULOWYj1ERUBqwLIrJCpTHGGGOMMcYYY4wx5hGgqqpaeuI751TVOSfQ + mOTTn7nt+3/oBwXwoVJABWU5YBe7LsVSwcw5g5AlQ/uEG8cgoAqOgeWFhT/+gz/4iZe97FG7d8fR + aBAC5aw5Q7RkWoOEHeA4Q7NKhpbRrlI+7ad0MpFbr6aq6vy7DCJVR8wAqXzbt/zn97z7b//vn/3p + 5WGzvnpR4St3zGDg1pFSrqoaQM65pL+grL70Pon+zmt+LwO+CgLohhVPZxQVUah3noDrnvb07cvL + mjMDqkpEgn5/iG3r/QPEq5szQX/giJThlfnIC2ZRIvNPy6i6McZsQd77jS/0Xdcd8wMiUk535hR1 + zBs5ezbNCeKICK7lnBzlnCmLB1Wam+kUd9116KaP72jbISEBXHOZHEmkxBSRvffI4qcY5qNb0xAI + wqoEPeaSihROStCsVo5yCyW4hjinPZP2zr98u+8mNTKRilMQZSWVVLsSKiylj41siEc9XcMvmRmq + zFyuvgGIgs+86ztlQiDnSWOs2I2Vjyws7vnWb1l88tfc2wyR3SA7kpxUaMB5k9OmlOAY004Wa6IM + 7bDYpvDBD+e33LAzMyulrgXEVYNMjtVBZFNPQJ9BTQyASgFk1hWq1eybSrp2QPAaF9vJHdf/6Tl3 + H266jkQbBic4BROYkOdZzABr/6ckPJODEIRJWbMIVMi5lap+3POfF5e3qW98SpApUZYM5OPMDt4q + SBjCEAAKVniBhzoot+sgbEcAACAASURBVLHzjquoUF1rAh7zqEc/47r9i0sTOAJyhgi4rrsyvw6Q + nCsfgigOH2wqD0Ad5xOfz3pMbnEJJj6Ow1pVvfdIyTlXbrNc235JN/aLRbN9lXSWaT17PdAMnh0L + CpRy4Wan2wtYEaaCykGm7XLAjpVDK2+6AX/znsXJkcGA0KWgdVI3VYfad7LlLu3Ls1bqD8eEWz8g + pvk+QyIC73POW+15f5hmKy/6PFdVzTkrEwZVB7Bz+UFTXM268qIAzN4OKZFy5SrpoMqiLMxwrs1S + M7ZPjuC2Wz/52tede2h1kNW7OnWdg1OAKnQKZYwFWPApVHd7d/7zn4Wrvmw6WKQc0Krn4MkfMz15 + /h7M0q2N2ZSUUqnnlHGrMqRFRJ6AnNpu4gOH4H/t1371ssseB8AHgOAcAWiaqtxIuUy4321LzrEk + WzP3WfQbFxoYY4wxxhhjjDkO8xIc0abLmObMUcKeSee7iCiJkghyRk6UlZKQKAMMJTgE0obIJ+XM + UMfqKZOKKpS91LUMBnFxYbK8bbLjrMmeJ1bXfNuV3/vjz/75F3/t9/+bPU/Zpec2cSlIgxxEkAWS + S7YIe3EE6iQjgAIlTV3XaQIreyVK8IqKACClFiFzrRnJK3lAqOvq1cnywc/7T934+Te8/F0/97Nv + /tE/+9jv3Tz5x0PN3XGwpiE6FbSAeEe1Q02JOFNNrgKTqCNxUJCIpoQUSaODeN7cAJs53ZUJ56Vs + VWpc89mbJ5Gd4I0xxhhjjDHGGGPMKamU2EqThbqup9MpABCtL+JRkCIADqB5ywQ6OgiakImxOHz6 + 377zM5QmXHlfgYObLb/X2QKeMnP0OKaN3j98WgElgmOwplGsAtwAujq+2FUYT9FOOunI95vqGCn3 + t9O3pVjfdpvGaowxxhhjjDHmS6Cu6zJ/pUxqiTEex3SW0pYxxn59V/miLe4yxhhjjDHGmDOBzgYu + FZi1AwJU+t5Ap8K6Gmbuus57n1Kat+SeXyaXT+u6PslbaYwxBkgpOedSSiEEACJSVdV8hV4IoRQq + AXRdd9KX7RljjDHGGGOMMcYYY84E3nsAVVWllCbtFExKENVxO/2WF33rWjd1RF1K/QrDB+it3yNC + CB4ABIFJYt5z9tlveeMbvu7qq3ft2JWmHZJU7BmkWXC/pYV6vANzzOyIPDODcky7duz47u/8rn94 + 3/uf/axvZGBQ1Z5d6mIzGACgrdeQsqqqyWRS6sbOOc+OFDnnnHOX4hvf/CYijNspgBDCmTivlQhE + TJxyAvCcZ3/zZDQqNfYH/nFbsnoGK/kfJSmw/C0i4/E455xSyjn3aWHGGGOMMaevrCpQcixQ55xz + 5DQ1Me0i+tSb3jwcjwaqKeaGgSzcR5AqPDFo3HWDwUIF+EwoF2i0odsMCWYdbOZBvJi1kWE4sFNA + FKpaA9XKaHLrbdh392Ay4RxjbGNOLviqqlJsSWWW6csAK0FIlHBMbLY5nSghs2RSQJyChTK5I3U9 + Wli44H9/yeTCi1O93KZcLQ8bj/FEjuedO0MBJPUAcbWrHu46ePBzN7wNd941mHQ7h4sxxkmadpBE + yt5DN1ciEHC5IyXjHYATsIKYJ3GysFil1UPnCY7840cOfegju9quEik/4wSk87oHQ5mUS0IwlUzr + cnAlsEKRVbUJUEfjZpDPO2/bk796evbZ45S8iHNRtBUBfL3Z7d96FNDZ40BQp8RKGAwGKbYVKWdd + 61LXDHY8+z8c2HVWbBayMjmQA2L08/BggDSTZLRTlSyShHA8+dLmIfW7K4ANRTxWeAI7P1UQg4C6 + 9qRImyxfsQLgmgO4bhWxbXdCFm7/l4/97v+oP33Lwr337fBVzgQO1XDYQeAhZK8XW8K8r93sfMRl + /YUSEEJiCJ3qZ6pHAitYBSQlOT4TMkMJXZurMOCqbjVrCKNusjRomtFosDb61Gtes7Bv31kitbhp + 6hw54v6oYIAZi0u4Z7U92NTh8Zftfc4zZe+uIypEDkqqSCrRjiFjTqTxeNw0jfc+5+ycW15efuUr + X7l371kpwXvkrACm0w5AVVUiD3pAlm8NBgMAOefyw7a+wBhjjDHGGGOMOaFYmZVRxkAVAKjvQ6NK + qpSFJLNkTpkls+R+5IiFWEqahzpScuKcOC/O5RByCOJ99nU3GLTL9ZHlHfHcr370177kuu/5L895 + 2X96yrdf/eh/uzufvzPuXZ7uXEhLdRqGXCFS6hRAVgConB9WTeU9g5CVPTrFOCJmiAcoAVNGRwpW + VpLMqQ3Tab06GhxeHR7Yh8+/45NveeWbf+VVf/HLN3zoz245/OEjzT20q+3q0QRrLcYIgOOURDOG + XLmolMVDmyrUdU3OJaDN+WQ+N2ar0gefWH5S2MiEMcYYY4wxxhhjjDklMXPbtiGEkmzdNE3XdejD + rAmiyIqklDVAHdSXWhgBDDjAAVzmoTpUATuGd+3ZNR0urqQOxF3K6Bd1IFPfdv34tpNUSGV98Y9C + QQIkiczkPTSjHWNXUw8PHPqra6+F5po1Sk6EGIGM4BBKO4AMJEae934QQI53u4wxxhhjjDHGGAAY + jUblgxhj6VI3v9beFO+9qpZbmE6nALque4i2d8YYY4wxxhhjTg/HDFeutwNhZILOOptt2YhrZsQY + SyqqiHjviai05J5fJs+7288voo0xxpwspQ7pvY8xqmpd12W+EBGVNXspJSIqJ/bjqHMaY4wxxhhj + jDHGGGPMZpFCUkbJh3Yu1FXbdXD8Iz/+Y3fde7cAWZFVALiqKv/l2BWBfdc+xC45AICInr/3nBve + 9BdPfMLlC4NhnrZx2rICWTQLgzy7WRAU93+I+5uhY/88tMoHiMa2Kx0Bx2trGtPes3e/5nd++09f + e33NDMm143Y8IUVJ1N5aiHLO3gdSyl1UVWauqoqce/0b33Dg8OFUeiMyS9qQEXPmUIUqSAjYvXPH + dU97Wtd180fh4ewh5kzAs1XLZZClyDkTUQihbVvnXBmIKQM0J3VjjTHGGGNOFOnfHosjhigRERE0 + DdIUX7jnC3/7d4uS4FQBeEgCETIQPDQlQIdUybirXJNF+8mT2qf2MkAKJSmxvk7h7pdvKipUYrAF + C54GENl/4I53vntH1w1SHDahzGzkrH2A9YyWTF/AMq1Pb0KSXEwulR2qJD0L3EpV43GXXPHd33Wf + ryu/KONxThi649kdaNajnME5RZd0WdLk05/6/FvfuqdNdKRthktTnaYqw/sstNnLSacAkEkiS2YA + zMJOWVNummqc14YU6/tWbn3t65dW1zhNBchUDqBSsWAFC62nIMyVLSECE7mMIABwJOvtgS75xmfi + vAtWfTWR7DwqJ87lxOigp/rlsJAICUGcwgucIhNn4iiRGU5TQF6ksNYJLr7owmf+u4PimGpVEoGI + MECE8hiQShDBaMQ5qwrAlqR7gihBaD3TmgBRgNkDnYDqgbRJBHXwtJkrbwJ8ziIxJ6q5JkeVw+6A + xbtu//grXr10+76ltlMiUbeyNp2kMVVWDtqK5mc2B0dEqHx2JKf8ueqRIQQ4AaBCyESJOTInQWbu + INFTClpVnFePLIukv3jL9D3v3xYTZQkuMBC1A6sDUosQkDt0axjuGnx+2Fz64hflC8+/C9qSc6EC + Ejy54Ouqr8tT6QFoTQCNOU58bEoOCUiaQZUlBqZuMibNpPmcs3e96tW/sbhYpwQQQPCeq8p3XffQ + MdVVVZWfcc6d2LtijDHGGGOMMcaYB0for/pBUsKtS2505pRcSi5lFgCs3kmoUl2lgc+1z8Flz4CQ + ZI6db9vQoiEKnnPg1Xrh0K6L0uOvO+ebX3r5D/7Ms37tu7/yv37tOd/wqHRxs7at7prKD5umqbzz + Am1VxjGNJmnSas7qKHpoDSyAFqAeokAWJxkAlEkrVs/Zk3gClFOkiQ6mcfnIv+RP3Hjrn7/qXb/4 + G3/7s6/7yG/clj+yMvxC3j5qq9WOOvbBUz1d6ypuOEImKbcdkjgiR94568tq/nUnfX6mDRMaY4wx + xhhjjDHGmFNVXdfzhdDzL1KZNk8EIoCgxEoVyAFUpn9yv5YiAxlghqpgYfD8t91wD7nlbXu6LlZl + /pkCSgpW0r7B+uareRunBvcLIZQZUM1CiAkUUFdoR+2OnM6VjPEEq4crTgK4Co7hFJq0/9UKKDbc + pC0rMsYYY4wxxhjzRVlYWAAwHo9DCKpaepAdx7qsrutSSuWDpmkAWGyMMcYYY4wxxpz2Ng6flv5Z + DHBZpECcGLl8iH6I86Qvn7i/GHMIgZlzzszctm2M0XvvnMs5i4iqhhDG4zFmF9HGGGNOonJmTil5 + 7wGUHAXvvYgMh0MAIQQAzDwajaz/lDHGGGOMMcYYY4wx5hHQdV1JhGVmX4XV0ahZGL79Xe98/Rvf + QAARgxmADyF33UPfFAMK1N7vPXvX665/7SUXP1ZSjm1HwMLCQpmWWZLVNi6oxGzd4vFFFK+srAAI + IXh2TVUP6tqBSOGIvvaap77v7//+a570lTlLE7wnBL/l+pWllHwIwfmU/hd7dx4vyVXdCf53zr03 + IjLzvVeLVKW9kBBIAglhsYhNNIuMgMY2jTeMjI2NkbFn2jM23djzmbY9Nj1ePzN4wQMY46ZpppnG + whiwARuQLDCbhQ0CLCEZSWhBSFVSqaree7lE3HvPmT9uZtYrbaiK2l7V+X4eSSoqX7yIjIzMjLuc + XxIRIso5lyfqbW9728Kgr4DzXlVFhY+3WKbZ60EVHnjucy4Z9PpNVYk89LzU/cpMMseeMvCbZ5xz + ItJ1XV3XAEoHTRlwfqS31BhjjDHmEBEiFREWZVEVkpSD5n6Kk6uuOXk0bjRJar3nGGe1axhwlEQJ + RKHpQCs5TpxPxFIiS2c/xfyS7QHfvbNKFmEGEySCRBtXbyD65tWfws5d/djWTN5zzjHH1jNpCcMG + y/wakKz4zHGAZFplSLm0ACgw8u5WyXjaRedf/mO3EZYBYcDjYS77Hn7dCgc4QDLIOYWmNG6cP8W5 + Oz72Udx406CNLmd22QeKktN+XhawwilYRUmEJbMqgdWxUO18HK1WFE9AHn/4I/X1t27KqSZiKEre + /HQYMpHSmgzRfTaeFCRwxF4hCghPqrBy6snushfdnzBK4FABEtsJM3wVUs6ynqNiBZgFfsOpOFFW + VUJmdCl6BiAOCPDiqh3D4cnf99J2ywmT3iCRg4Cd22feLwlrTit7mPL0WdEHZUya79r8I2D2MgYr + vHfDrlPmanFhpR0rOw+H/T3BAHLegZIK+5AUw0nuAacQmhtvvvlP/qy3a3lzil6Sr2lx0G8nY1L7 + 1DjCygHQWcw5gLUnHcGhDtlGwO4P0ukzWD4fFRzqqusmmYWc5m61J92W2OG6667/i/efmWlp9nBH + gQDJWQmOSSMSgNrdneTJr74cz7x4O3gE9gt98QyJGbnruq4D9n7HE4KU4obWambMwaKq4/F4cXFR + VUvT9MUXX/y7v/07ISAEAiAiMabvuJ7Svl161ubdecYYY4wxxhhjjDl0pMRXFyQMsAIAKQOlAwIA + lLT8TCM3IICQzh9cHs9KpU8EmSAEJRm3ExEENA36rq14palXFhbHm0/FWRee8LRXXvITb3zVr/3M + S37+/BMvHqxsrpaXwsqgbvuLNFisFpuq55iVwY66DikhZ+QOiHCKmkAKkGSGksg+HSVCQVruRhiO + 6z3dwq6d/o7rd/3T39/8kT/4y9/64w/97oe+9N5bJ9cPezv38I5l2sVLPNGJq0PTNA4utymPIsXM + SdjaEM2DHG0DMq0FzRhjjDHGGGOMMcasS2WcGRE550pF2qqq9g7spLXF0afTJ3i+gJBnNdZZQVWN + qsamjff2mtsnY/Fec56NBeeyGlZZs8b93FQCoLMWcHJKLGAmIgUgLaCu57hPOtiz+y/+zQvQJrQj + IAIQARKYCSR7iwgc2FNmjDHGGGOMMcY8iIi0bVsSX2KMpQbZAaynqqqqqrquq6qqrAqAxcYYY4wx + xhhjzPFBpl2hAIEIBDCYInMmVpqW32Io46irgRWCA5BzLtewdV2X3mcAzjlmLle4/X6/bduHK+9u + jDHmsFHV8kad0rQQlYiklJxzo9Eo5xxjLGOKBoPB0TaLzxhjjDHGGGOMMcYYc0xqqtqzm0wmo8kY + zKGu7r53x6/++q8laASyapLsXEhdhjJzmEWfAXjghMUqeEfIKb37z9/15PMv8CAHqqpKVEWkyymp + eO+ZOck0fmjfKOsDyRyqfOjVDYMno8l4OE5dgqoDBTBSPvsx297/vv/x+p/+KY2JFJKOutQnEXHO + lTjeum6YXc6Ss1z31a/cdPMtq+NReYyqEkiOw8weIgCkEOBHf/iHu/Gk8oEUpEJaEtGOv+fEPMh8 + 4Hc5ldq2LUuYueRYl+Xe+7ZtiY62twFjjDHGmIOHhCCc1YGUIJIWibB7z60f/+TpUUIbo0LYJZSK + MfAeXasM+NAbdiPtDfJJW1YWeh07gNeWhpnnVuraqzZCqR9Ds4hZImQgZ0Ckl2Tpnp343BeXYs6j + IUn2zjliKjVzGMJQMAkzQCpk3+2PaQxhVadaivhnmpbvF+LYr7c7wY98X7z4wj3NAD6M2gOpjqQJ + DkgARLz3BGhKTZdOvW/njr/8yz5Elpf7AFLsuo6d268GAgJ8RhBgFjyQiQTM4l3SOstibHHXXTf/ + 5d+cOYk9SaDsVAiSGdGpTks/qRM4mWbGoxR00ukoZQGgQh4JSNnp0glnvPQybFroQu3JhxCyStd1 + ECVS59d7PfYSFO64XOAjgZKQCMF738YIR4l0PB5XC4urVYUtJ5zxkhfeVmmue955zWAgKbSM/Fat + kNvVZUfqoA603p+do5wrKe/CUM4CBWIT7h2uohdyHSI0ad7PJj7R1FU+EHLbjQF4hmTIEKd3NPnH + L9/7nvdt+va3N1eJqYsrqxtDzw7xUYjKO5vMkpJDUKZsdeYeBSEuHxM0+2QSghK8I5HkPTl0vh0u + jVer+3d/+d3vXdi92u9SmqTKV8M0iuhCFXT6seI6gHsLO7lZvPDpSz/8g/cGkjCouBmrLscxHJrg + NQtjnmmtBC0fTEdd07kx68uayKsYo/c+VE40OUciaWGhv2fPnh/6oR96wxveEKMyQxWq8N5/x/kC + bduWx5QqKMYYY4wxxhhjjDm0SObJ1qXZk5RYiZWcsFOifX9YyAs5BUNASShnjsnF5GLmmFmUIMSA + Iw0sdd8Nqlwha06qJPAiQdWh69Kg3jiQTdXy0kWbn/uG7/+1N73yj173zDdcMHj2SXo2lgftCkTg + GiaHrpOBpz5xr6MwRtX6vvaCNJqQGMml5JK4TliUyg+6KM4hNEROI3Imza5rq+G9vTtvpa/97Tf+ + 4s1//Rtv/utf/dtbrrwd1+/qbx/1hpMqtawiCPAbfH+JXJWyNSKaBzgKq2FYL5IxxhhjjDHGGGOM + WZeIyHtf7g+Hw7ZtAThmz8RMxOQ9hUAhkHfETHioSGoCmKAZQIXQv+zjnxgtDahfC5AZmQgoTd7T + sW66/42+QhBSnc4vIiEmYaeoK9922TM4uC7mLgtUTmScPWyxZwJJHi1DmAGGxgiSaVkJLjXhjTHG + GGOMMcaYg4CZvfc5ZxGpqqoUHZtHwjx6JdmrzObKOYcQuq476FtrjDHGGGOMMeYoIyjldxQQuPn8 + BCUQR8c676SVo7qHs2Ray0zOuVwa55yrqipLSkLAkd5SY4w53jnnSsNjaYr03pfshNKw6ZwLIZQx + RWWJMcYYY4wxxhhjjDHGHFKkGK2seuKFwUKv1xsOh+TdH/7xH91y+x0Z6C/0Zc1EwLqu5eGbLhmI + MUHxO//nf/6eCy+sQ6UpO2YRGbWTSewESkRZJUl+uOL7tP+dcs65lFJKyXvfNE3lAxGpiOQ8aHqx + 7ZqqetNv/Ob/9stvZKCp3H7/gUOvPEulyy/GWNd1f2Hw53/+56IQAQCBgnB8ZvHOuzg3LCw+9zmX + eO9zTPxQAWd8VPfomkMrhBBjLOeRiNR1HUIo7wxEpKrOOWbOOdd1fXyeSsYYY4w5HrACog6kqsoO + 7IPSoI3xhpvyt+72y8sVgYAs5HydVEVQrvAIrKAWvNNh44+8bHjq5tZ5FgcACigUyIRMDBDtm3Wt + gBA8MwlyhhLIQRmi4rt0asQ3PvYJZKlzQkpExMxZJPM80hgAqCSkQi3Z+hin5JScCimUOM8u9xI0 + Lva7peqC1/zE7sXNq64nwAF8bU8Aee+ApBnIRBBIiOmkjG9/9rPp2i+cEXxPWJL2qhqq+3cVqewU + LGAVgmZGYgizEDuiBWDzJN3+iav723csTEY1aZaSYw1AAAjpLIJ5GoSgtLcGFClIOTiOWaFwjBG7 + 1cHi6Ze9+Nvea1NLykmFXKh97ZRTbEkF6/t8YcBDGRBQLj9KAggROecSaaSMXr2cog4W9hBvetmL + 7l2sxgRPodXMwWdAGUogFQdth6s0e0s5gPYl8+hNYzwAAJ2IGzSrnGljb1VlrJKgnpv9XWeEgJVJ + Fdl7bgKTQ9/Bj9szMt1y5Qfi5z7T37W9alcXKCCC1IbEH13WnnSsUFUEr4DqAZS7O77I9BsRQ5kA + nj1hpEAWDyC3NfImkn6MO973/njd17eQ04SavKoSVFRyjuUNsMuJ6uquHO8/9bRtP/8/LQPDpp/g + KXPuIkPgXVB1IN/UmZG5tP4LaDqPRizvw5iDwXtfGqtHo5GIENGePXs2blzaef+9P//zP//jP/6j + IgCBCCmlR57nFUIAUNq3S8v2URgUZIwxxhhjjDHGHEtKDnQpRDNf6AROmJRImVHas0HKpOyUafqf + AEQ5KafMSSllFiGZ9YcyKbNyjFE0MRMHJk+ZkVVEIJniCK7rbXRbm3Zjvqdaarc+5bRn/8Ir3vgL + P/gffuLSn33GY563KZ0mO3s8rBd4Ia6CY6hdr/Z9Zp+zZi1xIPNWR4AEJGXzVJEzuk7bBPKoBp4q + GuU2LPIKlnfj/mFv5zfbG//mS+/7kw///h984Lc+dfvHb1y9brm5t10cTsLKqu7qMGKPdd4/ZQ6J + eYPVUTIy0x/pDTDGGGOMMcYYY4wx5kDEGMtwsZzzYDAAICLsnGQFAJIHFXjY21xLwLx8Qs7KooBH + 8gh0b0Vbx3mJEJkAVBlOgHk7sjLvT7OvzGdBKARIzFDnwKwYj9pB38k4a5SqXkSObTepU9zW+quf + /YIX3vQ57nkgp5i9c1RRByikdgyFld01xhhjjDHGGHOwzAv5lZQXIppfce8XZhaRUrasLKmqquu6 + h6ucaIwxxhhjjDHmGCKYFbciAMIAwMilt1QJ0PJPpKpHxzyKORGUGiaq+oBqJjHGcpE7v9oVEUu2 + NsaYI0tESnWq0owZYyzJ1iVwpTRIlsl7RHSUTN4zxhhjjDHGGGOMMcYc2xaXBpPJpB0NXR16g/4d + d9317vf8NwFccCurIwBN00wmXdM0KaVHWI9jEtHvf+lLfvZ1VwTi1T3LwbsQArML0KqqBCopJ8kp + paZpkBUPyrE+gNghnQ0lJaKcc4yRmaumbttJqKvYtv2FheF49Mb/+B+2bjnxF9/4K/v9Bw4XB+om + LQjMfNvtt3/kIx9RwAcXUyYiEEkWxy7J8VUWcF7w7sUvfvHi4iKypBiJ6KGCrc3xS0TK6PHS1ZJS + cs557wFMJpOmaXLOzDzvQLcuGGOMMcYckwgIKbvgRzmD1DMFJexavfGaf+hrQkzBUxLNyqp786qr + AImYpKyDRXnMFrz8BRt4Zfiuj55YCq9TJoUQUhlWqeBZlGnJoM4OUCBlDyJoBmIAFFWkWphH7bdu + vLW74euDZzy1JXQ5lazE6eBMYQVPVwslFZB7+P0z65uUpE5ByAAkOhYqqc9wSKs59TcubL7g/G0v + vGzXRz+2OY0gaX+LEzFIs3KgLmlOygRHqH1IbeqPJjf81fsvvOiCsHELhbrHrpu06rG/aausqDJA + aB0yMxMHgg8e941w9447Pnb1eRAO1EatHVRAQBAISan7xDrNA1ZCZgjgFCxcmkPIecmtKHIVdnPv + xO/5Hpx8ahrUkiTnzL4EHPSgiLGFF8b6Pl8EzoGABEpKIlSGNztJua7rNk86yGCxv7IycfBS1di2 + 9bTnPn34V3+fs5ZERzhkRhCQwufcDlchqiIOUCXBfh9fs7+E4KqwJ7X3k573msvv+O9Xtq0s1AO0 + 3f6uqiLSLpa53RJzVBDQ+B4hLii2jVev/dO3P+esE894ytO2jzvUA/A0Id4cPRggIskKUVWFYyGI + EsAWc/IIFJynb4Ay/5hwgFPhnPvOte2kj7g0mcR//OLtH/jo4xPzMDrn1VddN6kdRBAzPAMCClip + cN/Cwjk/85N4wrlDVs9NFHjHPYlL7NGlPJpQlpTb1jGACuJmn01QBgjKdn4Z811SVVWdTCaDwaDr + 2qZpQgjLy8sLCwuj0epv//Zv33HHHZ/5zBdK74c8YqdP6fMqdVQAhBC6br8/Z40xxhhjjDHGGPNo + kQgpSoOnElA6s7gscwqAlTAr8VLqvICBkl2tNL3OV1KZtYuuXQMgzaJvYzuJEUDlvHNes0tRGt8D + kLPEKCBxviZHxDnvGZ/uHnPy1m1PP+WS5bRy2323fvnma2+48zpfj8ZppdWJ+iRAVjBRqFxO4qcb + Md9CBqHyIEbOkjLaDsyJHByRLMvAVWBKkrKbuKW4E5Od8e6bv/jVpbB526bHPuGMC8477YJTNp1e + 50bHsZKBs+ZD81COnjGZVlPJGGOMMcYYY4wxxqxLIYSccykgrqqlZK2kjAdXXnhwW9yaxzhH5AkK + 1DWWNtw9GKyoOMflQYJZmrUCgO7vgFGStX+MpvOLBJAmUDfJWcF1E9vVnLq64QA9MaVTYkTboe2Q + O185dN2sHY8VUNp3F20MqzHGGGOMMcaY7wIzt20LoKS/hBD6/T4dkH6/X+qXOedEJKVkmdbGGGOM + McYYc7yg0i3LCkLosgAAIABJREFUCgZxmRGkNJ0+dDjxtIiaTpO0ZzMmtHTTzh4FMPb23qJ0NxMR + M89v+/1+CIGIytVu27aWaW2MMUccM5dhQmszrcudyWRSGiRLmkIpaHWkt9cYY4wxxhhjjDHGGHPs + ayfRcRgsLrAP47Z769vfvns4VkYXc+l1mkwm5TanVIJjp2ifuyK61G/e9Bu/qTlLTIN+D4CqppSy + SsxpMplklaqqStPog7fkADKtAZTpmSklVWXmsv4cU1VVOSZmzjFCVNr4E5f/+O++6U0OcGu3neZ9 + hQ+5Z4ccE1QlpSgEMNX93upw+ImrrloZjQGklAGoSHAeQJa8d5v3Nd8P91A//tDczn8e8i8++Met + 2c6H2okHUagqFFXwr/h3PzAcDkto8UO2nx/+vl1ztBGRkmntvZ9ngTRNA8A5V5I/UkpHT/1EY4wx + xpiDixUsVHEFIIuAUi922L57x2evbSaTykNJk8AxS44OYIYnIINAybt7WE95/nOxONjy0n+7p67H + jvJsvKECQpyJpjVn9v0+zlDV7JxnhmTEiJQgIh7aSN4seutV1wx2Lw90OpQRTEq5VIQnJT7Qi8Gj + 2UPu0XGesFuiOgEoidLe8bCs6LngnLtfZbzU2/SjL//2Yn9S9WImpYd90kosdOZ9HuB8PdEcs3IA + MYggConJE04kTl+5AV/8ctO1VQiT4agK7gH1joQgJW2agH2vW4WghMSUiQnqNDkVp1AiAO3KysZ+ + f/fH/u7EHfe5ySjFWIe9pwnpNL37O2q7tgqOCCs57t64uPX7/u2uLo2VRRGC4+C7FFPbkSiz937d + z3tlnT7/5UDr/GknSikxOxGsTsa9hYFnN5K4qvlxP/ADo7rXwtWhnqTsPFJCeadyWXXcQUuGLg7X + ZR/PBneXt7Q1x5pK6LKARMBlN9f7e918H2eHTACkFIUdbV7Cueds+9mfurMJ9wsiWEnm7T5axufP + h8TTdInOR80DII2AMpjhgF7wgao2pex4Ml7ZGNNJu1c+/eb/B1+/eSuA1CpxJg+UyPgMymXUPykD + LMQymwXgFE4Pa1vf3Hzv5kf/0bwblMcc/Z8YD5qTIICUfVYWRQZ5GwD7aBDEqZCKEgsYYCeelEmR + VHzlKsmL4wndvf1L//X/Pa2L/eFqwx7My+3IVZQERGgqnwXOYej4juBOuvT5Gy699G7PvLA4mYxV + s0iS2ElM6GLtw2AwyPvW/CsvV1awHTZjDoZ56ZJ5KHXbtv26EUkhhMl4+Na3vvWcx53lPJz7Dm/5 + ZeoBgF6vByCl5Jw7HPtgjDHGGGOMMcYc92ZtvKWRf5avMasAQ7q3lXRvivSDlAcRBABDQDIcDzM6 + X7mq8qVPRES895qyKphc5b33FZHLWeJEfOot5hOW0okL461b5cynnvL8Vz3vil9+9X/+dxf/+IUn + P2MpbqnHSwPZ2NMFHxtMvCvtjDq7VWaAlXMnsRMQQoVQE/tp/1dT1VDNObInrWRM3QrGo2Y4Xth9 + L9/2tZ1f+NA/v/dPPvi7f/LB3//kN/5me3XHyuC+UbN7XC+31Sj5NnMuPW6PPCj0IRcKCUjkkQNK + 1vyrDRA9Oh2F1TD8kd4AY4wxxhhjjDHGGGMO0HxwWBmCNlv8oFZULW3SD11hXCGTHCtfOSL0+q+8 + +tM3PelCGrWVwDU0ySkKBnU/tiMQXADSfjS+smLWqkus8BDobDsyHIGZNE48MQDtsgMpxj3uY5Ix + FvQEBNQNgAqsAEHKCni6o0do3LcxxhhjjDHGmGPI2vDpUprwwNZT4rGtVNmxynsfYxQRZhaRMozv + SG+U2W/lCAKYlx20WL51qhzBMh4x52zn4zGjRK/lnEsZ0FLa+EhvlDkQpQRwuVPyUMthPdLbZfbP + /KiVc/NIb85BU/YrxhhCOMZ2zRwhs++TLAAyWMGOSjmzzOgAFYJTgB5mItHBlkQdOZCQEwXnDO+Q + JAs7AK7MtVGebwwTY1appGSgYjbx4wH1uNdePhtjjDmC5q0Z8/SXcqfEKswfYN/AjTHGGGOMMcYY + Y4wxh4dzLgOx7UKvv3379nf+13eDkaVMBZTSLaWaASgQYwswMessfIiJVcUTPPDan/ypM7dt88Bk + Muk3vZxz01QxZs8OotNg5pgcsaT84C05sIivJIkcAci6Zp2EnDMROZCkHEqLq+JnX/vaHffc89Z3 + /NkoRWVkBWjaOwiR+WTHkkp0eGqtOUZOnQ+cNXNTrXaTZsOGP3nb24UgCp1NLI1dAkDMzoWUIiDs + neTs2BFpTsJAYFYRALV3p5122mPPPOuUU07ZdvrpJ5100qknnzJYXAjOV03dqxtfhQ2LSyVT57u5 + nVPwLKhJpo3gokRUOT8ajZpBX1XbtqXgk+JjH/+7/+WXfgkAE5KirG/e/7nv0yMkysCGQf97L30h + JalC6Cadc9NAovWeCGUOltK3Um7LcMQH97OU5TZY0RhjjDHHNGb1cZSq2lFFksb9dnXlg39zzmre + kBSKpHAMxFyDwVkIpMgChsZedffm/vkve0luTnSbN5z63Gfd+/GrT5zoAiFmaJmWlXJJuAYABSmY + psG0BNYcAXhCvyR3AooIcr1utPuz/4hXvKJpNqwuVSl1vvagxGDWGsoQASHPa5yv5y/5suaShmb3 + 15YQUoLQut7FA0dAEAhJ51UBQoaKELOyjyyJWk4rfarP6J/z6petvuPKpWEHaqEIgbsoFXuoJs3l + i74QEjEAD5kP8dUUa3BkUYErQdpwCjiSajzalno7Pvx3W5/xtCA953xKoh5CMj9kSsgELb8jqDKX + i9/MUHBiRqi68bAJ2VOmlLuYm15fY9sTwT3bv/V3H9k2XK2zMkhFp8WVSjztrFLT/BqWFE7gps+M + ADwt9M8uxTyug5z7WJz92NhbgHriCEiOyTkmSEQWV0eBJ54nQ687rNPMYyEGAlTnUdQMgqgqGgoK + lskE4Ohpud9fOPNxC+ecv/yl6wILA5TAiiSAQyU03NNCK/VVVEFOh3aWgbISz85o3bd8VkmzeEBg + LK+Ncn84Mv/9o9F0v+bvY+UAhkyOwy4ELC3hZedv3LFz5wc+vaQjSZPaIyYE9hNRInKaAjlBFnBm + AriEyPOsYcgzogKKAGgUgsBhxEIedZItE0833XfDm9/5xDe9cXDaqfdqRaFH3ShwzujIOZKQk7K6 + zFDSzGAkFvgMUkTH+RDPOynvGN+lB7w8pv959M2Y2buz89c2zf6PHVACmmsk9hyAeAQ39ejnVHzX + 9RcGoy6lzE5ZRR0zGGPtggsuxmYUt7/j3Vv+9dZmJN45aCRQxZjk3O/74TAtamZCl7GnX68+/tyL + Xv0TqbeQkBmJfGJ2oqqeJQQXQiSaxG4jwWWBMqsDtNQY5EfOjzHGPIQ1Z82aLCtVAJSTMnkAkuG9 + zzmLCJia4InoHW9/+49d/uP33HMfAT6EMlNSRLJk531OCUQQFZn+ifF4PFv5dO5YKasyn49gJReM + McYYY4wx5jtaW4iD3XSJVawx+1Dm+fW+su6NUpa1TbVrm3L3aRlWnvUPCq9tKwBAUoakevZ7/wJA + cCAIMjlWymVpaY13cGAH5S4zgADvMnQsDVdLfuNp207/N2dftnP47Rtvu/5fbv7qXffdOc7jXA8n + g3bMqgouA2N1WoiGPBOJKJBRhgUrQ1lHMnYewlAIFIGJVCUDBPVIPFHpOllZHt/9jS/98wevrc84 + 4ZynnPuMC866cNFt8pN+T/pe+9plUnh2As05K4FdUHaaMpOqKsORcwJNKQmJc041K6lMu59LL+v8 + GStPUOnF05JsLWAlSAk3KR1w04ATcySpaghV13VEEFVAS5XXI7tVNkLUGGOMMcYYY4wxxhxzvmNT + 6D69HVz5aiKxdsENKury3SGc4HlBchxJ3ThumjxsHRw3PB7HyinvzyDotcOdWbG2AX3vembR10Jw + te+Guz9w2Ut/8NP/gIpAORGzAyuISluvODCV37JGX2OMMcYYY4wxxhwW8zi3krQaQkgpqVrbxPpT + jiARiUiZeGlhlutLmVvrvR+Px71eD4AdwWPJ/J1WREph0JWVlcXFxSO9XWb/iEjJPZ3fsUzr9Sil + ND9qOWfv/WQyaZpmvX//IaKyX+UNh4iGw+FgMDjS22XWNylVsUj2qQNGgIoTXdtbqgdaSX8/KIeq + mkw6dGNgU0riPUPg2XUP6EY+XDHbxhhjjDHGGGOMMcYYY4wx5himhKwQEQ5VUvkv7/5v4zYKQI5n + IdEPUV/sAV3PRFBFv9f87Oteh5Q6kaaqc86h9qPJOLjqcOzJI5oHRzng//jff/Xmm2/+yMc/3gm8 + 9yknrNmdw1/y3xNP0qTXG3RZxl1LwX/mc5+9+Zu3Sim9R46ISCEqAEREROAdKYjIsVNVZKmZIXLx + Uy560aXfe8mzn33uuedsXNogIhCRlL33zJxzVlXnXBl3d7C2n5QAKGFew5GhAJySqpLoUtMj71JK + vLCgjlvFJz/5SQKYS5VEMHOWh4g5B0BA5QDFC573vBhjz1eq6r1/uO0/5P25xhhjjDHGHMUEUMci + Iqpxz/IJlXPt5JtXf+rxXfRZGIgEEAcIoVxwQD1SRADtyvnsFz0fWzYvh8GSxi0veMEdn/3cRs06 + aUNAF5Hazjv/wGGMuvaPT5e46UJRcNbUiN987y584lP91z7GaSbvRYR574pYWeihrwjWrxLyuTbi + 1MZ7YpbunAAlIQWDMwGKHKVf9xWTlTySHp182fN3vP+qPBGMdzgRUWFGlgzo2ikNmQHA7/Pa2efq + nnRa/j7m3Ato2nTTl7669dvf9nWVm83CDGTMXsZrLyfn44kZ01OlJF5DhRwriap6YvYhSwoqW4Tu + +vgn/Y57B60wOVUV0dnq9+77g5+NNQRAxT63URiTyp/6zKdj40Iix9N/nTXOkJQ0ZSVa59MCUDK5 + FZg+37pPmwwrZg0MAERJ2roXQz7rhc//6le/FnLeVEEjmoCJwCmqJKPhGIlIWZCJlQ51G4HyLNBX + 9w7qVt47wnvv3z82Wiv27sXeJiCFA3VdSr6Gr1FVZ//cFbfcct+dX/znbYNqvKfr9UIcJ+cCEWnK + D3jN7jt2vzyVAFhADiAoQ8iBGT4ppe4M6t12/S1fecc7n/zGXxz362WdNJAcEwImk27Q1CVdfD74 + v5w5QoejuY91Hm0CrHkzOtYjgvfGh2AaH+IBAYmiJK9Yuup3xgrHGK4sRw6u7pMQQzxxglS9qltZ + 3gYMr/rUvdd8/pxMqlkkMtCJeo8QMO5Sr6YcNRN1C4vbm/DM1742bt0yrL0kUmRmIqQS/CLEoGnE + OwFub3YOKabvXgcloN0Y83Cq2scY27ZtmubMM7e95Y/+8IrX/9zu3asxxrqu27YFph+5xKwPH/9D + RKWjJ4QwHo/LnH3LtDbGGGOMMcYYYw6OfRs2D6w3hh+qdVQf/p8AKO3TFLC3rZuAEpKt5AAWh+xC + qjsds6tO8c0p555xyfmX3rt8z0233njT3V+9fvs/9fotHMfUtZLUkZJkzeRUddryUHrcCCCebZgC + gESIavDwIcQYAcksmdeMvxX/zeHX//VTX+9/avC4U8976jnPOPe0J24KJzCHWpsugsW7EBgUc4ao + c45AyJKkRFcTETFPO51pthkA86xfT0gUTPu0r8+2e1r+h4/91vd1RVWPtmpmFmttjDHGGGOMMcYY + Y45ruYu+CjUHAJngg3v+Zz593XOfefbq/Ys5jyYZGPb9guZuPO56fZfbQzifR4EJU99Xp+xcxe4R + uMLmfgsNTFUZ6+0YgCvNwMQga/81xhhjjDHGGGPMIUdE3vsYIxHlnPdO7TPrTdM0XdcB8N6XMEtm + loefmWmOQvND1uv1xuNxyT/uus57Gwp4LCiHMqVUspC7rltcXEwp2fFdX5hZVVNKIYQSRV9KG9vM + 9vWlnHeqWr4IAajrGrM06PVLRFQ15zwPXx8MBiJir0/z3dDSjVmm36wtIqVwCtbZhB+CEEDEh3g+ + RezajVtPBgcVCd6X+T+pi1yFB1TvWjtNxxhjjDHGGGOMMcYYY4wxxpgDo6qlWtxoPH7/+98/XSgP + 7Jt6wO+AafrLqt6xJrnse1/0uMc9bryyEpxPKTGzQv2sw+voQURvf+vbXvCiy77+zVtSSmBUTa8b + j9c+Zu+ItEPfGaeqzoWuTcLwVXB19afveEdeU+VNVUVLFT8hTCsGEkhyKllJmwYLV1xxxet+5qeX + Fhb7TTM9bCKkysRVE1S1DNlyzpXxWjnngzWeh6YZCzq7N/1/AhFRil1d10kFADO3KQ0n7TXXXFP2 + i9llyfvUtCsTTteUbkwZBFx++eVNqFQ0izglZs5q4waNMcYYY4zZh5JMnLiGJ0k2VNUJo9Gea/4h + L9+fUxuUAWHw7CJHSZEJSaEOWapUNede+oLhQtOqTBwGFz6xfuK5w2uvWxJAEBjehS5G3p9LJGFJ + zJ6xuJpvveYLj/2RV/gNgw6OyTnJCs4kIHICViaQkg0GPsYpoRSaJ+W1tfgdURcze1YE8QFbTrzg + FS/76tv+/Ezva3SdgJlYlAFyTnKJ48TDjeZlnV/LS7kXHGWFSgqj9sYPfey8f/9zO0lXKRGBlXke + 4UkAI++biTuP9iQFZQnsnHioKJE6Hua4FYLdu2/75NWnRyZIZgFAeZ9M60cvQpNHWlzY+vSL2sqD + hERBolQCgAnKNL0EPw6HD8uIseE5F4+u3DL89spiAisgqBQi4DyW5d3I4oUV2TJZD4HZiUEQmp4a + AgAy6G3shh1cDxs2rq6unP2bv/Kl//gr37z+a9tqHzM6qM9dVdXJcZdzADPAqkK5nC9lLUqz/HiC + n8e4K2pB6sCsnl0bh5tW856/+/zu08489ScvlzoA8KHuJDlfJaEMdZxRZgSoACyEsUc5aw71FABj + Dkxm+Lqf23EdgpKMYiJFTUSskrk/HuLOu65/93tOHAq7kGXsGSKoyWnOWVF5pKgk0KXFW5jP+cFX + hGc8fbvnjpI0TmPniZX5QMN3jDEH2WQy8d7XdTUajZqm/7SnPe33fu/3Xv/6/7mpQ9u2VVV1XVeF + qus6EIhZ80P3g5RM61I0o9frHea9MMYYY4wxxhhjzOFDAsqYdhMRxAtNg7EHzWLSrpVRO1QEbB1s + O+HJp178pEtWRitfu+nL1/3rtTuGd/T6w86NxjqOlJNAAVY4EME5FVKQSi7DgRnMICAqfIZjEQUU + QjwrusMAlKhD5gVMaOX6Pdd+7TNfGLil806/4Pxt33PBY5661JwY4qAdTjxC0/QBGbfduMtVaJq6 + gVKULEKcQQxWAsm86Z4UUChB3HQJl4Wgvb11s/Ttw3sMzCOZ1zGbDwI+GiKurdahMcYYY4wxxhhj + jDmOKbwPiKoeREwAnGLD4jcWBhuW71sE6gBHTe46QaqDS12mQzkxQYiHXbdQ9U4Zt5///pc/67Of + 0tWVZsOGiOl8iFnJd2A6D4QfqbyFMcYYY4wxxhhjzMFARDFG733JQSxT+1JKFoe87kwmk3KnpFfO + b4/sVpn9IiJEFEIYj8clY945F0I40ttlDpperzccDgGUNOuu66qqOhoGm5pHrwwXLidmuU9Ezrnv + 8GvmaNV1XQhBRMpBXO/nY4mvds61bVuCuo05KPaeGOWrJU3vu70VrAjQPCvCdejKWgmBQ33Xjnse + 5z2R7ya5qh0E3gd9cAU6q7lmjDHGGGOMMcYYY4wxxhhjvmvMrIQ2xmv/6Yt33XUXEVUhdLF75N8i + IhVB6YbOCuA1r3nNrp339+rKex9jJCKohqZK3QFFOR0ybdv2+/23vOUtr7z8VTuW96iiG49BBFGs + qXhXcqQPgwx1zo0nHXvH3t99990f/ehHqyqMuwiieS8/ETFYVJCyAzxx1twP1atf/epf/U//adBr + JKUQguYcUyIiR8xE3vvRaOS9Z+a1wwaY+aCNH9Byo6VDU2bdryll7z0RRckA2LuYU8z576+5Znk4 + ZEJSeCYQZRVas6cPtnGh9+xnPct7343Gwfn1PvLBGGOMMcaYQ0QISTt1laS8wIz7dt/woY+evVDz + aJmVAAbKgPCSIAoossD3+ivJbzr/PJx15i7HChorDZYWHnPppXdc+5VTqzp2rVcwk5uWDt+/jXIq + Cwj33nXP8Gs3bNy6aQcC+g2yB4EgCgaIFCAmLf9pjmEs01eR0Kz8kIKp8nE0cUQuVEnS/eM9m7/v + stGH/3p822gpoO06Ua0YzA6AzF7HpADNLuLnr0yS+atUCVSuHx1DhIGtTf/zn/jUeT/6Kq5q7fdB + BAXNfsDTUID5r5fbsj6GOCWetoUwwQkpQ5ag6QvX+tvv2lz1EZeTKoDGcc6yv69mkcx1WHa6+Niz + cPLWZRI48tBMAlKog0IBKAji9Lg7WUSk84wTN2x91lPbv7k9tqgZkkFuOq47TvZAshMI7W2dMIfO + PEaCFQv1AJM0EqxsWFrw3VN+4fVf/v03f+ubd5xM3HMqOUESHESmL2AAa1N2dfq/EsVB0HI2ghWc + UBEkgYNA0pZqQJP0jfe+/5zTTzr9shfsCfX9k5HvbUiiXS7j/svxZyfIDGB66+01cfjNoyxs0sMj + EvCw7ZTIMWJsmVCFQEJBs1td3Zrklrf+6eJd95xcLU2GexYcSxYCyHuJWTO8Q1K44L+VxT39qZsv + f9XI+90QB3HMKUlVV9le/cYcNUIIZbquc05VVdMllzznl3/5Db//+2/2nruuA5BSKg9+hK6QUleh + rut5vjWAMpX7cOyGMcYYY4wxxhhjDhcBgKQkAmblWaIHAF5dXgl1VYWe8yFpyl12JBWwVJ182vec + /b1Pe+ndq7d95ZZ//Oebv7B95a6ml9VpREoyHc1bMq1VM3NWEpQEbULlIILUZscQAitk1iMgBAZa + HZEqOXFBqYfVPPry9t3/8q3rPnTNB8/e+sSnnvesJz7mSQPXX125n4DFwYZqMNCWhu1Iknofqqoi + 0di13vM+mdYQEIQAgKYlfcqeCikrAJp2jVmD89FGVUtDFhEdJWN7LdbaGGOMMcYYY4wxxhzfssIR + E2mZelFXiOlHPv2Zr1/0pLhrVxcxqNWFWmKCqma4Q9nsyooe+zwen5Cxsuc+xAktbnYZrgwaJ+wt + QUFSGoetFdgYY4wxxhhjjDGHmqqW9NxSmVFEYoxW2XA9KkmW89Dcqqq6risLzXrBzCmlMr22nJU5 + Z+/9fKqtWe8mk8n8zVZVS/Y8kbUErydEVCKQc86qGmMMIZSc8iO9aWY/lAOnqqUewbw49Xo/H8su + pJTqus45O+fKnh7p7TLrmwDTjkudFmqcF8oiBc1DrokFCmJWeYS1fZeUQFW1sLhY5tgwMxRQhSqB + ebYxuvdULnN/jDHGGGOMMcYYY4wxxhhjjDlAAiViYn7Pe94jEFEgP4ogatF5HIYoTlhcuuiii3q9 + nqTYdV3lQ1YJIcS2I3KHdPv3V11VOeVnPP2pr/uZn/6/3/KWLmdROOaMIxO/XcZT9Xq9TnJW/cAH + /6qVrF1mYiWUzkICEQEl8E1l4EOX4pMef+473/nO008/dcPi4srKSq9uApEyq/PMUBGRHLvUNE0J + NhCRnDOtcVC2f7oWIsxKCs6XiAgHX0ahCBRKvV7vyiuvJIDIQXPKicsAlQdsS0kmUwbgCC972cua + pplMJpX3BGLHKSVyjFnP6f5H6xljjDHGGHOMcohp4iFhZYyv3Ti48y6/srsiJSWFI/WAABkQJUC5 + Bo3V7Visz3vxC6XfH5OvfRgR3xeqE5/5TD75lPHdO4KXnGJqu8o5fTRXizOscAnEyZFrUrzjE1c/ + 4WkXDRYX788CdgCcCmkCbBjwcUHBgvkwWDhBeREqQVSrptYsyKmFqzdsghs/7uUvvf8P336SMjHn + LOydaM65JGGDFUQCBe9zPShUotEVQgCBIQC6DlUVPCPv2XNaWmyv+ofBq1++kwRwQqwEmobhTpOt + S8X8TDK/biYIlAmZlJIyUXAAZVlgX63uvulvP3pK29UZonCMnAHvCRGg6SDlR4k0Vf4+jU961jPQ + b4asFRBIM+msOtN0a51ASfZv5esfK6Sq2l7e9rxLbvjYh8k5RAVJBsAQwkSGkLE4hYBEwZbjenAJ + MH0hOkWmWRA1OCXphi0GG1p1q03vli6dffFF577uNf/8R2/feN9oUQksKWV1CEwQKSnHBLi9udYM + EqegXNY5Vc5N38NkDElSMdJ4zwK7LbvaG9/2Z8849aTq8WeFDZsyqO3ENZ5IoC1BWQXKUAbBSZkR + cHydL0dcaXhc79OXDiMm5lGKrLKx7qnqnpSqHE/O3X1/ceXkn77ymEhIKxVIRIhAoBRbBgfW2GnV + 8Ng3K5s3P+mK1+45ccOw12cVR8ixU+eFHcTm7RpztPDej8cjZldVtfdVSokoX3HFFbfffuf73ndl + mYtdIqsdu/zwF19lBneZoa+qJdDaMq2NMcYYY4wxxphjm1Dp+hBRBqRp+gCQQAoGeQ5KqiSKrKw0 + abbinJecf94Ln/TD37r35lvuvvFzX7l6RHsmGLbcJqfiOYMSR3ZgRyISo7LA+8COkHIZmkkQJoGK + UOl8Q9VUpJRyjh2I4VjErY51dVwvXzfa+S9fuHbwhaWzTj77osc/+axTz1Y/dsOlHi/V/UozNEMk + OyLvPSBCAARQnXYMZAHNewlKuLXQtLV/vrA8GYfzmTePbB5rffSwGnnGGGOMMcYYY4wx5vjmCQoS + 5Jw1uEzkNiwR5E4fNnl/Yh1Gq+MGEjhA8qFubyUFUm4CByTes/O/vOTFr/30Z9ERFpqSaS2zIvBW + Xd0YY4wxxhhjjDGHjaq2bVviOQGUeX0Wo7seEVHOuWRae++7riuB5Ud6u8x+KAMQm6aJMeacQwht + 26aUrE7BMaMc4pxzmRFdjuzRNvDUPDJmBlDO0HkVYzuI60755lPXddd1JWN+PB4fM+UJSsh6yeou + 6d32OWIJsui4AAAgAElEQVS+G7z2bnm3K7dUSuYRS6mhhUxQOrQTGDLRcjfZlRpI1pR8qKbF83MG + MxGv7fCV2fbbCWCMMcYYY4wxxhhjjDHGGGMOWM45OKcqV111FbNLknPO7J2khx0qQESqgjLGQJSg + T3jCE3q9HhF5dgBSTHVdC3LO2fujK9aaiFJKovmX/tdf/MBffejWO28H+zZ28wcc/hESogomCFT1 + Xe96F6F0EqqKgkoCDIkIAQ4gIKX471/3+l//9V8djUZbNm1eXl7etLA0accZKikDIBARMXMJtM45 + l4EfzrkyLKSkXB+UjS+R0iVeWtdkBDmilFLp388qbexC3ezas+czn/+cELLkUFVd101fbA+/MaJ4 + 1St/LLWdZql7/fFwVIfKBgkYY4wxxhjzkBwRizQqvSx3/+1VW0eTOuaSGzovAU6AEjIBIC9hT0zt + Y0/Hc54+Yq9wCciElv2JJ5182vOee8d7/7/THS+galOH/RxPXgKGHSAsdU53/9OX8Y1vbrr4qTtV + MztSVJJJJJMqiNaUIzfHLlIAygyUCGoAgHRZGx84U0yEOowZd/HktEufd+97r9x91/bGV5wnIIkR + AIKfXjPzw7weSVlJdP5yKkHXWVSpVjmD+BufuPqCV72s0q6jWsEyOzVYoTo9U4QgNP0TMs29FhBy + qZhEDsyhjZs4TW64YfXGG84kyV3L5EJQyaJZymt//54dotUkKxsX6aKnDKs6ekex80xMkhnQALCA + mYQhAtHjrHCTikRyq/36hLPPos0npknUbjJgL0gKCCFD8mTISKoAOZsAc+gwAEFmQOGa5v7x7sGm + xyMD8KOMjVtOum3H9jMvefYF9y/f8cfv8qpLjhnSZlRBRcGE2ekPwSyzffapsWaBAHAE7VAFxAgK + 0E44y2m9QDvuu/a3fufiP/i/Fqv+eHGpbXxsx71+JWsCQAkgYbZwi8POGu72Cyucr+HRddE5pzHG + tu356iRV/OMXv/7f/8dFCFVaYQRfcduhZig0KwjCzqukVcGdji68/JX05Au/rZmVfFVLO2TAVVXM + 6oinn53GmCOLJEZR1RBC101ijCnJ5hO27Nq16zd+49e/9a1vfeazny+Tsr9jD46IOOdKB5D3vlRd + GI1G/X7/cO2MMcYYY4wxxhhjDgcGBExa7s46hkigDElM/z97dx4t23XXB/77++29zzlVdYf3nqSn + J2uwbMuSLVsyljHYeB6xwZjJeNEQGhYmWdAdIJ00K3R3spLuDglNOoC7sVcWw2JwArYT0rYTBhMk + YeGBCDyALazBGiwLDW/Qu1NVnXP23r9f/7Gr6t03Wg+/4T6932fVuqtu3bqnTlWdc6rOHn5fT0Sk + joUgokTk0Oa2rhtiH/usYzTV8vN3737m6nPf9PVv+fLBe//y/k//9Ve+8Nja45O244qrulqfHvS1 + OueYoKCsBLhZzjSVBgoBAEKJuZ70PSu8R9MQgJi1J1CNnifjdsKMKUZrjzx611fu3Ley76o9z3rJ + Da/aM7zikqU9dT1E76lnL6FyHrJoSSYAQrOuaFIBeP43ZoXMexDE2p53HiJS3XGF6SzW2hhjjDHG + GGOMMcZcxAgiSTMc+8q5BGR2vWCI8OY77vjrN74Ojzy2b1BpRJ96D2Lv9AyVGzghVgxcIEXMctly + /Yy2x6TFcoVtmdal7VfL4O+ztyrGGGOMMcYYY4wxc4vKjKVQY4l4tCzkC1HOucQhM3OMkYhExCa6 + X1jK/ti2bfm1RM4DKKnz5mmgJOkuds+Sb32myuCac2OxP8YYMQ+0Lu/s+Vwtc5rK+9V1nfc+5ywi + S0tL5T290LVtW9d1eYLlQ8S+DJiv3ZGOS97WkTmr23hO+zQzIdY1VkbwnkKlADHQJ4Qjtf4VTAq1 + Dd8YY4wxxhhjjDHGGGOMMcacCaKaVP7yC59fH2+BXRnpISIn6ygjgHSe/SxKRFC9+aabHNHW1tbK + cMigpKqqKcXg/c4qVwZoznUV1Lms8q9/7ue+653fk3NP8zTrI2t7rvrjssC5kGJOKp/687+45/4H + Fagq3/VpsQ4l05qByvvVavALP/9/f8e3vT3luPfSS8abG5V37XTCzAxiNwu0FpGUEgDnK5oriQjl + /S0d7l+7Y2KtF5larOScK+HaAnXsvfe33nrr+uamzp8UABBU9dh4vPnmBeCqfXtvvvlm55wjLs8o + peScy2rjkYwxxhhjjDkKK5CoFlpOggceOviZzz1rPB01kA4EAYjm0Z5CJY5UCTStm31vfBX27e6F + QyI4n4Aoetj53W95zedu/8NdT6wtqVbzE5PT4hnCiCRO0uVr4/6/fqx6wfOq3StbxAQhhVPNBCFY + Au3FoGQPK82qz4OEACgLaR9jTQ4Kx/Vmu7lrdTfUXfPqV+z/3Y9cniUoQVSB4CAyK1t/8q2RoEwq + i3sEdpI1IS9VTTsep698OX7x3tEtL8x11fM88V1mIdbbkj9ZCOXMlCEgqCixF0eiFKgapDiYbN17 + 620rXRtSH8s/iwSGSCac9km3CrWE0fXX4xn7xgR1XrpOuewsZR0JBAU7TQy92JKtCS4q2DuMhtfc + 8pL1R/9gFQEyTy0mAJhurXOOGYHIWYbrmcYAdJZgMdtrAPTtZM/SrkOxQ9fXCLX4OEkujB6nuO87 + 3771V/ds/NmdOHRgt2MSEYEwVGe7R2Qo4AREUtqCtu/XZY9kSJ9RMbxHBsiDgDSZXN74/pEnPvOz + 777l//zn6/kg7b5khZp+2jnPQrMtwgkYUlquMsM+Z84BVS2Te4gINs3nKeu6zqtzmTy7ro+V4BIi + 9+CD9//6b10/6fvN6VKznNO4i7EOSBHZgQM0QmPy4M1qWL/uFfTd37Y+jUurK2v9FAzKufKelCVn + crb9G7NTMPNgMMhZvPcpSl2HJw/ub5oh2P3sz/7LH/3R/+Guu74IIDhfJk6ebOclojJLtBTN2L17 + 98bGhmVaG2OMMcYYY4wxT0PKrMd2BikYBGaXJZOoc66uKtWcY+r6PGxWui4qIjO8Upz2Sjrwu6ZP + tlcNbrnyJS987ddv7t945N6H7/rClz774P57r7j00q28kbtM3pHzUXJEgjvSwEvbfgIYDHwfU58R + VQGowjG8d6nLo5q9co7T7Ppeu6/0Ww89cv8n/uZPLlm+/LlX3PD8a26+7rIbLxns5a7pJlxj4MQB + Xgmi4FLe50iQ9vxZK88DrYUVQjZqdMdR1VKebudEXFustTHGGGOMMcYYY4y5mImSuODRAR4CJGhg + Ql1juHRf0pctL8W1raQYDEd5MkY+1cyMM4AkJ/GOgkfo271raxiPsTSAOHIVz5vzFiUebPSxMcYY + Y4wxxhhjzgFV9d6XUoalrKFlWl+InHMi0rZtybQuFTafHvGcF5WyP5ahh2VirYjshJGI5owos6AX + V1Q1hGD76QWnJAQv0qzLTmqZ1heiqqr6vk8pEZFzLsb4NIgnJ6KmaVR1UYab+SKqxmXOEsK2om60 + rQuTy7wXPf4vZ08mnnh644c/hOA7zUQuMKgKmNdkX3xtIuttNcYYY4wxxhhjjDHGGGOMMWdCVVVd + ih/72McAZMkC+CqkGE/RHUVEpeNKoaQK4Oqrr84571pe6dqpZllaWdlcX6/qnVgcTES8D30fM/S1 + r37Nm173+j+6/XYC8vZo5XPYE6eq5JjYaZ/e9773lYDtrk/kWKWkZ5FCGaiC37W89Lv//v0vvvlF + khODumnriCXlqqpKSnQWUdWSJu69Z+dy1sVQkBijqjrnQghlLOXX7phY68WNSdR7L6ICFVXyLkn+ + 0Ec+rIBjl1VSSi74nHN5mifEwOtf//pB0wTns8TJ1nh1dXW8uWVDBYwxxhhjjDkhp/DTtJzil2+7 + fTRtl1zo267y0AyozjJI5yc8mdGTk72XXv76V++nrEQ+g13VCRoftvqt3S+4Idz8vPTxz/RPblQM + wmIk41NGyAKhHFSvqof33H77C77vHcsro5ZdLoHBBCVRcvN0XitE/rQ2G/kqpVYSAU4lE5hZAcec + BJJ0MFje6KZTH0ave8XaH//xypNbNUACBlxVxWkvxFCAhHV+Tlq2H5rl184GBpe/KkQlsBeR2LcN + 1asp33/HHc974Q1TL52DApkAnjUKLDbB0kRAAOtsnxECWEkpZ6ijKgmeXDvwiT+7PufYaznR7jMq + RwJfMq5P6+VRKDWDS174QjRNJxTYsXdEWvK2VblEbwuyuwhHESuz55TSOPV9Xa2+4uV3//4f7V5a + yVsbTqEKEDgzjydViq0LGaxQO6ScWQpAIQRXEqdLerFDF6e6vILKeZFaCVFdGHR1WM+bV//Ej37x + 0EHZ2mhyUu6Yj87n1PkAfYVQ2Y0FWvadkitPACrPXZTAAGOa4D1A4EnaB999/u6H3vtr1/7U3/+b + 6WbKYVA1vcZ5m5HwrNmK9aLaWcyFRggusHMOvWuo7gnLjPqJJx790Ifkrnt2T1IVlrp2DBJRZAEY + SsiCxtMkYzNU7bXPvP5HfnircqlqUtevLo2m3VZwTlJWJOc8cGHPIzPm6UO57/vBoGnbdjAYlImf + g8FAVVKSq6+++hd/8Rff+c53rh3eyDnrKfPoF0FBZWbldDot87i934m9csYYY4wxxhhjjPka8PZy + ONs6PiRJJlYCieRpPwXgOTRV6GKqvAdYJIlI4AAgZRq6JvYpxX4UmmuXlq6+8eqXX/+ycV6/43O3 + P7j/gccOPpq9UE2gtoOSk0S5PBzpot+NAZlMkwvwFalCxZXeOumlcS51uYN4Dzjq8jSDuAGAx/rN + /fc//Ol7/2xPuPzZl13/4uu+4flXvyDG2ufa5Yo0kDiAST2UQT1IFULK2BbpTQpr7TdPkQ0vNsYY + Y4wxxhhjjDEXNUcKZFQAwwE1iAE4oFo+GJYmVZUdPEHbsfOLmTxnkZKicn3CMOo10/6jr34VZAqX + kaMHkDOy0rz1O+uZqX1gjDF/O6paUnmOsT1Pq5RuMcYYY4wxxlzoSgXGM1WH0ZwXJSIXQKm/aVnI + F66UUs55katq7+PTicyLzC7eX8u0vhCVJrJyfXHsNReivu/LlUUw+YWeaQ1AVUMIRFRabrd/uyvP + rhx2bLs1T10pXUeYFclSRuYjhd76FH0IcFT6EpzAn+UicMlhEhi1B7OSy0ACwLKtiBdjvra8WHlj + jDEXmsVXNWxr6IB9jTHGGGOMMcYYY4wxxpxzShCCQO/4+J8CUBARpRgxn01D2y7bOedmV9gBGAwG + zrmUknOOiGLXhRDqut6BY/Z84Jx6qIwGTY7dP/un/4SggBJoVvGfEKqq3JnOfnkzYidZY04HDh36 + 8Ec+IgCxAzBLblYQKYDg3SV7dn30D/7wpptuUsmLfnMics7lnFWzamZm9k5UBarznvTFUBBmLm9c + zrMlnA0MIiJml1JWAjMzs6o+ubZ225/cDiDJbDhKTglHN4y7eeiC975scu/4ru+WmIgo59w0TTdt + q/m7Y2UKzQltH/tULMawlQ6aHXhcMsaY0l9MRItDVjmUhRAw/7gvN3rvnwbjAI0xZw+RG4riiUOP + fuyTS6qS+5IKSgyFTBCpqRKyU+cyMuFQ4/a+/CW47JJNx6gZJNKlRj230dXVEyTPfdtbN8kpAO/y + 6Y9qkQxWDNjVIj5Ow3hj/c//YnVruizKon2K8E4Ifd/52i8mI5inKQEElJVQLkCpRi+gRJw76ZnZ + KajXhqsOhBuvz9c9c1PUhaEICEB/ZH7KLG2a5i0bBJkvFgDNE68BeLgsiZkdINpVuX3kTz+JjUnV + R2YoiXp0OWWCsFMqYbpHlGRr1llwYHDeMUSkkYw7/3zP+lqVUmBkicTqGSIqgEBOa49RMDm/kfLV + L7lljT25SqPCQZAJcMKkAiAzhAh0MQY2ZyWwJ6JNTXjxi9Yv37tGLsGDGyizVCHXtDkeZYGI2vDq + M48AymX/Lb/PAtfBXpP2oCQ5upwbUZ9SitKvLLeXLj3/H/7Y1pVXblbD1pEQWOEUzCwCzlSRyxlK + yIzokBnCIgQh0RJHrU4SKoAEiKgdEiMzFBikfM365PDv/WH3n//gyq2tAbrsklNQT01dp5TKDj7R + PgVk2yLOidI2OJv2ywxAROy1PzUl5Jra3DXqMY4MN4oZn/70o//p/7skZhZNsWMmR/AOUlqxM2qG + ZJ3W1f17lp77Iz+Qr7pyrRlOSbwT6TYrUifw5B052LBkY3YS732Mqa5rEck5O+fKMZMBzemaa656 + 73t/iR0UGoJb/EvpZCm/Lq5g3na0OI0qjUjHz+y29nBjjDHGGGOMOaEQgoiUUW3ne12MORVSKpfy + a2lCFxJlFVKBZFYE5sBKkiQ6iiqtSgIYzmfymTyYVLOHNsqDGIbjpeXx3sv7666VF3/fi3/8f37L + z/yDt/7zNz77O/bhmmY6qiVQVqjAQx2iIGd4qj0ajY59GXLsoF7FSXYknrWRGAjBsc9wvWiniKTC + YFGnAk5Tv/aoPvip/bf9yid/8R//zo//8p2/+Im1Pz6w+vB05fA0rAn1HsSiIBVk5xiz1g8C6Oj2 + DQEJ6KLrKduZVJWZY4zMR8Y+ne+VslhrY4wxxhhjjDHGGHNRk8XPxbBvX26sBu/6k4/vF91ihFFN + hJjzuRnnm7pYNeyBla7dlzpMp+g7B0DyrMIDAEHbtUy4CGdKGGOMMcYYY4wxxhhjjDHGmL8dEanr + uswOqqpKVcu49lK4c1G2ezwen+cVNRcOUqBcIBnIKBNbBF27OhpOxpttSlxVJFo7j7Pc45oJU+cQ + PBwJIGV9UGpxHd2vqphFDFh/qzHGXGjK15i+7xdpIgCYue97Iipfb9q2xbbCUsYYY4wxxhhjjDHG + GHP2iAh7f9dddwmgUFUF0anjLkRlUc8xqzLQNE3f90mlxC6WiOvpdFrX9Tl5Eqcnxtg0Tex6Bj3r + mc/89m99GwMELbHc5Q4gAkHPfl9cSilDm+Hw/R/8QJSMEvdClFMiUAhOsjJwxeX7/suHP3LNVVcz + TvXWlLmbi5/nMfhZVUv1z5jStO/AdOedd46n3SleUed9TglEzFwKEV65b98tX/fi0WA4HY81S+UD + M4uInPJFMBezrusWEUqYd7WUPA8RqaqqbVs/T083xpidg5m7rsN8FByA8iUqxsjMJcq63KKqi/sY + Y8wxCNDcL3nXfewTowNPhhgBMCAROYM9Rg6xHSuI2EEhlT+4e7j7za/bDBVCnSgDIiKUZOh9jLFt + mktvfEG48oouhITTDOktq0RwBMrqgdy1w5weuPU2tzml9c1RcE3TtH3HjLoOk+mUvB3fnvbkyIW0 + nLGSKimUBCRCQipOETJH9hLcc97w2mmouhidY++gWQM5VuJtqdWFEgGQWeWlo049FUogUQGDgDqn + XetbuOvuFSXKiSCqqh6JkU7SDFAeK4kws/TR51yRutQ/8d/+bHfX1Xl2n8UqZZZ8muXShdCqymiI + K65Mw5GqozyrJUXCs/xgknLPi3PcMJFTVc+cQ0ATLn/NKx+p3eHR6EBVH26WD4bhk8prG1uepK7r + bLHWZ0EJj88EIRxpmCEQRCiBs3BWSkoJgAimRAergGuuuumn/qeHlputZtABziELYhLvqhDqLuam + PirfYBFODxJoiZknhQOYlEmYlAFUlZc+Dtut60Q/855/i8/95aX9JI/XnCMOtLU5qaoqShZH1aBJ + Ng7T7GgyabeqyovIrjBYbSM/vv+Lv/Ybz2zjMAorQEKqUJ5NewHqyo0jcqgOVu7Z3/N2vPSWtZVd + G+STAyg79E5TZmRiVrBagIcxFwDnKKWkKq985St/4Rf+DYAYM4C6rlNKIlKau733InKKdqFFl5yI + hBBKR561hxtjjDHGGGOMMRc0VmVVQGnWiyVKogQlVcwa7ZUoE2WGkApnpVz641i5dGCxgpVZ2Ivz + OYRUhzQM/Urd7RlMLl3trrxhz9d/5zd9/0+846d/9G0/+dpnv/lKvXZ1sqvZqIaTehcPh9TEScox + DwYDR+QAzUlydNDGe2ZKKYpTcZodZU9wTB5gSIaDYzglyT73YTyt17eaQ5uD/Z95/FO/8V/f+3P/ + 7p994BO/ft/WX20t7Z8uHZxWh6kRpTTpJlmEw6xZYzAY6CzK5OLsJTOnx5rDjDHGGGOMMcYYY8zF + bT7OW4AEMASSAYAD6tHGaDVC1w6tecHS6sp0Y6Ni4rM4Z19DRSnqtJXKs6e0Gtt//6pXf/8nP4kR + dLBExFD0nYQBD+oqS3RsA1+NMcYYY4wxxhhjjDHGGGPMV1cKdJYKniXZelGMWFVzzlVVARCR0Wgk + Imz9UOYpUoAExDJPuCZV+CqP24GvGulz3wsQ6gG2NpjPYqUzBXeO4RjE87htVcyztBVC87pCVqfd + GGMuTDnnkuZSvrf0fV+ulG8yKSXvvao2TdO2bdM053t9jTHGGGOMMcYYY4wxT39KWNtYP7h2uHRA + MbMS9OSx1uxczrnkXisgKgTElFwIrFCRmFOFynvfpy5U1U7r2YopDUej2LcKDuy4Gfzdd/3Ih3/v + vzBxXsRXqTrvc0wAzmoQkhI4+CR5a2vywf/wu6XqnkIB1FXddV2KeVhXwyr85q/96g3PeW6OcXvi + +NGp1Uf6x+X4m84e2l4o8MgDMnOMEYSmaXI7Jed+5wMflMXd6ATlBUtctWMmhQIMvOENb1hZWYEI + EQXvMU8ppvm7IufmOZodrwwRKZmvZSRJOYgRUbl9cc9THNyMMeY8KkewRW+yqvZ9771n5jI0zjlX + /op5p/P5XmVjzHlGRDjuuw2reI3Y2nzo9jt2rU8azQRlxymLZ6hCABACQsw9A5uO6eYbcMuNE2YI + pZzJewIBFFNbVb5PipVLrnv9a+996KG9m5Nd829ZT93s7ipMyMBApfuru/DX9+x66U2HcnbqSByy + uFD1fX+mXhyzY0kpN0+AQoAjo3FJSUlJCKWkvjhhBR2uqku+6eWPLr0/Tqe1MlQEcETHnhcrAIIy + oCAp42wXw25RYq2JooIZzqER2b05Pvypv9j9ipe5mMlxRmbnVEVVHXNZfFkC6/xRyvkFwKpO1Pct + Dh987K7PP7tPTphEyqB1EmQu9xchuKe8x2RG68Pwiiuxd2/vKo1gghJEyCth9nLN9igluhhTSrNU + FCpSDnowRvd1N3754x+frI9d5trXyvXh1eEhjU3fxjqDLr7X56wr4bqza1o+LbTsbsoQkEQnnVdR + kEoVqtzHxg2mgzB4wXNe8Pff9cWff8+K8NZ4a6UeIEmXO599xa7rch3AeRZLTwqGQBlKQqLgTHBa + BtGDFXWCkusl11Wd+k7XnrwujT7zsz9/yy/9X3uuesahdtMtrzJLL9kHL9DU9wR3JHbemJ2nqV3b + TUfD3d3+tV2a7/vVX8v3PbCcsxei+ScRQFCXOUPRd7mpB/sl83Ofs+/7vnf/nl0HYnaDJsUJa+9F + M8nUA4rlTl3Zec5mE7cx5jTo8V9RBEDOmYiHdbWxdvjbv+1tD9z3pfe+971J0XWdc05VS9NQSqk0 + EJ1s8X3fl6mUpYOGiLz3i9kKxhhjjDHGGGOMuQAJSGb9aziqA0xp3uqnyMSlxV5ISvMDqbIKiYSS + bA0GoGAlCInOwrDLrwQwujr0/jK3vHf5qhe9+Jv6l4wfOXj/Z7/0F5/70mfWNg7IMPkwbXU66Tt2 + 8DzrplLpmSJYpUFXMrYBAA5gx0GUQFAPAoFYQbMhohIRlRLVdEj7T3354Kfuu21lsPt51z3/6659 + 6TVyw+WDq6JKFlGSKElEq3mbCs+e/uK5n3gkqjmXSuPV+V6Lo1istTHGGGOMMcYYY4y5mDGQj516 + TwIwPFDVb/roH9356pc/a1ivKsbrG947CLbVJTjz+k69R/Ah5eyQV5GftTnBVoelJWLoVk9NU9Us + CrLRrsYYY4wxxhhjjDHGGGOMMeYpSykBcM6JCIASaJ1z9t6X27uuq+v6PK+luRDNa54zeNaTqoKo + wz4PgkfXOQYEaWuj9pVKPHsrooTEDKZSgO7I1I0Tdq2qFRgyxpgLXgih67oQAjOravlWU0qTN02j + qmTDa4wxxhhjjDHGGGOMMWcZEd19993lumOXS4yT9zmeahLiIjVWAQUOHDggIo6YHCMixuirIMgp + pZ2WO6yqpRm21PbXJN/49S99wQ3Pu/tL96csOefSB6e55H2QnOWCa8wM6G233vbAAw+UW5xzWXLX + dQR4Qtf1//G3f/tFN928tbExqJsLKIVHREJdlRd8bW3ttttuA3Cy7cGHkGIsCcQEOHYq+R3f9R2T + ra1BCHWoVLXrOpQxA9u6UgWwYE9TsjpKwsci2KMcpsqokpSS976MNinXz/MaG2PM0cqIuNI7XI5R + i8AhIiq9yeUotxgsZ4y5yC0KQ5crswOI5j2aNz776fbBB68UDSRgUiHHpKQA+oxB47WTBKpHgwOE + 6771LZsO0XmJokTEpICqSB8dDyjTtJPBG1639pEPrfYJvUDT6a0noAoiKJNzqLr+amoO/P7vX/aN + N7vpVsyhGQ434sQxBk0TY/Q2TOZpToDFON1ZjXkl5VnrAgFCClYGkInjYIDVXSvXXRs3Nvu8xQme + yhhyd3zdJFKUTQ20/ZQRACuEnUOCAlkRoCttf+ivPr97sx34kAiJgMAiALInRzL7/0WCb7nOzCml + ka98bAf99NAXvxDGWy6KUwcw6fZV0tlTfMqn8IloWrt9N96AEKaKwMRMMUOhQsy6WJ1ZXLMcifS+ + WJDCAd247Uma3avPedNrX3j9cyCETEhAAljkytFkdWU9JfVOjmTBmjNltiEKMUFYZ8nWC0KIDFKQ + IrftwDeqWNfU7tm1+82vv/4rj37pfR+8brSSJ22r/SgMU+w8O0iOEZ7A23ZsggDMSplFwKQA2AEE + cVqi7Sn2faip6bSeTvdubHz8X/3rV/7M/8GX7jnQj6vQtH1SRymmHPOwrkRO7/PLmHOGFMOq3trc + IOQpcVkAACAASURBVG53Afn3/vPhW//kOb4KqS0RMARgFjkDAhQcwuCxlNavvvz5P/b3Jt5t+EoQ + VNSRipY0mtnClQUZegE1KBtzsQoh5Jyn02nTVH3f/8RP/vg99979Bx+9LXiOKS/640oHSlVVfd+f + cDnMXNd13/eLKOuUkmVaG2OMMcYYY4wxFzCSY/u9SicRiBQKnudcs857kkrmdWkl5NKoj1nvmxBD + Z31NWnqaICUL28EF1BSR2+iQAwbPHa1e9/IXfvM3vv3ex774ufvvvO/Re9b7Qzn0mfuETqDMcB5K + moEMaCmTI5AMBZwSgwkqJCAu2ceLZ6GI5LyQdNInTMnzWJ58/N6HPv2Xd771Od/z5lu+va5GqZUo + 2VeBlLR0w5Ec1TemO2tk8kVuRwVb25ZhjDHGGGOMMcYYYy5yrgREMxDKDHxiOAIDwWF15UvD4Vpd + b0w7Zq74rM/Qr2qKCVlUiCIB4/bGXm571WuwvgEo1Y0mKCNpjqlzZ399jDHGGGOMMcYYY4wxxhhj + zNNJzrnU6ATgnPPel+sppbquc87MHGMsNYuN+epofgEcEEolLAFarPbUbmyBFY6J4MCQU9Xu/9oJ + sRJDGVJqb2FeE++osuzGGGMuXM45AES0CFSo65qZiUhERGRRdgrbahAbY4wxxhhjjDHGGGPM2SPA + 3ffew8zkKElWKIDShnni+4uglLQjgKkEK97zpftEJOdMRE3TZJVZx+7OyyQLIWxsrg2HQxHRLE1d + B+9/6Id+KOZImK0ugeQs9wwuxJyI3Pve975eRQEmPurFV/zMP//fX/LiWyp2y4NBdXQ/eAkK2n4p + eH45x7avg4jUdQ1gfWuT2N/x8U9stVNabA/KR6oKKqDQLFBUPlCZJCv5qiuueOlLX1rXdYnoyzFp + ypXzi9BiYxZUNcZYdtuyeSwGliwtLaWUAKSURGQ6nVocrDFmByqj3Up8dc558UmXUlr0GpfcazuI + GWMKncM80xrAIOdmPH7oo3+00va1ZpEspEkzEcUM8s4BqU9Rk6+H+5n9dc/Z9Q3fcIgYzjti9pWA + EuWIWDX1dNLVroqhwpVXrLzy5dPBqJOkp3mewQARhCmqQjQk3Rv7xz71Z3j4y7uEWJScB1NKfWDi + bONkLgpO2SkrOBNnYgEJCCAnsxDizJJZEkNC04KueNlLDg255VJPiTAfW6sEpZJmDVYwmJW5bKPz + qv0Kp+UX0lnlfgCiy6r93zyORx5bzmhEgwhD5+Nzhcq/KVhnxf4zQwkQgagoUYyj1D/y8TuGqn6x + 2SowCwAAQUro71OXmA+xjm5+QRdTVojnzMhQ5zyUBSwkgDhVUghYS4b3RYMAhmgW9pVvhlt9vz+1 + 0yv2tLuH/TN2T6++ND/7GfK8azd2rRyW3Ev23upZnXECEla4smHrLPQCACuRMpSFWIgyEcDee0fU + phgdy2Bpo6pWvv8d9Zte83AGjVYVnHPvCDn1rvJlWSRgBR9pXBJQBhSQzKIsWqI6oAT1xA4knQrg + avKbm7v+6oHH3/MbS2vrK/1UpltVVbXTXjOWq6FPO7CF0pgZBmial4X2pogH7r7nfb/53NTTtO2Y + ZD6ZBEDZ8lkY6sbkDq/suuoH3okX3xgHSzXqoMoqAGXykUKGrzIqkUzSexHbAYzZQfjYDhwSkPSx + JdamqUJwzNjcXP/VX/3lW265KSUBoKohhNK+7ZyLMZ5s6SISYwwhLG7x3p+z3i5jjDHGGGOMMcac + DUqyuCxuIuWjLkeaEtlJ5aRm9aQsJMpZXE4+JpeSS5lFCTIf6smKWsXlTvtJThNQYk/wjkKd+pDG + ddNf/qK9r/zB1/yDn/7On/17L/vHr7/8Oy7rnrmaL1vl1aV6wB5tRidgRlA0mUa5Ws7VKNahYx9F + JUeOvet614nP4rNyUhawtjFmyRnSS0zchQaulsTdw48/lDmRUyHJmoioVGA4X6+/eSp2WkGMi6gP + 1RhjjDHGGGOMMcaYYyhYySs8AFK4WXuZA3ko4AWj0ff96ce3BsMYqsFgEPuez3Kd89SpYzBc5WsI + lj2atcO7J2N4h2kHgAL6nJiViM5TeQRjjDHGGGOMMcYYY4wxxhhzQaqqCkCpOFzXtaou6vGVkp0l + KjKEsNNGvZudTIFS9YoUs7k8In/wxrfuTnTp6upmhEI5MCBZ0tleGZnVXoMDtiVbAwAItlkbY8yF + rnx7GQwGy8vLtE1d1977kmZdapTnnJltUI0xxhhjjDHGGGOMMeasI6JDhw4lkUWle+c9Tt7fWrpi + Zx2yqiIiwOc+97m6rn0Vuq4rDaEiAiainRaaIUTEzKUN1jkXY6+qb3/btw2boULLi6CqBAR2eg46 + 6JS/8jePfOyOO8pvXIKXiABUofrmN7/5R971rqXhUFNGkhwTnXKNTv3Xc4mIlND3fV3Xg8Hg/e9/ + PwFy8tUrmeh93wNgJgVe97rXLQ1HwXnNQgrvvfe+pBcf035u2USGiJxzZcMoPxcRj1tbW+U+ZTzJ + YDAoY06MMWZHCSGUUKKu65xzzrm2bQGUTuSu68oXmK7rMB87Z4wxAMqYk8Wvgyj40oPjT392F5Q1 + E4McCJAMJs6ZnIMIKviW6X5J13/LW/swoOFqF7NzDuQzcdJEpKTwzAk6rcNhz9e99a1rwfUc/hax + iMwsxAAho3EO3XSpGz96+x2DpAPftCmzZzCkj4HYvto/jQnNzt2cwAkDrCghuAxwKZ1Ps1hrRIfe + cZ+Q64G/5ab9AT0RORdn2epHnRIuToQJRzYhnT0cA0wgiJR9JZcC/QLXto/fdfcoaZ0QVEkySJmU + RHmWgn1kUQIIoKq+Cp3mioDx1vrnPx8mXR1qAArKjLxIDABOK9MaQGJsVh7PuibCOV9ljx5JVT0H + JVZiAAxxKk4BsFx8xZq8921qs3Ma6r7v/dLo8LB58tLdf7O88vjyyqPD6lGmdUUEU8Ux9zjL9bUu + QiU2fp5ATwIWKjHTTMJQZiFW9tmTcgihTR1XHj48Oek2wqC99NIXvOsH+EU33h/bZnW1lZQ1A0AC + OZ+JdZ5BX0bPK0MIBGGUo0O5zKI7iCAQYjiH6TQvZ72q7Q/84a0HPvDhS7JbFZCqEIdqyCDk04uZ + N+ZcImVE7HUDPHno7t/69ZX9TyxtjYfMmvL8swxHtnygc/5hSXte9Q3Lb3vr48GnwXI7blcHQ3Rt + Cb2GBmhwwqQiNv3EmAsEM4tI27aTyaSqqt27dx84cOBXfuVXrr32GuccEcUYh8MhgJzzKeZLOue8 + 9ymllZWVGKOIqKrNRzDGGGOMMcYYYy5oQiyE0qFWGtBZGWBWdgqeXQQQ1lljOCmRQgEl5MWFdZ6N + LQxhwClYhRRNqEaDQQg+59j3PbJ4DoN6NODVoa5U3UrYWN6Trvz6q179Pa/64f/1h/7V93zTDz9n + 6ZZq4zLeWBnGZhnVUGtqIS20F4ZzjohVVYlmxXwACLJqLt03pAiByYMDhZrBmMZumtrMuRk1VeP7 + 3LMDEXUx9jEePU5GAF305Vn/8nmnqjutwJc1hxljjDHGGGOMMcaYi5cAHRDLtSxQITCUAWgFCYph + g8HoMWg/qA6Px5Wvzu76ECQA3iHlvp0ERW7RDGjXgDCeQBwmAMBOBZnYMq2NMcYYY4wxxhhjjDHG + GGPMaSg1rJ1zIYSu65aWlgAsSnbmnEsMJICdVx7d7FBapq2Uij2zynOCjNXEg3Ear60vBZCjrhfM + 61yfVawoBbi8zpKtZ2u5bYVnSGCFhowx5kKjqs65GON0OvXel28sVVX1fe+97/tSgtX1fX8OPnSM + McYYY4wxxhhjjDGmGI/HAEQEmAUqnwoRiKAK5sV/PfDAAw889GCpvB9zYubS/rnTqpUBiDkNBoO+ + 75nZgWLXO9Bll132pje9AUevsKqei9mPjj/4wQ9OunbxoIu/7Nm18kvv/n8g6tmpCLIEdgAWcV9H + X+iEl3PwDLaRRWpUiTYXkcFg8Mhjj37ik5/Mx99dZ5NhARDg2RFm+WGe6J3f/Y6+7/u+F5mFkTOz + quacrQndnEzZ8Bb5jqpaVVW5fbFz2fZjjNmBYowhhJxz0zQAFlcA9H1f17WI5JzrugbgvT+f62qM + 2THKF57F1x4RCV03/aPbLzu4Nog9oMpICu+gUPiqSyKZKiJ4Pixdvv7a5lUvXxfSRI5IVaOoECsr + O9UuVqEZUz5c0aG68jdcv/rcZ8dBnU4zeFoJKsRZvZYv+3DAsqOvfOK/4StPOEWv2pO6yknsPdRi + R5/2yhmfEwCsxJk4MwNgZQJoXl5/6hEdkAhc4arL3VWX9A4iOQKRSrZtqeA/y/skMM3irmmWiQtA + eRFrnQUEsMIzCADlQHL/579AUULOQWept7MTinnANimUZkX/leAcC5CYK+fw+buWD60Nk4MQgYUQ + mSNDaJb76/RI3vZTkZirvXuwezeHCqo9aWQw+5xU4TKxkgLqVJzK/LW8iCggJBJc69xmjp4dAxsp + jX094bp3w4iqj2BqvGtCXdnR5MwjEOAUTuGEACiRzqKuPeCg5IXrxE3iOvHm1iQ7Yu9BbmlldUtw + uB50z7z6xn/0Yw/vGz3ejUdNzQB59IRxzokpMpedulzKdVY4lSDiVAhSbheCImUAtc+EgYPv8yj2 + V7Td47/zEfzhx3dtdtRFrurkuI8naJEyZgdRJqmbcZr8hw/ET/zpHoIoGqYKgELmDaiZkRkKjAO1 + N157xd/9gXEIEzeYgEJTbx7av7uugnDIVUjBZy8EYQUEJOf7GRpjjnGCwpsi4pzzgZtBNZ2Oc45L + S8M9l+x697vfvbS0VE76JpNJCOHUjUI555SSc67ruqZpmPnUMdjGGGOMMcYYY4zZ4aQ0kyOoBkGA + eqgHPCuT8mzgKIQgjEzIoAjqlaKSCEHgBSEjZHKZnMwaJYQgpOJUSDkLtRGTLvV9JnLDahDI5zZR + zNpF6cUpew5MFaGu8mq1dvmrrnnHP/z2n/sn3/tv3/XKf/pN+95xeXwhDu1ZdvuY6g6pddM+dL1P + vVNxIEUo3QkCKqstzMokJBkpapclAZlJPMM7IY0S235KDuyJiEIIVVMvBqYCp9cHZ84N1R1U5uvi + 6kY1xhhjjDHGGGOMMebECLPBajorXR4hybmOHHz4lltvP8SOqxqSyt0FPLucpKFP5sO4T3c9mFzs + c/BhQIEVdYVuqhhv/s6b34zxBAFCyJoZUHJZbdirMcYYY4wxxpgdgc7yT2OMMcYYY8wZwcze+5xz + jNF7v7W1BYCISh3PEgM5K7ZuzOnZfvbGUFS5rSWO6gagaSt15cixyDmobMUASrev0/JLKdbHi2vz + 9WU76TTGmAtOyXQpgS4ppXJL3/cARKSqqpwzgKqqYozneV2NMcYYY4wxxhhjjDEXAQFSSpuTMQN9 + nwAQUU7p+HvOCsERoApVAG5ePb+qfBK59dZbtyaTZjjy3s8ijZNCdloBOdYsfZeapsk59zmNRqMY + O4npO77t7QzklAgEQIGkome5P06APsXf+HfvA0rMCHJKzAzRiulf/oufWV5ebppqOp0ys6tCl756 + 0/EOqdmXc/behxC2trbuvPPOzcm4PEHFSTr0iWJO5W9Zdc/qrle+4hVIufLOe6+qbdtGya4K2zOJ + t+c/lDCzcx3kbXYMZgaQUgohlPjzEm5demFK0GPZlnZO/URjjFkIIfR975xLKalquYJ5J3IZDldC + iQCUPmVjzMWCZBEjilkdGBZiIiJWYgVA5Lxg1LduOnnwto/tE1DXKasCbYSyU2iOsWLvQAhukvpx + E57xilfIrkvCyu7xeDocjACSDCLnmVXVV6GNvThyTaXBR/LPetMbHx017ewskAF3TDloJWTizLM4 + 0tn9yIsKVBwIQJszj3zanOLhh3HPvX468Y4RJXAgRzjZyYJ5OlEGjqpltP0cVnEkqRpA8K4DsLI8 + vP6GGKqoqKuaqGwqx2wtMr8ocGyCJxFlhQoYcMQARFCpTr90P9rOZSHHLOSUSVlVyzZMOl8QSVlP + Rxy7TplqYPIXn90Xddlxl9vF4wixElAiBE7z3DwymquvwbAhZo09JDEzO9enOH9NaLHMizOyedq1 + oa6UKaU0Gi3FXokrUVZ4IYZjF7x3dYwpxqjZht6dFfOEjBPlhis7YSfMClaMhkPn3Ga71Uk/nU6b + 4dJ6kiebGtc98w0//VMHV1ef6KPUVc7ISCEwoCWxPhPKZZZQT/MHPe4RHaPtEzNrRgVQiqs57ju0 + /oX3/jIe+vJlXVvnvm2nnj2zFzBICCUeGwCEWOABT8oEUEn/tQDg02QtLCckdGTeh9AskVpm2fCl + pF8mCIBM7AWXtT2+cNd9/+lDV/W97/vaIXcx0FEvrwA980Ydnhg1t/zw9+ve3ZPhiIfLkz66wMuj + YWw7APaeGHMhOPFnTc6ZmafTaV3XMcaqqnIfX/Sim97znv/XufJdEDHGdKL+u2MfQKT8JKIyZ+HM + PgFjjDHGGGOMMcacU8qlfw1AGS95VJv5vFm7dGxR6SwjWXTGafmv2UKOdO/yvI1C2XGoQqjZBRUS + EVUlVWQJ3tc+sLJmhUAV2tMK7RmMl+ngcNfkipc+441/57X/44+97X9511t+8lmjF17urh2lXXU/ + DGngY/DJu+xoW98fCbEyAwCnJM55XzklZAWIiChJjpLJsXPOOadZRBNUUz/r9ykNrGWBAgCsFmFs + juPP9woYY4wxxhhjjDHGGHPGqJ7e1AEHcRAFZ2YwXGkKFpRrCkAUzqNefrJZ3tdG7TswCTgTlUkU + DAaEZo9bCi6wUGluFgBCpzGfgYUh5Mgj90pZGEmBCssJN61v4fAamlUh74TZkcBlslLrxhhjjDHG + GGPOlXL+qQzAOUekOaUQSERzhiNkBQOCE/9UAivyif7qACGQnvR/BfAeOWNx3l/mf53wVyIwoxQa + Ipr96W+dyuccqer2fy/LXNyymIl2fJtEGfl16odmPvEddNvCF0te3Plrf14nc7KJdduf3eLR56U1 + j13P45e5WOwZWeFTvOY7zWKm4qLNqtxSfiWi7W1Zx995+59O2Op18pmQR5e2Ofp/j1/aCR/61NMs + T9EKt31p5Xp5xNNtuDvFko9fh1M/haf+0Kd+F465vdRwfIqpnyd7EwEQ6WLh2zeV01rt8mqf+l9K + iUnMn8XX/o4sFru4vr1g5ck2Wj1J3dOT7RGnWBqOe8tO63U74eOebMk40TZwDmxfvROvwKkPwSdY + 4om3WGKeLfyEiyI6W8fckxzTv8r6nGw5J9hzPRYv2vGLOv55bbvlKa3DCdf/mMVu35jP3JHwq35Y + bN/lT7YVfdUZ9ccs8GQ76VnaLxYfItuPtE/lcU/+vOT45/4UV/6EO+BXXcip/2tRsfwYJ9sLT/Yn + ZmzfThcbIHsnOQMgZs3lNWTvfUpJBCKzEgyLWgyqGkJYvOAppaqqyp9yzs65GGMpW2y1GMzxaHbi + Via/lJsYkIq6iqc5KggNkybBUzj4fO2E5lWxCAyoCojnX7ngCAqZnbBh+89jjznHs+3fGGN2iO0n + ttu/8S5qkZdfvbdJc8YYY4wxxhhjjDHGmHOBmTc2NgQIwfUxaxZyrEd3PClOMAkw933pOu77xMBv + /Ob7fvAHf7Dtu8oHidEROSXAybbxHjuhhJxzgRR9l5g8gD5F51mgb3r965abatz2SZUAYpbSg60n + 6yE/M/74T25/+LHHygBL7zklIREPfPu3fsvbvuUtTJpzVlUX3LRtm0GT48lCC87TkMRSM3FWK3A2 + wZUUzNx1bVXVBP87H3h/BpRZVcqs10XIHeZbhZKCWUVE4YC/8999r7RtHXwZM5BVfBUA9CmCZx2j + OyTA2+woiyBYHDcqj4hSStZvbozZscqAt0U3cblSxnvzbKQQ6rrGtj5lY8zTHwmgrOKzByCuREc7 + QAdOJeUkuWkG3UR2k1uZbK7d+tFqfb3OAGsmJEIIyAkOQRA9Q1QmSfoltz4avOzV37LZ7N2MuRk1 + k64HOBA0ZyECXArc5bZWdpM0ECRweP2bvvyhD+/u10ddJpBDDWQgkhPJIEDAiUmJWcUpGCCFiCoR + QxWZ4Go4naSVgCu6rbs/8h+f94bX1m1kanSiEQmVy4JtZdnN0wrrbKRucignjzzLxxXWWWQ7AAVI + UYmQIqU+N2GaVnbd9JLpbZ9ibqXPFTGQACmlkOYnhgqkkouNI7cLEAGoSpiVYAIgpczRMOZdB/bj + /vvwkudP2KEnj6A1tzIFi1M4AasQFAonjgBkdUQkCTHt/+xf7530OUtTsfQC5ZCzEgDOBAchxeyc + l46cwOp8UL0jp6oJEuoqdr0n9N7Xz71BqmHkPCBoUl83Xeqqus6SWUHK8zJQF2nsrneVpByggant + IsF5cprVaQISqShrJ4ldgIDBF2f491l0pDJZuapOBbPUXhHWMvpdSZIjUiAJCK52CuWckbN31Ko7 + sLR82Te87Fnf/9/f91u/7cfTXU6RYuOzKFJCwmyaonfqgBxnWRskDDgAUAFlAKpg5UAEAasD1EFy + 6leg648+8vn3/NJN/9s/Wr76ch3sqsl3054HHCVzH0NVxazCwYdh2/YVO9ZEmpRznpVZ4/mkcHMq + VObjn6KVZVtUyUVFiEv5vjqJknQBmRnKVYZ03fJosDY53AxryZhmQr00aKfN/sc/9+5/s/vw+rKy + SO4EngBmiiIAOweCptyG+onB4Blv/Wa8/BUHl0cteU2x8pxSnwF4P/uYcADA/z97bx5vSXLVd/7O + ici89773aulNvamFlm6hnQYEEkIItdgESMYCLIn5jNF8ZA9ePsazGjAyH3/GI4a/7MGDbcB4wWIZ + D5gPxhijXQ3Y4wEJbWhpqelVUq/V1V1V7717b2bEOfPHyYyb7977Xi1dVV3ddb6fW1n3ZUZGnIjM + iMybGef81Ezpntw6jnPJIMtflAEwMRSSUVfjlJI5QhJBJL/y62/96Xf/gx//8XdBQUDgkCWHGO3N + ETPb6xJzuqyqqm3b8ieA8Xg8m83Kk6UnT3nYbgbEGEXkHPIvUQVsKSLn9rzL7XF73B63x+1xe84h + QwDnZs95x+1xe55J9jjO0w6fPeQ8XWBdvBgqLz4Gj17LowYGSfcgHYCWa0PRt5Y9OXRPd0VtZe6n + CzMyMggUCaCyOiCIdo+Fg7SkGINHVMku51nY4JtvuOKmr/+uVx3bffDuhz//mXs+cc8jd27Pjkts + WrTzelciKEMbsMq4GhPQtK0SgQkMFWQBUT/1NCCp1PU4NylqmMQarURiVc0kNpPZBK2Dv1a+BFCl + xbMsVUBVmWjt3F47Xhfj/YtH6HAcx3Ecx3Ecx3Ecx3EuczIBGYzy2JgFlBksoEiMUEHzw5P6lt0x + mlZEdJ9Zv0qA8tJ04bOdpk/KSpJZFkUoJkmfvTO7/bbbXv+pT9LhKyPX0F6ZwN/iOI7jOI7jOI7j + OBcR887KOTPjZS97yS/8wj+tI9d1VCUR5JxTSjlnETEnLmY2aRnbVLaW9UVz0dbv7u6uLXfetjln + m3A/VKwp84NTSm3bppRWZQXNYDMpm971CidPngQAkEVvKMuUsu2dc7KlFV5VtaqIqEhOKafU5iwi + mYhVRdW0nLlPU+q1LNjdtlkk5awiydaIQDWDAkhUKOWmbXLKjWSAhBBAAmVFlgzRlJOKptSKrV9a + nnhiZ6VOBy11n/UhkEIld2m6QJOmV01dqmH64Zph+nVtDBCYAILKYlnyIV5eP8yNuC9FuvTDnBd2 + 7lPucF8oiLuUkpdz7tqBCaRQAnXBIbUXGt+H5bNxeH4unasHyGrut2n/Xdac5yWwoOpCjc864Np+ + cYDKJzOXqg21LUv+S5n08udUlkaRDDxDKeJ1irRk0oMrurS2Ppc/y3IodnVwEWV0MjsHcqHUt1sa + Jh5kstBMLd8PqJ/qwq2IexndA4wciqQeIFO9ZP9psz0tBwejHNT0oCJUS5Da5fEQCMM1qnldGgaE + aJiS9u51mnY7wPLTrj+31ivXO+xznuzHqsFLuzDz+v5LtG+fIukjoCxO6C7zJQnPoklv6/emX5x4 + ffceWrlffZbq1e2+tvcSyVK9iLr6pv3CCq8UbUYO69V3isV6u2APSh6Wu7TvGRVaShmKGa+VuD7X + zmh5mseg9pRNS2PCaU/jszqrV/Mvm+w8P9sMV1nKpyyH64dFnG2/tvGnDI/nMCqWUXptQUsXxP0s + OW2hxbNh2EUO2Gmp+5aU5XxWkRgjM7dtTgd0IkBERqOR3fDXdW1NxMx2ypXr6c7Ozubm5sG1cC47 + FERgc4EgGahEt4wEkCiTdm8/hc76/ek50jsXEaDgwWtVof6OhAAo+/tWx3Ecx3Ecx3Ecx3Ecx3Ec + x3Ec58lQpiamlO1V1PIL+jPL5zNfuONDH779O95wW855VNekSPN5VVWii5dflwLU+T0qMPSy1I3x + +NXf+Krb//CPQEgKwcrMkAvDr//6rzMjxtg0SZJUTCo6rsLf/fGf2BxN2vl8PKkbkTZnImqaJtCl + FYzP5McM+8IKJYQQUpNn8/nj2yc//olPAAATcj+h0fYdxqgjgkj3fhS47bbbRiEEpfxUyXU7juM4 + juM4ziUCabmJVmIFE2Q6n0/qUHO1u72zOToSdrdpd3rf7X9wTZuDqDDQawabTFodQk6ZGU3Ao5Fu + fPU34prrZvUo0xSAgjtxSgWDhTDNLVcciNG2LJirxiOHn/ud3/bYL95x/QTa1DkjghSQDOrtU7BA + 1vxiKU5SAAFRcGjenrjn7vSpT13xNV+7vXH4lMzjxmg636554+I0qvOUwN15YshwOq4uJescd3QO + zghHn//Cx0CIITepQlD0QtGLybWC9TK0gx+de39cRpGj8xb33Utf98LMiBRJKeXMzErIAK8EX1Lo + pKo1J3ngwXTs8Q2ETJKygIJ1N1Vk203XGtNbgoHLjIgCYLSk42tv2K3rVoVIgzJBiEiQzbOQkyO6 + 7AAAIABJREFUFQALFaWByxFWlIZVE65WAKlfM0zmXBi6oXwh1m50AtcQQITsnDUxe/siAAeBUDgV + WCM/6y1vvvKBh77yn95XY7RV52ZXakYAQozg2OYmpUyMsLii2Elvf2cAUCZQJ29vphFYEVluqKov + fPLTn/25X3jpu3+KsZNAVI3adj6ejGOsUkoSkEm0bQNxsGdRzEIZJOwaGGfG8HmgsxZWVirx97pb + s/F4PJ3ORuPxbDYd15MxFLPZVfPm0X/9rw7fc/8VopoyEWINykitKFBNJnk6bQHh8eMc04uef/Xb + 37I9mWxXo74owfAyOngO7+ez41zCnOkrs9l8dzKZvO1tb/3iF7/wL//le4iQJRPIXCyJyOSrLVBJ + jLFtWyIKIaSU6rpumua8axzmnGOMOWfzmLZyzyEf0yW1HEIIIYSmaeq6dnvcHrfH7XF73J7zbk/O + OYRggbO2trbKmnOw57zg9rg9zyR7HMdxnIvD3rce+z9V2Od54PDdyp7k+2VzsBkkgCqBREhjFAoy + UqBKo9SOb6w3n/W8Z9/6vG98bPbQfQ/d9Zk//+QXv3IH0vaMd0OgqgoJ7Ww2A2s9HrdtO5+1ADjS + qCJV1awg6QJqiS2FWBUUiFMfl1LBFgRNafn1n/PUsfTO4CmeMe6y1o7jOI7jOI7jOI7jOM5lzkDQ + GgChITAQk4Aq2FPjaw+99Q/e/5lXvOoKZQpCiqBKXfhzIVNTIBJCHrgnnetMNBFCyxBGnRGke7wr + O7Ojh4AqM1pohXmiKlbsstaO4ziO4ziO4zjOU4OpRL/gBS84deLxqgo5r5dTxYqKZ5H2XJL5tAyr + qlpfXuAilT1UIjRPMMutbB2WXlIerCDYpV+RhS4y0rYkVluTk5Y1KmQS1IocQ63ItsZSSoaI9B4C + y6LKIUSRLKKAEnGv8inMgXopZhFVFSI2qeyyr6W0ZQhxKWdbDtOvXaqKLe3xxvb2ztqU83nTi4Nn + S1nyN+nuXtA85SzaC0GXGpV95/Nmbf5WrtWl1G6Yf0qt5W+tYfW19CY3bgLk1pLFwtPWy2wzYXIT + KS/HxfZdKtfyLzlYfUWkaZq159XOzs5aGU6T57Rgpib3bgmG57bpuJsz5JIgrq1R1e3t2dpy6zqK + lB7Tn+RQ5kX36RVdVYHAC2lXIuoL3F/FdZCvZbf4vtyz+lCZhC6MqeiefbsclfbutZYQWFX3jDaq + qhrDQka0M83GByr1UqIuUMlaO40YeU+j9UeN96oAl8NJA2v3yKwuvpzRnM2qCjlnkUWJlsn+Krpa + SiEokUXCxfLxXbG/V9ot+Rxk1ZqtB4+ia1ceVJwsLUm77zSQolfAxj4Ci0rfp22C7J4lLXLbx0K1 + s3DZDlr+sz+dTP4WCxXnzm5dXwrtc2appEUpOkx/OrTkqQACD2SMu94kAlRVZevKWHFQQOCV9dSp + /IJ40Y9Uu66q0GV53W6E3ZvRmQQgLiq/e/ct+S86L1QB5r2a12pD9AHj0ooNdv7zUIZZSobFk1xV + +q3QdbLEndy1rMiH91uX0q+2f1ECBjDMhwaskSfv06y9gcm5XUq2lP/S7ZDlf+bC6vulHOib07Dc + nNPS7uXu7oBSVllKP7jwpeHKwfrTHJfVLau3hfs18hLlgr5f+uH6pRPjbOW3D2624RnVt/+e41uy + ZWLzWc05pySnnbBuiefzOfp7lf78F7szMT9VEdnc3DzvERkcx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ge + vlj8X5zZHIoFK4kno9FP/MRPfOdH/6TNbQAxEUKYpzaEUELmFZmZSxAi+s7v/M4P/+EfhRBSyhdH + 0xrAP/u5f/KfPvC+X33Pr/zxH/8xVFWUgb/+V3/kZS968alTp6oQm51pljQajaqqbtv26eKPaTGm + RfGxj33sgYcfwrqZGLJ3BwBQhEA3XHPNq1/9anvp/3Spr+M4juM4juOcf5QJIkBiUWKL3BIgIKUq + piy16CRUgWWUW3z280989s5n5+4uOyhYLG6MuRqxILMiBTq2sfFNt30bDk+SzkH9HF3aE+s8i4RR + TEmItI2cE0aRXvra1/7pr75nN+9GUiAHgjl/xABNYO0/RVHbXIFM+dL8IUhs6ziDjp144EO3P+dF + L98ZtbkKEYgUPQCNM4RDSG0ipkM3PTtubsxPngwoLjn9swUy75WzJigqkWN33HmVfDtJplhBIW3D + dVw7T14IIjIG1U0+fs/981M7YIZABFGV+h+4B0fP7/oFsagwMWknAQxAia+78dnzGNvcUhVN+tom + +Z+he5fjXDooIMRKEjuld5AiKithRikf2mhH1fP/yts+9+CXHv7M5+ePbV9NsKtRyC0rJKvYg0oG + MkjNmUsIAqj54LE5TffFgcRUlkmz7uzeVI0f+8Sd9/7sv3ruX/+rTxya7QQhjfNZbrRtg8qoEhGa + 7l4xPpRmrZK2DCAEYdZOnfvSfHrpPC0od0GJWQhRJKoGEQBNRhhNdtJ0PD4i8/mVoM3t7ekf/JcH + P3j7jdvTUPGOoObuboqAWPF0Op0QtQizerR9xaFb3/lDeN4Nu+T+UI5zuTAe1207b9v8rne96777 + 7v/gB2+PkVOSuq4tgIMFiDAFa1MMtbAPRNQ0jemAnqH/6ZlgSqKz2Ww8HgOwEs/NT9O0t9u2nUwm + tuYcNFPdHrfH7XF73B635wwzsdK3trZMALh73rJvPIcLi9vj9jyT7HEcx3EuQwQQTkogZlYlFqi9 + 4SXOlcyAmcZwxfXjo9e94IVf99zbpu3Je+///Gfv+sRnvvSpbXo8HEaY5Kk2TZ7FOnCqSIJmRRah + FgybyUwI6IW0RYQQwGtflwnOWUTFOa+cr6dP5wuXtXYcx3Ecx3Ecx3Ecx3Eub+x5XdEHIQhEgchA + k6GMWKGKmITHNsZXndrdojDSNvTzXzsZD4KYfkyfK+u5B4lQUqFOzIcVAgTFIa63VDDboV1GdQUo + Dm12HMdxHMdxHMdxnIuDBcVjZiKqqur48eN1ZBGhFTdOmyIzFKJeVfsbai4S2SSr9RNrUtt2+qy9 + jvWSD5jtXja1bTssoszOP1BWcI3Un0iGCqC2VDH/bqFOdhpEphlLCGxixIAQM6BECigHIibFetnF + bPrEUCIiLuZxzkm1/5OUCERCRCnNS6UAi1sJIlZdn7+eTr8QBFVFLzl8+Mjm2lQhBJMzXFVnLMdi + 6XDsVfHs6OW9V6wwLd5eILxIiTNF+67ItiQEYpUMkxgnViiLpiIrXvYd5rYkTz7MX5Elw/IvsuVD + UXPLuayxEm2pyDmpaLKZfKsMZFP3nNjlz9JupUmHnaLIVw8lPMte2su6r5JaKcLYC6XbQa80Re2y + dekIikjqWZv/9vb2aqXQT983t5a2bUv+pX20F/M2G0o/XarXqVOn1pbbqaP3lpf19r1U+YB6mVX7 + 1avUumRe2tksN/H20qTDZMUwVTVBUBqIlFv63d3ddcUSgLZtmyaltKfD7jfPkGixaaj7a82wlJh5 + IY+qB6gdDwiBisrvsFBm6gSPV6WR95GvLnLpZzRnkjq9Y1UoBAoblnM3vtlR67VaGf3xRSd7DRVZ + lAiLODVYEggkEFqSVQ4cFFn79au61yDTkR4OcYv8y/o1O65WERYY5zRphnkSgZQUmlfkewnErG3b + ruRhRlL/7HjPcql01bJcEfzuZemHf/bXPjKB+cWlsD/BDvDWWTqjjKVYu30pVATsh0Wspl/NcMmG + FZlkELENhPusX3N4dB8t87K93NeUFh7epRQ5ZHRSwdI3u57mbNh/wnE5viXz1QvN6r5nPn354HJV + 91QKsBntokpWuzOp2lqYuZ/vbrmtPRyLzFfP89K2+9lfBueyhpkPkBUfakUXh7TTuqXtd90/K1bL + Kte71TyX6lXSW2AFDM7JA8Io2PXRruaW0q5udv8WQpjP56PR6Bzq4jiO4ziO4ziO4ziO4ziO4ziO + 4ziO8wyGAQrh8OZWZFYREAuW35vTGg3rZQTYnc8fePih/+1//wfv+rs/OU/t5ngiQAi874SJS4/X + vva1uLgR1hg4srX51rf8wNve8gP33HPP7/7Ov/+1X/u1UydO/O2/9bd2Tm2POG5MNna2tyMHBuU2 + XYKxaKW3iBQ8+DPnHOsKoP/nN3+z17CziRF7dh/+xSFIyqr63d/93ZuTic4bEaHgkQcdx3Ecx3Gc + yxcFE5DZpokzKQiqkCrWbZpKxlZdz7dPVu387vd/5LoWVRbqY3yjE5YWgFNOFUeltBNR3/IivOJl + uyytNAiL2DKDQhFCSAJAKJBwxBg7rUyuv+76r/36hz7yR1cTJlAizQolZJsDrwgqSgjCINHOpUwA + hrKSgAXo/NOi8FVUPfRf/+Q5f/HBMI68cWi+u7Mx3mj3mRftXJ4IIUkejSYYj654znN2HnjoMAeV + RZQkPIlY9ayYJHnoz//8agUTqSiYNGuA5k4rt1eqBoTACiXIvN2c5y/fc18NQs5JEQKK2yVBWBld + v9ufMjceJCJESICEOLruml2rV4gqWUWYSFVZF88CzDvRWsDVrp1LGSGQclCQipKdsSxAFertZleC + POuawy/50b/y8f/jH+rJ3cOkQXdVkRRRWgYFBGVOOXPp5pTN84b7zqkkAKAsBFDnKgdCBWyONrYf + eGT7g/8VN3/10e/5lt2oXI+nWRFi4JTtGRa1jewyAcRsmXSdvXMN8h7mnBukYIUQCZhVgoIsHB8k + gbKgihu5acYhbE5n9MW77vz1f3v19s5h0pTawARCk3RMCIzUyiiiSbpdxWNXbH31D34fXv7iY9C5 + Py91nMsJUyvkgJ/92f/zbW97++c+9wVmFKfL0Wg0n89zzqZsPYwbUNxOl4I8PElijMUrvDgdn20m + KaWqqmKMFlrB7XF73B63x+1xey6oPUSUc57P58xssXfOwRicQXwGt8fteRrZ4ziO4zhPBiEW6maK + soAhAJMSBON6MokbreRm2sg0Bz40piPXPufaVz7v1Y9Mv/zZL33qY3f/8b3H7sq8yxtod1MIZBHw + mLmO45Rn7W4Tt0IgChQtVCwUqgItl7ylN2RPm8nJz3guKWFrl7V2HMdxHMdxHMdxHMdxLm+EwP2T + 1KJ9AIAIgUGEQCdyPrI5+db3/v7db3zzxrGHqwTSgWtRHw1AyLwjQJAzijaxCtlDZDAgAtnz8jTr + 8eP/8Y3f+6YPfhB1g3oMwrxtR6PqSVTecRzHcRzHcRzHcc4ac9ACxLywtra2dnZOhcAYyOwVqqr7 + 3bokpDeUkzyTCIY2UR4ron1LWoP2hYiG8slD+cP9yjI5aoCXlsxL+ZjMcA6BVAVQkVzEFy29ajZN + a1UQdVKX+8tpC3WS0jpsk9jJhA80VlWhGMUw2Ff7pxLnKB5pdAelE4JdP8OMBFANUNAeTfGUUicI + SYRe65SIimyw1Z8BJigT7Zd/tzP3EWO65zMpNURKFAgCKJMygwitZmQBqYoQBYaAGIDmdukIEhgq + yhjKk5dl6nTKGfYwBxYJRpq2IVLmSKRdPgQizbmFCFHolt3DG91PVnyta4e1np0SzFSUrJm5bdte + ptTa1RqCRPY48pvAsO24ttwQKuwVVO6L46HWcjnlTLZcB/rZJjt98OT71bNORJYkz20ef/HbHCpx + lsn9w05tJh0gEz6s1HD9fvUq360sk+c8IH9LUPK03Gwcs+/DhlrS5C5LG3+WRqdy0FchWPt3wrom + /J1zmk5na2WJU8q9OHhWRS+RqyFEQEW0bZumadu2sfVkPQsm/Kwl/5xlbf6nTm33wrTSKwQTEcq+ + KbX2fe/xhLVQzsm2ppSL0C9QxG5ld3e6tty2TcW2Yc6lXim183kzrJeVaKXkbLLjRbZ8eTzf3Z2p + ZlWypfVuIhWBSMpZc25zVpFk6UXQC+tmW19SlpEB4JLnzs5s7fHFXmHmoUr0WkxWvAweRXC6SEov + lMUHg3fZuriS6CCS1mAZw1DKelguVGHS4P2Z2X36DBd7KbQ/v7v4WZ2WcylqTb32ZI5BKXtQu9xp + WU/DKq+m35ts1YbY12uQv/SXrIHsunba1cP8+616QLlL7VwOhH1fmN2fA51a8Kr9+xTQld2Lrpce + 0x37brmnPxHDep7KYo3k1T53RkvLjRgWnyancs7tSZNzXtgz2Eo4KP9iYVmK2LsVWVu7Yb367woC + QfeItu9Xbp+k+JgZB/hhro7eB99x2fVl6VJ1gIz0wUHAVruq3ZeWy9NgfXcfbjcl6K5BwsyquSQs + td5b+vK9melYDyWurRFSSqPRyC6jbduWm3zHcRzHcRzHcRzHcRzHcRzHcRzHcRxHRI4cOVLe2NLp + 3i+vvqstHo0ppZ/7+V94wxu+/bWv+aYWMp1NN8cTmKjMgOGfi2kWTwU0mOcRQrjlBTc/68qrHn38 + +MW0IYJmuztHjhx5/nNu+hv//Y/8nf/pf77v7nuuPnIFgBjCbLpbhRBCkCyacqgruaRVdZh76ziS + qj5x4sQHPvCByKGRDAAhIA2nOpTZSCBmsclvih94y/fPZrMxB17k5ziO4ziO4ziXJzGTgDKQodwr + VSPNm8AVUdXMpkdTi3vuffxjn35BolEWQEh5eLOtkKyIVWxzOsXxpm/7Dlx11ZRIKan5f6ndeUuZ + TU0xNG0TAnEI05wndbWdZlcFvuGNb/zoRz999IndESs4JUGo0LQYAQSw2sRxEjBI0M33FSB0bg7d + vGgmkaPgk196EH94+9Fb/vJxSSb/SOo/AZwOIagKYkikyO01z3vugx/9042cVBYPEpQgCpO5xYpA + +8GwyqjNzbHjmM2jSIPMxIGZtMu/k81W6xgsBAVV0GqeT/75vVcSZckclv0r9v4l6J+B9ELvZnf3 + u5iJRUWJGpWwtYmtjQaSQohMIjCvQ1LrXHueYDjOpY+duqSw8b/lrk+FRg6Ntx4/dWzz6KHJ867/ + ur/9I5/9mV989K77riKqowojZXBSJsqIrUpNLCSMTL1jTpDeB627ZknvpgYGSFFHnm0fv6E6dPL4 + yf/3H//8a2658YYXPf/LM2rHm6PxOGXo7k5dV9iop/PZKFRBpMpRKDYBSqiyuB6U82RQ6m7CgiIo + BxUhJJZMXMWNdpZG1URFcrtDOyeO/7t/t/lnnzmqwikxsFVNGs2iLUizIEbME8J442FG8w0vmXzf + d0wPHW1CpVjvX+w4zjOPnFtAtrYO7e7O6rr+F//il77/+3/gwQcftVAWot3rvJwtWgibz6ZFGxiG + WXgycTyGEFFxC7XwBacN5rCWEsfAnECJqK5r87F3e9wet8ftcXvcnvNuT4niVQL1pJSGQb0uMm6P + 2/NMssdxHMe5LGFB1C50nWmagBWAMpOkrC1UtULNzKqklKfTE1yH66oXX3/zLbe9+C88uvPQp+/5 + 08986ZN3HrujqaZtmIKySsYcY1SH6yOUWROJIKDIWusiLJi9gPA3ypcSq0E1Vc/yxe35xm+PHMdx + HMdxHMdxHMdxnMuYzmOB0c9nBSGi168INNtpRofqKhxCegJHDt0paYsDgMwAutnY3W7ohIZY8WQc + fczJiLsp4JwJQQVAlva6zcMP3vslPL6Na69EBWXEUVWCVjiO4ziO4ziO4zjOxWE+n9sXEZlMJru7 + uyklkU7DtQj0Wpoib1xUYIvwHgZOXEPlv7WFLk2LXyuLazK0S7LKZ5a/mP70quJiSg0REZmGN6hT + m445JyICcr8+EBEQcs59UEoFcpkmtJ+3Wj/LmbDXpS3ndtFo3IvK9uux4v+2n0zvad3kzNrSKvul + zzJsf1LtZkFVdex3lKL4i969QXupXuq1bUXWuzeoLIQVqXvakomorks+5gGoIg0RjUZ1rzHMpjUO + WM7a57DnOJKKEPqYMotlCASYAiX32paNqo7HoyKTLCK9Di5CYNWiECx9zQLtM0dvKDnZtzb6xrAE + 2drNtpp8+1JjYuX4EnW61zmvlwkfymT257wCaNt2qMfcy6ySSFpSayaCyUCvzb3svtSzhsd9UJFe + 4nUg70pEC5VRmGKoSY1ayvXnSdu2eyvVkVIa1ssyIaKc29K2veS2HlAvVQU0BMuErJ2Z2caBYnwZ + aYb9pW9MRifHvqyBWsardQhgjygVCg4AmEOo68MggfLSMnAlmiRDNEGZWG29ChErIZSUhFC2lpRl + 38DVfvkrMpRtWfYt5SqyCimylVXFUUlv6yUDJDHUZV8VMgvLcrXcGOq19YIyB1hZtm+pV7GBEGzf + nHOvtLpmSFeVsizrq6q2jl6Euk0IPMaq7KWKIiJuMtureTKHteK9IlryVIUJiovktk1r08/nzVC2 + fKksk/dOKZt8OHrZb7PNRMfX7luWJ0+e2nsRXmw1aXDLoYiaWzuYYLltFdEipl7qZULm57FeJWdV + sfWW/9ojC+j29k45FoP8AUBEUkrmYleGZeukpmTfKaLnbMNgEfE1DWDbOp+vH5dihAiGg/3aC+mS + PvHqzch+l2siaD9WLhSj1y27eGiWFWGhhI1ePXqQZmmvtbmJAIQuMFru9uPQWau6JofV3LRYv3dJ + B9ZiEc2tlwnPufteyi0HedGYtDjspe57lgCzHa/9rp7rWYQdJwDLgz8RA0IUAFnrxnngzZhZYmHv + 1i+JApHarYgIVtMwW432rLfbFbPHNKrtwlz0qvejruumaSzNaDSaz+fF99Wu8nZPUlXVkuC34ziO + 4ziO4ziO4ziO4ziO4ziO4zjO5UzTNFddceViQkb34pvOSj1ZAQokWQH8jb/5Nz/0wfdfceToZHOj + adq6m5dyiWKz55ggKdd1feutt773wx8ie8N+4V8skyKAKnCzM52MRhQFKb/g+c+fTqdVVbVtO5/O + Njc329k8xjgej2dtw8xPFyGredv+ycc+utM0ZcoL1k57MKkw5pylinzTdTe84hWvsKkvRKSX8Mnj + OI7jOI7jOBcYFlA/xbifcEvCKkRRVFtGyGlSjx740IfHxx+fpBy6qb97b7wJAWG3TbuR9PobnvWa + b3qIA0aVpga96PQSqppzruuRqja5YWZUcTvlQ6/4mvqFt8w+9hnVuflqERcJbenFhQF0+rvSR7AR + QMm+MCsxiOez60J150c+cst/85ZYYTTemLfJVRqdITnn0WjUzJpEVN90U7sxaeenaPi84kn8XgyK + WjLvTnHseH3VFQ1LggZm9P4LFsppT29imoSAkzu7d913ddMCGiPaFvt5W+3H4AkMAUjQJtDkqisR + Y0OEQAlattLe5zPyNHkg4DikDEBJAMkEIQgJK3NWzRhvHn48z3c2xzd+7Ste/Pa/9PGf/yV9bOdK + zRWD2K4dwoqASki58zXqNO1Jljud9YtKQEBSsMi4rppm91DSG9H+4bt/5nU//fevf8GLviJp59TJ + ajyqeSxNU02iRsqSGDFkc2MhgSpB/GmU8+RQAqlyr62iBCEoUZIcY8xNeyVXm9N8/AO3P3r7Hz0n + tVGVgApEIEk5dJ7ByAk0Cl+SvPucm77+v/tvT117zS6PJFTiJ6jjXDaYm/B8Pp/Pp1tbh48ePfpL + v/RL73znOx955Ph4XE9nTdM09jLFPDGZOcbYNM1oNDLXYwsmcL78KJnZCjL1xLZtq6o6ZyXFYlVV + VeYHerZ2uj1uj9vj9rg9bs+ZYM9hcs4WZGA+n49Go3NQ2j5f11O3x+25FOxxHMdxnCcBh1x1j+sh + fYwwURICoMxKxBRABIZwBrYmVypabUVnrWL0rDh6/Quve83Lv/Xh2YMfv+tP/uyuTx7ffiihybmB + iKQYNitkQKCWJZGSEgkAVhaIEmHPi4Kzi8TlXAhOGy32IuOy1o7jOI7jOI7jOI7jOM7ljKnc9H8R + oBIJCrQ5xRBHh+qs4KygMQ7pQ0cP7W7vCuZtSAAqQShCFEqMfkYsAECxEGQ6c4QFQFD0ckykYCKh + EEapeWkIH37dG97wmTu2T+bxFRsJMjprBw3HcRzHcRzHcRzHOXeKGJ5Nz805S86bm5u2shfiVdPk + xbLMrUjv7lmm867MpFk/jbgTfy6TjLuwAtxn3gkDy4rcdbdLv5/qPjK9vL7cWFUL+0WKwfWwXiLa + F13cAEp8hIMnRqe27RWC9ySrY1WUgUsNhzUi5uEu+wo0nnai0qJlTFR4n+cMg7LMAc90KlOzkEkO + xKDOwk6q2QSN+9iUqsr7tAbx8Ewojaapl2EGEJgAMvHy+Wy3GENE3Mul9+2gxU6YDzPR2sAsbTMr + 9jMRcSfm3M6nC5V0oNsEqIp2muV7olroPn7Mpb7dLv0fZmexvNhg/YiA2K+0rUXOeQ+q8XTT4vsD + 1RlQhVKnoSI1FGrapaaI2ofA2ffkCRy63amc5AogNU2pCwHU16ycn4ujrHv6fvnWB3Tdpz9GxqBz + DXY3aXalodqoogqMhWa8wqo5kIhdaa/+sZ6q5lwShaFsaldgJ6Vaiiv6wICVO6Sr1vqJg8ohsIm2 + a84KgWjg2LWbDVl7l5pbggTiQMRsquoMUM59NWEu+woIRERyJ7mqShQiQXtB94F1i6VKoi7k0aBc + kZzFwiQxKQUmCkQBoJSaTngVwtbVIhNRzqmLoSS9OCsYKoHj+nJzC80MZgIH7usFkUELKEGl60UC + IgUJkSqESZk5EKuYYuuyNGwIlXYquBjKIadm3knDkhKHIlKbU2vriZTAkS1PO9aDnKnkqViRmwUk + xjhco8FUddPWxsY+6WsTr1XNS1utTVSzKpU19t2Eb21rWa7NP4QKkJJDSckcLWfVbAK9lmdKUiR7 + bauVa3Yu6qXZ6hVjvV+5IqnkUGxgjiWHYb2WliV9SbO0tHxW0vTXrD06xP2VdO8QbZgLeklp9y4i + Yrc9qzRNY5LYw4FORKqqKprZQ0XtEILZk3tMb3ht5gKcOnVqddArpaRe8bu77Pa3Z6bG3batbVrK + dpjhqVOn1hY9tK2kt/urYblWL1U1keOhVcM2WeXkyZOrjW/lDrXGLXNVret6bb1yzsMQg4FPAAAg + AElEQVRLRrF2d3d3XbFk+7at6UODuRzl9XbGyDmL9pdF5mWDod29pSJjcRUr9uzXAEvI0jIGziLd + XatmAAxGJ1/dX7FLekUgFgUg1I9wlnIyGU2n85xSXYW2zVh/x7jHzaBpGgAhBIvXsLW1tb29zcyz + 2cxiMaA/zc6X75/jOI7jOI7jOI7jOI7jOI7jOI7jOM4zgCqE6667zr6XaQpEdEbvjXtHRAApKwGj + GB549JE3v+X7P/i+9yvxuK40SXkb3We6Pu8u2t0Fjm+2Xyk5Zya87nWve++HP2Sz6zgEETnzN+jn + Rts0h7a2ptMpVOuq2j55anNzs44VVOsY60OHiKjtZ/1dguFo99PYTiJZ5Td/67eYkBRMnKGlMVfb + VLMQwFnf/D3fO65HkSinxBdBWtxxHMdxHMdxLlWk8/thViGIKoRM3BpVCLMmNUGORsJd9z98+3++ + njRK1s41ZZGJ3bEz8kzpsfHkOa9/vTzr2lNVVUnq4n8DSiIAa/dbgxQQU/RlkQyOSTWEuFvLoSNH + bvrW15/6szvzbKcBuAJEI5VbfJsGz4CQQglqnhiqDOndYgjKgZByOhzCfffds/2nH7/iNa/Ko80p + uNfCdhyg94/IUNQ1nn3DrK41sEozjLHE5h+mONszh1WrLKOcTnzlgfqW53KsG2krZk3KvFCPJgWD + BawkYApZ8ODDeOTYSJUISVdLtfn5jC6aP9ALmsK8pzqHNigEygQCU1vxoWuvRaDExCGkLEpgIoYJ + mzrO04wiKJEJmZEJAKJIEATmlBLqqknNxujw47snrnjj6699+Cs7/+F3N48dQ9uMCBqQRVibyCEX + l9a+Rw0dqVmtc3EZAWJESmiatuIQghxJs+u//PAXf+6fv/Anf+z659z0cAMgxNHk5MlWWQJIWTOJ + BIIKKQcgE4iEvec5544IdQO+EhIhMykClHMWhY7GFR49Fu649+5//RtfNZtWUAK4hrac0pyQa6ok + S2So4Bj44WuOvvJH3iHPe94TYTQZHzp54mS1UT/VdXQc5yIhKW1MJs08HT10eGc2r+r44he/6N3v + fveP/uj/MJs1k9F4Np/1HpfEzKq5aZoY43w+BxBCyDmbU+15sSfnPBqNVNUCklRVNZ1OJ5PJOWRF + RE3T1HWdc26axkx1e9wet8ftcXvcngthj1HXddu2IYRz1gDeL6SG2+P2PB3tcRzHcZxzhpVJutfB + SgAElG2Zcg4hcGAQcrYwlczM2sasUJZQhcgsyjyPVbPxgnj9V9380u++ZfeR7Qe/8NBnP3v/p+5/ + 7O48RRU3A0XIIvRVCRHZ/bnyKty5dKBL492my1o7juM4juM4juM4juM4lzcMm3FtPg3ota5iqFtR + ZlLFOFQAENI7Pvi+T37Nq66ZTgENyOgfvIrtLczINHCasDmyZ07nFkUaBFCIqWsoQBBNNJejVby6 + nWM23brmagWya1o7juM4juM4juM4F5eUkjlfiUiMsW3byag216whRdnWZrQX/UjuxZiLDGGRxFuS + v13LqrJjEegdaOnC8h/uUr7sp8C3r6LkwJ5icKnXsKa2NaW0WkTRV14lhDCU1SzJcs7FYOo1m5fs + GSpN7levs1UcPHgatxU0TLNkP/a28/Dgnln53MumdhKqJr9aBFN7sdJcVaMl+VLbOpQ4VTXRYYKK + EoMEurys4si+KzKURbOtj6EmVvuuQrbe1nQxL1htqUIgOdv2L/Ko1lwiMjzQZSUGZ/KwSYvk+cEz + 44fKrKv9y3Iooq0lzdJe++W8R1W6/25eMUVEtqh3657ZjQe1T6ng2nJlIC2/auFqbm3bDrvPwYPA + 2nxsyDK58dX6DncZ7rU0jp2mRJKUlIiYO6lpVajmQSHLcqeqdlZkIhJJAERaVe3lbLMdSYBMEjVG + VqX+rEmDRl7OuUSgIKK1W/uKWqdT1dyXy52wa2+bKvqUWpZE6HWUASwvS3pTlS759zLDe9KodjLn + wxYjWoisLxS++0/ObZFn7iWodVAp9A1jSro5hGrYUKplL15tHOtJaxtNJBUpaCudmZl5v/RNMyvS + zsxWHe2bt9jMtr6vMlTFPp2uLS1Xv/9050x/GsvSuQRoOfqDVmXqYpTAZpxa+tI4ZkYICKEaHvHh + MqWmnF1EILLT1Qb8PWeIDYd9f7e+IP1paVVbk//S4ejXg4jX9kG7D1kdlIbrzYb+eK0flzY2NkyG + 2UbU4TA+/FLGWLtPKLdDZTzfd6Doi14ywEwqataWoeVfDC45r7rNl9z2u46YU6LVq1i7untpq9Ju + JaXZtl/+w9F+6b6iWD5st7ZtrV4lZ6vX0sB+2utjuUJZpXLO8/m8aZr9jm8vg92aN1q5aFZVlXNu + 27Zt2yK/vWRJETVfuvgO8z95cr2suFW5aRpTFl9t/yLvXcodNouImG3z+fyDH/zwffd9KedMIO06 + xb73pehPeJMVjzFub2/bLuPxeJi/++Y5juM4juM4juM4juM4juM4juM4juMMGY/H11xzTSQWFZvq + sV5KWHFaiWEF5ikzcPe993zPm9/0e7/3ezFGInCf5ZK+2qUDKUaxSqS33nprZG5t8pguQuNdwKKJ + 2rYNxKlpiejw4cPMPJvNiLq5eSJSjUc55zSdTjYmTWovtElPHkHn8/re97+vtSZkggiHIGldpGmF + qtSBkeXNb/qe3DaxqolIZf95KY7jOI7jOI5zGaBgUCYFq7kndeK47byJVZRAGynLf/4v1Ze+fEUM + Os0MFmImsV9eCxFQQCaj40eOfvW3f9dDkmljMj1xfHM0ElUliIL7lKYTrESRWLNIyuOqzjkLdB7C + 4xVd+bpvPv7rvzHbOQnJFUMa5YGeaD8TnRlZejcAmD3aWQJIVglM2syP1Hz/Rz78kltf8WjY5clG + 76/hOADAzG3bxhhzSPGaa7ehVEdpmmBq08rC567PR0CA1KKzRx89miSOkVIC6u6phbKSkMm7D8jT + KR586NC8GQeShHmDuoa0CAsvpz39br9nIL0PiRI4E6cYN48eUaJMIKKsAiAQs5KoLBkh/ivZeTpA + KkrILEoCgIFKwAIQZ6YmtcRVKwiHDj1RNTf98A997s67Tn3uDnnwgTFJiEjm6QNhMvneNec9qwnP + d5cXIQGAhBjAhJwz2umRum6eOHnsU5/58/f86s3vfMdVV13zyM6OjhCp2gh1k3dbSGYhCealazrE + 1otd2do5N4SgJNDuEpCYE0GIoRwrrlMe7zxxeDb90i//ypH7H5rQPAa0LUiRNDNojIgqNvN2FGhO + fHK0cc0bvjW+6pWnDh2ZJ5me2N7aOtzkBnR+FGodx7nEqet6d3e3iqOdnZ3Nw0e2t7eZ9LbbXv9j + P/a//vRP/8xsPkPvnNuLRWld103TABiNRvP5vKqqtj1vL5WIaD6f23srW04mk4P8i/fBnGTNwrLG + 7XF73B63x+1xey6cPQBms9l4PD6H3c87bs/BuD0Hc6nZ4ziO41yGkErQ1AXJhAoJ0L8IqFhUsmYC + USCLswVQlhyrqBRzbjQrI1YYUQoylUl9eBzSeHTkuhc97xte/i33HrvrK/d+RR6K4zBJWUiI7JUa + QYkV/h7ZOVNc1tpxHMdxHMdxHMdxHMe5jOnVOAJg0ijcT8QmRc2UAerkkCpMNtG2jx869NjuzpF5 + 2oxh3uQYAQaUOHe62AAAtQnW5zDNWki5M4CqwY6q4JpmqYl5B80cu1OKVWCGK1s7jvOUYhJrJjlT + Jjw1TVPX9TBZSsnkzRzHcRzHcZxnAGWeusndFYHqtSlXdfiWZP9WN52W1X2H2pAHp9kX3Uf+cE+a + YioIYbhSFX3YwJX7XrWojPuWvkeke4/BiwSDNLx3L1re7Vw5k0yW0tifQ/vLt6UqD61dS5deGQQC + g7rvKgQiKIF4uF5yp35b1uy3tL0UDAVWlgqCkgWNsRiQIO5iyIig29qvV1n6riJ9bgfUa03dl9YT + ynmyd+Weffa0JNm/A3vM4AB0+xLCoCDrNgDAxMCac/XAHrnmaFp67bYWbc9lSxb7r+R/2lNlr317 + 0q/uG5iHhRZ7DmbYCJIBqLXbsgG6tMswQdjz9+lKJJb9T5Z16VcalANKSBZaaQxRse80MP10XX6N + 0Xty7jMkoJuuegZjyMGHtzR8SWb5m07zch6ExTTZQdUWR08BkuGSwCCFyrr1AoVCoGpriKGaQYJ+ + 68FLi3C131ZitZy1W6MH5MzMvVKzdjZY+n3KJVVACDyQu14vmL1yNNccnFW6kWLvdou9vOe4lEvk + Qfn0e+8Z8ZZ70HDr0k3EfkauZnLa9SY/vZRnL8euB5i3hMl1Ey1k0YdbV2vdy14PpesHw/EKXf/F + csex9bSIrmRBsW00kL29XkVlaffFPcY+081TzliMLcsNtadeQJ9yTyDq3rb1MT6Go8HwKIumgeVd + /qoIkQA1a/ucVZH3DBr9jqcpl7oBRBXEGE/q8aRem/hisM/95/mCmd/+9re/4x3vePjhRwRKZBc2 + DaGyh7omcU1EMUYLryAiRYc7pdSZqVpVlTm+2vrhs9+2bauqOuAm3HGeEhZDeT+448D7Z9Nrt6W9 + zsg5L734sGybphmNRhfWesdxHOfMCCGklIioxOmwcdvuTyxNWfnUmuo4juM4juM4juM4juM4zjOe + 2e70a7/m1irGpm0icyOZuJ9ddvrZQwC6d+gxxJQSEUQhop+9447vedP3/tovv+e5N95Q1XUznTFz + XdfT3d3RaCQiZI9Au/fomlICU13X62WPzzdq7/21WyohpaRML3vJS1UEgMX65xgkX1h7iKAq6CfB + JUkQcOwnWwIUOKuAiat4SWpak6qORvXu7m4IIYSQJGeRajT67d/93dm8tflAEIlVldoW/XwOYDBX + yv7PcuO1133jK7+BRRhgDm1u/Tm5cyYM35uravlumwDEaANUN03KzyvHcS5BbP5bjHE4gjFzztkE + imz48kHsGcO5KXA4lyE21Z4Hji1d+JcYQqRqPh038zt+/wM3KmF3lwlJJXJkUhElASGIZgCRsUt0 + zatfg+tvoM3N1MzqUNNy+O8ixQtKUnFQ0ZqDJBOuRiY+FfSKK6+88Ztf/fBvfeXqxHku41in1Jgf + kVomGkzNl4Hchb8BYHf+TIBChdWkeY+GePftf/iSt7+9nmyc4omKsKL47tFiWrZ3mcsOVqTUBmYi + aohGR6/Im1vtEyeGU9hZiiD7OSAKBE1P3P/lZzUJOY1GI2kyLwpgpT0S0iIyAvDAA4faBm0rihih + uu66TLI02V47n0qB/dI3979YtSmB447qtVdfpRzAIYkyR7s1gIKo61p7yzF/QNc0dS5dlET6h29R + EVSjgFQSIxGDWSEpYyqM0Wgk9JK/87989O/9/RtP7NL0pEpiIARIVtLUucQqcznndREkjRRBSUgT + M0gCYD4lASCotvMjgXVn5/7ff//Odddt/uBf3Ng4tDNvNzYP59kOhUq5zQRmJRVQImWCQF3T2nlS + ZELWdpNrgW5nkVgzB2Rppb2K5egTjx377d9tPv3p6yTXUImgiHmLSQySJCPleRrXcbtJ08lGfvZN + t7ztbc3Vz9pJTBrGm1Wjee2Vx3GcZyQWC8W8I5vpbh1YCFD88A//5fvvv//f/JtfC4FyFgXqWKWU + BDBNawCmAHoeNa0x+F1mT65WPdfOENulmIr+Mb7b4/a4PW6P2+P2XDh7hhrAzBc2QsLBuD0H4/Yc + zKVmj+M8TSEiu1iFEIhIVcpzeMdxTg8lUCJ7PzYMulVWURfDq+9VAtYkmZSDBlJm7RVUqtBCsoAx + Hk2rOB+/fHL1y18MfhGnRlgYyhaXlYi7gHEA73kB52/KnnrsnX7TNMzIYrcrRETLIf8uLn6H5DiO + 4ziO4ziO4ziO41zWDAUZ1GZWK0Foof2B3qEhBFSj237/P3xlVI+PXDmd5VEERySgNaUjhQJK3SNa + 7TyHzu4R3DA1KUhBEFJwFXbnuRrhcOTf+Y7vws4uZlNF9oe/juM8hYQQYowbGxtEZH7dttzY2DDV + BwA557ZtXdPacRzHcRzHcZyDUO4eoVy4JS700nGcSwmSy2W5r4L12qU/THYuZ+SS+1ACJZBcmKWk + 3Nxyy82/8ivvOXRoi9k8FjRwyH24cGa2SMRt2w6Vqte0nchoNLLEdV2rqoiklCymAwCbH09ERQzb + cZ6OMHPTNBaJ29xQTRsVfRQSInJNa8dxnEuBlJJpWtutiIjYLYqF26iqynwsAdgtylNsruM4juM4 + juM4juM4juM4lwF1XU8mk+uuuy4yZ8mASTudNfaiysI+xsgU6HOfv+OtP/T2z3/hzhOnTo62NhB4 + ZzYdjccWyUxEcs4WetgUr+2d1/ms29lQxcjMo9HoxutvIJDmS26myqUpWGKv45umsUfcbdtWVRVj + bHP+/fe9d5aTACFGVc05EwYPvgfVoX4i41u+7y9ISoHI8jx4PoDjFIYK1uijnJtArK2xly8md31u + Ec8dx3EuNKZUNJvNmNmUh+zWKIQAIKVUBren2FDHcS4urEIKwLyWhPqPknBKR+bNzic+RQ8/PJnN + RoAo6lAhS5tUBEpQJopRYtjOOFFVN3/XG3c3D0/bVIGrqprP58WlSAa/ODrBXUUQBEFQcC/w2XCY + VXHyXbc9uDlqYj2uRm1qIkel4e5SlIZJudz4a5HnJhFCElSBaXv72bNm570fOMKMPLdSiqC1Tfr1 + oe+yJRAxkFUyFHUdDh/ReH6d4CSK4MQ2JYlZIdLJV6xg8fVJNajkRx7eSG2EWkJZeoRyZr/cqZOl + FgIJcROrcOhQJi4hpEwYfikzGShrXJJPCBxnDwyBxRzr/WOVVElYhVUAJEYTOFE4VdXtFYe/4Sff + deLaZz02Hs0UECggACETRAG1GGjFjXcA9QsFA1zktI1KZKttnzubf+qX35P+v49ek2SD0DSzJFAh + UrJdlDSoBBUXtHaePDFyznma5kKoqooEqZVANNZm9Pij+OJd9/32vz908rFDLExIGSEwgCSZOTBQ + 1+NZk2Tz0P1Htl70196JG69/DEE0blSTeW7nuRHyWyPHubwhyTn/1E/9vTe84XU5K4DAoU3tU6sV + 5DiO4ziO4ziO4zjO5QK3yo1SEhIlUYKYCAmCIigCEACCEvevkEmZFaQc1J7eq5AqZSEBSVCOuRo3 + k83Z1mS+UbcjFiZlujTnyzpPBzysquM4juM4juM4juM4jnNZY49sM/YKRGv3CQoAuXt+y4gVjm48 + dsM1XzqxM4kTMLUNGkFmgERJ2iBtKP5CZ69prZ2UdeemRKr2nJh02uTxhGZzxFnz3J0dnDwFUkE+ + T83gOI5z1ljsm6qqhtHAQwjMnHPOORd3x6qqilaK4ziO4ziO41yq8KX10cvs87TnqT5h/PM0/jiO + c0F5qnWLn9zHYs345zx9wAqGXHIfvTBLlUgMSc++4brf+Lf/99Gjh5lBhCy5ClwFRv+AdzQaAdK2 + 8/16UYxRREqUTwBExMwxRnsCvL29raqj0aht2xjjxerdjnM+Yea2bU0VVUTs9E4p5ZxNMLWqKlO2 + BrCzs/OUGus4juMgxqiqMca2be0+xDRaiMgEFSwcuQ3s/p7acRzHcRzHcRzHcRzHcZyLQM65CuHl + L30ZSSf7qqocwr470OCjg4896gSIOSXJWQW48+67v/8v/eAf/+nHnzi5Pc9Sj0dCmM1mWSTWNYVQ + 9K0BhAMKPX8Ujajl9aqqOorVLTffTIApfF8E+TTtovt1Mf4O+nS+pJcWokrMOUsIkfrToM3pxKmT + H7r9I9bazAyCZqyVBzNsw1t/8AdJQUQMEkki6SJVw3maY2NImfiRc2Zme2Nu00WG71wuzlDjOI5z + VqSUbNQaj8cARqPRbDYDUNc1ACKKMU6n05SSD2KOc7lBUFIISIlZwSpBwAoEcDO9Ynd23/s/xKce + rwkgmIJ0hhBAAcrImlKW3SSzjfHk+c/DS1/y+GgUQggpQZVDRTpwD1FWcD8VfPDLTxefinibGS96 + 7uSbX7kdxtJaJHLJjEzIvFd2t9fDJoUShEjAChUCEZgBYJT1xkbv+9BH8Phjk7Zh8akyzoJADEBE + GiJUo9E1z9IQy89KetLSzgQEldkjx9FoLSopKcEEcYu7lJKAzDkCTATVY/ffO8otmwC2MqSL19Sn + hxBYLDr/oizpc7ZyQRBAVRmcgRQDrr4qMwNFCb4Tszfta/toZ5o7czlPA4JKUA2q3F3IMI+YR4A0 + qEQVVswD2oCgUIQTG1u48doX/49/7Qtbo/lkzAGSUdUAoJSlu8RQ6Q7Dyw0AVgSltYrUmRFURqd2 + bjy188l//M/xZ1+8UtpMDU+iRg7KUZAJQqiy1lmsR8sl9wTOedrACmrzeDxqR2FHU8i0hTghotn2 + UWkmTzzxxZ/7Z1917ImqbXJuYz2GkrQUCZkwQ1KwNm3E6GHEa972Jnz7Nx0fjREnqckQjXVI5DdL + jnM5sRLFwn5hxYpV9R/9o3/41V/9AgAgCcEvXY7jOI7jOI7jOI7jXHCUkBiJ0b0dppApKCK0hlZk + H4lBImsk5aBcp7rKVZDIgJLk0OQwV54Lt0otIRNyUAkqATmoErBH07qfW/uU1ts5CJt6/VRbsQd/ + meo4juM4juM4juM4juNcxvRBHxRDgeiBqAx1qbrHrjFia/NN//F3cPRoEpJW6wlDMK5qmBcE69AX + 4hwM6t2KWLsMxZStY8C80Toi7DRXnTz5ke/9bmyfHBUFbcdxnItOCGE8HhchB2YejUYpJYs4U9e1 + 6UBYPAv39HYcx3Ecx3Ecx3Gc9TwTlN0dx3GeDlyaM+zNqguxhMzn8/F4fPPNz//FX/yFo0cPq2Iy + GaWcUk7o43W2bVsEINeSUlLVEAL1qKqtnE6nqrq1tWWRiy+1KfKOc+a0bVtVFTNbYO75fG5vN0II + JgCvqlVV7e7uAtjc3Hyq7XUcx7ncsZE5pWRvoufzeQghxigiGxsbAIrEws7Ojr+ndhzHcRzHcRzH + cRzHcRznQkMKaROAb/mWb8noZK1xTnLO9n6q5ABgMp4EDg8ff+wH3vbW/+uf/pOsokTztgl1FWO0 + t7TMHEJQVdvXnpFefEghIiJCRC984QsVCoCI4K+ST4eIhBCYWUSYOcZozlkf+9jHjj9+MtYRQNM2 + ACwNVmXFCQAC0f/P3r3HS5ZVdYL/rbX3Pici7iMz65FVFFQBVRSFoDQliIAKRVu8lAbRltYG9dPi + iN0+xpYW/YyKzvR0Yz9sdQZUFD/d/fkICvR0+0ZpHoKAIIJIOyO0FAjUOytf9xVxzt5rrfljn4h7 + syorITPrZt7MXN9P1K3IuHEjTkScE+ec/Vi/6655+Jfd9LjEQYsQUdM0pXistfuS1C6V2gtTb1HV + nHNd6xZ56rVX3dcr59wetNiBAui6joj2799PJ1pZWRmPx0Tk32POXTpq9ReQGlhxwhiSYFjpBX93 + +9GPfGxZjBOVAiKoFAICgwhFUQxg6mO6rx095nnPm8XYNSHGhrOKSEotgHmy9WBRAobnodQ7gq8R + ibZIjjf8mBe+4D4OHTfMrYgqdpapqXVwGABBh2RfAwBjVa4nmxSJO9E2hEnJuPvu4x/60MEircj9 + BvQS0eIc011SCFgkQysxQpgcPFhOOKE823pFRiBYf+gYeks2tAA8WBUkMgQFRI/fdWcLJVMzY7Wd + MbpGOHljivHwuMbYcVJsZoSgRoUYBy4zDK+3RsKf8AC1ctRZ1YNy7twZdh8KMiihMBWGENUVmGBk + IGgwkIGMhXgjhqPLIzzt5id93yu+kJojQsrQMq+cRqZkQjDaTrKoydZ19wIYmQUF2ZAAaoRFUH0w + rDKuzOVh9x77xM/9YrznroPWR+uKdkERlJIiKNhAD2i1cu60kYpICIFCMCPKErM0JKul378+/dyb + 30qf+p+X97P9AZwwnc1CiKISQzKDGYi44+ZQHI2e/MTr/tE33x7kGFCYJFivPUib6MdFzjmoqmhe + WVl5wxt+5eqrrxAxEd+FOeecc84555xz7lxQsFAUREOY9yeH2ppPVju5iIxp/jsykBmhAEVJjARU + lIbuZTbwvN2/9rKxUVCvrnDh2dnJf95LeMXz+/TOOeecc84555xzzu0Ftck1YD4ViXiYN2QI8xHT + BlAIaEcYTY7HZotjKGi2dJxC6frGeJgPVFtxCaQMMENOdyoHGWCkjBIEsDqIPLVJZjlquCKFrenm + /s1jAEEUrCAfLOucOw/MbDabAZhMJltbW2ZWK4bXgJOu6wCklGpZHC8X7pxzzjnnLnmnV6HywSo4 + uL1qrzXO7MnYTncKnmztzpRPlXbuNFxiX7aqmlIgMjN74pc//j/++hu/659897FjG00TAXR9US2A + qioIYIKe6htFRGrdTwAhhFr9czwe1zDgmijZNE395zl5fc59CRbzNOiLnF/V9bYWPwLQtu3iV/WW + vu+bpplMJl3X1QDsXVpk55xzXwozSyn1fb8oNV4jFkIIW1tbIqKqMUYRWVpaMjP6YjsC55xzzjnn + nHPOOeecO0sxRhA/82u+lgAm1tpRZXa6AVEiQkQMklIAMPF0NgWQmFTtZ3/u33/kIx/55V/6pSsv + v4JUuq4LzKoaAscY6/wdkczMZx9MdbrYoARmjmZq9tjHPhYAgWJKNY95V9EJ3d1Dab7dftKHVm3l + VtXAQUxFdDJZesvb3mpALqV+nhwCPXBoab1hmP5qL3nxN42bVkrJpTAIjBDC+S5w5y4ktTd8Z1XE + 2tVS+9Nr8nrtiDl/y+iccw9qMYu5bdsQQv02CyHEGPu+NzMRqcOE/HvMuUtKsGJgrYWXqWeDMIIi + zvKSYeNdf7J66PASFMUIMAXBAhOAXEwBjqAQpB0dPXgFbrllNkqcYpnNalZ0V7qAQFZngbHSMJeH + CYAqwMYAhtuNGTDtJdDm0vLVj/8yPOqRsy/cjbXDDYZj+1qdvAb7Ghg0P9+poxrq2ysAACAASURB + VKAJiwkEVsxCze1VFVsh/uw73vmkr/nayYHLZ/ODunosV533ytfuvDAzYiKiEqAUJldckXfMOKsF + ks64BcEImWGksr6BLrdKAWRMYotR+1o3BABhnr+LaddvrCUyNbUaPk0BEKX5mTzNl+yLPP3iDixG + fQhYXq4vh3WIhN+xqHUxttsK2DcIt7fVGHgjAqCEzAzoOHMwBZkQhI2ASVYyNkIfQEQboHz50sEX + Pf+qz9x+7+//MW1u7jMxKyCAFIARK4b8aWEFIIQaekEGxrC7qVHWCjbSuidiQAVt0H3r61u33fY3 + r/ulL3v1D+eVcde2QArKQQGoEIwAY/KtzJ0FBShw32f0uhzHRJBuKwUc1II/fM/h//pHT2jb2XRj + 1IBC4CxWCgPEhoJRbFDidGnl8wdXvvp7vnO6f/8spobbaZ6mlTaLYNqNUyzmUzKdu3Ts7C3Sxc/E + DA7TfnrDDTe8/vWv+/Zv/8c5q58zOeecc84555xzbrcp2CzaoltsPiK0dgozDLWTi5QNtR3DQjd0 + utVOZwLAQRkIbKy1dZ9USI2UDGxMNtTEmf+J1i4AwtARYKSL3/IlVo9rb9prvfm+TjjnnHPOOeec + c865S9h8mkIA4iLTGgyG0eK3upjtYMQILZrR2vLSsVEM4zYEsBrkJFlBZ9b0RgaAjNh2PIAR+mmG + QoWk75eS7EPGsQ3MDHJGT+Occ2eNiJqmqcXBMY95MLNaQJyIcs4AmDmEUMOtnXPOOeec28N052y0 + 3fnp3Lnh65tzzjl3Crxnfu6umLhIv76+nlKYTCaPe9xjf+3XfnUyafq+1FDqUkqMcUh5POXo9qZp + 6v0BtG1bi9yVUvq+r4XRAYhIDZg8By/NuV1SK9vqnIjU1V5Emqapt8QYPdPaOefOuxBC3/eYH6Us + DmnqYUkIIaVERDXZ+vwuqnPOOeecc84555xz7tKhqo9+9KMff9Pj1HRonDyjZKidHa+1TlmKKavV + 5s4//eAHnvb0p7/z3e8qKrFtUtO0bauqdf5ODSo7j9XNyIZluPHGGwEYzufCXCiMUGdd1feqzsYK + IWxubr773e+OAaogBoDaZVmbxOe1DO//aC950Yvr4zQxEVHf94uAT+e+FItecgBmlnOuG3VdkYio + JlvX7xznnNtT+r7fGdoqIvXbTFW7rlscX9GZZ3c65y5IBGUYQY1oHg5qQgAwzorDa7e9573Xhtio + FkWYDw4kZlUD0CQwI3f9hsoNz30eLj8wjWQqUowoIJJC5pXBT3jeOkXNCEpDtRob/qlZ+jQeTTnO + llce97xbPyfdFEIEtu0EUKuxoPV6LX2DAIT6GIsXR0ajwCoGYNls9om/wSf/diSyiLLGfEikn5pd + shZnmkqYMU0uu0yGmGnYWQ9oV0IJUIBmGZtdEGMQEemOqFCtKzPZEJqrZmtrVjrWQooAEBAeeHI7 + j+C93+MYqG5QRDADE4jIYEZsgTFuMU/n3blJ1s2mblbmBwLuAmFUI6hZiBURxmyRjGm+VwCGHQdB + lVRYZ9KVxIfI7mnbg6/83vSUpxxeWtoECwMYoqkJOuxuFtEXtP2MSlBeRGXU3zHqsygECMQjnR3M + XffhD9/5n/7z/twfqEn0xEGZLApzZlbf0NxZI0MgTtYALIy2CZf1PT792U+9+S2P7qU/tjFO6Ht0 + U2lTS0BgEpEICPEh5DtG8aaXvxRPetx9ijSalFICLKO3qInJsh8XOedQShERZu766c033/zzP/8f + msY7U5xzzjnnnHPOObfr2JgskAaqHdgGMgtmDGEIUAgFNIRYK7ESFEOn87yri8kYIDaGMdu8PX9+ + IeOabL3zefXE0abekL+n7MHe/Hi+F8A555xzzjnnnHPOufPGADAICAqY1gbYQlDU0dgIpDAFQAQB + AwgWYfHZb//tDz/9q8ebulIwakIbI4oyaVSAtucLneFSEQSspGFHc2+TohSEOMrarfWZZfO3nv/i + b/uzP0cwb+Rzzp0XOec60zulVErpui7GWEoJIdQyOvWfMca+72tJceecc845d1Hb3WQv2tUhN7Tb + gdanHTPsVRIeWpdkzvMl+aIvSOcu33TP8JXzoXSWbdFuj/PDgYeY7YUo63P1tU/KoDalpmnW1tb2 + 779MRG6++eY3vOEN3//9P7i2ttG2KecsUsy++KpWYyNDCMzcdd3y8vLGxkaMQ+9UCKHWLPaKn27v + ON05G3Udrn94v9TqWjK+/mqRe+3J1s45d37Vers12COllHOuydY7+6YXJVn9EMU555xzzjnnnHPO + OXcOqIiaNe3oRS960Sf+3SfrjTwPQjtBveFBWi4DsZp2fQdg1E66rgMsl3nORqCulLK+9rKXvez7 + vucVP/7qH4vEq6urMcbZbDZ02qqFwA982l21Hb02b5x9zGMe08RmWvqc83kZ/TAkvdnJ+/X22vhM + M6tpwWQgGIOY+V3vetfx9U2LAMEIFKOVApDZgy7+jdffcNNNN6kIg0IMtS1dRHwEivtS1BWmjgZZ + DAWpKbCLNOtFX/wiHdY55/aOpmn6vo8x1h3raDSazWaLKc9934cQ6hXvRHbuUkOmoFATfI0AaOHQ + CFYFh973Ibnr7hWTRQgvUygmEQAosIWEfgYDmv37Dj731iOROs2WKXBSQrbMMSDXrFBWKE4MzVWr + A3oB25HxGVRYu1kpabL6dV+98d/eVu6aYqsEg84r1QhDaw0cgjDYECQSwMjDgxiAyBSAGQVA0PR6 + VZkee+f79j3x78l4VEc5Lr7x9mAhbHcuCUyJe9LJ/v33wXBiQPrOM+fTmr9pBCEoJKhgYxOlBOJC + ZLVGky02B5s/kUbVjePHQiA1EMDEcuqVk3Y+wI6bCargADGYKRFRapDaeR3/ut3h1Nm6O5bQuT1H + CV2AEhcGjBtF1GG/oKQABTXMcyyEYKTNmEX6EbUybrfK1g3//J995FU/Prq78FY/KWACDGH4IwyT + SBb59gQFCgNA1GFnxDbcr24sKbaz3I1GKWxtPAL9PX/87tEjHn7ZC79hNlneSBEWyMx2bK86xGM7 + d9oYQJbRaCIN96Y5yCrQfOoLd/zX39Uv3J662YhhBYlQDCh9CGwiACLRMeih1cnKM79q/z94zp2w + MFkp00J937RpWnoQxdhI9smtzl1CyACwDYVE6n5PAdQ2opX9B44ePRpj/MYXvuBTn/rU6173y+Lf + EM4555xzzjnnnNtlwQxQ1J+kgO7sDKu9VzUoxeYx1bVBg3Vouaj3FuLa1k8GGroAat2cU9XDYS// + tyfZMPwaRLQX+va9ppJzzjnnnHPOOeecu6QNrbbbTXV1esKDt64SMFnC8uieyw/0bVsjr0vphSHE + mM/TIAOgfNqNtMOkByVFrTkwXzDpSwCpal/y8hLi1uyGrsPxDWQFIIAMr2b+jPPmaJtfTvitc86d + tVqBwuYAlFLqLEdVrbO7azELz7R2zjnnnHMXjj2Rae3cWfO1zjnnnLvUiUjORUSWlpa2tjYmk4lo + fvrTn/ZzP/fvlpbavsta5zgAKaYHVvvaiZljjCJSMyM3NjYwL3VXStkZ8etl7y5Rtv1T5/2SAoC4 + TpZRAkgXpd92vUCVkSECYegbJa2Ft3aUntuxCNsdu0ORbiKqFf/rz8lkklJa9Hd0XeeZ1s45d97V + 1ARV3ZlpXa/MZrPaN10rlS86sp1zzjnnnHPOOeecc25XEVEIAcCtt95KQCAGoEVO93Fqk2bgAGDW + zQwWQzTw0D8rpkBsUoG9/o1v/MYXv+jTn/vs+tYmpcQxUGAiMqMHTz1+CJw04GoRE2UEMwuMh111 + 9cp4FIAAJCAY6nU2Pa2IrEsBGURLTAGqqqqE2CQl/N4f/IECuQx1BG1ekZB2Foyz7SsMPPe5z11Z + Xq79+H3fq+poNBHxd9x9SXamVmM+LERV52n1ZGbM7D3mzrk9K+fcNE0d8JNz7vseQCkF80MsEen7 + vm1b70d27tLDdcigkiqgxGw8Kcaz/tPv+OOrI3Vb08AIhF6H0YYgMkAVVtArdGWy8vjH48orpysr + PGqZjGqMqMh8DOKppvDoCSdNyiluTreWJys9Jxy47KqnP202ThlQgLUuJ4A66lHv/zCGegFgEJBO + BRSCgULJV1P43J/+KW2sjaabISvARERsXGvfEAux1pI4ixGVp1xydxEgAxuCwcyEQ1haUuaHsNkg + EIKBYeimRbPScCpRV7rFUtQC/MGsFZX19cgodSVkBqzGYJ+MbkfOz1f+oYgToZ6osEFRlE2bhKat + 29DO5z7pi/WoXbf3GaDEdY/AO6KmjeoNYCM20mHzAhmoKAsFjb2lo+OJXn3VV/3Ev7hzdWmtSX2A + 0LB/OXnj3v02FhrmBBBANf3CWI0UKH0eR6zO+n33HfrbN70Vf/2py2bduBQyCAVYIGOCEsp857u9 + E6zX64V27IyUoKSnzqF3F7Qhf53ryjCksATjHSvA9loBAMYInHOe5Y7ZxqbtkeP42F9/4fffcRVb + Uo0EAmVD0yQxKyL1L7PRVtNsPPJhj3zldx6K1u9f6US12PLKSpa+ocCGaZ9D8hJYzl1CjGD3P7EC + ADNLKW2tb7RtWyOuf+AHfuDJT775vCykc84555xzzjl3bswbY2vsxUltDwzb0022pIteTh06QGss + CO+8zO+gWu8//5P73W1+5xNeO53OO1CXYb4Y272xte1z8dQ7G8zZjKEMZQhDGIVR6j3J6gNEQzRi + QzAiWICxIgJx/iy8+DSNFFA+WRQxz98Hno823dlQ4gMB3YOJ53sBnHPOOeecc84555w7K7UWLYCd + dfO/RHUa/2LMdr0xnDC9n0GAbf/aAGoC9l/2one951M3P+kgNpCVOORgSogagg2B0oRihNNthDeq + 8zFk8dy1qZeZzNS0G3GwmVzBNDpy7/ue/YxnfvyvsNzOACaMkAkwJBgP7eRRBVAwgGa70dhbjJ1z + DxkiqlO7K1XFvFZFvWXxLe2cc8455y40/GDJuGYGqBlCIIMQQU12+5hvNwstLuyl82Wvj/CQ2ksf + 7blx6b3iC54XA3LOnYQfDjzEtmsQ6J75uYsIzMwwmGkIQSRHAizf8syv+dmffe2rX/1j02mu95Ss + w8E/ExGZKqy28VKMsZSiCtWhEXjRGlwLgNZ24JxzSmlnP129ZdFK7E3EFz9REBC4BlrXvtJAi8p3 + Nu8wXXyx7eIqYcSCMdDAACqbpaS41Nh8s2PUQpIBoHnBO2LGvEj3YsWuP0spO1fgGpXqnHPuvFsc + daSUdl4ZjUY771BTZJxzzjnnnHPOOeecc273GTER2ZO+/AlPf/JT/uyjfxHmvWbAdl8ZM5up2YMO + CKjdV0Vl+BeQpQewCF40YNpnAAx87G/+5pnPfe73/9NXvvpH/sXSeJxFSa0djbt+FjmYCaGGTKcQ + Qu32GjrCCDhxTGaNhnrg7YvfLn6yQRf/wPb9a/iNqphZG5v1tfX/8uY3Hzt2LDaplBJCUFUTPXjF + 5d10a9/Kat+LqO5sxT27uOv7j5c74Z0/2W/2FIZp7g3StmMz9H0/m8rb3/HfBQAtqgkqDAStL5VA + IBgMQIxBeiHg27/1H842N5ZG425rGtsGTNNZl5oI3Yuv2u1BO2dn147yxS0+8MM596Wrhxx1EE4p + JcZYb9ntb5I6XM3MmDnGqFpHzQkRqWpdBmbuum5XF8OdpcXALWZefHA7Z6w7d/qYA/V9UZ2OlkbT + 3iTny0dL+zaO4MMfmXzutjRdn7TQDsQUiHuVOrwQAIGIrEu4IzU3f+tLN0fL64jRLAKgwnWQpMFI + hQCDkQ5nTPMzlAdE56oCRSXGiCxbvfT7rrjxG174F2//vZWEJQ1UTMFq2jbBSp4qJk3gXM8MhkG/ + w6BHIABWygiwXghowJJnS1t81x/89jUvf/lx8D2zvLyv3dycjWISMgULUTBjQxQAKKEIGUNhPgXp + okVEUGs4mIlEKw2E2GiIux7uA+CMZm4GRezQAu0SY7YeJk1hSJ9TaEJRAD2zEpPVaHmNqvvMvnDH + HWYWWtZOg2qt6T8sjAUArEM6aV3O+TqvScNiMVUQiLQYwRgq6NPqPhAJb8cWDs0y82pR2+WW5ina + 52SyqnNniObr6iLNeogZodrKMyReGwAbKqclhJJLbJIyd71trqysPOa6p77q+//ff/MLdO99q+Mk + 0zxmYiGBphDEpD6EAkIwQtD6vAAgrACC1uDhAKBIHwITDwtyWZ9H9x76+L967ZP+w79dufLqfGD5 + WCGOZGVr3KLruhDGQjVUA1FrrgbXuO6gCAoyKGtmFFYGWM+yedDtXUbD3icoYMwAG6JCCTloPZRi + IBWQoQ+sBJOAyDGU0K1fZSHe9vmP//pvPGqrW4mlGFTAFFsYZPiGJwaAmYXj4/FTv/ef9Fft31xd + 3jAbMVEKx0qPpkmCRoOEmEV8F+DcpWR7Cift+KeqGmE0mvR96aWMR0tv/S9v++hf/uV5WUTnnHPO + Oeecu7AsOnBDCMP1871IrjYh245T3yHMWMnMYowKUYJBxCRyYCVS0lpihbTve4CbpjEBERlEVcmU + YyAKqmpDaHR9su1z7RM++prcvPPZd+vVKkEwtJzHumy1ahIbkw0jLo0gBKViZCA1ECmpFbYUOQIk + xVKMZiaqaoUChRCMVEoJQ/shC6z2NA1ja5kAmAmAuuKbGcBFjDkwk5pBFWqREQKpGQA1GEFJjdjA + Bo42zxwZEq/n8SPGtZ0exkCYdzormfEJVaqG6zs6o3XoR9hh0WW28+MwnPAJ1gZbd94RkYgQwax+ + x/J5HyPjK4ZzzjnnnHPOOeecuyDtLIXf9339Jz24B3scWvyPhn/QPNl6/je8uL3aKmKjMVLaGq9g + slTIxEQXczWMYcP/lXBmxQ4YOm8sJrZ50XfSejsbtYLLu+kVs02Ugq4kGkaZa+7UlHDCC9ixBOe7 + PdI555xzzjnn3IXER5U455xzzrmLzF5Is971TGsAML5/mTlSkMbIL3rhN7zmNT8VIwCM2pHBQi3i + YmaqIAoh1J61RYj1A6kqdlQx7vu+1jIupZhZzZWsXXi1PuluvUy3R8w7JXVndf4TKZmek+o/QtzF + BiGhn4E0xrZAhizr7SXjc7QlOuecc84555xzzjnnnHPOuUtA7TnNs05E/ukrv4+BACbcf0KjmZ19 + nmPt9RJAgE71F17/y1/37Ge99wPvV5gxzXLfpBZAjJFDGI1GIrK5uckG6fPOxzmDcpLbkVcP+Ns6 + 97FtWwBaZHVl+Suf+MRbb7nl1mc+61lPf8aznv6M5zzrlltvueXvfcUT962sigiA0Wh02ktwsTKL + kdnQ9dMu98z8oY/8+fHNjQfecZ4wHBVUM63BKFmahh97/aMe9ahHLU0mpRQRUdWaBEk+Etg559y5 + xczMvCj7UG/c7UxrzIe61aeulSVqprWZNU1Tl2fYOZ6y7oQ7vxYfUB2guPPjc+7MGCBiTdM0bKWb + AVgeTXRtLXK4653vWjl+bAJID5mX4I8cmghRAXEAW0YXeXLzV+DR122mCGwH9G7HoVEtjL5dPXxx + OakQgilBiVJz2ATXXnP5Ex+/1lBvFjgxjyKNSp8JGCKrrZ6C6f0GPRJ0Z3FygkbVpZzv+rMP4fDh + 2Pcro8nmsbVR0xJZzllBO8cVsw+hvGTQvIi/BlgKYLJ5OPrZPrIhGYLBtMCKYvi+ZqvxCTrfCOqK + x2RA7kM3MyMFCYBF2aihqYGHO59s86H751APNZaoRlc3DULaOVD5fo9x6g3Tub1pvt4qoEZqtNis + ThwXDwAMIwObGUSL6Rpo84rL8aQvv/bFLzx0xWUbFphZiYU0NaNS5vuX4VGYjINyWGRL190Mbz8j + g9hIZdhwg2BlfePgoaOffN0bVjZncXOtiSqaQwg551HTYngYBNP5tr09m8ZQS6kNwfPukjI/tqn/ + UCMI22KySV3tA7OqBsYBs3jHvR//tV8/eHztCtXQ6bgBxygmPaSogCkwVLCuOLJ/39XPfTY948l3 + pdDHxAj1yK3uKtgYYCOIr3POXUqUsOMQcfskqGkaMtT+lBTbD37wgz/90z8tcj4W0TnnnHPOOeec + 2zXMkTma2TCYMFAIpJQl9jNsFe6VcpG+bdul8URVjVSsGBBTCk0rYjnnRX+9zbtqDKit1ovnGZKY + jfmcNPnWtnMFahcqGRggY8I8JZpUSZVgiEZUstYg9hgjEWWVXopBNre2cikWjCKDTayIiJGKFjUB + lBkcQGEY9FLfRjOqxZlUAYCImhQiMxmYOaUQm6SErmQFlBQ0LGFQsHKobx9tv5B6wY5M69qwWbvb + CDaPvtYHdhljeBPqo80vtPPTcReMPTU0xtvRnXPOOeecc84559wFSeZDwOqEtFIKM4cQdvt5zTBu + Epmiae8J4XNmayap4UbQCJIJQ8mgQCYUhu7mzMZgApkBEmaFCyDM3AaFESRAAgwI80ttVN69hXHO + Oeecc84555xzzjnnnHN7mYjknF/2spf95E/+ZEph1s0AVStN06SYAgeoiZgqmqY5xePEGBfZ1SGE + WjtSVessDgAbGxtm1rZtzjnWDG13saJFZ+QwM4GACECHkkO16JRQvdCudp4CKMTrAWgYS+PprAM4 + IsDmk3FsqGM3TI4iBvl8Cuecc84555xzzjnnnHPOOXe2amRL0zQveMELbnrMjcxsMECJjEC1kp/Z + ELN4ug9ODx43xYS/+/ztL/nWl776x398c7oVQuilUODN6ayo5aJGaMcjTjGN5nEyp6x9dkKsyIn0 + iy14zllVu66bzWYAah5hCGERMGlms9ms6zoR6fv+izzcJYOZS1FjapomhJBSestb3nLSD2Ho89xR + vm7IHxJ9wQtesLy8LCKllBjjYpptjYR0zjnnzpla/6Hurer+6NzsjOq4tUU55jq2jZkXCxBjrMck + 9T7nYJHcGVDV+hkxcx126B+WO3vZYEwNOGZNFDnLihV87rbbP/7x5YIRgwAmqMFEiEgCzdQAI6KC + sJVG1936LFy5IqxJtVEdSqQTyJjstMcf1prpIsYhSDAst4++5dlH2nHPJJCsBYkKAAJHPt0NoBGd + feYL/cc+PtISgzXgIOiLcowMC6b1dE8ZMiRHnpB17S5KaiZmRiCilNIZNEqcAhMAiClEVJWMv8jj + i5auN9HF1/uD3fsUrRPV/DUR19HsTQL7yuwuXULYCtpFBeUQcmioM9mklA9es/ptLxk955lHBRO0 + WiS1IfczzMODycDKSRC05sszADIExSJaw2AAAojVWAkKDZCAHkibU7z/Y/f92n9elS3T45R6JBjF + bJQZgLYFk4wkiGrBjE2DwUi7qF2AENiQpC7A+XwD3a4iQ1SNqmzKUIaCVFhL0Jo5w4ARCkOYk3Bb + 0EIbqGzlSY+t//b7/KG/GK0fY2CmME25lB6KhjRByWDBkPLqlffe+PADP/BdRxu28bjJPMmchKOi + FSRVJS0M4SHPxjl3ieu6HEJSRQjhtttue8UrXrG1lXe/fKlzzjnnnHPOObdLHjROetFxU0dxqOqx + 2bG7+9vzvo0ymm3JeggMk266btpnm5UgfdAtKzMtFjjGGEBkw1Mo1UGxanXYIuk80zqQRTImw/z2 + 3aJgQRKqp/EaUAJKMGWokRqVErsSpxKykRoSdNzQiCVqgSkZqXBBUhsj7iObFIl9h+lMtjrthIUi + OAFBhUqWLktXpFPrlZQZIYQQAlMTkNgiWxMtcO6obKLflLLZS19IJEGbUBiFuDa8R0ESjAtSITYY + yIiVWBHqRWhoogcpqIAyqGN0hJ7NG9Dduebdrs4555xzzjnnnHPughRj7LpuMVchpTSbzRZZ17uk + TkkqXQ8DoM9/+x8dPnAg7FuWrLUBmMxAZoR6efA5FA+NpOU/Pf852NwIzImBYrAA5r7L87swtmOt + vTHQOeecc84555xzzjnnnHPu0lVKGY2a42tHv+M7Xv6a1/wkgBi5SU3f96UUEVlMSjl1OchSSs4Z + wPLy8uJPat3P6XRqZrVeNk4sqO0uSgYIUPtow3zCUzDAlA3AUO4KIKNa2Wp3lYDv+u9vRxvQ52a0 + jGG+xDzOmkBYJFvPEwC8LJFzzjnnnHPOOeecc84555w7O5JL0zSBOKX0Pa94RdES6SRT+WpK30P1 + pE0Ti0EABX7jzW+6+clPftNvvhlMCuIYOUYjcAxmtr65sTNGmuYdeYvLabEH6V8jovF4PB6Pa65k + 7YNOKYUQatwygJTSZDIZj8fscVNzda0IIYCCmW1Mt975zncC865Vw85OVgUMtnj3zNA0sQhe8uJv + 6mfdbDYjoqZpamBn8DfZOefcORdjVNUwDwKq+cS2+0SkDlcLIRBR3/cppXooUkqpRya1vjDm49zc + HlQPcuontfjgaki5c2cucN/3kTiGkMC6trnC4bZ3vGNpurUE1h4cERIUUJiq5WzMAGkJtBEaevgj + x099yqFoQkgqO8+ehjTQ0zyfKqXEGM1MVdvldq2b4qlPk4dfm1MDoMcMLIw6NPO0IxeT4GBvn3nP + +0M/lY3jS+1IBQqEFFnBZkOsNamwGthL0Fz8mOa1jwCgaZqHNta6jr8tqhAzARORbY8dP+kz5a6v + 2879QgMfrKnhwZ92fp0IQIzxdJbbuYuNETLDIqllkMSWS6Q1oXsQp9c8/MbveHn6si870jSZKfcS + Qizb4fFcx9eHYXe2nWw97OBIlVXJACYwgWBMhBAQCWORRwp99rd/9/Afvf3afqstG4YexiUzGwga + TGuKiRGCIZgFUwKUIAwhkHFQjsKn2z7pLiBsCIaoCAaCAmr1UITUaDigIiXMVzoGILnN3cOKbX3o + o7f97u9dTzSBipZxbMxgQIoAhT6rKqamR+P4nn2rX/XPvne2Ot6aLPcSWEIsVB+8EQSFEYTVfO6I + cw4AMBqN+pxDCHfeefd3f/d3z2aF6DQPSZ1zzjnnnHPOuT1vEdVBVLtwnu+rnAAAIABJREFUICJH + Nu973Vv//Zve+2t/u/mJctnmejjc81a73FKgtm0jx0DEDGIGWAWKaMQAFIBx7b9UUl1kVxvVZGuA + ATsHjb02VK1hNg7KQWtztyqpsBRWmVe2qbVuUmhJqWQVEWOjYEJ90VmmLlOnKBzRtLFJiQDNajY0 + VzIzkRERERgquZO+kz6bZKgxiAxkFoka5rZJLUc2aBETwNiIUNs+tynIdLioAQY2YkM0RCWejxVV + kDCEKTNkV2PC3V5QB8yc76U4gfe8Ouecc84555xzzrkLkoi0bVuvb21tTSaT0Wi0689q0F5S0wAF + owaX7/v0qL12SksKotq0DiUYQUFnMAfpdE2KXHXsOGZTtEtFUhw1fe6byG2bUFubudZonxcvMAbY + R9c655xzzjnnnHPOOeecc85dxOaFFGq9ue0pCpOl0cbGxvJ4pFpe+tJv7fv+X/7L1wI9MxZVxNq2 + 7bqulMLM83Dr+z9OCCHG2HXdxsZGrUhe/7iWKc85p5RqgbCmaeo/d/9Fu/NGwENQtIEIgIIYpEq8 + KLDOBgWx3X9deshlBtqAJqJpBExgM5gqDdVyFUMpoqHwI7wykXPOOeecc84555xzzjnnnDs7DOpy + Px6PZ7OpMr/s27/tDb/6q5/+7GcYUDMDFj2qQ9fU2Uw53NG51fWlbSIbci6d4fD6+j//0Vf/5lve + 9m/+9Wu//Csen0uBWoxRtezbf2A2ndZ+5CHT+oQ+O17cvvM+Owv41+un7ucTkZrNBiDGWHucFwmF + NWiqlLLojPZk60pVx+Nx15fZ1haH+IE/++CxzfVTd2LOu/IBg5Ry4w2PesITnhBBRBSIi0kpAqYY + o5phjxW8c845d3Gr6dFEFEIQkfrzIU7QPJkQgpktijKnlHLORJRzjjGWUpqm6fu+/pZq1WG399Rj + 5hpSXj+yGGPO+Xwvl7uwReIsUGZTg9KBEPD52w/96fuvFaGSsyEGghgBkYKaNQQYImEj8B0xXX/L + s3DlldOUyBRUdH7GxAYlsPHpjockBCiIWFRLQJewevVV13ztMzdve9NBpobYUJihBn1A7u8X1Yoe + 2Mq3/3+fxqdvW7rpMdPQWGwjUz/LidN8MDCMBMCOTGuvhH5xMkAJSqgJsgCQEnDyc8QzzhhQghHX + lg424MQ97Dw3d7GSkeUSQGwn3NHohKaSUyzMdkuFgeqOg0lMOQaor8nuUqZE4EjWl5yln7A0KQdi + tMek49Urrv/fXvUXP/GaR/xdXtYiJimyFdCwJQ3NjYsN0WjYeLnmTxNAymIEAojNkBlsAQhkMj12 + /crSbb/0xssv27f/GU+6J5DZchMiSQGpECRY3XKDIVidZ6AYJhmwEUjBxlLnILiLEQFsSrb9HT6s + V8NughiYH6VwYWaolHKQuPnCHR/7jTevHL7XZt1oedRtzEhVtCSAKHZdiUBq0lZMn47Nzd/5Mnn8 + TceWlntJTRzploTACiWATImsMISRigabl+Nzzl0CuO5cCFgcbxoD2NicLi8v33PPof/lla+84457 + Uwq5iKh3pjjnnHPOOeecu6jUcRFmRkywoTt+Q4/f3Xzu84f++qNvf/9ND/vyW77ieTde/oQ8FZZm + TMlmhVDG4xGYZkWLUkqRrKC25wJKi+5RrdfZEiwCCggDSorT7uQ8LQrKAMMSWSDleouSCKsSlCKM + 2CIbGJnAVgDjEIgCjNWgqqVYicbD4FYEokhmDSJxmPUzZg6hDn4hM61GTaOqpEYEhpmpCVSLkoAp + IBCHxlgBK6ZkRGykIDWyPhoggOzo62IQYGxgEJMNXXtsalTH9ypMAZx+p7G78Oy1WGtvQ3fOOeec + c84555xzF6TaLN51HYDJZCIii8mEu4pTgEFMkCKa5mV/8t7DncRRDdi2+p8QjMDGQXlXk63Hpdxo + wMYmZptxHEpBappZ320P1DbQoloFeVF255xzzjnnnHPOOeecc865S1fO2SBmFgKplVe84rt/8Ae/ + D4ApiCilZGZd19VuuFPU7hSRerdaD7Rt20UJ8r7vU0q1QmitWu6Z1pcKA4AAZkCg4Follgxcy+Gx + bden2z1KjMhIoa8l8swgSimYZJB6b6lzzjnnnHPOOeecc84555x7yJVSJqOx5AKAQftXV3/yJ34C + NbEDQ8zSbkQnEqHryywXAAbEGLPpBz7y4Wc/99af+t//j42tWYF1uR8vL9139EjTtnbWi2CEUzwI + zy3qIdZ0yVKKzdV6f7Wv+WyX5mLBzGqWc27Ho3YyfvNv/RZOGX3O87cucqiJP9/yTS+JHIgITDVZ + nIhijABKKefgJTjnnHMLdeRYCEFVAYgIM9vuK6XU/OwY4yLN2sxqpjUz1zIU9Qikjnlze9DiAwLQ + 9z0zl1LqUY1zZ8xMQooK6ktmzatNU975ntW772unUwYFBNPQCyKBQlBoZDKFAesmx6+8fPL1tx7L + yu1E2JRECMKKebL16Y6HZOMUUimaOChhYzYNB/avhXDN3791c2lp3UpoUHIRBerpJJ9evehgNu5m + K+sbn3/Xu1dSA9MOakap5kXOS8zXi4LVa6Bf7OpZfB3Eq6rgYGY0T/Wroddn1VZgix+gE1MAjXC/ + aksMwCC5sJ18MG9dNb+UzWpodsCQlatmFEKt7O/cJcskB9MYI5hETNSUQ+a40ZldfhDXHHzKq37o + 7n2rh5RnIDNSWmzBw8VgSiYMHdJJhp1d3WIFAiKq4demJIAZq0SWuLl2/db0Iz/3i0u333FwNhtb + CUT1G0AYhalnKkwKghEBQRF2DOpfzDVwF736Da9kRtsTSxhIykmRlAEUhhKWCM2su+Mtb6H/8Ymr + EzHQz2YhomgRgAiWSwC3aWlL5A6Uy55zS/v8rz++vDJFgqUyLZOllV5L3Q8aQRnC0Bqv7nNKnHPA + eDxeW9v44R/5kU9+8jYAOYsZUvLzI+ecc84555xzF5U6krMO3jAzQFMTEMosrR3F0WOje//q3g+/ + 4fd+4Vf+4Bc/cd+fl/2bm+F42sftctuXvuu6lFLbNqp53ocDgFkDsOjBv1/XTG2P2/U8XDKuzdfz + riiT2gBIEK7dUJGM2TiqBitqhSJxJIOICFSaGCajFKLGEYUlpaXctxsbzbG19sjG+Ei/b2O6fHxt + dOhwuPs+vuNIvPN4e8/6+NBae8/66N710ZG19vDG6OjW5Ph0aS0vz2iF0KLnrtNZRiEiZg5g1Iux + gmrHXGGUYCABGUgABenOIjxWC+YYwxhgtsjGu12ix+0duzHO/Mz4MB3nnHPOOeecc845d0Fi5pxz + 27aqWkpJKYUQ6tzC3X1iFVXlJgGKpYitvjlw1eyOz7UMEBS1/RqsoDpG2xi0W5MfxkWuPHT4D5/2 + 1G/4/Gd6aBAiolFsDKLMDJACdYA4KYx9UK1zzjnnnHPOOeecc84559wl4yRdVKurq9PptJtK27al + n/3ID/+QiLzxjb/e98oktbrXqBlNu75GU++wPXskBDIzAKpqZiJSk63rjQBqZdJFvXJ3cQvzYnMV + kQoQWA1k4BwAINRJQUPtul1cGCFAVIi7rmPGKKUQCQZqEkiBWjaPfb10zjnnnHPOOeecc84555xz + DxUzCynNZrOmbfpc1tbWvuUl3/w7v/M7v/MHv59VMe8fIyI7VVrx6T8vkFIoRYzQtm037epzieH/ + /uVf+s3f/M2f+qmf+tZv+YdH1taXVpanfRd2pFV9Kf1ltRze/QKuTlEjT0TMrHYTL64zM2rkds6q + 2jQNgJwz5oGFjjh2XWbmlNJdhw79yfv+1ICah759p51XTQBlZlFhYJTSP/jGF9bscAbIiJnBBJjq + 2UeZO+ecc6en7uXrYUDNtK4lkndbSqnuDet4NgB18NuiQHMdxlZvfMC4OLdXLD6gIa90PkbxPC+W + u8AV0xhjX0AUWhTcffcd73nfI6ZdKKWJwZTqqEMKkJIZZGoATLE5Clc88xm4/rotKBRGKgwDkSHW + U6ozqt9CSgGAaiDLsJya+wKtXv/oK576Vfe984+uMm0FqNXYT7/kO0PHFJa66e0f+NB13/wt8Ybr + ZsHGvU2adqa9zmOGg6kSAzCC4v7Zw+4io0AgQv06fagHdZsBxggMJiJikBnhlI0PWgoZzIxp2NyG + 2088CT41JSiM549vZjF6cXV3SQuGpMoGo0gpRWMtqshQLKfRfVtry1dcsf8pX3nTd/3j29701muO + HG66KTErKdt2A2BmGLhm0rPwYipKUBhBCEQI24HyBmOFIiEpaG3toJVP/NvXP/GnX7OZOrr22k5V + mIVgQGHQEJKtQQHATGE7qrSdrzfOnRM1iIWBulIZQWnITidjNrAOq4AyStRYZGWa19/z3jv/8A8f + 23djtdjGza5MEqgFOiCwFo0IMymHVHH9DY/9rpfes5xGywdofTN33b79lx/f2ohtEhWyugITDAwE + 5WAwg3izqXOXoCFVq54KhVf96I++//0fHo/b6bRjYoXl7O1FzjnnnHPOOecuKrUEkKoGikQEDGM7 + 26aZTKjruo77vNr9j40PfPr9f3Vle80Lnv6iR6zecEV7LUkTSmxhRLnIlBLDQq0ZA4usvXKYD4oV + kAC1+Q1KjKEPZ7ewRQxJzwoSo6ykQqwIQql2NrEhmAZDUFYCgmoQMhMpBI2RtZRZN40Tnurmet5Y + y8cObd53z7G77jt+33q31vfTrLO+73POZsLMIaTIYdIut81oebJvdeWyA6uXXbbvyv2r+/c3+8Nx + HvM4jkaEVKRkUbIIAhHPyzSxQVFL7pCyAVRqcDUMSpiXwdl+lTDe7jjbtXATt0eY2aJaF4Yt9zwu + DuCx1s4555xzzjnnnHPuAqWqKSUAtbpBnZy265nWBITAMQiQi4xiQjP+zHR21ep+TI+mWlhiqMZu + tYHbaBdHqiXVxvL17Qo215t2jDBBBsZ1LDcEiDtnbvhoWuecc84555xzzjnnnHPOuUvb+vp627Zh + HJhC122FMPrhH/qBjY3Nt73t/5lOO2aoDj1upygqWkuO1pHxtZOu67oQQp3WUkqp/Xd13PziPu5i + tSgMBwNMERAgMAWgtTQ/KWy7wL0Z7+bMGULbFqNxO6Y6Q4dRpMTIBihOqHtFux+z7ZxzzjnnnHPO + Oeecc8455y56bUzdxmaMUVWZeZyazbX11/6rf/3H73gHcp9VFaBalw4PZecUMXIRGMQwnXZDr6wh + Qxl0+Pix//VVP/LLv/IrP/5jP/b85z4nMiuIYUao8dpntiD1z0+qaZpSiqoSERHV1GoREZGmaUII + NWay9iO3bbvrU0EvEPUdG03GR4+vffQv/+ro2nFdBAc9gNXgH0LkIKoEXPeIax77mBtTCFANRGQg + kJqVUoi4bdtS8jl9Pc455xxQKyPXsWSY10re1WfMOdcjkMWhSL1SD892Lgwzw5OS96rFp1PHHNZ8 + dP+w3NlQgpooQjGsNGl/7vtP/c30s5992GyLicgsS2FYCECgrtgkNrn0IaKA8tLyDV//94+lFEfj + 47MZtTBSWABgBNgiuJcf/Pj9JEopo2ac+xlHatJobdrF0dJm3nrY857zlx/94L6jx5YaQBsthcCq + 5bRO3MhAQdt+NrrzLnzow+3Dr24mEy5SSiEe4qsJIIANgprM7cltFzMFiAhEZkZqAEGNMTQLnD2r + mwMTmDAM0CVdhBbsVIcNq2kZVrnFMtjZtZMYEwAKXJfBuUvWchp3XddL5hgCqCWyACJ0s35p34Ej + /bo1owPf/MLVO28/8sfvSWZJcqhpGVSDNADASAEYWAnBhg2Za54GmZKGGrwBEHP9Xik92paTqE6n + W5/41Gd+/vXX/5+vObJ2tBtPCqVQGyGNjVQIQmCA598PDEX9FUCnszN1FyIddhQKEBsU4B35shiu + aRLs7/rxnfd+4D/+xvVdnsz6wGSwFiBDzihArI9DtkY2e+S1j3/5P+of/Yhu3+rRw0eWR8vL+5e2 + ZlvGJqYBCtLa1krmAerOuQX+mZ/5mfe+930AptOubdqc86Ih4nwvm3POOeecc845d6YeUMWl9rwD + CCEUK6ICgpZSptKzxUihCbOyadjsbTqVjV/9o//rugM3POWxX/eVj/nqK1YOHl8/HoVXViZ938ES + tIEBYCACAtTyLQoUEGp5mXPSCMdBEgBQVu5BYqSGBHDQ4dnZtOZeK0FZe8rGaqbWZI7Wcz68du+d + R27/n5/75N3H77zj2O3rsmEj0aSFSm8dR4CKmQ29XWbWA2DeYuYYLKoEZAohjdul1bh601U3XXvZ + I697xA1XrF4ddYI+RkkNRigC1ARukC1igguZcX0njZQQTA2stX2eAIMSM5iMoPPP1JOt3bnlsdbO + Oeecc84555xz7oJUp6VVMZ6jZi4DhIQQ/n/27jxe0rSqE/zvnPM87xsRd8mtimKn2AVpRVERbGUp + wIUGndFpbUfRVns+My3O8GkdP2OLvUzb7TY0ditKgbZAC8giaqtAUUAhSLWsVQVFsxR7QRWVWVmZ + eZeIeN/nec6ZP56IyKiszDQzK29mZeb5foJL3ci4N869EXHjfZ/l/PrJZDgYQgHC9/7d9R/+zqc8 + 3OJgs28ZTQiaMwAyA9VB9p2iZGo20v5V3/ndP3n9h9EUrImBy2xMnzOBCLKo3ndhOOd2gIiUUurC + 3MU+4VLKIqrEM0ucc8455y5Wtefg7HjPd2k555xzzjl3IYgx1j6Pir5pg1oW4X/9r/9V3/d/8idv + VkUInHMeDYaT6eTuEcAQkdpyFEudPRctG2rW9aKJQ0qp3tdiUq9es7i9jxtfTFIqUQQ5Awoi0QlK + EWUY27lvemgIRLKIrDZICAVarzgm2do559wFqu/7pmnqfy8akTOzz00755xzzjnnnHPOOefOvVne + Xs3GIFjJTZTL9+3597/6//7CL/7iLJ1DREsGECScbpzziZZnWp2zrakzBltKzSYgmzHok7d85id/ + 5qef/cyrfuXF//Ixj3q0ELUxTsfj0WhUUs45t21b2xeWUkIIWkrOeTAYLGaEa1u9U0mZqnuLFp/W + 70BEIYSUEoAQwtE+iZ5pPVdKkRi6lNd37/rj172Wlh/xuz/2i89CjLnPBDDwI//4h8kMqlyn7w0F + s18yGUpKvqX0zCzPPuScQwillLpw+iQugnURJ9kPWK9ZXgfinHMnUv8SLv4enptMoOW7Wy6gHpMs + /tUzku/Llh+d5YfPuXvDzEKMk74X1Tge3/j2t+7VvhHSAsslAkqUYTnbgKClD0zTYn0Mux7+yOZx + X3fHoJn0uVkZJd0ElM3YqDDI+Mx20gWOmnMUVtJSKMioEG81svLEJ6QrryzTm6eH8gDK1CadCJ34 + hPBEP6/agPCAvnzmr695zA8+L0wnEtqUlOvZYs0irTclGPnpwkWOmad9F0wGEkIxjMdmtjjMn53p + 34t3aSbknE0CJDAHGJkZ6gkFgfSY1HeFaj3LUEVNtT6zOzczgIQla2YghDBVxQV4/uXcWWOcemWK + CIGtLqPUntTAaGWzm4i0E7F21+ihL/yZT3z51v03f+by7a01YJxL24INSECBMJRrPAZj+a8EgYgM + uvgbYqoAB0iAWTYSDAxXbE4OfvDG21/xygf8b/90K41pbU/TYTruR7tWO9K+m0qMhMSGxDXsGiCF + +SDDxa+G2SgpG1N9AyAosUAMKNAQedJP1kIz2prs3pp8/td/5+vu2OStCQEkojkboAoWkCLlHCWW + kvv1XfKM78Cznn4oSp9yuzLKhs28rYEFDJQaZV0YAKTeNXEmqGfAOHfJyDnHGIXjZDLhKMwM4ze8 + 4Q2vfvXrFrfp+g4AoZ7iGRHFGPu+r/9apwbO7tDW8rzDvRxyv2ffP6/H6/F6vB6vx+vZoXoWJS2+ + yXmfCvd6vJ6LqR7nLlDz3jLqs03n3yLQ+h7J1ovVm8Sz9WBMoaEwBCMDCYGCgROj5wntnnwqf/Tz + N9103aff/C2PeMqTv+5pD979yCPbm4NGUDDd3lgZ7tMCosglq2UWgBSUAFUKMAYYBDbcbYLoLP+w + RGgAZKSi2aQXJlIgkzDTbMCZQVnZkuRCqUhCa1OMb7vrSx/77Idv/uLHDoxvz22XeJoll12Lfjiz + Ga4M2CyMWkF58bNIDZi2gMASpRgnPbzR3/7lL3/avsCDD6/ef+VBj3/IE59w5Tc+ZP1KYC1apBQC + olAoBlNAGBYtlxAHAFIuBIQQFKVLPTVCdWxiFnrNqOMVZDv4+3TnW11/XpdYn5vlVafCY62dc845 + 55xzzjnnnDstkjQPB0MY0tYkti1Gg88O2t1TftRqgw6WkwExgokt7/jMChFke/Nxux+MjW1cMSwK + tUyyGGtmAwrAAJEnWzvnzrK6AqOUAsDMYox1FqSuXlpewFTXRZ3ncp1zzjnnnHPOOeecc84dTy79 + v/23/2YyGb/1rW9LSYkwmU4A1G2ui0afdTRYREo5/p6HRV/yum5+kTeZcxaRGGP9167r2rb1ceOL + RgJiFCQDESKjnwBAl4MCYLICApvNO97vPOOjk6UEEBQoYD66V0cNdd/V/AqfQnXOuQuKmTVN0/e9 + iIhIPVBZHHvUQ5e+7weDwakkTDjnnHPOOeecc84559y9pLNOfDM1LUOBn/jxF3zwgx98/ZveTICV + QgAT73Scc90+aERqBlgMknO55t3vuvbd7/qxH/nhf/n//NLe3bsHo2Exm6R+9/r6dDoFMO2mu9bW + 68TucDjc3t5ejuZ1O6ppmmLa9/2hzY0Pf+Qj8z6IJ/jlE4g5p0QAAVHoOc96dmAWESvl+F/i7oXF + 7EN9dZzklhf6uog6pbLcu7lG3QPY2tpaXV1dvllN7z6v9TrnnHPOnRI2NCFOJ9vEsmrAZz+39bGb + H1h6qBKAeupG9YYAlAxmVNrBgYBHfc+zk8g20cra2vb4iDQg49ntABBkdsx+uj3E650qGci4BvFO + AqeVwSOec9VtH7vxsiHphMxylKiaTvdHVkMgDLoOX/va+CM37vm2J40pZAZgbFACTGEMYswWV7qL + mZkxZk0/TBV9IoPZ2VwzS0EyDIMWgBUFiGYjEkAdISEoQerTT1hioHnuNYPMjGwenXta90uzVcBs + MDMrem/yuZ27CCia2f+TwrS+PRmB4yCVglJC23xle/PK9dHX//Iv3fBLvxJu+bxtd6OVZmu7X2FA + 0TIQkTIUMNIyTx+ZBQNT/eazl5oSREFghQrFSe6EbG8TxwcOHLnuvcN9ex/6Yz/6xc3tYjIcDTe2 + xzwYRAlEVOjoe6nOXsfKnmx9sSNjIxigpFGhYCMYce7zymDY6TSl6WAUaGPjsolu/Nnbwqc+tX7w + MEAMslLMEAjEyAZhCIWNkmx1beP+lz/+n/7Y7W1IEsgAUpuFWCsAUcyeWFa3RQHz4zZ/x3Du0rG6 + urqxsSGsTdNAQsl23XXvfvGL/82Jbl+759VNCqWUwWBQJ9HOluVZhkV04plllJ6o75/X4/V4PV6P + 1+P1nPV6AKgqEdUaiGjROuB88Xq8noupHuecO2vuEWgNnOz4QYE6MMvGSjDKxtkiigJDHOi/9LYb + bvvATX/7zY/+jic//qn3G162PthHaHuM+6lFbmMg4WilzCZb64gbBYPMJkN3DlmvHYtQlMCNwggm + DAmiqRDYzDKnRL1KKrFPcXpg87abP/PxGz91w9cO39o3Yxvlfn3c8biEo1NUopjN3hrrfIxRSbku + DwYwGycHWWZDIcCYLRRWGsXptE+5K2X6tU9++X03XPvwfY947IMe++Sv//Zdw72lDDk1gUeMqNlE + IqTt+gK1wIGZrSgLrY1WxmmsBBBqBDYzVBmAHfvIOrfjfNrGOeecc84555xzzrnTIEDLoY6Vx9EQ + lrFn7Ueuf++WDA5v9SlpVkiAGtSUdngVKwNs2C1hdOd+pCn6sVqJzGE2nK8CCFCABLa69Nw5586S + UkptCE5EtUvFol04gBCCzi1u4JxzzjnnnHPOOeecc+4cUFIlVaq9fgAAxie8AG2IDP2t3/i1Z1/1 + zBDADCIwwzSb5joOPN/+qmYn7IUdQlj0aBaRmiupqiHMepBtbW2ZWdu2KSUfN7441K5XCYAQmLpu + CmFsT//g6c+JBQAHRdBZ17nCKLz0tNwBZLXrImYXAJ5e7ZxzF5dSChHlnJumEZHaN6peX1Ml6lFH + bSPlmdbOOeecc84555xzzrlz49gpMDLASte/5Dd+84lP+AcNMWAMhBDu7YyVnSh2g49eiBRGzAp0 + uRBx00QjvOZP3vBN3/qkl1398q3xZNJ1g9Fo0vWTrk+l7NqzZ9JNs5ZU8sbW5urqqurd9iGSgTzu + Y2eYAUYSmg995KO3fu32+ms2oD6atDzLSQBgqgCIwMBjHvXoJzz+8Vr7F9YvpDPJA3P3xMwpJVVt + mmax7OEksfQX+rqIuh+wfqwbBuv1OefFHwRVFZHxeOyZ1s4555y7UBAgWRtwwzbsJ4ff+Z712w+s + c0zHJFKb1KNtI/TQfjTYfsTD8JRv6YYDM0pdH4zYmAxKUECJbR6LfbpH3zaLkjaQwViUg2ohHGyb + y77rO/V+9xtzkygorCAXnP7hPUEIAwIfuevWa9+9Z9JJmkLmuaRWU0655hqTGfuJ3kXNzJhZQFAj + tdInIVqc3eu9ThUwA3HooVhbUZpFwgCzV8pxEEmM4EUk01Gn9VQUEFs9nZ51ODnJyZpzlwYuiAYB + oJRySH0omaGE6XQamCUiIY327v7yZIIHX/FNL3rhxoOu2GiaksOuENkQGRBMO0gNsWZkBowXfzHY + dJZHTUiMzJgPYZllHVAIHKZpugrd86Wv3va6P8V7PnjlVFcGNLZp2zYDA3calQ1cGGJgqyHHAOD9 + 0C5uhNlxCACAyZgBIy5E7Wh4eHvTIjWj2E829pYen/zi51/3p3xwP9s0csNgVSMCAVpQMphDXzL2 + 7Lp5GB//op8re3brygjgqKjJ1jSLdZ9tp1ICAWQMcD2E87FT5y532/FBAAAgAElEQVQphw9trK/t + Zg6qIMgNN9zwf77oRWpH95wtbT5TQFNKRBRjrEPidTPCmcWIHteiXx/mkaU1Z/SMv88xff+8Hq/H + 6/F6vB6vZyfqYWZmJiJVrSMwZ/HN0evxei7xepxzbmcs1pHeHRmojptpLyUFTaKFVSmDekFmA2fk + CSZjJAjvDne1+9/zhb942V/+6l9+4E0fv/UjR+RAGm3qcKLtVDl3aarEsxBrqsNzdaR3pwfgMrWd + xnFGn1Rz4jw17Uz7rH1qmxAHIXFva323e+umu67/L+/57d/4q1958ydfdQvduH35nWnv9rTZSjRR + gyjC/EIACMZqkokykRIpE6jOCM9HOMnAWts3BSDAhDTk7YxkSn0XjkxXDhxe+fzHx+9+6+df8+/e + +KJX/e1LPjm+fry+fywHM48HbWNFiYViDHHAIYpEJilJtzY2CQBlIIMyqIB6UAKdyaGgu4AQ0fJy + zfsIP0JyzjnnnHPOOeecc+5U0fwCQj+dgIBBq4ExXJms7B7sucyEY4wKgKmUYtjhYV8DC0KfLpv2 + 1zz7GRCNkaxPUA7QAKPFcrmdrcM5dymqS51CCCGEnDMz19bhtWFHSomZRYSISinHtLNxzjnnnHPO + Oeecc845d9+RUsfMIYSXvvQlT3/60+oW19ozjGqrPrO6Tw/AScZ7c84pJQCrq6uLlmPMbGaTycTM + VldX6wba+9qSendvEFDqQypoBy26KZLtK4gKAGyQ+VPmblHrO8iWW/nPu0BC5hcACjV4zLVzzl0M + Yoxd16lqPeSojTaYWVUHg4EfcjjnnHPOOeecc845584LMjCAoqujlT94xSvXVlcZiBxy6s9NAXV0 + NMRoQDKd9CkbRHiayr/7tV//9n/41Ne/6Y2Htza5iYPRkINsb2+TSDETkbZtNzc3z02dDkAppcYk + vOlNbyJQDec6xj0nNkMQAr7/ec+3okLk+7bOupRSjJGZSynM3HXdyWOqL/R1EbU9IoC6JXDR3DyE + UH8DNdMawGg0Os+1Ouecc86dlowReCV35au3fuV9118ZBjFlWoqLZqurHBk1XFPiAbMHPuvpuGzP + tjAbSjcdhCBKbAxAGUow1AzO0z4OV1KQzlKxjcggZgBP2gZ79zz8Gc/8ctEcOBD3xc4gdpGAUsBB + Vosd/MCH8aWvric95ii2kBRihrK3ornYmVnNsWY1Ic5dz8x6ds9UmDIBo2G9OyJSIyMYHa/bOSE0 + scCOu3y3Rh+c1tOeZyWQ5ozj3qNzlwoGoGAjNdLMOUt9r2EG5dwTW5e7riTet+fLDHzjE/7BC/7J + gX17+7DSZRUIBH1GE4GlfA42AGyL9f/1Y40CpfpuqAFcUIiYjbLaahuuMAy/cOvHfv+PsP+uePjg + rgFTGrPqKARLswT6GnK8+M5kp5dt7y4886OmYx7naepX11dTP8Z0a1/JYWvyxVf84a79d+4RSACg + Wg9UBIUk1XcP4Y5xG+jrf+LH8c1PPBjCuFgNnWGDmNULGQCdRdXa3d4gyJ9szl1KRqNR32dmZg63 + 3HLLC1/4c5NxilFO8iVmllKq+yjrp6pKZ0kIYTHSXr+5iJzB/M6J+v55PV6P1+P1eD1ez07UU++6 + lFJKCSHUvXu1k8BpOd379Xq8nvtyPc45d0E5/lGEEpS1sGbRwlAGCFawOgorwyblbqvbyk0qo8mG + HHz/p9/3+nf/8Rvf+eobb71+3Bzo45GOtzkwUAffGACbAkqzobmd/HlIIVlpqpYAExFpWg4NN01c + bQ73dx6hO/vVrRtv+8DVb3npq9/28psPfOQuuW08OJxGW13Y2sqbkzQ1tpXRoA6GL4bEMR/AXFzJ + evTj8s2OogJSK2k4CIOWCvVJUthrtK9sthvTXXfdeMcHrv6zl77yv/32p+/66HTtriPhq9vx4Fg2 + +5ByzAl52ncpJZG4srIG4zqYaaRABgyUQdnncy8Fy2tN7wvrTk+4TNY555xzzjnnnHPOOXcchj7l + puFmFPN0W9pBlmHT64F29Pk77nwoWyO2NcVKS60wSbDc7XQ94w4PWKNbuykmm9i1QrGBKgoAhZyb + 1vDOuUuRmS3yqusSKGbu+75pmvoR8xYei6VRzjnnnHPOOeecc845584xnTW/W76OAdDS1oW69Q6w + 4XD40pf81s/+7M+97/0fKMWYwUxWzMxKqRnFBLNj28nMiUgIoeu6ra2tEALNux4T0XA4rCPGtfFx + 0zT10535od25w4CiRIhZSX0f20BB0KXVaQk67zw3m6803KMV0dlHBlKQAgwCCAUAIAAZwwDSGrmu + gCz32HLOOXeBqLPPRFRKEREiatu2/lNN/qgWnaSI/A+9c84555xzzjnnnHNuZy1HLi23rltdW9nY + 2nrUI658+e+97Cd/+me2+w4AgU444Xoad7n8ybG5TXVcVE01KxgxBs2lFOuKCgOKL932tf/r//7F + //rHr3vxL//yU57y5BjEFAojYZbAQGFu2zZ1R0O4bZ4x4846EVGzQ0cOv/2aaySElBNzMMBmnanr + 47vUmpAZqiUVAf7nH/gBAcUQSil1DcD82ehpXvdWXc9QJyMALCYjTuQiWBdRZ1VijKqqqjXQus7F + 5JyXtwfmnE+S8O2cc845d9/BhkYGtrVx/9Af+OAH9fbbV3otfW4ia1aj2n+cBQAUhMzomvbIyuix + Vz398O61jT5JkNVh23cTES4MI9MaxnlG9SjBSAu09iMnmNj8UL9pDxrve+azP3Hte/fdcdeKkGWA + MVsBecqs1qZlCN194OD0XX8zeuyjmi4lCYXBBiUUBCOIZYKanztc1BgwMwbIEFlS3/NZPrNnVVUm + DAYGYmYiMj3aap2BMj+fNQJgFIMSlMA6W75LNEvJXaivzeOaXW9GIABU03BBJWX4IjF3SVOG1heX + MTIzwGIgUxaJsemm2zHItGjbDjXIVw4eevD3PPOhd955xyv+7GFYB3V9GieBNLAJ2MCG+ho1IPHs + VSkGGHj+SjRSJTBLKJS0Z/BK03R9nzU/cDS67ZYvfP43X/KI//ALd+y/fTDabRQVTERiihoHQtB5 + fHb9Ec7jr8+dO6SFAWNWEMFA0+2tvSvDeNedu/r+4Bv+dPyxmx6Sp1GQ1aA9AURQk0QM4TbyxrTr + VtdHT/iG3d///EN7921ubw4GTWeFDFHBSsFQCJm5MLQG6tTcd1I2EJQBPQe7Wpxz9xU8Hk8Hg8Gh + Q3f983/+s/v33xUCp1RmZ3T3+FtQ9yDUvZDLAUKlnOaJ2QnUjNI6cVCH32vLvtP9Pifq+3e68xFe + j9fj9Xg9Xo/Xcyrq+hMREZGu69q2zTmfwST42Xo/9Xq8nvtCPc45d+GYj7vS0QFYBkRFFKVmKBMW + s59M6PssioYRghCxlqCM3HYWDx64/faPfflDj7zf477t677zGx/+1NW4h/oIi8Bs4SLDlLQGM++o + UhKR8ayJUjDjRJhQobbklf7Tt33sPTe+/dO3fawfTssobfYbo7Vhzn0eFyK0HCDQrOPNaQgMg5LO + RsNpPvGkTMaAGuHYsWta/D4V825Ooxa59KpgICm2E6KgaeK0K7lsr47wqSM33/L2Tz/6IY996rd+ + 52Mf+o1hvIsnw1TioBk2saXMOeW+GMW6PC/P76yO0/tk7sXPzO4LUdbL/GnnnHPOOeecc84559xp + 6DNiEwylaB8GDYiSASTPf8c79o+GsjZKXV5vZRAHhpL66Q6XQyi0p20x2drDBcUw7ZEVLIAuRr35 + 6Dig+jJu59zZUpfhhhBCCDlnZi6lNE2jqjXZGvMWHmaWc/77vp9zzjnnnHPOOeecc86586NoUstm + Nplsr66uXn317z/1qd8GQBU56yIYEsDJ976WUrquIyIRMbO2besGv5xz3exa9w2WUszMt/ldHAgY + QKxkDtK07bRMkTIMK0xkmLXHorvdfnnX087UpGAFK2i2BWo2W1pqzy4GYIBBDZ5p7ZxzF56aqTAc + DtfW1mhJ27YhhHqssjjkOIO2Hc4555xzzjnnnHPOOXdWkGGyPR40TT/trrrqqpf97u+2LATcffZs + R9R+xBIYBCKkPhc1EEAoCmNwYAM+dNONP/QjP/wTP/lTn/gfn4Rw3RY0nU63J5O2bbe2tna4TDdT + f/Mf+chHNsfbqRQQQDTPtF7eGXqsxz3msQ97yEObpikp8/EmPmvnRg8jvzdqlrPOnaRX8sWxLqLu + BxSR0WhUtw3WKZgYY9M0NeL6fNfonHPOOXcaFFyI2gLaHH/l3dftUWjfRQB5nrMLNhDVcENCZhxA + /5Anfwse/KAjzNRGEhTkUgoZizIZ8TxJF1AlPd3DbSM1gs5akOsi2drMuhhw5cP2POlJW9CSuxBw + Zs2rRaQUxGIPZvn8e96LuzaHCagd5cmMoET1p2YYef+Zix3ZLJCPicbjsdlZHpQohsFoiDYaASxE + UmB67H0snmYWmlhgRscmtp/ZqeviflJKZ/iCce5ioVSAwgZSIQtkzAox1b4TRgiBSFaala3NydZk + Krt3HRo0l/3gD6w/46m3r4+OkECaELC9jcKzHA4xGKB8dIQKgIIVwiais7Eo1VKsBECYS8pQRCD0 + /f2m4+6jH/3iH7z6ikxruWekQiVTIQMBRihU01O4pmi7i5gBgIK0HnVkhpFGRVNMUxo1EqbTvUb4 + wA2ffctfXpGma1SoFFUwQTgQpJRiKIh0aNql3bvu2Lfnof/7P9tcX7lTSEZruZAhKLESG44+gWW2 + bYRLfb7VTGt/sjl3iUmpjEaj6XT6f/zsCz/72S+yUM5ah/1PpMZ/qmrdF1n/g86Smku6PHGwSNE+ + LSfq++f1eD1ej9fj9Xg9O1HPYvobQH1zDCGklM6gnrPC6/F67gv1OOfcBeYE3V3YQAZSiNYsZ1gB + EUhgjD7nybQrpjwI05X+cHOo7Jlg3/YXj3z87e9/8wc+9p5pnhRiRSCTGmVNBjblHc6/YEA0RDQB + otlKKYW1b/rtZuO2/MU3vv81f3jN73z8zg+mvVvTweFt3hzuGfTTiZXSBJl1IWCSGGLb1mY4dZVl + /VXURjVkdc0mkzFZAALASlyHOBctdOoFBDPkDikhBKysNINWDDRNuQRqdw+7QdpuNqfrWx+/86Ov + ftfVv/9nL/nc4Y9vx4O2Nh3TkY3pXRl9aBpmMQRYhEXSsFg3WhPH3UWMiBax1nSfWZzp7Tmcc845 + 55xzzjnnnDtVBiCiBwgQU2iG6oiAMMBosPnAyw+kTQYabsZb41wsDnZ427lxMYJiOxfpt9/wvd+P + LUU2MCCAAAQxNIqmrure2Wqcc5eWUkrOuU57hBAAqCrmoSY1swRAzpmI6g2cc84555xzzjnnnHPO + nRP33OnBSxcAgPHsAjCoCYHYAJjZcDj8zd/8zSc96RvbNgJQ1RBOac25iDAzEdVuzl3X1WTrEELT + NPUGtYX6fWcxvbu3DJRKpADAgIE0EEZOXdeh9pxiLfMNOWIqurOdp2z20WogQJlfQzZ/WRhgrNAC + 1R3eE+Wcc24nmJmIpJQmk0lNUwDQNE3f9yGEvu+JSET6vj95zynnnHPOOeecc84555w7W2p/v7td + RQrSGCMziwhU/9H3PfeVr3xl4DPaXEM4bms6AhOY5v++uImIlFJKVhjMZv8mbQAzmNSQixVAgaT6 + rvdc97SrnvUvfv7nb/n850IIw+FwMBhsbW2t79p1t/uyoxd3duWcAX7LW94yewSJVE86iVl3bxF+ + 6Id+CICq5px3vsxLzuJRMDOeO8nUw4W+LqLve2ZumialVBs3L2K5c84xxpxz7Z9eZ2TOd73OOeec + c6fECJ3RqjT40E128y1tKQmgQZuPntrUJY1W+7n3zOM9owd8z1WF2kmnIUYjPdxvYyAAi3JUBLXZ + 19SFkadHATMyA+vsyxUAGTRlDEZbo9VHXvVMrLQFIMLJzwyOi0C13XU0jHJf7rhj428/uEsJQGYU + VgVq7uO8Hncxq2EtDGKDFd3e3Cql8NLz1uad98+Yma2sriKEMm9oVE+F7pFsDQUgLE0E0+J06URj + DCd/fS1/Ve3znrv+TF4wzl0slLJxB+7E0JTQpthkYYDMhoLpxmZomlTQb/aXtetrErrJtDQr0/W1 + K37hpz73DQ+6YyUiDrTHICATktS/DFozrcUQFGIAUIgKBdIgSqKoocEGUATIsmkjUYajLueW866t + jf4d78tvuWZlewrLmzrJEQDY0AsyEysHhdjdN7m4i1EhGNWNG5pZMyMoYsEwBs4lHT6MQxs3vOyP + HnRga19DRZMAzDAJxgxQAEVV0j414fbh4HEv/Gd4zMPSKFLpk1FCUDDAmbgX7oQLMyvHUpOtqRAZ + gQyiUFIl9RFu5y4dMcaU0ov+xc9/6EM3ACjFBoNB0bLYUHkMMxsMBiGEOhfQdZ2Z9X1/tuqpIdn1 + v+tdnEFGKU7c98/r8Xq8Hq/H6/F6dqKeWkOdBDezOqpzHrfseT1ez8VUj3POnRcKGBkAVm5TGPZN + vcQcpMCAIsgBWJGwHkvMR/rNspI3m8lG2N6gI1u8f4sO5DgNIzLiGsPMJlyTrWf3sIPIWHIIqeFu + GHQU4sAaPdDf+okD1//On//7//7Vaw6tfmVzdOchHJ5KVrFJN5GGKaBwSZR76ntKY/TbpcusylqT + rQFmC6JBNAAK6gE1UiNV0nmONc/SrIHC8wshKwYtDwaSE7a2+z4VZYJwMj0yHSdOGPKYpuMw3ebx + 5++85b+86WXXfei/7d/+QljLzZoULkmLYvbLhDXz/xC24IPn7rzwp51zzjnnnHPOOeecc6dq1ucc + AAhKYCaGFYCAwcrz/vptfYgA0KUBh6YJfZ/uudfibJekgK0M0U67B991GJtjwLKWeTsKnW3VMJhv + KnLOnVUiUlcp1RVLtTPFIspaRIjIzO7NSibnnHPOOeecc84555xz5wAzp5S7rltdXc257/v+gQ+6 + /ytecfXDH/6w2ov4bmO8x2vcUJVSMG8QVluhdV1Xh4sB1B7HzLMvP7OdtO6+iAWA5gJoMQMYQaQR + UCGUxa3IwLazmdbze8IixhqLDv62aOTPIDCYZq20nHPOXWCYeZEGUYM6mLm2i1LVpmnqAUnNXTjP + tTrnnHPOOeecc8455y5tZtZNppGlCbGk7nnf99yX/MavNyC+RxD13/eNTmlaa34TrcOkxCAGDGAw + U+kzVGEmIRiMmUFkoE4LC/7r69/wnO/+nl/7rd+6bf9+EuYmbm1vzXrw3aNKT7Y+u9TIhN/+9reX + eYIyzHjeNlfvuSeUiAEzfM93P7uOmbfDwXH3bdWdrfcmn8yp6iwHjnnx8URwIa+LqDMsdXtgzrn+ + sHWqpSZ/ABCRGnp9vot1zjnnnAMZkzEBmHUS17slYpIqoSY3B+pDGt/5jr95wLjElImpz3npTKeA + Su1XrsB2bPGYx+Bxj+2bQaDQdx1IQ2SOweYH2GSnfCp3wuJBqGsp1Wh2JK8wbcKRQWye+A16v8un + jRRIOP17MiDnzEJC6CbTK6J89tp3YtoPytHFnGzKpgZWjxG9BBCJEQga8hTbm1SUaJZmjXt9gk9k + KuDVNTStGplZMSOyxWphBc/OTAEjNpHctETHpAiSYZHyrsDR4ZLl81k7tlg1qk9jIoWmHrlfvkVh + LawgXR6BWTSA8hNldxEiRX2LMWZjmr/IyKxpmu1J17TDlhtLOU+6pmm2Ut5aXT14/73f9Us/f9eD + 7vc1pgTcLR9j6ZXKi40AmH2k2X2BGSEiJ+RSBrEl1clk3Aa23F/GtOu2/Z947RvwyU+vHz6ytxnU + NGEFG0HJGCoGGNe/FUYwUiMoKeYv2Hu+S9UX+k73c3NnVz3QEps9berzh6FU+rab3L8Z/o+X/+Hu + /QcvL2WyuS0BRDBDyjnl3kyFKTAmRHetru592nfFb/+2g2srYwWrEYECLZ4ni1CZ+obCBrI6tq48 + f5cxmr1eToPND5lIj74h2exJW48n6QTvqjX5ZvYGZwwjJao3JYMSK/nBmHM7h1X1V//Dr1177XUh + sAEiNO2moJO9i3Rdl3MupdRx/r7vm6Y5awUx19DEMj9BW4QpnpaT9P3zerwer8fr8Xq8nrNej6rW + 1Qj1zZGIlifEzz2vx+u5mOpxzrmz6XSHvAA2ZguswiqszMb14KEUFKDLZTxNWUsz5KS9EiwAQ2AV + pe0K5ZzTbMCtzgcdOxVzOrXXMe35tK/SMfM7vHQBAOEIkkwlhW47bHxp/Kl3f+IvX/O237tDP3dE + bpu2kzyANbAAYmaWYnXkeRbPYQwWxIaMoItfXR0Sn8dIG+rQ3z1XYM7fNZaOqhRIqrkUYwQJIpFI + zIg5tG0wYJqnaIwHlqibynY33HrXjX/9mr+6+u8+8+4NuaNrNnsbh8hkYGMyImOAlbjMB8xptoaU + 7/nbAOnsUkdiffD8wnRfW2jqh0fOOeecc84555xz7kJVSqnDbWamqudg6I0BggYoLCAMAS0oJkAA + 2gGa1SkPSQBSM+vMLISdrYlUAlLuS4/LII8+dPAd//DbkCeBCYWhMGgCCsMYesyIs3POnQ2LvhuL + xRkAFv0pFqugfLmGc84555xzzjnnnHPOnTNszMY8TxGuze+OudT2KEZqgCqIpI2DbjoVERHS1O9e + H732da95yEMeHBvKWQGIRM0UpKnBwKDjzDst5uxquDWA2sqBiEIItetx3ea3GECu7Y9t7hz+ntzZ + QLM5VBYQEKgBWnAoYqBeLImBjGCwWTfG094QdXrlEMECgQnMQARifZ4SEIAACAAWcAQvwq0XX1uf + wMsW12Bp1sM559z5tXzYUP9W1+vrf8g86sNjFZxzzjnnnHPOOeecc+fG0bCMo8kZbGAzG41GOfVW + cgCi6j/5wR/8nf/4kgHLUm85auO8IT6DZKkbHyNGmd/FcS6zmd/5ZTYXvAh/KrACGFCg2WbZ2IaS + Mmw2saswNSRDAo5MJr/x0t/+jmc843eufnkhMpFCVIiMAKY6KhsYxKaWYSUIMVkpyayQ4OgE9FIS + Nt0jdepSQEZL4T5U50sBEFHuUxsbK8ogIS6l1BDxt157zcb2FupzSQtBtaT541sfNwZq50QENTZ8 + 8xO+/tGPeqSZgoyIjObBfkvBRcdNJXf3VBuC55wX19R8cSJq23Yx73By9fYxxnr7+t2OmcvIOdcF + Esv3dd8hIsxcu6Ivz78sql3u7+yccydSV9fEGO95pXOnoj552ratn57F7Ch30aidu4NyUGYDYMpF + 2cBBFVAKQn1JxTJLZC1xcifu+sptH/jI6nZZDy0zj0tJkSiQGkg0mUr9K9Ws3inDh//Qjx4ZrEyE + WctQYmOBEyyXwppFldhofpYzj9Y+9Qsbs1JQCqpsOovfBoxYm2aLbAs91pqHXvWsQ7su30oUeUh2 + GkdfRiiwMBjmYsxoCLxxWD71Sdz8ibWuF1UiIYbYJKADWC2Y95+5qGmBAT0ZEYZpMr71CwPmolCC + kTIgBlY+yZn7bJTjBBeQZUbzoCsgUamBhYReuTBKWMSJguuZaSbeapvhFVeoKmcEIQAFAEkhFAao + EAoWedvGmOcEGFgJSovza1BAUjMmUFsy9YcPAxADwAQoaRbLYkrGpqFAFEqzTN5jQn+duwiwMamw + UWFkVq0xusZGlEkyUyOh5F5JMxUZNFlNzTqRg3Gte8RjnvxzP/eVfetp1JKBEmKBcDSwmgqoWP2j + ATKImlgi5Flkh8EKLEEAASx1hhIZqspGlPI+KesHbv/g//fS4RcPXLFZBimwND2UgaBqpYS22Uqd + MhWCAoVQCPNkawWUTPnuA4xKpETwl/AFhYgEol0aQla4RTJlLgQm3dtPcc113Tves751pJVcj/57 + RZAYgMBg0ayajTfj2sajHvngn3xBuuyKTWVCExGYiumYkNmUoGRKpiDNollUOTNysMymSlp4abB0 + kfXy917AgAAMMjLFLI49GKEwisB4tqWG58nWs1FxgjGKzG5jBCUqFArV/ViFoQYxiBKDauLM4jIr + cjnIZ3Gb8/AQOnefsTwDsvxSJTYJ1OWOI89fSAwOv/fyq//4ta83IGUFQCTzcHptmtlGgzoEEWKc + Zdab1bGIOi+2Q4Nai3mHezPefty+f16P1+P1eD1ej9dz1uth5kUBy9/qfPF6vJ5j1GUMi/YUi+Dq + 81WPc5eI+qKra6XOdy0OwFKmNR3TVug4eL60UUkL91lSlpSlL5yzZTIwIIpgiAIhUNEmY1QQMnLG + hNEHgVI0FiugBDKjOrp7vA5Ef2/txqIiykpmnFSScSIzUTQkeZKitMKDVDgVi42YlU5zGWI82jyy + 8rWbjvzta9//e9d+8s3dnkNpuJmDkYELuDCVYCZqpIAZTEHGDGYNXNgK0Xyi1EiNtY6EF2JD0Nml + rtjkpZWgx14AUM3+YIBAUKhxFimBs1AKZCEgQAs0g7KG6ThuTte2Pj35+B+/7+o3X/9Hd6TP8co0 + 9UcsTaKVhjkwzAxsHbpEuaaLEEDGsAiLMKkzYgQlM6AApqR13bInW19YzExEUkpER5ebnve/rn6E + 5JxzzjnnnHPOOecuSCklESGiUgoR1f3Sp7hF/F5QObq4c54CgHm79qY5OBztD7RdVISJuBTd4SE4 + SxlxIGaIvV7edw8sPboJUgcAxLVQO9E0gnPOOeecc84555xzzjnnnHPLlto6rK2tvPFNf3L55ZdJ + AOrad1AuGagtwYBTa0FedwAuNvj1fV+3+eWczax2oiSivu+J6L7ZvtmdiC2aodd+6rPe+1QbzDEK + K7Py0db6O47mZdy9p/8iiL22tDuHBTnnnHPOOeecc84555xzzrlLk5n1fa+qg6YdtoOc+j3r6//T + 9//A61772j3ruwlYGYwI1qc+sBARFKZgIRaKUVSRUhE5nf5kx72pLX08nqJo2rYvWoCDh+76pV/5 + 18/53u976zuuUSaFpVKylmbQdl1nTH3fxxjrj2ZmIQRmVkZy/1sAACAASURBVNUaDDyr4tKLsj7G + 4jewCCExQn2US58iSymlzpsXs07z2995bafFAAJqr10+wWRmvVaA5/2j59YQ5WI2mUxCCIu7Xty7 + x1qfoho1XZc0qGrOedF3NeesqotGxotWyPdU/ymEUDuD79q1azKZLL4JM0+n0xBCjHE8Hi8eL+ec + u/jUv4cppdr8AUA9ciDnTgEz1xYiXdcBCCHUJYXn+Wnt7kuU1GjWmb22ell05VZVAgdmVYgIB1bV + JucHmH7pr/4yHtlc52BdB7O2CWbUJavJuC0DisJy23R7+PCHrz7uCdO9l41hmovlYqpMBMBIS12c + uJSjaaf5EQAbA8xLB+2FUQiirF0arA03uLTPetqXV5quXU3Z7DTXOQpLSdMMGBERhma7jhzprrtu + YGVgsFxQNKBQSWZm5MelF78CIyLOGVryoYOsuvizunTyfoZtkVTBbQy79xQihNbMaqg0Lz1xjVBD + AQpjytLu2QMODFgxEAnI7PgRnUtP/ZoTykazFyARzGYLmMHCkFgKxlv1J2Jjnsc1sGERfltvXyMK + vAWTu/iwMYytxm8sIkyMyyzQHWww0rreXwkxtikjSbiTmZ74hG//mZ/6isStICIIkbqcSKhpBhO1 + 5XSneaRu/fPBS5ejt6gfOASCaV8eKPEBBzZvesl/4kMbu7YnSJ3ChDlPOwb6vh+NRlkLz16/x/vZ + yF+yFzatURzCTTNQxXhrEqUxASytTcZyx8EbXvaKx0JWctI+M6MYmhD7nJjBEaVAA7aa4V2r69/0 + Yz+KB9xvfyqFIiiSMaBCRKYMrc91NgZg4PqEB9Xrdfl95HQ/ztNtFJRh9UCUYHzs+5ct3vXq19av + WbqNLf5JxZTM6G6DjfUokXlxtGlLL7HZlbzDzQydu1CZmaoOBoOUUu1EamZ//ud//h9f+p9KscFg + UG9WdyzW8f++7+tAfdd1NTqoZhzSfHujqnZd5zGHzjnnnHP3ZXU5R52drL0sloOrnXPuknNKQ6m8 + NNepSlo4F86FVedffmxm8zzkmQtgUEIhwIgMBGVkJVVSozqSdoaM6v9ANht1M9K+z8PR6mQ6zZaJ + NDYyHXccuDTpUN6fV7auv+W9r3v7K285dHPZ1U3jdpbZakkykDId/Ul5UVktmwCGyt0CqhWUlXul + rHeLCT/2F1sjSdjAClawzTrtLK5f3MU8dnr2HepS0sLYtgnv4bI6HY82r//cdX/wV//5I1/5W903 + pbW+SDeZbvb9NATOuR+0I5GwKGC+FnR5kNBAx8RY+xCiOwv8OeScc84555xzzjnnLki1wT0AEdne + 3q7Lv5bbH+wEAgtY6qgaQcGAzLY6BED0ae9991cu3zcdCkHRpwFEdnhpdNsApZihaE65b3LGNCEV + AGABwEAwiPlQoHPOOeecc84555xzzjnnnDsNRLRnz563vOUte/fuadvWzAylaQKRkeFoS6K/T23N + XL+hiDRNUxtG1IbOALa2tsysbduUkrdvds4555xzzjnnnHPOOeecc85dHGKMzDyZTEoppZTx9vb6 + yuozn/6MP3vzmx58xf3H0zEDAhCbmTVNgEGzabaUSowCQBXzxnfHv9zNca46JSml+dcxAx/7xM0/ + 8VM//fznP/8zn/lMMxiQhK3x9mA0LIqmHfYpsUg7GEgIZlZKgVLgSMZkfExbw0tY/RUcfUhUlYhK + KbWFrojEGEsp29vb73znO+ttREKNMjkaR016bGNEIDKe+9znHr0nM2/Le8Zq1kUpRUQwf1yYOYTQ + NE29prZCPsVMTTNrmmYymYxGoxCCmdX1EvWjqo5Go77vd/Jncs6582yxTqy+99WIa3PuFCyOl0II + bdvmnJum8VhrdwybxwfWxt8woppca4UJbIJkwoHBpv1ayfjaoTuuff9uAKSwIqZNQUg6CGKG1KEG + R9NwtDmKj3rat9O+9QwtpjJoOAYQKVOBGRN4ftKzdAGd3kcVUq591Gf/UYSIrJ3k1UR53E+bgMc9 + ZO1p37oxaBCGejp9YsggxLlYIRQhVUTFWrZPXf93OLB/hU1KIUOUhiGzfu3+CruoGROpNUaxFBg2 + D9zJWsjABtHlp9YJ+yKRneyiAET23v/+iYA4O3VafG0Ntz46OGCUQav7LgOLARkAQSBqp9SVaTk6 + 1Oa5ubBCpgEWc8Hhw4xF4gJHpaize9fls+z5p+ZPfncpMzaEYBL7qXCZ7hnyc57xkOc+79ZmTVfW + tjprmUBl3E8ViKsrucxGp+prpyZnH3cYkubvW9OSNFATmsm427vd4xOf+fTv/uf1yRGZbqyvr6ZJ + HjVrg9BY30NzEBKDGLOxLA0qKsFo9nGh5oK4C4oCqig9NBGFpuUgnU5HmtcPb3/q5X+0667NfPjg + gJkYyZAMhhIAKEoHABjuupXoMd//fe1TvvXQcJA4DOPQDKlkVRWReRjPznwEQEUpgzKhLA3V0iK0 + xoACKYgFrSIaWqAFBMqzZ6yBjBksiqCAIlgOlgWZrCbSc73UKJylQOuliy1nDjl3iarvQfe8vnYf + nU57VRCJKj78oY/+8i+/WBVt206nUwAxxjrCUEohoqZpcs5t24YQ6jWqqqq2lInYti0z1zBs55xz + zjl3H1Rb0JsZES060u90X3rnnHNnl5H2ISXJbCwagwZRBmlhlBg7WKFM3LdDTd1W2wy7nLA2HTf7 + X/+2V13zvr/oZJqQektp6c8/W50FzYRMUBgDgW22FJPm88y0NBHGAEOZlDmD83zR5vwjFFA2ZTs6 + FTW7KIuy6Hzq18DIjMyYgqag3rg3UiMYoGAlxFU5cHgTAgu6Pdj4kn7uDR991es//KojozsPpgOD + tWY4artuEiXkcUYimNTeTkqqVJSyUtE6UE/zoUUQZpPZPoR+MagjVOeRt/1yzjnnnHPOOeeccxck + M6t70gCsrKzUK+tetZ28V8wXm9al1VI/VUAIQI/R8FNNcxmHfVJabawU7OxOBup7awYxWxoOW4Bs + e+t13/vdP/q+9yLEWtvy/fu6VOecc84555xzzjnnnHPOOXeKuq7bvXv37t3rb3rTG//XH33BV796 + O4C+n57u91l0cFhdXa1dSgEws5lNp9PBYLC6uppzrg2dz+6P4JxzzjnnnHPOOeecc84555xz50Xd + /BhC6Pu+bduVlZXpdDqebFOMX//4x1/7jnf8+Ate8NGbbjRAswoj9bN5VREqxVIqIYRz0C5/kToJ + oC+5pmQI6L9/+MNPu+qZP/y//ON/9eIXX77vMgpSUjJVo9lUb/0BY4wAqyrUZ3uPxUvhYDlnEWFD + 0kJEzaBNJStw40033b5/PxMUKIsp8xNPnUehxzz60VdeeaWA+r5vQghtm1LyQK4zU5/5ItJ13WAw + EBEiyjnX1IoYY0oJ88bHi0/vqTZKzjnHGPu+F5FSSg11qw99jc2u8Zw18NU55y5Kbdt2XYd5/I+Z + raysbG9vL4dcOndy9S0158zMfd+f73LcfZAZkZHCuBAbYZYFiMwwQqMFQNDcRbNVzfib69fuOLi3 + jWXrSCAyNdUcwZqNgBCAELtp2hyPRw+9f/iOJ2NzY1dKIiIxWNcnLWp2TBObMz72NqAwyNAUEEwJ + iZFZgmK9F4phe3M8GBGmG0/8rqd84i/+ppQUSI+TGnqSuyiFgCj/P3v3Hi9ZVtUJ/rfW3vucExH3 + kVnvoh4UVfJQQLEBW0bHFnn5QAEVGkcQsBVtUAen6WmdUT6KD0bpHkdsP2OjIEhLKw2KoDyKopoe + QUB8UNAoj6KqpKiiqrIqM2/eGxHnnL33WvPHjjj35pPKIjNvZtX6fu4nMjJu3BMnIs6Jc2I/1m+5 + nknWgte7D3zxLz90+ZUXT5UiB6gjZiJSze7eJQqbcxQRqQokB1V0EvdvMkgJpDs2q5Pcxgal/v6s + y1dcftkscFJRpuWXWQJKBoAOX4uVkAGsrWUOmXiRZs10zExtPWF5JFUQoeyXJOpUKlUcOFiicEnh + FJkYkEXaKB2Z4F7+a2X9zQOZKlS1gnjH93TxklF93vOev7Uxu/G6913dNCm3EE3A2trqdGNz4liz + lGxpOTxhWo86LJIADCUINCXdw+N2Or+kDl/80F8eePCll/3Qc2/50m17zrtk1seUk/c+UyKhsucu + ckR2VEjLVD4QZEi2Lh80rMjWFnbuUM4KZKijUNVVN5uOJO7pu+m7rtv80F8/XPMqOKbMDnA+xxRF + 6go5Y56xUq3clhEe/3Xj5z5rM/DBnLhZyQxJwswZIqIAhJj1NF0OlQYLVrDAA5l0eZhT3rEn8PKS + gUw7jrg7AqpRAqoVi4MUK5eNnLSc3wJl0brYqYQAlO/VO5ucjTELKSUmPx4HVZrP57d+4baXvOQl + bZtCcKWdqsxhVNWh6b7ve2YefgtgiEIs+dal78w5V8qcGmOMMcaYs1AIoZSn6LqurusyKsM5d6qq + VZze+vbGGGMAlKhmBimg7IQJqoRMnAns3XRrtr4+UombG3fv2bNna7YV1ujW9ua3Xv/mz3/pM7Hp + tmTDTdhVzaxtWUEALxrPZLsdjUqqCFghpfWuRFnr4naUFjkCAKXStSTlj3b2Y8mOrqVlax4AFjAA + HQKwCUKy8247mxcFIM31GG2bRyPnKndofqjT7u7P3HXPgbue+c3Pbbiu4oQce/JchdS3cKwkAgxN + 5bRI2h4QlNmyR85ZuoChHteus+YwY4wxxhhjjDHGGHNOIqJS2r6MACuTvauqOu0PLMsR0MB2pjUg + cc5rE3TV8/6/D9/4+MdNb983CTXBqebTujqVd7GNFSBtR4pxU13QzzGfoR4j8M4ZHNYdaowxxhhj + jDHGGGOMMcYYY45BGSjlTg4zmYxms1ldVVdcdvnrXv/aF77wRXd86W5a1BxbTGkgIkBOPDK+FHHo + um5ra8t7P4ykJ6LRaBRjDCGUKg9VVZX/noYnaYwxxhhjjDHGGGOMMcYYY4wxZ05VVV3XhRDqulbV + nLNzrqlHs7YbN1Vembzrne/4uVf8/Ove8EYQJdEQnIikrM4FIOeck+Shpt4xJgeeovJlqkTsVASA + Z/bMKaUIHdV113Vv+9O3v+s97/63/+blz3ve85qqCsHnPjp2jl1VsXMu9bHvW2YO7IZlylDj74Ho + 2G/MIhfBu9z3zBxj7GOqx81b3vIWAKJQIA9zUY+RCLTo2Y9Zn/XMZ46qWlWjCBERkFLyO15/c+8R + 0Xw+H41Ga2trAMpbU25vmqZtWwAlYL6qqhMkazrnStDFzij6MjpibW1tNpuVYRJVVelRsYjGGHN/ + 0nUdM5cPOhHx3k+n05IDtNurZs4Bw8BCZi4lRIbru71q5iwiBIYqlbLhDspOhaEgISFSJWIBI2OF + BRsHbnzvtRd2kXOKUMfQDEckCg4+pZSTOMkEjOrmvMuvQNvh7gMrvLkIDYyxJkLwJfxzeyV2nvKf + 1JkdAa6kWysEUAEDzgFA9JA80QTuMAFWVr7mkgvSwZv4JL/4CSQwQVSiMJChAXQB6AvXf+Dyp/6L + 0fl7e9ZZFGbPKjnHxaOb+ykiBxKI1goc2PSz1hMLYRGkicU/22GxJ7W5KcO7VsAXX5hr3+XEzKKJ + llWYFtm2QCmsLwRiQnA8nnR0IDhkKKsoHRYvTTtSqPk4q6QKZXiGCoDMgkYEBzaG1FFSBAHA5c9L + Arcuxsnz8MyFLNnaPEApgV2I/bypWGJPo8md8/6Sq6+88kdf9MnbbjvwxVuazXbVYS3Q7NBmBY4Z + 7vDjnQIgEQKUS1LITgwEj5ygyPCj0PejbnrNVG9+8x9OLr7gqqc99baDB/tm7MHOk6TOEZF6gFlZ + oQyQKqAKVuISX+1sbz2XKSDIdT3qo2528yZ2lznC333y82/+r1e3vZsdIs9IACiJuOAo5yzIgdhX + ByLPL73oYS9+fnvp6kxH5OrOo2vnq8yVDzEnEQExFEKLz/ZTfclCpOoZXuFAPpPLxACXJGpSAOLK + mRcyMDT86c4pWgoWUCZK5MEuMSfm3nEWCkpDzg1DypF60cB++Ctpu4MxR898LP0mAhC7lKTv0oED + Gy960Q8fPDitKu76RZ8LM5em+xJcfcxmh9K8P1zPOVugtTHGGGPMWa6UpFDVuq5jjMzsnCOiUzUk + w/qnjDHmjFFSgpAyQEJOwApM2+n6nlVNcXNjY33P2izOMJZbNm9+61+98eaNz2yFzeQzaunRtjMZ + j0Puc+kYIgVIFENPrgxdQrzjo327E2rItEbJtB7CrY9soZPhCKMCgMECKFgXeSWCRez0YWj7ihAg + EXWFrNzHnDVnj9x0s9j99b7r91+//19+049cwldfWF/abc6Di95zUkD9olWEUllHLHOtGQRlUl50 + rJ1cb585W5wladYDaxQzxhhjjDHGGGOMMeeqruvqugaws7T98RrgTtlM7x2LYWyHRrPn3Ec3WsGs + uxt0ce26rvMA8+mdYd6nXI1H6Noua8W4eHXli/vuxqzFmiiBDhuHKsAw68MYY4wxxhhjjDHGGGOM + McaYE4kxppSccwpcc81Dfvd3X/uCF7xg//7No+5YKrAcV84550xEzFwmB5YClKXcQ1VVpYB7KQxh + mdbGGGOMMcYYY4wxxhhjjDHGmPsB733XdSXCNqWkqswcu74J1Ww2XxlPZu381b/260960pNe8tKf + nPfdtG2rpsq57/u+FFpVVXZO0ol6Y79yVV33XQfAOZdzzJIdO5U867omhGnsp7H/+V/4xTf9lz/8 + lV/65W96wv9EREkkpeSIgvM5JgcajSexbU/rep5zShbXoOQll6RPctynyCHM2vbd176XPacki/hy + InIs+dhvOgMEfM/3fI+IQDQ4ByDG6L2HnF2F7c4ho9Go1DX23scYS0C1qs7ncwArKyvT6RRfrnRg + GfYgIqVQcgnAds6llGazGQAiKjn3Z+Q5GWPMblLVocj78Lm6u6tkzhWq6r1X1TLacGfE9W6vmjmL + lILji4rhysvc3KiamSlnJfYKcip7Yi//8I/zW265NLUpSuOWFb5DSH2PGAlEILhQMcWYb/rk/9j8 + 3/9d75peVAiqqqrlq1kfoz8q/pl1O26Q7t1lYkQmkDYRlUgJ9G0dC7Fstqujsfdu1m72vluP6erN + dD6znvT2TwDKH7FjzUDsJx78uZvwyU+vfuvjD5H2WSvHLOLYis/cnwmBVIlINDkCbrtt1GdWUSzC + /7bRUPj+JJKthZDYN+edh/XV5F3fR3KV6nZRo5IZsDN6MBNAWL34kvjFOyrknKKoMLMeVd9/8W1a + eLF+R/1KFUQQBUEcXBNzv+8up7KMEyiB1kIKYWRC5sMWZN+fjcmaOPiUIgcnzF1V3Up0xcOuefT/ + +pK/fMUrHk5rs7sP1dBxw10rDmFHiTVgeWgrO2+J4D3s00MhEVUg9NT2hxpfrSnmh2aXxPmnXveG + r3/QFZc88tF3Enq4mJL3hJyUSuTGYlGs20f8EhxyZA790bGm5ixGRKnrJpMV1Zhyf0nlcfMXP/um + N6/eeeeknzeVy332gMIxCJI9IyUkpVk9+iemxz7/Ofj6r7mR0bAjYkCZJDgn5TjiPWRxKCuf86f2 + slxlJKfiBMO+IIDD9hGFIOUIddi2SouDImnZZViIpSTckACii3h4AmQ4tzzspRsOfIsbmCCCw3cH + YwzgfUVEfZc2NjZe8pKX3n77XQD6Xkq6oYiUTOsQQumm2RllLSLle1+Z5Fha8uu6LpnWfd9XVbW7 + z84YY4wxxhxPCCGl5L0v1TCYGdavZIwx5x4mldIap1SavhatX6vj0XxrMxDv2bOn1WnrZwfyXW9+ + 3+s/d+gG3pvmukUVCWuXdTwO83ms2S/b0Q4/EAzp1IrDszlED2+RK39Giu147J12/Lf8YV6kX5dW + BsFRyR/DErYb9BREaLcwGYeujc7RZOQPtDEE8J75Px644XXv+p2XfM9Ph+j3jNdSbPvMTDWIWSEk + pGWhy549JSwCrXmxXoePWTXnHCI6GxKuLdbaGGOMMcYYY4wxxpyTRBYjxspE7rZtm6bBKYyvPqbt + ZQuBoWAq7ckCVdeMk7IX3qhG06rhOK2919NdNiLUMmuJwQT1SBsb11B4/xOf/KR//GQHNCXJmgBe + tHtbq7IxxhhjjDHGGGOMMcYYY4w52tEj2+sQHNF8Ph2PV1T1q655yBt+/3XP+8Efms/7nFSxKAxG + ID188sYRy3HOlRKTpfRzznlIth7uUIo7n96ePmOMMcYYY4wxxhhjjDHGGGOMOVOGCFsR8d6Xsvhd + 17Vbm8141NRVzim17dOe9KQP/9UHf+TFP/rBv/pI3/a1d13KOWdmVlFHLHSc+YmLILcT3HCv9F3H + zhFRTglgIkqSCRiPxrHrytKi6qc+/dlnPPs53/nUJ//qL//KFZddPlldnU9nqqjrRlPu5y0fFrBh + Foau9NI/rgpmx+wI2Xt/3Qf+24GNDSVm4qxCRArlo/vNd9RbfOxjvu6aqx4Su957X6rxEhEzi2TA + ihLeF8sXcJFswcw5Z+99zllVt7a2AFRV1fd9qYZ8zIU0TdO2LYCcc0niLJnWWFZSVtX19fX5fF72 + bhsdYYy5vyr5QGWEmFtGwO68bsyJlUPwcOTNOR/v4GsesErtb12GWdKQzktCDjlnZdcTrYq6/Ruf + e//1Y80MAYMcJIEApMQAg9k5ZJEYE8RRdUHEqJuyTstDDOdsIpJVPB/5OTbUBC+DaO/NZWLMA1il + SfAiDBFAiDNz8LVsHeyn85VJnTVyTnsYvUg4mRdHCSBOmgE4QlYixzH3VdRLp+7Oa99/8eO+xo0n + 7GuQU43BUVL7End/lnMOweXcQwU337LaZ5bFG04gVgUJHf5Fvmyr92rhRDNyF17zVajqObI4z8tc + QAKhRL/v3FPAgixEF1x51cG//x+1iAeUVJBJmXUI1wYUwgIcsddtj04ngshisUQcCCH2031371EB + JBMzlcgBVoIAmSUPIaM0RHgb80AmKlLVdRsluGbWdyCXg/+n2aHLH/voJ/zsv/3Hn/vFh1WBU0QS + B/LMutwFh51HFgdBIUCUeUdNM1JhgEFz5FGoUuwZGDXwArr5tk+98tce+TuvWWXa70fJU9PUm5tt + 7asS5gGBA0iJlKHMQqQkxKxyZLK1OXcQOVJGFyvR2mOyb3/+r+9MH/zoZYGbits+N03Vtz3l1NSj + rotEzoORwybXo+98Ar7vKXcQXNV0MZP0IwpjIi9p1rd+HARKqqfzY10YiRCr3DmN0ATKgBw2bWqZ + g50JQsjLlBoCWIcDqwiJUmYkaKzEe+2ykoKJiJWoxLovLsszWhxBS2R3iXhXqx9ozEAZgBADkCje + +67rX/nKX7rhhk8BYIYKdV1X7ltaGGKMAJxzMcahDb80QQwzHzc3N1dXV0v6tXPOMq2NMcYYY85y + JcHaew9AVVXVMq2NMebcwrr4jg9I6dbVofu1i2v1aDZr+5SnPJ/6Q7/5pldt+DtktT0U55Pzq3nX + zyOaBm0Xm6bSXoYQDj2q6pEQAF6GQAMQBgSCYdSreognIEgiyFBDSZbtcaXXCSS6SCQpCSVCEK9D + fxsD4KMaLLdzr5UpYX1UzaazUcWadX53XF9385gPQarJ/J7+lte89Zd+9GkvbS56tHcNktPkSBgE + LgvRw9aqXCmPR4ojmy7NuaCcw+z2WhzGYq2NMcYYY4wxxhhjzDmpTAEqkxi7riuZ1qp6uqdzLyY2 + AIAATApHCVA4n5LAMcZrT3vPtTd80zesSi3Tjvh0ro9yir1np8ghYN6jDrlRPq+do48NBLI9QrWs + sU36NMYYY4wxxhhjjDHGGGOMMfdGKbM+Go1EUtfFlZWVr/7qh7/xjW/8gR94HlRT3lkgjE4wSn5R + cl116Mvruq4UbVfVlBIzlzvgjPT3GWOMMcYYY4wxxhhjjDHGGGPM6ZZzHo1GJdkRwGw2896HELz3 + InLwnv1re9Zns5lmufjCi/7krW97zW/99r//jf971raekBQhhK7rSpH90xpV4bzPJSyQqBRIKxG/ + 0/mMgLqqVbWPPQAG3nXtde+79v0//3P/x4te8ML11dXc9t4DjnPXk1/MXBTr7z0KKUQkhCCiAlUR + ZepTfMefvxMgUXHeIwmYIF+mPt13PO3bg/NdbpumkZREJHifUmILNLlPhkzrkl3BzGWnKwmaJcea + iErKxQliNdu2dc6VlPFymVIqt5S/LfcZHvSMPDljjNkFMcaqqmKMpXx8jDGEYJnW5l4SEWbOOQ+Z + 1qpastJ3e9XM2YKXRbhL4W+SABIFC0FEveNMyJRJaZQS9h2440Mf/arUkyJU6Fo4wDOiSPCV5pxy + VGhwlc9Zneb59ALvXcyOdDmcFRlgwFdB2jlwjLhfPZkzu0zoIgDUGV6Gv82ZkKlLCecxQhtTTADI + ITggn9xLREQqCAxi6lKuvCNorfnCjE/85Qcv3v+8enVNwyQhpxgdMcjCfe/PRJWZe2RI7L505ygl + l7MQiMAKAoZsTNaT/i6fmDedf9BVD4FDm8U7JwQiEijvyL1dNhJ4QERV2Y0uufRLoZp0MeBYqerH + ievk8jsSUqCEGZRQdoaH+j6mgxskwhAlZLBbRiCUaIESBUq6zBklC3Q3D3Q+cEwdV3UvGqomQ7sY + m7WVL7XzKx//2Ef9wHNvfNMbLguap6kCVLbbQ4ZDIQMlxL7stTJ8sAAAB9LUy6jxXdtXTBBNLTzp + JSzpti99+tW/+YiXv9xdNr7L6cFDG+PxOGWghIQweIjQVhBAiiEz25Ktz0WsTFlXqlHu04rk89u5 + /P0Nn/2ztz8sVNJviYMjzNt+FJoY29TN6xByjA5UkVsbVQ95zrNx8MAlq+uYHkJVoe2hjFy2NoGu + YXMTzp/epBYiaIL2iD3m7UrsgiZAMimW2yTtPH7p9hWh5UFRlZHr3K/3wHTqXLq8T3AthHF0KjeV + g9yOIyIxCCB0vtrf1K19yzbmcKWR/xWveMW73/2+tVNH3gAAIABJREFUcgvBCXKZrphSKi0M3ntV + La1VMcacs/e+7/thCcy8urqaUgohABgmPO7mczPGGGOMMcenqlVVlcIU5XTOBmMYY8w5h7BoYcvE + gtKnw6TiFEgac+9r31XzLd3/e2//7f3hjn58qJO+GdOhrV4JTYOc4QkSEyuXRZVOWKHDO6KW15UW + bYkZvJ1pjWW3aWnTW6RfMyl5YQAsDLhlU972JSn4sMZJERIASiokSqIkQsokpYNbACLfztOoDilG + r1if1PMuEzF7oYCNeLeG/o8+8Prv/IZnfe1V/5zTuKHKKQAq/Vwln7uEjgi2U7qXL6hlWptTwGKt + jTHGGGOMMcYYY8w5qXQWlvFedV3vvPGMEICxmK6QAShCVBkBQEJTH1zfc2h6+8Th+AX8Tw1ilwGQ + IqNxEIVqbLTH1gzNCkKAZwAxRR+cQGxEqjHm1Or7vqqqcr0M5th5fSjDUYbqGmOMMcaY+5lSyg1y + khUyjDHGGGOMMWczXc5fAEqFZQAENJVPfUuER3/tI9/4xt9//vNf4JSylG4yeK5STifoFivLATCk + X5fqD0MedqkKsbOd2ZwrVLHopNXtYlQniDk/zStz0o879GiUYiWlIqpz7oiEdVXt+37omDbGGLO7 + nHMlRmIIhyif2+WMotxnuHF3V9UYY4wxxhhjjDHGGPOA5b0vCXylobLMvsk5E4SAUVP17dw5J4DG + 6Agv+8mfePp3fce/fslP/O0nbmCg77qmqtu+QwlsUiUiJRw5X3HRVXff1zMPSb3LJYsAYJCooqzA + 4nYAAEN/4Zd/5Y/+6C3/8TW/9c++7jG9qPTdqGlEJKVUVRWJqEpWCT6Uttz7vnL3C7SYhcoiWoKr + c84++K12/q73vFuhugxRVhHsfEewyBpH2YpUK+f+l+c+d7q5OR6NcowQ9csIk5OK0ztjSg+sqopI + GYGwW0MCyqCF8ug551LOuGyc5Vclu2IY21As3pd71w2dcx7uXJZTbimB2c65nDMRlRux7KrWZZb8 + qXqm983QpVLesiE9dBjUUe4TQjgznS9DrGl5p8pane4HNcacKn3fD522Z+xzA8vJzikl59zw0TGM + CwLAzF3X1XVdhgadgVUyJ4uZY4zl6JOWZ0TDLeW/5Uo5Pd61FTW7ygkA5BLEC0AhDBCIKUnO7EIV + JM4mfd+95wOXHuomUbyAOoSSgytwBMl9qfdNoCRJGZBYAZQiA5DF1yxaVgHXPh7vg+zooOsTIELI + i2ehNHzDglOQwjGEOGZxBABlH3B0cg8hIsxQhWZl5iTZgSCJY9oLvuk977vqBS+8y0X4oBDngojt + Svdnzrkk0VcEiftu/PxaFsoZjKykBCfHzI8+BiIqCdlZhAEiSqqoqkPeX/Wwh6ZQ96Lk1DH6lNkx + BFAuVft5O5ydmbkDxg+5ejNUe9ppcG6ech0I/ZFrwkcHfO5o+BABAUxgYskpZ+xdHd980+cvVKEc + kw9lnTkTwEKySOwmWeRgL2O8LRzXPGARgJxAyMyZAE0A2LFk+Oy6uql/8Fnuni/cee0HLp5tQQnI + Cgjgy6dBaUBQDcxJhQAlIQDKQ7CvKjFp6pNjqCoBDlBCVFlN8/l/+2h3yVvHP/aDfu/Yq8vEmUCB + VDS3iYnApCkHYidwJZC+tKiacxABmOtoMt6ijUnq8YW7Pv5bv3vxbJNy6wERZAdSl2L2cEDWFB0D + SODZno62fvpn5qNxYjcn6TwAGUcQ0DlWsBPnFIAOITWn/BIAwQuk9ynkfnVj67KurVMPzomhACs7 + AQMkcIDbPoUUIc0kmeAAhjiNazk/tHd3vvSn2/EosvMaHHnRxXcfxXbqDClIoapgp0BUiCMl+Mc8 + +qp/87JbV8dn+H005iyx6ClTFREwORdyn5umiTG9+tWvfsc7/gKA8z6l5EKVuk5FjmiYKu3zMcah + xb7c3vf90M4wtEVbo7QxxhhjzFmunM7trE5vjDljiM5kDIS5n5MUq2aUlWPsySEwnBAlMKpMKTX9 + Adz5B+/9nc9PPxHXpy16YnS9egcQNIEBAkiP6PdiqICgAIkHGJQAUZZhmKXjEIVzUmZ2nlJKqlJV + rs2ZFQz2ElxylH3ITaUNx7BnfN5F65desHbh6nhtVK80rmYfyHGS1PftPG5tzvbfs3nnXQfuODC/ + ey5TbkRC7rXvchSn7ADWnMGgvo+VI+9dHzuF8+RdBgHJ93nUfe7uT/2XDx1cOW/typVH0Lwa0SSn + WAcnCVXwMUbnQ4KWKO5F8yjEjoXnqFLpiAiyaJ4iItKvZFD4V8waxYwxxhhjjDHGGGOMuU9UFs3T + EIA7EQoeAAJjZeVWco9c3yt33kWnvzVXCUKLOVdl7sQoxzc+6ckv+MjHkFNuaud9CC4DBD+MlzXG + mK+cqg6VNUrAA5bRI13XhRDK2NzyX0t6MMYYY4wxxhhjjDHGmPsFecxjvu71r/+9F73oXzFAcFly + yqlU/DupBaWUJpNJjJGZSzHTUrLZpjCZM4+Zdxa3BTBko5ZLIrKeDmOMORuUD+qUUgghpSQipc+6 + nD+UqugAiKh8sO/2+hpjjDHGGGOMMcYYY8xxEQAFEwCIQiRfcdnl77/uutf+7u/+6q/9X7PZrOu7 + QJxUoMogEKmIr0KK0YeQlrmzp3cVd3QCl6sZaEL49I2fe8p3fvu/+qEX/p8/87MXnL+373oVCVXI + KqKSUiydbqUL+LSv57kgpdQ0Tdt3xAzHWeVjH/vYgYOH5Dj3X7zFqgBKr6UjftSjHrV3795RCIs4 + ZCjudejyGea9zzmXgGTvvXOutNvv1toOIdbz+bxpmvLfuq67rvuyf/uVKD3R5YGcc977krGKZVXl + Iaezbdtyh9O6PsdT8rbL4I2SaV1SYEuUdckTBVB+dQbexKEHX1VLl33ZnNLO0HdjjNkhxlhVVfms + ADBcGT5PRCTnXAb/WKa1Mecu0kVIYAajVCcHUL5XOc6qSjI7dGBvE5qYPnnd9Q9OWudlcu12Sq5g + mRpIixtliB9cZkhzKSNzai9ZBcqLtEJdrpGAdBH2KQQhkDJKkXWUFNGToEcOv9XycB4Y9/GWD3z4 + 6mc8e1SvbKS02tQpJthH4v1ajHEcvEsZ00OzO+/Y06cQKCV1DFF2O7auLxMWy4ycZZlpXdKhpzlv + 7R3jwZd3xC54LL8p0I4qS0oCKC0CbyFJxHs85KqN4K+om2660dToew2gZY78MTd4xeER8swQgeji + Q8ABqe10a46trXp1kgkJwnBCyiAoK6Es2ar5G3MEBYagC1KwinNuE7mbVFf/+Is/fdPtBz/7+dXp + ZpMR6praTpUATaqeOTCnnHmZNl1iM4a0eB0S5QEoKwkpoGCHKuUrfb7p7e+46MoLLn/mt+9fmeyP + oqQqRETkyZGnrMoUYySA9YgWSmBIMDbnAlb2Lsi8GwNN2932uj/Y+6W71nKUDFqc7zDAvDwKKCFr + iVLPvHloZatbUU4qPaVYE4AmKUA9s5L32TkBKJ/sKdNJPQPOSIy2EuJc95mTMKAEJQjKZr/Y3AkA + eMcBZ5mRowBBVVyUZmOjnm1NyCPDJ3JERCq02KKVZFicE6iqslOgB8RRJop793prHzMPYM45ERGR + yXh1Y2vKrHVdT6fTP/uzd7z2ta8nQl2Htovj8Xg2a5m5ZAKVuQYAUkpEVGYi5JxLUxK2z2NtMqMx + xhhjjDHGGLMLQl21sY3iQxWcc7nrNWld131Ose7uzrf95/e99nMHP+4uiHdP5/UKNJbuVOxsh2bF + 0Ei4vJUXzY8ayv+VeyWAFvdr21jXLlROYkKkypEqOMlIx6QOkbh3I1550N7LH3r5wy+/8MFXX3xN + Q5MGK14rTp4SMxwRZfQZGRB1Ii4n7ludtzq9/cAXP3Prpz5986f2Tb/EvgKn1PU9Jd/QvI2Tyvc5 + bc5TCCBkhjpUW4faep2naTa+cPXAoX2vfcdrfvyZL3vEnse1B7bGTZ1S74iJXIx9ExyJcHmyi747 + LLuhrUPsXFJKbJ1tY4At1toYY4wxxhhjjDHGmJNAumyfJUAFJGUaEbFvVQNlpx1G9fOu/8BnH/2Y + 8+Ew3P/0YEUmJAYIdYJTMFDndIlktFOM9jgmZAHhwPTg6toeAmxekTHmlMg5l0G6pRT4UBO81IkY + jUYARGSovrHLq2uMMcYYY4wxxhhjjDHmOJZl7JYV8rCcqHBUJxcDUPjgvukJ3/g7v/0fX/ayl23N + +/JX3oeYMgA9blmxIxHRdDpdX1+fzWawMhBmlzBzjNE5VyrYlpq2pVhJSVsfkq0BTKfTyWSyy2ts + jDEPbN57VS0xGACGwAkiKiEQJfG6xF2XTu1dXmNjjDHGGGOMMcYYY4w5oZLMxISY8t719dls9sMv + +KEnP+mJP/FTP/l3f/vxPicHeB9iiiLqnEt9BOFUZlqfePLjEf23Ch+qNvYAKhd+7w/e8M6/+PNX + /cqvPuPp3+2D71NKXb+6ujqajObzecmj3a2Y3l1z2Ou5XSKwtF2nlCYrK0mll/yWt74tD3c76l0o + bzETi4hmIQAq3/+s722qmkQkZRUZuteP6Gc/KspuF5Qu19JoD6DrujK5bBeHBKSUvPdlytt0Oi1r + dQYe1zlXMq1zziUko4gxllCNuq77vm+a5gyszAmUqO8yGbD0uWDZn15uGfKtz0z/S1VVZbMJIaSU + ymt1uh/UGHPuGob3tG3bNI1zrlzBjs8TLI9H5Yiw26tsjLmPSHec7i7OogWAinPOJZWVwBe07f4P + /He540ujPpbgXgGDqORiLpZAEMBBuKR5lsxdAlMZQFsSdglg2nH9K7wk5RKyC0BYljmIBUPBixBg + kLDTxRqdZEzjse5MUEIT8+SWu/DBjzbPeGq9OnYZmgmMfBZ8fTCnCTnmrKM+9rd9KW5sBKSKSaC6 + 3ODdzgLpevyNTRcp68wsKknhHLWe5KLzccXlcxXPnjNIVKl86SsbefljAXEppk+qFALO2+MfdMn8 + MxvrpUnkyCLtDAUt9u7FImhH7C4AAhNEF7soOdWcEmZT3LVvdNEFPXGEy2VdQIAu/mo7Kp5x2N5n + zAOOAkKsJKDMgBeQUtmnxOUOoGYtqXvEv3v5R37hlZfcNL9CqO96BSoQ+yqnPon4EChnKlNHSiLv + MjBDSTIDgMssRJkVgANI4YSEedZvjjje+J9ef/4Vl6993VfP9qw676KIEoMoSg4i5ADH5di9k9hh + 61wjQFatsq6kePeHPnLHRz521WxWawaQGFA4IRImCKDKEAIYBKeqUFJV1UTIXgURIHAGgDqTQFjL + N7t0euv7AU7RJCGGUzDBEeAhGQywCisv0qsXh1kBoNBFoDuAkhzPIICAnDNThpQ/JygRFqej5aBF + qgBYWaHIWZjKbiTMkHyMVTTmgYO5bduqarbmM+89k08p/9VfffgVr/gF7zllbbsI8GzWAiCilGII + oe97IppMJltbW6paWptVtWRdl8mMpenb2ouMMcYYY4wxxpgzTIGoABOxqPQkVYBzcDHl1k/TyvQt + 733jPxz82HR0z7TNzQSxR1BfYq0ZgsXPcpirlqTrUhiJoXx4xjMDIss7jxoQsuYcFFXwJBS7zH2Y + tOc1WL/iQVc85lFf//ArHzGuVl0fglRBG06Bs0Mmys6BmTxzYooKqFQ5OiGv7FYdJZaV8UMe+fhv + SU+Y3nrPTTd85iOfufmTh9q7Y9MenB4YrfpekBlokAEv0Cipb/esVlF927XT3K2sjO7Yd9OffPhN + 3/vP3KMufdx0Y2NSr6Q2c/Z1NUJmBjItXgElkPV83Y+oHtU7cmZZNLoxxhhjjDHGGGOMMSdpaIxe + DKf2UKdAIErIaGpUAcxp/fyprzOf3va/MnFCGUqLHyGELBdsbuHQIeQIUkhC3+9Z2xP11JWrMMaY + w4UQuq4rRStEJOdchvACqKqqjN81xhhjjDHGGGOMMcYYcy7RYw81T6kH5Inf9i3//j+8GoBz5J2P + aWc/1L0ao+69DyHM5/NSt3ookfyVr7gx916pacvMOWdm7rqu1NYvVcVFRFVDCCV83TKtjTFm15VP + 5qHOeMmB8N6LyHg8BlDiMZh5Op1aprUxxhhjjDHGGGOMMeZcQYrATlLWLKT6sK966J+97U9//VW/ + utKMGNAsDsxAcB6Ad553r/2zi70AVd30OWbgrv33vPDHX/yiH/vR2+++qxqPwrjpUrxz376+71W1 + qqrdWs+zDRGpamnQTinN5/PrrruOgRN1rxOVhm4RcewAfNfTv6PM2Mo5E1GZt3V2Ttoq6+a9L1HE + dV2XNdddAqC8mH3fi8jevXvPTOdvSYkegqLLu1bGSIQQnHMlZrWsW855F1+f4Y1TVWZ2zpUhHJPJ + pKx8jBHAbDZzzp3u9SkbeXlxhl6hslEZY8wxlfE/WB5xcs4l0zqlJCJ1XatquQLAMoqMOZexlojm + xVm0AhkAKZEQK0NkjeEPbnz6z991cQgBiyhBJcrEMuTY0mGhmKTbZb5l8StdLv8UX9KQyq3MysCi + lrqSgIRK5RhdlLK5D6Vq5LCnJkNKsQjG6q5U/7m/uK5up6OcpO1sXM39Xl3X2nV7er37Hz4zhlYA + klQevBypvR0Sr6xExxs3nkUWex0hKgRw3sfKr331NVib9GAIQVVVyzff8ldCEFIaAqSVg/OdKjyN + H3JlJHLsJcGXFM8dD1f+ZJllK18m2Z2ImTxQZ9m6+dZR17ucRXNGFigBjsjJYhVJj9hH7CuGeeAq + h0VW8bLYU0sKiEBR+62MO8B4xMO+8SdfcutktC/4GbOAEhTsPFwGkLM74fd0AYSOPJSROBJ4h3XE + q/vu4696tb/1jovn/aTtfZ+4jx6qJF2KQmC/nQlMuvgwUdrx2WXOEVFzCK7p+lv/9u/39nE1C2cM + mw8pXEleATIhEyJcVoY6UqhmIDOr96iBWuEZAQiEQOpIHIljdayO6HRcBpBjrhhBiQVOQQpVaIbT + xQ+W4TEggAXISllYFidztDjeiEAVqoucbM+oHDsmR+QXD6Se4FkdIxCYxREcqSPyBHZgJ+TwZY6M + xtyvzWazlZWVuq5TkqYe931/ww03vPzlL09JSsNymVYAwHufcwZQWuOdc1tbW0Q0Go12Tl1U1el0 + CiCEYO1FxhhjjDHGGGPMrkgqYB88MURSTwrxmLt5O9667uN//ne3/mVc3cpNpoAY4cEAA8zKUKby + s1yULFodZWhGpkV3bGlfXjZKKlghCS6jyvCJ/dSFaTgPF141eujTH/v9P/nMl//r7/7pxz3of55M + L1idnb83XzKar4fZxM0a19YhjmoZVRh7rbxULlY+1SE3ITehq9284mnttkYXuctH0z3unsmV/qHP + ePxzf+y7/7enPfp7L0oPnvTn+XnTz4RccCFMW/Q9fICrICSz2Wx1sgLRab+5cln1qdv/5tq/eecX + t27M4/mcNsVJRAqh2k7n3tlaeGSMtzlnHD6Ec/fZZmSMMcYYY4wxxhhjzMkoTdA7JiyBHOBJ1QEK + SiAQYTK5iXTfaNTx6R2ppgRS+AwvIIUAiVCJXtnGDzz1OxAjSntkXYnmhmprEDTGnCplpiIRDUN4 + 67ou5SFEZBjjW5S6FcYYY4wxxhhjjDHGGGPOerz8AYDtiRw7ZnRUVZVS7xx927d962/+xq87aMqJ + 6VjdUHSiunoxxtLCjGUCJawMsTnjyraXcy4dH3VdD1ujc46ZSx/HeDzuus5i140xZtepavmgLjEY + AEr+h3NuNpvlnGOMJe1gMpmcXXP4jDHGGGOMMcYYY4wxBsBiZuLip8SnlZ/gXbu1VTFrjNL3mtMP + v/AFH/3QB3/g2c9RzYA0oU59z6CUkqR8ClfoJH6Auq6d9/OuBbEAUZGBd77nXd/65Ke87e1/JkxR + 5fzzL2jqkZ5ttdbOuJ3xeF3XOeeqqpq2cwX//Q2f2Hdg//a7uLOkoAIKxw6iKUYCPIM0f8NjH3v5 + gy7zxJ5dcN6zK4FhO1/ksyfah4hKS/4wv4yZvfe0S0oQuIhUVVV6gafT6RmIqaiqKsYoIuVtKjFv + AEIIs9msZKyW3upyuVuvD5ajNcooDhEpHS5EVF4oIio53OPxOKV0utfHez+MHilvU3nQ0/1+GWPO + XSEEERERInLODUGt5ROsXGfm0pW8e6tpjPlK6RGxzZRAwhAGHIXYxYpQTbdww6fG/3QbDm0OI2EF + UHBeBNsCJTQXYClVzheVzBff0yAoIdMQwjKk8NT9KImwKAlBnApBlBbPiyFOhZEZmZDLM77PL1cZ + wFueAwMj5dGhaXfTzfj8zaPNQxWYyGKt7+dEUpWy6/iuj31iTApIKhmcwk64RIfey6+QRASmpAIA + jCh5rvmyxz1mhqzOs2ipwcTMMWuJbNdFbLYQpHzhdaBWJK001ZWXalNHSeWsv2z/x2o+2N7+d36/ + hiqBGUzkFKqqDqhUD33+lrrNjcCrAiBSVmXJToUFToDyrfnIAHhjHnAWOx2hyhwyKziXmSDK4mga + I/mA0co+VTzusd/40p/4TB2mkxHGo+Rc17cgqslBVXeMq9/OsIdgmUJd2qlIF0nAAMAkksfE45Sb + rc3Jvrs+/Vv/b7jtzgtncU20ShIU3jFYMzSJ6I4Ph7JMekC3NZ6TlCR7IhZo2ht8nk05KzN02SrO + yEAGVFgyIRF60nJeRCqKLJAompYnU5qRFR00Igt6aA/JyHqaLkVzlpwzRAiZskIBKJAXp46LIxQB + tJw+RTsmUtGwa0DLmSUjAUkpK8esWVRURLNoFlFRFYEIRMuliqpoEs0iKanYfBbzAOdcYPYHDx5a + XV2dTqe33Xb7T/3UyzY2ppOVlZSVyBG5lHoiTalnRgih73ss5x147+fz+dBWr6o558lkAqDrumGG + ozHGGGOMMcYYY84k76uUkqYYPDnneop93fZr80/s++v3fPxP8vp8I826jOAQFg3RAqTSU1xapBdN + dsWyXZoUpMJIoB7UghIAqGdhVpDAZ7jEozxelfVRu34RHvzND/2OH3vmy7/lkU+5fHJNM1vbqxdd + 4C+t5hNsuZBGI1kZ0bhGExAcOYjmnLte2zSOaT3rCtGYXQjO1V4bn/uNe0aZLgx79qQ9k829l/PD + n/aoH/ip7/vF7/3nP7y3v3xV12mGuBHPGwcGYkZkTFMKFWlqx8EjyWY/5738j3f+3Z9+8I+2wsFN + 3tRGhbXNsfR27XjGyyZ4i7U+B52Fo6xtMzLGGGOMMcYYY4wx5mQQQMupD6QggBhABXgIwFkJ7ODD + 06+79s7JeO7PRBOc0+UMChBAVcZo8+CFsUfXQwRcRrhyzjbT0hhzypTKFKPRaHV19YjKEXv27CGi + EvOQUooxVlW12+trjDHGGGOMMcYYY4wx5qQct5NrNt8ajevZfGsyGT3taU955S/9IhFE72N5lFKA + uLQ555ytzIrZFaWarSzlnEvVkpxzVVXllp2Fs40xxuwW51wpL1X6oIcq5KWSlHMuhFDSDqy2lDHG + GGOMMcYYY4wx5hwj6tkxaH19Tzefr6+u9bP5gy659Ld+8zVv+6O3Pvzqh+UYSwBTU9VDWOOZ13Vd + SomZM4S8E1IldFm+eOedL3rxj/zCK38xq8zaeUrJOde27W6t59nGOSfQnHMIoaqqP/7jPz7x/YcM + TgJlUVE85znPKZ2Y5VclEbl0te/i9nA8pacVwBAasbvV95xzMcad+c3OuTOQbNr3/fDuDL3SAFJK + 4/GYiJi5rAkz7/p4CVV1zpVLHP5CqWqMsXS+nIE48LIy5Q0aOoas68cYcwI555JajWVSUd/35XO1 + ZBSVkWnWlWzM/YASFFwicpUEyE7VCbwEryGojoDb3/v+CzZntWbVrFziooe4TcWOLFuhRYWW7Zvu + XdLtvUwCPrFjZHPSYWt3HzKtl2HCDGXesfBAPsc0gqy03afffe0aQnDUK8RKUt+vpZRWXIX9s/mN + t7o+oWzgy3ddGIkPS3c+XtKzIxJohmYBB3bB9UnQ1Od/zcMPQpwPTtgRl7Hgw1e/o6OjY5dcXc1G + /qKvfngnQlhkDJQgdwAALwo5nbDmvuqiqomqiqgooKiyHrzlVnSxyeqgnsFEpKCsBDjVklgvpIu1 + srL+5oFOSEFKUCfEsgy5V6GqaqZd79ZWDjrc4yk8/bse/n3ffxf0UI7Ruw4aNcM5XR4LS9r0cR8D + yhBSKCETdxLrZhxjpqhjwmo7lxs+fucb3kQbWxe4ahWMvifJYFXWTLqopnY4S7Y+twgBLve5hfQe + UjERhDWoADqciMgyEJ0BDuw8qyNyBCIwgxmOwAQHEBMckQMzPMPx4g6n7YcIRCBH7IiZnGNP7EtC + TFnh8hyUkAl5uTeVLXdxvqeLk1DnmJk9e8fsiUvjW3lCzFSepiN4BjNomZPtQKUVkZmVT8VpqDHn + rKZpNjY2VlZWujZubBx68YtffPvtd0wmo62trfF4PLT5lzNSZi6dAqW5u/QRVFU1TGMsLc85Z1Wt + 693sdzPGGGOMMcYYYx7Ico6eOCBIr0mTG2Nab9yy9en3/M3bD/JdM93yDRwQZ6hDgwxQUk5CAogu + m+OGbqll+/HQD5bKb3ZeJ2UnvuGVOq3lQ/VKvPiJj37GS5/zs896wvPPx1XjfN6E1mqZ0NxR6xpe + GbkVL1WOOfUppZQkJUmJRJwgMIVKfJWJE2lWyUgiCTmN64a6nKbZ57pJa242rtr1PXjQ4y7/5p9+ + 7s987fmP29tecJG7MB2UUWhEkTKcR6i4nfesUlVOBb32/Xj6d7d86MP/8N+xmltus8eQaU0QhpbG + VV40nrNFEp9bhsHAO/+7687E8FBjjDHGGGOMMcYYY+4fdDExCQAEcADAmcAKEnVI7CpRAIK+w/rK + HXsnl80Ooju9a8UKKISQiRTshEi1DhTSHFmQBJWfz2ej8Yq7t7OojDHmyyslKmKMMcYykVtVq6rq + +75t27quh36REEIpkrLbq2yMMcYYY4wxxhhNCaaEAAAgAElEQVRjjDHmmErlu+3JCctaPzumK9BQ + HU9WxuPp5uZkMpnNZt77Zz/72dN5/6pXvSqlI5dzYiGEGKOIMHPJDB6NRqUqhDFnTNkCAajqEanV + McZSl2Qo2z3c2RhjzG4REREhohhjOZcoydYpJe993/dVVZXJe6V66W6vrzHGGGOMMcYYY4wxxhyp + 1NHbmb9Sol90mWi7ubFReTefbpYQi5zl2574xOuvv/53Xvuf/sP/8xvzru36TnGGJgoe/SDOVyIC + IijIOeiiLp4q+iyve8PvX3/d+//4D9981WVXxNivjCcxn/bk4LPYdh96CCGmJCKhqe+8e9/7rrsO + ABNkZxLPIlVLAOSUCPAEZspZR3X9lG97EoMkZ1YIbQczgEhVT0mu3im0yFlR9d6nlEIIZ0OydQkC + B1DXddd1paPhtD5oefolK7qkqw5Z0Tt7McrLdQZitk9gyNUuLw6WCdzDrMAyc7Akwp6BVS0PVHqC + UkolvGfXk7+NMWct51zXdXVdi0jpQR4+zZi5TIUutwwRR8aYc5EQAFZeDGjNpA5Cwk5YVAO8l767 + 9fa7Pvbxqw7N1sejfj53DCicwC0joglQApV4QUIGuxJ1rXkRdkvL72hHfXcbzrpLVDZOPlmzLIFL + nrRCAQJIhXesW8n3dXLSCyeFk+2i7aVmeXnaqiCAQ2hi94UP/c0jnn0nrl5vK2IcN4vU3A8Qa8gZ + n/rc5FDrYgTB02JjADgTg7LPAKBUkqTzsRfEnHN2jrMIEYkqES57yIOxd72tfa3klRiIOQGBmctm + pyQg4bK3lvUhUucPpu7KRzz04LjxG04lK0NBIBbKTo9Kr91+MgAWWQRadh7QULVfFZRl4wu3Y9b5 + lFzwWQHAlSRREWWQLhaiBFgoqHlgY0j58E9UKZFCAUksrGhQz2ZttbKyb3P/6rjuor9rY37JDz0/ + 3PjZ7qMf7bI2VRX7vhLJEM88tGcusnuXMrECXqUEaSghlvYQBbpZBWRGSmk9+HDo4C3vvVYf8TV7 + n/rk8d7VLuc2K1TVO2EShpTCbgBKhPZyxzfnDiFC1B4V7p7uv2Qy5lnULET8/7N351GSXdWZ6L+9 + 9zn3RkRmVdagESEJbLUxmMnQom2D4CHGZzcGP8DQ2Gu5bWw8tCc8vEc3XnjsXiw/000bP090L9N2 + e6KNeZ7wk5gsYYOxbAYjgwGBjcAaKJWyhsyIuPecs/f749yIzJJKskpSqrKq9m/FioqKjIy4EXlj + Ovuc/QGqgCz+oGxgJSmslOvY5zIimgEoYFCwggzGxerHuZoYvZNs+ARnUKufIdlgChPExeIphalS + SQwAoXDd7WFD7nu9i2pKWYVgpgCIAIIBw4ipgbZF75DBFp8VazQ8lIjMP7m5c1zXpfF4hSBdt/mq + V73q5ptvBrC5OWMO0+m8XiaEUIeJlhHXy3WLAOqiRTOr40j19JInWzvnnHPOOeeccw81UuTcxjHb + aNrPUtPLqPvckRvffeOffmb9E2nUG1PLUYtasPnxeWwl12FDU90aGxyKoneZVUt24kwzGvomSWEp + MU/jRA58xRVPfurjn/noC7/CNkK5Favtaghhtjk1s0k7IWA6nZrReDxmYjMz0oJiZEYYRutKb0pE + IAMziIRMADHiQgaAOBhKyblYIfAFk0uObsbvfd6PvO8j77r2hj+w1jbnR2iEGCglS9MymRBYS1cC + s6rqaF5K+rMPv+vgvku+bN9XCq+EQJRpUQceqr1kYON6D3fbVFJ3xvFYa+ecc84555xzzjnnThlt + rd/ZNq+VmABmIBn2rKDvXvRHf3jTlf8Ki3VRCgZQV2AslhIxMAz2KtVFVKe6LVxnnjJQx6kVzKSl + 5Kj9W57/vH97/XWQPePJBFBTkLdZd849SGoniNphZNkbou/7en7XdbVzRIwRgKr6tF3nnHPOOeec + c84555w7O9TeDV3XESRGyVm/+Zv/TUrd61//cwCWRTTghKbbd5dSqv2a62hzzpmZiej0drJ2p6Q2 + 6CGCES/+qzATBZ+wyMew1fbu/rSZ1kUzx+3nDP8jEwVAalHR3L/+/XUnrAGotSt3bbBew1NxYtcS + 55xzp1GtR5vZ9kzrWpiez+ej0QiAmS0v5snWzjnnnHPOOeecc8653a/WwoTYYKo6bkd97gILEfUp + hdh0s6kw/dAPfP+L/48X/YfXvvYd1/x/BUMc8gmZyA/J1i6TICmGUgpUpQ2lzzCw0Oa0u/FTn376 + 1c/6tTf/6tOfdlVfyjk3SrsM2TtRSomEY4zzrvvEJz5x6M7DRkh1PShOsuTTFonIXJSAxz76MZc+ + /OENE/pExLKIHGbmYppz5rC71m0tK7A553pHlmP7p2V7eIhhk5pdUYNOH4KNqXcfQL3duhlEVEpZ + PhrLrTq9VLVWVeqDsyydE9FyCWHf96PRaD6f7/TG1AdnufMs55bs9O06585cqlpn9ZRS6otGfTWr + ryc1l2j5+lbfEU7vBjvnHpBhYiqMVIfpiMJATP0B7W56z3WTzY01Qun6ZW8VrQmEUFo0e+kEHceZ + tJmDKBMUyCCtUbk05CRuHbORDqG4ZqAhonNxyfrT+3K8uA+0dUdIAbCZEhiqgBJjmC1JSsyKSS5t + yY2WsPWJaNvsXAIMRneN+VyGW8OQLcdmnPrNEZrzj8xmf/b+8aWXyVhIqT42OoR5qxKAmkLKdSML + A1AechzdaUM1EJ2QeRFyWePPAdTsZoIRQo2UBgN5xEIb65sf/diBZJKyGYhhw6+okt7LfNsTYt3N + FIghmPallLmhrOw58OWPVwmITepKoGBmBcYAcw2Rr9tRd1QGKYzH45Xjx9dtHLBvz+ojL7vz1lv2 + x2Ap1+EQtmVM9ckyO084x5aPwDDzVzWo9rffiuObMt9rMVo0ghobmQGsUCZmUwMUeq7uygxASetz + HKivYIAFoM4DVxleB7S+tp3GbXU7jcwAWmYGV0bo5t3eldVD82Pj8VhznpmN9u/XI8cOfvd3f/bQ + oc2b/uGiJkopCmQgmJ0kG4O2/mWckHWN+qbH9TULMUo/7w8Kcikf+Y3fetr5F6589eMm41iIMwlM + VBe/Tos8kmEfdmcWHj6SjUfctNPpnFiyJhtCVhZ/UKsN/SDQGphhNPTpI6rN+UAkABHVI+O6Aw67 + 3E4OQpuBF58LDSQgk/qxcfvdrAnVum2bcML6FxMwMQxmZkqQ+olyuEtDYntdIrP9iUNgEIuBQAW8 + uLBz5yjhaIppN/uhH/rhv/u7j9dh9SAhFa0jybUksRxYXi5RrEPcdemimZVS2ratP6qj4p5p7Zxz + zjnnnHPO7QhSoI6D8baoXAW0Fo9gXL/FBzNpYhmn9XzHx2/70F9+6t26N3GrZOHYsX7fntFsc746 + XtnoNtFCgfo1nocrH8pkWAziwQBbjs5xHViuw5GsCKVt+r0Hxw+/+sn/+5VfdtU4r5Y7w1gnTWiQ + LfV904zYUEqBUtu2RKSaFYWIjEyhBjW1WqoWCDGTgZipbpeSKlSNmRWos1Y4sEgANG/0+8KF5cjs + WY967sP2XfjHN7z9E8c+Bplvdv14lVmo68rc0ngUZxtpddKmvpM23jH94h9c/3vf8+LLJ7RCM0xo + 1bb69jCZ8HLaqo+in1HqCNVu67LlsdbOOeecc84555xz7ky1XAtdp4Ut1+Hv3C2eOKlzWD4hANU4 + axougyAwAjNW93UIxAKzXjXGUSmFqCRNJQBGpCIGoBjXydOspKeUbE0WgAwCAdGQSJMIgGAYkx48 + vo5+hrJSwJpnMYRtW+6ccw/U9sqHmS1n8S5n9MYY66u0T9t1zjnnnDv7EGEZCXPS1cfOOeecc865 + M83W4oR7/YjPzDBD4IhFy/LA+I5X/ttjx47+0i+9GYQaZ0wkBFE7ceXDiYWwZdPheqIe157Idai5 + xlJiURl8UO6nezDZ8LftmQwygkILCoLq0CPRaoc5KAHKxiR68jbu94TMCmPodm/CBlBRQgakieuz + tH9CpDafWwyrRcenukSi7lfLuvP22kdtYrK8ZNM0p3TNzjnndsjyI0GMcfuJmmm9vIAXqZ1zzjnn + nHPOOeecc7vT9lCK7ZXZUudiEmUtzMGslmUFpsIWY8x9d+mFF/7W/3jLH/3Jn/zUT/3UTZ/7HIMS + jALnrAaEJuaUAsWipdZ/iVn1ZDlVBmAr++penOynQ7HPcj9seZfrRUsxAkTojuPHvv7lL/9/3vSm + l734JdGsYZnP5yICor7vh7rbtgeCdldPtgfMGCfGApENdXDhOB43v/4bv6mgbAYmmNUSe42cq4tM + AYC0mIYomgoDL3/pS0LKBiOiYovsOqCeFpFdGMq1nA+wjEI/XZnWy405LenRy7u/3Ixaj14+GnWr + dkNm8/b2iMvS+V027yHItMbiwTGz+ujthgfHObfL1RqxmS2LyHUW0PL1pL6a1XAjLyU7d+ZadGKp + kbkKwKjGOSuQVnIndx69/R3XXtFPKVLpSxCyYmBkZoJoSQJIwKxgPYzCI/7FsX37N2OTcx41rXUl + Rso2N86wIYV3eVxjqe9+/vaf3pfj4Z7cLSyWoLYIy14qCFNgDfHSWb7tIx++WPqYu1IgDFtGWNf8 + Q0IBFyJQIYMYgGHWJdtwGdCcgDaVSzbtH/743Y/5pheO+6w0sTCaZottk7qehVgs51IDgFmDEmfA + CGLKpkqn1A7HPZjYEAsKYR6QGQQVBRmiggrJpD3Sd0V1HzVkKCKw3JR5E+yTH/jzh8+mkyhcNBcE + glkBFTE1OmHAoIaXAzBCoSFblAyqFlhmXS+RpWiO4XMyPvD05yRZsWIQzrVrPzeageG5qWQMqEIA + sCnAs3kaTfb0Nu+13/eUx33hk38vR7sVM9EaL1DfoMsy4eCeLFIJjBa3BkNj5SKd44YPxEe+PNAo + IbNo1izc9GiNIJYECAojK8PIxjn1RYNhogQjBUyRxVTApMGMDZKYGRqKAsihfnJST7Y+ay3e1BjD + 922y4enAkWf9bCxiqcCIKSRNd4ybA4+4/Et+7Mf+5od/dO2Ow42QldwyshoYBhatz9+07L0W9YQn + MtniHAwrTQSwnBqCFeyd9o/9pzs+/nM/d+WbXhcuvZjl/NzLaLSaUyMqbKD6dl8HKgk4+8YSz2ps + 0MJiDWY50oSZVfua4cxKgNQgZ4MAINRvcItfLqCtIWw2M8DIljva9qCPnWtyoAAKUAjGUINCZfgo + VpafT6m+U6suR3frXqoEkLIt/mtQQhEYQQ3RwIAa1U+1i3u6NdBLiz6BNXQXathl6SbO7RAiKqUw + c9M0OeeUEjMTCbNsbM7e8IY3vPNd76nvYcycSgaQc49trwvbh+XrysQ62lzXiNWhoeV6MSLavnbM + Oeecc84559y9q7X42m8cZv6Nyt1VLYlaICjMQMqAgs0ijJUUlEB5iOMAp5JWxqvd0ZmNzNr+M1/8 + 5LV/88e2Ns9SioELt6Mwy3NqkFKK0nRQQwYghlosM2hhJAMYCpghoGFiQtJchFsmSzo3AhMktSt6 + 3hXnPfYbn/0tq+ngnuN72jIWYzJSSzVUBGUxWY1NUWAA1QAQkBIRkQkAuctURBt6LRlAVCexFAIC + 1wdFUbIBKA0LN02YTvNTLnvavvHB//HeN//j9NOYrKc+FwACEKZ9mowl932kpii6lfmt9vnffu8v + f9fzv28sl4esEHSai4igGfF4Nt1oJ9ZrBwpe3zmD1IGplBIzitZJm0RU49pPG4+1ds4555xzzjnn + nHNnpGWm9fL0Q9PIfluhhE84h7bOAQHEYEYTD09Gh2fHVos1Il1ORGQlx4bLsBKDDSdrDHGfN2eY + b00ggAxMBqgStGCc8pcUxW2HsLqfAQk+GOicc84555xzzjnnnHPOOeceuG1Vua18Yv2BH/x3RdMv + /8pbQAgSSjG1+9nwS0RqL9FaASyleDvR3cts236giy5vfLcTQ79FJdyPsq5tK8pWIjKfpz0TLtDN + OVbH8UihdRDI19g455xzzjnnnHPOOeecc865M4/d4xpDZSiZCtXGfPzSr3/R85/9nF9586/+p9e/ + 3nIuWQHEKDW4sWgRFoCyFlMlIhLWnUjzPbFtWi3yGpCLAWjb9ju/7/s+8w+ffc33vZqCNW2bc2ai + tbW1lNJsNovN2bnakY2UUB+dZcXUCCEGy7lL/ebG/K/++gaDbRU/6WRhPCJWSlIQsBrDs6++uqll + dLuXXcU555xzzrmzX/24zaSwOheRjUwtTajoNe89/45jq2aWc51yKoSaOjikswpmCbQ2PrrvwOP/ + zx+85KKHYe8eCEMVSdDP0RJoB7493T/EaBocn2Kzb37xlzaue2/ouiagz2AwAKZy4pcDBgoW+Ypl + 2+xNAMUMwJi56dLozqPH//x95z3n6esoiYgIOReRIMLF5sQG0zoVk4ysNpo/twKAdy8jKKEQokKG + pwAU1s9m3MY2jmhmOaViFqGjbtrdeOPKbDoqfc4pMIRRFAwQlLHYQQwgA6kCvIzhBGERNM0sSQsL + Q40IqRnRv7gClz28k8B2QtL59ux5tm1nAIAas5GQQgOPnvDY23737auW13isOgUpDYHsp2b5bbop + drDYLR/6yMNe9m+YA5BT7kbS5FyYUMBKDJThlcFUwefyl2tlwCCZAVD9uxIUKIukc/LBh7PekGmh + fOITz2jx/F2cb8A0SlpbPf+yhz/5+//djf/ljXr7oYuE+16bNuReaWuEi2Far5ju9qZx97Gv5Tlt + 0UuopC8e+ovX/+xTf+bHOZ43Go03Dt25L0TUpQcM1EhfYvb3ozNQfUfA8FKshjK8exgvX3WGVSdb + Idb1V5Ssfp7ZOqat09h+/o4d37utbSZAtL5TK2h4C9R/7rV0uDywjL4+4eXXhkfHSA1ipMO7tHNn + u5RS27YAjh07NhqNar71xmw+DuPf/M3f/o3f+E1VMLOqqt7bM8LMaumqXqymZZuZh1g755xzzjnn + nHM7ZviqTgaAmRJs+zjbonxJBlOCwBCkmc/ncSxH8noO3bs++Kd903VUhmHsbcPNSmpgGBOYTBe3 + AqNhVI0IBBhICxIVQTFGl2bj8VisQS5jWqXZ+KrHXf2cK184mq5N8tpYWynBUMzqLf7zgwZ8n0Kj + 7zpkwTVHhVgCkVGZ2wiT2aH+ivO+/Nte9D2//s43f+T2vxodbDKnzVla3dumrptOy9pKWzorprnR + 1K/fuvHZ99/47hc+6lvTHTNmIg6Adalv27GEkHTGDD2dacjuLHF2zu12zjnnnHPOOeecc2e9UkqN + tWbmOleszh7bXR3tIz/9uj/9y6dc+cRmLd15pLcylja24/lsGgUGKKkSBGDUBRanOmfUdDGwzotx + 86AAWAw808u0+4t//ZKnfuzDSD1yRtPU9SXOOeecc84555xzzjnnnHPOPbiapnn1q199fGP6u7/7 + 1pyySCylhBByzjjFlQ9mNh6PNzc3RURVRSTnHIJPfd+VCFj2o6p/aIYSDGzY6m7GgEIN96eYy7bo + RDk06yGGUbERU+qUAtb28Bc307F98dnXXYPg1VDnnHPOOeecc84555xzzjl39iAiZs45i8hkNO5S + P92chbb57u/8rpe97GU/+R//0//8nd/eMxkfn84AtG1MqWRNQC3kkZnZ9kzrRRIGtnoEPjhqdbge + p5RKKQDe+MY33voPN//8f37DStto35vZfD6fbm7u27ev77sH78bPAHU9LDF/+C8/fPM/3Tyca/dc + Sl+EMTDjcY973BVXXKHzfuc30znnnHPOud3OAJCSoVGuPdCNlEJGmt/0nuv3H+vHYNVCIaScWwEb + gsJINVKvRow7AHr8o/GYR8yJ0p7YQa2ghQitFVWj+9KI/KGhpaTAYe8F4z1f+9Qv/MX1FzST3E9D + QGcAEJUFOsQoGhFgfLd2MjYkRZYMBiBiWnhzdtO1133l13wNTdJM+yijkjUEgRkXDRwURQEiWL12 + UiUlnOpcYPdgUkISFAKgUTHOCDXEnGBRur5rjCRbLj0FokCTTPsSfebP/4qPb4iZAcXAgYoaATBm + VVuEbpKhEMCwxTRfsWEKsBF6zSEiALNkTDKT5tJnPAVrTXeq07qJ+pwCoVDAl16h5x3QI7faNC1+ + XGgI02U69eGKoFjL+MTff+ph8w0tjYgookhrKZFCWLugSSCKoBCDGAqdU7u0Kg8BD8qUKILADNHh + CQ6CEuYMggYltppn71ylSpjtW2me/Yz9t/zTxlt+Mx09KoTSZQYDRki185lBFAUA00lyrO/x2skS + uqbT8z9xy2d+9le/9LX/vuwt+/bGrmxmKVk02JCLzEb1heKfjQp2uwtlUA/KRtkoAzYkN5MuE6wJ + ujVWPXzmqP/dDcdgG3a85fvm1p3bdtpO3DPrIprtlyEDGw/p3DbkVZfFbzEBBiXY4o2QbRHEa5ZE + s6CwevNAdy6oKwpDCAcOHDh69KiIMHPbtm9729te//rX18uo6ng8ns1m93I9dUljDcAWkc3NTQ+0 + ds4555xzzjnnHioMqEKG4SxTIIOUqQAZyAQAyoBQ7Gw2RR/203v+9prPHPr4fGXKDZkaGQhgVSUG + UFiBzAQMFaVhrLgwCsEIZBATLkwGogyGCqzBvMzaNBr3+/bb+S9+ziue8IgraSNGnUSKYMvIqmpU + 6kxd28kBaDZVUiiQbO/+g0dnh+84cudFF136kmd+k10vNx2+cZMOre4NZdaRoWmoS0k4Zi0BnHI5 + Oj/ywY/95eMveOrlex6l8yZIBOdSuiKdxZL63LaNV3TdA7d7Jkw455xzzjnnnHPOOXcKYox1uljX + dQBqmrWI2D04PVsZBON2/bwDX9g4VgyNsJW+n01HMbBBDAwF1FCzqe2+T8iulGCcjRUgBQoDgBhE + mVViNtlcv1QCuo66HnE0rDdyzjnnnHPOOeecc84555xz7sE2n89D4Ne97sde+tKXACglhcA5n3Jn + 7RhjLQK2bbss83mm9e7FwJBtDYBBDEJiVmKAjE7ozkNmfL9647OdUOYkA5QCxYa469B1KvvGt0nG + iLwc6pxzzjnnnHPOOeecc845584mZhZjJKKUkpmNmnYyHjcS2HD+wfN+/o3/9dp3/OlXPvbxDASg + 75LWOOTavc9MQmAR4nusoj1YTfiIKOdct1NEcs6rq6tJ7a1vf9uP/vvXTOczChLaZj6f79+/fz6f + P0g3u+ssuxrSiSXOnDMxSxP/1++/DYCwbP1sew7K1plGzARA8eIXv7ibzY2gquaZC84555xz7hy2 + rYs4w4iM1EDFVnM+8vG/m3/u83tzkaxEEBEDYGQKRiFoCGFeEPfuO4zwuG98cTcOd6yMDo/iIdGj + K82hoIcj3dHEQ008FNvdcHw4xtnq+Pja5NZGceUT2y995NEgM4BIhhY1WHybqMnWULEakagY8heH + LyVMUIIyVDOgE7XNj30SN31uXCyXJIQgoqVoLqFwAA1hybT1gBuhsG3drnvIFUIvyAxRtBmxDPHM + ZGxMoYkMSrO5FQ0hABpTj6Ob63/zsTCdBVgrAJCKsRAW8ZxiW19IGVaTawsPf3c2kDFqNroBagxM + pd2YTM776n+53li656GGkxPKuQ8smQLa8QVPfIKO2jnmi91MASUQ3a/OSGKQLo/61H/m06N+HhRB + xqmAKYgZmSlBQUoo5+bXalJGEStRNRRmC7BQEAoxoDwkPpQiJYsVgpJnWrstRpjntNGEz7Nc8tJv + vOA5z7plMp4JDFAeEtMX6b8ME9gpPoVJgbI/8gXHZ/mGj33+13939c51ztMYeiDXFyolAETKrAx4 + 6PoZhRQwwEBb7zrD2pOtF2StP6vZM7swdYVsiG2v7QHrx6S7rJRZqm+yZFu/OPzKtjUxYsO91+1v + efd8x31M2J1rUkpN08QYjx07NplMiGg2m11//fWvfe1rR6MRgLZtmXk2m937YsOccz1BRHWVoqqm + lB6K++Ccc84555xzzp27luNcDDCMYWxkoIKaaU2lDprVkV4rqlCd9J87/ul3/fUf6srUAmCBNIiy + 6CJuw9hIlWshc7iBWvfJjMKoMy05SwAJKzNMAAYLyGhU9lzUPuLb//UPPumip4ZDo5X5aptaLihm + BUVJwczMRDs8EkdK1gsbUziyvsFxPGrXjt/WX77v0S++6pvOk8sONhekTW0oUkGMMauqmJJRKQFQ + KV+cfvGdH37H8fZoxzlpYUKIlGye0BuYKJzyEL073bYH6NQ98LTl6Sz4PuScc84555xzzjnnzkgp + pRCCmbVtm1IqpQAgIrkHp2crOWC0Z70ZT0HNqBmNgpk1o0mfhrludVgcWzNHT3G4cDlt1wSgQigM + MiMDh4ZjGBGO3X4zuhkUEHQiPkXVOeecc84555xzzjnnnHPOPSDGJ13JMBo1OecYwk+87sdf9A1f + B0LOOYR6Sd52+GeklFRVREopIYQ67d7bRuxSBJCCFn9aA0BgyoxCrGAF6z306zm121k09Nki0ude + iPeuNNMeR1I/2zvCiHCaKsPOOeecc84555xzzjnnnHPO7QTNlrrchLgynmgum5ubNd+6VlRLN/9X + T37S23/vbW/51Td/6WWXC8DMIIiIhACg5KylEBHsoUgEqaHatci7sbERQ1Tg13/nd17746+Ttjl+ + /Pi+ffu6rgNARmS0vQ54T0EgZ7RlgZyYzezo0aPXXHONQJIWALjrulcdDqSozekMjcgLX/jCnPOy + eu6cc84559w5q+Y014/FhUJmVuJxyQeOzT7zjmvG82lEBpSIkDVSjeclArGZFCPgzoT9j3o0Ln/k + 7Uphss80wEKU0ZjHlpQ5EAcS2Q3HkNAV6xFmcdQ3zaVXP/MLzM3qXksWC6Iq29C2fflN6sSZlstI + apiBCMqYl8ywvdCDdxw//u6/WCVuodDMTKUUUTQsnBVAIarRkmTKpkZmi8xSd1oYoRcURlvQFrDV + vw7IkPoSQgMwg5oQkXPu55NUcMNHmyPBa5gAACAASURBVH/8pz0EQ4KIAkkB4RojTYsdhgwMJWhU + MIbu/xh2ICHjUUs5oy/gtdEdIaw85itw6cWbk6Y/lfm6Sgo2aYQMmeV4Ey+76mmbDdc4T7Jlxinu + slefwk0gjU1v/sD7zzdwl4txUc7GhdVYa8goGwhQcKFdmJq6g8gQizaqsSAWHiVuChtxZlYCGYJq + MBVVMigjs6qPQLgFBecgHccy3rO5smfvq165/uTHb+7Zq4zMyLy9bZrCTjnZmg0RBfN+VfSC6fTY + 7/4x3vOXOH5IdSNqDgolFICUGew75pmI6hoTqy/0QzA5bx8WBhfixCEz0tYQMe+a4y0GVnCh4aDg + 7WPatO1wkt9iqgel4a7XY1GWxQNCBjaIIiqiQpRFmYyCUj0tyufWG5g769FQDbmLlIpIBLgUy1lL + sZtu+uxr/q//0HVpPp8zc9/3qsrMZnYvdZMYIwAzyznXmhoz1zOdc84555xzzjm3Q+owlxEMZAgG + MYISlPKQaQ3lbQNiZpapm7fH/ugDb12X2zZoPU5i7gtZIAuiw6AZgMKoRY36u3VcMQsKwwgKmAqr + iSKSEkwBLYiKOB9fPH7kt3/D9z9i5TGyvnKQLm66SUhEWcnMCGAxQtmWLrxjD441oppmMcZU0Ces + jg6MdY3W28tGj/qOF3xvsznZFw5QMjYQEQXOrGCwIgjCKM7C/K8+/4GP3nqDjUrKue97Iut1qiHH + 2GoRjyQ+E+38rndqfB9yzjnnnHPOOeecc2ekGGPOmYjqdLEaXF0nmZ3U6dlKZjTjV7zjmjham077 + 2bwnBlJqJC5mnxqbkTHd/44LWq9mOZ+7TnXNahupo4Dz1trfeO6zUHrrU/FlQs4555xzzjnnnHPO + Oeecc25nzOfzto3z+bQdxZ/+6Z98wQueD0Iudy9P/TMz2JdtIwDUNhPLM90uZIBBCRDDkFxOi1VG + RNu7JfIDKNoudxojFEZh6nPfxFZTSdN+bTXG0XizZJjetQmQc84555xzzjnnnHPOOeecc2ey0WhU + Sum6rrbpb2MzGo0IyCmxYRQbKxqIX/SCr3/XO9/5H3/iJ8ftCIaSckmZiZvYwAA9oVRX/6PbTj9w + ZtY0DYC65BPAeDzuc1KAiP77r73lJ3/6p8Yrk1nfdX1/DtZ/mTlp+dCHP3zH0fUMBUDMKOXkfwBD + besowBMf9/hLLn5YCCGlJHeNwXbOOeecc+5cxFZzlzmDjHSUC26+9fgHPrSmKUKZBUq5pEBsAENA + MKimzO3oCzl/6fO/FvsO0NqBeaa+1xhHs825gNvQQs2sQHV3HBsZMwLTSMd74jOeNn34hUfVMkwM + YiDAQArWGgN84rcLMpBRbUSjGUQgggFEJVo6OE83X/8BfPHwGotpUhQAIUQBlayoczVp+NroszJ3 + CUONH0ZUFEKhIam5ZoWWlEMIzLDc7SGOpdx67Xv3H5utCRvUciGCMEopNXCTlXnbLlPznsnqNcOo + jiMwQMgGIARsqn0hyJc877mzUdsJl3tOEDyppPO2jaXPCTJbWZ18+aPywbV+1Jzq9dwDDSQ8nd55 + w4dxfNZkNSOSpgBGqpQxzGQGW52HjHOtJbsRw9gIgIpp0CFQdhH2zWwclEW3gmadq4zQNJPj05m0 + o+lkvL5n9Ymvec2t5x+8vQ3zABBqCLqRAsbDC8cpIEPJGI9F55mnm1+Wyqd+6VfwyZua+bwtBYRF + 1P1WprXHrp9ByMCA6DDaqbT15xtWmhDuEmqri6DoXXK8Pbj6pPm7Wxfbdvl77SZoGN6ehouxMg3P + HqZFnA8ZD0ngdaC4nnkv1+rcWSSEAGA2m62trTHz+vr6K1/5yttvv5OFiKj2FJ1MJqpaSrmXuklK + CdtWJtYA7Hqmc84555xzzjnndgrpYlIqw0iJhxYwZKCyqEHU0TAYIaGP+/iGm/78xls+ZGs5R3Rp + GkJgAxtTPQB1uqstrgnGClaCLubBCoQMgIKyQaGghNBzc3Ty2PO/8ltf+N2TtE/6lf2TC/sZBIGM + GVIH4uommZGWHX94NBciK6yTtT0w2Vifj2hlBftG89UL46Xf/LXfoXdwq+MosrnZSeRs2QgBCECm + NKXNjbj+3o++c4OOyAqMlIiMCpGJxNTv/B1w54Bzq4bqnHPOOeecc845584mqgoghFDTrFW1nrOL + kEBayAphNJKmNOgjECWXVEerlVVZ67KH+zmtnwxQhrIhFrAhMzIjaVkdjxXopkf3lylmG0TCPiDo + nHPOOeecc84555xzzjnnHgx2t/7mk9Eo933ThK6bjdr4hp/72Wc/62m1LVFtPrM8LBaZnLx4lVIK + IdTCX+0uEWOsfc/dLjR00hn68GxXFwLp9o48jAdUz1VCYnSCxIhNoykTmI3KZtLNfsxjcAPziqhz + zjnnnHPOOeecc84555w7e0yn0xBC0zQiIiLMXFLq53MGtU3DRP1sTiWXbn7ReQdf9cpv+8D7rv+W + b3rFOAYBhGGal039gK2qrZ2s5vtAhBD6vq8rPZeRA8wMod4sA//l59/0W7/zVpCMRqMaG8BnY07S + PWWWFJjCfu/tv0810HobwtafY/tDIsRR5OUve1nqOiHknM3OxofMOeecc865+2yZg8gGIyixko1T + 6q5930W3r++1Iby2BjybKgCFaSiZYEZzEX70FfiqJ90J6jXOpn2kpokTEKdiqhmUiRJTvxuOxfKE + QpPI1vtcIi48cN4zvvowEOPEYECx4QEhgAqb8tZ0zZqAyAaAYCwAF4ihIQDGqdtrqrfccuj6Dxzo + ilhOyDX3ui9KMnxhKazKKgZREPSechzdQ6bGmYsCQBZ0AVlghEDMNrRg6q2LYvvN8MnPHPm7Gw9o + ptwRYAooWiEr9bkDgBZt+of4TGAxYkAoDB2mBXMqaCKIcEw1PPoKPPmJsxBKOdXvp2qmpZRiotTM + JebVlcu+5imHR5xkewSuDVtxPyYDM49yHn/hVnz0Y2ODhFCUWWIWNTICgjIbDWEH51gsroEz0yzQ + LCAFBTRoDqpsKBR6bgoiLMYsbaagEDs7B23c/UPGOsurcTLbODLXTBc+bGPtwBNf86Off8TFG1FE + l2/KUFagxoicmtjG+awIYS0iT+84bzr9+M/8Z3xhfZKz1tUKi9cE3zHPOGwIBUEBBRsbuBAtd5T6 + hyVALEfN9fUHQ7K17pLjwii8lZfDULHhwFBabHBhJEYilBqls22UuH4wE7V64MXTpLAWVlD9lLU8 + LIOx1YbzhzeuxcWcO7sxwOPxeDqdhma0OetuP3T4Vd/1Pbfcts6MUmyZaT2dTuuSw3tZbxhCqNWo + UgoAZs45xxgfsjvjnHPOOeecc86dg7bXF5TYIEZ1gHcxumUME5gYBSXN0t2Zbr/uo39a9k43yjSM + 0acSxEAZyIZgxMvfJRtqoEZsxEpstIj2MCM2DUVFVYGCtqzsLxc/euXJ3/rM77yQHn6gvZAoHjp+ + JIdSApQNpGwsBlEWZYCVdrxZjSqNJ6udzqfzDbE45pWGR3nW2xxtmjz+wiuf+6QXcD82jU3DfZ8N + YAIDzDRPc43FVvOnv3jjR/7xhtRMORAZCwVS88mlZ6g63lVPE+2K+qX3bHLOOeecc84555xzZyQz + a5qmDrepKhEx777BLmbEFu34i8xHApcIY8z7LnAswzIPAwykZDCikzYsuHdkdXWG0WJgHVBQjlG6 + 2SwRIvCwrsesQ1/a7Us5nHPOOeecc84555xzzjnnnHvwdF3HzEQkQsysmt/0pv961VVffarXQ0S1 + qYRIXS+CnHPTNA/+FrsHzIa2OEo2dOQBALWguq2j3ANa/TKUUG3rv4WRBL0WJVAIgEVpRhQpFWTz + blXOOeecc84555xzzjnnnHPubBJCqK32a/P9lFLOeWVlRURyn2ab0z2rq0GkDTFN5wF0+cWXvPH/ + fsOf/L9/+Kyrnk5ZKWsbxPRu6woX+dYPllrbVdWmaXLOzDwUfJlqsI0x/fCP/sgnb/p0XQ2KcylB + SgGFgfmad147BCwQmSox39NjQABDSynPf/5zVQsRtW1boxecc84555w7Ny2/QZChTlkMqm1W6dOn + rr32YrWYumLImg0IIgYlkKKgTkQM4U7DRVc9BefvmzZtIZ6MVgLH48ePx2ZkjfRQwERBtiuO2ZBS + Yg7j0cpx0/n+vY941v/W7d1zJOckNXV4+3RJBe7xKx4zsYELIsNQYHlEepDoH999HY4eb2FgBZuq + zlKPEGtqYv0qRwZZZh6704cMQSEKW+RlGpAYhZENRBSYWdBbNxIbrR/93HuuW92cTVC61DGjfvkk + jgBo0RjdajrncAPDv7X1vwFlETsQBGBsJuTJysOf9tSyMu5MmtCeao+nINSnLsaWYjvN+TjRnmdd + fXsTO2EsIjy3Ig1OXSlpj/CBzc35Bz+4mnPD1M3mi+bverJ9+BxKBlVCIc7MSZC5RqWCt2VLFGIF + 2LhO/z6HHhp3H7AhMJPZeDzurRye9xtr+3Dlv/zyl7/i8GhlGrjwtjcgKkA51Z0odWkUmQEYAmw0 + 2zx/fePIr/3WJJnRiWsRyHfPMxIbMLzCDBEzsOElyLbFP7Od8NK0Swwh3HTCpi5tnWN3O7EtoHrY + dZcnTsy9hvHJg0K2zj9nRtKdAwBsbm6ORiNmLqW8+tWv/tu//QQAVQAIIQCYTqcxxlLKvSf99H1f + L1+vqv66Jzw555xzzjnnnHM7TEFKpko1wZphjBPG/UQRFEGBLCWPu4/d/DefP/aPvcx7w7zHuOXS + J1BWzoXVACMQhkDrWvFRcKHhKsUgCqiBFAEQGEFKsyefd3657JXP+959s4v2lv35uJZSVtYmpcWc + emUYAC1cTAwMYQpMYYcjfSk2k6Mbm4U0toEKkGFmMcZxGK3KHlqPX/dVLzlvfDmlpgktCIFABIBg + lDPiJMxsmkbzP//ba470txYuqgjGms3M2lHcyY13O2hXDVntvqQf55xzzjnnnHPOOefugzqZrB7v + xkDrimABaNunv/9dnz8w2SyIBaSWRZJQYgBggMzqep5yrzPk7o4NbMQGQjEyBWCIilhMclaCRkyI + Ljl85H1XXY15R+UBNo13zrm7MrM6ebeqTWe2T96997m/zjnnnHPuDEVEZlsLt/xTn3POOeecc+eu + xRoSLGp2DAgRWQkMIvvlX/nFJz3p8SIEaBBedl9pwj0uh1gOMpdSlqfNjIjqf82stkTHoje6O42G + L4YAiDQVpAzN1HViygaG0lZfKtL7s35h+Mo5FEYNBighMxKZmgoJShFDqwIL935dzjnnzg593y9P + L4NSsO1ThHPOOeecc84555xzzp1NVJVBQmxmItJIKH0iVcCapkldTwaYERBADZN28yuf+ITf/19v + /eWf//krHnG55iJ1GeNQ11scZDjRNM32m7t/qzWXpds6hKuqpZS67hEAsZDEruRv+/ZXZkPKWkxj + jKrKzGZWo7vPgvmodaRaRHLOgUVoq55+3fuuv+2OQ8yUtNQH2e5S714EjRPAgKk986qnn3fgYGCp + VXKS3bqQ1jnnnHPOuYcEx5CgqirMUvJEdX+Xbrnuejl2ZKI9qZKgCLKQaiGgoAiJGADMjPK+tQuf + +6zDkzgPrAqzAs1NM0rQKUqODAjbbjkAnJlT5M1gRwPWI9GlDzv42EdPV0apDb1CTQVggwBiCHxC + +PQiyxdKZEpkIBui4IxgmtuU8iduwic/S8fXm5ZFKJUcJqMeW2mLAMSUTWvYrX8hOY3YEBQE9IJe + AIAWk2k5hmIqhL6fNSsNpxluvvW29/5Fm+dGRXgImBXAur5ZfO2uCZ16YkInG2JBUICG6b8G9AUJ + MMFmaC97ztWbqyvcjLTLywnk92n7gSgBRSEyzyWEUNoWl1zSfvmXlXZUDBy4V1AjRqWH0qnvbgWw + nPfk9LkbbuDNY2E23zNuNBdRiIENRsiMQhBD0F0Xm7rTsiYRMiMFz80oNqUUVuOcI8E4I1JhNaE5 + UxLOZBURMXMdsfHpeecqVcpEpZQiHFmoj3KImwPPfcFjvuHlX6DYh63+b8VAp5iaYQQiyRlGUAUx + hHR1Y94eOd4WFYMSSo1jR96Ju+d2lBKMqYDAkRG1iGkgjWLCEAIRwQhZJIsYEwFEJCCG7IZjIqq7 + ZVFkAkfuDRaggsIoPLyZsrIox8KxsCizgZXr3S/1wEhQbhslZKu7PTHICgiBiAlMCIQAElscFEYk + 2YpwJBJTIpLT/Sd17kFWSjGUEIf617CQkEMxSin/+I//xPvffwOAEIYPhzkP7wUpJSxXtC1KSyKy + PE1E20+LyPL0Q3TfnHPOOefcztveZWI+n8M/7zm385YtX+qJOvHvdG+U24UUpMC2uZG1HGUm0pRM + zFGNLfCcuw25470fukbbnJCF0RBZ0hiGkeHCmni4Ilawgg0KNoKRAiDjUBAUVAxAMmSCFsSych5d + 9h0v+IHz9RFr+QLaCC23JLpZNnrqU7BCMAITMRmgZsVsx6OFDdwXcJwwSymJyFi0UJ/RFZ1RV9bk + AI6PX/ycb5H53jw3IcBAhqJWDE0MKSUQVPpbNj7zN599f5YexNZjHCYpdcXKzt4BtwPq3GkARFQ/ + 25z2zzM+K8A555xzzjnnnHPOuZ1iQA+gEYybW9omQaKhHY9zrt11eRhOp+HCWCzsue/IQGZWu0qY + wITNxEqxPG5HKODe9he9UBP6Dn0P7+zvnHuQ1Km9tAAghFCLH8zcNI2Z1aLI9pbizjnnnHPOOeec + c845585uy3VHtYkVM/7bf3vzE57wOCLkMjSPCBJSTvfjykWkXv/Q9qiU+9dR3T1YCMzERYuZaeq4 + EQTGkWMXjCZiClIyq4VQo1OuhFa1qSKGwigYEAPhhPVAdcURAPiqN+ecOweYWdM0fd+XUrD47MHM + fd/XhdCqWtsQ1As455xzzjnnnHPOOefc2Wp7AlOtppGBiq5NVpEKcnnFN77sT/7gD3/mda/btzqJ + Q5AyYIijBtgqrtWFPzHGGE8xdua+KAUiRUufegM+/sm//8Ef+eF2Mu5TylpIuEs9M6tqCOHBv/WH + 3LKWHULIOc/n86HHHPO173qnAtnMFq11CfdYQ60J1y/4uq9dGY91kdPgbUCdc84559w5bjabtW2L + Icwsr1hZPbLxT+9532rqxbSGOhvBFp1bgsRkBYbMdDjKxV91JS44sDluCkNMg2pQBIUoG2khGBi7 + 5qBgZZprnlMKq+PjRN1kfOmzn3UbU0cSAjHDUAgGM6YTOsNjyCPebmu2bU0ybku+cJYOX/OegyHm + o+sBRoHmJamQERhYhhZznb3pX0dOK1oMAtR0TAbEFIAC2XIppWjau9LO1g/tMb3zmncdvPPIqPSg + 4RmxdT0GhgJm9UdEy2TrelxDoGH1uhVAO2qOdDg6njz6ec/DwQNHofNcGmlOdVJw7vooAcIKI7Wk + htU9l1/1jMOEjikZCyOlwi0zAKJTapluhBgiio7Nyu23rf/VX1+Qe51uQpQMZEwAWQ07YILy/ZzU + fKZi6IiFco4x9rlwHKWiKaXVcXMgUnPnHRcd3bjo+GYznwnUcmnbsRCLSA2AzDmXUohoRwaO3O5H + yig1EsQISloIs9DORyvxJS/dd/Uzj+1dO6rIMFXEgHSqy0SMARgNIScGiKItZZQt6PAGxAaQDu/0 + 59Sz96ygKMUy+q6zkkOwdkxxlAy9UWfUGToMh3552mgO2w3HvZFKVBnnJnSgaVIOlBIW47Vbb7IE + EGg4GBMAYxuWw0ABYsznvYGVqcSmRygWYrvagWeEOfGcMCfMyTrQjKwn7omTcA/qCHOgiGRikC+h + cmePGCMzi0htUpdzJqK2bVNKo9HoF37hF9761t8HIEI531vnTDOrBablMsMQgre8c84555w7d6hq + /QwJn1fjnHO7Rn05tm3DuUwEYy1MHJOyBU6UMOk/eNP7bu8+31NvYFESYwJKAWo5h9VYjRgWhrFi + 8DLXA7WOCYhiFJkNQtCM1kYruv8brn7FeeGypt8Tylg00AltaliJldjIjAqo1JY1tvN5vkpsYDJm + Y1BWTsY9KLEYgzjHUVl72PiRX/0VV3OKAsgiyVsRsCgXKvd9e/yDH/+zDTuakAC2vozbUUrdXWrG + zt0PPgbtnHPOOeecc84559xOUaAAZgXj1fUwaif72eLGbBaY6+omJSQe5p4uFhedKrbF1FU2YRMA + xgghoM9NkpAwL6o0Q9pA7mE+rOyce3DEGJumYeaUkpm1bZtzZua6HimllHMOIdSW4t4u3DnnnHPO + Oeecc845584aNvQv21bbMh7aCZktj4koMEeWg/vXfvEX3vTEx33FcGmyXPogTENPoVNpOmY2Ho9r + g29VXbaucKdR6nPgSAyOAgBmaMZ6x+GgRmYMZYBqhzt7EBYvkCGoNWUIt+ba852GPn2+PMI55856 + tR6dc26aRkT6vq+tM0spTdPUblYARqPRfD6vP3LOOeecc84555xzzrmzwCJuCsNaQlquSbS7HFLX + lb4XQMwspUsuvPD7vvt7rn/Pn73kRS+cjGKt8qZZDwBMAHgxlJpSSintREYREREziGLTFuC33vo7 + b/+jP1xd25tylhjqBQBYUegZ3162qBJzLWeToUZAKWxjuvlH7/gTA9QgIga7a8bciRhoWJ7/3OeS + QVUZBCjRGf/4OOecc84590DEwLlPURpVDSNpujn+9lP61383yiUJjFA/aC8zeo21AKroQnP7gb0H + n/OMtDopxGIaNbXFRtlWeoz/f/buPVqyrK4T/Pf3248TEffezJuvesv7KSDQSEM1QlVRPAQR6WZ4 + SXeP00Pb7bicf1yzWtcM6tg2jdM6imKD41JWlw9Ep8WGEXmWvBRUoACVAqQp651ZWfm890bEOXvv + 32/+2Cfi3nwVdZPMvDczf58V62Zm3JtxI06cOHHit/f+fROaAqcQQiLeJpdCzMHnnB0xM6ciE+/x + 7GfSI79jWiiKc+hbwisEDDm5l4xs+Kh4MiEEKftSvueTn8J9+3e0nS+5BocSMyk2fPhggFktRHSL + KSDg+e7tVGsX+zptlj0riab2KiJ8/Rv3/9mndq+Mm1JqMyKhOoN3Po8boD4SW2qDfoLOJuLW2+/n + eJMQkKY5LO3cv3s5fO/NadAgRiUuuukPqFKK9z6pFJRAEOLjIe57/vOnV1yxOlpYS8LsldBOJcRQ + ymZzcSFOM8Aig/H4vls/EY6vDrQjr+tx3QI+FzOZL0ZOMOi6YRbtJDQjkqDCS0tL02NH6ciBKx88 + +Lmf/PeDz/7Nlbn109Urm9H04FFNuZRSFwUwMzOrqvWNuWzVY0l2klkAIYUXrIUw2bfz2v/1Rw9e + eY3s272aVQA0g83O2iSAlUm4EBcCKdcYkooVQeBFhLTGipiLjXJQP2TE0jVyLOoRTmskLfk2xrbx + bePa6NvoU/QpchddG91k4LfJZRrjBGFFwqobjH1M8I4jA4PGO6lvqSedadU33H4XltmKKaeIBAci + FxP8UaHV0Ix5dDRj3ITxwE8a3za+bfy08eOBnwz8WuOmg9A2vothEt00unYQps5OycwlZTKZ1Nn+ + 4/G4b1IHXZ2Md+zYccstv/2rb38nMdi5XFSBZjA40+2EEGrjOwD1dCWlVIOujTHGGGPMJUxEmLlt + W2YOIXRdV6+nc2RrH50xxlzM5mNSWiOW+zYwyqwkosw+Q7IryU0Pt/f/xVc/flQfLAzWhoW1iGMu + Opsoy1ASAUM9KVMdL1svv0mtMANQESqgjCU/cO3iS//Jq56472lL2K1EhUQJDDihUNiX4MRBWYHC + UjgXzlLHvs5zFVr6ciL74p1w4VRcK5SUsmgnlLMKa1x2V3/Pd75oh9/LXah1SIVXcJ3y6xRKmGL1 + vtU7vnL3l1qe+MYTuZTbEKyvwsVHVet43PZhZTVjjDHGGGOMMcYYY84jD1AM6Py/+PDH/ubxT92X + dTFEJSBBaONkfe1noyrXavtmkELRJ1tzvZku5xgH2k1Hw2H20zxZ+d3ve/EbP/kZNMGqgsaYc0JV + 69SN0Wg0Ho/btgVARDFGImrbtnaxqeEi1i7cGGOMMcYYY4wxxhhjLnkEd9IiDSICaDodX331lW// + tbe96U0//NWvf1MV3vlc8oYI4oc1QBZCKKW0bds0TUp9tzJrM7HlYohSEkmh4ABB1374+1/5FBdj + u8Z1Wc1sAYXWFnfK/LDHQ+WUVZ+s8AIlCKQfYiVRoDDKev8fY4wxl4sQQh2brn0z64kBM4vIYDBQ + VesgYIwxxhhjjDHGGGOMudwsDIYiIkTRh1RyO5nGZvDIa6972y/98pve9KY3/9TPfO62L0xLAaBJ + AYgU771zLuc+r6guETo3FORYc2bnRGXStYGdSPm5t/yHF974gmEzyCIueFVl5pyzc267NWjbrFL6 + 7VlKYeYQYipZRL785S/fe98BAYhQVFDH07UP1JJTguYUuP7666+64so0baMPAFQtuccYY4wxxlzW + WOG8L21HzgXmMh03qT3y4Y9dM542yAqUWRzv/PS6yyU4KsprIYSnPAHf9Z1HBEVAKgwBMZRrfGal + dOqkxa1E5J1iwK6bTIl44sLyaPSkl7zo/m/claQLjhVCpKIASKBuw3TNGl1c27jXB6VgQOaP0Kks + lC4cOXLgzz555T9//aGS1gg+xPqhjGpn9A0fVEhJcZlGAm8ThTGbet1/PGQVBUjh2REDR48su3Dn + +z80PHhwsbTMUK5R2CxEXPOda76mIrEq6NRsagFDQQpWYVWFZMTDLu668QV4zKOOqXjvBchSeJO7 + g3eNKpK0xOqJEfzxNu+48uprn//8+9/7vu9oJLcTH5AE6EsDm/sUnFJ2DC3Yt9h87Ytfwle/tue7 + n35P7oSIlWpkAimUGMhCwGW2P2sRZi8ijoNIjsQo7XJJC+P21v/w88tfu/8Lf3P7rle+6NFvfC1k + QEJT4ilRbRfDzEQkIrV0s9UP6QKhNgAAIABJREFUxVxo9egv9f2VBMoAhHTieS2Olncvf9dP/9Tn + f/Inr1w7tiPLytp0yQXddDK9zFYB9JnW/cGJQNpHdNSfkfretK3erc1DUqJpLo7Yx8Y//nF8/VFZ + GRdhytI5FM51CZJqJEC0A7QQybY5RLMyhMEEpwtamgePHfra34+AmJUUTCjol7TUFJz61tWva5mt + riIFK4qgA40d73vm02XgchwiocsJkUDiZi8CJSkEJUCUFIVYUlbnE2nyrnvMo6bRllCZS0fTNLV/ + 3eLiYhOHBw8e3L3viq7N73vf+9/85p8GQESllJopOJ1Oz3Q7dY1hXTVQB5vqlbX9nTHGGGOMuVTV + c7+maeo/52Wri33ekTHGXPx441RIgtSqLxOUSAsJqZAU7koz/rtvfPG+lX+QUQYFhlPNqkWZ4E6Z + TknrhWEBaqY1K0ghQH0PSFPsXBqVo+57vvPmZz/+e8J0mCfiHYnr86qdMOAxn7NJUEC4L0kTZPat + 84mEFCwBJJlEObNKTTYWFXIMEdc21+545FOve+Zf3XEoDxSUZyO80g/tERKDlvTTX/rYUx7xjJIb + RVAlJrL3wUuAqm7tOIjVoI0xxhhjjDHGGGOMOV+cwokCAnJoFnZe9R3tXX8/SCIEglciN6sC92Vy + ZaA81C2eASmUUBiq4kCAxsCTbuopTNoWWYdD2tVN0K1ARkBzDh+jMeayRUSDwWA6nY7H4zr3t3YM + L6UAiDHCZvcaY4wxxhhjjDHGGGPMpW++KkOISJVPmBuvIEKMMefuqquueNe7fvMNb3jjvffc15Xs + 2BU5ZTnErHPeadU2E7X9t/fe2kxsCwpNQkQUHFSVQKo4fGwpFa/rrdeVIKDaaWfTzcyU1+PSSQlg + hQBBIaogEYIQhFRp/kuMMcZcsmp/gdqjyjlHRPPWA/OuVUTEzAAs1toYY4wxxhhjjDHGGHNJ0lMK + nxtjqCZp4r1XlMl0DeSapnGOu2k7DPE5z/ru//ZH7/3AB//0zT/1U/fsv98xZ5EC5Jzr8GstrorI + fED2XNxdhkKy1oG+JMUTvnbHN9/127f8L//2R7IUUhATVD07BpUzDRhfJLyvGVHctm30QUTatm0W + Rn/0x+9VQAFioIbOPWQPQQJ+8PVvIFFV9d53XVfzsB1tl0wXY4wxxhhjLrw0GS8MFnKbIognYxzY + f99f/eXjRCDK81jrPo4XAKAg7zrxR1247kU3l927OnjAKacCtF5ZUcDZcWYIqS/Cm0zSPX9Imbo8 + JD/sCpeig9gGXm3C4o038nveP7lnjeunQyJVzQph1NbtShCaze6l2tycFRCCEte46/pNlXZ3GN39 + 0U9f+ZLvG10zPJyzC16kn+fpBAAUXGZ90i/wFjAbCfWflmvspQAgEOAUqoI0XSDsUY/PfunBj3z6 + kZID51LTzRVQgrKQ8DwKlvq5uDwvKRCc1J2k/y0MEKRjJwtLDwxGz339a48sDttClFIRYceb2yWU + nXMpZ7ASa1YN7BKVqeMrXvayv/nEp67WFbRjKRiMaDLOQx81d5vaRCRwjhQ6Etrx4KGVD39w6elP + CpRTM0zsgwgAp1wgWtN5L0w4wfYgBBk0rWTfjNama0OOJInHxxe67sD//c5Hf+WO5UmbUjr6O+/9 + 20/+9VP/zZt2PedZxwY+BS8ipZScc022tkzryxWTgFm9iBADKAytKRrqu7372hge96P/5sAvvW2y + /5BnKaoEpk0cIoRQD0/1JUkKJKcAnPaHqXq4E0AJxVKtLyoCXzSsEfOuwZUve9Wem18cmCEMyRi4 + QsI1iEUjBNCuX4O0fUqgKiCBdJAWkylu++rhX/y1cAAltQxgQ7aIAomhNR1H+/Oo+jDqGRohdMEf + 2L2078d/eOe1e+AdENGMIIDWM9DZWhgCCBCFAiCIwnlAMgl27nigXNz1c2M2yjl77+tYydGjR3fu + 3JlS+tznPv8TP/ET9QecC6VkVcRm0E6nZzr/DCGUUurigpRSXUFgiw2NMcYYYy4H3ntVlZlawrI4 + T2OM2VIs/ed3Rg2K7ilEmBxIBAVBcphOcfi2r/1FG6Y0YJ0IE4O5CAoJMaCzkjGgJALULI/CUqvK + TvvfUlhUETksNUEOh8ftecrNT3/FqNs1wCBpyUygTApWJmVWQCEsgCogxFCeVfKEgfM6JMoQUdSB + bAErWMAMIaXgQspgZga0aEjxeU++4SvfuG2lpMy5v1ck9S8KcINJN/7modvvW/3GcDjwurBjsDxZ + m7qwbYqr5mHbbmcvFmttjDHGGGOMMcYYY8z5I4AgJW0G1OndJe9aWM7dCpeciUHi6xIRQAASxqzc + /vDVmays/aRUqeusgJJkOBgWQepS4+ja0c79DzyItQkWzyY22xhjTlVKmU6nIYSaI1LbrNTeKyLS + dZ2IhBDq7OE663er77IxxhhjjDHGGGOMMcaY84cBJSKcOF1eVXPpVHU4HO7evfzud//u6173hjvv + 3l+kbIjEflhqRbpOx69rC1XV2kxsOWIGs5QpO9dJ1zheUh2mzFJAZwwp3xQFhKj2/cFsSPTEdv16 + 2r8aY4y59KgqM4cQvPeTyWR+fYyx6zpVVdU6PG2D1MYYY4wxxhhjjDHGmMtTiLGOq8amIXIppa7r + vHPdtB2NRo3zL73p5lf85ct+47d+82d/9mcVKEAIgZnbtq0BRV3XnbNMa4CJhKhmM5eSAGTVxrtf + /bW3v/zlL3/Mox9Vph17n6fdqBl0XUdMp+Z2XyyU4J2fTqccAwBmTjnXUOoPfOADjlFmPQ+JWeXE + oc0Nj5qBxvmbb7yp3ojkIiIx+pItRs4YY4wxxly+CAjscs7sfJmsXTPaccdH3s3HDsWUlEQIICjg + NuQLBsK0y+PQ8NVX73vOc+5lojCAZGIRlgwPJVUqBAGzFswjfrcHEYk+pslq08SxSAc3Xlxa3Ku7 + nvW0Y4cPuDwOpUQCg7MoEQNSM60xm2nJs4cjhMwEwCu4tmQnUcKopHj3fvzVl4avfGHThJyLJ88C + oX4z1oTsPu14O22cy9JpkqRJEdhxO1liwmq6+z3vW37gWFOm8IpS88xZwEoMkhNyrCGM9blVJPUp + ZhABYK2h6Wi9/kOZPuEHXi2PfORBhx0+qBbR4sNI0+Zip4uwKjmnCklJ4cj7ONY8eOyjr3je9Yfe + 88f7fMy5k6k2BMimP/96dqqFgTwe72W667Offcqddy8/9tGHhZPnGvItAClvr9f5BaEEYaeipRRP + 5LyEtcmVoVn9f9939BOfeeTKKvJ0Vwx7p+3hO+/71M/93N5/+v17/vnr2n17mqYhopyziDjnLBbo + sqXkoUL98g8RQKh/kR5J+digeewLb1y8+/6v/tYtV7dtyJk2+xImMKBaj1IqBAWU+pASzNqpsaLU + NybbDS8erAD5AjqUilscFhdcKSWjA6aNLyROhRSskRRec38yA8ze9bb4K6N4qErrKV67tIB9e+7p + 0m4XXRJFPuF9mWSWxk2AggQnnFgyccg+3JvzU6+5Elcs3s2cNSqiwAHsBH20NUmZnct6EGchInah + g4w1E7Txzl4C5lKSUhoOh6urqzEOnHN3/sM9P/ZjP7ayMgEwGo3G43HTDLuua6fTZjBop+Mz3QiA + pmnW1tbmpyu1692FfCzGGGOMMeYCqytJmRlAbTdROyFv9f0yxpjLnno9YSakEoQBlUzOMVNCoSjC + 7V0PfP3Og3+fllohkCAwA1BHrSgzSNdLwUoZs5zrmsfh+mRoKEEJqtxl2YHhUHa/4vrX7KPrRnnX + eGW8NFpqZdrfn77gLDUIpK9yKwEBYNYawi2zNO3zghQBpZbBCzHgoQIVQJg8ihQSckQsaPUJVz7t + uqXHfLU9LDSBos86mW1bUUxL2yxOPvO3tz72xicjaynF+6iQ85rMbc65+dDb9hmGs2h0Y4wxxhhj + jDHGGGPOGwJcwcAjRMT4vPe/565dbjJwidA5ZO4L47VEzgDr5up1QiiEQgCIhYMIQzIjOcRBbKcT + kRzJR/V8aO3xY/nkjS9BspqyMebccM4xc0opxjgf8yil1PVIzrl6JRGllKxduDHGGGOMMcYYY4wx + xlxCTl7GQApSQAhCUMaGMS/VMojRM6+trY1Goz179txyyy3XXXfNaW/noaWUvPd1PWEtO4cQzmE7 + dXOWGADYuXE78ewBXWoazpkhpAD1naQUrMRKZ7l+oY6K1l2sMMqGm5mNtIpTwfZYpGGMMeb8UVXn + XEppMpl474kIs0zrmgsyz1yxQWpjjDHGGGOMMcYYY8yloe+79y0uMr9M2imYfAyllFIKETni4HwM + Iaekqdu9Y2mhGfzom374777wxde/+jWDEFNKbdsCKKXUQus57PVfSpkvO6o1XgW6UvYfOvKb7/qt + XAo5VlUiEs2Kcq5+71YRkdo51xE7EIO895/5zGcOHDyUtY/Zq1SVzzx+esMNNywvL3vnGJRzrn14 + 61djjDHGGGMuTwr4JrY5ifeNEO4+dOdHPrkrOEHpIwPVkTJtyHImQqtYbcITb7gBy7vGvinquJBT + YZRCUgiFAWUv8EKsXLu/bJNLcb5jnbjSeimCojT2cc1j+aXPP3LN8urQJUKGMjOpBjjS2sy9v2zc + dErIjMxQms/rBDM4pStauvNjn9KVteA5KLzUdMk+IFwJpX6Q2WQ/HHNu1WfEKQpjnnapQGEh0qFy + PDZZ++ifH//87dfAi2iu2eUKAgFcCJm4pp7rLCC2Tv9mpfoKqs97Pyd8Zi3K+AlX7X7dK+7uOnED + IiIoO1dUhLAZXLLAMSGrJmFKWRxxYn8kxie+9CV+YUQgT5gKeBCmknUzt08KEmWFI2jBwKkeffDe + j9y6MJFYWECdQ+shRLPNIpdVtjUpSyKHqF0eBlad7GjH+Ksv/fff+a9LaysDL44x6VIpq6N89FGT + tTs/9EE/nuSca8WmNpABYLFAlycFC5wgQAMpEYpDCqpB4EiDIx+alcHIvfpV8ftedKjhNnXCm95V + SOEAp/2hRQl1pclJL1UhPq+ZIuacI0go4xF1TsaKbpJX17pVIW1CbJSH4kfihxKjhoCgHIm9Ix/I + B2yLrw4NYwFYaDFazR4tKw2gHhTrA+xPuggAnIpT8aI1qxsnnJKRCkAxc0SiB9ZkygvwO5NE4kjM + 5BiO4ZjYk/PkPLOneoEDmMg1viGyqdHmkhJCmK8CaJrm4MGDb3jDGx544MhgFJQwHo+dc23b+hAA + bqfTh7gpIppMJvORlFKKZVobY4wxxlzy5tGPzrnV1VURqaeCW32/jDHm8qYMzC4kgALCKqQCCJES + kXApSFM9/sXbP9PRWiZkAVOB5lIKnC/o+8mwsCveCQhQhpAU5lqOI4UTsEKBVMdA4cuUX/Sc73vi + 3qcOp8sDWYDkQh31g19eiJVEKAu3wq1SAQlrZGmcBFLP2odwn7/Nw1AvwpoLF2GBBidN3Vy5gMhR + BkSVW1AZpoV/9JjnsAalmsad5yVHqRstBhqmL3/zLw9PHtCISdc2Pl5W41+XjG2SZj1nwzDGGGOM + McYYY4wxxpxfXUkA4ByuvuKbzk2CYyaGAJBZG4J+vcdZ3PqsTkx1Iuus/pjaLoAZaILLOUXBvoy9 + 04SUkDNEoALtowJ0dunDAxRYv8YYY86olJJzHo/Hqlq7rtSv4/E451wXJjnnLFbEGGOMMcYYY4wx + xhhjLge1N/ep16eUmTnGWJtbXX311b/zO7fs2bNr9v2Hu6iDiGq12TlXu2LlnGOM5+TOm2+TqA6b + EQPIRXIr2s3aRM7HHIX65UabMG8uybOb2dCljta/1P6JNrppjDGXAWauLQbmJwbM3HUdABGJMdbW + AzHGlNIW31djjDHGGGOMMcYYY4zZCjHGuqInS+ljpFVzzp5dN22HzaCbtmurx5l0ecfO//wrv/qB + P/7jG66/3gEe8Owccf35c3iXiAhAvc2cMzNE4aN/+6//xvHVVWXqcvYxpO6ijxkghWjxwTFIVZMU + MLUpvf9PPsAOooDvxztVBBtiqtfXiM7+fMUrXpFTqk8fAOdcFtVNhXoZY4wxxhiz7QlBZinLtLHL + +Yk/UC8A2jYNY1NSt9vz+GN/trD/gQXUeasM5drEm/vOKSxggs8xHF5eCi+58YGcXTPIuXiGEgsY + YCVWACRO4foTbt4mFyGAtc0thdiJeu+9D23Oeccinv3MI1ftmTSD/pNbP9WyjzmcRxSvoz4ZdGNi + cf2LK9jRTQ/cdhsdODAcTxpRFVFiIa79Z6RuzNlTcMGwKlADtqnuEkry8KccXxxI+qdmdhFC4f5C + 6HOs64avz4gQlETnM3RJnIrvut0iOHD487//B/u6NCzZKfr0lv7pFiUROjmRWElZsaG3UE2FV1AR + ls5h6nCkGT35n71Sdi5gNIqxKUVTl0MIZzU1ix0HEYgIN6GDFJWplrXGx8c/fs8zn3lAREIz8pS6 + NC8PKKHwKUntp2S316uZA4DgoB32kP79n34IBw4upORU+yMDqbAUqvOOeeNGnm3nkw87NIsp3W4f + yOe7zUP/DOp+RYAyK49i46bT5fFkYXX1a7/2jl0H7h9Jl6QrguGIoBCRHPjZL3je8t49w+FQRLqu + qxP2mPlcdtXv938G6MT+Vgqc9PyevgNWTZag9dfIyQ/8/Jm/S81/KaNPhT/px0hPd/BUhp6aCLCt + 58EXggJKDDArWEH1XUoLEXVdd6zI2vKux//Ij3RPfcoDuxan7oTk3fqEltPHIKxfO3uTEgCs9Rec + 8tNn159tmxHChge+BfEQ8w17QTamQMWxQpN38HHgmgimlBKJsigXZVGWUpcjFdRFJ0Sq2+ErCZUM + pUh+CGog2Lm4pChF234bbthLub67QDaebtGGrZ1SNxiM4Afsh4VCEedd1Dmhfk2WrF9XVIpKX+on + dpfCK8CYdTnJtMsxDMiFo0eP/6t//cMHDhx0DpNJgsI5V9cFpK6jb3WwLKXUoai63nC+8NAYY4wx + xlzCRKTOj1LVxcXFOgPHnViUMMYYs16OXv9LLTFx/XO9Gk8nX2ZjK5BZ0Z50NqSrXutoJqQORIC0 + DnuxEimVOs6yYXRPicEEJtXU6spEjv/tnV/kkYDhCD4wKCfJ9Xg+q6rV38U46a6uE5AogZQHsnD1 + 8FHPe+KNg8kOTEWLLCws1DmrtKEmr6RKcuKwI0P51HL0edFvdAblOhJKyqwOYBE4H5mZoVokUswr + eOpjn+FzIPEnjYuxwjlHHmNZG2Plb+/58pTXfOS2bS/IwzCXOIu1NsYYY4wxxhhjjDEXpY0zxrZx + WiqLuOgGBMABceHQ4t6JsivaFAkFCko8Kyb35ezN3LqClGo9uf53hniBL+SJiOtygezJqWZAfclo + p+hatAkpQRKklKIFSEABgAItdT5/raxv6znvxphtwDlXp24QrY9unRoicrG3lTHGGGOMMadVTwLP + 5Vp0Y4wxxhhjzMVE5pd+nIsV3C/h0HkHKXJEjjmQcjdNIYThsNmza/k9v/e7e/cu1xtyjgCOcdA3 + aTpluUtVP30QIKVAtS6EgZL3vg4dWsuJLTBfkkSR4CECJkVhJq1Nxma7AkEZhaG82a6CJAQ4gZt1 + WmSFE6A25OsXO2HWyfHs2/QQkciGDkC1NdDsGpw4FGKMMWYLzY/S9e/zE4B5F6r6TxukNsYYY4wx + xhhjjDHGXBikREoMLqlAlEE1rEKLeHallKZpVCGi3geRTU+5nAePPcTlhPAzIS3QAs8BtZW/YyVk + yXEQu9yB0TRRVUJ0LOW5T3/Gf3v377/tLW/ZORwEEUez9ncEEMgxCE0zBJjADHfqcO4pQ7vzu14v + oijzsC7UVDSg7bISfuXt/xnE5FyWIoSSL/opqcExShbN3vuUErED83vf9/62AAQUxTx9DJCSARC7 + vhujZwAEDBr/T7//FZ5QUvbERFyKQGkeg31R0G2mlFLHf3Oetb8kwmxkIYQwv+YcDg3Phy1CCPVm + axJY3T4ppVKKzgamTx2wvpByzvP7sPFepZTqt1JK9Se36n6eqyfFGHOpqger+T9PXeY8PwIbY7YP + IQiLEljhC7PyScnW9QeEJbNklsJoOLqisXS0dvyOj37w2m4Spi0DBeTZNySsqLMVM2lSLcKT4dLi + Tdfj6t26MJQ2eVYiKvCCRhGgdXqJOhGefWTZJhdWcZICAXCCUJQgJRJNRbvR6HEvf+WKxBiarii0 + OHD9rDWfY9nHjs4mXjqVpmhTtMZF943alTzg0+pejO/4kw8uTwtW1ryLAl/gCxfhUp8jAJue/Hl2 + e8X8T8oMqW3xoR5gUAIlVp19bL3Ij+pUO/r0Wey+wAkLccfcOmQGq3iRUOALasx5DtxxIQKxFhaQ + cNFF9sPpmCeTb77jnXvvvb9Jq0AXAC7gfqqtKhdQYip98q4AihonIFwIUlvh13gChYhCIsaeDg92 + Lj3l2TtvfvnRwYiIqJ0K4EIsSRpym+3vzwzNwhKIB1PJMuDOZY2krFNHu1/7uvuvesRRHeQM8kgC + EJSQGJmRmGVDvkLN/xY6IRpYoWAWoAiGDv5o+/hJe89/uWWxm8TcDhWUUsG0BHQUMkWBZ2Vf6qXP + ZlCCghUsxPUXsYoX9aKs22iaOgFOxIvM75UQzy9Erk896FpoEQ9lUpToWLq0XHTPkfE33v5O942v + 7EprzQArHQYLsRurx3A1LN9/3TV7fvC1q42vJ1fOuTrFuoZbn6MHILS+PakmW5OCZgsTCvU5yqRg + CM+yoTcWoGqKRo2Oxoakc7qAycfzqOY60b3OdV9/YNofp3TDzyudUEPbeIAVgpCwCm+zGHUhUdLC + CgiUoQEaCnMhZBUO7B0xYy0l7Nz1lH/3E3c+8clHBlFqKzNyRMSBJ4pEJ+SjzNK9CXBYX3wCAAwJ + Ai+z5JL5egTdpjHz376NkSSyITFdSU6X1PJwb3O+q23c6y7w1lOweNeWEjhAoJkVsRCp5/pYC6Ow + CBVQYghrvzpJtsdXIYEvSqWU4ogBlvGKp46RauoMC5PwxmcNs5d2v8RKmBSAClrnC6UxcgpKTsBI + qm19Q+6XZQHomwqCFaoKx+pZWQGRkhz0AuXrGHOWeP0svY4KkYCEWNmBHXLpQMIOXdc554RA7Kcp + 56L/27/7ydu+eHsuKLPGlzXTul+3KLm+xzLzvIw/r/Cr6vzK+emKVYGMMcYYYy5581M+W/5vzIWk + fduNEz6gbfWdMgAwL7me4VsnPE1KdSCRlVhnBfa+Slmr1tpX2utITU3QoH4gkqFBEQRO6/gdt6CW + 0dWP9KzKqpmRGKgNggiFWFwzFaiqDyRx+hdf/vhaHK/R2AOcUEQyi4+cUhsJTkBaq9NZSbA+V1a0 + fksC+VHKYIIrGJZmuLL4+uf/y93dNX4cBwvc6rFUlBBZmRW19o56jzRAA+CgDAgoKSchEWJZL+2f + F0KcKWR2rGCUunWhrHDKlDULJ0CCeJ+j12bncNdVO6+LuZHsk0IBFQRyLEylQAoIJepn/u7P29Ga + xFSo22zEidlyRJRSwoaWWVt+XLWymjHGGGOMMcYYY4y5KDHzvNZWV0FjW3akJfYAT8arcITh6Ic+ + +KHE0TlHqgwhZShJP7FYsfllPLXEv9F8mjvQT83vOz6QeJHfeNGL0CUw4BxShsI5mrZl9j9k432w + KazGGGOMMcYYY4wxxhhjjDHm2yQFJSvB1VYRpZTRaHTtdde84x3vuPrqfQBKKd77ruvObnJ7KWXe + CXp+jXU0vnBIQP3AIilmSef99wA3731EqO3GzuqXKIB+YJQ2fEU/pkkAzrpnkzHGGGOMMcYYY4wx + xhhjjDFnzXtfI1dHo5H3vqiUUrKUEMK0a4loZWUFgHOuLofcThTQdjzeuXPnv3zDG2/768+9/nWv + IVEGvGcAO3YuqQiAtm2D8zjX7dKCj+9+z++vrk0ASFFmJu8u9lG/1HUhOBQBCTlXSvnLv/784WNH + T/3JOjrOLmZRUQFQt3YI9E+e89wQgneOiDaOfW+nCK2LTA3fmqdciEidwID1bAwAUNWFhYVzON+g + 3ngIoWZC11+9cUE0M2+TdoTMXMM/iKiGltUtVo9sIYSazF3v/xbeT2OMOa2u6+pBtR54c87j8VhV + 6yG3Go/HOWebV2bMtiS0IYB51h5l/Vsbu7Dnog25pbW18tWvpLv+YTG1lAsA74KUUjJIUF/lzhGH + OHXxELvHvPim1V2LLRNUGSpS83IdiSclkALz5MxtdKpDEIaygpVZa0CHkEoiPkLu2hfcMFnceUwx + WvQqYHYZ/ZktrTd233Br61Gg649RBM4xK4bt+ME//yzuf3BX00xz1wevKlEf9CusOGMr/HNkPQyy + xpzqxu43fYjttnqCvk2CPlgaG7Jva4Jv/X4f2zxP6lWUrh06p7k48qqUssTgy5FDu2Nz4A/es/aF + z19VugUuXS7BoU6sVoKwgIT6fQAkfSx0/VS6sWGRQJjZeYSI6RTTwcL+3cvf8cYfRLMwdY3UsHlG + 5prJvennYh6FQH0qgipBSdqSV6PHM75z74tuXB0uTuCJ4AiY5Rb3sba0/hUnXjPLogZEMgEE9lgE + 4oFDq5//Er7+9X3TaVPSMHh0mYuSEthhlh0rG1JjZ/t8/QU83z5C2G45uvV5lD5Gor+rNS8iSSq5 + 84TRYMjsc5ZWskafc7dD8o6V1fShWyd/8ddLx8aLAGdtHKTNjgbJjw44fsKrXyVX7J5eiBZeosQ1 + 9fXkb9AJr//Tbvv5S2P9sQPAyW24zquNLwM6pQPY+o/Rw5zlrvOb2n42vuoZYGif/p6lCAq8E8/H + ibpHPfrZb/rXh/bsPhKdxoEqRHW1E3ZgXt8U9euGDXjCPlDfwtzpDjOkp2m2djHqH8H8vfU8v8me + 1nwznu/Dm85e5lzP6dRtfA771PL+neXkxndb/lVrKG8N01VAxWkm5NlWYwKxkm44KG1Eyn14PQRU + QIVVIX0mUI3SYZVTd+mc1KY8AAAgAElEQVT5NRsz3y+Nnd9cnnLORDSZTGqROYQQY5ymzrlQShmO + Ft/ylrf+6Yc+1sQA4CHKNnXxYC1i12pP0zQbK/zGGGOMMcYYY4zZgB+qgQ/14x59Ret01a16I6z9 + iFIt89FJtWIw1xEoBanjWalzNqpSa19cK741A6Oga7Fy+11fHssYsQ4L9kMeQgJsHOUUIRHaUCMj + OIJzDLAIQsOpxch7rOI5T3rBVaNHNmXgNZJCoAAzhZM3Ql+lnI8/y6xDjihwXjOtAShQaF4vFUbu + i6LKtRpZK6Ws7MSxshN/7a5rGgyc8+RYCUxAmW1QEWWI08PTQ/uP3ZswtQLipWHL5zVZrLUxxhhj + jDHGGGOMuSiJSAihbVtmjjGKSNd1Oeetvl8nUKArOUsZDkdQhWc4Tn64ljQ5ZC6sUte+lwuygCGK + 7CoFXQtVJaBpoALFQuM8UCvS/ZRZqz8bY4wxxhhjjDHGGGOMMcaYc4EdFEVR2EEkd92USJeWFr77 + u5/1C7/wC4uLIwAiGRA+dW67njxudeIVAggRee9rW4r+Z1S3tt3z5WbLF0UYY4wxxhhjjDHGGGOM + McYYs1VSyVnKtGu7nCbtlBwPRkMfQ5YSY4wxNk1TcxYx67m/rezYsWPlyBFmvmLvvl/55be95/d+ + 9zuf+ETNQorjR1egCD4Ex7l0gNRMMz3z5QTfahSxS93+Aw+8//3vJ6IYI5i22/rQs8DMACcpIYSa + CvyHf/iH+XQxwPWqeeICMaMAipL0ta99bQhhG+4tF6+aHp1SKqV0Xaeqdcszs3MuxlhT551za2tr + fJq5C98WPwsDK6XUG885d103v2YedL1VRGQ+6aLut3XLAEgpxRjnO+r8emOM2VZijPW4Wjnn6tF1 + fviqQUcppXqU26K7aYx5CCIkyqIkSqokQgISBrxoKBQK+cKkXKLPk8mOgjs+8OHBZEIZvu+eXjIE + gGeQAgVUJCGvjprFJzzWP+nxayqFiJmZuYb7eoFTYagASihEss3aMyv1mbuzaM/1jxUZhOXlJ77k + xoMjfyxlJWQt+lABcKfXh8ExFv2Q/vvd5dZPBO8lkFB2KrH4WALNMiYv8NHzTBGw2y1a+Kwpceco + EzmBUxSCkniVJmOUxYt2TteiTrx0DoXFIS8VHbYl5kApDN0OT4Mut1cOI279xP1/9P8tHD00QqaS + BGAXcjohidkLvGzItFZ2QqGA+hBxkIIgKlkEbYtRGBzs9MpXfy+e+9TjjRP4QpyZO4fEUrgIlxMy + 6L8VUrAqq9bf5QtiqSEHXBR5qTnSpOte97LxniW3sHM6RZiF19avTkAKmTVR33ANCiExCoPBQiUD + CZCMhnmoxPsf+Mbv/tfhyhiTVXK0xINR52MWL6ok2UnrpfWSnQgJQZyux5cS4JQBbp1rHZ3vJINN + KYTWo/VQMCs7YSfMCpB0kijAM6GUUlSUPMUYRlMUx3np6CF85fYvvPOdew4c3hN2lI4ahStAkbGm + wwNdfsaT9774psODQeu20ePdhk4NA+mDxk+8Us58NHsIZ/FfzitWzB6cnPTCd94XkU5KJuVBnJJ0 + Dgv/6OnP+Ff/0z1X7DumygQFFhcGKGjIYx7yrTV3g7WvKW7ieHLJ4/Oczm4LP4wxF16MsW3bxcVF + EZGCybgtpQyHw8lkEkLz9re//ZZb3g2gbfsq/Zlup5RSa+m1TN00Tdu2tqLNGGOMMcYYY4zZBBLq + ozDmF9DGJGkF+qhnVngS74tvkgsFXkAAKIFS/TlSYgkkDUvDMmQJUAclITCE+0xrQJkAR+SZsog6 + 2n/ojv2H7ixUmP2mI3QZOQsgIlKEvSOs0a5m9z9+2vN2LuxNKOKEpeEcoaykF/nYIj3i6kdzCQxi + kCoIJCIAiKAKJcDT8cnhb971dSVhpk2N35ltYrsVuGyM0BhjjDHGGGOMMcZclGqhrWkaAOPxuC4d + 3+o7dRrOeSHpp08zo4kHQ5wsLXcOBCUogMIoF6S6HaXsSy3aFiiTXAAPCirInToFA1qTrSEA+IIv + KzLGGGOMMcYYY4wxxhhjjDGXntpRoi6NmDdobts2pXT99c/99f/nHTt2LNbvyul6eX9Lqppzds7N + Wyp779u2PZePwRhjjDHGGGOMMcYYY4wxxhhjTieE4LwPMTrvXfCplEnq2pQmqWPv1iZjVZ1MJjnn + 7RmjOJ1OnXOj4bB0KXfdC2+86dYPf+R//qEfGoXggehDTimXHHwAQESba5925p+uQb8x+He96101 + ioCItlt3trPmvU9ZRGTStR/96Ec9z8JoTkz/FkChdRhdRQB4z4PG33TDjQxS1ZrQUDOGeZY6bM5O + KaWGhccYc84hBAA18bTrurpU+TztgZPJpP4lhFCfU+99zdKu8xycc0RUo7W3RN3ZUPt9itR/llJq + 5HwNAgcQY5xOp1t1J40x5qFtPK7WiGtmrrPI6iFOVUMI0+l0nnVtjNkGhBQEVYKw9A3SKSuJkgjg + VPv8aYFTsIKBmBLuuvfBP//sMigQVEFEWTII7AM41lM6R8hODwzo8d/7YrCbEoSdCpWijD5I2M36 + s9eITcH2iq2FciEC+rzhebw0AGK3srKy8/tevLp356qACEXPsk25qsL5kPVRvvnGrR/H0aPDUpwW + QEgcCWPW0Z6Vz+sHklMjPC/yLvPfGmsNxmWZBeICcCpetO72qNezFIIAgYWzuExeYttJI3RFVtxx + 19d++91L995zbRM4daQIQMkZ9QMoQWk9GbqnjH6DkwLz3Z48ClAEicOhOBo9/buue80r9/u8Gnxm + zkyJa/y2KmWlfBZzvknRJ9arssIJA/AhHC3pwQHhur1P+IGX3kVSwpDUQwHqX6o8u0AZyqQgZVYo + WIgBFoKwqIpzIEASWNyiH+xV9+CtH8cn/nxvyRivDdS7VIbOSZqApBAyizCEVEkxixMmYP4U1Dxv + Ib+tdkglFOJCLMQC1vVygbBIYHKEVHIrWcmREroyJCysrfn9+z/z1p+/dtJeAZZp63koHRoHUeTR + 4M4RP/JfvCYNB5Poy/YpQVxstSKl0x++dJYQ8hAP6Ez/dztgBaEPm58jIiG44DspiVA8H0vdGjJu + uukR/+xVB4aDI0QUQrs2HbKXbsNhQxnqaoKKWsaGMcZc6qbT6XA4TCkRUdM0qhpjXFlZW1rc+aEP + feitb/1F7+EdA2Dmh6jb1ChrVa21a8u0NsYYY4wxxhhjzgYJbxh5qUNIrGCpQ1a1fuuhXIgB9oWD + 9CViAICAinKuA5ekzOpJHNRDPeCVAAipkMps7JKhLDk3waeSNeQvff2v3TCxc6nb9Ed7IqQE54lI + uy4vxmWsumc97rlX7XgESdNpAqtm9hqJqJQ0H2C9GJHy1Xuu1QmQi+p6zYRnz0ZWKJeE9ht3fl1d + Fqu3X5y2W41rO82ZMMYYY4wxxhhjjDHmYXPO1WWEqjoajQBsw+XQAky1ZXJoOwAgQgg3fvhP7xqE + jsnXJGlCZmTXLwU5r5qSl4+vYDrB2tjHBgCYlRAioQ+xJq1/I5AlWxtjjDHGGGOMMcYYY4wxxphv + W+0WUVuQE1EIwTlSLYqSc37+8573y7/0i8NhQ3V1ynzATBmzfm2zy7qNvb5rd2lmrjP1a3PSeqXZ + EmTt1I0xxhhjjDHGGGOMMcYYY8xlY9q2Xcm+iWvTCftQVAUITTNcWJi03WC0EGJTw3Qll9xtWWzt + mfgYvPdra6sgXRiOPDOkvO0Xf+H3bvntx3zHIyQnBgbNIOUUYkwl9/+NTrk8ND05hSjnDKBL+W9v + /8p9+/eP2ymAmjR8Ueu6zjnnfJxMJuzcF7/8pfsPHshyxnaBBHLO1e0Tgy9ZbnjBC3bv3l1jhVVF + GXX4VVW3W/e6i0hKqe5d86DTrutqXjiAEELbts45EYkxypmfr82qExgGg8E8uHqeGz2ZTGq4e/9a + 6Lot3P+dc6qac64bJMZY70y9kojqXe26bjAYbNWdNMaYh1ATj+aH+hhj13Vd15VSmqZhZiIaj8eY + HZO3+O4aY2a472+CwiqkQoIaaE3SJ8sKOSEn7JRJ2SlcSss+rL7/T644utKstQNHRQCASOG4CHW5 + KJxjT4xp4Ml1V+B7/vERD15YVKZUclERKClY4AQMQY0R7VOztxEhKLGCazd5UiEIQwAuxLJjEVft + XnjW02Q4IjATFGeMfzsjBZMvWdrJZDcR3Xt/97nb9o3bKFkImbmQq9HjrjayP//mz8FDBLteGp+L + nCAUeEFhZIfEUkgKSWFVgheMEhY6xAJSZEZySApirlHubemGkod3Hbjr12/h22+/UoXHExWwgyOU + ojH6wiizTOvZ7GwmZVZiJSEU7i81/boASvAU28HSndfsfdy//R/T8q5xMxwH1zrKzApirU9RDaHf + xFPRv7RZ6v5cL/0RANQpYefS/U7cD7xw9YmPWHUDSAP0d54VBNmY2q61H5KygOq9Z4UoiiICI88E + yiKlpGGePkn06//lFrrnvt1d5i5lER+plI60vqBA/UsMhbWQAuJUQhEvKP0LgeWUqexbi7ReGMoK + SozOQQhOsaDOt6VIQiBtWAJUiMbt3jbvOHL49p//T488cHC5TciJKSeZdoqs7H085mjPK16MZzzl + aAzKUbfVTPAz72v97q1b3CBrfsg6u+12vhuOnQsbX4P1CCCsUkoppfg4UEcr3TR5xmiwOhwcWVrY + /T+8evmFzz+wMDxWtPGxAAmC/iljApyChAsj8Vlut0sJnWE3ONP1D+s2T6nHGmPMBdWvCgSA0Wh0 + /PjxknU4WFhdHauSKi0uLt56660//uM/HiPnDFUlwkMX50spbdsCWFxczDnboIkxxhhjjDHGGHM2 + lLE+0LA+kETz9j7K2g+/iJIw+hhsBbSOLpEIZeUEKjXButZ468hUHXhyCqcgVUBQky6KppTUy1pe + /cqdt/EoC0o+i0/2AudApETkKWIarh4++nlPvimmRWkJRIVFi3PSMDMu8phnVl5e3OOyJyFHRAQl + JmVVBQEORZGp+BHueeAfxmksSBf3A74sbSxwbZNOTdtoTNQYY4wxxhhjjDHGmIcv51yXEdZ/ppS2 + 5zLCQOHo8aOIEeyhBO+wY/nBpcXkmDfU7qmudDjPNcNQ5GqVP7nxRgSXu1YVWsCMlPp2GH2eNfVT + ybdFCdMYY4wxxhhjjDHGGGOMMcZczGqs9bwJsojwjGieTCY33njD237ll7x3RFDVzXbPadvWe18H + vOrN1uYU5+XBGGOMMcYYY4wxxhhjjDHGGGPMjBKUycdw7/33/cf/9H/99L//2be89a1veetb/4+f + +en//c1v/pmf/T8/f9sXjq+tOu/rQshtmAjbtm3XdarahDheWS0pN863a+Obb3rhhz74wec/93oC + unYaQ0xdd25/tQ9BgDalT3/60zHGUsolMM7rvRfVlJKPoRkNf/8P/uChE2QEKiJEREDJmYE3vPZ1 + WvpovfUfm2Uhn/cHcIkKIdStF2NUVWauCdP1u3W+QZ3b0HXdfNnyt6/+ipqrqqre+5qlTUTD4ZCI + VldX67Lore1IWOPY69QL51zXdSkl732N+iaimr0dQiilnMPYb2OMOVfqsQvAdDqNMQLw3scY69G1 + Jh4tLCyISNd1dhwzZhuZhZwJ1bNfBZRUQSqz82GqP6OsBC+y1HZ44MA3P/7xK1VHQG61YVZVAhw0 + SREQsxfCJOGIymNuvhH7dk2aQQckUe+9C0GgpFzbqsyjIrdbpnVPWYgBgIShNA8nptDGeLThx73k + 5kPej5kzcBYfF5iIRAEMvO/Gq4vd9I4//WDo2qaIEJc+Z5Sd0FZFrl7aH4GcsBMuhPL/s3fv8ZJl + VZ3gf2vtvc+JiHvzZmZVZlVRQFEgCPgYsBFbUR6iLSIOtIhaljKigs/p/ti07aOdQdsubZ2P9jSt + 3eo4tvaoM44oQjOi8hDaxzi2gpQUjxKKst5VmZXveyPinL3XWvPHjogbWZWZVTcrb2Vksr6f+OTn + ZtyIuCfOK+Lsx/ptB+JaDcdlo6iUlGp+QDCQcYlpS0scNihb17Vh//FjR37rLcff/6cH+7wGU0FD + QIERCDAt9TUXmdZGqH9LyXQWdlpXsCpBCWroDJvN4M4Yrn/Nq/D5zzksRnFgYKPtPAMyqjHzvMPN + YwQhRc21BdiIDGxsxQbNsJtKP1qzA3uf/U2vPrFv/4NA4YB5USYsBbsqkRIJQYnmAQsAEAKbQTNQ + QCBCMJMkZR+J3X773//mW0YnxnsDhQZd6VJKwRAVPMtmIIDYiIyCgQxGUFYhKEBGK3iCCMpBAWC+ + NcGGoBzAOYtw4EEDNZp2o2BPHMT1e+756C/8Mm659VqB5RyZOaKHEoeO+BBIr3/q07/h6+9vIu3d + Ny1lcYrebZf6Yf7wb5ZGsO2PtvN6TVr11ULzM0BgLqWICIWkjB6qiScxjPft2dy754mv/7byOZ91 + cn3PpoFSZFB9Vj2ma1x9zUFRr3F2Ua34/uacuwzknNfX19u2PXz48Gg0qu3MH/7wh3/wh3+o67Tv + NQQQkc0+Ic76qRBjTCkB2NzcXHSXeDuPc84555xzzjm3A8ZGvNwKzQaAed4pwIbai2qkoAIqSmqk + QoueptqxYqACFKYMEpAIF6UiXIRMSckQDCCt3bFslEKTc44j3HX0U8cnhzftVCGNMe60P0IEKaGX + IiKjtFY29Xmf8YInbTyjKQO2GGNjNBvwFohWJCT4/BlvpL2DMAzEgDFFU2JmMpv3GqOgoLWT/bF7 + jtxRuOBideu6x2DVBgbHi70AzjnnnHPOOeecc86dj0WCdZ0onlJSVRG5uEv1EAwEYP/GPgAwllJC + AQbD+zk8M0Slvs6OaGQ2SF1px9M2dqRR2dt3+wMh59FagkEJZuAUDCAgGINUAEAD5tPALvG2d+ec + c84555xzzjnnnHPOOXcRMQNQBYzUTNW0dkI1oaEYprkw80te9KL/5af/zQ/8wA8YuBQ9y8yTeud2 + vYnZPAuixRj9Wv151YbsO+ecc84555xzzjnnnHPOOecuV0bGke8/dOjN/+E/1ByhxCGrENCEuL6+ + /lnPeraZdX0P1a6bUlitLKQQwnA4nE6n0+l00LallPX19fF4bCUf3L/vd3/7LTf95E/8+1/8hZJ7 + IhBB9SzzDeuddtod5+q4JZRShsO2n3S/89bfvfGGG1TFVC5govBFkZpBjfMZro0ePHrkD9/1bgMC + B9Glqa+nrRdVNWY2Uajt27PnBV/4RSEEAmBGRESkUDMFiOkRVqo7GxFh5ppvoao1/aJuqcFg0HVd + nbBcZyhfwBiMOnpBVdu27bpua2ur3ikiIQQiWl9fB9B1Xdu2pZQYL05JwBoBq6o1UKSmWdco68Fg + MJ1OU0o553rPpX6QOucuSznneiobDAb1vzHGel7NObdta2b1MfVhzrnVwcYKNYICCVZLoLDVcaJs + BCEGoAwhDFX2T7aOvPvd4cih1EuTmk76yExFzRACBZASuKFxXyYEPviEgy95yYkYJxymXYmWQgxK + ZkJm8ysY0voTAWRstFKJaAwwDMJlngRcC5SzEp0sZW1jz77P/ZzwGdcf+8jf7cN5VSknUpUYQmjS + VpmEyTR/4AO4+SPtF31+Zk6mgHENHr4Yqb62dAG0Hel9mar57bP9z0jBNR4gM8PQFgCcU5jKeE/K + G5NTa/c92L3rffe94x3X9tOUszG3HAAULZHBBBPEWTb87C8okAOUEBQEDTVOANB5rnYwTAmbezf2 + feHnX/WPX3GfqbVrOpUYeB4yCIAAboQJKDuJO1cCoPNtamFpyw4o9OPSMPeqD64NDr7g+de84u57 + 3vL2NC0b/WlVpAhqhBq3UBOD5+naNV+XAsEMRZXBAQBDWE52+Qn71m97+3uuv/6Z4dUvs4N7J7kM + mmHsYISSWAggREMUENQAYfRBlbiekVgR7PwjinfDUnEqBRAWGeXgwtRHxSAFMx6PR8ytyeDQocO/ + 8ZvhT/9if8bJbnMjpGK5Z1CLININ1+8erv+DG27EwSfx+uD4tLNAit0tgQUyghkpSHUpVqLuVfP8 + jHOdk2l+Jl+Ra9RHk0W9/ACbH6Gz7Hnbvn+FT3fLW4QJgKHhkHNOKYXUqlonmnMZlzLcu/fktPuc + 7/9nN//LH8+f/OTBPN3bBu0Fxphlx4PrRjRmW6nP34uGDNsHwwX64COs8h7lnLv8POx8bgyg7/vB + ICrT2sbevihAR44e/67v/J777z8CYNA202m/eC4zn62UaG2gxryNXUSaprnk46mcc84555xzzrnH + kRIMwSwqKbDolj3tIbPGdwIIBhVWBRFBCQIoBSFWUsBAGfOuXiUupEAMprOm79mLKMwAYmoCl0nY + /MjtHyxtNxGjSNj5dT0brEBhZBSE9rdXPfdpX5Sm61ETTAkJxoCCimqp5Yce82q7aMg4UGrjIDBD + AYaItLERMQBmoIgs6Gwc2nTr33/8M//B55opEC72grtL24p0vTnnnHPOOeecc845tzOLkWRmthhY + Zmd3kRZTyZSBnAVAiBGDIQbtt7z3PR3PUqUBkCE8LlNoglmY9FeSYZox7iFQgxGKidYeBGUow2sb + OOecc84555xzzjnnnHPOuQuk1oYWESJKKaWUavJ0KWVzc3M4HI4nmyGEr/u619x0000qO65JZGaL + otK1W7BWWL7Ab8M9Gl4NxDnnnHPOOeecc84555xzzn36UdVm0CrQpKBAp6KAAp2Uv/rAB5pBW0wB + rK2vX+wlPbPxeKyqIQSopRD7yVRF2GCibPixN/3oT/3rmxIzGXYaMVPjbc7GzKbTjoE//dM/O3Xq + FBHVaOFLWs6ZiFLbTPv8kY/d+sCDhxXIeuYMBgPABCYVIVgTwj98/vOu2Le/mWcb69LqIyKPEz5v + NUOamc0sxth1XU08NbPpdIqlqOkL+3drMDmAruvqVOhSChHFGIko54z5FOm6YBf2r+9ITf6uEbD1 + 53p/XT8557r2QghnyxRxzrmLKKVUf6gDyer4NCKqP2PphFxP+BdzWZ1zZ8C1MDIpzdM9a9IlK1iJ + C3PN3B2WgpMnbn/vu/eBuQim2nLsSiEGA0RgMjLR0k8VduDKK5/zeThw8FRKGlNKbQqx9HkymYTY + GMFQC6wDs1RRni/MyhGGsOlS5Hafc7O2PqYwbpqnfdlLHhgEHg3Po0yMqhIBJpvjyXDQttpfM5lu + vfvd631JWggCUjIGYAR5FImtF4rSmSOEL78hqlZTk6FkVvd/GAlxYc4cC0U2JuO6FYrqqEnh5NH9 + 1pX3vOtD/9svXtNtrUvfAKWoGfcqjACOagiMYAgKW1qZSjBSmwcVzMPdZ7/qQ9xc3zj0xANP+6ff + Od7YM04DA7UIUTWqRhOq8eoWo4SgcaeZx0YAtPDshWgeFB2NOcuAmsCDMYVTe9b3/+OXbz3jumNt + 6gILn/YKNR4bmMW0sqHumMpasoRAMTCDCAQS0VxEU0LouqcY/uZXf50+fuv+E8dHMNWassBRQRqF + YmEGOCgHYzYURg5ay0YlNV7VYHWCBitkhVXJoOAcU06tIOS+DFiuSbzv0KFT73//oT/6/X0PHLmC + 4hCNSGGGKhToCA9Ar/iyl+JFL9ps2rHStM+DwWB3F3u+PhdrVS/lw/tsu8Zq7jPnTefH4OKUwgbN + ZdgOGg5WpJ4TYoyD0XDQtEcmk8mBq/T6pz7nO7791FOetBlDLb9GUMDq6UhZ2XY5QN2d3SV93Dnn + Li2DwUBVSylmRkTHjx9//etff889hwCkRNNpDyClUGeknaPdprZa15mDqto0jU8edM4555xzzjnn + dkoBYSixEZRUWGvnUe3H0Xmm9az5kKCMEkyYldiIYEwAG5OBATKujeFGChLjbCSAkjEbW21CpwJA + BNyGk/nQbfd+uI8ZCWDLOYN2cHXPhiaiFMRIKSWM6RlXP+spVzyDJy0paREtBBBHAqtKJr30G6CV + Iic2mAFKJkZkAIigCg6hGMa5cIs7D92mKdtO1qdbKbQy9ZpWccyEc84555xzzjnnnHOP3qKtbTXn + 5DMxgBQTDCgAM9YS9oy2KHFoQJgIQgwBHADs/gi5mDBS+bUXvhjTDAMCBAgUDJhVOVDmRbMh6WU4 + rcc555xzzjnn3AVCcxd7QZxzzjnnnHMrzYyIQu3Lq/nWtQ6FaF7fM5pubY7aJneT3E1e9apX/tRP + /UQIAdCmiYA2TfOw1+OHj4GvNSnq69d/QwiLO5erkS7udLvCDICZPZ7ruV6Wnvf16WKfAVBKnRAl + eNiuYmZd1z32pXXOOXdB1FSJRYDH4rOgxj9UROSf+84555xzzjnnnHPOucdBTUys/U2TLAAEUAIH + VuDmD99iFEopKaVuOl3F2Gau3bm1E9ZIlVRTCBAl0baJDH39t3/rT97049s9tQYYYphF8IblLF46 + U86YneGXNIsfgBEK8MEPfQjMZ8wtu7QQEZj6IhzD//2W36blcCOb3+b/A2bdqwCGg6GIvObVXzto + mtL3ZDbL46zh18wMmMdwPmb1GGzbtqac2ryPux4Cy3HOAJh5ETX9iJnT9QGLpy/CU+v9i6SNGOMi + aWM5afWij8eua2aRWr0YZbFYsEW3yyqex5xzbm7RiQwghLB8Vl+cdf085tyKYczjLAkISkGJjNki + wMphokWbUGBMsi49/vxP1++/P/VdDE0BgMiYX6iIwqRtghaVlu6IfODlr8jtKHMiY84w1ciIKfUq + QhBm5ZrvqzWwllcsgrQulREKa2EAs4UEEEJQUC4og9HaC7/o8MbopJ5PNiXNIr0xZGjfDQmjrvu7 + P/kTHD3ajseNlggLBlVoIom22/mXDxkcvKi/E4mhWq+emNnMBMDF/gr92AlDab4VsNgDubTpSOll + NOxAUUIq6EiljVxk77h7UtePf+ett/7qf37yiclGEKuXjEhmFNEAbFkjwRSwWQatzjOsgyIoooEN + aqCIiUEBFYDT0bKUY/0AACAASURBVDB48KqDX/Ajb+yv3nscpIrAHNmCSbQcTIPNcgmAyBp2VNKc + DWSKWUz77M4aua1FRs3QjEwQSrJm7cSVa8//J99+aO/oWGANLXEsABgCmCEYgmlQBDPAjFRYhUAB + IqZSoxbETIgQCCRAl9n6fd3mf/uJnxndeXj/VmeQacvTYI3F2Gsx64g74oxASwnWbAgGWr1MayVk + U2MLqpQLwYy0L1lj2DKgHVpGEh2tJZw4LO99/yd+4ZcPnDy5PyIWURgjsjEymLGVUnnyddd/09dv + Htg4muJUbTRcl052O2nYigSdXRGLSgjBaiQDADzadT47/YsApEUiBzJTugjzBbbD4+fNOHW6hInw + UkuZ0kODhBUIIZgIdPZEXXq1FVGjrI2wWDajekRrItY+B0UyYuOAIFmRJUlpA58SO7S2jpd+8bXf + 9LUn1vcIcTEQg6BiqkyIgclIddUOsYvFzFQ1clARqNZBsOfxccfMIkJEIFLVukPuwvI659xDMXMp + pba91I9CAGZWDAIKnFTQ9/mNb/znt9xyKwAYcj87QeUsy+eqhzXOz3qo+r6vd9L8FLc8fcA555xz + zjnn3G7j+ZA/ZvZJ3CuOiEopzFxbCKs6HJGYjSVDC4AARBKC0nZ3Iy11jghBiDgEEYVaYgpKVCxo + iDpAabRv2JpADREFQu3iY2CpI0nrXtNpf2R6z/2btytrUXAA8fmMh2SGmqHIOtZf+JwXpzIMJbIh + hUBGpFaoFO6YQbjEMp6XxxDO6vYwLY67EAIRQMo8SzIxsxhBhB6T+4/dM7FNJR9ieimpPWV93xNt + V2q66ENJVzHpxznnnHPOOeecc865y8NpjX82q7swBSOlE2vrDxh6jqMB5U5UFWYhBNButnQT+oyh + lM8MLU5tQhS0aCLUpUcheMuhc84555xzzjnnnHPOOeec203M3Pe9iDRNMxwOSyl79+595Sv/+zf9 + zz+CeaWJvp8CdXLFjofdN01TU4pryjWAWh3jAr8Ntxoe45y3ujfGGOvULCxlo9Z/iaht2wuyqM45 + 5x6LUkoIswAYAKraNM2i7lVKaTFnr+97/9x3zjnnnHPOOeecc849nhSwGvQCGNCJCrC5tfWJT3yi + aRoiEhERuSRiWtgQY4wx5mmnRQLxjTd840//5E0EBGDYDggopdRkazmvrGVTBcFQU3tw2223mdn5 + vdRKqbExZjbt+/e9//0FADGdIesbwGkTUCfTycb66CUvenFkvgzWw6Wi9g6XUuoQheVo6rZtVbWU + 0jQNgEUW9Rm1bVvHJ9Q+ixrakVIKIeSczSznvNisyxmrzjnnnHOf3hhgGD/kBzbWojCiEEKKSsW0 + b7se48nfveddg2NH1sAwCmgQEgAtCAGoMb6lxJZzasr11+OznrUVG6FIxjUEd0GJhSAMBRhKUMYq + ZmrWL45GEIYtFYEppqWUQGlijAP7n/LiLxnTzjKGF4wAYzaAwGqNyp6+3P4H77xOdCOQSO77adum + vuTyOF6nGE6rMW9mMGgupMYGIqJH+op+6ajZ6qazt0wApn3Z2H/FtOQQI6sM2iYEy5vHry165WZ3 + 4rf/y4d/5TcOnjh17ZAmJwrm+21dHbU6f22XqJnWsO0dg6BsgCISYsI4Y23PQAEwn+D44IErP/97 + v7M89UkPDFIXwqAdlVJy6ZTUSJUK5oG8hqCIO93lZo82WvwsBCEUSFEBkDiRhgni+MAV9qynPenl + Lz28MdoKcaqWQjJDjKiXU0HB9bCFYp65O1sPNF8lNLvoDiGqomXdX7onHD5685tuGp2aHOgkSc+R + JuPNQYhNiMxRI2tiIQZqeHaN8VVjNdbdrQe1Q2xgIogiwCLAlgYpDZs+Z4FBhabT4aTb98CR7r/+ + xUd+7f944onj61M0CX2ZNEg0WFOhYcCYw13re579hm/rn/yE+yLGAe1ggGIou368Sy6kBrWa+lzH + /D36y2Uy1ODtGgMMYDlAxfhijhus5yg2QFRyMTM+e0o3LTK8i5DaKmfALJKtdTvZGlikvwNB5zcD + VCSXwXDtOPTuNl39lf/o+ld+1acS5bWYDRS4bQe9mBVTsRh8nOfMIv8VAFQf4/5gZjXY5Byvs7o7 + nHPu0jSZTNbX12to1uIzug7vrwFaAN70pjf92Z/9BYBzD/OvjfMhhJRSKWV9fb3eWVvX6/wvVa3t + 8I/DW3POOeecc8455y5Fy02OiyRyESnSc6DBIKQGWdAXo7DoamEY16ZDMpAipdbMpBRSBANrYUgM + LGIq3DYbg3av5Kg9BeU87WYvY6xggJXAMFDRQJnKXUc/0aexMhnBTIgf0iv4SAwiiAwGUOhpB59x + 7Z7rqLMmtgDBmGEgEy5GBoDtbAM3V9Rycy4Rgcmo9NabGROgRkSqKgAFAICCDcwwkglOHd06bKvU + n+Ue0Wp2DPnwVuecc84555xzzjnndhEtagswEABGCwDhS9/7rrv3rYeNjTI1BpgJkYrk3V0aQ9Mg + dWXPA4fRZWydqoUkoBLqvB4GCFCuA8dXsUXTOeecc84555xzzjnnnHPOXVJqcTQYL1dGA0BEKSVm + nkwmqkW1jDdPDofDG2/8hh/8gTeCMBg0AACNMZ55LD7hHJNIFoGXNdNaVWOMNaLYXZbOb8IGM+ec + aypq3UlqCRURqftPSmmx22xtbV3IJXbOObdz9VtB/Uw3s7Zta87EoiplKaXOyWyaxmM/nHPOOeec + c84555xzj4MzxNIQjAgEEI1z/9GPf6yoGBBCoHPX6V8BSrNb33cxBg6UUtSSDx648rU33vgDb/w+ + Avpu2oTIoFJK4IBH0U/30K7d+h8iqnE4wAf+5oMUePXXzyMyg4rF1H7kYx+/7c47DGBmhdV8vtPW + A20/hxkEfMkLvvhJ1147Ho+bGGe/WYrmcruh6zoAIlI7GkopqlrDNnLOw+Gwdh8DUNVz7J9d19X0 + egCLgHYRqekdAGKMIQRmvlyy95xzzjnndg8DnEIkrV/PCkOGyNcYur/+4ImPfXSf2ACcVYCaBQxi + mCELGgYM0ukkDj7j5S/H/r2TmGr0Kc0TcAmogbAGVsDIyIxM6eyZoxcXQXV+aWBEChhpCCySo4WC + MN2z52kv/JIxUq0kv6MXn19uUB3fqwQxDIsc+oP34tDhcvzEcG0YA0nuVTXG5sK/vUe5nKoAaZeD + AmaBOYLt0q+IU3dEBQqjMIRnic+NMrqifVbLwtLnU+g3n5J4/YHDh37xVw7/5u9df2RzT4H2th7R + MsgAUuOilA1mgFoSpMw1oZnYqO76wZAMKcAMXcZoGPKprgjr+t7719aefOPX4h+9+OhwqM0glwLS + lFI2zQFdRGEoaTAjoBDnsOOi+GQcDMEQheoCCaMPkCZkVioaLAjRluokxunBK6+94dXTz33mEU7M + IxgTkWTQmeIHeN44Y4Bsr0mQwQiTUuKgiapx3O09dXz9zntu+fGfXf/UvesnT7VcBnvbrbLFqqwy + 7bKGUBhGaARtQV1IIeiKlWAiYI2DaZmy5VHaQn9sfLKXPrANTdtuun8QrjXD+z54x5t/9eC9h/Zm + bSM2x2jaRpFlOuFmrRccT+2V3/A1+PIXPThgJColk9qQUyi73EBj0NLDjNSIiEDzaOqHPGz7nHbG + FhIyQE2LYH49Xl3E9qXFR0n9WJE+88NOzTV8ffG5Y2YBhJxrxPXqRwUYLSdba/0MrW+T51EHZrS+ + vr518tigaY2H070HRq/9enzli+5i2TJYE7tuGpESNQrMIsrdQ4g8lp2BiBjA0hjay6Dd1Tm3+pqm + KaWMx+MQQm0w70oed9MQgqpmKf/+53/+d9/6NjXElPScp/+miQBEJOfctu3m5ibmn/Uisjz/y+cJ + Ouecc84555xzZ8PMZlaHvTEzADMbxNAGll7Hm9L3GAzQDFC0tsOzgWER1rBG1kga+2mmgpbjWhsi + wcq49KdAPUfiphlPdTzWJmxEHaCjfaONoMy1JZygs14dBVSDlNh//M6PljBRMKzWh9lZd5MSRAEg + IfCUnvO05185uEZ7BRVYBJhMgWIEIaxY3875UNKJTcYyFhgBBomMogoGERGBlUKNtWab0vie43cq + l4u91G7HzE6rlnTRs65Xv6/KOeecc84555xzzrlL12ntbzIfVg5mrA3vHw0PbW7FyAIUMWgJvPvD + fxXU6ZNT+uOXfTkiBYMViRyotrLXWGsDlAHorCiEc84555xzzjnnnHPOOeeccxfCUnmpWn8qxljj + J0ejkapOtk5xwBve8Prv+77vnXY9gJRS103qJJkdqZnEi6rQdeB+vdNdTh7jlIycc41XFxFm7rou + 51xLiouIqppZSmk8HgNYW1u7QEvtnHPuPNUzcyklxgig67oQQoxRVUejEZa+AGxtbYUQLvLiOuec + c84555xzzjnnPv0s+q6ImYgM+NjHP55zFpGU0iUUHxJj7PsealAlw6mjx4dN+/3f98aXvfTLIgWR + kkJoYhIROkOk1Fkt9+3VynoACLj55puJyIgu9RTnGp4UY/yt3/otAByiPqw84sPfohkC8JpXf+14 + cwuq59E/7s5P27ZYGkiQc65bsMZsTCYTIlLVlFLbtufum04p1VCN4XBYezH6vgewtbWFpeig2je9 + q2/KOeecc+5SVHM8FVCwAtECqQUz05768X4THD/50f/n9w8q9jC0ZAIA7UtvIIqxV4CAACFMQLrv + wIEXfvE9bJmZUTOtjSBsyosYUapVVYBFBicBOw7q3VVKpjUolAwAKViZjRAaEJTVODTjpm2ue0p4 + wrVbbZPPq2TNrJz8PDJ2rZf9996P977vSsk2mQ5SEhEG8U4u/S6IRTIxqYG45ByYIVpzcC+DWGsy + ADzPLIcBCmZDC+LJdO9wEKyojNuy9XTw6Pa7Hvjl//3YO/9o/d77ri6UBKpgAxXwLHNZQHraPlxT + ss0wjwSu+78IlJAi0BGj7eL6rYTrv+Frrvy6Vz7AskkMIwKkz0rgwbAwC7GR1QhbAMLIfIaM4Ufx + ljkKh/kxaICRomUFrI4KC9THUARHi+Rrr37+67/tyP69/f79p9RgITC0xirMD97FTsJYVE2aBe4u + VnIIIXc9io6ADcITik7/4q/v+E+/uf/45toDh0ZUuDG20hrtaRrNpUb2LtKFjVTPFKh80UkukbiQ + CXFMbR35PIzU9lsHcnfNkeP6/v/3U//x166464H9WYYAKRIj931gJvCxfnxkbb19/hdcf8MNdyY6 + yQymyNDSsVrDgW13myasSCLAhNQCyE4/pGvMMQHblbvOcsQTUb3KruEcu7jEjw4RQY3UAARmLfKI + +84sh7vrA/EqtxwuErv19GTrxW9pafVzSpNumgihiBmOUxhfffCzvvWb+89+9oN7myN9JsQmDnuZ + tmup9zTSh2FmzLLez2uXMJs9V/WMw2h1dXc059ylrfYrxRhjjJPJBMBgMKjzsKaT/u1v/y8///O/ + qIqUQp3oB/DZUnL6vtQXBNB1HRGZiZktZnstulF8voBzzjnnnHPOOXc2tYFx1goNqCobR4k05RZh + 74AHCd0UfYemAWYt8bVzkmFc+2MaSoFYi5iICWILi9OJnbD1/nA+tBk2aU/o0ClrE+PW8VOL5mIF + 1ZxsMoBEQz/G1p2Hby+hmFmkiFlb5g4FlkJNafbHg8++7nOpjwFUSpm1UVMBqVHt7KpN2yvV//sI + bG72f7YT/YmpTeqmIRVmmAEBWmNEhFg5AAorcXLf8btstfq73SNbhe6th/Dhy84555xzzjnnnHPO + 7SKrE6i2R2YrSBEZqZnsP7CpAHGzsV4AEKnudgMiScFG23ZH799rHcab6DvmsJhmJTVKQM86mt85 + 55xzzjnnnHPOOeecc865HeLTKk3YduWI6XTKRMPBAGZSSiBeX18vpXDA937Pd73uf7gRhJxz27aq + 5bSXpDOV+j5dzhlArRguIrXqdL3TXWYeyzyNWqC87iEA2rZdlCwPITBz3WdGo1HXdfPKKc455y4a + M6sn6lJm3w1UtZQSQhiPxyJSAydKKWtrays4kc8555xzzjnnnHPOOXdZqrlQPI91AQBiU6ipAn/1 + V381GAxEpJSygu2Wy6kz22FaBDEVkbZNUCGTNgWUPIjx5/7dm5987RMjmAxSMgHMfI5k63N07ZrO + +t+IcMcdd3Qlr3J4z6NUOxlPbm2+7e1vT6nJUlSxVOftDCENHAIZNtb3vPiFL0wcRoOhFiFTMp3l + +rldY/OYn9odXEMySimllLo3ElHTNDnnRxxsUFM66g+bm5tmFkIwsxraUUqpKdfwmA3nnHPOuSWL + 4FiQKkMZUq9H+hxBwxSHhKafrhfBf/1z+dtb13tFBwMiEbEQcqKQeyFCaqkvyMz9ngMHnvNcXR/m + tVa4Xu/MvloTLBiCERnVb+ZGICiZGekKxtaClKCsCEowNrACQlq0DwFmQhQyIvbuv+YFX/BA23Th + PEtMaw0YBth4mPXaabnt995B055PnkCRth1GkPYdPy5XtA/fEAwCc+76yAEKaN1+K7jBdmb+TpmW + 3nNU3TBeKzo5dngQZZT6K7sxPnn33//4vz3xjj+4+tjRAymKZFKwQgQUAhkYuqgcBFKGBtOklnSW + PLuoImRABxjDNBQNTHuOpuGBG75m32u/5sG9o2MxhdEIfb8nJlac7EuJjSKw1Yt7NVIjURIj23Eq + qjGAYGBjIwjPguRFMkgjDNAukbWxoWQa7jamz/u8573h2z/G2EojC40px+YML8yGoAi1ccYQdP5W + DWwYGrWGSGCGFbPNE89kbL7rPff/7C/tO7IVjhxKnK1MWpPBJO9RJoMQStiO7ubT83pXRK99Mxxw + 4TzOjNiEJojkU0f3Ub/v1Am88/2f+MmfW7/nvv0BA0afQQoGigGJxphM9u654zOe+uTv+q7pvo0S + Umz39J22gxBYuul4kRO5WwxWJBCTmpkSW6h72JmaQOycseJEVEcSLq7iF/kcu+ps7TVkgBrUAlHi + UCO3F7sPGx5+IjWzwIw+E9Fq5gSwgYzrbRYeDwhBGErLzYmqpAoI8dSsgJoAKh0TpElH06g849mf + /d1vuOuaqyZ79iG2XRk3g7XxVk7NKibHX0RsCMQQISIiOo/m5HoU1FhrZl5E15z5wb7ynXMXjogQ + Udu2OWciSil1XR6Pp1Lslltu+cEf/BEiECFnETEOaf68M4db1/hqogCwSClFzUxVVbW2sdcepl3/ + 3uKcc84555xzzl2aHtIkWMOSE6WYB4O8FqeNjEFTtITEkPnIuHmDYR3WqQyFSiKQWeBADU720/f/ + zR//3P/1M3/8kd8f7zscn9gdj/ePmxNoi1IZDYZsVHuCjGCIQO3C0hImR8f3HetOSiBVZRDRjgfR + GYFjYGpoMvjsJz1n//CqMrWmGSw/QMkwa9C+VC26ORT58Kn7+9hpIACmRrDaMi9qRBwRgkbWYGYl + 9Q9uHTKSi7rs7nwsjtYVGUF9CR88zjnnnHPOOeecc86tuO2We1r8VwEFA6O1r3vb2+P6hohNTm4y + gMQcTnvSLiwQExghbgxiKhOQQlU3x4vfS+0xmDddeuuhc84555xzzjnnnHPOOeecu3BO632KMdap + LwByzn3fq2rf94MUS+lDoB/5n374da/7JhC6rjuPP1brTQMws7Ztu65j5kVisbv8PJYMgEVNk6qG + CgAQkaZp6j0xRi934pxzF10IoaY+NE0DIMZYZ+jV+o8hhJQSEcUY6z3OOeecc84555xzzjm3q7Yz + 2Jbu206SokigD978oRBCCKHW8X+8F/F8ichgMKipAJGDiQZiLeXJ1z7xf/3Zf2tQ1bI2GDGgIuff + j8YAQIStaTcejy+h9XM2tXX6lltuefDYUTEDwcwwf19nCGcgqiv5C7/wC6/Ytz/G2E+7yGeo2Fhz + j1YwSeuSRkR939ekjbohSinMXDuOzUxE+r6ve/g59vP6FJurr1YzPFQ158zMTdOUUkREz5jT5Zxz + zjn36YegDCVTggJQghCU1QgcQKpWukbLBgHHT976jj+8erNvJgWGhghkWTMBFJKCKPK0Nyb0HO7j + sP8lX3oqIJMp1QxkU1IjxSwiGmxMRjAGlA0grQuxgl+32TSYBUMNE60ZolkzRyKiLJIFpRnu+4fP + e6BF3mGsNVFdCQaADGQgaFIZdlO5697jf/iua5oh+iIGZubH8Xqt5iUvLn+ICGrdeBJANB8syisa + //ro8SImluoOCQJghL50MdiBpl07cfKqzZ5v/ujf/osfoQ/cfH3pB/04lBxSExBhTKBF5DQtRy+T + gKTW7V/K3lUlCCMGiGGiOBaa20bxqq/+iute99pD+684JBZHa8gawWZGgTmmIgiaWCMrCAYSUDEu + Z0/1PfsbBnieiSvE9cnBlHtJhBCjkGUpIhJBTG0Y7ru3K/GVX3H1y158eJSOA1Mo5Ox/2LheOBuh + xsDXNhuR0jYNQJ2iAGupDVvHrpPp5vv+5KM/9m/2Htu8ZnO8oar9NLbc5SlD+fSX3dHbfHwYwCmK + QoslblW4m+S26JNTu+/IsfLu997xy7/6hKNH12UrQCkEBqwwWRi27f3dtOzf+GSSL/ofvwuf+YwH + hWIz1GlORijKzGjQoyjt7qXrdDyJRky0OPUSYEtNHw+Pfz6jWay1GeZRvnhsQ8ovlPouct8/4sKQ + GYPQ9wGr2yw2O6Lmh1i96SwgZPtm83sMkWISGCUWkk6KDdfutyZ83vO/4Du/454Uj0dSRj/dagPU + R3o+DBHhMYyA3d7nRGrW+xkf44HWzrndULOo+74fDAb1/NM0zYc+9KFv/dZvZQYTxZgAjNbW9Own + uhiZCCIyb3EXIsRYL0qImXPOdZJgnYr4+L0955xzzjnnnHPu0rHcMFjHsBHR2mB9IHvWdP8I+0a2 + J1iDDM3z9sjatcoFUOUCFJCGpCGQqk2zFAOPkIf9Ydz3e3/5m29+y79+61//5yPN7bpxcpOO9hj3 + 0i+6q4xMQTCGEUg1TP7+vtsKoxABYJy56fIRZVOiGMbtc5/++TpRKMECUwMAUCUzEM1irUkvnYbQ + ujaIaDGG1syE9I4H/t5ioTDrsTJAAbFZl0pQsBBrFDNEObZ5aLf7d9yFtTodW8tWsXPUOeecc845 + 55xzzrnLiQJCKDSfF1EHU8eAtUEXAkDD1DADInn3h1lzHG2OtyaSDRNsbSILD0Z1sXS7YEYd7L/C + o92dc84555xzzjnnnHPOOefcpeS0Uet1Ekg/7ZqYainnpmlGo9FgMBDJfZ62bZpOp8z8L3/oh2+8 + 4eswj67ckVJKCKHWpxCR4XC4SNF2l4PTKyTOtuzOt++igomZ8VwIIca4yBUws5o04OVOnHPuolvE + SGxubprZeDwupZhZztnMauJ1/cRfnrrpnHPOOeecc84555xzu+rMScM0q4h37MSJW2+9ddEV9fgu + 2qO1CKQxgpEa6WDUGunW1lbOedC2TKQio8Hw2NGjX/bSl97wmhsAjKfjGiQm55GzQgQgBDZAFAac + OnXqMujPVVUzetvb3hZApZTZved+X2ZM+MZv/Maaf9z3fQhniLV2u6Rpmll8e4x938cYa99x/W3t + cahlPc/RX1y3Xf15PB7XV1hsx5RS/W3td17Z84Bzzjnn3OOPTHlWiEWFVBjCUCCFwCqWc5QyEjn8 + 538++fgnrpzKGprAjEhixYAAQDQgGbhThMTTGPurDuDZz0xra910glm+phppLeEdDMEQ9KHXcUYq + vILjAxVAVEQBwAYysJFSQK+9RYiBlDIYT3sKPfHqboex1jAmIkAZmiRFCWwAa4Hta9Itb30H7rxv + DXGSCxGFcHHG4TDAIIiOt7ZgdtmMBiJDUApKQcE22zMB9AHHo2jDg266cUq3/tPvffhH33zwnsMH + tSvdOAaIySR3hsBh2MMmOecAIRiAebK1MpRnMQEACBoUBAhBgUBQIVtfP3zVnvLqF+9747cdS7Fg + sGewlyfCk9yYjS13EW1qOXOUmEpKykEBqJIQhFAeZerw7P3Oo9MVyIzCUGJWtAVrxVq1LmoXNIjF + TqQYwNy37cZVd09OXvdPX7f+guce39No2/Yya7vAfDg6jIVYiAEoQViFVZgUQYmUEBil742CASkO + +9yNhmj7yTX9ZO2jn/rwG3+8/cg9V06nFvKppkyHRIYkqNuFFWz1ZS/s9r8AFNZ1XUQYtkPlJsX2 + QGzjoZN4x/s+/OZfCofvGjQdkY4la5GISGjIAkpu9qRPjvC87/gW/u+edZSQBnsxtmaS92jgDkW1 + H9Fm08suxx5snTylqssXyOe4VtazH/ik1vc9SoEo5tX/HzdnDMMIRIEIalqkn0y1CJ9j+WvLg5p1 + XR0YuQjnXinzCJCZhyRb17ZEq8cgAcZradCNO1obnQplYpM04MmxzabZOIzR2pd+xVNf9VVHrhxM + U2kiegFo9Q6wiyQQsdXWRUOfH2NLqaoi58UMmhXcr5xzl6uaPN113XQ6HQ6Hn/rUp974/f982qsq + RAxMIIy3tkKMsy/7D1OKMlPbtgBUBYAZVFEb6kUkpdS2bSmlaRo/vznnnHPOOeecc2f0kLhcVQ0h + bKxd8YZv/ifPffoLwmTNxu2INhK1kXht2Mw6T6mACriAFAwQpp0qC8fEqe0Zk4iTcXyiPXJ0dPfd + 4ZZ33vzr//HtN733b9+2yQ/wmnJLICODks4bkJmMlUxo+sk7P26R1UJkMFSLMO9snKQRehPTcEV7 + zfVXPsMEYBOLpgxSkCjBwACzsYGNCHYpNUEvaiPUpl3Vcvtdn8xcxAzgAJht96cEEJSgIGOAhcux + zaPmsdaXmhUcNX0pHTPOOeecc84555xzzl1yHtKIyzAYA4wmIqQThi5EhRGhE1CC7vIIOSn9gJu1 + loaSf+OrX46+Q84CCIKBqLYY1kXwoXrOOeecc84555xzzjnnnHPuwjjDzAczizHWcEoA0+m067oQ + QkqplFLLFSOaEAAAIABJREFUT8TEP/ZjP/qar/2avu8BPePrnE2tVQGAmYmoJhN7uYrH35mL+D96 + xmy17NfshdjAhtqxqRCQGQFQssVDdqwWN6nFUxb/jkajlBIRxRgBdF3n5cWdc+6iY2YzU9WaABFj + JKL6w3Q6bZoG84SJRTE+55xz7sKqVYnPWJvYXSyLC8/lrXPufx/+XOecc84555w7bzVVDA/py6xd + V1oMBuAvP/DBrMIx4tK5qMw5d123b9++pmnG43EN6O0m0/0be/vJ+Id+8F+sD4ahNsnudCJiffhS + nkq9ONvaHJ9PPPaK4ZCU8M53vjPDFBZiBIFDwNnma5pFpsjhRS/8YgAxxrU9633fn/YQAuYZTpfK + /nMJWYRV933fNE3f93W3rEHUtbshhCAi5x5vUMc/ABiNRrXzot5fn9W27eKVH7J9nXPOOecuJzTP + ja7fnJRAszjbmuk5K2cyuyaYf79dCplWssIoRfoAW2ubPUrhwc2Pvv2dBzU3shlgoibZzNBEUMDU + OgoAMEgoRaeMA89/LtbbCVkbE5sCaoSaemu0PcaQDWTMGmosrgJGeo7k1IuGjJfWpxAMMaHpxwUc + KUXE1AXGlVfsf9Zn9oEWUaM7MAtcZZ5XdY+Bmj6v33v4xO+/e52sDYAUVdVaGp2WImZJF7d693xD + M8DbOwMpSHV+m/8Xwqen1RrDmKB11KgRlFgIkgowyZMxl8K1Ms7smnIHQzrrPkYAaww6e6IRFFzH + pcKYATauI1SFoMRKAFiJF/+efjvN6SvnrA+ua4mh9SDgenQYAwimgyJXmu47cWL4qXs+/tP/7vb/ + 8y1PPPTg3lNH29INEjpBbOIwthl9kb5NoabB1r+7vN2tjrom1LT4ulYBGLjXdLJpP9WkK1720md9 + 92sPXzHK+/ZbaETMclkbDqe5UBNB1E+7hsN8D1yKH7bzrGY+2z9RVywYIENkFpHeBIGHnAYcic2A + 3PVTMX7SE08keur3fNvkWU9/IAUdDgV8xk5eZTXW+f5vmFftZ4IAnBqAoNoQ9RM0AcPSPaFM9912 + x1/+8JuOv+dPnjzu1sZbreZgOlulIDZmqwnh25tS6bTb8pmNlq79l3+uG6hui3pb7Pl0pkcu70sP + f+X52mROTUqpTKd26sQTpIS77r7313/jQ7/0K0+d9Ot90T6nllOAGRmIKQnCSdChFK/+yi9fe9VX + ndpYO5U4i1LB/j0bJXchhKIYTycIbEt/fbaoxvV8jtnWgxAL13U8O9jnS769zytB52fX7VOEIW+O + YykMI9SRfiDCox/mb/N9Xk0tF5Q+aImqDFpELC82Vl3O+u/iuF68i+VSW8uWD+flbT27C8awaIWh + SlCwIQIRiEaByIJJ6Ld0sglR8Hx9znak7QOWCCTSlEzTLdO+mChgFObLwErbSw5wjZeeh0xv35Z3 + ocUONt9zLvyHW02pn/3FxW3po2G6Nd5Y33NyazNLGa4PSyltTH2xzdhsNYOrv/2b4/M+98ig6ZtE + TKg58ksnse0P7qV1vv2A1csgefgBO7//IZ8dM/U0KASj2ZpjA9tszouaQApKj1L4vMa0zD5l1FCy + SVEV0npCw+xDBwCpAcLbbdrzLxt82s52lnfqnHNnZGalFAAxRuY4GIzuvef+7/yO777rrvsBpBgA + 5L6vPSZSyjnmCdZgbFUlQt8XIjCDmXPOIYSu6+pfWbS6O+ecc84555xz7iGIjOYtuWYGJaa4p9n7 + hPCkG1/8un92ww+98JkvWxsfbMd7B3kjn7SgvDxBz+ZdFU0DIvQlF8tmoEA5lS51tK5j6rr25JF8 + 9x/8t9/9+d/5md//27c+kO48NTw+HmzmODHuyUBGyhAuJUzvOXx7nf2PADORgoC40/6+AETjqzeu + 24gHhnGNEZipkGy/6eXZgqvXnlzNu01Pa+EHMOuzYFOWzH0f+nuP3ZupM6iZGAOEELa7VBRis3er + AtucbCnZrEPWXQpWsxTGih42zjnnnHPOOeecc85dFtSghsLbs0po1uhrgNGkWTvJbQFCYAEVC+c7 + d+PR4hCz5ibbWleuyR26LeROgIwoiHXKB6yOPFY5/8LvzrlPC4tch4eod9bHrGbviHPOOeeceyzq + zFgiMHPN/brYS+Scc84555xbcbp0A+Yl3DmkXJRDIGZR5RBmJZiMpRdSisSaS0rpppv+1Ve/4mX1 + uSEQoDAOoWFO55hGMm+gZlWYEcBmRBQWDddmtqiK7q3ZF8Q5KiHydhz1jl6R2Wi2lQkgZSgZkZGq + hJg4ICtihJlA9DymFdWk6hqSWi94Md8fSinLO0aNSl1BizLrK1Llvy7GorngEdsNHvLIi/su6hav + q9QDcVffIktgsdvUKkU7fZ0QwiJXQETqdl/cs3sWO9giumBFjuLVx8z17J1SqqeOlBKAwWCweACA + EII3XTrnnHuMRHKMjPpllQlMRdSIQdzlEmLiEPtcOETUEC/D4lYtqsYvLpce/phPH4/uvW+3IcQm + iJW+dBxJJIdA9VM+ciCbjc81UTIEENRETUEgVpAaxABiCrH+rIb627oFQQw1BgWQiWoRqAXiQFxD + qpgBqFgRK7Oy16S1oPCifWP5HX16blPnnHPOOedcFZRqkIxt95cpoDBlYmIW4J3v+iNK0c5Wnn/J + xbrWOP2PMhkzQuTUddmMOKQixiGBSSW3ga+96uC3vPabAUQmgp2jOdaWpijOe2oZxnWWZckCIAYG + cPT4sXO90EVytst8M6vXkpJLCjFyqI/JKv/fX/7lXfffy0wAVAsHUs0EXYqJ4Vn+ERANLPaqV3zV + cNAyEzFKKRRm6USLuCOrz/dycbtg0e9Qe4QX/cK19wEAEdVOnEfsPayv8/Cn1x8Wr7yaXc8iUoeF + 167zxXuJMdYf6j2L7mnnnFs1i17+/5+9N4+y7LrqNH9773PufUNEKlOTbVnybIEsGwQGubEN2Lga + imKyGbvLZgGmYDEUroJud7FMUV0DdFevnpgLCroaMI2x5dlgbFEYbMCWDZYtW5bkQZI1T6nMyIh4 + w73n7L37j3Pfy0hNVmoplWHrfCtW5MsXb7jDuefee/Y++yv+pL1Punt5YGa1H6tUTinFSxkMUREU + RuxgdhbjYCw2+BGDclAmZyPuzZ2kQWiU2SzAGvKITKQQ5D61PS3f9YGzrr9r3O84q1EickIjiK4w + BwkSMlwDwIo+0uYlF8434kLI3QkGMiXOHDKHnqUXZEbxsIqJWMMuADm508O5aXsMIStVyG2oT2PK + rkwG4b45oz2rT+iZdtGnjVFH/oSLn9s3IQslhzMV8y/R8dvK+8cuS5JcBiVmQymeDgPIPC4WT030 + uT97D+66eZy2JxJU3Qg9LJmSsEKzZ46SLANKUIaLGTuTsyGSS1AOZuwGuJE7ubH7sLE9s2W2lSCT + gUAeyAM7ipBYiY24F1uOut62dLY1SirlNtMBhEewUZsgksWXgDA8ZyNQQ9wSGkNwk5Bd1JWgIg52 + sJ34+4SfPbJSI1Y3MBEHI1YnJ3ESA2eDOhkxETGRwMUMpha0QzLPzAxhmDU5n7vsz7lnZ/bH7/zY + v/q3eN9fn7/Y3cg7Ac4EJLSMTnPnHbGDE1QDUBa0yJKdQA42iMEM2YCGe0ABdbQcHDLjyZ3Tg+e9 + 8gee+JP/7MjZ594LTrFJbu4qjeyaehPJQOaB3dEbJ+VkZE4ESDlkTjZf1wHlUkPfCBasDKOwMi+Z + VIRBou6W3dXIlI1b7yktzI9NDsyfcv7Fr3vt4QvOv0NCIhYQESkswzhGNo+Bi1+h2GEJNvijjU0l + ItpSGwS3ZOQckB0yxXKxe3aaPeXI0U//7791x2/+wRPu2Dp/niLMGknMCiFpAc45ExGMyFmd1JAd + mdi5FIli8qGvK5nSRfTAbuxGMCNzQuYTfmxYWhOzYCZmtFIdr53W5VAVkBjYmYwsO5GIRIDBrUNU + yPvdC9CPbrrhjv/7V7bf/Nan9YvRYnsKUEZaelZiEoKbp17k8PjA5NIXXvDDP3rkwPTIdDxzA3kQ + HMu7ecRLURKe8jSmAA/A8R4b4CL55pVT2Ygzc2YGSj8PMTgh83CwFCEuB3IBCfq0HDetGC/nPcJk + fsedB4gbB2BwEOAKXumAyzYYXMkO9tU2KT0X2SBvBiS2rI7te6e2nCq4S3ESZ9YrDYdtXi1n+Snn + JtsjhC6Ph75rtV9Aa0X6OgV+2NdDP4kcvG81RcsAG6IiKmI26ZM3rYjPgfnsts8f3Nic69DXsQuZ + OJmzlV3sjhFjmmaL266P6EkMEnq1dceyd8mBdUsrCyxwMQjApeHZ0NLK68GOYBbMpfTuZHu84yfH + Kl8CvBo5HMYPT/hZHX0OjtT3yynHCTV9nw2ITAxFG+6kfnFg/Ox/8S/o2RcfkXGmmHIy5p7ICNSG + roi9m5iIjQZZtPO6DfAj6IJOKWWTlq3KDjGIoRwjq/OIwKUcR2vDvRGUs3Mu/QAbswUyZg6AgTL6 + BXtieiTDpVRO//0SufO0ZECI2UPQEJTFjGFKpmw6LPywc4dWRwIXdimNbe9xUdpYNVtXKo8n+D4/ + BBAAd/jx8wFc4VpS9jiG7JYdJPHYzvw1r/nZ66+/uQSKUlIAcFhWkIEMsBilnPHbti1fWYbW987+ + a5rjV/7lr+sX78/B9kqlUqlUKpXKY8Z6Mvj6vzUUWKmcatY1J0MI9YjbJ+zNzyyjteWxQUuEipmh + iBI9oV2Ozl2eN7rlwDP1uT/04p/+mZf/m2945necaedP0kHJTUORAXNkwAVlOBYO7cECd4sEJOdA + BngnjcWQR31O89H2bfLZt37293/xza959+cvu8GuTZszNDnAxJBJ+6hH+yO7/ZbpMogaLMFDkJMt + rUCOxjHy5unnXdj6gdiPOJFiQU1WYkMIhmAm6IEM7MfBTAJAZuxZ3PdEKJwFElJScnb0ve9g0z55 + 41UL2+WoRh3Ec4klKaAgh8I1uEUzSsQOsDtmi12IqatCKUh2K3WW9o7tlxGeVSymcjopiZoppZKt + Wa5qTnt9jH0Ug6lUKpVKpVKpVCqVSqVSqVS+xCBAAFpNXRoS8ko5PABBXnb5e+8JsmRKyRg8asan + utKEahqF2HcYOzZmO+gWiLKOPJADbqBcJvn4kEpYqVQqlUqlUqlUKpVKpVKpVCqVSqVSqTxGmCGE + hohKjdFuMTt48OAv//IvvfSbvp54UH5uHjigOZs68UkFs4ZS1OWTzazYT4dyY5VHhVOzIVcTYhyr + 0orMQU3N3B2l9iIR4fG3H0tJ8fK4tOdSbfw0Lk8IoQih15rwdaHz+1P+tH7x+u2P3RKfCBGllEpB + 9rJhc87VNLxviTGaWc5ZRLquwx6pwElRtIUAuq4TkZyzma1NA6eOdRtrmqZU0BaRteK6UqlUKpXK + fqBpmq7rUkocpOs6Etma7RzZOnrv9tZsMT+6u33bnXdIE7uuA1O5uOUqNn70WC6XIYSmadydmXPO + KSURWXRLEiZhMMW2IWGFN6OWgoDJhMpvgy81LbqlCZEwooCpd02aE4aK/NlU4RJDM2pDE7PpoluG + EMp3EVHTNA9xR1OpVCqVSqVSqVQqGJzH6zqAJzxPgJuZGRH+/qP/sOxTr/mk4iD78zaz65ZZ03Q8 + +rEffXUjrGYAiPYUR3yYuMMdRMyc1QD0fb8/47brKZ+22stOEGIi8qyNhJTScrksCx9jfM9fXO6A + mQNwh5kdn795n492MIGA7/yOb29DBFBufku8r3zv3m+vVE4Rfd+LSKmQWCK263hZSqk8UNUY415Z + bKVSqewfSq/V933JPAGwWCwAxBjdPeccYywJIURUi2tXKqcUP6H09d7DzZygbMXS6mSDgDYGZZgZ + zMngCiRX9QXUg4/6HncdufHd7zt0eGfzhKtp8ROUxs7OplBGH+TgxV8+G0k2ZqPydexERmJEzgCM + 1EiLwRHA+p7uVBd7OWmcDaIQhwAczBq1RjWYs7nmTASO7Owz1cV4evaXXdQzZ3MIAKg9nPXZs4/I + 1vd1vWM6GjeL+cHt3eve8MazFz3tbk9GkWBtlCZGBkWOUHS9htgUc+eezzQnU3IjGFgZSuQgP/GO + iAEaNKiDDo+duIi83clZjOHEjtD32D2mO7vREWR4N528llWBpBkSp5NNqAa2wARY3y9z7slVGFGI + 4QbPpk6DCPb4bwBkDDCMUYzd658cmAjq1pMpucKs/MQYS4akmalqzrmcsMxs1MbJpAkpjXe2npbz + eUe2m4987MrX/Zs7LnvzWTfdfP6yO9B3kotH9oT77rX/eNh7601PcDA5w1k4cJDl0gCEBhKwlfJd + Id509uYlP/fT5/zg9x+ZNMc4SGhnO7sMEMyLopwYzmVfANkpO5VbXD7+c/Ks12AQvfrwpBKU7vsk + yNw1BF7kPIvx7o1pd8GTX/C6f3Xvk590OAQbjXrzIIiN9KlTeErDrYoYZNDelk1jq01kBHMyKwMS + wHKGAxsifRpvH7uo1zve9I4rX/cf5CMfP+fuew5u72yaBs99mlOgjcmE3JkRAofIRCQi47YV4tSV + fD8GjhupHez3G94osltyUHmwfnrw1pdtznTijxH3pgnGzDFGFpgm1QQYcs/z2caxI89Qbf7hyr/5 + hV/srvj7J+zsTJdLyYgxEBCYxuNx8tzB50z3boyXFz/7KT/zk/PN6bFmPFvkyWjqZMo5MbKYEhwQ + 46DBCTp0oUOTUzYDVp3ncDiQg9xK/+k0NJKh7wXYoV1PDjMNbVwu5+56cLqBrSMxqaQM171t+GE2 + pPti3h892vS95BxElos+xGYty2SYeCY3QhYzQiYYI5fmPfiDsfcCcXWqAhzDLlv/cBHJw4eGXMSe + ZEZetgkFCU2cd/MmAN2yO3zvcnc+ie3xloByJoIDBnYCmU9y7g4fLhMlyDz60NMFs2LgCDZ0OEX6 + blQk8QpSwAEjt7KneL0vACNbqaadhy1nPBzdj5z10fqAn1O6jtJPri4KyKmokc1yH6fTuyR0Bzef + +dp/efOZh7bbkbTTDCPyzqBZR6M2mZqZWh622WqlVkeWg/b9Jf2eJWQfWnhxtK+vNxggH3ZN6Qqy + mRNRIGLDYjkGC0hPfn+Ze2BumdB1LBAhkKh6OZkRIO7iXnThw6KSkUMe/Lv2LnmlUqmISBlgMbOS + 2sdMvEqhVPUmjnLWn/u5//FjV15FoBPd2McpwzXlXV3XjUYjACmltm3LrIoyPSTnvFwuT8eKViqV + SqVSqVT2NWbGzCURq4T/mJlPru5EpVKpPI4oxmuxwH08e/zEiR7s78aT2qd+70te9SPf+tMvvejb + zs4XxJ3NtptOaRSUtEdSZEXqIY000yABlEEKN1XLDBGIk5vkLs5mzdZsdO+x6Z1/etVlv/Nn/+ef + fvhNdy6vXzbHuma3H3dznt+9c3fybGaW3co4tigLTiovkh2UEVTOf+JTLYWItqFonlX7EsniYQzf + QWaE/SzXYAfB1hGK5XIZQxslmplq4taXcefj1/+Dcu9kqwhsGVBm8mGYpcQL9gS+kXM2KBE5+d5a + NPcfmalUHow6mbZSqVQqlUqlUqlUKpVKpVI5ZTiTQ4h7AoAGQBnwZSRHbATRjxwaP6WjuDPzZbec + 745ITlGp90JgTjlZhBMOScR8ieQhw0tB5lJHgwzkgFAdaK5UKpVKpVKpVCqVSqVSqVQqlUqlUqk8 + trgrEZm5mY1GIxE6cvTwwUMHfus3fuPVr/7RD13xD8zY2d6KMarBvrC+tEzA4PXjUqjU3YsD2MxC + COtCzJV9iK2qUCmBfFVVS3MTY590MuU8s9jEwBFJH1mxvC9qylQiVS1NmohO47TbMrUp51wKAZc6 + jA9R2bz8af3i9eF5Go0FZevlnEMIRFQNdnvZhyaJ0uBL+y9Ca2Y+2QrUpeAjgPIhxRXx2OjVS33S + 0vLNrHgTH4PvrVQqlUql8jAp1waxjV1KYTR689vf/uM/8RMASkF3AkYh/NAP/uB/+Hf/3om7PkVm + 23dXTPuO+9fvPpHjtzNtjF3XtW1rZkktxjget9vb25PxVFUhbGbL5VJi6LrlHTd//pbb7rjttttu + uOGGe+65p+97VV0sFovFYjwei0iMcTKZnHXWWRdccMHTn/70c889+9nPfCYRORMBue9Vddy0Bw5u + zOe7sW0ApJRs3omIiLj73iWvtXorlUqlUqlUKpXKw6GEtkoQ5M677r7mmmsuec5z/At5esrdB62M + QfuQ6XQ6W8xns9mFF1747d/+7W955zvheMR2SCJiYjcLxAwa9GFfDKzK41oIIedUauP2OeW0fMe7 + 3mmAltCDKeDM7GYG8AnxawBwx4HJ6CUveQkRmSoToQRl7nfv+biLg1YeQ5qmKYHdpmlK6CrnnFIC + UBIqinIvpVReUKOolUplv8HMpRPr+75pGiKKMapqOV/v/SuAxyYfoFJ5fKKExCBBo8NNDcGMgCLv + JGQezI5OlglJIBDKRpRFSCga2ECJPI2cd4+d16fb33aZ33zjAe1jCMkyDR5QB8AEckSHAQL0Dm2k + OXgIZ5/rCCOPSzMN7GRBIV7UnqWuN5TYCepMgLsBTG4AeD/lHxqxe1SAyILpJBkhAVBGIncgskCN + FWzoQoMLzo/jidpWjEwOhQci8y8QnSOYFI2lM2i4txs1mC0X07ixsbu48U//Bl/7DYdedPHN3TGQ + kEfqkTOaOG7DZmcZiObJSA3GYoAaqXKCEyjAQydwskZBNlgzAbAjahFaAxhEtc5FN+4MsAHOShyN + D3aIt223mYkZZEQwN/N0UnvLCXGM3WTbvoy0nKYZYcKU3KyJzHCQkpsCHtgZTCBThpW7sdViE+Bw + Aq0K7h+3/II9kzmcmYgowt1AIJCbwxRGQQSkBjWHuRhzsvlyd9rNzln0uPZj83f95e1/+8Fz5jvj + PjeWg5MbCEwQgIx6YHCOFoW8FzupoXjFB4k4UzQAbp4bicgaKGjvfRxtn9Eee+qTnvPPfzg9/3nb + B5qjvY0gjbErjVzmMN03AxHsaJjni3kzbRCFLN6ytf2sSy957s/99HX/268fuevuqSx6BUMzMNnY + WO7Og1EsZ3ky8uPab4aSK1ba6bXOIMJ0oR1AjLCcPd1l9vFrPvULv3zxK7/vwIuef+DC8++MPGvH + S1v2s0Ubo1Hu+0xEQYL1ulzMQggHN6Zd1wHQPUJrI7DDGGvNMNmQ11sWDCXrgMyBxOyE4nEXI9nz + MiNXAk3GXdcl61qERpiIEjT1yynhSUGws5y/8S2fecObzz92rNU0ijGCltpbl9up9DPVfu4AxuMj + cXTX+edc+suvnT3x0E4zDh43ElqmjtDLukWZrVLulQEgu4kNOuFMoJWXVxxwuGHtwe0FmRiDqXf4 + DXBQ5sCJDOyd5wmFxhZ67z2myVfDSYPx98EzVMnBxZBMVhLF2cEGJWRVkC23tprs3kanhlMPJiqd + ZelMTgzxr9vG4FkHQMO+Yh9UzE4OMnJmDK5fLw5vGDuMqJfoBCUz8ixKrsEEzp2zBTNz5gAVPbIr + GdChMZSdLw4yKAFkwQO7jywcuevIQQ4MGafA4F56kEaFWFlm64UzW5KhgZVjn2ACZgeIyWlwEtuQ + e68l937VY6wU08NGOHUQEMyUkAWZh/bQBUTlEcTmmaTZ2rAnPP9Zz3vdT37qf/71cGR76haBdjzq + Fsu5dm2QMOwdBRgQGIiwP4XWx4/0MpxLBkBpfa5gOj6sZwYbzrnlvaXHIlZiA0Eh5Crk7npsd2TE + 9kAq94cBOcbG2Nox9yxU2rYyCCzObNZgOASLnZ0c4gYfejNQaTzmWDV+h/jQoiqVyuOZ4xfv941i + kJm7IVnftuOu6/7dv/v373//+4nKJLD7dR4OAGUqXxl/zjnnnEtMreu68rtt2zJPKoRweucZVSqV + SqVSqVT2IapatNZlivp6lnG5hqxUKpXKA5LYFmG+azMO3jRMDp21F06f+7RLnvXiZ7/sY5/7yAev + /su7j9128KzpQhazvGgmY80065a6yIERBQEQZmFO1lvwvLpZJ4dkGNIxv6eX7fdee8+Vn/ng1zzz + RZd+5QsPHThrkXbvPHIXSYjcJEtOycizgzi7n9Q0PWbjUZw+5fyn2k4Gt8xMDlsNPti+N2o4mQFs + Aph4AuAkAI9Go26xGIemTym0k6V0Nx2+7vq7PunjtN4+qwd7x8n5Ps/0fQ/2UnCjDKcwsbvXwd39 + zH5LZKq5oZVKpVKpVCqVSqVSqVQqlcqppGTD06qOYZmiAjgxDDhz8/AZ05uuu/MiRxNbwF37U7o4 + ZilubnSzbe90Sv2ff+u3f+snruWAEwr60/CrRmIrlUqlUqlUKpVKpVKpVCqVSqVSqVQqp4UQgqrO + 5/PxeEyUF4vF5uaB3/7t//TPfvzHr/zoxwE3M9NHkppfal6UcqVlvmJ58tFeg8opYVUyj5tx2y8X + TUQ3s1awWHT9IUHb7vdpRo82RFQq8Jb/lqouZS7uaVkeZi4FgotXmIiK/u3BNMNltrCZlRfEGMvb + T9fEm1KHvdju9yq3a/mb/Yyqljnnpb09hEb9wSh7XFX3ftSpWNT7wMzL5XI0Gq2rLNVaS5VKpVKp + 7DfcnYTny2UzaiU2b3jjn2TASgVeEXJd5PzHf/Inr33ta6fTads0rlptx48ixTJiZl3qJ5NJ13WL + rts4cGC2WIjIrbfd+vFPXPXRj3707z70wWuvvbbv+1yqUa+qYDqOV84kILAAyHuGEgLxM575tEsu + ueRrvvr5L3jBCy6+6DkUwp2H796YTLMZA0QUQig3BRiSfyuVSqVSqVQqlUrlARkcVwzonmcdLiwG + d3cmvPfyy7/6K56HRxTi3FeoahnZXiwWr3rVqy57xzsBxMApn6RURgSqbuZMAJj5jDPO8C9kXDu9 + 8J76f2U7QNBrZmaJIWl2909ec80tt98WmHUVAgPgdNzbcJ/NRMA3vfSlB6Yb7k7uIcbcpxCC676T + 9FQ5YHNAAAAgAElEQVS+5GmaBkCxwJbwmYiUYK6I1DBWpVLZz5RsEwDurqoAUkrlT+5eEgmK07pp + mlrOvlI5dTghCdghBoGVZxQAuYOGa/1SzMQBNna4OdwzgymIR5irQ8SzLc9lsQ/9zc3ves9FAZsi + 6BPt+aL1lTU5BCiuVZN46EnnoesyOHLruXMInMmNHGLmBCc3AsPMBwNlgZ1t/13vOAFghTHD3MQB + MnYQPIYA4r7riQQxLHMC06GzzqSbbifzIv8Ekbsz0UPn460LoK9fZIaWoWl+ZnMg7+YbXv8nz3ju + azfPnKRmqsShjckUzhKaLtGiS6FooQEM4lRjoNS7NiIH4ELuK5GnOWBFyLpS/DrbIKzds2cdgPmo + p425H/30TWNuzRbDXwP3OTXgk9pp8zmyIE6m1gZEgfUJJA1nTQxnU7jC2dkZbMAg/IYBKK5fWwnb + nQBQCUiubOhmBi5ObmYCzBzuAKslIhIn15TNmVmYRuobyWW204jhtttufuefHX3/355zxz3nzZeT + AJQRBoZ4ANps6DWRcBHiDnttHRYdmgsP9loM1ls4NGcFJEwW0/b61DVfefFX/g8/iYufdj1ygofR + RLO2xM1ovOiWGO2rBFxjSGQ20Gw2J27jGWfdktMF3/DiS0yu+KVffuauHlzOu84jIy07AOyr9uDk + gMGH5lTaGK2dvgQPADKSgMdjyW62nE+AMxDPSMtrf+s38VfPPO+7/skT/9FL7Gy51xK4pUAz1aYJ + DCF3bhuB5Gzz3W1pomOwCA+a5LVUYNXjFcfz0HycnYwJChjB2GzQ9oKch+UtBz9IyReLBQeJoYHm + br6MhElspkqT7S189nMf/tXfGt9w81MXeSMr2jifLwNBCHFMuzNtInpDnE6uX3b9RRde+m9/fvvM + zSPTSe6pMW7bNqWsDTsZuQmQaVhsR1HO214ZsxFALna8C5ZV8zOGEpdnyMxX25wcUZqcM4/Dbrc7 + aaJkxdGjy9tvDar3UVw+nMzhQVXuK8k14GYNaHbrnQfU5/CFU9OMU04e1MjEmWBiXOzCTqZURnfK + WjNg5RC2sh5uBHgRoWPlJHYrfuKyi9kdIPdoBEMCFMggMIxcxMgzEUiXGYe3fHc5aZtud6tZrQH2 + HL9Ow3mwgezevYVlHk1FHHCHMHwQGhMczrQ+Z5EN6nSCuPEg6WAUyT0hceldGQRlu8+BzbAi+z7V + Y5ROyAwjNDZ06YmB7ACrxKOpn2H5jG/8uuf98L3X/M7vPy33cdbxYtmQECwQ55QaIR+aSQCY3Yzy + 0M/tpxFWAmJpQARftdL1tQqXrmB1pijH1OAmdxCIfdUbEEg4Qx1OjuXho23vPCjmT3qROOdx7/3h + Y1z6GVJIdPJiVRcHQGBfjz/y0NJMvPRdbHTCsVw+Vhx6vJerVCqPX8qEi/WMPHd3d3OSJgQVzf76 + 1//RH//xmwAEuY8E576BjzKAU4Zucs5FQ1imILVtWz65fEUdzKlUKpVKpVKp3IcYY845hNB1Xdu2 + 5YqxTGl/VD6/5khUKpUvSZzMGxgD7mRuS7CHKONx2IwyPed5T/qa57zgI5/5uw988r8u+ns2N9vF + 9oIabqThBkzqmjWbmpEYBE4AYe2lLsPbPEZCl/PWnTn9109vffCzH7jwwote8HWXfu7ItSl2JiXO + RiVQDMdJ9bbkHBDPOnDO5viAHYPBAGIJVOIuAAAbRmj3KUOExZhhoFwGhx0YNePZ1q46E3nPmkN/ + xTV/tQxHnNNJfX5KCSPeexYr5Vz28SZ5vLMa/jrdy7GHqrWuVCqVSqVSqVQqlUqlUqlUTjGO4FCC + odS5Y5R5NuMpbP49l7/7U897AbbnlrpTXlqcjMHdzva05aA2XdjZU0KeZ9oIUDgNWuuS0W0rxXUd + cq5UKpVKpVKpVCqVSqVSqVQqlUqlUqk8VhSbbN/3o9Go67qc83jchhC2to5MJpP//J9/50d+5NWf + uOrqtXb04WXnH698kdJg2w0hpJRKFWZVrWbr/Ymtq1iWSUk01EGaLeatCEzbAM0YnXlwNwiigPZV + Wb1TTnFar0u0xBhL2y4leh973L1pmlIIuCjkH3pJ1qX8y4uJqLz9dE33LV5tZjazGGMpbXy6HNv7 + kNOlS38wyp4qtYrK40fgtC6sLeZ7jewPpmN/tCCitm3Lt4cQsKqvXSsuVSqVSqWyfwhNm1Jqmkbh + n7jq4+/9q78WYVVzIKsy0IZwbLF49+XvfdU/fWU/X7QieKDCB9V1XTjZkhDMslgsR+NxDE3qM0no + c7r26qv/7M/f8573vOfKT1xV6iwPVXS95L+CViXuC0FC1gwg2/F7EwIxY+n2qc/d8KnP3XDZ296u + amdMpv/4W77l5S//zpd+40soe5TQNA2Z932Pcs/1kJfDe9fO99zJViqVSqVSqVQqlccL9MBDysyc + cwoscH3f+973r/+nn7fcPZwwyH4uq9f3PQcRkdjES77iK8879+zb7z48xFNO9BF9gRsjdzDDzFQF + SJoPHTrkfpJ1Ck8fIQQzY+G87GOMZtb3/Whj+ta3v62UWySQuZOwmw2F547Hrcu/w63mK1/5ypRS + CCGGUMITtYxg5bGnhGhTSjHGEhYsQSt3L619HcMtga1KpVLZV6xl1aUTKw4kACGEtei65KSV7JTT + vbyVypcy7EYnXNS7DbbQQXcqjmAlC5ADGQNG6FkSRHpwJhYI0nS+PHD3Pdf//puevTWL/QzMyTUy + +6BftPvo0BzuJE483jyI2KQgnWWVxkGAOUiJXczIlKwsSWMIVt7LSpyl3MPc95NPKwZKRoBbB3QB + 5CQuYhyUOVMmdpgJwOaucD906JAGaHZahbYMEKIHTbTd40V2GtI1QUgZ7SToPKOfn2248Zrrbvqj + P3nqa378aGdHkXnUhNG4Syn1Cwos7AwlN/Gi3GaA1QAQGysN8s5GiQdtLPcCEIrJOrMpH5cB80qU + m8sKKIIbSG65+tNnuPewQDADs4Fp7Xx9OJBj2jZQmjsv5z1YQgi7WTvKHCgSGhU2VicHwYiTRgoM + MrAxnFhptZWcQcbO5CYOcojDyZRFh1s/cqNyf8cMVQ0hMLmrBWDETK6T2Xy8zPjwx265/C+OXvXJ + yc7WucvdUdZxi74DA8wg8mQ5A6BAHDJZMVcXeTyTreWpSsW/C3HGSjgam9j1aTw6eGvSW84Iz/ih + 73nCq777yLQ5ouZx2lIggzHNLS8982jfmd2TZhbWRXdgNMm9MkeJ7e27W+e99NJLR6/7xL/+X8cW + GptFeMqZhiGJsurBAIeJAWzkcIaWGK6VVxTDTczWLRcdA9Mxu5r2aSrpvIx84813/cp/uetd77vw + e77rnG94EQ7ku7uZTKZ9ckUWUHZ1z8wcR62aGRXFsouDVtra9V1/afjFTDvoeKnkKRY9MphAUGd1 + QtkNxmzgYoPY4EbdoWZZJ008QNLsLsMtd+g7/vS6N192fu4PiJsvdzI2kKaCLqMdoU/ejrHM6ERU + Ii56xvN+/me6p547i00bD6Rll2OkNu5aH0nEID5Y251ICewWrPTYIB8amguUyjZd9zAEIK8SHsWt + VQDIDCUoMYHZWFWTUQYB1vTdWY7bP/WpVvP9W5w/dI2r4qQgcLG+uzEwgkwNh6/5zJOIF+i3qZnQ + tGSbKxF8kFgTGZwdpsRK5lSOXxazYAwMLUS8yJgJDBv0wwYetOWZAXiw4rlnMbTKzmo8OLbFeeJN + 6nsWaoyW136Wl8uGsdKVD2aCvVej5gogGtn20m67Z3rmOUtC504enSQFLy2JHAxjs9agbEpwct/T + mhwEQjDAGM5JbFgjgjkB7itPSBGWn9LxNwcyIw3CbW8VYtYzJ6btCJEgriFOTHHHYvakH3jFmffc + edOb3nDRONo8s1szbvvFkoE9uR+Ch87YON0MJzhZGe7JsfaXrxvSCicYoAR2DgYxELESORjsasaw + QDQ/fHQjO+kj6ZuJwOYbGXp4awwGoGYiTsZiFo0BZFgiciqK91WKCzkB5FAyJ/fVSRw0zOLg1Rhw + pVJ5nHHfWyQzExGQuJu7ExExsXvORpDLL7/8l37pP45GTdf1SfNoNFkulw/4OWsxdpnHQUTFaY09 + BsH9NoelUqlUKpVKpbJ/KOkN7t62bUqphAiJ6NEKBZ7q+cWVSqVyuiByISZl6ylSGDWNqy3ni3Ez + blLDPP1Hz3vSJRe+8COf+sBVn/nQMTu8wFYOvfVsBgoS2zZxWubMDHGwIjiRs8G1DJVmytlHbaMx + 3TO/rQmj7bvu+fBb/zK0YYsPM8Gh0cAEOMhgflLRWRZtn3zOU7XzsQSoqxtHtjLEumctT0zU3C/Y + aqxeHDTkCZuTOahfLKejsXYZIyx9ccfuLVff/PcpbhvZQ05RfIBxmzJcA8Dd5Xg84L6v9P098P64 + Yl3QhojccdrzqGt6aKVSqVQqlUqlUqlUKpVKpXIqWQ3/MczW060AAZxAkwlms1k71kazLsZMj8FA + dyuc3UftqJstpssEQ8hLsIPCsLTE8P014F6pVCqVSqVSqVQqlUqlUqlUKpVKpVJ5nFCE1rPZTFUn + k4mqLhYLAJubmyml8Xj8e7/3uz/8wz9y9Sev25OcfxKUEqUAykzF2WzWtm2tc7FPoSG+ui6wyD5U + TpyOxsvlIgg0Q5q4o/n2vEQjeJzZYJl5rwRXVZn5EZt9H5Xl6fu+qKlTSljpgR9skYqB293Li8sb + 12rhx56+70sXUX6XLqZqhtfst2nYZXlKszGztm27rlt38g+f8pby9r0feEoW+n6sndbl2HlsvrRS + qVQqlcrDZFVykRX2B6//w6aRea8SWbMVm3JnmYFf/fVf+/7v//797Bv7IoWYm7Y1Asdw1z13v/2d + 77zsssuu/PjHy9WeAk4IMfQpA2hGMfdqZqUqfxMimHKfOs1RgsFhXuo+GBzu2QAaUnx7MwBb89kb + 3/bWy9721o3R+Nu+7Vtf+QP/3Vd91VdtTKYUREDl5quqqiuVSqVSqVQqlcrDZSXhSTkByKYEXHX1 + J+/dOnpgMiYwfTG7P0IIzLzolux26NChF73oRZe97R2qTidrNDGTEFSPD8hvbGw82gv7aEJ+gm5c + RPq+jzESEQknzRxCSvld73oXEfWmDoAgItn9Pg659X8IODAZv/CFLyQiV5M2zufzcTvKOdMXi9+7 + 8iXBupZlcbQXfcg6cmpm68D0fD6fTCane3krlUrlviyXy9FoBKCkzahqCf2X/IGSD7C3Tzvdy1up + fMlCPoiii/KQ4QqsCmQbOYtDjHjQ7rIBgVyJ2dnUoCwiHvM050O7i1v+4E1y3efO7LoMh2kAY2WC + XF9SOyExAEQFO0umxc5semDD2He7xXi6gWxrWXNRSDqhiDJpvWwEEMiZ99k1ODuAXDam8bCm7BzB + RbOsZjE2WbxPXSOCprGsUUKfM4q218vqfuF7tfvEOhsClpkI5L1kOjfz1e96z/kXffmhl31zJ9It + 5jIem1CnXSujBuI58apGja+TOL2IXL2In0st+bWGGTDDIGZ2WqdqMZwFaoCRuacGPMkJR2f9jbdL + r0wUondLqGogGZrYw0a73oFROwl9wuGd80I8QNK1vDQlZnFO6ggioY3UUHTTTlfS6MFpXXYLgZzh + RM7kJFYkoGzERGyupga4BBG4uG1G4X4hXZq6BxEcObZzw41Hrvv09X/27umtdz1hbs9MmbqdFi6j + kPrctIDCDNlgcEdihoTGyx20Uwlakos4HF624SDbXrVqI8x768eTm9j9y579dT/2Q/iqi2+fjnam + 08jBsvd9ZuYYg2bPZk0Uzye3PU8pRiwBXdfFGMeh6bPOZrPpaEKjyQ159xmXfu0lv/gL1/0fv7Z5 + 250HunlktELem0ONyAEjBljcyFZa2RMauQNY6Ezgm03r3nULi4LQYNnjDEG/PdsU3f3sTR/9v35T + 3/GuC7/zm8/9pm+CNrMYE7mK5CiZkYHkuTQKdgz64z0dlB1fneGZ9eO90KAmLqZhRrE2AwDEEGFx + uWg1HxLmnHHTbde+769vec9fPOuW257R9+NRu9iZeYPNA22/3THQBuQMIux2WLRtf8aZ94zbS3/+ + Z+25F25tTufHuribR5MDC83bs9nmdIxlX5aoSOVXLmes/LUGgN15tagEExv+xwQ47z2uycHwDALB + wOxkIA5tMh2PJ7SctV0HhHs/cc3Z9+uZvmBXtR57MiI+XtBfW7XZ9Tdgd7FxYDxrabnsowSA2bn0 + KgDg7LTy0x8/TZX1hgNFTc178kbZ2cjK4Y9VVwAQD1tr5VE3sLET4IGcRB1OxBKbcPfV152ZLC+2 + pwFmJ5y8ykeYwwjiTKA225Grrzt04bPngVITiABwcSEXuQUbkzPIxHgQaQ8dLzshERgIysVJDGcc + dyobcIL14lQnPpRTb2lFwRAM7BBHhiNIgpNxRMPu1IYd2FN/8Pv8zps/+773f9nmwX57KyyWDIQI + t/VOd0CxugQYLOL7dXj1+CmVrCyk07plMfvqVFJk6oxggLMDTqbkTrl1IOvy8JGxOeCZICez15xg + DDdtzZeHD481R7dMluFCbgQDg5C5dEdc/OFKVoYji8167bRef6gDRitXd6VSedzDzETkK5iZmQFO + ff74x698zWv+pQiWy56JY4wrp/UDYGYxRlVdD0ebWc5ZRIo5u3xLGdJ5tNyElUqlUqlUKpUvGWKM + 68ngIlIigKdxfnqlUql8UUAOyZxNA0IbxpQxny+FeNRMjUlTGvEooH1ybF/+gvMufdbXXPXZK674 + 7F9vzY9kMh6FHNJOv5sZcQzt4QY2hrODjUqEgZswUktJewkeD5hiZ0t3UkYLeIQzmOAOcghhmN/3 + sGFnTvGCc5+eO21Cw46cM5xNnYfxWGC/uaxPxAnkTG4MqHMZzgcAc2LENs65843u/X/9F8fscGqy + n2SGy1poTU5C5O77dzy9AuCRlc06xVStdaVSqVQqlUqlUqlUKpVKpXLKOJ5nDIIJHKWUHkCE3CNy + RJzutO1d2DqzkaxKBD6V1Q2zawhxrskWy80QN7K+8WX/5Af+8nKc2SAOY9gOgLgsfM3jq1QqlUql + UqlUKpVKpVKpVCqVSqVSqTyWpNxNw3g0blRTKZ3cBA4hzOe7m5uby2V/YGPzj/7wD1/xiu++5ZZb + VU96CkWZnaiqAFR1PB6Xx7XCxf6FSu0tsDNAQ9Q1dyMOECbrO9Udwk996P2YCPZbaclTTzEulxri + RFQ00qVVP/aU6b7rby/zgR/CMVz+tPYQlzee3jnDpSsoy1MeV6f1mv3WT7r7WoVOREVK/Qi07uUt + XdeJiLuv1eynen1L9f8QQvGpN03TdV3btqf0SyuVSqVSqZwU3WI52ZjuzhfHZrtveONli16bUez7 + BCIwmyocMcinr7/xiiuu+IYXf733/fq9JQ/0/lVlH+z5xwNfaN2LQ+A4XVYQXX/9DW95y1te///9 + 0R333A2glHp2EAmbaZ8ymCWEvuvLZzCLwfuchvxXQtJ8vKLxusAuAVQU2CVpFurDq3aWize85a1v + estbv/arn//K//6ffsd3fMcTzzl3e2erCXFVonqdXOsPuUaVSqVSqVQqlUrl8cYqwLG6T2Bic4sx + ppSY0ef83ve+9/te8fL7v5P2FNQr7H283+47LGsYhaZpZovFOMTnP//5l73tHSFwzg8V4nnAldhb + jm06Gm/sT1cuDYog7P0HKLYGrPwNRNTE5v1/97e33nkH+Lhc7XjkqziQTtgQBuCbv/mbN8aT3Cd3 + V9USniA6Ybffv4VUKo8uxRFSVK+lPZfnQwi7u7tFOV9iW5PJJKUUYzyty1upVCr3ZTQaFbN1SklE + RKRfjdiXWDwRhRDKX0uB+9O7wJXKlyriYDvh8pUA+JDSx07kbITMbEAujnlPBAoqpgRhalyxO96+ + F+/+wPab/vRZJHOkaYyeEgmZqTIMEAMDBPSCRTmgHWLa5HDnbXecdfRun5yDBpl74SwGWV9euysB + MCdkgRWhoztg7IOcdf/cgRHQKA++WwOLZyInywyQtdJY8giGuVrgwFgcvfvuw+edeNsiD1kI+8Hi + d0RAwKzHZhvdgd1jF8rmp37vj5/35Kef9byLtpmXSDSK2qkiecrNygubODi4CFhBRlBCJjADzlzy + unTQZFqR/rLDfUj8NAQbbK8KcjELnpp+iff/3Vl3b41VRQjuxfrLJ+80FcZEMMrz2fU3+n96PZ72 + lI2nP2vjwmdhc4xxRAi7hG2mrPCcO8s+NmXHytjNDgbIBpctOTOMjUv7MQi0BQe4mvciLuKSlpht + S788FALmPT57fffBD9/+0U8s77xr3HUXpkXT903yMQuHoLnvljkStBu8rRwQAhqH5ZS7FAe1eTms + 5ASxrhtgcKZBjYzM6DY2P9/Ec77zW57yfa/IT3zivbGN04PT7NrlEMWno4S8XC7aOIrMuzvzURt5 + 3xwADpiIxCaEZvvIsWY0OnjwQJrNhDmE6R3d8onf8HVf/oRzP/GL/xE33TxdHqWUI8OAzIMDlwAD + yyD+hqwHLUgNHUCTEGG57zsADUEVphi18B6NoNNlO9Nn0saxaz5322dv+Pzr3372S152/td/PS5+ + Nizds1jQ5ojGreaeIKv2AHIuDdvXewIoz2O1DIKVB9pRlOROANg5G5CJAWJjdiZHMEM3P48FXcbH + Pr713svvvPJK2T7yFZbHSKOxHJvNNkLMveW+dyBEwKEZwg3CaGdyxu5TLrj0f3ldd2h8qxsSDjab + s1nXS+rNNqdj7brGnYHEAEGMog8HqTLMoVzyt8ng5BDnaCAjAE5MxsW+4GS5GKDZFWbESgSHESsF + FzYshULjmPaOq6/rbrmjvZ/f+yGGPfbqdXlltnZigsFTk/vp4aP40BVnfOd/uz1K2zAHopvAyjZf + 93JGYGddfZQy3AdfdelaVZkweKwZDBzXM2NIZYcYF+c14FqOQo/kcAQHJzViQLS/447+us89uVNL + pg0ywOV8VFTHNMiqncHC3tvY9MgVHzv7m77Rzp52kzFlY2MxBEO0QSjuhHXPaaveXonK8jMQeGhm + XLTZe7YhO2UeNuapxglK7GRREY1KhyaGBujd4qjJ3TJlfcJ4c5G2j7UYnfekp/3MT3z4rrtu/cRN + T4YEUQhSDwmDgNmpJwe7g0zBRrZ/OqtC2aoOBoy8/B4STIxgVIYXA5yZQE5iMEISqDsJAMqMJJ5I + W/amT9jeOXLXHU+ipMHdQHsvZr4QRkiMHNw83Xvn7YeObTdnjOciWdRVALDAiLsAG6402BhG1gvY + Tdl8z+FWDh/24m6v45OVyuOOB4tN7J1nwRyIOJn1/eLzN97yUz/1z1VBBCmzhB5sctDqYj6ltLJi + o8zgWM+KKkM9RX1dXlnHpSuVSqVSqVQq96Hk5JTAX0njqU7rSqVSeWjEQuQGBDNNKbGgmbRmtpt7 + T9SM2px7n+W2GYXUPis+9xmXfNmLn/OPr7j2Ax/8zPvvnt+i0y4GsANLRAeBDewl7AsmZ3JOmprA + y67LhskYOUN7nLGJ3EG4xBBDth5wE0rq4WRGHckk2PjJZz0lonEnIiZyB7ufUF+iRF6KOnpP7HRf + QM7rIW6HmIuxsvuoaZazrpm2ncw/des/XHnD39qGegCslNkxYBUreagt5iIRznsjBOTgE9+zz4bY + K1glI+8Xak5VpVKpVCqVSqVSqVQqlUqlcgop1e6G3GMvkw7MwAKEAFgAxZe9811XveTFZ7vp1jyc + 4lHuINJ1KU5gCqEQU5rcey80wYICMqT68XrYmavZulKpVCqVSqVSqVQqlUqlUqlUKpVKpfIYMp1O + d3Z2QghFzVskoznntm1nsxlzCFHatn3zmy/73u/9vs9//taT/XwiKupcZi5zFEt15lOwKpVHjVIM + ZVCcEQAIB7OEPvOoQTZvGwgQeZ/NKjrllMLi2FN68nQJrdesHdVEVB4w84MdYuVPOWciKpX6128/ + LRBRSqksTOkZ9tcEoNPNftsazFyc1gBUtW3boqY+2aOgvKW8vRRFKh97qk8N6wbfNE2ZMN+27fqg + rlQqlUqlsh+IMZqZmb3tbW+bLxYA+i6hlLZXlcCarMsagP/n//0v/82lL2hO9wJ/sVMuN4cS3oSt + nd3f+M3f/N3f/d3ZcuGAMCczDmzZDQ5TEHEIlpL2PWio/K2mwMpaXXbW+vH6S9Z5sSuzNQAShrm5 + l+rPDlxx5Uc/cuVHf+XXf+3HfuzHfvTVr3Y4+VCx2mtubaVSqVQqlUqlUnkI1qYctyAhpSQxaMqt + 8JvefNn3f+9344s8Miki/bKTGCaTSdf3z33ucxlID+m0fmCIbDWkH5jPOeectm1djb4YQrfkUNMQ + AhE5IZs6UdL87vf8OcDZbH3LaQ8ZtmDgFd/18q7rhLhEDUajUb/sQgj7LS5T+dJGRLBKoihKkvIM + gOK0Xr9sLRGpVCqVfYWqFqd16aNKypmqElFJAHD3lFI521andaVySmEHF1ErQE7rJBhyONjBRlCw + ExxEgJlFEQKRG0kfUn/GsbtHN37+6t/+3actOs/dBOzZMsCqkHI7xYON+vjXGljMLBLyPff2V179 + hOnX7J51xlbaYQQqtdSdjOCQoQQMoORK6/8hGADzfZmAKMbgIo+FETOQ3TYaSbnLamI4w22yUNx8 + 57E77zpPTXgwsDrAzGrGD+Si88EkDSk7aP0ChxO6HpvTOJ8lAs4cT7aPbU3M//ZXf+Prf/Y1Zz3j + yUcClMcEixTVTZwBZGYHK5GDi64VZICLWWkVwOAOZx8Kr69NseQAmEBefKgAIbeWz1z+/+zdebxk + WVUn+t9ae+9zTkTcIeesouaBmWJQREF9drco2k9URGx4IOprBbHbtvvT6sfp87Rff+Q9/dDa/V4/ + upuhZHQCRZRRrJZBppK5gKIGqCpqyqyc7hDDOWfvtdb7Y0fcvFlFQWVBVt6i9vdTn1s3M2/EjfyB + 7MsAACAASURBVDhxzok4a++9fgnT6U3veMdgY6PWaKSpAxMcs8ppZ2uaQSMIXXN8/fA7/+d0OFpn + ngzq0aXn+XP37bngwqWLzt93wQXV3n3wIzBpHzuft9K8HT+ArXFCNibTHG6NnLVtPTOziqUUnACG + 9eNy7PDR667/3LWfP/GZz9ZHThzodLXrD86iR6wYYgBDTSXBM9UcNEXAPHtljaKW4Bw8EDhvnfzL + dfF13vdeAQYrqZDrvM0C1urgLr3kW1/4Anz7EzZGg7Uw1GoUN7rletR4P5V2Op1qZd65ru8d+aXR + SGN/mlv0zIpJiV0UGS0vj7uJxcQsRAQOM1ffWPuDj7z88f/xt2//ry8/9PEP7p9NlpK6eeCx5GOe + gRz8DdN5KCMDBCMFIFEMqBzA0AhHIIfYQQFnqJicSRivN+ADhI0u3vlXb7n2XW9fveT8R33Pd+1/ + ypMgu2XMnffJhcQsCEqsoMQAM3IELIDFq4NtLxgbK4GgPD9/AZScMUGdqletU6xFazFKCUcO3/bh + Dx5634dw440H1zYvFKk1qSAyUiWjysU+1m6YpB1UPvWRALAbV0t3+uCf8MQn/5sXdbv3HF2pyHlW + J2KhroXZk6FPTsxBc7S2EfJ1IC1Sk/PBiHxkgmie7M5k0Dx1GQwDiBRMOaQZCuLtBycRiSpBdNLt + FqO2m/z9+5fXN+p7lJLy1ei91UPmWe/bMqrzX7Ijb/3q1L741rdd9uQrdu/f65Z2zdA7y8nHCkB5 + ESW+CIMnZSM1QAhCMILk3YXhlE9G+YIT61aStFPeSptwJmQA1IjnJwdjAOxQ9d1q1x//4MfSzbcM + ujYRksEAIc5b+GREN9ALnBNBW/f+2DWfw11HlwepG1K0wHAOcNiWjrxI+GbLu818IxhBWM0gvNjL + jMjMGcjYGYx0K8xb6YF4q8t5587ApkoAgU3ZMCSXuh6wpdFo88TGYNRs6OwOlXMPHPz2X/6VT/7q + fxgdPbESp9wLe0SBy3nQOc87H+AgmFvsETtC3pEW4euc488JgCkAYSObnwRglDeLVwhrBIQpmZGZ + EhgpQJZF9oxbHDk+PXwYIuzv5xMlgsV+fNdh3HVs957VtBzGxAQYIbq8+RiAU3IGMSg5wPJ+Qotz + JtvJtz8lBZWwk6IoTpGLyXntQ9d1k/HsJS/5V8ePr3nPKWlKiZm3SjRf8R5yfHVeQJQzrfNCnry2 + qGka5DGpvg8hlLp0URRFURRFcTdmllfmElFelpvrS0VRFMVXJ1GhRs4qz8m06zpwVdUDEY0xOqbl + Zkkl2lQCljTqsvEPPuUnnvj4J3/o2r+/+sZ/ODY5bCGCTc2UIKwgNSUiAthI1bSVWA0cQ2IHz1ge + oJsABCaLZkwkCjA79qDe6JTK/1dHxg1Ge0b7q67RmJRdLoovhjiAXEbekWO+WIy/5LcrzaHgpGxG + hiTJVdhIx+Py7K1//aexGXfo1cCnV5Ylzw6L0Zb8FmmlslucpjKtqiiKoiiKoiiKoiiKovjm8VVG + EM/KMn5bTCV3UJgiN7sjZTDpPEAa5LDUbC4N48asPu0y8elTeMBxmE6jINYrwwZT2ARU97AGiYhJ + 4Jj7JN67MiZbFEVRFEVRFEVRfEVmICIiMtPStb0oiqIoiqIoim8gSRZ8DVJVzcskABARmTgyYpiJ + D7xn767Xve61z3/+C758653OkcgihorIubAtFndbIx8C7OS44VZeaV6ymCNFc47pVpppyRbduUyY + CWap79lX0YAqwLkdu8ToDNlqLJ5b7u6EPXbr6LvnsXZP2w/Du938rMgPI3/N3Y3P4oMpvqa8/2zt + RV3X4X4lu+eb5Jtv3duZzrTekg/eXGfDzjiKHxT6vq+qeXLo9rfvrayFoiiKoviG6Pu4VDfe+5e/ + /OW2SEAm700VqpIUgCeY4e1ve+eR//PInpWVlaWllFK+wKzrum+7r/j+ftod1r+JGMHMGGRmKaW6 + rtXAzH3f18NBjLHruxDC6974xv/wuy/dbKddF/MNU/74J2aw+eikmfay6BCdf2rblt3+Nyen5t7L + D5Datk+Amjv4s4sq13/5lv/jpf/xlVe++vdf+rv/9Lv/l5TSaDCMMVqSHHxOjBhj7ojUp9g0DRHN + ZrPgyhL+oiiKoiiKonhIIwODkqSqqvrYA0ii7//AB+46evScffthlqNhNYmI1KFKKVGOO9l24aI7 + cugrj6Qwc1Jh4JKLLr7vJfVTkucW90OqpPa4xzw2peRoBz7jLD9LxiI/KYTQdZ0RnHOixt51kv7q + r98qUMXieeav2+sABGI20fzXu1dWvvd7v5fnsUzmvc8ZDyXTujhbtsZZ7jng8gAPZuWhn/x927a5 + 5FIOjTNk+0DbQ3C4TURy1DEW2e1mFkKIMZ7th/ZgVdd1DpAmogcsQ9o5h8X4e5YH/fN5Y2sCwP2Y + UVDsBPc8/xusvCnscPnlYZtnfALoJfmmFtUoymzOORaQkDOGmrnoSNFP9hLw2es/8Xv/6dzxZq3d + IrXSwKz5/4sP5LYIRR4kGNCLDIfNZDrdjeozf/jKJ19w6chb69XVuxJZb2RgogBzUIMZs4uxo8DG + pjAYR6gjc7Az3vLlPjMgekdJmZ2ZzlLkQe3IUZ+WXN1tTCL3g9rXMR7oFYfHN1z5+tUoqkp5Wmy+ + BDs103orSfrk71hkNOcYTpAqIQHOQaexISghddMh8f6uxWevv+alv3fFS35qzxMfA69WD6jvvQYS + EHv1rPkFl+QFjkwIMaXlZiR9jEA0ZQYzmxARGaBMBDgjDyJjFRZLGPpkfddNzmHXrG3c+t9ePbv1 + xlWaeuphuX0PzBTEdwu4vS/b1IGB4AS71IZpusshTcb9iSOJtWWsk049+2ZwYNf+Zvfe4aWXYHlp + sGsVe/dizypWljGoEQK8AxPgoIKoiB26hNiG8Ro2NnH0+OzQ0RO337l526H+yHFMZ8FsZLJHUiUa + 1IIqHMycmhihczAgOIgZS59fOJhAjA05IhmAJATnoCSaiMBOTZBMFHAVGXHfKWjQN83NadZfet7F + P/C9+571IzYYTHw9c7VwrYkH1cBEe07k0DAn08jg4Hwi6hPtsLxSy52ZGJ11qCmZBK/RtOtbNxgm + 5+9MYpefe96v/cL0L8697g1/9uiK282xB6pAmtQvng77qkt9AHPgFJMBvoIZ8j5vAsi8XpGU1cEA + AWDK81OYKrAax7w2Pq/2aeP2w5+8+tZqOLro4gu+9cnDxz4Gj3gElgYYLE0Ct0TwgY2VmFgTqZmp + WTLNoY+O2MwciMxUwYpAxEQ+0VCDm7WD2AGCdoybvrT5j1cf/+zn1r5w/SjGh6WUg66dgoQdaQJU + oEmIuKde2SCRHSDcVaNDy0vu2578yH//izi471g3JakGCUbWk6hjqNaA0xyUDF0EVwvNc7cBOFMB + lDinIBPgFJTTIAjGLqmSZyLqY2RQDc+iIM1bls2gpqkzh7pyktJy6kZ9P73qvbf97d+d36VK7v55 + +2u+ufPiVVvcAOIgzqCyu7dD13zu8P947cGfeeHSxfVdzJGqxKSOwCwg1flEBQ9yily6U0AZQgAp + 1AIRgTRJBe+JRQSBxJAWp1AC3Dzh2yo1kAIcMY9QJwMsqk73xESfuuHOV/7pOW2rFCuHTnKkOikZ + GxvpVo2MPKIaYLsCT44eP/Q/Xn3Or79osFQfg/RUAwCxOU7EYpoUnpmMkLeDOSISAjF8JZubm84N + XOW7tgdz7eu+nTpypwSB0/wN9Iwe7GRwmr8yDMq5VKZk8AkeUOLUdTT0U0uBHVGzPqz2XXzJk375 + lz76+//pkmNpP8Vxn4YNtAcpvAMFpARJICjBEe2gz4JK8+eYI6tz/Hk+uIy09lUvqev6QTNy5Cfj + 6Wh5RfuOPQkoQdUZmzoT9L1L/T61er278XV/uqJaQ73B9PT60bGBI4YOrckuwZfe+JeX/usXH/S1 + cow+mK/IsRmRiTcK5oKxqUVTZVM3T0pnA4PI5gH2whCG5ZRxqNMdW8ItiuLMy6cCYzOb9XFlZWU2 + 7QCkqD/zM//7LV++DUBMp6zIENHtedV5kh5TvjKzXJQzs62yZB4l2V7k2Vo1UBRFURRFURTb5Q+Q + ZVluUTzwtuJy88L4nVOpK+4TUrieGEYwBcDOeZiTaIAFYliSlBhGzGQOoOFweXNtfCA84jnf8qjv + uvyZH/38+z920z8c2ryFmqmrelaNCnYgR0qaVM2xiUaBB3LzFxZ4hgEGzg0BnAtGSEmZ/WIZ39dm + Bggetuf8kAakYGYRCSHMJOWhDQZ0UQkH4ADagZHOKdb1sO07H+oEc74S6UyiiFQrfmN27AOfeNcx + 3D6mdXNORZiY7JR5xac8IwMRWKEK7xnGdd1oUl95IhIRzk15dlBNvThFLprFGPPK5YzoLO+5ZU1s + URRFURRFURRFURRFUZxBBjhgkWkNkDKcAsSwBCLAMwbVEcY46S535idNM7NIGsdBAPlBOxlfsGsf + 2hnarqpGXTfxXHk3ABCCE4BQssmKoiiKoiiKoiiKoiiKoiiKoiiKs8/MvPcKijEy+67rLrzwwle/ + +lU/9+IX33zTbQC855QUQO6IerrhuEQUY1RV7/1Wf+QYYwjhTDyd4rTMl9kYKYNtvsAtL3RjgxrY + GPAGLoObRVEU3/TMrKqqvu+dc1vZHjkLM8dJmlnf903T5LZWZ/vxFkVRFA9ig+FwOp2++6r33HHo + TkckZicvNp3DIv2CgGT6ute94Xd+6zen401mzn0YU0pb35/Np7Fj5Ov6GCMzq2hVVXVdd7FXMSX4 + ujq+dqJpmiPHjv3Ln/vZj3/yU1b5LqU8jZXB89wRszM7q5Uwj5EzSya5dfu07W6547bnPO9/e/aP + /PB/+O3f0V26srIy3tjUhCZUIAsI+SZE1Pc9gBACtLzuRVEURVEURfEQQtsCdbYuW4gIZn3fg0BE + aiawP//zN//Sv/oFJhaRlBKDQgh933vvHyzXj544kqWUFMbMKysrWDQEvC/u1suSQUSsppdddpmZ + 7aRImq9hNpvVdQ2mtu/AjmGf/vSnD9119CtsBwMI7JyKYNGHTkVqH77nu747N9bNbXYfLPtAUTxg + VFVEmqZBOUDODNV5uh6AXNp6CIbEbA041nXddV0IQURKpvX95r3f2oxbEURl3LYoHjoMSAws5vt5 + YTIAbATHQSQamAMATSkGReNc2uz2LQ1lY3PJBCxH3/rWG6584/lrk+W+c6aU244Ta46lmAea5pmD + 81TSYFDAD9x41jIwivHCE5NrfvW3rviD37jovH3tbGPmh7OgnQuJnIGIHAFJwexhZlGJwJ4tODOF + yH2+uHkgEJlYilBXhRp1pzBLTtVIBo1fCqjaSXP0GNQde8WV3dUf2R8j4V7DL/XUUbbcAD0nUdvi + X9kYgJJi0ep9fs1iOoqR1tfHX7QP/e7vPfo5P7rnh/7X1arXpdX1rh2s7N6MnXRmwTkmFWElH0JS + Gw6Hk/G0CgFQmFR1NZtMm9AIrCdLMCdGICiRoXJuPGtrT0OL1bQfJbrt5a868b4P7utnlXYuzxcl + ABDC/HGfjsWzUQZqk1pgooAqhAnMMMYU0PVxffgE1c2hf/zY1HtzPnmKjnuHxBzJqK6V5tdxENUk + IuI0DtBVKdUJI+WlSPujDYU8WDUCoMXwoRKEDaTzx28AwQhmyPnBamAzZmaGqvYRCjDQJgkgyhGA + AtA86nWtt4nIcHXXemjuqurLf+DZe37sB3Fg9dhyPQkBVqmFRI6MDTBSpfyCK9m8ikEGsh3aXUhJ + jfOBqTmHmL3LW66v3F2DJozOHz7zmU86/+KrX/ayhx/cNzt+fKgYeuo6bTxAnGJPAEAQeCbypsA0 + YsTAVgyAsebnP98O2/YtAgO1oFZYTAbsA8RL+/nr77zhlrXqbeujob/ovF2PeOTuyy5bPu9he/cf + wGgJlYcpPMNxTiYXU1XNnzw9iPMvNoIa+oS2xeGj/eHDt37xxrtu+PzktpvC2vHd3WxvSgfaVMv8 + gNV5viwBLngWkdq7LkVAnUfbA7XfrOpDw+aR/+JHR899Tr8yvC1NlnbvUQX1rZAmxwZ1tlXL0vxA + nMEABYQBAwGVgAmR876yOAMDxk5hBJCZSk/MPpBnp52amSU1TmIgRz7wILh+7cQeGu3fHNez2fiq + 93zujX988cb6MEWc5iVefsD5/JYDKfLtZz2GA6SZXjgMN77nvUcPHX7sv/mFAxdd2A/d2IeZSM9J + HZvz7IM30l4dwGYw0OIwVCipgRjE5JiEzSAwmDlTqAkDgFNlsBIzQfqWHShUzrvWknVd1WE/gWcz + fOnWj/3Gb1+4Ph2QtqYhoa5pJgTjnJl+spBGgKKuME3AbHN/PbzlQx/q/1u48GdecN7u/ZPlIMFv + Spqk3oKnUHlyiEZmzihvEDVVgiaLcbbUNGw0i62rAhmntmt84JSw+K3zvYhOLyP5fsj375QBXbyJ + 5xhkdaYKBqkuDjgAQjxhP9izf+nxj7nsuT9+66texxNdWeLJuF+uQAoVpBbi4AIHcaJCBtlJp6z8 + dLyBjCm/OsRGKqbtZLNpmqVhnbpWyNW1T9IFr0l7640CzDSlbkC0m9yKEja7yZ/81eH3fPDRniuy + 1KHysNNZFkOGeuTGE1mqw7Tvbvi7D15y+SPCs/75hXuWNlTHFlsjA3mwNzKLEhGaQUwiJGDyzJrU + VEEeYBgtzo5sACHRGd5/iqJ4UMhZO03TTCaTuhrEGF/84p+/7rob7u3n87Q6EQEQPKekzjkzyR8H + tmdaPzTrk0VRFEVRFEVRFEXxgDFSJWEDzCk84EjZct2YDEgghZliPj4C437crQx2abTpnZNzRhc/ + +6kXPfkxT/nUzVd/6LNXrU0PJW7rWjrpo5l5EwVXMLB37Il0FrsekeEcDBBSXWRO09ZQxb0Ue++5 + JJMIjsLuwb7Kaiee8lgqBGCGy1Xoez7jb+DW+/oRULPv2444cNXEdhx79aTMzJ6Ot3dt0F1/94m3 + jZdPuGW3uS7Lo5HE7iuOX+cUZCIQ5hvKjCAaQnDmiEhVaWcO/hX3sNMmRpZY66IoiqIoiqIoiqIo + iqI4g+ax0Hl1iQPABDggz93t1arGo/ebw4aqIaaymAp+ppgIOWbWzjCdbe5e3lUdOv6+p/2z7/nC + 5x3UeQfHIpaMyKGXNHKlhFgURVEURVEURVEURVEURVEURVE84IwBgE6ur8i9dKFKak3tzbt2Nnn4 + wx/+yle+4rnPfd6xY+uaV8cQOedFvtKg21edye+cGwwGOdmamUWEiEqm9dlHml+53CvNCLmZmpES + GwMk8IoggICsrKwpiqL4Jpc7nqeUcsuqrd5VIlJVVUopR780TdO2bQ45KIqiKIr7JzfzFcaVr3ld + VBPAgUxysjI7ODEhymFbRsDr3/iGf/dLv+iZFXDMLr9tEe+0VeVnkZmpqqoOh8O2bbvYE1hEQghG + 1PbdgXPO+e+veOUv/8qvKCECEueZ1mAsGqoDRPeMi14kop1uxgCfvO22uzQyYp7/FgaYINb2MRD+ + 4q1//d73vv9lL3vZD/3zH1zZtTqbzWZ9Z2a1D6qJiOq6VtUYo5mVy9OiKIqiKIqieCj5ypd+ZkYg + I0MOmjEo8Po3vuHnX/Sznpm9d0RkaNt2ZWV1vLFxz6FJPuOP/P4wM2YWU4KJ6mg04kUM02nJMc+i + 4kAGPOlJTyIDOzY9s+srvz752pMB5MBOggu+MiYh/MVb/nIewrBIJzqZ4W1QkXkrQTODAUgpPu+5 + z2VmZ0aGr5nnVDJjioeOPG2j67q6rpl5azxoHhxYfINsBVrnqp33Pv/xoUZEUk56E3HO5flCD81N + 8Q2kqgDMTES89yXTuigeOoTROxiBjCtBMA4KJZiBK45Iguhg5sEkLibq7YLlFRw6grVN+8D7r3/7 + O/jQnRfGPrRTAgsjR9cqKcBsRMo8D45UOhluChBSJx4YjarxZLKcSLT/8M/+u2997rObpz+jWdbd + q6Npw5teZqRCBkcASJJLyRmxcdLYe2e8sz5sEDRAxWmCqRFAnJTrYLDNOF22tLo5XprOcPT47X/4 + /7jrv3RwY61ZnHG/+uXD1r+Szd/ylOaR5D4Phy4yR7dl34Khy87Z2okLN93xV775jre+77E/8kz3 + zGfsa/zaidv80mAU6mgRwuyDgiZxBh86td6ROTTktUvOrGKmfsZMqJwLrhIXFBRFtO/Qj5Z5NfaD + E2Pcetd1f/j/1V/40rkn1pdc8iZukaqrgBELgTDfSe4LIyjnazrN4ZxkyNHpjmEGUyjADBGIJorj + g3WVYkodFJZARjByRiA32eq5j0WaqTOtoKzGak6VVSAQg2DeR3/r4wVv7b0GNq63kpWRG/ojOK8p + 9qoGwDEzangQwxQOiL0KjAFGVKQI14TUDD5d+z3f9e1P+cmfxAXn394mv3fv2GJiNrASwdiZilPS + HF48n5rr9IwH3H49COpMoAooGZM5mM+f2RwlWIp9PAa2iy8d7TvwlIvP/cwrXlVdo348QzcbeYpq + qloHhjGMkiSDuQhmLDGgJ9PF8+FPhmCmNJ++rjR/acjABGYm02QgcgyqJVGM1WS8b2M9HT2SPnXN + UUt3OqamCstD3zT7zz2f6lANB2E0cIOBqzw7BpMm0Zhk2vUbk7gxmZ1Ymxxf69bHaNua4QgHNLoU + Q4qVqYfl+F5nIAWDhalnGLH2aSWsSFyvCGaQhNFo8GV2hy674Ckv+ll+0hM2hjTzsmt59/H1DfLO + BTIyhTKQD4Xo5mWOoPDKADrHPcMYTue/Me+5iRbnCiAiMXMt4iFJkooYk5CzMGRwMGZQzykhzazV + Pl4yGtKtt+H4tP+zN9387ndcKn1oW3FgPY1CRz5Y8i6xVZyhHN/rAcWgxvrG5sXD0dq1N33yV37n + wh94xt5nPWv36vLSMExrNyHqyNo4m6U0cDXPNyYc4ARGKgxlAlOEseMIwFQCMQlpcpby8UIgAyux + AmFg3vle+3HbSuUOjOqDcYY7juOdV/3ja95wsVAlE2UZjBqatbEDs1vkgiiZgzFISZFP28PAsyhD + 6y4Qf+jt7/3iTXdd9pKXjM4/F/tWXcPm0DlViSm1A6qcguFALMjzEsxZWmEms1ZEoUyByKDJo8kb + a+sks5WPfqYPfKfgU6ZwsLACSMD2qR0KKIOMa3Gbqv25B/c958c2v3T7kff9Q9o8sicg9fAMAsAg + hphCLbDT08p5PvMYSgannDesMoQhjKqqte0j2pQAB1/VQrY5WR+CmjosOeq0N9bG29JMlo6NcWR8 + w39+BT75+SfwwM82YdEDdvq10n4iIwIkrsI9lsMXXvHH4ZOfvfzfvnjl3FVbCqmCEjmqAgdXkQZs + dGvUVBogEvskMOJQ11UVO906+gAhg8t70Td28xVFsePltxHbtr5v2rVN06jCe9/F/td+7dc/+anP + JLnX00OOoZrP/oNzTDGePLttTbBU1ZJpXRRFURRFURRFURRnmAFJCUAwc7AKpEACRWXBfKwMuR4g + lGA84BEigdJoMBDt4wk531123iMvfupF/+wTX/zoBz531aH1mwerQrTe9rK0hE4pikyjOoID/IDJ + UVRdTK1UQFnBBmcMQKB63wZpicjB7d91sMIwj88pGZsBxMzQrdqFAUwGMuWtIbGdQpmoj8k1Q2Wn + LN4xgwEZ6ySO2je97bXdaH1d4hAYDJp+Fp3PRf5cpz1l7aQZCHBEoubYkxHDNaFy4hY/v5i+apbn + 3W3fFHmz7+SBwuJsKZk0RVEURVEURVEURVEURXEGnVy3Oi/+zuu/zDzp2lA3IIdB/cJ3v/uzj3vy + HrWKmU+7s95pUJgDxYhq6Knys/H6qqsOGtAl9AJPANgRGaJKVTKti6IoiqIoiqIoiqIoiqIoiqIo + irPI+G7J1sHXzDydTgeDgZl1/ezhl1/62te+5qd+6qeOHduoKt/3SbW/Hz3ecxI2EeVmtc451TM4 + bFfcF/PGf1sBZQwFO5t3i9tKNCODM0AYymAuydZFURQPHSGErutCCMxsZt57AMysqk3TbC2zLIqi + KIr7p+27G7/0pfd94P2enag453qZN8aVlAAws4gwQOTuuOvw37z97c/7Fz/RzVozYyJmNi1vRifl + d2pV7bouZ5lI0mY0nE6n5LiL8cd/4jlve9e7iUg09woHMP9qiyt0ZtZt8Wa07ZvT7aLwNW5LdLJl + JgMKMTRVOLK+9rM/93MveP7zfvPXf+PAgQMi4p33oZLYq6qImFkOopuHoBdFURRFURRF8VBCp35j + MCIGbB6KBQQXbrz5pg995CPf/m1PXl1dbaczExmNRuONjbqut49O0rZQqx2FDPmazkBgiiKW7meK + zCLcGfl/j3/847EtvWDnCyFMp1NH5EPoJbWxf/e7351zq7db7AxATuQlMlECHPHKYPDd3/k0zkG9 + D5rnXRQPBGZOKdV1nf+4FYj7IDpFPCjk7SkiqhpCyGNtMcZc3XrocM6llJxzzjkzy1/P9oN6EMvp + 4Fu54N77tm2bpjm7j6ooigeSEWzbxZEShNRIddo3bEtqPsUKwgRMp1ib3PaPf3vH1Z/EddeNjh7e + Mx4vmyi0CgFRtj4kMwBTMseLgaP5B+ytHyBUjpJZP+mXQpA43aXxUdx84VWvn7zpbwaXX3bwW5+w + 73GPPXjeBVheQgjKrM7MzCuoGgC80ccTw9CZw06afejVBn1fixGR9lMv5In9sLF2RpywuXnH+953 + 6DPXHH3v+8+ZzFajLjnkYbT7mGmd/wQgX5AYYSvHmgAYsylwMtaXDJLiMmiV6+mJ8XgSb7zy9V98 + w+svf8Y/ueyfPA3nHMCu3SAPA6pKXdiEJao2YhwMa8RuNRmmPU27xjsGQdNGmxKoMW7MswKmsB6M + jX/8xJGrP3Xb2686dzLb28dlxyqS42Tnj38RNox5L/rTMM9zzV35T3211aAKMtTsAjED981DJwAA + IABJREFU0vUOaADKF3SLzZev3w0mMAOISAkOlqOC5++CDBCIoYTtk5G3b38jIE9/NVg+Xhhs0CQG + OJARGTOAGBeHRAQDQpA6TNk2DW3TzELzqO///ot+6AdwycUnBmGjaWzXcCMKc8g52QCIFGY5VjY3 + NRIiYJFcTiq04y5MnamRwuaZ1jBm8zC2pKaRSX1ggZuqpaoaLq/sf8JjH//bv7n2p2/98tv/dunE + 0T0pNZxqR31UhhqIiB2TiYiY89407/mkgBEI5gwgnb8iNO/mn3cVNXRJPUAMM5EkbFhit8qu76e+ + J2YCIcHS2OIajLn7wnXmfOc4eUrEiSzfYUrJgWqlWq0CLxutJkXsa/amKQEEVEzEDLN0aoFovv8S + yLDS7Ova9YqceukIG4zjXumKK77jX79YH3npseGgr+rZtKv6NjmrBj5KHmc3bE9SJyKD5tRqYzKw + QQhKKjTPrwVgBAE8gUyNtCKs9LFJyZESCzN66yezBKVa1EOdN2IBjPsON1y/9v6rb3rz2w9sTB4J + iV07bABA+9MqhjDd/fysALNyU4f1WbdEWK0pTidL05bG09ve9NZP/8NHz/2Ob3v0076juvz8XaM6 + BcRQKRO6iTN4hdOTIZ2t82uDasrzawGDgtR5DlF2z/pae2ED1CkATuwAQCUQQ5Rg3AuO3nHHVe+/ + 413vHX3hlkcpaoldage7lk6sjZeJA3mYAbptWQTlbesZ0xbDyg0cUt8vk/EMx675wgd+9bfOedpT + H/5Pv3P0qEtGu4dWBWE277VtmYjgiMiIhM1ASpZEW2e9d2HQdLGvKNTOa0zzJHCCfq13h28gmsc8 + MxYfDE5GklDewnknPPmaJlMxm4CaldVLfuHFnzh8qPpcuzTZDF6TggzOwbnQdpGRd9MH6LncN0o5 + N2WxkfMGd6YynuwPbgXo19cYBB5rFSrvqevdbIquhwqqgHY6/fwNt3/q2kNXfXjvkc0LhG027tHx + sJpN+4GHxdN7QBUjKjwhxskIchnvOvL5Gz/6b3/t4NOfuvS4h194xaOwby9iRB/FO628uUBdasdd + jLGpB1TXaymOuw2qhkoO81wTwuIFJKid/mqdoii+mYxGo7ZtmT2T/4M/+P23ve1tKeKe4yNbcs2N + QUlSTBGAdz5Jquu6bduUkve+67qtgnBRFEVRFEVRFEVRFGcMndKKhxKARaB1/g9KgM0nazEQ2IuI + kpIHw1k033sv/iANnv6YA0969JOvvvFDH7jm3UdaWxnKZH0jOWkabkKTUkoxGnFStJ1V1eJ3niwg + fLVhvrvN3sn1e1Pav+sclpBvysw6H/qb3zOTbdWPOQ96kt6P7kNnTq6TgKntZ0QWKtaYep3aUrzq + 4++84djn4p5J5ZAU7tQmCXcL/zaDCYgAxwA8eVUdVAPnAhGZmdnOnINcfAVmO2u2WomlKYqiKIqi + KIqiKIqiKIozhYD5oisHgGHzdSd5BnJTVwBADO9R193ySj+NTls+kwVEJgaBAZmlUDvyjjz6boou + ojN0MywvA3AEnwvSRVEURVEURVEURVEURVEURVEURfGA2hqkUuBksrX3PqXUdd1wOGzbtu/7qqp8 + Xa2vrz/mMY+68sorf/qnf+bE8c1tt+XFvd2npnXOuZxs7b3fat0rIg+1dr07ky6aCW5nBOFTF07t + pKUaRVEUxZmQcwuIKPdDJ6KtDlYiQgu5T3qJtS6Koii+Hgq4EP7oda/vYabCxL0kggOBiFQV4Nx/ + XAEwqeCP/uiPfvzHnmUEEQGR91405UYAZ/nJ7AwxdnVdN03T9z0zhxAms00lsHdrGxs/+uwf/8y1 + nzdAzQxgR8gL8rcuBfNW1FN7Upxmn/r7dFsD1GAGyh05DLn9MDDrIwPq6I/e+Mef/NRnXvuaKy++ + 8KK+baHGsBCCiKSU6uBFhMjd78dWFEVRFEVRFMU3DZtfXCiQ+wESBH/4X/7zW//qLevr601VO+9F + pO/70WjU9z22BVrv2GRrzHuoGRF7dkdPnADuNavgq92JKgDHjtUeedmlF51/gUpMKfmduKhxPvq8 + Pf6n67qqqsSsS9EIn/385267/c5tl62cI3y2Lj6d97KIACc2E/u+pz99eTSipLTI9i6KYkueuaEL + zFzqbN9weXvmOOccG5NSemhOkvHeA1DVPLZoZnks8mw/rgcl59xkMhkMBvnIBdA0TU4nOtsPrSiK + B4JTNHE+b5MNykik0Smb7A/VytoEh9bxxZvjZz59+IYb2jsP98c3QuRzY2x0VnMvLiow5DCdxSEx + G+c5g26RvExQQLayZtkWH9ENqTfnyDnqYqyrivpupaXLSCfHj3YfP7726U8edt5Vo6W9+3c/7GCz + f5fbuxJ2r2B5F/buw9LKyoHdfvXAndaz1rk7zE5QC/ZMBDffik6xtob1TRw50q8dXbvj9hO33370 + 5psvWN1VjdcvS2mFAlKnX+8lpOZeNwxAmQ0Eng/WkeaoTgLY+RgnDdfop8PNtMzU/sU7Pv/nf03L + y8vnnbfvkkvDOQd51y4+55zV88/vR0srF55z+2w8iH246WgljL4FDG0HxkrjQA5txNoEx9axsTG5 + +eYvfexjS73ixPojRGokiZszB7+YKUoGy118Tv+DIRlYoQRjJAIDZlACG0jAgCNyTF5JBGKiWw37 + AWA+dGmWDPDs818xsD2k9pQ5rHkGNGAA5yvKUx+PAcoKUjaQMQG8mCaboIEcMZtqikKOLRAbKu+0 + Swono6VDxLcHFx798Id9x3c89gefgdFQmmaTaKbwUU2mAzH4RgjEZqxeFVBlKMMLA6wEArxuxRjj + jDY7Ol0EOAUAcTBjp0xGMGZDINdbTKqqRkTeVWZuZnQr6qVz9u39xZ9unvak6179xs1Pff6RCO34 + 2MANwNoimVltxMRqKkl4MfvYyIxgUF6knuccdwZgIIIQQBhUgM5fZ0c5blskSeUZqqqmeeckeIN5 + ZYNJgkByfjPnSW0MEINYFaLQRGxkYAZDwOSJACRTE8k7vNtKVCcYwUErBZnGdiOgmtiYqvoY2aHd + owuf/xPnPPvHJs1AllZj0m6afBU0kDrfsZKCjOZ7GcMZnMKBlWDgflGM8QpAldB5kMEpDEgMAEHV + QZl0JLp8x2E6vgFWWIT1VRer0S4IoYuYtjh+Qm+/7cgtt8wOHz5ywxf3ql1sUsksOAoe4xZD3nbo + 3FeLFQEng+0B0GzWrS6NZuOJ7yxUcL3sg6323b4vfbm7484b3v4u7F0dXXb+vkdeNjj/PAxrrO5a + zD2YH7JENmgGctml/SiYITBgSiKO0/DE2ujW42g7Tz2Qw+XJE4MY4ynGE9x1YvLlW4/eeOPGrV92 + m5sPU1mOqVFJKS7XYbw2XnbsyKkYGTjXCQFY3tyS/1gB0icCOYL0sTK60PFoPNl419/e8HdXVQf3 + rl5+0eplF/vzDmJlCXv2ggmgHFXhnQEE71GvrlxykVi7ETvA+cqFntrZxDdBGZJ3Ht1aW4H7uLzi + 62GkZFCCMAxgAwNOIYTeQRZv5V6ZjM1DojTUbEqb9o6+5Tf+/ad+8VcHXdrtBW0LBRK8Sc3EYio7 + K9R6Ib9nslF+stokWe2su/YG34ufTJEEkzFSpym1m5u0MfNHN/pjx9buPHzi8GHt2hXi87u+6dIa + ZnvDUMjPYl85pHjaH000H7mVM1MPmrZHh627uK6mf/7OI295z10+NCsrKwcOrpx/0M7do6NBMxrS + cBRCwHCIeoTgsGtp9byHHZfUMTs9WaYWNl58+iqK4qGsbVsiAtEb/viNr3nNa1IEvuo4EQEqokBd + 1V0fAZgRwOvr62aWq0a5OJlXDpY6UlEURVEURVEURVGcKcawAQCQgjvMG7nwvHRMAHQ+kmAMq8nQ + awcAZkjkQ1UPG0vS9613zmZuj+17xiOe+R2P+u6PXveRD1/7waPp1hnd1fWztp8CABPBObianUsn + i/tKKqySC/33UlIwAxG2ZvLkv4HZruU9LI7MQEpsUM2LEXfUONe9YzX1VeiQknTem8SZqlrd37R+ + /d9/5l3YldZjrCuK0RD7UTPqowDQxbDKVrWWDEQAKC9gNCMoLS+v5u9JzYHyMMRiuu+9JmQXZ9f2 + KZFEZLaYeX72lNpcURRFURRFURRFURRFUZxBizovERjmthaSOCSNEkLdTrtmEBCqOwj7B41v+5DO + 4ORpqqq+mwUm8r5rOwGagKUwfO33PeOnPvxhLI9mbVc1AeB5pZrvsTimKIqiKIqiKIqiKIqiKIqi + KIqiKB4IpyRSq2pd1+PNaUppOBymlGKMvaTl5VEX+4c//LJXv/pVz3/+C2bTWNd113X3dj/3Jnen + zeFkRDSdTgeDQemJvKOwMeXegvOEMShBCW7eM47KyGZRFMU3PTPLKZje+9lstvX3VVX1fZ+XVuYu + 86XXfFEURfH1O76+8Sdv/nNjqEJNHTtRg0HNADCz5vwt53oVBq7+2MeuueaaK664QiCmuV2ClevK + 7WKMdT1QVTOLMTZNw95de911z3r2j9119BgRXPB9TMRQOSXPixkgqEL1jPdW3nplYXCORAyAyfzh + cHB9FE/41Oc++33P+P4//eM/ecIVjw8hdLMZLz6E5Mil8lGkKIqiKIqiKAoCab6YyA32zGKMBLz/ + Qx/+2Mc//qQnPpGJg/PjjY2VXatd1221jdvhydb52kdhZBRCuOWWWxxI7leSCRGJCoCnPvWpzjnT + 5BzvsFCUe70ONTNfhX46c3VFjt/ylrcs/uWUWO6tpCATBUDMZBBRB7zgBS/o+77m0gKuKO4uV9Vy + IG5OWc7h1mf7cX2z2T6mVte1qnrvY4wPtWTrnJSTg70B5G/y7lfcD6o6Go0AMHPuitv3fVVVZ/tx + FUXxACEg6PxCRgEFhBDZalFsTj74J28+/pfvOXDXifMJq5r29lPpOwbV3LQ6ZiDV6CLMxZXgLSoA + wzz4lAyAbP2afKGklkeQQKYMmJgR13Wdus4B0rYDwhAwB0kSrbM4kcNH5Lpr1xq3xgmjQR9p3bjf + f+CiH/6BA8/9IVoeguqztfXuqZZ0wzvfee2b/qq66/jSpN3NNIQ2ZFWK5/VyObPceSgQGVMnMTAs + d7k+PfMbGM0zrfM1KM+zfbd+igG1PEPTaRQETkNQ2032w1F0MG6nx7tja+vX3TAJ1bpp78Pa8upN + qysv+i8vG+3jXWT/8IpXp2uup9Q2KrWImbTOADTmg1FQN0i63LWXqqZuuoTKBd/FCVchalSAbZER + TTkEmkDGpxnLSjlK9u5twFUBxwQiM1MzWmyZk98BDDC53B5edVtTIDPblmhNp7SSJ4B524uybRtj + +8WvkcIYmDfc9+zMTCQqLOdP96AO2kbxu1bHxifqes+TvuV7fvAZeMIVWFk+RtDBQIiTKTOIVE1C + cCZ50q2aJmempDmpWWn+hGz+KBSUY4t32keg+XlAQUJgMFuOOgB7xwwVE0nEiYmjST1cOtpiMqC9 + 3/YtTzjngtm7//76N//13hCwfnwZ5Jktxl61ApxjVTVI7tp/b6UXWrz0BoBgApOtKGQQwAwiSFID + GPBuvgmTISVUbr6hTQlmlizHCEQVn3fkxT7gCWCKyQhwOeSRQARHRCA1VdqK4AYIPtebPLWBTtDo + Nu3P/c6nPeUnn4fHP+LoaNhStbExWapHoRkmS23bh0HVxRgQ5scRqRGb5SeYYyo47wHOlKBBVQiJ + wWC3tX0oh1jooGK54/A1b/mb2//2793mWoW+bpiIeoElCz0atSX4Ri3EOOq7g56tawOrqvQJgTFy + dwuBv68MDJKtFyy/fAOqZTwbeErJYFhqeNpOm749AEMb1PH0+JGNL9/0pQ9/pPeuZ+rnxy/R4ohT + wq1Lw2e94Q00GDhzzGQGkCL1cuTIm375ty/cnIE6op4NOQVcwSE01MdhL8siK22/L3UVWfDOYq9Q + B2gfl+pgRl2KwTlKClLNb2EKMjYwmarAV5VFJOsDwzlYsvF4bQ/5VRPtvNwy3bz99i9+4IOzxsug + HqcEpsUZBkYQwkbV3BpWXvSHf7DnUeenoDOj6WyM6AbDYYcYGbo4uAnzTX9GS462FbBByKcdmq8C + UFje8pRXAZAxGbMhIg2WmrTRKlFaHq6cf+4Tf+El1/6/L5/d/uV9iqUAToiiHiDvNYmx7ZwKIs/j + rAHAKO+Z5kwrSfWsfet//e+j624+0PZV3wdP0vjJbDoMtW/T6kxWlPaZ7bOeQI6MzJgADvAynqWK + UHk4O+0UcgV8cF1KMRlqHYgNoUjdtBsHBO8qOb7R3nT7sY/hRG3TwGBiZm9k5GcJx5eH+3/o6d/2 + L1+47pyRAo4MZDAulZmiKOaIiJmv+p/vfelLX9p385NDTqT+yj8PMph3vus7gL3zZqYiYKhqSikX + JHOadcm0LoqiKIqiKIqiKIoziYG83i2PeCiI8/AuGcMAYkDZ8jCsGjGRqSbngvO+S3Ey2WTmpeHQ + REPi4BptxdeDZ1zxw4+58Fs+fdNHrr723Wv9nS0lVNxqN+tb730dKo2JgFxpZ0AAIQBw95JsbXZy + 3G1rtI3Ay8NdpPMauUKUDER5ISdoq4bJyKN12HHhGgoyU0HnHVXezbqOG/S+fdcH3xJH401br4aI + 0djADkn67QXi7bV9IvLeWTIyZjMoTGzPrj1mZHb3QYDtmdbFDrTTFiCX8lxRFEVRFEVRFEVRFEVR + nCm2WBrl8jx+Zthi+Y7BqcDQDAYQgQvPfM87Pv593zdMPPzKE/O+MfpuVtWD1PfWp3roITKbJk/9 + 7t7QJXBqdq/0gFg/NAcqPfWKoiiKoiiKoiiKoiiKoiiKoiiKB96pQdTGAPrYNk0zGAxynwsiCiFw + 8G07dcHXdXjc4x535ZVXvujnfn5zc3L/futW3phzbnV19cSJE7nRanHWzTupzb83ApxCCcIQhgDJ + AW6xhKooiqL45mVmzrkYY4zRe59jI3Omtfc+t0R3zpXe6EVRFMXXzwh/9uY3Hd/YBBCCi1HAix4H + d8Nkagok4DWvf93v/1//t3MOJjnYWEQcMc5wU+AHhaZpuq5r2xaLbgjOuQ99+MMveOELj21uKuCY + uz5tlQRoe3VgW4v3+Wtwz+15uuv37+UeTHXrX/j/Z+/dgy3JrvLOb629M/Occ++tqn5KuPVuhCQL + JEtCahBCWLw0BgzjGDDiZQMG89Z4rBgiwJ4Y/2HGeEIzE3bAxIQRCGZiDBgD4XEEL4EsHrYAgw2S + EE8J9FarUXdX1b3nnMy91/rmj52Z99x6tLqkqurbXfsXHadvnZMnTz527sy99lrfJwIlHBRQle4p + W9l+BR5++OEv/dIv/bE3/ugrX/GKZdet1+s2RgCq2rZt8cOuVCqVSqVSqVQqtzKjuQoVKqABMLC4 + AX3f933fv/nJn0xulvLBwcGw7VUEk2YfTjpbnzZEhGSIwZ3u/s53vvPjW0/QYGYCBAlf+IVfOAxD + qxpUzW5kdeX1o2kaM3P3LsYHHnrw53/xFzIvN92dXa3h7hAALiIC3HP3k1726S9VCEjwUlO1SuUW + R0SKiXUI4fDwcLVaqWpxX36sN+0JRTmeIQR3F5E5ZPdYb9fNpjjldF1nZiLSdV2ZajxtgqGPF+br + V1XL3+VgVoXcSuUWREdLS4d4Qzsjesd6WF3c/JV+OECPfARB24KE43ChgCAaIqAZxqwAoabiCIFQ + sbkfcYEJKIiEQ5QQaGwXm2EdQxz6vgGkAaIOvQcCBqepQIFOINCUcyCD92rNwyYPSTo4xH7Y/0uJ + p0rXvPX09IV/5OH3P2Wws24dSeTtMAjQQsRF4ZkIpsvF8mi76VolTa/lDlZcRU0dRcN+57uurq6Y + fDqLJSoFbraK8GzadW3vh7C9GPt+GxtdOePRdhXk7q7NA963XR+Zawx7ed0Adz/4UPOXD6+CoT/a + C4B4X37XEFzVRd095+Uq9p2v+6OQsBBY8kUjyQiWXGIR7vj7XjtKiV68fB1AIFwgES7MpBMBiIqg + oIOqToGPqcUghRSIQAVCGfXxKeMoPkNERAmaK6gkYLND+OR1urMxri4wKdOTXk6BEIAbIUCMTRJs + zAbV9f65Dy33ls997lNe/uJ7X/ZiPP2pSfXI3BtNEAnSu5lCm7hNKbmdWS10Y0pvaAY3dSEah1Kd + SgSKUpDUBYiEgg7nqXG2JmBaLHsDoVmh9EYccHMAGmURiBUyYIjJFdwO+/Gg324ejKvt0++54xte + 84LPeenbfvyn7Hd+f/vhB27brM8FFTgdNKeMgZdQmkFxsB5t3pXic0BGiACAsNI8YgsRuBvdQBHR + IG7mIA06+V5HwI3HfucQgQAikIU0CAIA7nQn3R0ONg3c4F7cmyEicDHANZiU2XMGh9ABmOICth8J + 2/Wz7v3UL//yg1d9/oW9g8MQk7WLbrXXdYihJx0hqnQeYjbIaOEwOw1TAKoSNvWrJBpHZySwbgRA + dAQiBXciMCuN2/4cIJs+Pnx0sB2WvuXFwZiapnF3daiJQgIBuMJjIgRKNG3I2QaXrlkOad1cUizw + sZuEOrz4aATCgVx2hJKALkuM3CZI8kUrZt4E0IbsWBJtr5b7bDI4m6aZ8hFYDgIFWdsm5fH6JbNY + DBS6HF28Z90/88I2yAaSilVzOT+eL7YhRsnMfefeRogjp6RAaBeaMumHfVq10jsXjTHTBDmAgFAF + qgwAVKQfhoi2CYtk22xAhy627Ad1OBMES8SOsI1xkxAUVIWTBMbkho8uwDO3hf1z/cWjdRzC2XNt + 23YazZKF8b5Z3DuCo+y1f5yd6KNDnKCLuyjgjel0b1ITQDyaxmJRTw2uLo6o67yFpnPLvf7i4ZE2 + e696+Sc9cP/9//e/GR74kEkKrbNHBhooheC1Wz3fSAJdCWHgZMSiUkociA9+8JOONs8kfH0UA5nE + zOzwaL9ZLBpNmyOArUKC0l0Um4S2gRlWwHK1TJtNcrRyDVFiCoxQaBjSAqCaR/Nkali1oA3ZBgca + 4A5tzuaYnEks56wZXbs3sGmA1foIbpBs2ghdiaKaJyjn95YLHVQqlct5+9vf/rrXvW67LRKbaJom + JTuZ3ncMwaAhm8cwxtwefvgCBH3fd13nE3M06RYMUVYqlUqlUqlUKpVKpXLTsHGGS5WASHAAZVYs + ugioEKcQyK4JgFJCE0lf91vVuL9/DtR+SGZYrQ6cedgeYpCw1nvj057zaU9/1b2f+Z//5K2/+ce/ + 9b7z7xsW55erkHVzmNZdRCiTIIQDQih0x4j6UnY8rVn+qaoxxr3FSgdVkHTQAC0ZrQqCsFM02XsF + CHWKSIb0TRPEY5CIMPzhX/zeH3/obeuzD8W99mgYWpGgasmyDwhXnrxzZ4xiblSqKgiRcOedd176 + i6TAVYWcpkcAnJoJwcrppNpaVyqVSqVSqVQqlUqlUqlUKjcWn8T1AECgJXhrpm2bPDchwhwMWJ25 + P+Me1eOqBABUF0BcSQBe9ClKxc/VY+6PQBuC9dsMLpbLYb2JwLJtF85zeY20wbnbHbG3zSJ0uKZy + pUqlUqlUKpVKpVKpVCqPLeLF6k92yv0mtQtVOqAylWqbjIGKafR/5SCDixIQeNERKG8SCnE/mZSm + O14C02KXbMMJpuVHaQ5CXcqbZfHj7Zk9HoQnfpEnV64c947Tm0pAZo3IUUhFUKQlxmUCHcfbAECn + 715D1MVnGQtXgRfpCox19ScWA3TnHZ+8GE7D66V7dHlY6ErODuMa/LIg1SXt4YbGmGT6uTGddEc3 + BCc9Quat1LEtnYYjr7N+xNRiFfBABVxZPlWKO1Th5So4bTG70rZRLrHLi42ny6GgBKBlsZMOLle+ + 6K7YqzwyU0c36xSN1/VOyBVKv8qad/uZcZMulQ06bSfgZnFJJ8DLrv25Yy+6NpetYLzupm/5dCWe + Ig2Rj4PdKvvdfv6yjmhnN6f79RUpmixX65+vxOWrGmVfprv/VTcbp68/OX1ceniFJ6ZmWJ67xIVX + 7hvkSgf5Ssd/7hh9vguX3myUsxm/cAuWBFyhDS+Xy8PDwxja4gpWakuGzbrt2mS5jWEY0n0vfekP + /OC//Ja//219P/Banusw2WSaWbHGNLO9vb2cc5GvrVydHZkzMZEMUIqAoDhx3PSPn3yuBcGxZVnY + OafC8T8AlFKKBEJPd51RpVKpVD5RVLWoVpHMOYuIqg7DAKBIohdLg7ZtU0pN0zzW21upVCqV08XV + /MBmq7DdxQD5V2/4oXbRDH3KbjHGnHMIzTwgLbeeIW0tZwAaBM5//eM/8Y++9x/fdvaMitC8aVsz + m9d5ag3Jbg79Zmia1ujurjG68+1vf9vX/d1v+OjFi+MY3zxGNToJDQHZVSgUzk5wQNGEn//xCcGr + hqFVIQ4CnnfCQe4ARFXoyamAET6k13zN17zxDW/40i/5krZtQwg0y9kBF6nympVKpVKpVCqVyi1B + cSg6znPDaGctgANBQ3ZKCMwGIIbolh14y2/8p1/+lTe/+gu/IG37MAgAESlza7OzNU7rQLK4n7Zt + 26ehH4Z3vetd9qhzEHaXc/dSdHnbbWc/+7NeAXGRWMbRp4k5keNSzEygZXL53e9+93vf9wErYo+8 + SnKISgjBcjZyGcJn3Hff/nKFnEgXjG1gd/Fqp1q5lZmdS0ju7++XN6uXyXVn9h4uU2/FM0b1lktS + KtOLuyY6bdvemofiekFyblTVk75SuTXhnNNPJaCk0jH0zXAU++3BcLSICQAVTqjiqMdBAxCW0QAQ + SBAaIY6rmCZOWYXHz+o2rJdNl1OvgDQhJbPkMUKmEYYCrQKEZ5eMMw1sPTTubdP4YPGohzk0nqr0 + 9ugeN4eLCw/dYd1i0xsQA0QQIzQxGbs2IpnT2Q8CuPsj372ukL0pPs+XhbkkZB7kigOQqU4Kowcs + EGCA9D0EqyZstn2MgHt0RIKZyL0K0l78SyEuXmjPNDja3g2Nm81CUivmfVaBB1DVxCyPAAAgAElE + QVSgAGx049YW/TqjQduhMSAjCGiX5moLoOQlBUSPEgFL/c78XQXMxrYmAlWoAg5zAE5AJRSzW6AM + 1bxMWbLklhfHbYKAk6rgmGU+jvJKfiuvOLMprkBppBQYRndhC3FDerPYNuGCeVotnvbc5z39RS96 + +n2vwJPvwrnF+cjDqLmJ4iJUFKtjVaNly6HtBNxsh5WInGzTwSEUCGy6jkxPZakSgLkzobqOf5u4 + Ato1OXl2RggNQnfJTmnCMic27f7A1Lc4WubVs5/1gu/+7/G773j/v/v37//t3133/dJz3PRLSgzi + HM+xniyJKg1+95SVj6JGuuc8lPcgChFOYRyBqKjAhYyA6Fy8BpAkCQoEpMOZ53iSqCgEoA8GETQR + MDjhpIDQMG2VGjBENUEfcdjqg3vhOV/yN/a/6ItxzzPu3z+7XqxoEhx9SgCSZQYVCXTmTWo0Oucq + SvX58O5WEYqDDrhOrRwA4EIEhwiCQwETNI2u0rC6eP72oV9JcjUC3CaVybCbGC8VQZCxm8mDUSAi + fRo67ejpGluEH1dzlKtMHAzu3koUGDMagUSoIiWYQ4goiASTM3mQgBi5HXBcB8RSZ7qfEpyKYGag + koIYQ8gtsD+k24desYEk0+IGrULpoDltHYwRqrAMAlFBRx62BJqma1NviWcX8O3UrZYOVlzncl2R + hqqx9byJgqbFhYSch87QRgQBHTHnYnsPR5QwhYyOr25nXMU1ttt4tj2zf7ChHm3WB91taTCPUwJ/ + qacbu/SbNNgpBTLT9aUmcHFAw3jRHW+GCIc8HCyW2+22id1D2y32l+f+1pf07/3Qhf/wH/oPv//J + rYRIZoDZ6UWejVNVIwihK+jiyuL8DYdz/MUbu8NChBLWnftccWcpUvazQW53W1w8ajjEgP4Q5flE + 8gZAC4gCAmY3QgzLBY62aBosFNujTRtLzfK1bVLUUEzW24A05GxYBAhgA4KiKf0KgZyalFrAFRIB + g2zWS7a3t1FUYAOwKC1NqBTDbh9y/Q7gKUdK9Tptql8GoEQESyfmgEJ8riA7vn2MNa3lAJbPVFgs + 5jG9qcLTNwFQqeyyW0S8029/6EMf/vqv/8aLF7cxIqfiaZ1Uo1+9js/dBZotCySlpEEAdF1XqvZI + xhjNTFVrHKlSqVQqlUqlUqlUKpUbh5cs1bmiblS6Gz9VV0AdABxSZrHGrE4jVYOqWqZZcvfFYrne + bkBrmq4RwA1b2tFwV/vUL3r+PS957iv/87t/+zf+5C3vufBn6KhxizluMEWtCceVNOt0jkIQXqbh + BBCREGNctLHTIah6zgYKwSBi4s5xQqJMgV1J4+5UoBIliCiMOQ9btLzAj/7a770Z+56DHR4NTQdP + VPXYgARYxMQu1SJzB+AGOixKGyyox9tXd0UGEZF5egoQQancfGx2uPKxOIWnpmpsVSqVSqVSqVQq + lUqlUqlUKjcKAcJYb6I2mVsXSfccWwcvcnMWiAokB1bS3WYXL0qAZYAMTdenPsSWEHgGYOXLImGq + +qFcY2jcXZWqGNK2kSAAk3fqdw7ncf5DuONcMizDQkFoMXYKNy0ZvVKpPO4QKRNVKBXms3KBT85x + s1B4rTyvVCqVSqVSeSIhIuSYpSQit1D56SlG4CBLzplSXUYn1+NcQUFw1an0PUsw0UAHUZYqNoqY + TGcBuMBFTRCIxrOSlCJY4CYsxbSlHlqLVMxxhTko468Xy2fi2GG6bMO4PCjuFE2inHw+lRlCoY9b + NRaWaymbHwufBUU9gYApAHRZo4MCE7iWUnMHxm0mTRFAFScoGqMJ6dYQSrIUqCOWzR4P2aN2QKRg + UFVAXBtCXE1hZd/KZoz6HgooxlAOp4NxU18pV/t0R8VAnDuaJeVj4a48h0419tNrOSM7Npy77aF8 + dOP6CSViya8MMIGWVlTeUffZ7ho6NkWoGua29Ji/UorcSbHCKFcW1X1UbgJN3JRUd7A1B2B6ioyV + S0cxG7Iq5YS1ZLkkxWdbX4cGV6UA9Cnrt8igFMqlN0c8d3oV7LpT71hNn5CWkNKxEEInlKImSogV + BSFxIQKLUISX35p2oXjeKyg+JiaPK+dJ82bHaToBNwvheAcpFzUF5ZCWHng+TYKsSA4Q3aSqUBLZ + VRjAcjrc1HQUdLjM8vlxhUzCXibzPXd0dQ0++hnPNywXAD4q2Ez3RExfObYtBhyK0dwacrWDcywB + oJPKhVIcwvIMUD7yXYEhwMWV42aX9p9vxeb86FEwzP1bkWDQE+o/owW1g0rfOfInOrHd9zF2U+Ol + ZKOJtQrHM5EUlPJ45oGkeNbyoKbBy0e31HzNldu/ZcbQYtJJJwkwhADzJsRhs23bxlL/mZ/x0h/8 + gX/xzd/8bRS0rQ69xxizm4jQoCH4qIR+6a+IoJiTDcOgGlVVpCFTCXFPBRtSijeqdu0xhGRA4TpQ + NuB5DVCKgGSRWdKiDTnfyh99B6SjCCIcAYBiHDpQxsfUUOTBggsyiE+kqqhMczzyAp/A6iuVSqVy + 3Sg35fl1rtYr3fg8MR1jLZqrVCqVygmOBfRH/eXjQKMC2R0SYoxObIe+XXS/+KZf+vO/eM+oIW7I + yADMEoC5VHwYtvP6zWgAzf7fn/jJb/umv7doF/12u11vFotFsmEOcoJaNuASL+3HI49++0uMpQlR + KEIxAuS73/Pev/W3v/LBixcNcI7DP8ujOoZnEyATYxLu5CJGQKGjTfjJov05gW33zRBCcUS7ZGN5 + 4n+YFhi/e/nocP4pmu8GiQi0IXzTt/79H3nDD3/eqz73oO36nFUgEnalaRUB08za5QLop1Ypo1Kp + VCqVSqVSqTxKTIWAstipoGQNUEBqdgLOPJQBSLI8jg6B//F7vve+++677eCMUgBTInsKITRNc7Td + dIvVkFM2jzGiaO9d4yjs+nKcwyAgxwRCjcEt//Kbf0VEfJxPPf4CMA67dnPmRso4iFSIgF/55V+x + 6hb0DDKqZj9lztZlsr7sxWjq5gBEVETMDEF/9t/9fy4wYtRelNFspiRAjpkDAssZQBPVsn39136N + 9dtl2ybjsTlapfLYsWviOzscl3jLnC9x0zZm3pI6TX9D2U19KYf61kyGKdOLXddh5wjcmofielGO + 3nz93rTK4vlOWv4ojtqlEyuvIYRHTg2qVCqfOMRoV2lQpYgjiIZy6UXz6I2mgJSza0BQ0CAZewpm + YBZHJmhEycqGlU6k6KwUlFCfExHHKTgFmPrRpTFZBIIABhkNkcvYDMVIsBGIajIXZGcf0HfBNYrD + BKfoHqAEJESoSBCN8KyUIMwDOqAVMI1pk4S1Cjj1SsrvuPo4USZD30uWmXOeARRT292sd6bxZAmh + yRaKUlw0yuGMIxxkepYEpNzdEY8sCBeBnnpVUQSQ4mMtGwVWbKWTNQo3wKaqDk75oyez6ydr82tl + qlg5ubOltZQMfhA0gAjHU4SGna/INM4dB74cB35CdIKd0XMZPoOASLH6lTBX7dGJjOmoCmAMOTS9 + 6mFozjdxe2bfP+lJZ//qpzzzJS8887xn47ZzA9RiN2jsIwZVV3gpUhMgKEDCYvE+zVkEouIs9TIO + BPGgpcZqfAcKc0EkirOzj/7Op4liTCteagaFY52FZ1OB0hyQCEoghIIBRKR6UgAZjrBp9h/Q5sx9 + n/6UF33qk/7Lf33nv//5ze/9wZ2xv3uTFkOSaOYJQBy1/aFAUAhhxDjHHESpYk5QPZeuqHhRlxlu + A+keZP4XVISku6uE4mZd1qSTN7qUnsmLBQMIpyMDDIFAdgtjhU5pHBYcQjVaDt3hovkIzJ582+pF + n/aSr/u67V13bQ7OrmPTq7ilAHFhaRUqcDiIACJoHu3Xj6e5sVvVBUSC7kIQ2gcHVKmA5+AUlvlv + obpgq+IxDHmjwbol88YGx2oFborf+3jxynytcfy5gClYAtDzNbYGF7iWb8vYR0UCSFpyCca6NtDg + mU359Sk8JeMlaUhj0Gm+d4CleNZBqEnwIKExKpnJkrtuikGQKECpqIIrkd0CoAIYWP6YwkLTzaiP + 5c0eUqTHypUGAEmpo2+7uwDM63L5esIKAKECGgRosFu2CfIKcTMhV4FIG4mdq1iy/cUZJuTs6KLS + hQzlfiFO0dm25EZBhbgyREMpbiplepzuOGPd8VhsCIrDbSFRBlc2zsBF/IjmswfLJ33nN3zw/AOr + tw4XH3rwXKuQAXAFzBEjYLDEJkTQF+pIW7ZLg3amUmpLxbMCQPRrqF/4uFBQUlDOvyXu4mAW8eAJ + HILAMkQRAnzHF5o+tsgwNZilAnksz6P5zh35USEEYFEgBA2h+M372FDHOxOONyAIYgAFQ0lsyQM8 + ORIiAj06GocDJgJocBfiND0u3XCUGj2QkqMZDBoNDT1mSJxKUGXKajquTwfA45LYUj8PaL9lDAsI + NIjQVDr3DOElFayVyg3k8sb2iOWKMcajo6PlalViLO7Q2D7wwANf89V/Z+gNRE4IIaSUStmq2HEc + pnhdl+S98kQQAtzc3ElCpBhal4T/Ekeq8nSVSqVSqVQqlUql8jgihCAibia3UKzoiYCyzOceh0yL + WCUAwCEO6BStcqUAgRRBKBFvuAGMCqh47mMQpcKZS5gzhCDLFduLDxyea5/06uf+ty/+5M/6nXe/ + 9bf+6Nf/4sE/1lVKcph14DQ/WELrXWj6IQ1EjAhBPXtwjdqkZBJAMYTgdKNaj9vO3SVUmBsgIQAh + ADAPJcsWAEQJL5FkVZy++S8JGCxDVdS10UN9+Pc+9JvvOvyjbWNk18UMd9FRVFRHiTP1EsWRqVaR + iK1us8kCyZPm2HEvbOK9d94rpuLjJOfoGgCxWaVvlkirnBpUdRiGMu/r7iLhkVOJ52rZwpwZdR03 + qSp0VCqVSqVSqVQqlUqlUqlUKjcQgQcg75oZECCCSo+0iJ2DXF+Udolt+ihlY+aGEMtijNJmwt0W + JUt1dJoqBhWTWN+1QGFZj5KlSsgBZd7vj978VV/xub/+u93+HiDmuajBX+/jUalUnjgUrYoyjRFj + NDN3b9t2GAYAXdflnEt6cdM0tYy/UqlUKpVKpVK5aewYM492wjI5szqLJAGEDDAhXL3IuEDm8uZS + ya+lwl8gpXRcig9nESyglCJ8maw0RyMBGkYbTijggjB/RaJO3kLzlh4LbZYsRhkL8ZWleBHFtG5c + Qo5tIEflTwBQkxJ2mZLtZDwIY2Jk8VQmpDjVOujuUoq5x8LgohACYLLg/rgOOyYlSnEFcqntF3dQ + J5uMK37pNLxOGiXjq3D8W6mT27i7FDUB9SkeNeaeljRHjFapGFuRA+riepyxekMpVd6gwOkQiozb + PJ9PpYLF4nreoMf+yI+16kU5De4UKoTiAmG59JyilJIa6xS9Jg2Cm4NwlLQwwJVhMvQdr0WODWty + VYceX7/zKnDsFCI7NtWcdSuAnTjobqNS6qgkMuklzRYhUwfjgCrMUQRij488J+Oa4hTrCmIOiM5J + mn7ymN+iJsAUeFFlKlpKhMBF5jx1FXgoNtWqSjgVCBQD4OIKL8eX4iYOySCKj68/UZxaxkxujgar + u/tUFCiOzXcmcaGCH/9fj5sxdz4ZV3JSL+CkvbEUs9jpUhL4fPefccHYMxMufgo7k9OIELDjpxVO + YiKY7oxjryUuO7ZY43MRcNxfFcE1BTxMd1yUuyfG92U+oVQXDydrP7SIS91antbXTEqpQRNC6GKT + mEIIn/ny+37w//w/vuu1/8MweNvp0Odxlg0SYxzsyjLoc2a/qrqPT68igbS+74t87eydWbyub8bu + nXosIZT5RxFwC+FCVURJmwUHP4H+ftboL/chk2M1dwEYLEBMOZ3hHfHKSqVSqVQqlUqlUrkanDR/ + 54hfCIHQ7LYd0t7B/pDzG374jde8XoGE5g1v/JHXfsd3Xrxw4czBQb/ZpJTmeNAtPmQhIYJstlgu + 3vehD3/FV/7tBx5+yGZzr5GTMeAxrqY7r7jE50tEVFVEcs7zB8WehORcpT9JhF/5LFztvJwMpJXt + 9N2PDNiaKfDt3/kdP/ojb3zVZ78SEI1ByOxzUBqzudsVI6JlUu8J4HReqVQqlUqlUqncsnAazFyS + MHA8qOCJhQF0i+V7P/CB7/tfvv/1//z7czbPqZVQKnFSSu7e931o4v7+3oWHz3dNc3N25FFiYLvo + LhxeZNAP33//H//pn+0k6FwZXjIYmwd4YFR9zVd+pSjVJYiUHb/Ru3BNfMxR25DTm970Jj+2MJoS + RADsnnz32HW578387jMHL3zhC5dtOwyDqtbxYOUxpzhYz/8sQoe7LtcljwLV67dSqZxWcs5FNbv0 + YCJSgsOzixKA4sB0fYVcK5XK5ZSUWo6Z/SqTGyKcJEVCox3CkLL5ME3/zI/NV79ATzwzn5zme+TH + aSK4+OVJjJveA6BRXdyCeXS3ISd24RSNRwRAMnVJJgtpAowuUDQRnjmbXF5yBD7u8cXlX7zCqqY8 + TVwypbe7/M5HDsOyG4a8kNjnfqG5iRANeQgKCHuds96pgBbX3fmJ83rt2jVwcgevlnU/T0qKQEQE + hAiFyVHqLEbfaxGBQmRwM6gLXYMHcRVo49qubQhdi9gcpbxOiOfO3XHvvXc+/RlPe+7z8LR78LR7 + cHZv04T7g26b4DF45omSFuLyDdQds9I5LXqekd1Z/oRjAS6dtD1F7O7RiXfGesnyqULg4hBXV6Eq + xUUGjUPQfrloh3jnK1/+wpe8GP/1He/9uV969++8ff/wsEtHS0pryDl3kCYK3MwhghAgQeiS3OnW + IAQVBIAGCkmnzXPWUQUYs5t5cjJbpJR7EABJG2s94DY5H5MiUEVUJNKcQqiMjrFOJIMrvGu3i9X9 + 5IXbzjzzs17+5C/463j2sz+y6I4ODspgjWQoalbgfKXsOFIcc1mbcU6Wq/NnxYQkEA6lmosLtVS6 + EciJZqQEQkkEhTq2GzTYlda60i/O73+Cnsql5c9NVnZaws7vXeF3P9Z6RYlQskkoIuJXrjXV2S/7 + spK3K/7WiXvczmafXLXPSx/3gfMvfqxbHgUmjkXcpM12CKJ7lqmqi9XeERPEA0uRUamCufJKrjNU + 4PKeZ+fz441336mZKvuYVQaNF1prDroXfc/rfvNbX7evIT780QMBHQS6JXJGJkLX9uaADTbE5WLw + 0X28lDTyJnZtpscGxkpQoVSYe/YmtMuwcN+CEMG6x0Ie8aZ22f3947sDnljD5R/vfGoJBpii7Rph + BNQdMI+urUEcrqUyV0004OpR4CcoIhis12DOAerZTeOicWW+uFsAWColCz6HiqdrH4CG1Wq5GHIC + PKWNddoE0hVy5fqmSuU00Peb/f1VP2SRIh2Bo6P1t3/7dzzwwEf7PpVl5ocfNwOxt7d3dHQ0r2FO + 3lutVuv1+ujoqERvABRD60qlUqlUKpVKpVKpVCo3mcv102aVRwAnNK+urHR0Iia2sxIFQNHDbb/q + DtrgRw9vznZ3fc6nvPp5T33+ex9618/9+s+eH+7PzXoI68H7uFAEHB25S0KQpoUqBIQg55wsN03j + QlODGBgiQ/TmTDwIOxpNV9i6MTrt036duvkvkiGETLhDSTb5Tz/8B+vwsIXoJaxIjDqQO5pXVFBc + ACGCA1QDYoRF5IQoGc7bu7tuWzxJhxpyeVxyaZb11ZnNIDApXxUPiOu4MbUNVSqVSqVSqVQqlUql + UqlUKjecEg8MAByw8Z2VxlRMJs7uYd3jTPO1v/oLf/6SF6sNacgAmgiIe7blomPa6pRYj9FtBaO1 + 1KPO1ncBIBQGL9YaJNwUSu6ZyEfPg7CL23iwCNogbdFULf5KpXJVSl130zQxxs1mU94sntaLxWK7 + 3Y5anCLF2fqx3NZKpVKpVCqVSuWJz2QsffyOAwg7IhQuoIKA0lsn6FlhigwAiI5AqCvgxR4YrhSP + rhRkiUkxmWVOPznlFooEhQgUQYopI83nEnoRkKVaHDEcZ/gRaqNhrSvSaPIoQqqJXpIL6OKAmzjg + szxkMdAei9vlhPqJcjSVFJa8PQgQACFV4EIJ0dVTNp2cucuWl29dUx6iEIFZJ0NvQlwwGZjuJGiW + TRYlvJh9X8uPXE8ulR6gEJGiQi2BJgFAVcBHu2g1AaEUuCjFhVln99ai0lJsXDm5twop7sJc2uG1 + HdFr3h1DpMAEBkAA8RNl3EQgAiFEpFLdJVNPS6W3UFqLAMBoimK1ngWmKMeaIhQ6REGDiJBwnJri + fyUETiKHPIRR9KFxREc0CFD6EBOdbK0dklA6ntnbd7w6BFMBcz52pAaos6RKkQQSQkR0pwUWI2EA + pjqouo6+y4ArPICgz1YlBCBqsnst0NV9lNDSslPBj1vOaJ0+OnU/dlfvY8EYiIYDMEI53llGM3lA + 6YEIXlx41VyJGBgIWKBpphjoQSAouhWjknN0AOp6WhrzxwFRJDlg014oT8jTmMD0WMZGMDewcWGX + Ymg8Xko6tjef+tJdN/fxzx1/a5tFsqbbv8p4gsZfAIvqsTrASbOp/JfCiWuncgUku9h0wMt9XsAo + o/r0mH1d1HPKs1M5Iya6M3Hj80VEAOJjxyYIZHQHkBUQnVtFuefa2EEFcQ3QsTWInZ7+/xTStm1x + my4K7yml5XL56le/+p//s3/23d/9PcPgMWrODrLtFkPfP8KqRKCqZhZCY2Zts3Dm4mw9DEPxsS5e + WTdr5x4HhAYA+sQOhhT+9/s+/0sOt6S7YH7CKQ8yofY8lUqlUqlUKpVK5VSS6Y02Q7LYNm0rm83m + XX/+njf/6luueUVEyuk9733vm37llz/3Fa9Yr9dd05B0jEFp2Zn/eAIbVl1N3j1ZFpfFYvXQhQvf + 8m3f+ufvey8BFbUxguYYYy+znvOVX7E7KiePvavFRZXuAMz9eEXYXRwldxZyQr2CjyLwsrtVl3Ph + aP11f/fv/OxP/fSnv/jFZp7S0DUNoDxpZiYiV5KXr1QqlUqlUqlUKrccm+1GgR/5sR99+X0v+5tf + /MVnDvYVslkfAlDV1WqVjZvtNoTQxjjnzFzCzRlaTr97PIZqYtMPabW/d9Rvf/wnf7IkMwVtzNMV + 18ArDIMcgrZpbRhe/MK/du8zn5WHtOoWcC9zso8LSNI9xvj2P/jDP3nXn6EM+vyRBpg5JQAkPv/z + P//uu++2o81N2tZK5WNRzKpzzjHGonVIssgdFk9rjNP+BFBLBSuVyimkqLUWT0cRiTGmlGaXx7KA + mZW/S0f3GG9xpfIExcVLOQnowgBAgWhoTKHL1tqUQu9do1HYtxIQYT5AHNQb8gpQgosIILBJoCUA + iGKhbRTSm20CvRWNOLvo+uGUTeSEpm3bdJgNEhEzcsoMjUSROXuZAkJ9msoS3LDjec2vkoYenpvN + Bho0hkGVkHWfl6EFESBKipR9CC6g6qjLfiq238Wv+ilhoDqPx4AOoFUCcLi7UEAK6FQ0ramuIw8D + jtS3kamVoVnEuz55eeeT737a0572rGd0T3sK7rwd+3toYopNapohaO+SSDCEXm2bQxdOV/s8XbhI + KSxThUBUoQQceOhovWpjUiy6eMfLX/y0z3zRU/70XR9662994Bd+/uAjDy4ubs/Jok0+5K0Csemc + aciejQp2KtIEZCbP2SGYawZLzjlUICRnL/OyLQJISDZ2OwKKCASRAtCLcTVAFhvqUsyJhoiqVE9O + lJosQJt41C0+GPX8U+++51WvfP7nfQHueRo8bmLAXhMC3N3d58z28iz0iR/N0RcBbsca+kqoEGeX + Z5utxrDnvugH7qNZBjHvIUY9FVfux/HqQRBzHwaKinIsn8x9C6VqlqZBqzQxqAAMAE7V/qaoD7qh + axGiQ2MIw2AbDu7Ghbq4A4GYinlL4e+pKk7RUkuVFDr1q5FQyqFg2clnfO8/fMs//iefcqELaVit + mianbUI2tDEk4TYQnfaNrGF9jEpEQ2ulmBSBN7wYkFI8oWnq4qMrvJo2poh74t12CCb7TlFY0yxk + 2FD8NLSc+Xkpxg7JzD3bwiwMFjtvocsuS79z9KhucJDA+MVbAdO8Zep1vWwjwgC1hLTt+2x9hJaS + MgCAgCoiJWgvpMAB6pQHJYiDbQaLWzNExtYkpjWOJGhgqFU2lZvNo76EKTB6CQgvFt3h4fq1r33t + O97xjr43TBFjd1cdH4xV9ejoKIRgZimltm1LNMbd1+s1gNVqVR5dSiz6xu1ipVKpVCqVSqVSqVQq + lceEpNn3ske6u3mKOSzS/qp51l233/nSr33Zb/3Bf/z133vzBy68Z7HaHm7Obzks9+O2p4YIGfqB + NDSCxTKo6taS6agR17gtrd3Li7vCbeFSCcXHGe6uUUvIXkTc7d3veZcjuwi1CIJCXBU41t8TUHPR + KAtEcAiL5FnIIblDNDmHe+5+xiqcK9P0lccRRS/rUS68XC6LDUTOGUAIoe/7ruuu7ybVsF2lUqlU + KpVKpVKpVCqVSqVyI+GxwdKJHGejQBvFelg3bSerDpuEpV6gZ2MTBW3YrAcBlovVsF0Xg+m5rMhl + zFqN15iTSgAUJYswngspEHjb+51nOwwW91oQDg+xBf1yUb9KpVIplMTiIgl6SQn37nxGSSAehqFt + 25u/kZVKpVKpVCqVyq0CJx9ocZw0yCyMxpkcRQWExwbVZWGXUU9BSCocXj5RuFOpxbFTFQ7qjvXm + +PNQ5bF9tWsIEFcWo+hiD0AAdMVkklrqy1kkYWhzKTyhPrqCTpsvxfF6+hwIYPGaFfFpVWoKJWap + BCk+2g7A85BIN1d1AdVBmjlH/+NAClyRHargtVbkKzyS5YDMh7Uc0t2lBLz2dd8kBC5U7DQYFNfZ + MXw0mmlOxpyF8UjLZGiN8u9R1UBMMDm3jm3sBkEgB/ddH3SqTeE4oRTX7bk8XFxx6rIuHSjWs1AU + bxMphrhjQy7XCcV0NHI+PQgQvFQmQ0EDKG7TJgaquAOqk6ErZfazL1euH5kP9ZkAACAASURBVCve + TpmVo5zLrKISFGTpT2RqVQHiVoxORlEeG2U1pu5FHfBAc3jjLpOhr0MB9bF9qhAKA5xTJ1N6n1Cs + muk6WW+WNmaTwfMtwq6z8tjXCkwgk+VLKJ7JLLeecpB17jrKO6Vpu0DhEAo8MD/eDa0Lc68oo8M3 + ppbmFHXx0iPKdGcSqlLHGyPh8HH6gD56ppf4v9KnWQBQKOUOOXqyj6IhAOAULV+ZulkvTjw6+se6 + CwC1cfkTwf5ybq51fuEWg4oM0kTG0wEFXVkebY4VWAiUfi9Qi/qIQieH8vEBZ7aHZ/kAEKIZxUmO + XbGFkOnaAaHUyOKEHgHHZH9VuRruXl5DCKra930I4cu+7MuGYfhH/9P/nG28Fh7Z07ppQkpW4t5m + 1jTNkLZl9XNIvMTD+76PMVZ505HS10XB4DjKzwr7Z2IPbMp9hFOlUABACJ/Izm2VSqVSqVQqlUrl + lHM1XV5VNXczgweoqMY3vvGNuPZooKrSncAP/dAP/Y3P+zyTlN3MLDSnKrL7mNE0Tdt1F47W/+v/ + 9vr/+Nb/5ABEjTuB4h0eIXa1W6gvchxPphTT6EdEdl6vmStvqgg0qGU/2vbf+E1/702/+EtPuuuu + rlvAraiTyxxqljHQfcnQuAyc63i5UqlUKpVKpVK5pdhb7W3WRyE0/+Afvu55z3vec5797Lzdnj04 + Y5aGYchmi8Wq87bfbIun7GO9vSfYDv1itTzabJL7D//oj4UQzDnkdG2jLSINgwKv/a7v6romQvrt + VsjFYpFzPlVDpKuN2iSomYXY/MzP/AwBFZg7REZbqnmx2dhEle4xKLO/5jWvWR8eBTKG4KfMNa9y + K1PKAEsuhIiU/kdEZqPrYhBbPa0rlcoppNhXq6qqAijOSaWueRgGVc05l24t51yTviqVG42QhJeM + cZIUNYQ0DOcXi6MzeykzNkJvIwUBhpZy4xLLtWT8uuaSsy2uFKUgMUsMgThPXjzY72Ib1zl1BjlF + jzp9iIfg/bffflG2+5mdqMPWHKASweBQL9bdMC3VPCdKyR5zHuqa7e23AUGXBxjSg3tnNot2EaIe + BMtRiQiRkiDNoN46hGo3sj18nJTE193XIOqgcLQQh4pCTLHJJkEVIlSSUNUQ0DSr28/F/b3mzrN3 + 3nXH0590R3jynbjzNpy9A7c/FdqAsE43XRzauFVuBYkolt9qEiGtxsjQwHomO03j5VOFgk5XOEQB + RSmWpEJwsHfGYVlw2MTzm00nWD3/Offc+4x7vvRv4m3vfPhXf+M9v/374aHzt4dzbU794cMLxbKJ + ixDUHSlZyiVRuota5qY5IVNl1By5KUEAIQiLzbzwVFU1by7nUh2ICgARAUKGH6mkLnjbpRC3DOdF + 73nxiz/ti16Nl70Aq+WFrlvH1rKGttv6gNLLThSL6+v1qMMT8ZB52zX3w+HR9qPd4v5zZy4eYUlq + CIYOkh6/JVcfWHbPaWKGURCRhQ6Yu9ti8cFl0+yvAoJMBR1KdSmlQ6dlfz/aLQ/vOIfUe7cSCSTb + tlWDOeeI1nETPJVuxF6qZqT0tFmBQAXAxf5RiGef+4y//t3/4G3/5PV7F/Roe8Ed+yvYGq12aSAB + GFX1ZBBVSg8thMJvtLM15lpo8fKniSQNXcpHZ8++/6Nrz3RTs9S2rS0al3x6rhehNmhSyyTU0OWG + D59ZHSyX7PvcrShqKP1dKUs/Nc8ZNxEJKgxO6Skh6PaTnvRBPHS0acd+b3QHL3ef6ZIbq/jd1V2g + VKGCzfm9RX/3PgQ5NiLBsrVNi3QrHtXKY8Y13gW6rttsNgcHZ/o+Xbhw+PrXv/4tb/n10t2GIISW + ErxSFD4/EpUOueu6firiK+Ga4nUdQhCRGGPxw75++1apVCqVSqVSqVQqlUrlsYcCNnIxHQWEZbcQ + l3y+J/WgvX29PnrpUz/nhZ/86e94/++/+b/83Psffvfevm2260WrCdmcGtFECJAHS7TYAgIRwBGJ + 4BItHiz2P1bp4OMAcYGLiKhgvT586MKDOEvTgWoCF6JolEEGAKWYcRQ6I0CEUfIxDkZxARmCBtfn + Pv1TNXV6KmcBKteLzWbTtm3XdRcvXrxxaZzV1rpSqVQqlUqlUqlUKpVKpVK54Rxn6XOWIhBsB1m2 + e+3qaFiHttFl16bNum28Wx2uLy6Ql/vL/nAzbDetRjAD0GKLIV6MbfTjSfU9NlEovhoCj86lxna9 + xdERFis0SydCUJgjaHW2rlQqV2QWpCiKFSSHYWiaphR+m9n8EYAqV1GpVCqVSqVSqdxI9KSGvk/O + msRkkTiLkhACiEvjAhLiCKMXrGdBDpgKtA2AIhkARmEXIEIoNLgIddT8F1JAcaObwMVIpziFgCs0 + QCaP2FEeZXR7BIpxLwGhBm+ELqLFdFYFDlJGG9yyU3M5b3S2hkgXwkUVSDoaQJooAFBltFtWCILr + QkRIOFUjVEydQqe5QshAVwckQTQpCHXKo8/ME2prc7BlEoIhAkEETG6jo/XuY5TwtxtBukShptgS + Az55doLiRVsBwOh8SheMx3zybPDdQ2S7u0Vl+ZXjH7qBNfYuoOQiDROAHY9kFRR712Kve/wVRZRT + YzFOYAhOMUCEqiwXy7Hfb9lwJVgMhsXBUyQzBICIIKK50KMYBa7uiiwwuqgqOZqLF69pCS67HUEx + f1WSxz1VMYIHVARDhriMfRUAkOX8KQVJnZeKxrqKuxBwiosDUqRfFVSTQFEwgiFQBTnQS/pyGH2b + PRDBi631aBRsAlckUUq5lk9L+7kJFM2j6B4IJVxoCqqDKvDgCgCCPHpWM6AHk6ErjsvBy/1CiWgC + QVZCqCZYNwpodA+P58Np4gpER/Ax8J8DTEa1DnVXMMz9IQEGRzR1CiAiZCAUEDpFc3Cf2rPACTWN + s4/1bJstXjSGHND5qpl6OdfyzbF7dBMvUwlCzMplJmp6S+qIXAtCiRYpEEVWQLRYU5e7YNG1AeDF + TRxQavAwtXkXomjeiZQbq3LHX1wJpRDq0FwWKw8QcKVTvJyvQHdocYsHYFqdjR6JnLO7d11XnKdj + jCUmndLwVV/1VUebzT/9p98foxb1UpLTjai8HvdEKVnbxmHIMcacc87DcrnYbrfk6JJF8ujoaG9v + r+u6x2A/TytFgVIUEEGPvYfWOOqNJgzTyASuo9xUpVKpVCqVSqVSqZwWioR9ib1IpEDVc84U2fT9 + v/6JH5cdpcVHu0pSRUn/1V/7tT991589/a88JQZ1n7yQn9ARmcslIS55h4IQ40OHF37pl9/8r97w + Q1Yk32WamJrjHmWq4ljt9wpoCO5ehL/JE2dp/rNIdRtdROiPGIW8/Fcu35fjP/3ytwjk7FHgxAc+ + cv83f9u3/PRP/Vt1RlUpopw+bmWJ9mPSvq9UKpVKpVKpVCq3LCE0h+uNQgZLydJrvvarf+bf/vRz + 7r33/OGhAm0bPdswbBddt90aPQsutSOaBxeXvHO9eAThPwo0hsPtpl0u/68f/IGPPPQggabtbOhP + LgfsODpfWp9IAFDgRS94wee96nOjaithi6FM1F7PPbn+jKZxAERFJRxu1j/9sz8bRTd0CHBpPOF4 + IEkHRFTkjjvueOlLX2pmy8UiDwlah4iV04K7i8iulUjxsS75EuWd4gg71wxWKpXKKWHuu1JKxb56 + 9rRu27b4JJXHjGJ3VzwpK5XKdafkRWOsXXJTdSAHpKDanVm+4iVnz91+sEmMcHclMp1NuHGTJiWh + HWOZjBcjUhN1QUAO9AXCvus2Ls4+769i/8mqwd2vNkV187nQNv4Zn3HQtAdHtjR2ohLRSSmUYPCx + UolQUzgUGDOcTwlNCDE2dnD7XzYHHYf9L/xvznzqp4ZorsF0ASCyV2QIwSDeUsSFp8cmFsWu+KSh + dXmlOVSEgEoQlaBBFCHevdyXto2Lrl2uZNWFvRX2llgsQEeIEDjE3TOgqjk2a4m5aQgkt8HNB9cY + JAakFKMGbSSQ5kPuMwLFPdT71yMRpuG4ybG+kxLYmpZZZjYaNSzarcpf9Bf297qDl33mufs+69xm + yz/8oz9/62+97/ffFh64/+Di4X6/2dv2CyICUYEAECm7QBQqorLjEQwRwACFEFD3XFpOzqROdXyc + qqgEKmPqdVmBe6mgosNz0KPl6sNtc/62swfPf/4zP/uV9/61l+Cuu4767SaqL5sNUsbgHc3WAU3w + UFLcMflHXi9vSAJJS5VoKUadStsAhjadPbP3ipc95ZNuj0zuOQUEMuJx7Et5z6K7sDwgGiXpDBRI + HEJ78Y7bn/GNX3dbP0BsupVAOBbmnJ5J/yaETmPaW1lsRHTYpkXXJOTYBmMq6mR+7Gld7hc4TfVx + Wu7RgAOuoBAUTwJofGjQ3C3ueMHzP/mr/7t3/j8/fmdunrLXDesjhdvAvb39g7gCm27rq07LpT83 + RY4VPje2FlOI6KDAxMebssAULrG588xTv+KLnvTQeq9P6BqjuzuhCKcoaSQ4YL4E/n/23jVYsuyq + 7/yvtfY+52Tee+vV3eonaqlltYQlICQEGDSykcAgNA48NgiNCTOKCWaYMWEGwSCe/gAOYwYznpgZ + DCMwT5nHYGRZaIaIAcZgHgMSCIxe6NVqqVv9qu563rqZec7Ze601H/bJrNul7lZXq27Xre79i4qM + W7eyMk+ePLnznL3X+v/ARMyktNWIvuT5u9cf3SUeGXA4ubiRm8DYYRdH3Gc+ZIFNWud+lYWP2M23 + 3/j1X39k2c/cTFBawEozuzixMXv5uJkTlD2zKaMMHWKk7p9zdGuxfeyCt7CWR4sUzQ+R5rxSuYTV + ctja2lmtBnf/N7/8yz//i7/EDFUAUHWHlru5O4GI2MzKbIyIDMMQY0wplTC6vu+xzqC7suctlUql + UqlUKpVKpVKpVA4VZhxCJ8aWPQBdnDGEKXTdkZWu9pbty2575Yuf/5IP3P2ed/3lH3zi1EcW8/OQ + kQIoxOyOnCNopwtDSkIgBgxsQY0Ta5w3h2dy9alBRGYmECF297PnTruohpxZnawoq8tKhPtkEjEy + B6wsSdEUGkkiyVUkuo/I1PH8RZ/z0ibNyWpd37WHP+kuZmYex3EcR2ZOKQ3D0LZtkURcwe2px1Cl + UqlUKpVKpVKpVCqVSqVykDw6um5SOTmQDE0DdXebN/MRtkJuhB8ROkV+/Va3XPTtsOLIYgzz8jhk + YJpUIk9xcxwXHUXApphbx3x0h3/pda/7h3/yLqiFJsABqfOHlUrlyZJS2mg8mLksZpRm79L4fVW3 + rlKpVCqVSqVSeYbjBDgRnHwKNOD1D0Uj7QQyKj+XEBYHiqN0EiWuG+m9KIBdGD41q9MUPTD9SCWX + ESAzd5DDQeyRyKkYIASAO4Gc1skKRSpLRAaeTJzwKdhg8hELHFN2zfSiFOuGefYiVy7/l5SdjEvr + MznYSffFdBZbpIONQE4MjxCouRGcVNzVCMqMzAIyuLBPBtxSwXi5fZBTUz+mJwV4srSuJ2GMIAaG + lepAcn7MWJODu8Xarn3Jbdm3Tthontclmxe7oEtPNQG81g+j/L/ydq9f+z5v9CbaoLwjdsBloKbs + AGRyh+tGQME+HRI8GcfhxOTlmOSnc/8/wS3IfIrdYUDJiR1GJmtreLGoloATXfuBDw8laqHYWEXh + RMqcAWNTAoHF2UBFaw/Ay2evHBsOAorMu3Tvw7lkqZitD0U3gZODqAwCZVxzJzhMi7CEqcQ9+KRp + Ny5ydTAXEzOYwVaGSQQ4076D0qkEx9DajF7kwVirVODFac3rj/MhewsOmmAAEK3sJAfgZI4iC58+ + 7EXqa8TGSm5lXCUwAQRjZ4ATEQABqAzzxAY24nCNa8L503KcjdwJth4uyYnWxmsnkDs4A+UT4Wvn + +sUsEic4lRGhiJSZnMqupk2m0rTHNl9WDGxGdTZAYEbr4ZlM1iM5OxXXMsDr71u7pvf/AcMOLt/u + JQFs8xU5nbyASqKPAVOcHqmxlzHN2VDOSdbjRjkFYtB0uuIMD07kgJZoqvKtOR0bKLFl5eziGu+n + eJoIIYzjCICIVNXMIExEbdsO4+obv/EfiMgP/eAPA/iMDrFS66+q29vbe3t7q9UK6zBTTEp6B5BS + IqIa01wgXp9PDgNW6bp2FsNuyEHLeTpNIxWvx8NKpVKpVCqVSqVSuSrsFwlfMquTUoptA6YYQmzb + n/65n93rV09h3sTdDT5r4jCmn//FX/xnP/hDMI1to6qf/fY/A7jQLxer1ff/kx/oc3IQiK1MflzW + pKvDsgIQ4o13xMwczsREpKYATHUdD75ePTow3DGfd/2qLzOBf/DH7/q+H/j+//lf/JiPGdNaAAG+ + EaVUp3WlUqlUKpVKpVIpa45leVlA99z/4D/8pjf++7e/7bZbbh1WS5LYxrjcWzARMxNtarsOC9zE + 4PKp++/73378fwdgQD8Ok5TpMXksp3UxTH3H//DtTROGVc8xzruZZ02m14pmMqUU2/YjH/vofSdP + liv/EGNO6bGvcx0AurZNff+3X/MV2/MtZFVVoidwiFcqTx8l2fASobWIFOmI2TQMiYiZ1WKJSqVy + CMk5iwgRFT3SxmbdNE0Z4nLOdfiqVJ4GaO1tNcBhSlCGMtzlvPv2S150wwv+WlQABCJwKHW1B6q1 + LpHfICtPZOAscIAC2TjMQTAeR7JuvoyzPKZDldC8DNK+8IU33XzTCW+abKQG9lHgAgaktHo5g+Bg + J9gh05Qa+DmOC/OdC5A+ds/7yteIKzBazinMnNBYIowAACZrAPLDprVeN6pccutmm5+ZCERMBJJB + 3VhGxoI8ESWGChsTWBwgEphz+eMCpiRWLgmZo3ArQKmCbrsupZSGJYBGgsQAQOsS4xNCTuLsxEaT + wrb0bZFzG1hTJkUMjbrt9dmYePvIefCSmVLPDY6+4vPuePlL7zh7DidP2vvev3f3x09++MPLkyeD + pjkTpWR9OtrOWJ3cyUFuDLA63KU0qsEjCYiIgsARkFSJUSY53JAcDlKiDLIQXKKFoMQZpGYDO826 + 7c+59cbPe8ktX/D5eNGLccOJRdd9isSaNs8aNmMGixBnI5WGaWSerAYTxRm5uYL7bDAq7S3Avo6G + qa9KPcV26/NedMNL70RD5imJABA9YHXwQXIkyOm2AUUmkGUhJnKlNh+97o7/4mujqROUrTTtspce + kUOktTbCbeBVoN4oNDFTdtVxHJ1j6agDMDXM0aUFM4cEcogzqBx6BoJBnHg16JHtY8t+0R7B9tf9 + 50ceefDM7787nz7ThObIzta5vbwI4ZQqYiPciktQ8uJphpdvxqfhbVo3MJZqEEM55SDug59Gft5X + /K0buMHuBcxnaFpkB5UKkgPfsCfJ1OvnDs8AAD9GfqaVXZGBRGlq7uYy7h3K4+egsWzEDQBv2nDb + /PmvO96BmkBp3ZcnxuQUJi37utuSzBhJ3Hjqjo/g41lT215gShBBQ+J5zHyYzj8rzwI2M8BP6oSh + bdvVaiUSf+u3futHf/THzCBCpUnV18ERRcW0MVWP41ia+wCklMrj9H1fJp/NrPyXJ6/qqVQqlUql + UqlUKpVKpXINQc7BxAhsClN3d7gZkqfUO4kc275+GBe60L/x3Fd/wa0v/9hDf/Xbf/4bj4wPnVvu + aqPWQBmuuR8TEUjBRc1B0d3VPc66q/0SP1tK8yMxw91s2FssECkhOcNK2ufF+27W3h9FWcHJbgol + YlZCL7eduOM585uavRnboZl9rjw5yjLfk7xzWQps23YYBmYuMgi+7NjUz0CdtK5UKpVKpVKpVCqV + SqVSqVSeDnxqvoIRmBBahgFGZIQMYe+4gYxf+/u/+/5X/U155JEbj86Xi2Uy247RxmEtoyp12E4E + Al1u7XRRVRlY2YoggRyyDpHXxfKW6xj9gEg5bgVifwoep0ql8qxBRNy9yEJEZNPdnVKKMa5Wqxhj + afNummYYho30ulKpVCqVSqVSqVxZSkZGaSkXh9g+yzKxEozIGCbsa+ElyNiNlMUhxg4GTT3fgGwe + gcmLRlfWZl1fO03LM8OV4GJgMzKwu7jBXIQAGMgAY3EhJ4Ap6cXyOC6t5g6AlNh4reokt+kp1s+C + ddQHIA4l9IHI0RjEoAwl3jxmcUGiFN6h9ILD1dicwQYjVYK2TBriktlBDcBwdiEzcabLtCesn7D4 + wM0YcMg0ZVM835O9uIi8S0xMsYM/bbeY8ncuvQWgBJ3e7WkfApDpQOHNq5he6VqCiiIDvvjzvsJH + ss3vcfAaZqfp2QlFaw1MYRm20Vpv3qAiDJ183k/7u/A4t5siUBPnYA7YPvW1bRTdAPKUGyEHuEMv + k8nUThCFFC+1m5LApHxs19ZqLh9zZSM3hrFNOUq0fpvInUAGLx3J5u5GjsSB4NmLSdkZgECcSC2L + EEAGKulXRnBwZhZHVBaDGDshMfI6SyXYlB1jlJyghExWzLM0mbDNigd9PZIYoASjS03CzwaiIaoV + Ka8yMiEzHGyTXJmcYM6T39qZAKPAbo2uD2DycpAAzDBAQZnNxDgSXzMhxI8DexkqAUAZKIOkU/G2 + 8traPn0fgY2MeeT1RD0AK0lt5dFKhsV0GCMxGOgygm0M61aGO7s0qGs6NtkZLpNAmZSQCdaobc4K + QJwJBnaaVNk4TJlfhw0HZ4rlJ3HlKWrE7OK50DQeiBHATpZFla2cR61Hv/UpVfmS8sAAGVM5afFN + +guMYTBQXp/HIDPgnDnAJWgglGWd+pY9LmWyOqUkIm3blpALAFkVQNe0//Ub3/jwQyff8pafAUAX + j/5Ld2kInJI2TRjHvLe3h/I1J5yzASjT4CU7o+SfVjYwIwIIAZEvLPaS5mxZmAC4wQm8bp5RYjls + ufuVSqVSqVQqlUrl2QRf4rR2BqCegjuBOYRHTp/92Z/9+Vkz2xtXl/vgRCTEw5gC0a/+2v/5PW9+ + cxNC4Mm+XC6LDmdA8JXiCV6dE9rZ7E1v/u77Tz4EQFGCKXBxaeczcomf2m3TsF/2rbv5WpYQhM3M + HGCYPVqstomcf7z5sYtzak+K0Mhy2RNBAqdskelf/8JbX/va1/6tL30lkXBRWa83vCZyViqVSqVS + qVQqFQBFL8Qi7lAzAJ+4796/8/f+7q/9yq++8I47hlWficuKZCA2M7/UC/2o66/P3oDi66bFx4H2 + P4sD/TAq+T/75z98+tx5B2azdrkaHtdpfemTAaU+DfiSL3zFa7/6q7umUWJLKeesY5ImHuZLJ1rX + FKFU1Ki+/R3vEEY2YN9FHz3OZaWmTMA3vP71rhoIOeUowS+7YrFSufKICICN81VEik4P6yGr/Kbc + ubQQXr2NrVQqlcegDF9lHHP3MkxthEkiUu7g7qUArI5jlcoBMZWXk8Gx7lGCGBjIStrN91oK2XM2 + gAniTJkO9gJAfOq6coIRtIi02YlcyWbMQsGUjSV7NrZDVeCuxOd17HaO7JmIGmVTaBKYEMyLRLNI + bQ3kBCc/bGuRRNSzxq5L/XAqsgwDNWyz7Z6CE0cLjAgoQGQNHb4XMNWCO0oPxeY2siicHftvM9w7 + 1rUMWMviJkEAtYv9WM6kTAZmGOkoTCKinsekaoYo0sheShKlmXXksKxJEwO06QKoPDYMD+Qoof+l + AYCdyQGzJhLUNY9gigSFE9xs6PPIjm4rLhGHnJrZ8eaWI83L7jyS85Hlajyzu3ffg2fvuuf8x+/X + hx84+am72mHpOQX11kPnHM0bRQPmIbOpgUXNYQSSTOLT4JOdEmGQkGI7Nu0qyAWSPaHUtN0Nz7nx + +c+7/YUvnD33VrzkTsRgIqNQH0MfuA+eoWnYPbF1BL32e6vQtRnWu823Z8w+HWdr3P1KtekUR29p + vyu/KX1wANhpUOWuMxGFji7OonCJcsg+wZdBZkrS2JS/xeQgMMytmZ0Scmy+1EzcgjnB/FB1mJHF + GC+slilpDBRZYhSiBgJf9+ZOlBawq7Wdj0OxJk8duOUXBCcm5y6EvbN7R49sXchpdbx74be+8f/5 + 1P1nAudh98j2Tj8bFyGePXHs7NgLk5YOwXWnzubb36mIrg8KIy7dc75ucy4bQE6DU3v0WJa4AOU2 + OkWwM7OSHZ6PCwHBiczNM5GHwBo5uw0KEsij5zmNyonUZRTVXOs4AQ0To/GYNfXMmHcrd5AbEwBx + sBM5xCA+tWkrwcmUoTw16QMgNW6jhLhY9RyjmVEguMD16r2+yrOZJ+W3VpA07bvf9Wff/b3fX6aH + VUujKsFBADNvVkY2Ap7NzIyqtm3b9/3mAc1s/xzONd5hXKlUKpVKpVKpVCqVSuVS2BmjkBlLkBhB + WVWVBubAYIDTYIFn18db8nJodftLrrv5C77mb7z37j/944/9h4+d/eCFfJZn2RpScwcrWRCGmZEJ + Ac7dtR9ub+TOLsyAGoECKWyazWbA4aXn0af4SkaJ9WNGBsEYajCxhFFNxTho2KLrv+jFf1OGJmi4 + dPWo8swi5wxgGAYAZmZmRXR9Zeugqta6UqlUKpVKpVKpVCqVSqVSeToo1XMGZIABBRoCUSn4pgAm + N4Axax9o5Kbtnf7cLhjb23GxO8xC0alcfKiN9+JytUDkVBQxSsX04yWlkRo05N25c1itcHzbCRlg + XmcGViqVymNBROM4Nk2z+SuAEp3Ttm1Z1SCinHN1WlcqlUqlUqlUKgeKT7mRNlWkOQO2DpuEExvK + LZe8RHYTB8P40/Is1m7jkm9iBATLrWr0PD2YQ9zKv4IAUyRFShgzNCErNCNlsIMDgqBp0UbEBiEA + BC7GTxiVWQ0eWZYxJJYiWla6GHhAPk197DM9uBMpsTOzA0U06xc3vtzT1tZPcWynNHz4Q9tq7NEJ + ow9K4K4bjh7Zuu2mRWysKL2nxyizIZfRp+6AMmO/2pms6EWn+AbCRrFMPimXeS3QfRpuAcN66mn/ + LWBwRumBp03zf9ntpX3a1kfXRoPN+3SqttkD5VXvz544UJX1fsiZoAoYZgAAIABJREFUrIjJy1+n + DXZyAzGKAhYAs4Owv+H/adr/n+kWgNmj4yCU4WQlLmH6HK8l0H4Ie3TJMB1RJXOBxdin/JfibZ0C + LwwmZtEsmItbMDSKYKkxC5Out6SeGGAwgxpcfbHrrqWCkpyZmSiACUd2wDT9kaKd5sxh5GgI5ObE + SjYKG3EmwGk9gqEIZJXdCYBMyT7Ok/gEADCyTYMOJo/s2nbzrNNw0nqOmJ3EYY6i4CEH2RRKQ04M + mIUpwgUgWGMpms6TtRlkDDf4CHIQDbF7eNb2134m4CUh0QZeBz3zZvzFvlwSZSNfz8m7BTdyBLdG + 0ao3Crbp02Rs5KBscIMxCNMfBoidkJlHoUycmTOzkipBSXy9YcWrPaW6ODtDiWx9hEstP/9MFMM9 + wMEMICrvQrEglS9ETDIqdiZnZRil0gUhhmAWzIJyNAuG8kccpCUaZy2oLuN7OVbIgOlRnXjksIiy + 29jAjTIT7LAlBx02cs7z+Xy1WqWUmqYxsxLLZaCtra0Luwtm/p7v+R53/5mf+VnVssz2GDs1ZyNC + SpkI7giBc7bitBaRcRxjjBuz9RVM/rrWUUsiQjDkDAbaSCsKTUBSBgSAWxl5EjM2a52VSqVSqVQq + lUqlcmjoum7MiUNcLpfv+tN3P3Ty5GIchMXs8mJD3b3Mjprj9Nlzb3v729/4Td/kqk/W7PVM5w/+ + 6P/7lV//t4E5mTkAJrijXIQ/Jvt+PUXGP/rnLjbXXXfdzTfffMvNNx87dizEyMzDMFy4cOHMmTP3 + 3XfffQ8+0I/j/imAi+tKRRp2Ja7sc9L59my5t0rZ2iaMY26a8J3f9d1/9od/aEQmxLUOt1KpVCqV + SqVSqXwawlE1ASAiZt7rh7s+ec/r3/APfuWX3vrC579ANc/b2Tissmbmq79cPFVkAQQYQUJ429vf + 9utvf7s6wFiuBg5i+iQuoqeqkmmp/J//8A8LYVj1AiqLsLOtreVqea1oJmez2YXF3jve+RtWCr0A + TVlisJQf8/4EuOabrrv+i7/4Fe7moBhjHhOHa+P1Vp7ZlHzDzYBT7CMbHSzWbYPlbofZPV+pVJ61 + lAGqOK2LtXqjuI4x7h++ijypUqkcLD7VVGPdtURgFhpMV24iFGLLHKBIqiw4uBL9TaWi7etjcjIC + GG4Sl0IAVJwJ7tnd5ZBJGik2xnI+p+AWozjHwVXZiQVAtKlfA4BevHY8TC0PpGqqOhJb7sLAkQMN + 7ikynKOJbBJtnNjZCIdn+zfHT+lU2n+71Aye+irI4TTdKryYuQkEIiIqcr/IodQdl9ggInLAYFEY + njRlIwRmidGZ4AjMcE+jAoA7gZ0IRAdsgb/GcXasB5RSsU8wgsDMDUHcsrmJBHfPU0eRNbE115Vq + bx5CgwC1pASedXHnWLz+5u72F976Rf6CBKQRew/i3EmcOm2PnFmcPtefO5/O7Y3L4fzZXet7DAma + PWUdk7uLUJw1IhJiw10Xt7bj0aNbx47Tzna87rpw0w247XNw/Qk0TVkFX7Xh4Xm7iqEBwxRm6k7M + QtxJWK1WDbibbWXyVtqjgnHMDp8W3pk3l2yl0P2K7FHxsqrOxQrsgJGRIzJnx4UmLAOpuqMVEZtC + sQ7L5/dycbC7k5tRaRUlcphlhFnPUJqK34stgt0YdMgc3pLy2O1sS3bLnsckxBJoNa4krL8enIr8 + 4upPNX4aBLAbucHhjERUnNbsjKRHtnf6vk/CcvTYCPuaf/mj2N2DKNIAmUEaMPmRrUdm7UqzxE2r + V2nPsak3lg62f8GIpxF+7eeeNqNtz/SrRco+a302G8Ys4CYG8/EwfV7YFByZJZpldYU5s4gQnNdt + xeut/bSG9Gc8RgZYVm8krMa+6doE5SaAKdskYufNvPd0mBXT+XRis242BMfoqpzH2IYmhnN7F7iN + MUbLVvtrKk8jmxiGJ3VnIvrA+//q277t2/b2BgAxUkoeAqc8TRrrY60NiYiqlunlvu9LCx4zq2oI + oczSlIS6SqVSqVQqlUqlUqlUKs8wSspiE6KTpZQNCgEJq2XmoFkDRzbkhQXqZrKtqxx961UveO1f + f8FL3nv/u//kY3/wkZMf6tHH7TB6Vu/h5AQnM2IBxXCt59uXCERzInNlpm7WWFIhXKw6pbKiPc0/ + GpVlDIYz2JyQywSPGBI4eWuzG9rnvuLOV/kjzAoVvqwIzcq1hYi4eynpLNNuWNd2XkFqZVWlUqlU + KpVKpVKpVCqVSuWaJKVU2gjNrDQQppSKQvVqb9qj2DgkADChlOApIIASAgFCcEAhwuCIRnZPHD11 + /6kbYqsYhmWSgAyPuDSh7wpWozpBDTHg+JAwjnAdbGy5q/WulUrlM7JxWmOdVbH/53Jby4grlUql + UqlUnmGsa1lQGs5rnN5Vh93Yi3kRyuZTogcTYGaRIztxdiYxghqYEIyEBEwZMHIjJaJJKktm8KDW + mc+yzbPTuIINOHcaj5zByYfTydPLh0+lsxd8b7V45FRaLPrlUtNIRMQwd7csICFmiLtPGQpNy03s + ThwPR3dmN5xon3N8fsP1uOkG3HRjvOHEVgipaccQ+sAD4iiBIeRCybtmFkDj2CdkbkTJTRVOgbkB + s3tSBcGFmZnc2S1p5hBGT5GFsscH7vujN3/nHYueVAxIZH3kvab5sm/55p2v/aoHactIxoBp2qZU + 710ORuwsMCfNzFwiR6AemLO6c3ExMMzhRKUJeUrooafnT7GhenmHgHXTKcMBsLozaUnv2HjByTcm + SDe4UjHYwgjuSubMTICriUhkmFkeU2AhImEC4Ch1b+bETuwHlnjAzjO0y+VyNt/SNKjl2LVjHkKM + Y06YXg5oMrUXq/ikEj0sf4gItPnwOvkgADAPrWdVhpvN2tnYD5Ry27XZ0wHtzKcAw5wMZs5izuQM + J1YKRilbbAITaRrdXRppiShZGNIOh9YpDiNUMYx4+DQefHC45xM4d2489cjq9Knh7NlxsTusekuZ + nPclZkzVkw5kIpm1cWu7Pbq9dfzo0etPtNffEI4d7+74XNx4E47vQHwgXzZM3IwKOBEJEYFQjLLl + owrnNkaoqZqIKEhdKQbDaEXzXvzcBvGLupNnCUpIEQwEhTjEEJW15NFIGMeRWEKIqmpgFs6ag22p + JZuJpFWzXB5Xw/s+et9v/s7iEw9uAY3lFOgRYfv8l3zud77pnmtf01tyqdi5pFOBOBOM4MRm1oiQ + o7h1HYix8SzkNkIDaUe8ZbazWvGyRzI8dBL3P4CTD/enTq/OnB33FqZDvzpP5IFjjK2ENnbb7c6R + sHMsPPd58cSJePNNuOEEZt3AeSkyRtPWl2PfhIisDG4Cr4YkMaiwMSXNbh6Ds4LS2LZtb+tvpMpj + YCF6n1YAIosRyEGITjA3J3cwwabEbYdAxMCqUa1Tn6tuqcmQkEZkxZlzePBBPPRQOnVqOHtu2N1N + /XLVX2D3stwTlEoTgRKvki7n89M781d/238nt998us3UzIchRZYaU/IEiMgwDCVh2cyoJMEBDXO/ + 2JvNu3Ec+371vd/7Pbu753/t195GHHLO+xcWQwg5Z+BRIq0itMY6aIOIynJkCf9yd1UtFf/lPpug + jaf55V9dHGCR6YokBIglMQjroAInp5I5SA5lOOAEOWQHc4lEKbcl0Hbzzu5v3nD3cRzb9lpvc6pU + KpVnCCWFvHzpl2//Mm6XaqJyn80vr+6mViqVSuWaYBzH2DYZCE3zlp/6qcU4OJBML/fyZbosBRnc + gbf+yi+94Q1v6JrGVfM4zrtZzjml1DQNqNzzkF0gPSU+Pax58xU8juN8eyultFqtuu2tb3/Tm2az + brnqrYRXbqzha7M1i1hWADFwzhYI5igz++WCvWF0XfeaL3/1y172sle98j+78847t2az8nSba7ps + pqoxRmY+ffbMgw8++Lv/8fd+7/d+70//9E+X/cgXrdYAsbqhvHFus/l8tVzue2Gb+61f1+PvhOVi + Ve4/jLnc3nPffT/4T//pj/3I/2QpJ81NiDqMRBTaRvWx9WaVSqVSqVQqlUrlWYXaVALkTmoKQla/ + +1P3fNXXvPbf/NwvvPLLvnSxWjYhhiDjOBo0hDCtWjqK9bmseG4esFydPbUygHJhtVkDZeYgodT+ + TQ/OTETJNBCr5b/4y/d97/d9XzYYEDluXsskNXmsRDwWcbVSXsGAAN/+bf/4ZZ/3UnIwEZXoQSAN + wyF0Wqt7qQw0s8ACpiGNJDLm/N73f+De+x/YXNgCUNVHvQnrq8vphRN99Vd9VRNilJDHEcQSQzUE + Vw4Dm07AqXbUDPuE1u5e1mUOKAaxUqlUPns250WbMaqkT5S/ln+tw1el8nTgPLVrOK9r/9eWPndn + TpFHgJ3gzsIk3FiWgzslJrAZwOTmNG0Rm7G7uDlRdksMZc40lrJwM7rcrqKDgx2NGakKQYjcDQoR + EFzJi2EVbrS+M/BYS3dXDyNTzmCI90yUzDnAoMwsZgSLBvZizCzLgXpYdj2A0kJFkwn10lum9c++ + +T05ghH55j0ofncygpdTa5/auuBOUMAVzmAuX1QOZKzXJNlousqe/lMpZ4cdJg3q4cIJibD+KJg4 + xEFuBGOCagLDBRnZBC6kAKNBJvEQ3MnhagYIGoFxRjkwB5FhBp9DPMTjt4XbbhaDuM0M2w5SwA2+ + WYQu/Wqlx4DgDYgxdXraNAYJjDCwZeYslhlKUOIkNLIDpm7sYEgEs8LARjAJIwGAOMhUFDOQsjuV + Fqn1BM6VO9sRR1AmIDMyIxOSWNFaqxkilNgJwiIGn/oGja/dEgg3AcygZAg+UCKHMJsPYGEwmwNw + MidLQcmtUT48JfFKZE2zNDROAnRNY+45axRZz1mVwovp/lMP1CHC2KfhNBMlCXBulaKidCaSACLL + QZvZznnPbTwyhhHYapQdYSWyDGEl0NjAWJydS7OPFb20Ew5U5z113WL9CSBjn36pZmjbAUhMGeZt + CIHUrIEd4PnPZaJkqQlKDBiES69cNAQDAHb4Zr7Xefr50JwsPS2YsRMMmrciQzUyu5k7ImCAkyoX + rc505gCftDFiEOPSOEYOqApQWtDzsJi3PIpmK+fNz6pdWjk8XDyx3AiqiYg5MPM4jl0X7/nU/d/x + Hd95+vQuACKk5D7Z2pmIgKmGf9OUt3+lCUBKCUCxWQPT8tazre2uUqlUKpVKpXKglHCA8nPf913X + bQobnjwxxnLuWgghlNPaK7mhlcozi9I9V+I4uITlVCprnAxhVGdzEDFTYw6YMQRmkQBPcI7MAGkm + gjTU6oKONs/94luec+dNX/bxhz/8Zx/5g/fe8x7aWrr0BNdSxqYgovaZEHFvTubEaiaEI1tH5u3O + +fEMdw6aalGzZyIiYYAseYzi2cwttjBgAEjA4jpiB7O4nP3t13xt7LdnvI3RWVC/w64hSkpVSokI + 9iTOYTanKPtjNK74hFvVWlcqlUqlUqlUKpVKpVKpVK5JYozuXpzW4zg2TXM4nda2KRp1EBAnh/W6 + WaTIazazhczY2nr92/7dJ770K9PDJ0FoOyQTNzLSi+X7n81iDU2OohLwsJluNIIPw3Gk3/07r3vN + e9/VcsdASqPE5nEfqlKpVCqVSqVSqVQqlcrhQNwIKKrRvG4pZ2cGWTIybpgJlHIGODaCbCBXdycL + 8ABq1EIao2ljObphHHH2/PIT99794Y/t3fOJcx/9YNf3NORmSG3yebI2eZPtlhA5Gzz7FLtIBjiM + zBkkoE0uAlFAiOfvuS9HWXbhTMBKaGyFZt2wNT/6uS8+csfzb/3cF2/d/jxs74DCApJYJM72zp9f + urVbMwlxpUnJ2rbruNVkntUdkYMRElyTyrQdcDKHOTyqN+fPPXexe+fZJWUYWAWrhs+Gdn7qNMYk + M1MWB/skry0C3cuaYjLLaIAdiK+GAWMbm84ZOZm5g0cBO6IpwzKb0RRs8XQypdTgUk1CaZNWzyW1 + gyClRq24iZMpEzOBhQylI92NOUZSVZiHwOSWRxXQVtOZmeY8jkoEliBBADG4HmSbOjl88J12x3Ju + QlxdWG01Da0G6vtZ5PLC2RGMxXhtKD5cEOBkSjCCuCmhcQDg1cBBhiiD6pC8CW2QRi0ftlJuzy4S + srnmHDl2sYvEKaVZF7OOrDpnb3KKu6nLmdTBjHs/fvquux7+5CfP3nvv8v4H/OzZ2TCcYJmNq3YY + Z3nccgsOMsDADL7oPqaNRiSDTEj5dGIfyc8SKMQ+tqe57Y9sx1uec92LXvCcz3/x8RfcgePH0TSI + Xe9YsqfYJBFjEglNjCKyuLBsQ9s17Wq14iBNbPZWy9AxyIq1mBwMK+pivYZDVi4bI+T1vnfjYCBn + dhiYlCJHCpIsp5xCCCIxqMU0XhdiOL+Y753DvXd99P/6v8/8/h/fOOjRZZqbNjmnGMduNl53XBa7 + 2O7Ahy6J+HJxWk/1OwMgZwbUMQvt0K+IKARmZg4yXLhwIsSYcrQ8y0rnz68+8cl73//BC3fddf6j + H2sWq7Bazi3N1GPSJmnL1kVVQAA3MqOF0vnQatudz1h23Xh0R66/bvt5t9/4ojtv+esvxvNvU8LQ + xJEHC6En9AnctEayGod21gUJEDNNkXhrK164cJ7btpahPz622Du3NZ8FZksZYHdWciKmGN2UXNm0 + UWvcYtZWLaqFsuqyu5fv/dRDH/vI6bvuHk4+tDr5UOyHdrmIwzgzbd0aNfI0cyNMUS9i02CjFI62 + Ww8Jn73+CHYvzP2GM2C1JE1Errqjp0IJwsg5xxgzbLlc/siP/EjO+d/++jtESNWYueu65XKZn3AP + b9oXy3Lk/uDmYRiK57g4rcuTHsKk9QOlDCYB09Jn+brkddwV+aNOXw5TZOKjKKkrTdPknMs7uHGj + llsiqk7rSqVSOQyUgXr6fs/ZzJqmGcexzGmUaiIARFQG9qu9vZVKpVK5BnACMyfVpHr3vZ/6kz95 + dwhxyOkz/89Po1wwMrG5C/Ce//Tej971sTue9/wuBBEpl5BFDrROgbzCr+WQEEIYhqHrOg6yWCxm + W/OtsP0TP/WWTz1wf68KwEsL/RSxz1Btu27oe1hZrUAIwfLopdLVAeA5x4+9+tWvfv3Xff0rX/nK + KCIiDDIzLtNzDleNxHAEEIGQFQHHd45sd7PPfdGL//F//62PPPLIb/7mb/7CW3/xgx/6MEqwLKaa + YxGxZMVpTcx+hUqR3/pLv/z1f//vf8kXfXEIIfVDmZHu00jyDH3jK5VKpVKpVCqVylOmWC4IWf38 + heXXveG/fPN3vOm73vQdyc2ykXAQDiH0fW9Z27Y1tZRS13VXKkG1TLq6I8ZYpl7HcSzyrbbrhmFQ + UzObb2/t7e2dPX/+m7/lvz27u4hRLGlZE7Qn3hKHqW7UzrOmue2mG9/8P34XOdhB+1YTS7nXIdPq + THm1OaemafKYsmkIwYkg8lu/89sKuLutV5DLte1jwgR3/3t/92sDs+UsIpryZlq7UqlUKpVKpVJ5 + psC+9gETsD7tBzCJNY2YHITSQAGbTJulT+IK35ZiRgBObGAHyMsmGTvDoXQxcIUxqWSvxk57XMiL + BRzKTk5ODicnLoZJAnjqMOF135AdxJ58arcOLjX2Mu1wAl0suQdKj48VH64RsD5SrvqWT9tPbiDH + k71lcicAU/nsRQkoMEX70PpduogoUSmsLR0rm/tLeRhn+Lop7NBpaA8XRnB42Vvszm6lFag0CU6q + 0fWcw9Q/6ISioS36WxeQO4GcqRy0sEycxUZmZWNvCMYOgpGzuE2aUqA8i3N5oPJcZNTAiQByZ4C8 + NEcZYEa+FqDa5EAF2CZNsk/HoJExkxXhadlyBaS8LLKNNvWAKIcbbboCHYzMcHEqHaxODGMA7NNB + atP/u/qf38v/vihjFpTdyCYTuQNgciNwNBDMCcpmPh1U5Ifl9RY5+jpFrLwPRtNL2BxUvB54DyMM + A8GYDWxgEJUm39LKp2xGLAYHnW8iSBNHANGYnDNTJk7EDsCZptZgW+8Kp4NvKPX1FzGtBcZSXhHg + gNL0fb7BwAS96kfONNbgUd8vDiYY28W+D7FpmDIq4+ezEudyWqsMn8aI9cllGTCdGabT0GlYH3Wb + QwKAE5l7EdNcfht7pXKwlHWKnZ2d3d3dpgmLxWJnZ+f++x/8R//oW++++16iqdCRCCBR1RCanHPT + xHEcAeSciUhEzKyYeJh5sViEEEpD1tV9dZVKpVKpVCqVZzxmpqpd1wF4CkU45azV3UvL6n7FdaVS + qVQuGzK4GykgZZqdnQwMMsZm2tEMARAmA5DN3YMP0mh8Trt97Jbrn3vs9ld9wZf/x/f99oce+Mvd + 4cL2TiQOyUY1b5oG1/gspbuykHp2AhEd3T4RMTsSju7lc+7IGUHQzZtxHMdRYwht2y0Wi1krsYnL + ZcqEZl72MrYi0YXw0s95xeff/go/FxpqlZLjsr8KK5VLqFrrSqVSqVQqlUqlUqlUKpXKNUlZ9gsh + lIBaVd2ExR8yGAB8XerMaBhp8w+0zyzNABE0YPvI7irHdmcczg8rUOND0jmLkSk5ADGgFATT9PNl + QUC00pKBdYUxzHB8a/v8ctXs7UKVPWvOs9h9li++UqlUKpVKpVKpVCqVykFDU9u8lf5zZThYHDBu + Y6BsZOqqEoljHF2XYx9FiJjIg2qj41ZK28OIfsRDD+Ojd536iz9/5EMfSo+cjmlsyK9zvlGdk5F7 + cArEDYsQJNiQlgQSEBGZO0zLPIc7iIpBCFMmh2dL+UhojMlHjL2OrhnuMljYy588ved/+JfEdvzI + kTuef/PLXrb1spfjjtvRjN28XYa4RBoNxsIU05BURwEFjixsatnNmWOMPCoThMXZ4GBoVB3OnRWC + AgFguBOCeZPVz+0RxUTRvQUYSORgi+RwTk++JVjcjnbt+PCp60wAwAeMAwzoR0gLYggDgBZDb5E0 + 0NWpjGSGOwhwx2YajQUSnUgZ6pZhGa5CxiKBFTA1z0YOEAKRBx76RMKBRZjgTICZpaRmCKENrRiQ + zUczgxM5E7EfYIu1WgpOw9DP581OlHjm3HFyCGG1vJhpY7xOHzlsKUPrY40B2PQGhTJt2GGVltC+ + a/b6QQ1MjeaMeJhyaJwltprdhWIbkH0x9KR5UnLoivOwo9YOhgdO4T3vX773Aw986H2+e0Hz2HG4 + TdXHIRLttO242BXkaBQYIgEwdzNDdhDZ1MbvXDKtCIhTdI816p2bmZnnlpY7TaP97njmweX7/+LD + vzrafH7iuc87+oI7bviiL+xefGf3vNtH14fH0ZrOKSz6FbHGNq6G5ahhZ+fIMAzjqj+2s9OPK5sS + BqZ0EsCcsG8+91lBCUgYmcEQY1lHMBDBgDSuQhvm83ZYLZeLC8e6ZocxP30K737/yd/+f8+/9y+a + sw/fnsbgmYTMPWeY9g3GOC4bpK2wlc2u6V1aPr6+lp2zcTBiB8zycnHiyJYhjZpU82q1urGNxxdn + cfoc3n/X/b//rvP/6a/k7G7UvJP7E2awJIQ2oHWWbCUFadxTMJhBBCXvALXBhnGHQlqtcn9OH75f + P/SB1e/81ifbrt/euvXVX77zuq+e33CsD5Abrj9PvByzubXNzEcDjNiEfNTVuLwQu2i4tvf/gSKO + 47MuOOmQSL2J20ZYmnmQZJk8i42tjUctbw0jVj0urPCev8JHP3Hfhz9y8p5782o1g3fCR82QRrYU + 1QNp4xzgAQBTAggINq0OgcpSkrPmaOzDgBCZA1HUESJUI0s+E/symApUzNNQzW0zS2mcd/OFJlj+ + oR/6IQPe9rZ3tG0chrRcLgFsbW0tFosneAIiYuairFbVpmnMrOSSj+NYLMjMTM9UI9kTYgDAChMC + wOQ8nQsQAZByBkpgRyjRe1dtSx8bZk4piUh5W0MIWIeqlPCUjdkawGKx2NrauspbXKlUKs9uij6k + pFwBaNt2GAYARFRMoiU+YFNNVL6mK5VKpVIpPF4+L7MYvJ1t/fwv/MIqD0QMMIuoXm4eDQMgYWRz + hht+4v/4yZ/+ybfkvm+bZrVYwrzkBhMREeEgJ8+fZvbvWzMTkWxKwrFtVsOgqm/51z+dVAEwsZYX + TgRnZAdhWPUAzK2JoknHfowCAWX1O25/7jd+4ze+4fXfcOutt2pKRMQgNzdVdycRZsbkFHc3FeYQ + g5lZzoG5nc/7vm+77sSRI9/8xjd+y3/zze985zt//Md//C/f9/7eLDKpuasRQMQGfxyn9afNPJTX + +/jTAAas0vi//quf+MWf+UI3IzeOgbgUENO+h6hUKpVKpVKpVCrPTj5NbbVeEY5tTEP60X/5v/zR + H/3RT/6rn7jh+ImdnZ2+X/XjyMxN14LIbRJRl9XJtRLjs9gaMxGBefENmBmYmxgBqGpoG9cMxCHp + 6fO7r/mKr3zw9Kmy2OrAtIRquFiQ9liXOyxiWRkQII3jW3/u5xsWXm/1/ovKQ+joMndhdoeQJB9D + CCKS3Ia+f8c7f6O0jU4moydc2XfHjSeue9UrX8mgnHMbGyOvlQCVSqVSqVQqlWcYRetYLLHsxl6U + xhArvTWshGAoxlkAyiFPVfp2xW/FDWQAJxIjViq1uypuoWS2OLOVat4cTQFkPmRLOB4M7AyjSbJr + YIcVIXcxUgoAkAK+DoI/oP15ubcEY5NyAMDZEZywtvAyQJkCIMrsZIYA56L+vepbvtn+okdlZ3sy + t5SNp0Nu8wauRaqG9cXvfrWqUjCfUsGVbd8/GcqaIhXb+sXfHq7j83BhRRwuNg07RSM62aAJTiBa + f8KdJwc51Bnmk4a8DF9EcC8NROWNsOAQLf2bvNGQb+YCUDzWU4gTjMypDIYZVKSnxd/O5RZgdsAh + m56Ui5MhVlqZjBUAoADYSQzuDLCBHZSZAQLpAe5NQhIDeDNt0SgECGbBWAksMEIoe9vMyTIHPbDx + /KBvBRZK41gJAnOQsxjDCcxwBJvctMogZ2XA48F9f13urQO+ekfAAAAgAElEQVQ+HZCGfZNN7FgL + rrlklD3R1NVVpXxIE5ERA8TGWjzRpk6WGQBmGUBIxEBgEwLIGAAZM6EYU8ntoA3Wn05RPvu+vUul + /1HhREZgQiBrFOQQBzkrhTyZyK/+8UOwqMVPb47pIG/V2KE0dVMSoKVhjgDgQJuFDx9cWgcdyIzE + 2HwzCwAYHOImBkCcLu4ZIwaKUR5O5SBngikzw8hRasgO33R45dkHGYAgzXK5XC77EJrFYhVju1oN + P/D9/+QDH/hwOZPZnIYyE5GUcv1xTESytTXb29srCkAAIYSc86bVrtgBn53ddpVKpVKpVCqVg8bM + mHkYhrZtmXkcx6ZpAFzu+WdJti8VUKVldT6fP3HuRKVSqVSeAOcMbCYTLi5zGTmQUSYc3eGmHAGY + kJMZjW69DDwPze3hhbds3f7XvuzzP37mo3/4wf/w3k/+uYVxe76DRO1sG/lqvKorCjMnK1prnjc7 + L77tC95z326kJXXWtbxK47kLY9Og6yQn7/tx3nbu/bjUNoRZM1vm5Wqls4DOt47zbd/wlf9VWM13 + 4rHl7nJrPu9tvNqvr3LZHDa1TtVaVyqVSqVSqVQqlUqlUqlUrkk2sbObBHkAh718zQEDESKtWwuK + FMUBggJMTO0MPKat2d5q0QBtS8l43kRkhTPInHwqYC3l5ITLKqeeCs7dGWRg0JTAx4R+b29LmhNB + sOrDznYAAINzrX6tVCqVSqVSqVQqlUrlkONkRusIg+KQcwawWC1boi5EkI+6dAMib7ccxyGmPDPr + 1LFY4u6P3/+ePz/zgQ8uP3bXkX7c6fubU5qpBVcAZGBu4OTFUgwzOOAJ6EjWoZgGuK91s8A6HAXg + 0nvrJs6ae2QwqAM6gECUlQbLWBEFa+Li5NndR85/4r0fXPy7f784tn3Ly19+6xe+/OjnvfTozvaK + MISQQuwtc9cMOWXNoEghEMjVUkoRwu5KcHfAAnxmtjpzJijYQRCHszs7oqPf3ZsZOwJfNEwbYJc7 + E9Kot2ce+at3/san7r7/mFH2VdYhMAUQqzhoFIZzMGOYsV5FkV8xL1IQEYkxhhBCCOCYQkRsJbbS + Rm5i6GZh1lIbd05ch1mL+RxdAw5wgxmEBxZvQrK8GhcuoZlvWYirPFKUZDYOaoCIiAiDTdPjekKu + BE6IXTPm/P+z9+5xt1xlnefvedaqqr33ezn3c5KQGMI9ChgFREBurQ0qflSkR4T2gjjddts9Om3P + jOjHGT40fnrUnp7x0j1jY9tcbUWFEMMtJBAIt4iAJJGEXCDkSu7nnPfdl6pa63me+WNV7Xe/yQnm + DTnn7JOsL7DZp969a6+qWmtVrbWe5/crSrN2OpxMLvlvb9s/borJ2FVspKkmlpHZKMmOCKsdzyLt + CDJKNVABhrJZZDQeBipa3iic/66nPfVlLx0MRw2JkMA7Wy75CG6ngctKTOvp1Jvudm6dXNXUrqkR + W9x+y9cv/9ytn/xMvP7rp03kkOL0ZjwgMBOpJYN1Nei0XnMcTc2gEQJVggJm8CnCsWuYitS7GMTU + BCQgoAKDmJgAaNu0TQPgtIrZF5PxbHbNV6Zfu/Hzl1462793dO65Zz3/+Weedx4OHhLPY4dpQZEj + hr4N8fD4cFUNi1F1dHNjUFau68e6E95rTDyGYOuqpnQKO2wGr+nSRK5cxUXbTOLRdj8X66a46+7x + ddd8/oIPus995YyN2aHNe1ZhblTN6qgFQCgFCpjGGCNiMGkIxSl9Sp3BCDEpTRgTgdXYAJX11fLo + xt0F6whYkTDkEjfe8tUL3nPkS1e1X7npUI0nBCrqRtEWXIAsIkYyUpDMK50MwaZmCiJjgrFZulNR + FAG0EzpJQibTQfWN95z/mfe+d/07nvasV/34rvOeWa6u1KtrYxgxpk27ujKazSZq7dru0bieBDKo + 8VL1KEtGaFoBAcxMrcwc+RERNTMO7YhkRQImR3DLLbdfddWNn//C5JrrztmU3XVcAT2VqACTRJUg + EgvnQMaWTJFk7llUMAC4rUcPS1I8Ju2gqgZEMNUQ/aASEIGW5t51ikFEZVnWdU1Es9lsdXX1vvvu + 271v75ve+MbpdPqRj3wEBBico8lkkjyqj7mf5GosIgBEpCiKtm3nP2Fm88XKpmmSiPkJO8bloVPn + MXCSpezvnm5Bbc2ZArxsSvRzy+p0HZumYeb5lmQ1VxTFdDodjUbZ0zqTyWROOslVJcaYRK+apnHO + EVGMcTQaAUh9ODNPJpPcb2cymUzmISKqRrj77rvf/e53V0U1DY093Jl851yMAQAxF6X/8798z2/9 + uzfvW1s30cJ5EVE1cuyYJelRPxoREVf4NgYVVFXFhHf+6btuuu0bAJih/ejbEavBAO98jHF1dXUy + HksQ7ziKRsHacPCrv/qrP/czP7N37962bSUEBhEIot45V3oAGkWjpBE9OTJRVfPeO2IzlRBFpPR+ + vLGxsrJiZpOjGz/x46/8/he/5OJLP/Zvf+3X77jvXgJMpWAXVACwdyqPjPw3e/eBiz78xSu+9Lzv + eS5imNYzMyvLcrlkBjKZTCaTyWQymczyYEwOdRPSaPGTf/O557/o+/7Nr/zP//qX/pUvPRM5UOiH + Ob4sJDxi4nyqWpalmoQQnHPMbAYRiSJwHEJTDYci+uVrrn7d6153z+H7mKCqBjjn0ioqu8LMTI8R + hUDJzQ5kgGOC2u/81m895UlP9sSURnMLFlDLSVpGdMQiwsxVWdVto7Crv3LNV2+6hRyZmCVjExD1 + 0YHHNBv7kR/5EQY5kIJUNQnjnqx4wkwmk8lkMplM5nhABgZi/5A7f8xnwCtHBRGcwSnI1Ahmvguu + 7R6MH8lXTaLoBCUWYiVw+lFAGTAWcork4+jZNHkvy9KMTciYjZVSkVgBBjOgvQhMb2JK6b2BKI3A + gKV4tRTnHg0KgiWJm/7PmsZNxEJsneUqsZzsMi+8GpiAlPLzUF4BNlKjbUO8uVslGUBgQLa8kHnb + eNBYOy9MZQNSPpRBCZ0Vs9G2z2e2w1CFscGhsx8mdH2QEKV+IOX0kSGZkRupdo6tCaXeyLzXYlIA + bMqWDJ7nIdApUJoXcp3mbq9dHSCAUx3vdk6d5blh8Tp2lWdrBoHBqgSDgFRYGVBLHzPtZKs4qU65 + 47nsbICwptIqUleKQqxQUPKBNrDBCwhwpsevJz8xr7qo3WVgc6737zQwiIWSR7kCzAbTzij6pJd8 + Xp1cd2kAdLV64W7G6X7BXV/UfQxLQ3KCF4IyFHBqgBpZagLoM5VZGWBmEgZpwV02c3ehWAHS5Gef + ToJ2R00n4FANSH3Aot9zKhz3n2ADoKwwghHLUtSc7n7tEJ12t6fUtzjleap1qjzL84B0wuGuJhop + mYKS3B8bMH/usq4NGvF8tjc953QPOQAAMqR7RPcsRyBlkD7GbMIzJx19wBsAmM1ma2trTRNEZDAY + SNTf+PXfuOTSy5hRlGVdt0RwzscYk1IBeqdA59x4PCaiwWCQ0veSuTURpbWVHCmXyWQymUwmkzl+ + MHOMsaqq9M+5vMNOn0KTlTURaR/4NJ1OU/rqI1fYTCaTeQxBlhba0pSibs2upekIUk3OGF1sKVSV + C3POVFmjamNOfKHF/tH6yoE9T3jZk2+46yuf+rtP3HDTDapaxlWyU7t/dnBmMAKzM4G27kXf9f1X + 33hVWdHm7N5Z27gBRiNEQ4zC8HCOHdoWZGArm00R4wMr65i1g2b953/iXw6bPSu2QgIxVdZlmv7P + PCSWcALt1G5jmUwmk8lkMplMJpPJZDKZxyzMjDTpzOyc02OpHixyku2uaSHc20CAo7m7E4w6nQIB + a7DS+cPD8ujI79pEKSYxsnNsDKh9a641Sl1wOfcRwwoWFgBQDLxv2panE7SGI1Osr0IinM+ZLZlM + JpPJZDKZTCaTySwzQojciRywwXVDf5BhZW1lNptMUVeVh5hIA9NiVu+ZNUUg3Hjb5NLP3PGpz8c7 + 7vRtvdLWe00L0orhTMUgBiIwg7VNOzUGg4jARkRQFdiWdAInUUb0ueCdy7WBkhKEsfNI8XTpz4Ba + VBiDYJGDDkmd2VoLmU3D4SPx5jtveu9Fun//ge867/QXPW/4HU/FntXNkmaxMcfRUYSoOYJ3RVVR + QbNgJoAKIjktjKoQ7r3jXh+ZBUylmkYEMyuMms3xEOpMvShg4hsjVScwxzsJ8RrFdq2ernzx8/Q3 + Vw/bAGrrdlyUzrOzSDB2TAAXqgQz6kUo7YTOt2hnMc5mST5U1awFWgBMwt6Y2NjMoimM2TtzfhYC + So/hoFwdre7du/vg/t0H9mPvvurxT8UZZw72715jQzOtQzsbeHZuBqCoCi7NiATOHCV3V2uP68FF + kkanwwGXTe1iOPqpz+47PC2PbngHUDRSMi4jk7EQg7RCuzzexEpkVnZtFupMHQMOQp6D01GJ0tb/ + 0QvhMCENGogdg3ZURY83w+GwlagWRkNeAVYm49Hdh3HP0fHFl977pSvvu+7aYVs/sXBVCBxCZVYA + zgFqQQCgcMREFtVEGWyw5EpCIGMCTDU+8HiN4D0bDAKBmaUGBhix994MJmi0bRomDBiFhDV2CBh/ + 47O3X/Lp2/YfOO1Z33X6i79319OfXO2qjgw8DYaurCbOGmrIlVYVAjgDG5MlHSskiZmlOvnHGwJK + gdiWXBJZmljWmsN0enRlvVgbyPp0OtpUfPHam8+/4MiXv7hnMtklukZcFGYBMm08o27BjqDGBFZ2 + YhBV1VN67tkZfJqvd53yWjo/RhErfDhsjvb6wXiyb6a47e6NP3v/1z768bV2fCjWRYhFiFByjpyZ + WUsAMbjrq0EExwCVvX5SVDNnplA1SH9BPMM5gAjBRMFNs89hAO++dN11f/e77pxzzn3Vjw1f8tz1 + /bvuG+A+3aQ2jlYGzSyO60bKIkgcPGqNkx4BDOxGK5PZtKhcVVX1ZFw24/1wK+MajeKaazcv/9wd + V14xufVmPx0fZB3BBkELhTM4UxjIUDKooBBiuqygdMngABA0pEen1K0o+qUkImUYaYS0LKGAqomZ + 5LyBHbCgO5Qk1H3BZqYiImH37vUjR46MRqM/+IPff/3rX3/ZZZ91Ho6dSFwI9J/LyHSEEMqybNs2 + 2WfGGIfDYV3XZpY8j80sGWfOUx8fUzgkzTQABOOkZ6TgJK+jBJ4/kQK0fJo7i57WABYvYtrStm1Z + lqPRqGmaoijSOnUmk8lkThZmVhRF27ZJ9Aq90bVzbjqdikgyAhGRZF15kuOFMplMJrOU0MIsX79k + Yb4q/+zdf354vGkAk4djiTu2B2NmEUkjfDGNdeuAt7/97f/rr/ybpm5KX0DUe68wMxMR7x6d4wv2 + TkS890Fk0tZR5D/+/u8xIRJEMZ+iN7O0siQxenaT8TidDhEtPf30a177m//brx08eNCzm0wmzjnv + vcYIg2OWGFoRIvLsmHkeoUvEqhrbQETsOI3gHHFVlBqFmQdl1db16mjlB1/28n/0Ay//1f/l3773 + /PMbFVEpi7KNQaNg2+MD45ssKjz4jLEBTRQH/O7/9R/e+fZ3rA6GoOjY0jTC/b/XzWY8OutDJpPJ + ZDKZTCaTeUikiDtBWpF0vtAYN2bNm3/7d86/4H1vfvO/O++Z37l3zx4RjKqRxjidTofDockjs/Tm + HMXYmsA555wjohglxjgcjSb1bDBaDRI/cvHF//pXfvneI0e846hAEM/OtPPtYKIo8s1/hQFV+7nX + vPZ/fP3rC7BKxLE8rY22jdyXgeS+kMRwPbGqNU07Wl+78MILDVA1cjAFiKApWPLYB8DAa3/qNe2s + rlZX0zy2cy6q+Lz+mMlkMplMJpN5tJBMdzXJj1MXa538pJ3OjR0VgNHcdDO645hfo8LaWyarMzgz + h5ZgSiTMClJiNhDU2AhqcEuTbgKQJjtZ6V0TyeBSVpYlvRp1vf2kJf/jE5us9BDgwDAWg5H65AXZ + 2zZzshhnU4U6KBsYy5Wy0evrP1Soj6G1PkZ8ywS0z/tDn3xHxmzaOROT8laCnip1aXdpGbEvAxvp + Cc5HO4UgQ2Gazj8ZtKtgJAyh5DnNAJLlLXUdURSCMNJ2r+ZMnRmZGplQ5yJNMK8w6MyrPmDW4gGh + aey6C5YcYrfVIOuiqBemULZi29hJYWAYGcGSBzZBkis89aJapmzMxskf91s9a9+EdPikyVdC+4Im + I/DILARYZ5YsbEYpLe44luh4o8QAkzJ1CWXJ2xuRoUDjQAavjN7dfKmOV+fdi6Gv1dplPM3tdxew + E2H0vBOMhVSR3H/VmwJdopZQZ1TPXX1TZ0ydyTwJW+8ev2UpbVtdd+c3fGL6zVTOrqVw3xFQ9+Ns + XRpFX1o+ns8/O0WNVBhOO7+ZLimYEBlKKKUzCwehtxp/DJHqFRm6GzExQ711D2BKKn2+DEOFYIT7 + RQWlx2PfPyHolg5h6kZ0afqSzGOS/o5QFEVd1wAzewL/4R/+4XveewGBVK1tk001x6hlOWjbdh7M + DyDF83vvZ7MZep3PFByXXuf+1ifpCDOZTCaTyWQyj3K8952OlmoSqE/5GjvaSRKRMLMUtTUYDOq6 + zp7WmUwm8/CgbgmDtVssU0CNYDRfHGECA5zsNshQIkIRGEQM7wyOCnJwdT31lStl7RmHvufcVzzr + K9ddc+WVV67WBwt3aqv6EGBKYHbOWSOs/gkHz33OE19w+VWXrK6uK08amRSVwSANmGFk06YZVHCE + MIkVr61hT3tXOH10xqt/6J+eVT5xRXfrzCLF0a6VyWySb2GnIsvmbJ3rUCaTyWQymUwmk8lkMplM + 5pREVWOMZVk2TcPMRVEsrRatAi4lYHX5JN32Y5aVCwdy//ii91/1gueui9OZFN5bH32adpN0FNPe + dpqT09kjUO9sbRCQkRXOiUQG9o3Kt73sh1/3mcshCgNcnkLMZDKZTCaTyWQymUxm2ek8rZXZOGUO + G0EIk6YmDw/YZDxsm32DisVwx+HNz15+9cc+cd/fXf24yGdaSeOjDlKurCHMFCZqCpBjACYw004v + AzDAzNQAgwBuLowCdBnWRmLGTGZmSSTF5noNpr0DBG1/Ze80RlF1wJoHAJnWLeoB3AFys1k4fM+9 + n/zkJ+pDex73nO/+tu857+B3nItB2ZbFjLl2ZetdLWES2xGVlky+mQrCQIwnob7ljkp0YSZGCfCQ + yebG7lQAA0gZUWD9yaSHLvPhzTDZHG1s7NqcHqob50UVGsREUpKlAAA702SOC8KJT/zfEv20Xv2E + kr8mgUi0AYMMEHRXDWREQmTs4sY03HVvuPHWCXSTaeaLjcHKUfZ+18q+cx5/xrc/de9TnjQ488w9 + +/eicLW3GTUzI2UPX6hRsOC9t+M2aZemucpBFW1mMWA62TWeHNysD9UR2oBCEsgohAFSeECZwvIo + nxpBqFEwQ8nAZkpoHBm8t8HQuemkQds2szqOhs6XRM6iHF/lkZ3gTF07GYW4x8IarDhy+L4rr7ji + o5cd/cIV39bo8PDRJ7X1KjniJogpUBYIitiCAJ8qoZmJad+TMDEAM5ipiQG2mKy8WJHaoOhrsjH1 + 1jOIMRJRUg+pHFCwmYYAbxLqjXXgzGr1yO3fuPO2W77xmUv1CY8754d+8LTnPAsHDhwJmzZckZXV + OjbsClMYeO5ED2iSqtGdT8me0hDgFEKp0nUVjyzuLYo9kYeHJ6uTafjiVddceFH9t9ccms6e1IxH + 0CBQKJdAAQnwXHhL2hzBACIi9vCFK6soy+Myv2OUOovWpDzSyU6xOlNtZrssDO6drm+Oj3zksmv+ + 9L0HbrnvGcXAZrVqQ0zee5DEoN3ygQHS3UlNoQYTMNoIUAovBwHwxEJGat6TRTOBCAAjAnmMHOuk + WSmin7TrQHv9jVf+wX9uLvnwd//8a9effPb+x59169ENYx2NVu46cp/TQeGrB1mmyHS0bSzZDaP5 + 2ZEDMQzAuPYrN3700ps//undG9O1zfF6055uWhkYoqZUcFSVCAIKAjuYQMy6S2zQXo87AkRd52LY + EoBIzyRUFhExmEDhnFOxSMn9mpen/z+FcM61bbu6uhpjVELbtiGEPXt2HT266YviLW95yy/8wi98 + +tOfE4lJNPybBPqnP4nI6urqeDxOchvMPFfZSG9CCET0mErzSNJdIJ4vZxqxbu9g9FSowEkkReey + b2YpAVVEyrJMhqne++xpnclkMieddH9HrxfgvU+9tIikv6YuPfXhud/OZDKZzEPBCM65pmne9a53 + Fc61YmCSGB/chepB6cYURESUwj6r0r3jXe/8V7/4L9aqoYTISaixF3OELftY6eGhqkYgZoJVvrjw + /PfedufduqDDmgbUqkpgJlZTU1kZVG3dADi0/8D/83v/8cUvfNHA+9iGOs6Y2TkXY9QYy7IkUNIb + cs4xKD0JpIF5cr8WEVV1RGm1ax5s3LbtcDg0s7Ztq7KITfMHv/f7L3jBC37tDW+YtUFjRJIikh07 + mh8TYvaFu+Tjl37pyiue/5znwvFwUM2mU8qPKJlMJpPJZDKZTOZBKMoytK1zRRtDWihW4MvXXvfj + /+TVr3j5D/zyL//yc5717KObG4549+5ds8nU0SMzvmDmtm09F0VRhBBEpCjKajCY1LNyUG1ubv72 + f/jd//e//FE0KwdlXbdVWSU5VzV1rjCzGCMzz9faHojE6IDvfdazf+f//PeqGjQyiB8Qt3D8wsy+ + FdK8dOE9MxMoxlgURVS58APvT0Zj3rmoQkQG2OJBLBwOA6cfOPjM73h6yRRCKJwTICnqnoRDymQy + mUwmk8lkjhus6X9bCIOMe3NfBmBQYZDNtU+OF0YKss4/0tgrHKIzAzQylNHnZrAzUVrOTIHOAjO5 + 47o+7pgNSsletV/V7HK0lJbIJhMwBnFkAFv+zWTJNlPVGAQyZRhMAWY7jvVhp1h3nnf0pe7kU39d + XO9hOd++CEEXRo5zD9T+s7S1QwApeWZHpXnskcKVWRkwUoL07ulK2Ipk7tqMpi9YbwacWlFnSmsE + QkpJ6/dg8/Z4TKjTgEoeDAxKH+0u2VZm3wPmQvo3amRKgpQ9Yt2xoG/+qStzxgR1diJym5SUu2K4 + xZJHRmAoGAQ1IsA4ndwlar8PB1IDjLjvRVNvhWTlqwQmmCW7XwKZU+zQ+P444iz1t6momu65HgBY + wSn7qTsq0xNk8rxTLOWfKkMZBsBbanou+biTcefGTZEBECtYSOcu47x1Re7fOo73Lb6/WXQWxekX + U8GOUaD5k8lxLNHOMFIlA3We7akJKLFyMhffVs9p+33tMcL8GQZd36jOwNplixupELxCgOjQ5cla + uiNs3YDYQF2t7k4gGRhKCuFTN70yc+qSetStBh5j3LVr19Gjm6ry3vec/4f/6T8DMBg7N0+tAmge + rp+y55g5WVaHEMrSq2qMXVR/CsgsyzLF+J2kw8xkMplMJpPJPMpJUgAp8KYoCgDJ3Pph7CoF8LRt + W5blfOfLKXGfyWQyS44BmuanDSBN8w8E6+beezE5BkCatA4ZZAqKbCAjqFFUhUlZDkBWqU0Pz7jw + z3rC95574JmjYmhhWSbnHwYEkBIluTBjizYcrI6Pjn/g2T985N7bv3rPlz01Oig2Ntqywtqwmmw2 + 7JUZYn0eaoMBrRws9/7o977iKbvP222HKFQBVpblNIy5JLXlXPXOPCjpkWb+TyI66SbXjyHNrEwm + k8lkMplMJpPJZDKZzKMJIirLMsZYVRWAFMemqg+27HdylwMFYJorEaTJ9C0ohfADBhYA1RBo7i7t + rOiolhijoxLGBLAaSL9Fo48Ua67ogonZWKFtlNLDMeqNzQPVIRydoaywWn0rP5TJZDKZTCaTyWQy + mUzmBMAGKJzBKZwCgBBaB2EVtkJtZLZHyB+NuPr2yScu//KHPlTd842DBX8bFYgzaSZDBweEyaZj + qCbjRRCUyTk4MwEJetNZGByBOjvGua4GgVJoFGlK1iciwKBsScCBAHPk5mnMfcY4AMQYPaFkAqyN + UMB7DJ2zIAUpxeg2bTR17cZ9+vWbbr3ww82ufec8//vWX/LC8gln7VqhwyV0OAjDoo0mBjh2ZoXR + aNbi7s3JtV9fBQTmSGHqCGaAyHjzKAhC7IgJBmMiYeMdeVoDECKs7sLKGpcjqWuKar0HKWI6lWmf + DrC57MUJJqWLEydRjC6GTbsCpUT4zoO8UyggNoAVasJRCyYDg4mZo+qsvicyMK7sjm8c/sSnbjVy + e/aVhw6d9p3PWPv2cwfP/PY9h/a01t5rpsOiHIykjsdPOYWNQx2qqlD2pSvhyxEXvt2g2HgK2lXm + JCWBlN9NtmSuiiQMcclOHGBCaVCD6ay0UTCCHzo/8G4lRm+ijpeo/N5CNdvYV1a45a7xRz56w8c+ + iVtv3R1mp7WNb+KqQ1WytSICT06AJsigGCAGMyNiAKpigHMURQnKlgxfOhmYuVQDgE7YBWpgkBKI + 2AgOC7JXBmOA2BGRiDSipEZGDGNyFZOZxWYy9HSW5+bw4fEXNm+/8uabD5z+lJe/dPcrXr77cetH + xzWVVVMkD2c2cJK0mAfrLo/myIlBCWQoBcKIBCNEp1WwlXuODiNw6eev+6vz49dv3B3aA/WkjKEw + gFxRACZ1C+/gPc1igKtMzQwCtODWsJt9Y+6U1lUSQvQA4BScOlXSwMpq+8WtbM7wpeuv/7O/2Pjc + 588x7KYgkzFAZTEE0LRTAFVZgDm2DRbuqgwGERkUxmTswGCIAoBZEnKDWBK6AjmBtWYSjaKuDVxs + hVkGBl/fe6ZUh7901Rf/998658devv8nfvSsA3tv2RxHKvbsOsDRx1lrLho9tqr0Q4eASm0l2N4Y + cfdR+fRnrvnwRbNrr91n8WkSKpFCxBucgoUMYHArWjAGZQGNEi1E+GRPJZL2ybRdkJvMuv8mQ2uQ + IRLNwmy2smJrq2DXwM/MSTk0MEtwj1Knq+NKSkEcj3qadAIAACAASURBVMfD4bBuJsPhcDhcv+/w + 4bW1tbptiqJ861vf+tM//TOf+9wXY4xEtE39beG99xxCSN6Z4/EYQJLViDECKMtSRJKzdfrFxxbW + 65lw0sOC9Do7zhY0d3o9piXUMJqLxc+TV+eEEJJ+ipnNfa+zsnwmk8mcXJK4ABGFEJLDiveeiGKM + 3vukHZCS9yiNMDOZTCaTeQgw88UXXXzD174qgMGpCMCdmOjO8d7HEEDwhZu1cvOtt1988cWvfuWr + JMR0z0oCN8yscmoP9ulBzo+ZEbOZRVNt5S1veUtZuVkjAIiIQYQkLWzpw2mUVdcNAy/6vuf/yR// + 14MHD2oUk2iwoiiKoiAiVe2GZjAjEEhVxSxt9863bc1ExJyWsRQCkc4/m6wsvSrH0CS3awlxUFbO + lz/5T/6Hpz/96a969U/ec/jwoBrMmrqbxHng5GW3/dibe7j/iKpq3ejQuQvf//7vefZzQggNQc2y + Tmcmk8lkMplMJpMB5hZKmJubAAhtrKoqioCcQUaj0XQ6jTACPnDRJRdddMmLX/iCX/3lX3nB854/ + m82Yj2F28WAjtX8ANTKkMVcIgZkN2NzcLIeDj1xyyW/+H2/82o03RjMFZnXrnGvaBgATw+CIgwQA + aYZ2fiyLAU6enag86QlPeNvb3jYYDCxEMhTemwQA27x07EGGXieVNJBPM86mBkJZlp/9/N9+9cab + BABB+hEu0TdzIHnFK17BzIV3oWnnabDJvzyTyWQymUwmk3l0wAaG9haonYmkEINQEFOXv8LaOTN3 + Xzme+RHJi5YZYEWp6kydqbC2xJqMBg1scMYpmnfZHs+N1AhGEOqk3bthU79ApdRt0FR4o+WJD09W + 4gxEgzC8dQ7BIHOqXQ0wdTCYkjqjFHS6LOVPPPSA0cXhLRtS4Df1lsnWeyVjfrGw7WADzb/eLTj2 + 3saJuXfwcp2f5cHAQqrkuotGfRKQgQCvc+9GI+tMHFkcwLrle50MXJMXadqrGjiQtgUAONOUuWnf + NLNo7lbOWqbLqCkhs1Ob2vZV7hMElKCuTZ7JzogVSpw8fUGdoyolI9WuKanScXQl0O4wlQmAGdE8 + sUqIlSBgMjKwkAmRkbnj258fX8iMEZVg7A0EZeuNigu15HhNgBMHcOSUx6bL01+RJWdrCMMIwuDU + 7XS+7Cy9sa4RjFQ7C/blgWGudw4WUCTTSqDkA5EQe3VsUGLjqCwAnKqBjSCszgAzN3dchiohpcnO + m4mSHmcbD2VL1ism3Y2b0iUgYyVQZ3q9lWqxPO1F+z5QGE5Bmm5DJMSBlcGAkiG9zmd+l+2R6fhB + yQ8eEO6yY7orR2rEQojMAByDgEhQgrM0/c86d143AOBUOQnJe971Nym21EgzmRPDsTsf7/10OnXO + /c3fXP6GX/9NAKsrqxuTqYiAoBHsvImoKsAqAJCi8phZJAJo2zjfmFQMBoOBiOQMrEwmk8lkMpnM + 8SPlmABwzo3H49FoxMxJnX6nu4oxlmWZPK3ruh4MBjkvNZPJZB420q0CaxL9cwCrS/PAMFZKc2sC + isrChjoykQO4YGYjSip0JvWsHqxVBmG1YeHDxmwllk5Zl29JdwcYszFUwASxAkWpJSb1GYfOetXL + Xv2XH3v7kTu/WPFgNGxDQNDWExWVa1SbFqVH4ZlqfeJpT37Fc1/5lN3fbkfIlFdHK5uhmYWWKica + vdBOVjgzmWOQba0zmUwmk8lkMplMJpPJZDKnJESUtGgBNE1TVVXSqz3Z5dpGmsFNcXyL0Xz3n9bt + EkpAgApAjF17J2u7jh7e2M1w5lQjk4elrKhtAhM7VX5PkvFKtFAGBeCJmVkQC2vXphtAhJQAA1tR + 2XSskGKaH8K2f2cymUwmk8lkMplMJpP5Fkg57cZzcQSCcpf9C1ucWkgyCugkCbptgDMtYvTSrrbt + et3guq9+/a8/fOdn/nbXfdMnwtaD+lkToEJGbJLG/AxRMKHslCGdGSzpyPQfQa+m0b0SkkuQmWlK + 0CVjcrIl48gGS5MLRKRmREyAmSxOMjjADCYGAjl4ghnaIGTwZCWsUKxJpCA2bWYb9fhwuOO2v77m + wx/2j3/c457/nNOe/7w9Z585ZReKomaKlWONw9D4wxN84y756tcHJAaYRYMywICphvEmTAmalF0U + 3oypNwx96AgB0m6GsKpC8OydSh0Eqqi6E9UpUHAve/HA+RzaJgQwn0Z6mKGBvfnu9o2kpjrfzgvR + 85auqCYnQqC/QExERg6AGgBTMggjjBiqEEQGToMX8u0dd2/ec+TO62+4pryg2btr79PPfcKLnnf6 + dz8De1YPb27Eandgn1Lxe00NsHX2wH0iN6MXRKAtv8NtM2opPZ47cQgASGJGVVGaaVSZhXYIhNhA + tIQzC5xyvG1+OnR+ghYTwuc/0ZuK9h+iJCKzowsxTzW/37e25fomtR1WVlLaKl63nQzOzOAADSEA + EFirQsKF99Cwk/J0R6Fgti3HjfnJdN2ZnG/nrsEuTGr284OsYGEAWphUEleCrLYzHL3ntr/+4I0X + fXz9jsNntjacTB1C6QGCRQRTAI5A7NicM4lBHJhgpjb3hFFVx2Sp07Gus+Hk7ZuMTlInBfTSReyp + E/+wtCeAekdsUU1dEzOYQELpsoqpmRlZoVa0OjSsoz1Qu1m854a3//n4gx96/Mtf8rgf+eFdZ595 + uK5ng2HLhRKjO3VKUMOxxDv6LUpYaLaavmVLFuObWo0zhvG8lfWXm61vaEmSggEFOwNBS7GhhULj + KMqgbseXXf6F8z/AV153tvFgOoGNR+xBDOKoLQKcg6POd3nIVKswswPUEZjg2ZD6YzlJZ+LYzJvG + /H2hACAETbIcvaSUgpW6z8xTmgjwKrvrZuXI5j3ved/Xzv/A6h13P5lc1c68hhRyH0NNsAoeZNqG + pPXDTGZGataJxiTBH9VetkitKxgRHEF1LlOVrODh2Du2po4VIyoKj0IVzWzF4mpsbn/3+2694qrz + fumfn3XeM28db8QRFbHy5MUsHVo6ejZ2xugViIAuPWBxmWC52HpeSiTRGfQNEOgWRLp+z3X9/0KH + vNCWlcBQMvOKUnUlxJWmwT333fOxT3714kuGt9y+f9acWc/WCCoB25W0GR5EFZuqxDYQ4IiYYGYS + owO64hhHYmFEUMsWvY+MyBCGUHqlwN5WdtFpp9EZh3DoYF2UQs6cb5owzOsvD4u6romoqqq2bUej + UQjBtBmWVV3Xviw0Sgjhv77lLT/7utddecXfq9rCk+C2DjyZXocQkne19z7GmDytnXNt2xZFMXe2 + TprmJ/xYl4pe+m2h+1jWrmSLJKeSxjHpIqZrncxTAZhZWpU+2SXNZDKZxzrMnOSuFj2tU8hQkgxA + csfsP/aYvy9nMplM5hgYbZscVpBC/9s73i5pXgziXKFmpjseyTjnREREAHDhYiuOAcM73vWuV/zQ + DzPMe28heO/MTGJ4NN2nFtc+vPNNaIuqIsVNN9/8uS9e0SnsG2CmMBgMICIyGNSzUxUH/Mov/dIb + 3/jGGON0PPHesyoROaK6rqFaFIUriiR2qaowMLNzLt30RaQsSxFp2za5hqfTmx4b6rp2znnvATCz + 975po0ZpZTYqB8/89nM/dMGFP/VPX/u1W24mwNLsG+n9na3tAasv3wwGqWOnsD9521t/49fesDIY + RglFUaRh5rYVivRDj57qkMlkMplMJpPJZB4Cxxp0ElnTNOldUZbT2XQwGNR17RimEODST37645/8 + 9FPOOed1P/uzr3rVT+zfu59ToAHZYszZTs2tjViIg6mGaM4NV1ePbBy94qor/v1v//YnL7+cnQum + CjjPZtQNe0GiYkCIgZi9c72n9cLhzMuj8tSzH/9Xf/7uvbvWJYTCee9cUze+4Ac7FUuFmpRFkQ45 + SHSFb1U+dNFFXcEpJX0CaWpaFoJhFpJGCXjlK18ZQxOsqKqqbRtiAkNC9NnUIZPJZDKZTCbzaEF7 + 416C3j+hgrCVLgLePo45fhDAyYyQATIlg9KW/TCbwZKtrAIE42Vbr7E+ZH0+0HtgRpJha/lTsWQJ + DAAANjbrrILVwJ0ST18HCJRsxXsz4FMUthSZz/MUP0pHnf5s27LF5lk8WEg3MkqmxvP9pZMzH14v + iwHq0qJgI2xf5OXF5IKUkzl3tyVjgF03swLhPp0DEIKzbr04uZayAdA0D6MwJgJMU+vrmyVjHj6d + 2iItFCbVduuXhhXGoMUmq0aWtjOMjFJGDwNqDOqOovPNPVFYV/GYzFL/yWCAF9qqWu/Ru5z9zw7R + PhGss55NTZg05aHwggf60rKQCWhbOWIpD26eGLWE0AMiMjjldnQpW11/OXcrSbbr8xvgMS/KybtU + fdrS9piT1Ky7rNKlmxFNWWRMYO57zMXbE/J9CMBCyFlKCtYtk/Jtl9W2Ety2TtviNb/fyVzybiXz + aGahm2L2dV1ff/1Xf/Ff/hITyBUbk2mq8s57CZrWPsysi60jSkncKRWLmWOMZpJi+Jk5/TWFUz4M + T8FMJpPJZDKZTOahoKrpadPMVldX08aH9/yZxO1jjM65lKA6V7zPZDKZzLdIt3BmPNcY1C3pJ1Vi + V5TQ9B9Cr/pD5Eaj1VkzhsegHDjl2Mb11fXJZAbPoFN2tpKUHauC1UDknIutrA12bdx59971Qz/1 + 8p9b+8L+T139sbVVVoT6aNiza//s6HjVjYw8Gt63uve5z/u+5z7hpWcUj8dGOcQKMcbjiTCXZVnL + +BSIx82cCmRb60wmk8lkMplMJpPJZDKZzKnKfIUvqYcvm6d1go4Rds/fJJS0cEAIKHbfY6tWrCBO + jGBkoM4mocvXAvXWODuYQ2cDYMJQcskgh82SKwWRa9tIJSrW/XIP2vvg1wMV29M19AE/xp3UxeJ8 + dY6UzWQeM8x9HdJrCuxI0cbow45jjCna+GQXNpPJZDKZTCbzyDBPM0vviYhyENMjDmk6qWRsxJHI + yJwpYE6i96URhahwrBo1hKoqABizBOPSz6ZN6YsVwmjWrEyn+NqNRy94/00f+8TqbPJUhou1V3SC + C2AGq7EACiWWlMitnbtvcopl9iWJmokuXGtHjohMo/UZ4643noWJNzfPZjQ4SdoEnVskGLLdOhgC + eHhyJIhQA8ETDIgGMZCDEVhA5AjGJqtx5mWy17x+5cjG33/pyne8c+2pT9n3nefteuELd33bGagI + JWM6wXh853/5/85upkMKBQMqBNboiVBQiJNNtLESATQCkSowFSYSW9rREIYMHKkyYQMoxtoI5CEt + jImFHJGSRZJgKAjOAYymRlWhbsAepnCmBTmzLUXLzk802TwDD30KyAjJOrWQrTkoI1Xq56S6M29z + d2c1BsCkSYcHAChpARgofYwNCniCI8A0gDspHxIjCwWwJqFUXq9V75jGO++6+ZJP2v59p7/w2Xte + 8kI845n1ysoY2nqnzLWJAcQ8ZF/XNRxT4dogAJfsSDodICFbzOXWhWx9p3CmgAqbkQSRYlSMx5P9 + JaOkyCDvLIgARjAHGFLNQhIFYbQKMxQexNC2M0xWmAAFefY+aisS0+dd5+r6UM+/FGharDpAKZp4 + X0KjKBxDFcwwQgs4Twa2oM6Q7J2VoAwiOAXA0dBAi8qDpcFMQKPSxdnEFTsJ+SMlM6NkxsyFAmBJ + TZUUBq9KBiFWRmA2MDNrFE8uxpqdFaWzVk2J4AORlYW0kzJMD6nirrs23nfhdX/9gX3T5sm1DJpY + RvEQR4DCrHPGdQxiJ6Km4lEQYBBBp29L7ADAxDpDcFC6cOCoZmSpPTql3uu3vwcZqQmDfFEaYhPE + YCWTwamaJ2MHVZgakYmlAydlR1BWY2j6IeeDbw+f7ay9a/PoO9553UcvOeuHXrbnR3547bTT7iyH + sRwFwar3TTslDX7golgbQ1UM2Vg1+oKjoW3b0pdGDGOAjIwMhMgwXSZnayU1pzCjQDASxyA4iwxh + ZZCpL1RJNBARsYmZ0wJG7GIVpnuaGW+O7ROX//17L6Sbbj3UxFGMpRhxZGPttEGMCUoQg0NqVxHg + AmCFWUpcjwQhxVytaUmY21TP3ZHJ4FUBBK/KBoNTMKAGdarJuhgUTc0MRLurgbvv6Pqdd13/O/93 + dc0NZ9xz9xo5RxTJE+bnJznNR7Lu7mlIsiTzmfXU4YsxjBCT3zi6P6cTRgvKYww4ggBq6hkKOIJF + AKgIEsOqyWBs915x9RVv+p3v/J/++Wkvevadvm2CslXkiyBmJZiVxKDixTO7YBAWI+3ECUwVHvcz + hD7pzJ+XkvyRsRHPn1WUUKiydpbzjYcBTpWgtQZyXAwqaYPEtqqGUURgSYC7knY1xLVpg7vvnZ3/ + vts/cVl9662P92VVN0WQoSslRAYb1KG7eYiZIToqG4VzBauYKRhiKgbuZI0McJF849zU+2nBm5W/ + txoUB/bvedzpu04/bXhw/+i0A4NDB7F3L9bX4f2kKO4qfO0csUdohjR3oM88GMc2LGdiACpg8hKN + yacJ7UHhm9B68oOqgtc/+eM/fv3rX3/lldcYUBQuBPGeJbVuJRDB2GzrV5KhNQAiJDfrEAKzZ2ai + wiwk0Y00dJp7XT9q58nnq6GdPxmYiEGkpkyGTohwawHRli5HKF2aJJsyH/Om1+RoPv9kTkDNZDKZ + JWF+V51HCqU3STJg/oGsgZXJZDKZB2LUjfXKstSobQxlVdUiX/vajZ/47GcDAAI7khgYnbvxwnDm + H96/SEAv7KqtABCFAhdfdtkNN9/8pMefHcgUmuSrF/V3T1GShLqRLarnp4hUR86By2LwR3/0FqJO + +7niMmrsLZ3NYL5wMYipOOB33/zmf/bzP69Nw0QeGHgvIQCAWuEcsYNBoxBgogwCIblZz39axAB2 + rl+i6qeTRawoKgCqIPZqaIMQkSM4QNtm4N3TzjnnL9/1rp987Wu+etttcDBmEQWUyJsqwxnEcOxq + sH3btjGviLDz06b54Ic/9OM/+mOlc6bWTdIvfO2B9gOZTCaTyWQymUzm1IK6iLstp4/7fSAtRW0t + IILng5ZuD0Tolqg07SA0AqCpawCSRpppV8DVN974hje96Q1vetNLX/iiV/7Ej33/S39g3749aYFS + VQe+0DY60DzfJ/16yvFJxehjPwFAYErUmCpsuDL6+k23XPDWt/7Fe/7qiquu6kojksoao/alRRcD + QjBTg6kIGDADUYrTYhCnKFPTJ5999l/89/9+9hlnkKlzzkxDFFf4uS30wplcplCSnoEvp5vjYlAp + zFdlgCq7v7zggi5KIUU6ggGIhN7kBQCqqmjaBoaC6bT9+7732c9yCjZI2xKRAapwrljKg848Fkmh + Duk1iTXLllUJsBBDnp1IMpnMchJC8N6nMK15mjP6TOf0PgvQZzLHGwMipzBspj70P8VjG20NamCq + ANuJCKrkfpAFaHRd+kzKVfGWMmWSx2dyW1ackFI9RAxQYgN4bsRtfcR7n6WQUkWSce8JO6sPEQMi + K0hZ00hY0WUJsSLVihRaT11gfTfIWqJD2CncBxt3FZ7mG4HtppXdtpTP1W9UgE3v523Z/1X6j2Qe + FO68w3X7RsXWTA0r1FLaFrrEkfTWOmPyYyS5kMHPTYKhILbelJqN59l/c+Y7UBKQYGE5eOtzKapg + waCaDYqUBZd8iRm9GTr3n+wclWneHxxH2HiuMMVQn3pRJjYHwCt3vREBnUG4LlX/s1OMVK0wGClR + 35dqd10U5FInpclxY8E3+mQWegEDhNMbJoPXre2p1nhFmrNTAmwJsxq6rEK2lN1aGCxyam+spKlT + NdKupaA/iv7+2Emi9UlhtvV/Xad6vG2k0/4lOdGDU3c0TxPjPnUU/S17eSoPFvpCtvRcsbW9VEXX + 83TnXBey2x4jLLSvrTuCgYX7Lf3ZS6/zh4G0pXsA7lpjStwH9Q9y8z1nMt8CD+xPHvDEuJDDpVEG + g8Gsbs2sqioRMyYR8eC77z3yz37xX9RNMAOpELOqApAQH/irZmBmVSEiVVPVfgms/83+XZ5JzmQy + mUwmkzlFmT/Rcf9kuCg6tyTM1wTTE+miNvLD26H3W4JOp9aSooioalEUdV1XVUVEiyukmcwjyFw7 + BQuJ3pnM/eD58oexbU0w6tZsGWlaXumWO03mgof9TK8AMIEnr8oIpkZVNdhsJ/C8XPpXO8SAgBaM + ghhiCiUmjbriV8PU7VsZveo5rzvv7Bdcee0Xbrj5+o1mA3dhRfatjtbOPOPspzzxqed825P3jg6W + YWSTglAGNjKgYEeIsfXk0+LGyT7KzA5IMd5t2xJ1ceWqSjSPtjg5ZFvrTCaTyWQymUwmk8lkMplM + 5vjyD69nbhNfUHiHkn/+Qxfd8JzvattJgKyMBlbXxl1odcqSsj7MeifK75YCWzFPDkAnMU9qZVHV + 2miNva7+8Mte+oNX3mjSJf/0U9H8zbJc7KEcaiaTeVSRfKyJKGlVOOfmSd1N01RVVdf1YDAIIeT1 + 5kwmk8lkMplMZkckxf805HeW8vwVgCsqU9UYIWaqg2GJsogxKmG8Od67d287mY4cr4TJ+kRw+zfu + +uv333rJx1Zuu/1pw8qaRoKNPJA8dAkETb6MjF4Ig9QxJFgAClPHPpq1cep7dwXvAFcA0FaCiXfe + CAITWIQJwwhG5KmEdQqYKVGZiNmM4ChGp+YMjuEAMqjBu0JMVURhHuCkAaEoGMZQJVVTA1tk8MAV + 5uDayNPoahwwTCft5hf+7q5rr//KhR8Kpx088IxzD551umwc/sanLvdfvvpp1Yq2c6EEhVVkykkZ + Z3Psdu9BQUqsxirsnOw0NN1AKKq6rO4uuFgZVuRqrZsStMJlw0WEUwaUWAlRonCEKAggwWDEG1Nd + rdgZhza6TsoBxtvSlR8p2ZFun3Nz63SeOxdpYNE1YT5vtFUABuKWz7RtqWAQ4MxgATN4Q0WhcCXU + b9x8+33v+8j1l30W3/mMx7/kxfuf/1wMq7sp0Gg4k6iMI+Px6uqqss1C8L4qikpbUw2OtyWpUopA + JUhnwg0hAEwGIwEwKEoy2r22LtMpQEedPzIYeVBUFSdCSmwD77w5gJ1pIRGIheMYNUaMHFD6MIuF + ZzJW0RhqIvOeQarHyLf9B0iG2aaawgKb2BJQlrDkvqGIQARaIBYUisJ7b4CqRhJhmFmpDuZaVHcV + XDgCqBqMoMZmo7JqLewo3tAI+sBanWYUSYXQGYr01a+JwTOrs2owms2mYdasDdfaWeMde5XRbDZs + 69Xp9MjHL73ynX96+tHxk45MVtrIYmZGZkSWph/F4D2YEARtEAY8WNECIJBjB2aNsdVIgJ+b9QLJ + f1oIRhRB3ZSCGhuIKNlkMlRFvXk1aUIDwFGn2aIanWOLkAjnAQIUjp1EA1ky805Hmn5MYnSKSrDb + y0HDkZtvvfUv3nv405c/7bWvedxLXjJu9CjRlGi0PtJWLBo7t7JSOeV6NlNVJgemYTUUEUqiA70b + PXc9+RJNiTBMtGVjMg/qdBIYCljhqKlDG4mq0jknYgQashsEGQYdIeDe+4585rIbPvgRvubaxwVd + n7VVNKW+ghGEiYzcYhqS8VyAg8B0Pz3mXpVjiWGCLva/hs5cHQAZHODUQtOsjHZZiP8/e/ceb9lV + 1Yn+N8acc639OOdUVSpVeVJJCAmP8BACerF9IqK2zwalibYobcPVpvv+0Z/bfm73B7xttyJtY7dX + r/pBFBsJ2gi2gAQb5KUogpDrA/KCkAchpCr1Oo/9WGvOOca4f8x9Tp2qVGIK8jiVzO8fSZ199tl7 + 7b3XWnutucYcPxYbHz4yvuvuv/jFX7z01tvPOXrcizq2SGpmjhxBzU6zPz8lvWYR4b7tt0onVYuf + pk3SYle5bUE3t30CGlWXuovQHrv70Cdf/8tPT6/e87xn9/svmAZTBZMjQkq9IxqMRjyjvsto/KKH + QFmribFYqge8RrCzqJScXVWjxVapqk3jx207i/1kttH40DRN183G42URcSa0una+Gk1mh9//wS/8 + 8XXnf+lLK8c2LmAMvPTRGGBfJrGxGcQUAmYCw8wSkpkB3kyzqSnMkXjuHHqj7ELHvg8N7dmz+9ID + lzz5SrrkAK56GoYjtA2CU6aZoyPBx8ZHdpkX/UwW8eeqi7bf1UMnpeSZYYBkM9qza/ktb3nLNddc + c9MtX0hZ2jb0fQItNoUQQorxtI+ztWsrMx7LXoPImUkZJAewNS/rsdzW+cRh5KL/DpfWgrToPlhu + VwLrDvpmrKqqqqqqqqrqcahE8jCziJRRFAH5Jrzpzb89i2kxziIGgB22xSV/VUoG2G+++bff8Ppf + gIiYDnyTU8/sTB87ecZbOQRGiDGORqN5Fyfd/E/+1weywYiIKUset0sb/cTMmkEb+z5lcQABv/qG + /3LND72UQQA57wFMJpNR05YHv++Y2EOzzFlCCJozDIO2edKll/6Pa6/9ju/97qOTmahy4zQJM+vm + qf1XwDchx8TA+z/wgZf8kxenHFnMO77PK9q6eFNVVVVVVVVV1WMKMZtZE5oYI8qVx1QuLhvIMTMR + qWbbbPf/QBflNwsvtmKsiPDhj/35hz725wRc+aQnfesLvvm5z33uVU956pOeeHnjmIgBqIiqkCkz + k2MF1ExNCcRUnl2z6XweP3vDDX/64Q999KMfvfHmm2bzrizHfWOnFzUJi2SYzXOZrchbZhN1zqlI + Cag2s8sPXPJ7v/vWK5/4xL7v2TlJ2XvP3queHRUIZIjdbGllHJOoiDlLIp/97A1f+vLdm8lXp4ZX + Kdg7LxL7PoGpnAT+4+/8LtqcDvqIv4iqelCcc6rqvS/zBLc20sFg0HVd2YMR0WO5+KGqqrPZVrFW + me+cUhoOhymlEMJW7ZaINE3z1fSyr6rqwZD7hEduzYvZfl3s4U6XPGHbEbstFm8z+RM49SxsJ2VM + FtuCOU+6ZdvPi6rjraDrHcUWZaWMzYjHxe3bLDyeyQAAIABJREFU43134oI/BLY+KXnQXztbiZgA + zp66/Z3lPvuWU9/GUuN8f3ug0+6aTt4Ayw7kPv89nX8oVuHU327FQt9nKbZvO4+cbTHVylsxrnSa + /WeJq38EF+1hUPalJ++Ptl71o7NIZ2hr3WA7dUXhbXvgHTwsdSLmpPx/q2anLP+JePhtG922zfN+ + jyweuUOOxdvL9/e8ixt23sEGTtnRbdt3OQVOXm128Cr0MDpp+zr5xlO2uPt+7qf/k/s8clU9Ypqm + mU6nw9GSc67vU845K8bj8bFjx1/5ylcePHQUJyLK1IeQU/8AD1XGjWOMZexlq+9cVVVVVVVVdbbb + CqwtR33e+5K2+Ggv1+mV6MfH+eU/ZnbOlXKOvu9zztsjuquqqh5dm9WlWwOP266PLMZLt10Huc/u + fPM64+ZDnc2Z1gBAumgMVl4qqYHZoOodWp3p2DdX7b36yd/09ITYa285Dd3QKTk0nhuSoBOwOFK3 + aLdX+mqeaD7Gj+SoePUQ+kpnrz4s6mFEVVVVVVVVVVVVVVVVVe0YBhjyZOJHY4yaGQDvxr6Js3lY + zI0CAGcAoGRG5M58IJ0NbAowlxiKxYOyiDjCrtZN57JrYEjW2Klh1Q6Mk7ITSnv+nVgwXVXVI6B0 + ei0TvMstpba4pFkDKP8NIdTKhqqqqqqqqqp68MiYjQFVUgcJogBnJiGXiXNMI+KldjDLMc2ikctK + vmn27hsfXzu6O/C5MYfJZPqeD9z5x386vOfe81ZXW9M4m5ta8DAiVWMwGZgUpFRO/80AMiNi5x08 + FJBoOTFCgCZ4AgDNyJIICOSadjTpemWfW9cFnjvqHZInMKW+IzJX0mcNZHACr+bFBsEP1ZMqUjRV + NsChRyqpqIGJiCxDoFqmPSsBxsTkS1KgAhxzBhOrkYEcxg4uSzi6ttzG7siG3nr3YZUhU3v8yEW7 + l6fTaUuL4ZRTZn2n9Q2YMMKiNp3UzJjOLBwhk4/Sro3PvXMwOmTdyvIoeV2lJLA9NBok83MNKQ/B + S4Rxl/x8unvQ5G4aJcdIy8HFXpSVPZcmgAzAIIzy7zOtmidDKAM2W/8BYA6LZEEtU/oJDBCXgFIS + lFEeY4BgvFXuuWgzQQJo+ZsSEkyGIACINiNO2dAGymaa0efokIbU7J10YdLNvvyRez5x/b2XX/HU + l3z/vm95/ppL1IRIPDjnnD7FnDIzE7TvZizOeScQAMIKKBnKKmRQgDMhO2SAFy0+nVP4SPO1KZYc + 0RDSzfaed9v8yNG2QbvcI0YVZxhZCEZQtFF2z/s9Hq2R08zSiwjNxQE5C1NJXwYTmagZgoOdSXIJ + GSyhbZxlEcA759mUVAyOkQUM37RLwfyxnI4ydcujdc3qmZnVWYYB8OYA6hJNdy/tWdk7j2AbhCjO + yGDsWR50ca2CSysBZyCDELT8qACpknWhbGTqjJ0qgHZpuNFNphAHT8EztxuZnR9q7nfH+Urf4eZb + PvfG35n+/Y1PRDPuu4EmMsnEILCRUyIig4KtFziGZ3gGMxs451zWv06gCkcYBE/EULWUiT3YKVk0 + 60mzIzhfemOq1zIXmkVZzWdpYC05D26hKB+bWMpQoHEKIGaUN1QAZ+agrIv07q1GL2wcvDMyFpFk + AFqyc46uufX5F1//aysf/PiBf/HypcsuOrQ8WpN5ExqJJr2aV9G+YWqGw5glx+RDgBJDQak8MozI + /FbV7w5BhiabgypRJgixcEm1ZoWZxVFD8JhMe+99w0u0sbo3J9x7aPKnH731/R9q7rnngvm87Wcr + nnkzMhgGZxCClHXgIUr6eVQsgr6xuZ6YsiG7zcx1IyMIoIAzbgRkcCbD0OZJXHZ+OFtvbrn50z/z + ny49eGg0nXig8Q5mThIzyEMzCEx2mqauW987uj042uDMSvW5kCotdn18cooPGXhbSwva7JuTGEZa + vv5cQM79UtQnir/7db915U++InzP84/vWerMLy/tmR5bGzYhLC2trU8CfLs0SDmDzNnmOwEShm1e + m9gpjJV067Vv7d8WPbMJkVG+yFjhDGxsvl3v5pIsjJrBYEVSlKQhhPX11RF4NzDKAR/688+8/Q/o + tjueEOdL3XzgnWvbZMh5HohUkxg8hMCEoESZzXwWwESdISDBs6cA43XRVeLZYHxsEFauevKB/+15 + K898Bi64AMMBmCNpb6ZM5rx6L46jY4HPTKparsw4VQKcLj7WrbWxekiUiXlNaFJK4/H48OHDTTP4 + 3d/93R//8VfceOPn+j41jY8xw2y8PJ5OJg/QaYsIJQ7NuSAiTRio5ZJsHWMsrZxLP/pH8PVVVVVV + VVVVVVVVp2dmqhpCIyLEzHAhhC/dfdc73vGOxT0cIRsRRLY3PDjTpwFw0vg+E97+9rf/+5/+tyuj + UTMYdF3XNk3OmfDYTABqmqbve4Cuv/76Q0cOAYCVaGg37ScAQgix7wEEB2T8wn/62R/6oR8aDAbz + 6WwwGHR9PxgMBoPBScWqD8dyDtr5fD4ejmazmRJCCFdcccWbfvM3f/jHfyzD+ijEkJwBEKxt2i7O + z/QpSkcnAn3kIx+ZTqfjYeuJYDto3LiqqqqqqqqqqoeVWQYQozCIiHJKjiGLyGhROVFmQQ7MLPk+ + YUtbJ0ZbhQEn/4oJZvjcrbd+/tZb3/ibvw1g12h8+RMv3b9//6WXXnrRRRft3bt3aWmpbVtmLufF + McbpdHrkyJE777zz9ttvv/fee2+45XMORN7FnA1gApETlZOfelE7ZtCTlmP70oo656DmQAQQ7JlX + Pf2///abn3zppX03LzOMZrNZaSarqsxnz5xEsxhjMxoIEYHf8573ZNn8sLaXcm19TESl2AlqIKjZ + S1/60hpTUu1kJXGEmVNKpaYCgPfezLquK7eXWwCcZdtvVVWPD23bll2ZqnrvvfciEkIA4JwrvyoT + osuvSllXVVVVVVVVVVVVVVXV40dM0rTDknI3HI6Zedi2k8nk1a9+9Z133lnu07Zt13UALeaD34++ + 7wHEGLfuVpvLVVVVVVVVPWaUihci6rqubdu+70urBNtRcYtA6dMFoCxtaeZARCJnc+Obr8hmT7bF + e1IPzquqqnay0h6r9G1TAKRsDMC7RiCSExs7a5iYwKoNm3dwDgHClo3NB27bpu37RAZAbbNBGFDK + WWtd39nnlKMsMzvj3qMPqXokUVVVVVVVVVVVVVVVVVU7icEvr4AVbDIerx89em7jHTtATk6YNiMA + pqx8Bhd2qbQ/2B7OUaiKA0fFEDxUHcMDMc87Px7c9zEWsRCnPPAp/6iq6rEu5xxCKP0pTindKNUn + pQyllDjUyoaqqqqqqqqqOgPGMAcwqAcyQwF25g0wePKUcuScmIgIrmkbcrPppNV4iQ/LsxluuPHv + r/399Km/P3d1ujvmXePllGwau9FwICnOko6bkcYesBKRuGjIZApAAVNSCADnnXcqZjHDB+rUElEO + rE0b2c0VM8XyxZf5lV3DvXuW956zb++esGsXlsdoA8YeZDCCKmJCHzGPiBnH17tjx9fuOXjvPYfi + +hpidAYPYemD6pIwxJwQITsXvA/ad0wkJjBzxORI1LJkEAfnHImIWoYTDBwGjiRGg6T5vHGNM0tg + lnQ0aVuq7E4eQmHofH09mGUzg4HgUAroz2x0Q8jlpT3f/ZP/mv5lA1X0G5AOrWEwxEYPBETg+Abu + PaS333nvZ246dtvth44cXBmOm9gP1VS74aCZ9tFBHYEMSqcs6RlnG5ycy70oMWQreZ8MQEEl2lzh + GZlNtoaJDAxiWsRal3BVhfFW800lMEEJrIQT2RwEQJL5AG2QE0TNkyxTu0wEif36+r033fRnr7t5 + 5a++4Tkve9muiw9sDMKxSJGtHQ6YuZv1jrhtXc5ZCUZqBLIyAgYygRFReRUsBCEw2JXAY9DSyp4p + 9dPc79pzzo+9/udBA0iEdghA4wGPXrA+xfENrK3h9tsP/v1nvvCZG5qN2Z7B8qjvBzkOPVHuFFDA + e4BYkyjg4IAzm64QQBBWCAEmogpldAIHULu87sMxI17Zte/JV1z5zKfhsotxyQE0Hk0Dzyhvagm5 + CC1EtfXdnpVZVFWmpjVRRXzwC8NWMp9LNDgyQwnOoFBnxkBmlDfZNuN0c+qhmUIwsPONByjmFWBX + 37v1tdt/800HP/DBS2ZyWSaN84ZZxYBF9gpZiYeHAb5xuRcTcIAp+qgljTswjOEDhGgOOy5ZmK1p + bWVpmlInaJeXlvafNz53TxgMM9m5e/YYIavmnPsU+24Wpx3FePeX79HZlOdpQDYwUM6e0DY6Jut6 + 8UATWoBzjmRqTGU2Slmzy/5JiBVkYoGdc6ySDdoCA6JdqvPjR+/5yIc/fssNT/+xa857wTeH5ZWu + RW6GwXuCalRAk2hScdxuTnVRIBOUjGFewbrYRnZKQgkbefJGTskpOSMmFTNTwtp8srI0SinJ+uy8 + ZjRImY8eD6m/57p3f/GDH27vOngg82B1fahCrY99cgTQib0NEUAKY6WzuK6ZtoVDY3M9yQyUOGcr + +wIGAGMyLFYtkbHp8tqEP3/zB3/2Z5/25UMXxh5Ei61O1ZUW0kAytFCcnFR0ItCaTvqHEajEbBsr + wYjLr3hzW91cypPWLiWAwMqLRzOGKQFiCExtFj/f2NX7G//fNz3loqUD3/icL1lCGiw1jWTrZ713 + jRL1lo3NWSYDAUYnvpjKAuwcznjrYseJb09Sp+WN1vJ9AVYWVoCYhssr89x1Xe8cIWcIzm2HFw1H + YWOGW++46W1vX/vzj19mtDKd+zQNoc0q3bRTdt4FqIggOJiAGDCoaV96ijNY0baYZ6yJTp3GdsT7 + z7/ga77mwHOeg6uvxrjFeDAbhInnjikSKWzgnZmZksDUSA2qSTK1PtDmtzkbAMXi1Z29m9dOVBou + l0Hs6XS6d+/eJOac+5Vf+ZWXv/zlh+49EmMG4JybTiYP8DghuJSkjJCXRqgxdQAALZMzt1qg9n3v + va/tUKuqqqqqqqqqqh5dIlJa1ZgZEZgZRO9+97tXNza85ywKMxBMQQBvJY191dSwPpm8973v/ZFr + fhiAmJap5vYYqrfkbSOhRCSqzXD0zj/6n943IllQrkNZqWFNKXnPOatm/ORPvOIVP/ryQdOmPpbM + JGZ+ZBoJ5ZyJKOY0Ho83Nja8eA7uRd/2wv/7Na/9dz/zH9o29DGBNISBinSxP+MnIJKcCWDmY2ur + N95449c972o20odqxaqqqqqqqqqqascjZqgBViKlAaiCaXE5noyNlMiZiYqKnu5k4TSz+E4wgIm2 + Opg55qy6Opte/9kbmG5gRt52duUYRCfdsoWBBEPOi/IAg5kysdhpz1+YyAyG7W3UiBhkMBUp17Yb + Dt/1nS/6tV/7td1L4242M1Uzm81mW4mS5Qz9rFCyMBXmnOtjVNP3ve99jkn1/qooNOXkgzeznCMR + zt9/7rOe9axT7lQKAx5LgwPVWa1pGhExsxCCiDRNY2Ylyrrswbz3OecSev1oL2xVVdVpmFnTNACY + uRxpqKpzTlVTSuVXVVVVVVVVVVVVVVVVj2dmxsylEVwIIcZIqq95zWv+6q8+VcZ9veeu65jZwHba + 61bbHqoMGpeLPszMXCc/VlVVVVVVPUaklEIIzjnnXN/3AFR1x9b5lEkoZrbTUrcfScPhcD6fY1so + Zs65toCuqqra6UrfsEWzR6Q+syfvGzWmGJV47FsXXEoCEMM5c8RsYpp01s2995sZ2QrAqE5XPFtt + fX2XRlWP7sIU9RiiqqqqqqqqqqqqqqqqqnYSI5OorXOD5jD0st17jq0e38UOIN0MCXlIoiMWsRYl + 5cKQTf1o1MwmeZYyoBB48csN7OTOF7Q50k0nQrGtdCMkRk21rqrHk1KmQEQ55/KPpmlyzqWqwznX + tm25vbarqKqqqqqqqqozYrTo+28EIU3O2NQLnKGLaTAezzvrJC8578h6aOpmuzx2rU/GXTz6e39w + 17vfs2ft+C7NYyfkdT5dzcAgeBINxgTOcW5sAJwyLeIDFIvwYNBAnbiYJGfxaAJM2K3TeC3wRqC0 + e9RcctE5T7vy4queNjpwEXbtQtPCD+A8lKEGYzibWzQPZu+cIwOpQY1VCDTIeWB0HjNi1IMH77j1 + 8+t33Db77GeGh45MDq3uSnEXuTb4qL30s6FnkDmBqOUUHTlmNoMnj6wl/IAJACRDYAohJwSw5awm + ACbd/pY0bR/d0EUpniFtbDSAqIkjJiN2JxIVHrTo+Bj8cPc5KzFxmqMZudHuOaUuy3BpN7MXtXzR + HsITRnje+V1//sZ09rG/vOkP333xl9d1bT04nsa5DiGCYQaATAzA2SIh+IwW5uQVSWElRYK3vSYC + wKdGX4NR7qFKCoA3Kx1PDPUYG1QJZkgMoxO/YsDp4gElAQz2EEKUbDkDaAnoMezmz9m9cvwDH/vU + h//qa17y0uVrfnC4b3zPsJl1CqbQDNhUU+eIFGSbqa4CAAoGGTsTgGzRzZMXga/g7GkjzXpvo0Fz + yNvgvHOGfWRlHY4jNJqQ8UCoPWe3fyITIeVnnpu+4/wubnzy725+x3uam++4vG/6frVtBpAuKkTR + sjgCmVMBnRTG8Q9idiFKz4BvWZNGRUs8alqj0V2ejj7lwIHvfuH+b/0G7D4HUeD9FGTBBe+JIKbZ + cvk0NBu1g97x1Cy7QIPQO5fnmfkMxgYJCAoAQsiM5NQIpvBqMDjDMEMXextkBgFuNl9pGqPhxnSu + Po8Gw+F0tntjHX/9qf/vd/770l13XZXEzadZzREnMQfHsCAG0kXOMQEGjRJADEKmsjl6j9DAIroE + FcjYrTf+iCc574LBpQfOe8Yzd1/0hF2XXIpzzgV5GMN5NCF3M2JrvWsdjwCDmGRWubiLNJnovWuz + O7909MZbj33utnjo+Hg+OzfNfH98GewzOpsDCK2POWsJJy5pNAQh9A6AeVERUZBzjsmLRkkikIHD + xYbdBw/e9ctvPPeTN573Yz+arnjCQeIZYmibtgmqedpH9s1wOJxOJz6wkIHEmXoBAGGUhJydEwMs + xMaNEGcKao4MHsKcjKXZO9pIiRx2Odu1ehQHD+MjH/nMH783rK3vn83GSVtoA1UAURoPO7nHMVsJ + flY2PqvHiFkBQJ0awIAAQgDgldkAghI7BcBCEOaMuBJ4MJ/w52/65Gt/9in3Ht6jKakGZhEDhGmx + K2PALfbFi/eu7Oi2xtm3VpOtkfutr2Y2hmGRt0SLDXbzL3jzqAFGyAQCvIGt7C3NGUDwQB/NwVog + 6ur+fvlvXv/LV+dXX/qib7ntyKFd55w3J8Soo5Vdq7N1R+xIAF0kcxuVwX+jU787Hl3O4BUKTq6k + j2t5MxkKQlB4hRCigzKkNM82zbMZs1tuVohAFB317WQ9HFubvPcDt73jPbuOHj9PInXTYWjBvk89 + AAdqDTBbZCm5RiWyKqDEYA/PFIzMkWh7GHZ032j07Kdf+sJvHj7z6TjnnN4PEvke3BMnOGUnjoTV + zPrFtycTkQM7gDwR0WZokypBSbfedzbdmopQffVm0255ebnrupTSYDBaW1sL7ZCZL7/88t///d9/ + 6cv+6cGDR2AwExhCCCmVz6V8BCcODFKSpvEx5tKJI+c4HA66rjMDEZUJA9PpdDwelwHzqqqqqqqq + qqqq6tFFRMysqmamZsZ0+NjRa9/2NiZOWUGAbI7MPNQjIZ75t3/nzdf805d1KS2NluJ81jRNlp00 + 4PJV2xq56Pp+OBzO+/6jH/1ozJHYwQAiGAxwjkSQsw4HzTOf9tSfec1rg/MkOhgO+3lnQNM0fd+X + 1PGHdYEV1gzaHFPf96PRKOc8aAdH11Z/8l+86s/+4mPv+8CHnCMFZYn2FaVsl5EBx05UAvDxj3/8 + eVc/O2V1TFujbTW9rKqqqqqqqqoe20wUQONDyomB1ruUhQE1NQNBzWBQ22pGdr/nCCeuVJYzycUd + ibPaiSIHVQAuuJhEyn0cgEX8tJRJgmVeYKnOWtQpoglNSnHxkCCBAdubvZ46Z5CZRWXr/mYGM4Mx + sDQczudzAv7Vq3/q//rpfwsgpTQcDnNMKSUiatt2Pp8TUQihTEfa4YwgZs671vkuxiRyyxc+f+vt + t6XyWZzuI2PnRCQlJaLgvEr+ge/9vkHTWkr3vfOOKsaoHs9EpMwB1FIjFjf3CUTe+xhj2WCbpum6 + bjAYPJrLWlVVdTrbs6vL3Oey42LmrcKtsq8DUKc8V1VVVVVVVVVVVVX1mHM/E+G3TUj03uWs3nvv + eTrv2+H453/+de9613Wj0WA26wDkvDlZ9QEzrctVrZxzuZZUxpBDCDs26bCqqqqqqqo6IyEEEYkx + lmtqbduWJsOP9nKdKoSQUhKRkmzdtq2qptMV5zy2Oefm83l5E0SkzI6pmdZVVVU7XBl5YQC0GIUJ + IQCwbI48EwNm2ZQQuBGFqYohsPPExratadniobYqUWtF6tloh6RZb6mHEVVVVVVVVVVVVVVVVVW1 + kxBR02ao0/yi93/glud/w4WDsYNa7NgghJIkoQSCwvgrSzAggE2VTvz1wIV+NmmbxnIaOg5JsLqK + 4RLC8ER7BdrW+sJObbuwNRRe6wqr6nFlq5g4hND3ffmxFDSU282MmWOMW3PCq6qqqqqqqqr6B8ki + l5FgHpQBLlnXAnRmyfGgHUFymkxC6/eS7Zl0uO2uT/y3/6f9/O2XTqfLXe+ganABYDTBiyLFOAgN + B7/RzcJmvCuAcipvBCWQIXYGSGhaacbHs23A+uWV/tx95z/jmVd87dV46uXYNYS3tcYdbENuWnFO + 4UiJhViphVdnvbcMMSMzU5iZlYq34JmykmQPCjR25+7Z9dQrLujnwz7h7rvx9zcf+eu/ufPGG+LR + IyPye0I7W52NDGMHx8gKMXEuqBpUSzdPdp6cmimVDpyCJgABsbOmJQ9osthb2BytoJJ2WVJCgX51 + fazWbb7tRF9JYZeBO0ZufcfmhyPV5BqX4KzFRJ04TqBoTkmH7IbLg6Xlwa4X/+Orn/tsvO/Pbv69 + d+6apV3NMMWZd5ATWdFEyiWY8yuLES0fKLbl6RKUzUpqNQCwwNhIpERo6+KvNu+tZDCCbc+3Lv/e + egQqjVRVDUTGxoEsm6lAATCUERoEAgHaY49HWl2/GHTBaNfd7/zDtU98/Fn/6lVPeO7XbKwsH0ox + NG3O0vf9eDwW1ZIvvkhvBQPqoKzsYGYKsJmSsVMGkCxz41dGzfr6OpzTYWNLo8lsmgOLI3jvQBMh + JDFVhdjKiscY6xsXvfAbn/f1X48P/+Vdf/BHeqsM56tjQmhginlCYLjgcxR3pqESYgAcQ1SzRxO4 + i7yqdGy5ueplL77s+7/dLtr75dbNidrcMvmOWRzBzEygDDRgEJG2xsy0GSeuOXciID3zs2sGoKRK + i1dS/u9sMz+coCUgnkCGUTMEsLa2ce6ePbKxvrx6z3iycdtbfvfIBz98ztHVi0FuPvdM5AmeZ11m + MjMmyFZBa9mQsqFhDyUxcdw4rzPN6z1k3GyANTSjAwcOPPfZlz7valx2CVZWpPUT1x5yITkv5BWk + Ytm02bVPYWJmZkqiALE51XHjeToP5853PemKS779RZd0GXcdwh13rv7d9Xd++pNy6N4LBs1QG+qm + WTIEmxMuuKzZICgrgMBMUZMZBCBnIB+s9YDACUbWh3l/7/s/eNfNtz7jX7/qCd/4dZNxu5G0y9kN + h64dpCxJxRwpKZE6I4CNWAhCWvLXd5RswUBiIBMP81BnAktxbWPYpwOjJdx75I4//KMjH/rIvnsO + HZh1QzjL2cNc2QwYYIiAAdipwcyEbbuIs9wpWz0bWAFmMjhlI0QHIx2FQXv0yPC2L3zoF1535b0H + L1bMeiVG2RN6gDxUoQJvCMylXfWDfEZs1YuX7ffU0fdTs5U2e00vHmz7uieC1iNlhFHTzKKfre4/ + OP+7X33js/asPPFZzzgeO7GwNFiZznowuYaRk0KEmEFkDONFaP39tTZ4tBiDsMh+BgAwlAxO4ZWd + brb1tsW74YhjltFw4LJ2a2v7G78sCZ+/7fpf/Y321i9ctDoZz6YMNMH3qfcgz955DzWoqBGRg6lG + WVwhITDDgeeKVfMz1xx1zZO/8wUHvuNbcOUlac/SoUE7c61Q8BxgDJCqZKhoMlMGmXlYeZM3D5YI + IARjpXIMoIqSLa5krNuioaqv3ng87vveez+ZTFQxHo+TGDPPZrPzzjvv2muvveaaa44eOV4mf+Sc + H+DyV5kbICJLS0uTyWQ+nwNg5nL7ovs8UFq01xmAVVVVVVVVVVVVjzoiMjUjeOcE9ulPf/qmz90C + YgKc81myGZhBhAdszHiGT+ooiX7mszd+6vpPf93VVysAIlV9zJRbkp00kt40zTz2n73hpnsOHwIg + Kr4JOaXyektdGQED7978xjetjMbOLM37SR+bpgGh9LhUAPc/nvbVMwKxMyL2bj6d7RnuEZGjh4/s + PW/f+mz2337xDX/5F/9oGjspeefgpm1jPz+zp1AtH3R5b/7mb/6GiJRQ81Kqqqqqqqqq6vHDe09E + KaXGeSLLWRygBgIaImYuc28IMJASHjDZ+iS2+fh9igQowLw4k9Uk7MlKmdX9/OX2OjlmxBRL5ZrC + CCBmBhnhtB1paVsDNWImWzwcAw6Yz+fn7t7zxt/49Re+8IWp74Pzfd/PYoRa0zSj0SjnHGMcj8cx + Rv4KZ0Y+Cqy8OURt277rXe964PPVrYa5ZkLsIHjxi1+cc67ng9VORkRlk2TmUvNARERUelU3TZNS + MrMYY820rqpqZyp7qlKgVSKuB4NB13Xb7zMcDnPOKSVVrTFLVVVVVVVVVVVVVVU93qhqiaD23jPz + W9/61je96Xfa1s1mnfdMzDFm733Omcg9cJpO0zSTyURVy8ByuSL2SL2Oqqqqqqqq6mHnnDOzUv3S + 9/2jvTinl1Ly3quqiDBzWc5yQPtoL9r+K+iPAAAgAElEQVQjaqsFtJmZ2Wg06vs+hHAW1WVVVVU9 + jhiD9JSOhqXJZCkAZiMiDwCkZgYz37TekbGZiIkKmWeQcyZqpADYTrTeqqqHRO2NVVVVVVVVVVVV + VVVVVVU7CUNNjYB2BD4+272rP3yYp/MROZA6g9Ai2srZIofpDBEZGAaQUygt+iJmS56dxEgATPax + /70Xft8P/9UnsdKiPflK5P2WDm4FW1dV9XhBRKUzRWlRUepOSiVHaVFRqpNrpnVVVVVVVVVVnREl + XZSIGTtDmxxgysisNBh2kiVj4JzE+QByvvN8+Aiu++hn3vL75/ddM520uSdWExBgGWRAn5m4Ie5T + NInjcdv3/SJ8tDSpJCSCEVrPyKqKVYuHKUyecMHur/9HT/qmb/RPuRJtA8fJU0eIRMl5cU4NlgEj + LT0wGZkyiEwUJobS4Y7YOTARUezmwXvvQyo166atD7ZrZdp17a4rB0+5/NwfeOG5x47Ob7zhjo9+ + 7KZPfPrK4Xh28CiJjkJgTQAI2dQYjoiEQm85RxXAOTQMx0gdPC2SuqdRvUMIzrKcPJ6hAFjRra2F + LBQcEZX2mmZGfGbp1kowQBxlolHb9nNlU6h534gY4JznkW+UQJL7FJNxP2jPfeplNPRP+ZorPvdz + b3D3HBoBjSExYPBCTpnBwCLogHGmydYMgxCBwMa0eMlG0K2QagWMNTPIQAK3mZ/KxlikG5f7GBaR + 2CAr0ccoY0psqmBhGEEIZjA1B3gHA3KGAsIAQxMGDXqFb0FqMlvdm2fD27tbX/ufn/TyH1n+/m9L + e8cbc3GDNiwv5yxeuMRyC6nyVrI1iJSMvZqDCp0Ygxq1bmO6TtbuImqM5hsTa9omtKYZBskigIAZ + 5Hxw1OQ+i9jyeN9q6sw2znvJtz3h2ZcffNd7N677kD98qDE0HpMMAQbEynBnGl1C5s0hyFoPtFDG + ump/2YVP+Tc/Kc+5CnvPOywyY0fsVAA48gwGqbF5R2AyIgJRNhVJjZEjNhGQ9kwcnObTtIu9PwZs + rf3OYAqCNUJBEBQKTo4TAwAbSOGU+yRCtrRv99o9dz0JhFtuufH1v7T74D2Xz6cDzbG3lcFAU57k + vOy18VDAVJ1uGzVkoKwtnnPMAnPqZzFPwPN9+28ZL1/x7d/xpG/6Blx4HgbBhs28CRtQdU6IzUhF + zCIxNc41jlOKalRGMR2DiJyCifvV6dKgDXuajb6jlNsl7/cf4GdduPu7nrd7+vLpZ/72lj953/T6 + 689Tvkj9kERFYMzmyipNZo3ACNnUO7TGMJfUMkiyOVusNPOElbFnotGRQ7f+3C9d+O3fvOfHf3Dp + kvO/RDrXADeIMZPmMAiaOzL20gDIjMwEZLKdNljKDo2KNpaY0EAZQhpD7C4G4/DasT+69vPX/a9z + JpMrFFibtooMGTVDid0cNnQEZkniiEoCrxCUdDMefZF1fVbTxbQctc0w+LIXJWO2xa/ZkAnRwZmG + jY3lO+7+63//H55x770rkmcRo3EbpxHsoUpc1rasRNDGFkPoJ+1SSnz1ZsU5b+WCbwZaL/axJSgd + gFMmwOnmH+J+R+zZyo4USjCFc/CG6axzjIGjgZkeOvLZN7/16a/5d+MLzzs4nxINHfN4OJp2Gy0L + oNmB1DllAM7Knv+hfLe/SkrIrrybukgBh3pdZFoDnBiZoQQyBAUZhHTcDkiT6/sD3oVjq/iTD/7d + tW87f7beTI8jo1kGMibz3ILADrCcOygUcCDvgprPGhvvoAJCFvRZp+1Sd8mB6WWXPO8n/hkuuVB3 + LR+XPHUBYURwnDRGYVYfmBkOwmSOjYhJHMGRLlqGk6FMNCAVJRiVH0prbKBefXmolQ7pfd/v3bs3 + xpxzVhEXwsrK8nw+33/uvj98xzu/53u+Z2NjDpQm7AbwfcPdveeUpGl8jHkymQAggnOcswIog+cl + 2TqE8Ii/yqqqqqqqqqqqqupUZGwCcswKgU1m07e+7VrFiTgvAhlMFY7Lj6c64wESAgyOQ5YI4Ld+ + 67e+9jnPiTEGF7II82OnkyNtXnICwMHH+exPPvB+AwaD4bSbL3pWkgIgEMxa7/7rf3nDEy64UGM0 + MTa0TcvBT2ZTcuy9n8xmrXt4p8CnlEIIKcaVlZVuPg8hLC0tTdY3vHcX7t//0//nv/mZ//hzBLgQ + AMR4ZpnWp8jA5279PADvPU4XC1dVVVVVVVVV1WPBfQpFcs7DdgBKIpmApz/5Kd//A9/7jGc8I8d0 + xx13fOpTn/rbv/3bu+85qICanihZ2Dr93DprZMPpatr6FEFwzpfnAsDMqmr5pDs758pVy60EgpP/ + DQMIWNwHBjNdvJYTr2izkAFAaZ/HgC7OogEHNN5D9Lu+80W/8Wu/PmiaOJszE5m2wXt2OWcz6/ve + zAaDgXNuOp2eLeG47FxKSUHNaLi6vnHdddcBcI6y3O84ATsnkgiQJFdefvlVT33a9pVDNz9ZslLq + uJMKMqrHqxJlDYCIVMuA2WLNDCGUmJOyn1HV0r36UVzaqqqq+yoFWiklAETUtm3JtG6aJsZIRM65 + +XyOzS78NWmpqqqqqqqqqqqqqqqz35nNf1eCGLFvYs4f+9hfvPa1/zEE6nsJjY8xO0fMnHNmZlVx + zt1fmRsRra+vl7lyJdm6XHiq4y1VVVVVVVWPDaVmoBwcElEpRiqthh/tRTtJWUJsXhD03ovI4y3T + GkDOeWlpaTKZlGPyGGPbto/2QlVVVVX3i7dVGm+WkioDBnLEnryZaRYzIuLguO+i856ZSyMqNpiZ + aiaiMjS0VY9qdJoy5uqssFWrSXRmHVAfJjXWuqqqqqqqqqqqqqqqqqp2DAIAA5wB5LC89MWcLvDN + yDcQIYOVTKGSNKNGVnoXnIHt96fNZAsAZWDaQDxo+tR38419wxV0HZaGRluBR4sei5uPtbiVzvqw + kqqqvhKlmLht277vt27BZiucGGPp+0lETdOUfz+ai1tVVVVVVVVVZ5USa+3VO2WnMNJFt8ouheBb + 7zlF180udMAXbvvStW/feO+HL+tSN9tYaQOyEMCeNBsBjqAGMmXvmFyvMpv3nkG22WPSoAQlF5nX + oHE07FvfXHLJlS94Ab75m3Dxxatk87bpHZvBCAwHAMYwkBIBzGZM6kgJEUpqbHDEDF5kKatqVoUF + 79UsqjiQGzRsELP1nLMPHLw4ccntXhrsvWD/U5/xrKe+5NA973rfPddf7w8d2UdoJA0NDRsAgxg5 + ZTGDY1+a40nObBSoNKXyMQmA4Ad937UnDV5o+cGZ5cnUZXFEzJxFSUFq5M40tVNLb89eIzQIdOAD + qyXJPrS9qCRBJmYPgNnrIBzNqXN+eMn5+8aDK/+P//2m17+h2YiDZOygAAMMRgnM3vyMHjwDK1Fi + PwscmQAWKtG1yE6FbCuDVhiZAXNeGi/MUGfqVYNqI9aosmowYVO3vSlpSRdWAGBSsxNFjXAEg4gp + 4AEKLNA+YeA5ZwUjZwRCy/AxLulkZR5v/vVfHx++/Qk/+tLli/YfmmdthimjJWYFEZg4AUYKqJIZ + lV6oDDKCGlBumefYtp41BwqW89LSUs6SUmqaVmBqVKLHAagZVBsK7MI8JiXmc/Z+SfvRpRee/89/ + 9Oi0m3z4z9Kho/sbhIAsUFWcaaY1AKJk4hVNALV0r6B/wkVPfeWP6fOvPrhnZdZrNh6EgWbrNDaN + FxFTKJMjIgUJTERhCASwmQJKMbm2iZZFhDbjgx8MpfIGwikU6tUICAKnrGAhJGIFsRnZIrA2tK3v + 56NjRy90fPSd7/zC7739ifceW5n0HiAHcTTrOgcsj4fddO4ZYFUyV7YyW8SnK2ACNjVQdu3GYHiI + cnvZJRe/4Fsv+b4fxHCYW9+3Ye5oahodsQuqykSeAMtsYiZqCYkcvIMZOSJbhIqqqcqgGcSsPSmC + t9YdQ1ZShvM2aEdh5fnPfc7XXo27vjT94+u+8MGPjNcmy9mPElqBNwXABqcouenZAFUzJYTWtdly + zikQvAc5zKc5NLJLunMoHrrufXcfuf3pP/UTFz/5yi/38zxsqG0Ay330xM7UKStAJfqGxEjIzuDz + egQwjM3ItNU8sjyIvZ/NMJ3e+kfvOvixj49v/9KTOQznHXJuyYEJ5Lo4b8kNQ5jHzok0TdvHPpx4 + USdCXs/2Frtb4cGLpOnNuO4yMr9VBQ6AoK3oSkz7Dq1+4pd//cJ7Dp+zMQXgPdI0OtcAUEhW0whj + 713wwtnmDorT7djpxLPe//KVrYyUFbzYJbNti8E+8ZGYAUQAGyVmI3UNJj2WRjyYayIwmfbdEvL6 + Zz53z7X/44JXvuKCfftWZ9GNxpONyWDgoBmAgY3BBjKmzfbZO4cBiVE+ETY1gjN4LbX7nImjg9Ii + g12hTGCxljX083NSxhfvuvNtbz963QeeCGv7aWBYwGwGCMYtwzjF7BypgBkNO4hmiQYiIIkIITNP + mmZjuDR48tMu/+7vwgu/5djQ1pcGYizeMzUQhsKzHw4b1ayWVZTImGHZgAzzakZqRMQgMMGwOW0A + KHnqUN08MKgeWmVeYtM06+vrzH4wGKSUzGw6nTrnlpeXh8PhW97ylle96lVHjqw+wOPkrERIKRPB + DN5zzloyrZ1zMcYQwlaydW3PUVVVVVVVVVVVtROoagg+q+acDh89+u7r3rs1wpVz3ornMUM513sI + EPoUB02bY/+eP77udT93/Pz9+3LK3jnbCbPMHwbT6XRl965P/PUnCZh3cwCaTzQwMrOG6Zu+/vkv + +f5/Eogs5daHlBIRdV3X+MDeTafTQduanPkQ/Znw3qvqYDCYdXNWlEZLjQ9iysSvfMVPvPnNb77n + 8JFpH0Eg7y2dWYcjdk5FwFxS6e6+++4YY+NDDX2qqqqqqqqqqsePwWDQdd1S2/Z9/7Ifeul//aVf + atsgKUPVOcc/9S9zzl8+ePATn/jE/3zXu//8Yx+bxt5t1g4oANuWb32601QXvOScJYOoVBIoDEyk + BqAUsADQ03WVZdBWjjWBFAYzMBFRSaomZruf0inefGTTRTlBG8LyaPwLr/u5H7nmhyfr6yY6aEKO + SU2IKObIzCXVoJyLzefz5eXlEjx5ViDHTC7n/MUvfvHzX7jdALn/TGsQpdwDYAYpXviCbxkNhmTG + ZsDm/NCq2nlKH+pS3rCVREJEpVG1c66MnmFbF8Wqqqqdo+zESrJ1CKHMembmGGPZoZW9GRGVXdyj + vbxVVVVVVVVVVVVVVVWPMHbsc87OuZtvvvnVr341Ni92pJQBlJDCMrqy9eNp9X1fxoq3RpKdqzVx + VVVVVVVVjx3lUpqIlMO8nZlpvaVcECxTgXbycj6sSqY1gFKahc1j9Ud7uaqqqqoHSwnEEMkiQuQc + ExNDTVW99yAyVTNlZmaQSRTx4NIPzRa1wlwzQs5SO7Aas8ZaV1VVVVVVVVVVVVVVVWelrStkj7EW + 8AY1s8AO5OH8dNfK2uHV88kb1MCA8la2xlf4BKxcsovMyYn3jUFRcjNams8nMw8dB9gUiJBeEcoT + UknCJpgqb2ZdlyCNr2KBqqo6W5V9b4xx6xbdbFhTpnaXHOuyl66Z1lVVVVVVVY8Z22tfts7Hzeyx + c2a+I2jqu5WlFY3ZmQ9NO5tNlWnkQ4qJRbklna5eCMENN930y2/0N950QT8PSVsHSrGkOW7mUZKJ + oERhZjHAExqGKJyDJHgm8o2llMy6UftFh5XnPvvi73jR+HnPxb49R006RGnbtBnnSQYzZYCgXBId + CEomDIUmhpESc5ODGAMCwIwB8GIcR6k0xARIqfzYgBtAs0VjwiAqjpsO94zaXeddcNVVF9z9xTvf + /8Gb/+T9+7987wWi0uUWEIBIxNAEjwRNQnCEwCitO1WTMXHD0F5aOLJScc4AaDO3lA1pbRWiUIsp + Nc2o7/u2DWr5RIDzg8AGJoFK68gkOk/ZMgjwnJHh2RkBYCvZzLD/n707j5PkqO5F/zsnIjKzqrq6 + e2Y0M5rRSDMSkq6EWGQExhhhkAALI2y8gJ+N8SKwgfeMuV6ezfP2sK+B+x4PAwaMuTaXZ/ByMVzb + LMLgBWxjFiELsRq0INC+zWh6uruWzIyIc+4fkVXTI2kwIxCzOL4fPkOpu7oqK7MqszLyxPkpkVqB + G6MsFvtLFz6yd8l3rL/vw0uhQexSVLsxm9k6P7J3D6G1bpV5X2maTQsjW7itW7fsPq23fevmXae4 + pcX+lk12uIRqCGMhCt+2q2usoT6wcs/NN+y77vr65q+au/b1VlY2N7IspqhFBAyAuwVjhQKGwIZD + EGfhA4wzMUZVZpBNXVW9UPd+EwAmcvcOAgxJlNZwux31/ve8+8s33nDmr/78llNOWSsXGoM2SN+V + vm2LotDWNyILm4bj8bo3ysoEgbISIiECygCpqjggSoDhKAFEajnNNDi41QkEYbAgCoEMGBYeAW5c + DHsLdssLL9u3b6+54lNxPIGgZFii2TY5gi0AUgMYRRFBUwpVtfTdT8JFF67bhdA6w9YwTB3AIj2a + kC8DHBCUU2QzKSxBiZp0fm3Iq9rCthTEIJLa+AAm9woIRhUKo3ACAdeqUriGqDS2aHxo29grlATR + L7aTpf333P1nf3rbe9+zp5n2axQGKlABQwsGgDCdFmCoxKCFA5S8akEusq6H0B842/oYPGx5N8c7 + Tl3e9cxLdl3yVL9t+93GtGzQ5dOzgi1IVIlICC0ETKRMEAaMEItySrJmUPfpZTBHAGyEJOVcg2xa + gVDTQtt+ZXxrTztt8OIXPeLpT73xQx++4YP/tOWetV0tuxCDeAGcMUGiV1gLw5AWRIqoQOybSmIr + rRBQMTQqUwTWl4PBpz/7qZe94lEv/tmdj3vs3XGdhwuxjQUxFMY4iRpbX1guCh5T9JASx9BkbIL4 + dq1fVJZgDowWmHHLbfve9Ze3/NNHBuvrZ7QyEHYxSnQMIwomgkQL8hopKBdWmKYiAjCxURiBgQgx + qGtSnBKgj18HF54EKbJbAUCYfJTKwsfABatvNjXYfGB868t/9/QvXMfrI1iwIASoZa+hstxGLcoy + NK0KjCrIp67PaUVFgBQmdZRWKISpC64WgBgq3Z6WUivoCI5dk+r0+QGgJLOwbfCGZGtCep7Z9zQg + CpyBTIUIVqERllGJbF0b3/SBD+943OP7jyji8klrselXLoSauEvy5g1h1sfaxhWCkAApq14FICUj + ANAYHkmwvYGfNgteqqI4IBNrbV+lHE+Wmwm+8MV/e90bejffdI6FjqZkEBXwXCrAEoIYFcuIUQsL + LwgSSWEZUTUoYMmXg5uDNqedduZzfnjx4u/CYLhGYdwrPTErCjCLQJGO+y266ytdOrUaghGaXVsx + DHQ7MO76Vx889rOCldJDdb/PvklEUu65prFr75v0AXWGjCEfAxEe/vCH/f7vv/EFL3jB6uokTWVM + DdbT36ZhcOCQ1vEp0BoAEaU0a+996s+enm52t67Rcwgh/fZb++ofdBsyvB/ct+3GU9H0pA/q02VZ + lmXHlLZti6JIt1MF0cZ8haO7bFmWZdmxjIiY2Xtvy8I37Zv+2x8KAFDEwVO2dCONC1k2UaJhw8w+ + eMNGRPTwadfpIHS/v02PHKFve9vbfvmXfomNkeP/+poeeiFDuwQ1GGdX19ev+tSn5qWl81M26xxi + MOA3/N7rLXMzmfSLKsZomGOM8/5Hzjk5OPr1oC2/ano6ay0LRMSxEVVSaIz9qvrlX/o/X/KLv1Q4 + 04aoR97eaGNuXGHtuJ7ecMMND3voeUjR6RteXlo7x/v7IcuyLMuyLMv+I1NVY+383Mc527aBiOq6 + ZtC0aU5aWn7lK36nKpxvvWU2zKnmpTTmtJ07d33/9//g939/VP3IR//lve997wc++Hf3HFixREQU + RCLANCuFYhY9OI8vptPYjYOiqgCYmLq86q+11FBNl/QEOjunnV10I1GVQ05U9JCbBqSANTbGMCjK + l/7Krzz3OT+2aWnYTqaVtaSQEA0xSABNp3vpSmu6zGqMOY4yraOC2QbREOXd732fzM7giGi+itPZ + MZEqoIiGjEIgaoHve8b3QmTjcEJOts6OTakhdbrOkj626XaqcNh4I1+LybLsGJR2YvN6MMymOWPD + xOd5M/ejtIzZA7ex+m4ub8osy7Isy7Isy7IsO5xUVG+tnU6nvV4vhKCqKmKM/cpXvvK85z0/BCFC + FBAdUhB5r8s381Pyebl+miuXfrtxJDnLsizLsiw7kXjv55nW9+qEkLoubOw7dxSWD8DsImD6t5uw + c6JnWs+/n2+8kUrU0h02zso58VpYZMeCdLKp2lUAHu3FybLjEmm3f9Yu1CNlhUABYoCJNErq20lQ + gpICqe+YCKKokIIMSddwhwRgsMziQnhDjXF2XJgNuCFKN8eUiPSoppTnWOssy7Isy7Isy7Isy7Ls + uMTMMUZjDBGNRqN+vz//ydFetG9IiMGZQlshAQa953zg/V+54HF+7755FR8ozgJCHkgln9D9j0dK + jIVzYTIC08LyYN9kfZvtoZ6CNqX76+x/oan7ZYX5T3M9YZZlWZZlWZZlWZZ9sw2Hi5PxpM9F0/g2 + xOFwsQ1+vD5a6vdc8FhZWYbEq676x997484bbjmNYLwY7eKahQipao1EY0wRmMSAwigZNYBEFWNg + yIzbqIx6YbiXKeza8Zgf/xGcd3bcs/t2Z9eZuN+3iHVdW56XwREAUTAhzlorzocojCAyWEEq89zF + QzMH5uVuIjSvfVZSIhUjFuAAM7YYWwARxvdPP333T/7o7sc/9tZ3/tVXP3bF9jb0J+Mha6hRFJjU + oQAKMgBElbpxChYgkgBw9x4LoRS2DYAVYX0MERIlS4AQpUxsoQcSQ6uUIkVTACrNC7uFlQlgFUk1 + guCyqIJv2RTT3gCoT37yhTd+8J9DyjcVeIISjD7AzpW1NePNm3c84Tt3nLsHZz8E27ZjMEBRoSzX + SZvCTMiKkhEDcFSOGvnUXeprE5qtjzl/NwPjMW65BTffsnbFv972qc/I3fu2GmPr1vpQGqONt0zk + TGhDDFL2bD0NVWmnTbCM1OhUwQRSqHQJrQCY1QAsYCCkBFxRVE04pRmtfOmaj/3WKx7/67/a7pB2 + OBRnJ7EuynI8rauiV9n+/n37+ws9jzaSEsAQgEkFLELCXfIszwIzSIkBCKV3IxgQgumqLWeNyboV + xgIbGCsFm816+jMvvf6Tn9luCkutRsS24SOvr9PoCYgRhcOoFd65ZefTnrw2qCbcgzgwQJEgnHLd + AaNgIJKkt4cezH9kJYkAgZhVwOntdEQLw9q9ZCFlpPcVCTgSqFdNvLe2qOuao/R75SROyhA2j5ve + 2tq1r35d/fGPnUtixnA9+CksdaG2nNJVlQGCclmgaaU0ZEFePZuyLM2oaQDIoLdaVduedOHpP/ID + OPOM23rlunNExNptwe5NrrxhV6FQodlmElKi1ExOSKHEKXNUCRGc9iQAFAyF6TKvixY6YdNUriHq + OwzP/7Y9u07d86Qn3/Kn7/zihz92RlkMajXBex8BuAJNA6RKShXAO3CKPk8LZhTKICASejHa0YRv + ueOTr3n9w3/ix7Z9z9MOrMXQGzRERGY6bvr9PjFPR+ulrYqqDM10lmJ8TDAim53jtZWF6HHHnV95 + 3+XXXn75OYFOX58OFeyjVwWMtZaNjb6pJRjAGQejdQi+FbIgYkpVztrtxlkJKXL+BDGLpFUgJVsT + t4AtXRsDGQRqq3ayGYN9f/4/7ac/u2nlTgUMW1KJJKKqkKaJPULbNAWzLYpYT8COATIsJF4RQeIM + jPGQJnb5uBAlVatkmAwZG0ViiAEFoXAGMSoARoz387YSmmVa6/xVMLqdQLohALChZbUVWZKwY9Je + +d/f/u3/738tpiN1ltU4wym7iXXeTuAY3bhpry+k828dSizgwOR6Aw+1hYOvfVuXPTbNdPM49IKs + ve8DX3zHO3bcced234aAhRJNANQYsQBAIX1VIIUz8AFsUnW/gsEMsVgpel+Ncc8lTzvluT+Gs/bc + ZVWdq2G8NQCMwsZ0qJKWU/w2hNgIBGDltDdjFVAAIBB0Wda88bLP/FvW7NtFzrT+FlFVEQEoXXa8 + 4IIL/uiP/uh5z3ve+qgpS9c0Pn1gU4JymnV5uMdJN1LPjjQfMv3h+vr6cDhMLTzmHZ+zLMuyLDsi + qloURdu2xhhjzLxVVsq6Tkfqtm2rqjoB6oiyLMuyb650aLDOTetmXDfveNc7iViJIPffvCZKZOIo + MUpM//nAnpeZVdUwiehfvOt/vvCFL+xXVa8sJYQTKcWKZuHM1tpPf/5z6/VUARBDJUWXFVXZTGsL + /OyLX7hz2/bp2mh5aVHbIBvC0b5lWd+k4I25b7PLXqwQIokC4mc8/dL/+v+86qa77gQfeTuAQ19I + apC0vr4eY+ScXpZlWZZlWZZlJ5x5SDMDBLRtAMDMpKQSLfMPP/vZC/2BxuiMkRDTOQYJlNSkDmRR + ATzhcd/5lCddJK/ha6655q/e/dfvf//7v3zDDYBqjIaYmX0M82eMEolZN+YlE6XwgShCAGF2cXN2 + OmPYqOo8GJtAzGyJgkQwKRHm3V2J2FqJEapQhYKIoKlgiNLZmwEhhp974Yte8pKXDAcL/aqQEHkW + kH0infgYY9bH46Wl5VE9fe9732uZvYg52EIOAO7VpDfGSEDBvGVp8aInPqkejSQEY/IF4izLsizL + sizLsizLsizLsizLHnTMnLIG+/3+ZDIZDAZN44l43759L37xi/fvX5vf82ukEBJ1M+wwy64+4WMC + syzLsizLssQYs3G2JhGVZRljjDGm7grzCZ5fo99C9k2XOl2ISOrGH0JIc2lTgnXaXulEwDl3tBc2 + y7Isu3+phaCQUDeNETQbnlECECVV6UK0azYoQEqvTrSLwabUBhCYZVqnVovo/s2yBy7XOmdZlmVZ + lmVZlmVZlmXHpXRdE0CMcWFhAU+MjrYAACAASURBVEC6rna0l+sb5YwNvrG2hBC8whVrwaOwQQIA + 6jJCtGv/oEc2RpxynIRgtAv1QUpCSAPQIVh2EbK2d7RrqTfZP/7HCy++6AufoUEPxArUsSlN6crK + ixYbY0qUicCQPFydZVmWZVmWZVmWZd8MXLfB2CJILPuFKq2sHyBrq+Ggjg3GK1vbVv/2Hz775rec + V7elaPDeMBSIhJgynBVWhVQV4NSpsutdSQAjoqjga/GIbtC7le0dw4Uzn/WD27/3Ur95aToctI5C + 620MphZYW5kBxS5wMRIroMSBAOXUdj+lLc4ygwESViGVVPo2H0HQ2S1J+c8qkRWAkiiYFEUUEg6M + SByJjbJVmhD8kIePPHfXub+y5V8+/vm3vWvhhptPm46ceKox7Blfx0jRlqVMWwKlIABlBKOsIoCR + 2dDHoQECrJjesx9EBGEDlWAYIImq9kgiE1JYNUBGQWqMzF4sAWDWLslZwGBAu76eFGCVg3ErRbHn + kY8wm7c1+2+uSHi2Dklmsd9HmG2wXtjBxRfiJ34Ei+W0KqS/0IIlkhrbGPYGUUGqFmqIwFAyo1Ab + Zwrur8Y4Fer1+sVZm+3p5yw+4aLF9dV49We+9IEPTj79hZNrvzUowUdRbYMzhmJEG6qeraehV9rg + AxRCBICVI0skAGwURmiWaU2AFQhrLAwkghl238o2r5/6L6+64L+8tOztuCUYt2Xb2njqSgdjmqZZ + 7C02vlHHKcRaSWyEAVTAygQhdaSAQogViMTd9u5CKQ7mrJOCIAoEo5FIwQJi5akp76j87sc+RpZP + aqZ3W4psokQ19LVm5B4OEYTRWnMgwu3ZjXPPuRumICdkSQMgSioUnIKUrTBrF2cuBCXWbsiP0QU5 + d6GnJpqU1X0ESwIUAZFQOwRGLwCAN+yJPUBkKIZ+4VSaabu6AN0aBNde+6+//fLlu/bvDvDTpiqw + PsWwgHgQGDrLiqdusnIrJAQVglUQj9smtiiXFu8g7N+25awf+6HFp16kC8vjonJqFjxYVUiE0y5L + 0tgkAUbSiGWKrxZlFUBJgkkbjhkgEQNRStH10FnACWn3CAAiYiS0xKZwke2ab9qI3tLW4XnLp/7q + acuPedQX/uQdp9+1tlm0QBsjpKW+McwGGkMIDLC1bWhdlxHMAEi6Ny8BPZUtk7p/691ffuMf7tq/ + f8uPPGsvy9SWJfWrqrdeT3qLfdsf7F9d7TeDHlcajqFJ2mXU3voBd9edN/3Jn9790X/Zpu3jWsFa + XUWjSgBZSEAbQssKODgBAnz0GlFYVAXaBqpSlkbaKKSRKBUyk3YfxhOprDl91roDa+lW1le3Dofq + fVMf2MMWH/nXO959+bbVuyyU2arXqMKAkgAoHIMNGq8qoZ4IYNQHIEYr1rXOrFFYt2hK1xTUEJEz + pLBebIi9gELVtShDu2iKEiLRSxsZFElbgQDWgrX71AAQSodOMdptDnQ/ZiMwqVCdWLpDOUBiFU6g + JP3xiD99Dd7/D8NnXTIqe15U2CiRwvDs8WdJQsfWljUKFhFCYAQGKZTQGkQCCjttahGpnEFF2ta9 + VnYEwf57vvr6Nx345FU7x+ubIG3AYt/4JhoxrBYwgEIZiOkVxwgCTFHW09pUmARIi9WiuHnr5kf9 + 9PP7T3rKqNcf23JiyMe2GPQQAqtYgdNIishgSGBEhoAZRMJG0R3tZ2280xekmKYTzL4sKYGUWaFg + bEhUyh5kAiC1ETdQ39ZVVdV1/djHXPDa17725/7zf55OfVHY1IC+LJ338WvPsZx37jDGxBiLohAR + VR0Oh03TlGWZ7pbmBz74ry7LsizLThzp8BpCSMfQ+cE0HXBDCNZaVU2H8qqqjvbyZlmWZceW0hVB + 4mQytVX5l3/+Pw6sr8uGtozpfF3n/6EgEKkWxqqql2jYxNm/B+/572AoRKUbsgZuvOXmK6644uIn + PalpWmf4m/4aj5bURiGJqp/45BVprNsyx9iNLDXT2lnetLD44v/jZyHa71USosR09QkCnmdaf2vC + z9KY0pwShAQAkxVRlbjz5O0//tznvPJ3XyOq+gCuFszIrJPE3nvu+cYXO8uyLMuyLMuyY1DqB8rM + 1tg2BgDOGR8CpS5xIpde+j2GSEIsCmetQRDWND0SAIhSmR+VrjTG1K1/yO7TXvbrv/by33rZl770 + pY989KN/+b73feZzn11dWy8NO+fGdSMxGkMiAgJkfk6r6SyXrYHoIQnWRJTiqzdQqKSfEDBLaGZj + AEiMkoK6CaJpSuO8Ak8Z2Ly09JM/8ROXXXbZzpN3QIKEyFGh6AqL5qdQuuES+XGrbdt+v980zTXX + XHP9V24AEQBNHQEPHVVIdTNl6ZrGD6rS182zfvCHxqtrhTVlWXWNfTesjRMp/DvLsizLsizLsizL + sizLsizLsmNBjLGqKmNM27YhiDHO+whgNBo9/6dfcN31X6FZv0jnXIxRDtM8ct7nE0CaFhdCSPl5 + 36qXkmVZlmVZlh0F0+m01+sB4FnvK+dc0zTzOywsLIzH47ZtnXPe+6OzlP8hWWvTCheR+df49EV9 + 3s7CWgvAe5+TrbMsy44jNOtahm4GagQokgBdUe790PQbVvp6p7lmxybtHO3l2CDHWmdZlmVZlmVZ + lmVZlmXHpdRMIQ22ee9FpCgKZj7c8NuxNSx3WAKIdU4jiAkLQ/ggi4MDK/v7hTMqKTbGaJeB9ECe + gAB0CUIbESOKqoZyMBj4Ubs6PakabhYBkyFMm0lR9itTSgpT6OIW7vXYjINtCbMsy7Isy7Isy7Is + e+Acu2k77TkbNAYfi14JIEzXljUuM/b+6Z/d+Bd/dV4Ar65VZdlsjDlUFgKTiMKQMHXJs6KYZcca + gUotkSHDhS95b8479/GXXYYLLhj3qgOFXY2eGl8VrrLON0F8LIpCpVVWUaIUKEuzdpMAKbEiJTp3 + PRaJlcI8/HJjjMHBsNM0uKCkpAqODNJURycEAsAQACEELtyIdMJwPp560ZO//Yzzb337n1z/d+/f + U1o+MF2MpIqoCNOmsEBUVRaCEFgF9z+C0WVRGEWztoaYMnSJohrQNzKCRAojTF0C6OGCXRlAG+qy + cPDqRWPZg4snnXVWc/OdLtasKeBiNnpz5IvTsNkPOW/btvGCXXEm2CJElMF6772SKDGzEaghAQIk + qJrCGWZi9ipBdUok7LQoDYeyKrY++eKHPe5xuOozN73rPdd+9t929hd6o/HQmLpeq8g0MZZtqCxC + EzBP39CNORxE3VqV2RoQJURAPCxDAjZb6w6M6YYb/+X//q0n/Nov7v5P590+XnWmiBqnoS7ZQrRk + N4FXEklRpgAJQGmsyoIEygJoNz7FaeVFgkJIGSTcLZUY4cgiJJFZIVBOCaO1LWDMttMf0t6+T0R6 + 1pioouGwZZ2HQQRRGMYkaFgYnnzuOU0TwrBngiVlZSEKCmGFjZxSS+cdXxUcCUTdCtTZDShzWlSS + efnp14MVpCCCgJQ0lalGghCpD5bIQqFtlMmylc17R7jq0198/RtP379STqZVEANGlIHFtEXZfZSI + NW1LTavdsfUhtogUIQa2XzRKt4kUj330Y19wGc55yB0ENmXr1RgijcTMYBWCma8y6RLfBUYBiACq + KceVIwtSuIji4PqY/6kirb5uN6hMTLZwXnTa1o6NqXqtjzV4WvV7xm1+xqWPO/uhX33DH9z8uS9s + buOSNb5pTTQSfXrvKAAORIgkUGalWfUuVCEACRagxXRSQG9811+tj1b3vOhnirJcmaxXm7Z42PXx + mh1WveVFnYbYRj6WUmmshhs/feUnXvP6R96zeq4PcW08AAyTqmra9xopZmPHdUQU9Bw5dhKCBGGg + YMSI0EQDMEkEp5roWc45H+/jw6RQYiGZhUMzgUGo63p5eXm0un9oZKct+JY7P/2GN+1amyxaMAAf + vSoDZLr3ZBvEQooCIWISUQ75Tk+xXFw31Yh4ePK23Y8497QzdmOxj+UhtizDWDAjCiYN9q/g9rtX + br2jvnvv566+eqvh3sS78WSR2UKAtnAUQphlIqf/Sx+K7tAzj3tPydbppbFSOhwTFMqcIuQ1LhCf + XbjP/MU7z/+ub1vq7RjbciKqbNKBkhVCcsxeXGFhIvGGIquNmFfiS+MrIu6VpE1oJlsdlldqXHfD + tX/wh+aa63auT8q6HlQuGkDgI6rurZuCliRlWwtgYFXJ17Ua1IRRDwdstXDBBRe+4AXYs2fv4mBv + 1J41zjmI9W1A94GX2XpPuy8xCgIo7ckBkKTde7eNlEFpVSuUUj486cFvd+mt2EU7ZQ8+Va3rejgc + ElHTNEQUQnjyUy569ate9fO/+ItRgnUIHjHGr/29MU3CjDECiDE659q2BcDMIlKWparGGK21OdM6 + y7Isy75BqSeCcy6VDKVZ9+mYW1XVxl5aWZZlWQYgxtj6tj8YHJiM/vsf//9pVDkdOO73/ikNLMSQ + Ll6kk8HD3fmw0tCDAsSqqtDXv/H3L7nkEooCPTHP90MIV199tbOmCTFFpqVyXwIkyAuf/7wtS8sF + s7SBoCJimORIx+K/SWaXddLlhoOVuaQgon137/2J5/74777+dVP/TdhSDBw4cICZcWiMXJZlWZZl + WZZlJwDnnAJt2x7MtPbdN39ruF+4h5/3sMK6KJAQNIrlrm4mFdeRAkQEhNYLR2uYC9eOp942Z+4+ + fffu3Zdddtn+1bUrr7zyXe9614c//OGmbgxziMLzNOpZvDIzG2N88ABA1GVUi3Q9z7pzHkoDqioC + gJghmk7cVFVCdx5njIkhqMIAvaJAlDaGflGee+65P/P8n37mM59piaN4aRtr7aA/mIzH1poTMqeZ + iKy13of3vOc9jk0tkYmDCs9nWc5qN1KlVtN4ANO6scBzn/Mc8UGJwrFbf5FlWZZlWZZlWZZlWZZl + WZZl2YkjlUTWdc3Mi4tL4/FYRNogL33pS6+55hpVqHYXszaG4d1XWZapUD81+Zwn5GVZlmVZlmUn + tl6vV9d1WZbzgOS2bVMT+Kqq6roejUbW2hBCnrn5rVQURWpYkW6krZO6XsxvG9PVpM1vZFmWZcea + 1Nmsk253hcSsJPPmjUpdSWr6o9kfzBvwpUMw6yGH4tRd7MScr/ofysF676MkjwBmWZZlWZZlWZZl + WZZlx6sQgrXWGGOMiTHOU66PaypCpMTcNLGoDImsWtoxLKURq1BK8VTC0HlA9RFhTX9076Z4IjBl + GZpmOh6Vw4KMn8ZJoBKTEeJizznvG+PKCPGCko3eK1qpG+U8lkJasizLsizLsizLsuy4RUSsUMK0 + bauyNCSjAytnDYd8695rfv+/+X/857PrJozrxdKNm2ZQOG09AEOgFDmLg1VrMjt9ZwLYQAFhhpmU + 5Zer8tQfefaOH/7fsG37qtLKdFKZ4aJ1LVkvEkGuLKsWUnsUKiQKBoEgRgVgUjYCVibdkCkLCCTS + vWrdAGAeudm9xtkPdVY5FwkGYkWKtNgE6tm6afq9np+I0YWROGw2u37hF3Y+5hEff/Obz+CG7jkw + sJZDsAVCC0PQWVSkFdC9hyoOiZomFa1rtF2JvGokIqglOrKybFZAmQAbu9cnDD9fAwSTgkQVAIwi + QhobrHM2kImW0Yt+umnPQ27vXVlN2iKKmcX0PjAMGBSItmm0BU8bb0w5sCWiNQVHaIQQqYpGQIjI + qETPQaBUsDXGgbmFTMVPDdeDKiisyuYLvm33+Y/aeuVnr37HOzZd++Vt66OT7CKkLVQnUfp924ZQ + HHwbCAFGkFbtbF1EUEydWAMDYCuqyhHRFT0T1gcrK2ffFK95xWvP+Y1f33Hm7rurODZFtDRpmgEs + g0hTC1eQso2UeoB2CdaESBwJ93rjKXUpp6wsJAAYjBQdTjGYQAInKKJjZccWwWzfvWfvlZ9ygdNI + o977If99XUFghFjEsqjOPnstRuMKCmCI5whSG1MwtAFYZoEZKQZVgDhPQwUOPr8yQJzSu7/uZGsh + 6MEcalKCgIXAqgMhkRBsFG2NjDfXAZ+4+prXvWl5782uRsXsXBF9aKI4Qs9AY3o8h25Dx1TDqm0o + uWjQEqHHxV1Tf2Dblk0Xf9cpL3re6mCBByehDTFoVbl1P7L9ot4wMEkK6rJaAcV8C8p8pSuMkABK + HAjCjFlWt1GmLnMXSiIEBRNBQyjLsuXQtl5ULMDOWluIj2Ot0HeL5559+st/7atv/eNb/+Yf29Xx + tmqZ27aRxgKmoOi1bmEqNBGs4iIbJYBJYUQMIIoILZ3x0+muu8I9H/inz66NHvnzP1tt33bj6p1L + S5tCTdPJdGFpcRrqXtFDDEf4DnoQGY1n7dr0BR1vhbHr0x4YUCoqab0BRH1AegsiAsqoShd8EdrI + YANIFFEQwTqoBwFGxWiXZN+NVx/3I/QdAacYGwaMcmlNOxn3LG1R9Cfhmrf+2eDOOwbjEasoIKpE + IAtVaEj7YctkfNsEAi9Vtzt7Q2W2f8d37Lzwiec8/GHYsRPTqa9rDCouq0lsTVmoqohQFKNqiBZi + XGybHc3a3s989pYP/nP4/HU719qFuuEYWNQQE2S+trnbH4KFQTK/dkBdEDJ3seUKaBcoP/+QVRY6 + XrNxuvpPH1n6oe9rB0ylBRhI0dcCOviRPNa2rxKUGBASImjahVoBh9Ab9PeNV3oLRnnSu2eEz932 + ld99Y3Xz9f1JMyxd0avCtI4AR62QPtnz4CRRgk8571S0sRWm4BBMefegoic/4dQXvgBbT7knINpq + c2XryRSi/aIYhVadEYJnFhVSEIhUncx36AKwUFRGJAFgFKSMWZNrvs+naTaBoHu9Ryvb6T+aENp+ + v2qaqTEGkLIsiWh1NP7e77s0qrzkJb8EoCi5bSS15Jj9XRdqPn8c7/18QmAIIYSQJnCmy5qpa3y6 + vpnnBGZZlmXZkUpHTyJKR1IiKssy/SrVDiXMDCDHWmdZlmX3S6BXXnnlF6+5BqmBI/TQ6wmHDMBa + Yx/60Id+7vOfK63zwdPhxu8J9z51T00ECACcq3zbqmq60xX/euV1112357TTquO8yaMe5nIGGb72 + y9crkyIFendXgipnKchPP+/5vmmLskQUZRg7z7QWpTRgcjBt+kF16LNId0MhGhRSuF4Zw8nbtj3q + kedfcdXV38hQ7ywyG+PxOMV7p+HHg8+ex32yLMuyLMuy7DgnIiHG1CHUMKWr+MYQARrlzDMeMhwO + Q/AioSpKJREROfSUh0EAXFXVdU2qZekK63xsoWIJoa77zj7lid/1tKc+ZTqdfuGL/3b55Zf/w4c/ + 9MUvXYt0dkakBBFVkSDSzbJUFTlYqTMrkzvk50RkiKOGVCs1XyhW1RAMUFgbQvBt+7hHP/oHfuAH + LnriE88444wYIzNbNtYW9WRigaaels7dN/ngwT6z+9aw1k6nUyK+/PLLvUQGVJWJRWV+Qjd/oamc + sihsbMPpu3ad9ZAzF/uDEHz0ATw7BcX8ZpZlWZZlWZZlWZZlWZZlWZZl2QOycbK5HqyB7PV6q6ur + RVGJyGQyUdUQwmte87q//dsPKZDmxHkf06y3r/HwTdMAEJF0jSldHmqaZl69n2VZlmVZlp2Q6rqu + qgpA27ZFUaTpnOnb43Q6Td8n0zfJtm0PbbmQPYjSeiai9BU9bYLUqSbVfaUtJSLMnGbXZlmWZcci + OlhoO0utplmWNR863IM055APDvsQurmv6SdM956TmDOtj0vHWrDO8T3nOcuyLMuyLMuyLMuyLPsP + S0SstfP6tnnb9+O9HS0xAxBBUZkAuKp30fv+6ronPL7vmWJKZkqDybSxiPCInuFgAzxSRQpWgqnY + 141DYa0ZrU8DYaGH0irKErVH6Zw1IbTOFuG+Y9MbVvnh2hRmWZZlWZZlWZZlWfZ1YkWYTBYG/dF0 + 0qv6LFHWVh/iHN94yw1veev+D/7dOa0f1J4Z6v3AsHpRdC3+meSQxveawgLATACixKi+JrvW669t + 3/7oy36Uv/vitWF/xSmT7Q2Xm/HEkINlLxGAMZUxpBI2xiimIjZWEITBBEmDDdLl0UpkKFhmZXIb + IzDvUwCXftr18hcSo2CIURAQWOvWc+nW1tcXiqosykkbdVO1tray8+KnXHjqnqte9qqTlHS8PiT1 + bXQWIt2wBSuMMEhAB4MB7v2kAAfBaGS2b0oVXQzyIswMObICLyEY7Z5IZynFkdNrl0gAJOXvksJA + CoaEWrW0tmraqSmHOHnrqjMDJnRjNxIBUNquh1lvh6MsAoSgXJS9qvVi4TRCQgyQQBEMUmJ0ucQE + ZSgbgmgT2uhrYZArqGCAalUh6m1aWulJX1A8+cILH3H2XX/89jv/4UN+pd7C1lHsqY4nodcjaRQk + 1I1ZEUFNl6ja9SdNLyetfAUrQL2+mUzDZDQsyiHJdO9aPWm+8od/csbP/szJDzn5DssN2RahKHv1 + eEK9lEgt81LLSF3IsQDKorPNnaLB06iXAgQR4pR/DOUII8RCnlScBqtI4dfiBYMFbF4cWSrFSNsS + YNmqHFlWhYLZIEYRNisasWNbOVjwdXCw6MJXBQCLVRSRCRy7yO1uSbhLre42fVc5mrKo5T7R3f+u + wBBio1DILOQbpJAQnOVBadsD95xiMP3nKz7/itefOZ4ssVN4qxp9E6ClKQAN0adWqqTpMaCU3ttQ + iYRYluVE9I6gaydt3fK0p2x9/nNXNy+Pl5brtdaotaR1iMVwuD5dt6bCbG9AAOusSS9BU6T9vfLo + 04CjcvqXu96vQiqMLr2+++gToDCqCJ6sFoVlSOtreGIyGrG0aXnf6MCBwm7btuX0n3vxaPMpX/6L + v5b9KyeRlEUlbY2oxqFt4QOU0SWOz3r2pve2JRPUw9nKR+u93r33lo9+8guMh/3vP7Nr60mjSd0r + CjY8PjCqjO3SwI8ZkYEdOy944hOnf/73RpmJVWVUTw2IDE+IRxWJM8rOgxtjxZSu6MfxpOf9MMbK + TyuJlkJoYdJmS4PNNF//x/20Ft0Q4SwEBrMwCCaqUV0wtrcywic/tfaRK3ePR331EYgKJhjDMYoI + LGDYilDDZs0trA/K/QvV6Rc/8ak/8ExsPWlUVncUjhg8WGS7xSta0aiVEhFR6ljMzAQREfXTYbUZ + g+pR3/ld+Oqtd739nbdecdUWKYvpej+G+Q4WAJTNfLm7tKSNw/gpTRndRprt9EAwFk3jC/BWW177 + N3/37Rc/qSgrlCA10HSggFIAoHTfKvajT4kF3bE17RzTFwmGSD1ZNBT37j2tcJNPXH3ly3//1P2j + LVaLflGP2xq+R7YoythMARUWAGa2zmQWAj6Ok0F/YT/5/UwrRf/bX/giXPqUA0uLax5ii2baVEUc + DgeT0fjAyvpw01ITgwBCaY8NAvP8GgypUrdXEYKSAhRnTarnX5bSfxo95IfdUj3YazObISLnXNu2 + IYTBYDAejwEsLQ0nk+kllzz1ta991S/8wq+0rVgH733XC/4w0q9ijAsLC6PRaDqdAkjzA+d/aIxR + 1ThrcJ9lWZZl2ddDVZnZOZdyROY/L4qibVtVTYdXY8y8lCjLsizL5owxRoz3/i1veYsCxnKI6bT7 + /gdeCRRj/M3f/M1Xv/rVn7zykwCYOR1u7vfehwsn9m0LQKGWjSEgypve9Kbfe+1rEY+pEcRvmhDC + bbfd1rYBKThcRKEGFH14/k/+1KalpZ4tmsmkMBZQZdIU9TyTLqkA3XDKg2Tjs2A2bsMKJUgUJkpV + ykH1ey552ievuvrwm/ffMc+qE8B7HyS647zOOcuyLMuyLMuy+xIRY0w3yVE0tt1lwRgEwHnnndcr + y2Y6lRC5oNp7Y0xXbHZobdhkMimKwhhqmiaEUFWVdbZpmsoWMUZVojb0jfuORz362x91wa+/9P9a + XV///Oc///cf+vDHPvaxL99ww6SpATDAbIKIaHepuatrU7XGxhgxO70VFahqCNxVdQGzcx8HMsac + /8hHPvzhD3/C47/zsY95zGm7ThWRZjKFj/2yrOsaDtP1KRGVVTEajWDUEgOQE+6kp67rhYWFT/7r + VbfcdFNBhqyZ+BaqtGE8gXBILngIwQDPfvaz+2U1Ho8ts6oSSCkXAGRZlmVZlmVZlmVZlmVZlmVZ + 9iDy3htjqqqq6zpdGHrrW9/6lre8LV0DSrPb+v3+ZDKZz6S738chImNMCEFEADjnQgg50zrLsizL + suyElzKtARRFgVmV0WQy6ff7zNy27XzmpojkTOtvGVVN4eIhhPRNPq38tIGIyHsvImVZtm1rrc3J + 1lmWZcem1F3wXo28TGpJSAIwk0BZIKm13iF7c2WA+T5luqwQEj72moNlXw/Vw85XPlpyD6wsy7Is + y7Isy7Isy7LsuJRa3ZVlKSIxxnSxE7Me8ceviKgCC9vlTrGBjc3AxYlPCT0A4qxLBD+gEGma/ZXO + 030ItRfnoAEEDBwRa2iiTKaoGywwJjX6lWWjKb7iPo+pOVMhy7Isy7Isy7Isy75JCNJj1mnNcAQ3 + 3rdydlGY/evXv+aN44989GFAFbwtEAIANFEKcEpFNKKks4DEFIhIVlQAJiVVL1AiTJbL288457E/ + 92I88qwDaA+4ODGRonfRFT0bY1TVfuFE1ft2LDCWSA3BQHnjoEAKop4NTUjqtyisALHcO4ux+5MN + WaEp43jjvWbhASwsQvBMcMWoni4MewK71kwFCKJLJ22+/cB459kPf/Tr/r9PvfIV7ef/TdfaErAK + z4DCCM0fSklIwTikf+TBZxTB6hoAVWImaBpZOrLRFiEIS8riJch8vGWWGypKilRKKCgEpNH6VtQo + bOQyRA7G2FNOXrE4iVOjUhEygaEEVjBgjijZmqRXOhSWWNpYiwCG29aX1iqrkjLDEVnRGANCjIzC + OBEJgCkN9y2gMQbxsTB2gY1vmjZE68r9iGLDllM3bf/ln1x4+Clf+oM/i/vGmyZaEVmnk0ar2SpB + SiYmScNQGzMn0giSEQDi5IWLzAAAIABJREFUjJtO1ntsoyggbeMtsE3dDX//iZWFLZte/JzFrYt3 + clhe3ja5Z1TYIqqwIjIEFImFOaR3vkYliYxIYAgprACzcPH5mFUKhA7MCpvKN4uIKkYWtBwjURs9 + GNi5aaXURa9V+jQdYY6rgpVZQARqyawOC5y0KADHwCTCIhwZktJXI5URCNyAAlJz2BR/ju4tdHB9 + EgPwnH5+BONwCnhmAEZEiIVAAAlY0TpmE8d379tT9PDO933hjX90ZoiVb4WNB2zhqG2NAgXLtI1A + ZGaFQZzHbDcGIAwYdY1KqIa96+STzv3JH61+6BnrPbvuitF41LOlRbS2aIH969N+f2h8JJVUXCsE + IeFDUq4h1I1bapeEzimHG0DanqRAtw4ROa0fZYgABhgUVe3bGCMMwzhn2DCYWQ3fuXJbb9MiYm9t + wmbQG/zQs3cvb7n7rW/m2+7awhZAE9GzXBmJgqhQQiSI0bQBjBABUQOB60lDQFVZloi9+/d96GO3 + cHnq836Ktm6/IzSmN+hTNSzc6uqK7fWOnQHriSnJDU976vdd9zefaSd3RA1V37qJmEHvgNeVhcE9 + 2xbd6aee+tDztz3sfJx2OgYFuMU9e/HZf7vxgx+66+Of2gFsdb3Grxvtkr8x2+OxootfP7ov8hsg + s2Hz9KIiGAonMIAxpm0jpKH9659+w9vPmTLaWhjsEEJKN6KQ9tjWqEQYc6DAlzdt2nHp9zz6R58l + m5cnsfEFt4NeIPggMU7IG2bDZAlEUCi0lSg+Ao7JMBPb9fWwuOXUu6eri2fv2v47v7j9qqtvfMdf + 7r/68zsaDNvuIJpWOOv8JRDPrpLMW2ALSSQIMUGswMgs/JrggXJgNYxx86248rP97/tuVSVlKKeP + pwBKSt2e5xiatqQbjynU7T9ZAMBbgvphE5ZiOXnbu7/4tnfsHk02W5o2rQQDoAJDQ2xCA/QckNKj + ZsFErOltIFWFkY7udv17duy88BWvxOYtfrh9vfWxKrhwpZjWN/dM1ouCq7In5K0GCAWDSBxSRrnM + vztJd2WHhBROACAQCzFpmkaQDl0HV7GQYHYdJ+0zTb4M8y1hrV1ZWVlcXAwhNO20P6i899PpuChK + JTzjGU+fTqe/8RsvS1/FmTnGQ77Pbngc9t6ncM3RaIRZIw8RSZMJ0oXOpmmstTnTOsuyLMuOiKoa + Y7z33ntru7GsdNi11rZtWxSFMSbdONoLm2VZlh1zmqYB00033fTBv/+HsnCT1oPAxki4/5hqUn3U + +Y96+tOfvm/fvhRrHeUBBlFb5zT4KDF1FHj3u9/7O7/920uDwTfyco4daWSbtatuvf3221dWR2mo + TQlEBFUABvT8y34q+uAF/bJq6tqVNsZAR6ldzn0vCKUhTiJiY2KMrW+r/uApFz/55a98pSjkgSZb + zxljVBU51jrLsizLsizLTjjOOR/C+vr6Qq+/Op0AMIZCEMPoF9WePXvW1tYqa51zICEiJWg67Zyd + H6Qr1f1+Chgg55xhtM00eLa2gI8GsNYElbZtfdsY6woym4aLT7rwCRc98YkicmBtdNNNN11z3bW3 + 3nrrdddfv2/fvltuueXOO+8c19NUuMegGEN3bVKiASmgXaA1bd++fffu3SeffPL27dvPOuusbzv/ + EWefeVZVFETEIFb46TTG6Ng458bj0WAwCCEU1pVl2UympXWuKPyG2IPZS9sYln28qorKt+HjH/94 + URQ+qgBLwyXjbJOawx6saAJDhCBKvV7Pj8dPufjiejrpVz3xAdbEWd2XEkSPpSKMLMuyLMuyLMuy + LMuyLMuyLMtOFNPpdDAYTCYTZq6q6p3vfOerX/0Ga+EDjC1EBCqTSW2Mi9EfLtMagKqGEFRVRIgI + ABGlwLxv4avJsizLsizLjoL0JTDlKANIbRNC6rAAxBhTq4TjvQP88YWIQgjOufT93Hvftu28c0WM + 0TkHIIRQFEXeNFmWZccoEiEFwAApoWsaxulnRgGwEgRpsmVXZNoNxCin30K7Hpuz7ojzNpuihK6t + WJZ9A3IbrCzLsizLsizLsizLsuz4xszMXNd1VVWp4u1oL9E3hMFpGLmNIAMQo1hcb20kD+oyY+bB + IEJgZdDXG2XACoGm+2uX0NA9ljEQhWjrUIlXAqzFwNCbL33aiz72CRQDqNHowUTEMo/ImtUWEpDy + LXKxYZZlWZZlWZZlWZbdHwYgJCllGQArk6YaMokMbKgGY+XC2PXxuFqqwtrKOYsLdPPtX3ndG8Mn + rtrTtgutJ0b0AIGIBs6FELpcS5J5+8eu2IwgEAYAozC1kenmwT2n7nrsy36j3rGj7bs1pcag7JUa + oZ6iiJKSqISoBDbQlCcrqW1mSsdk4JCxCCURQAlKKgTWFDR52Mo2OdiL8yCaN7AkKHFkUULbtsPh + sJnWja+roscWLHHdN6bXu8OHk0/efsFv/Nqtb/6DWz78j7uaiWm9zkKUpaurizg4BgI9GMCaEqPF + SsB4ZIVJiAyDoDHYjevxSKSoAzk4WiLzQGQlzFqACgCIFsa2QqqxMHY0WV/eecrImsA8i9bUWZjx + A2npWdcTaBTlGKMzhWXjw7QsrEEUFQ0aOZJ0KVDOGglCRAQExBiiQiyZwlkK0tZN6QrTKydtTdaY + wfCuZq0s+ZRLv/vRg21f+oO3x+u/uo2C4dYoVDdueElrYd56NUWrJqbbIqFnDWJkQFtvAbask/HZ + 5eZrL//Awpk7lp/1jHHfTqbrPWsIOktTTnWV0g2SkSpEqAs5JgVDgRSRQSnoXebLA1bqgkVZySgb + ESiURZhLW66u7F86bde45DBWS2BjYwhHXKTJ5EMoXVmr8OZNWF4aT5qF5c3iu4xzKHdvx5kUPTuv + De3W2MY7zGK5N7ySr2tZUkR0+gtWzIPeQUIsA++3mGrtHX9915+86yHr06KdOKYQmsoV2kZVWEKc + 1gKUhW2ipFTsgznxBPwv9u493rKsqg/9b4w551p77b3PqXcVRb8bC4RuugWBgERzQYOKIogPEPBF + Jz6aGO7VfJAQ/dxcuNd7jYnBSyQXkID4/JhwTRRDUGhFUeFqzEfURmiEhgb6WY/z2I+15pxj3D/m + 2vucqq4uqOqqrlPV4/s5n12n9jln7/Xea8015/gBbYvBmO/enB89cOjxr/jOwfOfd6IOx0Ig54OC + oHCYtJPsqtFopW3b4aIhUUsh134JKG3ln596AOmXzOIotMi0lsUy6fcXhgA8n8/I+9pXCZpFFEQi + bWwp+GbcxNiqEtgf8xQO7Dv4ohfsyZO/fec7+diJA76iWYcoURCYcn/UEyhADKWyjzvyWbMnR067 + eWJgP2tY27zzvb9X+/rg93/PlYf2H+3mGDRrx9fH45VO0lZYcj87jEUR20xlygFlofIRcAFzaxNT + u7IbV11/4KlPX9v4ozq7B9qJ7lk5xrz3KU++/lnPOPLsv4dDh8ChDcMTw2bms6d53dCBK6649gk3 + PHDoP939ux+g42v7uVLp+qMatuoPn2UK/I7DCgETCZRZBZQYADErxxRXQfsyPvM7vze8/3564GgN + ECFndBkVwZN4BfvQBXd/N98c+PHTvvLZ/+gHcOMNdw+qNZKxq5jyNHXq2IXgK69CmjVDGSoCz44C + V1qrZvSjvFAPxkdn02Z1V5fmOH70yq9+5rXXXj/9zffc8e7fesxktquNlUSQCLg/JizuF5CyPujG + gZCwls/Z/pncYTzgybSrPF03rD/xvv/2+G9+rtesAi292wkMZFA5TF1oTqVE2i8/TFmZ8BA7hXJ/ + 5Mfyc5uVmFS85D0pjU6s3fsrv3H3b/yXqzcnqzlD8sA3oilwiZImqFaMvDhlUmzNI0ESYZJwX1PJ + 45/w93/8x9M1jz06Gis71E4YKUXVzI6ZKEqilJWd7++5EBZnO0SQcuCnMrVCyqxlT2cCbx35+kNj + fwTsj42Lg39/DnFJ58ZfOoioaZqu60II7WzmnCOi4FzXdaGuJOeXvOQ7ckw/+b++gRk5b08yO+nD + K6VURgOWe5fe+5TScqxmyd0swzVjjM65R3AWL4zlh8JiOQhIaKtW/fIAdU5bMimpLCLQhMCL8+rM + IIZCVJk0QEOfl3Y+5skYY8yOVT5DmbnUySIiZi7ltESkqqqcs3OuqqoYYxmKb4wx5lFre7s0yg0I + R3UzfPs73qFA20XnXJYs8hA9HxUE/MAtr1w7fuwbv/4brnrslXd94XPct4Q9qKPqQ13sKAD4EFKM + 3nNOUq79N+fTX/313/jBW17JpJd6wxq23SIprU933nknAd5RFtXcf3ADetMNN1x75VXjZphibNt2 + 0DTrGyfGu1a7GC/KZD/UgnfOiQiRa5qm7brHP/7xo9Ewb04fTuttuWAOITDz9kbwspXalawxxhhj + jDGXuq7rnPdf9rjrfuGtb+FQJSR2QSRphofedOOT61CpZCaazWZVGJT+KCiXAwRVJYWqxhiZmYhy + zlCq65rIxRgrrmKMIuK9r30QAphFxIFIgSRE2LMy3nPjDTfdcCNIfDVIqUtJVHPOOp9PNzYmk8lG + 28bZbNJ1KQTXNKOmqYfD8WBQ7d27v9yvJlIiR6QMqCqDJCYws3PKTNoXSB0MBjFGUpTbncrEzJPZ + tHJeLscrHCLKKf3Ira+69dZbBQr27N1sPifvUPpxkZBu61fJ1LZt7cLAB8q5vzucErlFkcFLvynA + GGOMMcYYY4wxxhhjjDEXWRmu9aCwouFwOJvN6rrpuu4P/uAPX/u6nwCQEpxzOSUQOe9lMSyudM4/ + 7cs7504Jvb4cBsEZY4wxxpgvgYiUc7+SYF1VFQBVJSLvvYiUZGUAl0Ed+EuFqtZ13bbt8r/lkZnL + QNq2beu69t53XVdWmTHGmHMjnJNrMy9qD25re1kUWtumr8AppD7kwOJZ3CmVI6VUmVOGMqhvk1mU + 82IASn2ydYm6Rl89DFgWUNSt3qe6/G6r1mjfdXfrp8Y8DBZrbYwxxhhjjDHGGGMuVcxb7bmDwQCL + m2qXOgE24mwQGgWQM+Je1n3i71XtqBVS+Cq0bfSeVPVMIVGnw9gWzERSGq0FShkAMbHmyEyAqmCQ + 8sHN+zA/DhoIe6591gSqlmkl2gftZCgRvIPlWhvzqOOcK7XCiah0Lik9G5Z5HimlEEIpHX5xJ9UY + Y4wxxpx3JeNNcmZ3QVM4LwNM6pQ0cVLOTkDKAgRhN0+jUbORJ1ETmLNmx7WAu+iawepmu7nfJbr3 + /rt+4W2bt912dcqNZAAqoNKZTFWkY2Bb5vQi8pYAIGlSwNeSWhHUx4cr9z75Sc/4idfM9x5Yq6sI + iBt4QGcgJQKgXAplki6jc0Xx4Ov9fn33bQzKpSIjaYnQBj9oAORJi6P/M9lewpK1z25c9NpjAmri + PItBHbxDFgBMBNJMcx1Wd090776DV/6zH52N6nt+5z1Xp8SdNlWYpAhoTUQq7BA7OIISMilKoHJZ + SiQVOhx/oO5o0NRdTuSEiBT5rBo4WFGiw7kPLO/j+qDlGRZsZZmXCHOiQQdSJFYQswsBK+PYDPOJ + TdIIgCFenC4jhM+yxct7hmRlTxRc9hpz3QyiRiEhhoJVWQggUZKYhalfiRDhklmsDIgScVVFIEpm + H5SQu6xusCF6fFSvPOPZT2wO3v5TP3Psrk/vVfg+IRSkCM5nSd5jHtE4SN4KJOZF+DRQUlszFq1b + BGgST0jt0aua5hNve+cN11y979lPnQ00SuurUckIVYqZJHPwGU5V+uRmZtlK1M79JsklYHS5OUq/ + 8iMAEg/lRBm0WHFR2VUYr2yKKpiJJSV39sMnJOXgfI5zDfXKY65EBFc1RErXUieuBHMrCahlkEBo + 2yb0UG/G25Kwt/Z07RfdKcqLlF3MMUSpFalDVafkmWZpXteB2vm+eYf3/dFn/v1/2L95fG9VTzoB + 2Dtk6Zwyg1WJoQyRmCrvYszsQpbsibLkRpEzHHDfzH3h8L6rvv1bRt/6vLVRPasCgznBgQF0mil4 + JqDraiJFlj7mdbHhASApK2qZw8267Iy7mN9T55MXAbICOABQp4AGZGIVEIj7JBV2voICGUyOFMTI + BGE5UbndL/z2XdN09Jd/ebR+IjCioHJOQIkFkErhFFARcCZSKrsJqQIJvuRVqzYpXrOpx37390Dd + we9+6d6rrvxClHo47iKcqxwkSZtV4B2zdx0TwIrkUnIZEAiDIAhldV7YZGuJE9I93/Ftf/2Xt4dJ + nXcf2vfsr7j5xd+EI9fNqhApKDwpJ6aW55k0p8zV+Ljw4MrD+2/9R586dt/kj/9s/3ReEuS5X/5l + B8ZiF790scbcNE033QwVcp5574Q4ZXgXBpMWn/78Z9/7vqvihvroFAByggM8g1QD4USKs1H92dW9 + j3v5Sw8+//nxiqvuVoreOZCKCNhznZU0oY+4X3xcMkOQIVgsTYAIhKhtPSC0UdXR+DH3qjSPvXr1 + +2+5+cgT//ub34LPfX5X1w48xyjsggqx5hKopH2AugBM/ZqCkpQQeCKwLiNhxSu8OtncvPdTn8Rn + 7hjf8OR1zZk8l4MmMUHKB7zgAsYqE8SLCqF1IsRC7AQAs4JPKotQbmtACdkh5s6xiqSBa1JGFIx9 + WJnMR8dPbLz1Fx747d++KsUVkpQVYJfFK4hS2cmIoMrIIC9tRMPIgPdVl7oAZD98oN4ze9yRm//5 + q/G4Q3cHzIfkNacuc3++RAoigVfnyEFFqJwAMAFeywLrP4Ok/+zrZ0QI/dmDYvk7gJScLdn2WVAO + /rT43jwCSst2KcVehYFklDXgGQx1UMn5FS976fr6+hvf+HMqUCAEF2Nmhuj2oSC87QxCl4HWp7xL + aVEvYzgBpJS89+UbZt5+J3RH036rXaZwCSEzMqEcLU+JshY6u5ubQiVIDk4hLJmg5XvSmSB4OKh2 + TvLA8yoYETHAneWb9MqdjjP/wjm8rDHGmPNuOeS+PC6v3MthfHljunywGmOMubzR4npk+Vie8exm + s9m4GU7ms8GwBrA5nQyG4wRMNjd/+dd+vZz6EzkmElVA2blSqLGv0qiogju8d++LXvDNJOKr8F3f + 8e3/5ufeKCCB9g3PpXFMgb6N8qTr+q0sK0AlAZKSLEtA1s3w59/2lltu+f7aOc2ZQSl1g7qOMbJz + IrIM5CYtlQhKC/nOaiAoDbplUhMRFvP8iU98ggHJWhaLijgCK1720pc6guREBAp+1rV1M+piLq1Y + S8s2cL2QF2EPehdmBSCkIDBERbNndqCU07P+3le99wPvP5e3ISpNdqWvbwjBOaeLnnX9r+ipEezG + GGOMMcaYSw4zqeTxsPn65zxHULoGEaClmxADUCk305yvct8zZKt6XD9Qj+ik+1GEXIrdsU8qJUG5 + 3PBWAKXbFfX/W94b679pW0/qicAOTONqdf/Krv7a4/SP5foFACCyrb+aele6lWVg6+pNRQAowVch + SQZTUnHBy2mubnbWley5EcllFRPBAUhJchoQlT6NAAA9qVVA1LvAgKRICnKsQMm0pm3da6jv4mHM + jiDSt1wxc+m98ODBgOX5Zd8GY4wxxhhjjDHGGGOMMReRdy5GcexAknL23hNRjHHadoNmKEIfv+Pv + XvUj/7RLKOUj+opwipwyFgPcSodGZi7d8pummc1mWAyvK290yYxxM8YYY4wx58myt8D2bgM552Wm + tXOunE+WcsQXZyoffdq2LeUpSnZ1CKF0NQshAKjruvyaZVqbRwCRVd4wly1incoa9s+Pd/dXPgT2 + 7SyFalCqGTgBAOGkBBKvBPiUEDNLJc1gc2UUdyFXwQ06iUJlQKH0Y0JLmSlxi0GprIsigYBsL6+3 + vV/pIsT69JVwdGtAJ84yqMTsFMwcY2Te1iX5YrPuocYYY4wxxhhjjDHG7BxMgKY0DI1CJu3GoBrA + 1/dydSClXVnqwJQVohWTKlLOFblzSpI+6W94qwKibP+FStK1tcPRB3DVFRwQY6TAERpAfRUKgkII + CeStioIxjzYppdKJJISQUhKRqqq6rgNQ6laISM45hDCfzweDwcWeXmOMMcYYYy4+Rh/lWopVCsFX + YTabwUk18JmgClaGcJfT2PGeyWyvdJ9881uO/7f33+D9cN7JtgiEL5JcoAwSZnQZnWCd0a6Mq6c9 + 9Rn/7FWT/fvXqqp1iyjNh+jG9NDRiaf/Az6pPOaX5MFvoQ/+6cm/04f4OprFrh40E2runx8/8oO3 + fGG6cef7fu86x9209d5XVdVOpwPv5l2uK2gElhG/JXWPQKohRUynEIU45bRMvDjbRo4Hz8j2Z7aH + fJdehUnVgZgIJKSqBDjvx2PF/eXXqARiaD9M9CxJ/6gMJVJHqkIK2qqbKdTHYPSRwMtc1UUK4/Lx + pDnr14irBruOzrv5rt2Hb7rhST/wfbf/+zcPH/jcqEtcAQQ4r4pWEQSN38q0Xs7amZGicpB2djDp + nW//5WtvOFKrjnbtO76+6eox9RMspLrIgCi51bJtmfNJIaHbLH4nAYD6Mk9CUhY4gYgcvIcPyq7f + bs4WyVY5WseuruEDEZc+qUJSlrxSJigoAfylZxizLjuh9hVlv5Q/yW03HI2meToMw7gxIc++9jrd + uDJnfPT2v/jXP/fElCvnp5OpA5jRTw6JqivHrRI3LzEHpi7nKtRtnAUHrt1kMydfTw8dXP2qpxz8 + zm9ZG1XrTe24yl1khhArSVlPrLJcKaeZcD3Nj7bvR2eaVz1pXedtu8wpSeGsW//JBBA2Kpfc6PB3 + ftvK+vHP/ea7D89m4zZDkDQzEahPEykbWSYI4LbtR6xcGlSDyB6J+b777n3/basH9g5e/KKV8YqO + VjZS0k5AGTWHKmSR2EbKPriQCUIMZCyOS8mpgC5obK0SYlbatxvXUv11z75q997Dz/xKXHPgWJD1 + XaO5CyH7IPAZwqnzWYG6amZtilWd9lbZ0Zd/0zd84S/+KrdRRQF16qBSsmuEtpb5pctXvm3nyuS8 + j/NZl7MSvKNK0iile37jN1c+d08j7cCDEiTBs3NVFeczOMwJk3Fz/+H9z/ont+Lmm6ZXXnlfppmi + qQLFCDBI8cVW8ak/JFlE2nDHYUa8EaR17sBXPeMrV0Yf/+l/FY4e1flUIAGI2lXlFsNWgmxfCbkk + qZP2nymLXHkQACEHuAxNXT2brH36U9XjHuerUV7cLGBFptI//ks67DwcQn1568Wxjvt9EGCI9Lcy + RIlRqkHn6B2zSl2NNqdt7cPAc7OxsXLsxB1v+vn0/vdfH1OIWQW1Z4GjJAzpl8Oiz74SkNEESlHD + oOrmHQU/q/0nuzT8iqfe/C/+BfboZxHnw/E8x4FK7YJmKCEvlg8pkwrAmftX3baWtxbjdss61qfd + Hk557lLfrS4bIYS2bYOvY4zqwq0/9APHjx9/17velQQxZWaIiAs+p8TOAZCT46lOsb3082AwmM/n + ADY2NlZWVmKMzHxJFoBeHGSgYF2ej8n2z9+S1H4OhBiAI2Htj3IEKKEeIivmM0BzGKweE4WkhOhw + cmltY4wxxhhjzKOPxFQ5X2q15JSUqaoqgZLjX3znL69NJkRM7FNKyyvvUpyRmEUSMzNzjunlL/mu + 4aDOWUIIL/+ul/3fb3pTJ6e5svmiDfn9ixNpaSwGJrPp3fd2f/ihP3rOV39117Yro5FXl3POOdMl + WAJSCdjWgn37X/8NAw7IAAEZUEVg+vp/+LyV0XgymXjvnXPkmJgl5weXMnlkMp4f/C59jYiUGYBz + qkpETdNcd821NbupnOl6/8GI+7sDJSMusNu1Z/dp37F8Y8nWxhhjjDHGXOrKGX7ft0RP1/vtrC16 + 1Hyx64VtN/RL77eH/3h2yhRe9tc1i+Ws7nT9n87yRYzZiZi567qqqsqwwfJkGRi47OrgvS9tJhd1 + So0xxhhjjDHGGGOMMebRbj7rxuPx5ubmYDAIgWezmfe+rmsWTSnd9dnPv/KVt2xsTqFg50TkDPcy + RKQkW5dM6xKS9wjOijHGGGOMuQQ45+bzufd+NBrFGEvKtWVaP8LKOKm1tbWSXT2bzZqmudgTZYwx + lw/h3GL2q+9911+tfXge1pqqTknSXOu6SVIKzRGgmZMQWAKAnFrfuLnGQWye9phnf9s/eNnecGg2 + mbm6LxyktNW3lpR1W8lHnHUn4x2Te2zOk8Vo453lEix6ZYwxxhhjjDHGGGPM5UoBhSePrGCu6hV0 + UxxyL/zI+z75lTcPNrrpPBPQBCFQyqmuBhrPKV/nS1Nl4P51hAYqKuDgFAig1Ip3DAJxaRO/9Koo + GmMevlKEwnsfYwRQ13XbtuVHJd9aREIIWMRyWLK1McYYY4x5FOuTIOsEIVeiTDNDCZ13Udo6eAUm + 7RzMlTIzuzF448T+Vo6+6zcmt33kmg7UTpRUFsEApMxbMQnbs665D36GQEGKpg7rXd7cu/vuJ17z + rNf8EHaN9TKIeMvsiHI3nTPGhw9NNo4/9kd+cCOne37ng9dzaFMUUQ8gwwOaTp8EwIAT6TbWK82C + XIIzl0v4glJVEIEZqiKSVeB4OBxe2Hc9T1jhsmbllmS6bzT8xmcePnbn0be9a6VrKUURCGf2VUCC + MryTFM96gyNQxtDxp//yb/jd77n6e1/2hRNrfmUsORHgs3cqTgFIZsj5a5kiImaG9yGEEi5yzi+l + qkzknKubAZiVSURwchlHXSQBn1te6UOVNz3laQIaAdrWe57nthk0kKyaHuMd/flffvAnXn8ktWk6 + meeuCW7AnNvIDOlTnxUQUuUStU5Q1eBcm+fiKDmKm5lH9V1cV1/+ZV/+v9w6C0jjFXXV8WMbu/fs + m8VOSYTgVJ0IwCUWeke1JCpJ1JhX6/Ervk2O3bP2Bx8ezDerZhWz40EU25OwSZy6rb9iKMHJVlyN + iK5ymH9h/a/f8f8+ZffhA897zn045ppR0Cpm6pBYsmbxDK2o1SxMgCdBEAkZSuhcAi1zxS/QDDM8 + f24y2X94+IQf/W6/HFM3AAAgAElEQVSnMvU0I04+sPpBYhYG0DlJjjtWAF4URDln8Zwc737aU48f + 2Lu5tj4gnK4+74U/gF5IQsKeZnHuqjAPoZuPvAvZK3XtYD6Vj91+z+9/8KppHDs4hWYAIM1xPgu+ + Xhc50Qwm11/7FW94TXdgbxrvnrVR21T7milJitnBOa9njLk9DWUFMksmJM5K2WdEB+zfhSNXPuEn + /ucP/1//5tq75UBuKevAV5o6JcjJQeP94YL6NFnWre2W0HdtJmbJiUU/81e33/Q1X7vppWVR6n+V + FY9A/2cFJxYlKHGZbKfCACBKklgFkqk/eAIgSEMknUh2kcChIcqrcbJ77YG7f/ZN7oN/sqeNlXOi + KTgnOYsKHKvClaTw/linUHLqkBB8ns87cpjU/g7wdd/xwoMvezmuGd45PRb27+MujuCrBM2Jnc/o + D+oE4UdBYW4DYDKZ7N69ezZtq6oicjHG1772tc65t771HY6RBQA8u6xJ5Ex37phZRIgoLw4Ibds6 + 51JKKysrbdvWdV2eL3WiL/BsnWd08ves245CABS6PPM5172GFE6ZCJmhJNpqzlgZhc3In6Hp//QH + v49Q1Qg76nzDGGOMMcYYc1H0gdY5lwsxAZh9zLlT+ZVf+RWFQkEQQAAmZl2EVRP11zGS8qgZ3HLL + LRDNOXddd+TIkec+5znv/8DvKyRBzurSpiT9EJFsS8XuYnr729/+vOc+V7OoakkG8t5va6De6dc3 + p7RUl4s+AZ733K/9suuvZ+Z511XVQAk559Xx6Muuux4qzrkQwrJ60c7MQGJmMMecsmigcOTIkXyW + mdYAltvV8j7VoUOHRGQnzrAxxhhjjDHGGGMe3Zg5xuicq6pKRLz3AEoDzmAwEJGSaV2asHZme44x + xhhjjDHGGGOMMcY8qrCDIjtPWWIWKWl2m5ubYdBsbGz80K0/fP/R4yrwnlP6Ip3fQgiliVhVRSTG + uDMzdYwxxhhjzEWUUioliEufgVJk2JKtHzFEVIo/p5SGw2FKSVUt09oYY86v5Lp2uHl/9YX11WOp + may1c2UNg+GE17MKQX0mQLNLCkCDE99QDVAb54K8ETfcwMXYkWehxXhV5VImlHSnjxU1F4X2LvZ0 + bGOx1sYYY4wxxhhjjDHG7CQKgEGYz2aDYY2qljjjIc2y5g6D4Lhy00nngLpuUjtzfAFHgHvBQap+ + 9xtf9LwPfYTGtQIJwsCgYiRA+6qJfYMnLR+MMY8KIqKqpXNJSqlkbxBRSqnrulJlVUSYOedsmdbG + GGOMMebRjhIrvEDA6lgIUBaSqbQUEDynlDx7XwXOFFh1trY/xfRfP3Dnf/yta6ddM5s3Dl1W5kXT + AbC4Ct/qiKTgRcailur4JFhr5cTqrqNXHH7W639ybaWpVlcnbco+PNJL4HziOtRrx48e2Ld7Ml0/ + OkurKyuzmJ7wQ7d+5vPH7v3o7aNZDJIBiIr3LqZ82g5SpKgy2hMbFVN+ZFs0mJlATKw562KNbY8t + LNHaQuCd19hCQGpTVVWT1N2n2dd65Yu/0X3iznvee9shdtzNO1GvWpEXyZzSOfSjlAwCBkRXDQYf + /8+/ffXTnzF+/PUTci0iA06I1JFmJRYSBQvOMRn6FH3PPu9DCHgY2by0FRSL/nKYKKsSU3nZh7NO + WXFWeResMvB+1nW+8TF12TdVFw9l0N/87V/863/7xBxp4zgEu1ZWZ5uTiBgCaxImRIKW5MjlfBFE + 4Vg0KQXfZcnACa7bI9fd9Oofysztnj3H2piZhqNdbcxKyIvGQyGwCkrQywWNbT5LQkgVHkh5tH/P + E3/k1R/9+GfrdM9wc9KAWBWAKiuIkEkXATK0FTnSxwYDpCBCRXpAPU/Tn/38O565sufg13zF3Zmi + IjMz+ZRazTmE4JjbLgkHUlQ5sChrEojTDOQSA3oB5zeDV1aOuywDnc9nw8oHhHZzPgjBgRUkhEys + gBMBkJLUIaQk87b1geH5wOOvP/HpOysg9AOL+ihuxaWeag1ABEoBzGjnETRwbhC19d10PJl8/Lff + s3LixD7nIVLya5kARQbmSidWd68/7uob/+Xr0pV7jzZN7BA8N6ORQGNuHUHVxRiJz3blMvqFWjKW + kBmzoHdOZwcOHxztHT3l1n/8V//nmwaZBrNJvRjrtT3TejtaZFqfkjIL9NlBFfCZOz6FKEEEJEr9 + mwIgveDJzUJQ6pdPH4ULAaAkIM0EIRWCgJQEYKeIMQ59Q27Ypsw57lcZff4LH/t3b64+9MdXzucE + jfM44AAgaa5rN885E0gWi5UACIhVkCCBXEbeBNbGzehpTz/4yu+JVx38ZHusObB7o21dpqHzuevq + qmppa2n0WU20tSzN5Wo8Hq+vr3tXqerm5sbq6moSvPrVr85Z3v72X2SGCNq2BRCqKnbdQ72OiFRV + VZrQc86l5AcWcdd1XZcYM+/9JZdp3VMAIC17h2zbM8oYm3PfU3hxClH2PyEIIRAoAVETc7tnBI4o + gdcPYw6MMcYYY4wxlwfyjohyzkSOHEOlS4nr8Cd/8Ce3f+xjRCwqOScFiFlVQVTCpEsOMSkE+sIX + fMtjDh6MXVeFqlVtp7NXvepV7/vA+0+9tCGo9s0oZ77qWQ4uLyFAkvP7b/vA39356euvuialVO7w + bMu0vpT0zU2LVqkXfvMLSoNJkuxdBSCpjJp6ur7OoKqqSu3LUtLo4k31Q+KyVWjZIiSldO211z78 + pu0o+bGPOaxq163GGGOMMcYYY4zZcWKMpedkCa5u25aZ+76UqoumNio/qqrKkq2NMcYYY4wxxhhj + jDHm4gohTKfTwWAQYxSR0ro7HA7XJ9NX3fojd9zxKaBkWktp0T1Db70ywC3nvPw1awQ2xhhjjDGn + 8N6Xc86qqnLO8/m8FB++2NP1aFGKPzvnUkqlHkX30EUtjDHGnBslnejm3E+jTCe0IQ7NkOHydDZl + T6QQYZBkTkqAZp9dbuckpDWGg7FWIi7PJ7PVwZ55igCzbuVbAwCYFEo7qASfubh2ZhOcBbAbY4wx + xhhjjDHGGLOTMMfUJQYPm0lsBcohAAyqnR/OYm67brhrkD3adubIffEXfBhCxu6phmNrgIBEIATO + 0JJBs8izgIAFfcDFTiwxaIy5MFS1FKdYdiUpRTzL9znnnHPpr+ycsx4PxhhjjDHmUY0EUJCSMisD + DHWly44yaXCTlDrRYdVUGW0308n6FdLlP/3wn7/5bY9rk5scHzoA4tCHHJNCiYT6zDiAQSgJspn6 + IMjIiAxFiOPVz+zb/ZU/8dr28KG1/Xs/H1sZD/Ml3mNo3rV7Duw/tnHCjZuOeCO5ttmDQ1dd8wOv + vPvIlesVceUFYCZJEh6i/cSpNIr52hpYxSkgTCXf8oIvHSLKfYayEpESQcWF06Zv77hQPgXAnAkh + hOT5Pu/W9u1efeXLj1392HUXPNeeuFwMClSysjvrGWBiAC6l1ba79tjmp971q6uhls2pFyUFqWdl + LevqvBJohoKIvSOic27mIpCqarlwrmtAlem06/GsAqpPegvtM1+30+25kbT14jF3tXehk4rciTwN + ksPfff4zb/ml8ac+vbJ2tBGsjOvpxnpDnggxiZZWPlp+EZQBJIFziFGamihmpaC79t87Gj/91h9M + Vxza2LPngSQ8XElwrq7bGEnhpM8yyayZAQjvpExrAJk1Dt0JrxvDXWl1z00/9mMf2z2cNt4FD4UC + 0ZVjKZeelkJaojKdwmm/iEritSig4rXd1c4fd2Ly33/mjfjUnQe7eYrTBA1KA6rUc4scc+d8WT1M + 6qEuk8/ETpPXc0mCPxscQi2gzck8Cw/rUeqEs1sZ7S45wgJWMCuFzMPIw8isgGPvfcwpVQG5Gx55 + 3GzgI21NqdJJm9wlTSUNvBsI+U6c+thm6tL+DHz0E5sf/JNdLDFNVYWZHIMIVPk5cLxu2ic94cZ/ + 9S+PX33wfrciPNbh6qxys0qmFDNy5UPlPfLZptqXDY9L1LlTJeTMOveIu8b31vVnQqi//nnXv+TF + n11tJts/60rE8mK9lONPOW44PSnTmgDVEu6sACqgvfs+bE69ZkDzIsSdAFYmZb7ANwAycSYmZSfs + VEomrvSZ1ouYbVKCkgoActXGrEsMp7IynY7uP3Hn//Fv/e/+/r60CU6ScgUW0Zhj5V3K/QpQMNRB + uV8gkAhh71PM1DSbu1blxhtvfN1rjh5Y/XiaptWxVpW0eYSQJ533PhJ1jM4hcZ8gLoRMyJfFLmDO + IEUZNuPZbAZgdXW1bduU0nA4/NEf/dFXvOKlonAeJbme9Ex3y5i56zpmzjnXdd2fNYl470ukmaqW + xOtHZr7Op+VesDhLOe1uQQ/x/JmdcgqhhMjIDALVHtKmjdjd5xJWB/CBbHyEMcYYY4wxZtEOD8ci + QkREBCYietvb3qYAMwMgkHNORbAIMAZENQfnSTUw3fJ939+2bR2CI9QhiKZnP+uZ1199TWB+8KXN + GS8H+7DqZVHI0rGKCDHrL77zXWASoKoGCi4/ujBL5YLY1litpEoKAiRnEtWUK3Iau5w6ymmysemI + nXMiMp/PnXPl+x049l5Vc84i4pwr2dtXXXXVOb4WMxERyAGeeP/+/WXzK879VoQxxhhjjDHGGGPM + ebU90xpAXdflGRGJMZZAawAppbquz5B9YowxxhhjjDHGGGOMMeaRIaop5yzCzg0Gg/l8nkGzLv5v + //INH/nIn4tABQATkSqFUJ/51eq6Ln35rFKcMcYYY4x5KGU0xPr6uohg0dPAPGKqqprP56Vrh6pW + VWX9N4wx5rxbGYxrrnIUrxjWLElmkzkpIApR6LZHyRlJBl0etp2fbca1jbjOAwhkOp8uX7CU7SJl + Cws2D2X5gb5DRtralmqMMcYYY4wxxhhjzA4iMYa6ykCC1qFRcBQB6b2O72cdNKM2Yj6bOwfn6ELf + PnSKOsqqAKSIMwZFJHdy22apIn/mmozGmMvSMqy6qioA3vtydGDm0r/EOVeeSSmV3zHGGGOMMeZR + TBbX0FziJUnFqTgos0/CxJUm7WbzIeQxpLj9jj/5d2++qmvD+tHddVNGDToGySIi7pTr8OV/STJL + ZgAc2R2rqrvHw6/5sX+KL7v2PuU5VbkaTCTrJd5liJzbmE6qUTObtcOmUWEarH4hAU97yuNf/pIH + dq8eQ8oeokJ4UE8+5T7aU1AntCfWQVBSIrrQaZ2FEFRVRFQ1q5JjIoLqKT31d3JArAteREg0Zq1G + u45ywHXXPOFl336v43VRXw8AAMxwghINfLZKpEP27eahtj32//25/o+PHlBUkhgKZSEu0cLLiNbz + RURAtIyUOIeVUPZNVgiQRXwVoHKG0F89+3X94EDrMxBCRMos5J1XOUC8f23t7l/81e6P/+xKIprp + uEY3aRsgS+urJukpf60AhEgJBEBBhBQVouLqT4k88dtehKfcfH/TrLN3g3FOFFzIOTtipyUyvrzC + Dg18VWDataiqSdKjboCnP+1JL/+uu71GR5lYwEIQ1sxlO1ZQn6lZgoG3H4q9Q4zignN5umc2v3rW + /eEbfsodPXZFXTWepIsqUoWalXOKHupFnQBgId86Sg4EcSp0gZt8J5NJ5eqVwTiIr9RzdinJdDbP + xJkoM4RKhjFImRSOuZQlJR86QIYNDh+cD2o53cfIzgotPyciAlFkVM7XIaCLY5VBN7/nP/+XfcfX + VkgFkiEZmgSZsdGlzZWVzSNXH/nJ10wfe/hzdRNXdk8yd0qb83batRFZCRHSt4/pWX/+koKVncBL + CVMXJXRCc/KTanxvTHu/7xW48Qmz1XGHrQTroiSvb0+2PmW7JSIBGKySFPAig0mLe495EUCEJJMA + 5/Mw+0UoszIruN+aJLNqf/xkaEm8JifkFCwMCq4ezKcbu7vp3s3p37zhp6r/8dHrUuYJnEPlwSEQ + lEFwNE/9AunnHUTKDBAQKkxSmrnq8wjy5Ju//DWvWWv82uqw3rM3JZkd39xVDX2iwA4+zCUld+ox + bbmozWUspdS27f79+2OM5VwOQNd1w+Hwda973Qtf+E3M/SH8S6ncUVrO27atqso5x8wpJVUlImYu + xaBVNaV0gWfrPFlEVW87Wsh5zOVi3f4OJ5+sJIWAQ12PRtoMEFsQi8CGSBhjjDHGGGOWmcRgEqgS + ee8//ok7brvtNgApJwHIcR8gXTpEqgKg/opCv+Kmm5/6lJuHg4GIdF0HEQY5oh/+4R9OIsDJFyhf + crNAue7r/6PEzL/ya7+6vjlxwbexI6IQwqVYVmZ7CxIpqqqCKhMF771zgV0TKqcoV8EAmLmqqpRS + SqlEIu0oJQp9eamuqrt37z7rVyl39LaVFXjs4cODwYAUjFNb6owxxhhjjDHGGGN2gtJQIws559KM + 07YtACLy3pfuZBd7So0xxhhjjDHGGGOMMebRTlVDCKUtN+e8d+/eruve+MY3vvvdvyWC4J1zVIan + hRDOPOSttAOrasnGK0PnjDHGGGOM2a7rOiISkbquS7eBruu89xd7uh5F2rZl5tK1o5zhW/8NY4w5 + v0gxWZ+oSEXOs/PEEqEpD8Kyrv6ymmgZGyiZo4QoVRaf2Cs5hCZUVcWLGm6nvIXakducTHsXezq2 + sZpNxhhjjDHGGGOMMcbsIOwCMirAC1QhAHGFYf11H7nts3uH93ez1ZWxi6AW3vlzigU6m4lRDSK7 + BhWkBaGbTQMqAOCtCvXlP7So52it4sY8epT6FKq6ubmpqtPptARv5JzX1tbKzZDSodl7bz2VjTHG + GGPMo5wQ5/4LQgrSEl+KLrlEXn0VmiQy8HzloHZ333f7m966/zP3rMxmo6qatDMRzHPfzadEMpev + 7eFxpHAqgGbSxMpKravuHIcrvv2b8cynHq2C5DBI1Wi8Kwld0rGLQiIsSVMW9eRomsbs4zzqaHQf + quE//IY9z3vuXauD6RBzgLzP2scBnhIGzAqfclrfgGTVzNs6C15opUe4buVWAIRQV9vjNncy1aya + VRLN47ir2a18StLgBV8/esoNJ2pOoIodAPJOGJ2c7UyxijowKNUV5XZ6QNNH3/2b1cZ0kASAEhIj + EWdip3By3oIf+jTr7bHWRA+nr58yuSpkFTCd32EAdPLXqQGP26eBAB9a1Ri8i/mKY5sb//UD97zn + PVfm5Ocd0yKKFQiVS23n4aFcct+99ItXCZmYHSTDO+QMDzf3Vbj5xtXvfPF9yGk4ysrUkeukytAu + 1c55kSrDS9nvaCuYdmeh2lVOfM21H63eHeOBFzx/ePOT7pIY2QEAiZAIQYhAsj3NmhUhc5lBAdQB + DjnGAXunkY/ed/iue+992y/V9943ii1T7mL04msKToizViJeBUBktI5bB6VHIsVkpRm7TmR9Xs3E + zXXkh8FXqOvoOPHWhiSE6BAdhB0yUhe9961SqmocPrThkYnLNq2A9Fsg77S1ey6URWkOSHCEOKb5 + 3rw5/+if3/2RDx9QqaEeAFMWJKBlnBg1J66+4kk/9ePzg6MN4X3j/cfmMQ8a8mEQBqN6MAiVBp5L + N4udnHW3cgGEIT7DS4lzZi/sM4VEda52N/s6N9xAuulVt3wB6AZ1Ji7nCe6LheIoeDkxDC73Gijn + XcK4+x4vGZS2RzWTMp99JvfZcgqW5cRLZs20CJBWckpeyAt74TpxyH4eUdf17pCa2fEHfvpn9nz4 + z3ZN12ddHo+QE6YJMbZUV46Q2jSqQH0ybpknBqgsLoqohn59dc/8y5945Mf/+fru3fOVVRHI+vyQ + H++lATY7TTkMmo22dU2TCUr9cl6cWoiStX9e5gaDgYhMJpMQwsbGxurqKmmuPOecqir8769/w9c9 + 92uDJwK8g3cPubeLyGg0Kqlppf28BK0tU83KeUjbtiJyKQ3jXJ7HPmjWlaAPe1zN8jRe+4MSqkxV + YkeUBSnFNsV2PsegAZGy30mDNYwxxhhjjDEXR7nIEoCIcxIlill+8Zfe1alw37KFvjsTEUozQd9o + gJxT48P3fff3OOKcczdvGeS9r5zXLN/6whft37X7NA0ldKbek9ubmktkcvkmi9x//MR73/tegFJK + YAI55wJwyWQeC/VfCwpojil1UbPEttMsKgJRZl5eBZdr3hhjCY2+eJP/kJxzRJRzLlUB6ro+t9dR + EQAEFeDGG2+EyGnn91K5Q2SMMcYYY4wxxpjL1XLon6rygnNOVWOMdV3nnEujVgjByiIbY4wxxhhj + jDHGGGPMRUdEzjlmFpF5TCc2Nt/9n37zLf/PO4ngHFLKOWsItSp5V525XbfruqqqSu24R2z6jTHG + GGPMpaWqqjIeZPt5o51APmK89yklZi6B1lVVwZa/McZcAMNBUzmvWdtpbts0qF0zqFJKAJQFEJQa + UwSQKEsStIKs8JVzjiaTjdxFIobyotoeK8ny6xErdGnMObscCgkaY4wxxhhjjDHGGHP5oP6BRAMQ + 4AUKZgzCvY55OIobm6yoRj520ZVslQtJtEU7x2yCGIf1sExfVtmebM0Ag92lU0jRGHNelA4lIhJC + iDF674koxgigaRoAKSUiqqqqVCC92NNrjDHGGGPMRSOAggQkjMwCEkJiZFL1znlyLERZJHVNSrjr + c5/+9f+YPvq314gfSk7drEFpGMA8ITMyMbYuynXxDj2CgEQIHfsTVd38/afv/Y4XbNYhVk1TD7tJ + O59GvfDtCRdajO3q6qpmpC57ppS6KDEHPxuOjitd9b3f0z7++gcYUiEj60mBv1tDLp0i5JxnM0iG + ZtX8iLVslMgKZlZCiUOA6oNjC3dmgAH1saPZe24GA9dJN83Yd2Cjcte+4HkbB3dNJKtQ0pRzVgaH + c3gLp6CUIUlGhKabbX70r3HHJ4cpk0JJlKBEAiLtt/mHOVN9PuJiRO7DLLmo0JJJQkTsnUAFfTLK + dmcfcNs7qz8SIDunjvN8ejAmfPT2j739HdepjNqZEwSProNz8A6xy6Tk4cs7lIMMQ1hVACmZG0BO + qGq0ob6vCje98rvT6jCPVztRBWvKgdhlrZiRYskxKdHjLCBlBecdtlWTgpNL8+g5rLetrK7E0fDI + 93/vscP7p8GXwO/FatL+90sIroKUCWBhIShh3kEEzkEkAd1Q86HJ/K73fmDywT9dvf/47mEFx9Jm + n5xDYDgWcaJAEpbkJDFLaWc9uzV8dlghMeWUKl8Pm3FKkkWiIoPKWgYJqyipkmSWzFDVEILm7Jwj + HzZixsEDE2DbqqTli1/qGBiwd+SEXas5pukIXXP8gbtuu21fSgNRxC4BzCBP5DCp6vv27b/x1a/K + j9l3bKWZM29uTpvRIGtCTiSZ2pRnrapWg5qroJ7Puls5pX7NKANM6nymOnHIVIGn6/PoqunqKq66 + 8okveP7RumodYbmhAu7MK2UZU+1c+az0oBUXsLbutGz5uggufySwgiAMIRWClH/KAR9KrEzioR7K + rEzKrAg5D+fTPcePf/ptb23/9EN7No75Lq00mE+gGeN6AKBtZ3AgQPJWLP0phHHc8QNXHHzy616b + r77m6K7dc3actaGAVtIs1nVdNcPN+Yyr0MboFF7gFwncZeO3+yOXvZRSibNS1fF4vLm5GUJIKZVG + 8pWVlZ/92Z991rOeVVWcM3J+yA2CiCaTyfaTDeccABFR1VL+g5nrul4WjL4klIOFAFsHjcVesYw3 + e/jJ1v3rALzcDdkBEEfOV5WrkAngKOlhvZMxxhhjjDHmslCutmKMICqJy9P57Nd+7deCc1lUQVhE + Tdd1jUXMMIEcwMC+vXtf9l0vkZQgMh6PmTl1MXYdie5eWX3xt35rf5vmS24QWF4Jisjyik9UysXU + L/yHt3cp1c0QwHQ6vYT6WS3DmLc/AiCiEEJd16pKRJol5+yISweznHNKqVxrN03Ttu1Fm4GHkHMu + a6GsLO99Xdeeznq9EDMAXjSiHjlyRERcSVE3xhhjjDHGGGOM2XlEZNnBtTwy83A4dM5570vHiVKj + 0xhjjDHGGGOMMcYYY8zFVdIEy5C0uq4/9KEPvf71ry8/yhnO+VIXrqqq2Xym+pC91kpnudlsBsA5 + 13VdqSz3yMyFMcYYY4y5VCwzrUvJBeecnTc+ksoYHCwGTBGRiJTvjTHGnEdZYtu2zNQ0jhlJsiB3 + 0m2LpsbiSwAhD++hipQ6InLkg681C+lJ1eSUVCzT2jzIzjyVumSGNxtjjDHGGGOMMcYYs12pFleK + vAO4PMZCKyExkkMCgmcIkBHAQABqoUEuWRQBOk/saH6hy7KTEFCzvvObX4DpFFly1wFQYjjA9VUZ + +xKNpUl8J7aCGmMulFKcAkAIoVRfDWErr2wZybb9SWOMMcYYc9nYntC6M/vE7CSsYCXOBCVhJEIm + JJAQ+5TEkeesyHE3UvyjD9/7nt891GpoO87iwASIIgrg0TpEBzkpaVLKf8hhrvABFZCSTHy9cejA + Df/kHz+wq5n7igW5i1UIpFRRuKS7DLFiwD5OZgF+EKrIOfqEWjuNKhSbsR7Yf9MrXr7W7JoyuYEX + 9P36liEBSn0IZQXefOAYVCsmUuScyztc6Fko3fSXjwDADMeywxJ/T4sVPuUKHBEjZYgOXJ07mtUD + /IOnn7jmQBxWgAhAjpyDnn3zVYYA8A2iQoDQxivn8wc+8PteSLMQ56Qp+CZnJVI5T+1jQn1BRkDJ + OVUlQFTPIeKaqYzBgKo679m77bGUdHL678PMd9STW+P6uHRViYlEAzuwm6hm0P4s9X0P/NVP/+yT + NuZhukGkRMgZDoAgA8Qg0DIGfhne3MfKAhy4A5xH58NnKT3hRc/HjY9/oK4SwFmJiDwRMpMgd45F + ASUlJS9UZfbSz++O2s6dso+6Ug0n3bQeBclt29R48pP2fNPz/3/27jXasuuqD/x/zrnW3uece+uh + klSSLNuS/JDxqx1jbOMAJthg/IgTaOjEMW43HWMnpEmnk4yMfOjRY/SnZORDD2CkMW0cbGyebogx + YB5NQrptaMfGV8wAACAASURBVB5pmofbIMAY20LIkiWVquo+ztl7rTlnf1jnnHurVJJVV7qqW6X5 + G0c1ju7j3H322Y+1115r/rdmaTBjhlUIGbmZg3gZaL0aMUxGqMSVIAJmmIEAJ8us/Tg8Yyif+qEP + pM9+bnz4gdTxRLIPNUlXzduvOqtRITg5HMno4nj7Jx0TiGAJ53UokzQkLuRGxjBxJ3eQ7iWTAgCs + qhDXWt0JKWEyoY2ZrpJ12iZNy8fV3R4Qw8ScF8WckTJY82IbDzz84H/65KQuJKEo+gm0qEBGdPfn + 2Vf+k3+EF99ZN05qZeuZJqi7W51X8toDXfGZp2RUSjHxUcfL2/7JgPaJAM5KyZH6miaV82gTTgDU + rEJGyce+5W/95cmN+aqPjhysSA5SJL44cdlXEbMGJ5BpZRJhwLXuLqB+yVidpyBrh325XE7ejjxt + jSXqkmczktQbJ6Q81pLJJnV+4vzZ8z/6v+/83C/NFmdzAncoIzqSzrINRQgsGOAmMCA5WFEMJKzt + JONQwi5h+9QNL/sX/6Tc+ey/zGnMUxh3SEY8Aj7pF8C2jpSF2BM8K/qKXi2ZtdWyP986XKt81Spw + d9XCvAy4sjomhpky0w/+4Hu/6qtesf4VZqxjyNoTEWqXTu3fUgqwboJi/bxViM45r6+z1rdBa61H + MO7agVFtr1kyDK3oCfGyfbveo9uTA+wrbV9zghKUYbRsq6AqhEBsZqIOJ5hnvuzz6fq+M1Zru30W + F13quvsRzFoLIYSnrfXc+3bDetkSJmpn2KbVR7hSSxhCCOHKMnNVSyl1XUfCu4vFRz/2sw9v7Yyt + tb++NCEMwy7I3D2xCDkAAv7+d/43k5RdlxcLDLKqXUpdTov5zj9493el1b2Ex5l0/MjrC6xu8wD4 + z7/3+3901x8vxsHUp9NprRXgR9ytONgV1aHbV4hh72EwMIoWEnJyTuzkBluP+E0ptbsk4ziux5gd + Ha1pUWvtus7MWmXP6pd9Sb76oJ2JGXjpi1/S5255+b/vptUTvFMQQgghhBBCCCGE8MS1Gy77K1Bj + 1bmhqu1++rpj54ouaQghPJb1wG93bz3SF1l3U4cQQgghhBBCCCFcLdZhgfu7OFJKRnCm6oCk3/1/ + fu+//8f/wzg6M1rnR621Da0fx3E9ZXgderd/+nzrMDGz1hUcxeJCCCGEEMIltQkgRKSqRLQugNDa + lrwqrJBSinEFT9x6wuz6K+u7nOtW/Xqdh/BUWl2fxsVjuGY5GSUyaHFtlbCUVDKMzQjGy+I5tppH + yYCN6AhsCSYCgYFMcOH8QQCAHanie+EoWM9jbed8P1DRyyddtDBCCCGEEEIIIYQQwlWJmYdhaIPt + WmG7WquI0KO40st7GVpmyLJsIUBgOKEmmp6oLiK5FhCBRfquO+yeaCfzYX7SFbVi1FnXuxeHOsG5 + DVRkgJf1EmMiZwghhBBCCCGEcCnk7GAlGIHcs1UADlS37fn2bLOnMr/FHJ/5/H9+/4dvH2yjqLQI + WKAN77FlcNs6+dicbB0PwCKDYrbB2wNc0R8/cU8vL33739m54bqtY5sqmZydW+AtroFCTOQsDnED + TNkru7I5OXVpq9qZyWzyylff+OrXbE2PfWl70fX7f/GC5+xOtWJ3NxVlApiMYIe8gh4783J/V89T + ECB6MAwA7gQn91XG4MB52Mhf8Te/6SzcIH3fj7XqiNVI+MfLAYUXqBlAkIQpIT/w4IO/9/s4e7aD + sntyKkPN3Buc09Ht91sGx65TPQ75z7WJH6UUZp5MJsxcS9FSZ5Mpzm1fP9bP/ciHT3zxi7OthzdE + nGBgePsw16+xXF5gmVIMtOBmJ2Ax2mTWjxVnne0Fd/RveO3ObLLTpcpMAGCgdlyqTmYEZW+jaXmZ + 9rpOYTlqQxYTwGBUqur1oTo8fOrU7W9+0/z6U6Vjl64Tat2gIqi2HiK83vBahDADfNE+m82Oj+XW + s7t/+sEfvWEo/c6WDgskGVXbFBFnBSqjkhsDBjGQH+76MV5FVtu+zXKZS736bttyk5kYZPlOjVse + KWWkjNnG6r0up7Kzg2HsOLo75ONTdOTEScSrM/nU8NDPfvymwWXh7kiCcQFinKl69rpTN7/hDfSK + v3bu5tPbnKtByZxqktpRzWbJLBknQ1KwAThYprsZmZIpw0FwYmcxTkCtoyfzLCCeS4cbb7z+1a/e + YphT+xyE2n6+P6N8TzsuEZFjWYhWbZkIaKPuz9F5KtPojQDYeutyApzIWRc1564Wc5adxXxexsmk + y/PtZySc/+Vf+vxHP/bshXaDVwUcpmBnwgVbowFwsJM7pn0etaTUce5GYDd392wef/573o0XPf+e + 5GOX3N0Upq6EynuPwnCAgGxIq7W6XldH7dAWnjKTyaTdiOy6Luf83ve+9zWv+SoAuSMztATqzc3Z + 44yiVtV2r3P9ygC2trZSSqUUVU0pHc3phUnY4dVGmKHPpU1JrYrlYcSM4ORGAB0klnvdrHqk5Vls + 3XRxMA54PlqnqdVa1wmprYBL+5eI+r7/Mq8SQgjh8LXRQbXWNufZzLquW0/Syzm3Wl0AWpWEK7y4 + IYQQrhBmNrOc89bWlpltHNt8/wd+eH8H3jK/eh81NUfPMk3pne94h1VNxK7WQohbn56ZbU5nz7r1 + 1q/7mr8uhL5Lrqu/eLm94cvfIgVE5H3ve3/OGcJDGbuuO9CbvgJa38hF/14DIc1E1FK328W4iOzs + 7BzkgtxdWLAq2vLKV75yPp93XXdkbwCFEEIIIYQQQgghhBBCCCGEEEIIIYQQQjhS2kSnNoixTQJ1 + 9zad3MyI6O67737Pe94zDDUlPPYsNl1NcAawnqfWhre1/7XV78c4/BBCCCGEcJE2kXOxWIiIqg7D + 0JKtW2O1NU1bY7XWGsnWT4SItFZ6KyGFfZnWIYQQDp3zukpkq/v5GMhBtqx4w87kTC7kDLSCe02r + 6WdOfulCYOFpb1Ub46g4ipWtQgghhBBCCCGEEEJ4PPq+H8eRmbuua1VrVdUfxZVe2MeFgARkgAEF + PK068Cih29hWVBYXUsAIu2NtBRMPjxEgLNDTIAwFIDgSiODalnB/D6NHrHUIIYQQQgghhHAJ7BAH + OZTNycQtWRupxkXHkzec+NLugz0W07n+zr/+/lse3pqcPSuoRVyZjMhBcG4hAOIQA8iWuW6rR1Ht + c5rPLSeY44FSZ1/3KnnjN4yzE+CJOYpbTV6ym8D9ah/WxquHGWtlK2JOpmwj1zG75Ynyxu3v+M6H + bjzNm30dAID2BggSrUf7ubM7zp5PqgyjZVzz0ergOILZBquAdpCjMIoYgMp8JqXrv/qr5YbTcyKA + M+AHS+gVMYIZ3OCGCfOm23jvX2595k+nRZOWjokH7ShV0iLuBwpHfCR7RPK04yDxG/SYyb4t97dl + F+/lL16+R3bFqSozC7ObmSrcE/E0d7azeO5kE7/4H8780q+cqLsGhcFsFS7izA5WZmOCA4Vg66Vq + b1/cxC0BPlpFOsP5Wd/2Frzo9nM5qWRlKC0zrQvbkHxIPoo7EZwcbGAnNkAcq6PfUeHgyjKSV18U + X8isr113nkXuuOP53/zND06nu8OYDIlgAEmLfb4gu5kdyWh5cF5pK1AZ4npi67z+4ae/8GM/c2tx + YFGnIlm0+nLrIiVYNhNbvtjhv2ljGMOyWafoFdmQDeLGbi2enN3EvVPPttwYhJZpye4ESd2xzRY/ + vP/9AgdMKj06lH2cUOkANSzKxDoU/Nnv3oUdneSOgKqQDO/68fobP3/LTTe8593bNz/jYe52jSR3 + ECiNoEJWsmlWAHAwOYvzOlD8shiZEqqg8jJbWokqw4VHK2NnJZvCB0g9cfI5r/36IfXK7SDEIHKA + 6dJlCNbHH18lmSsAEhdRobbv00FzYQ+mRa0rLY9O7UTDADknTrUYdWmuA886EmMtpxj4tf/4mQ9+ + 4OTO9rCzkxQTESsQaln0Bjg5xNruyUas5gmsIGMMdVQbSSb3y/T6v/d38c3f+PDGBvU9D9udjjn3 + I3dFeJRlprXS8qTggJEZW2W07xZ+QsfzcDUzwGqt29vb5DrMdxJjY9q/7wd/4GUve1EpDkI/kX4i + 29u7RMhZVB91Q1mHKKtqu/03DEObcHjs2LFhGHLOrWjIOI5P2Tt8/Azu8MQJzDi/lSVtTmfr6iUX + R5o9gfNFa0GxL49dTiBHNhdf/YkD7Y/MXEppk2nNLKVERLVWVW2BqTnnlmwNYGdn58DLH0II4UmR + UnL3lFIpxd3b2CEARNR6dWqtLYqy67rDHlcTQgjhirtUiDIBVGudTCbjOE43N9Tp//rEr3/6j//0 + MV6nVWCcdl01/bZv/dbrrzsFU7i1S7OWcGxmpRRiHNvY+K6//y44rNT2yyCyA510VN2BavoLH//4 + fV/6UgtRbpV9sOrDWdU1OMDLHz4nA7W+mP39X057j33fvGr6D1tboo1JJiIi2traOtgnoKpJEuC3 + 3nTzbc981v7Pd78YfhtCCCGEEEIIIYQQQgghhBBCCCGEEEII4ZLapLN1UmCb+qTquZs88OCZ73jH + O8+e3zWgVOQuXTga7YLxe23I/WQyaZU5zayFDrYB+W2ievvilXqnIYQQQgjhKFPVyWSiqiLS932b + idNaqgBaY7J995LzJsLjwcxtHbbpsYvFouu6K71QIYTw9EFsia0Ty6Lc6laJJrGUlJNy+2LS9mCx + JCaiEBNyYSc4A4y9TOvoYwlfxhHsiItY6xBCCCGEEEIIIYRwVWq3LduttVprSgmAiPCjuNLL+/g4 + YCCHwLBMXQAAECOnv/vxn/fpbFDtjyUz5ESc8r4e6sNZIrKeqDt3HhUwjEMRggBt8fYKQlL0kIcQ + QgghhBBCCI9qFXNrTk7O5MmQDDSZdDtbZ050eoONZz/0o5t/+vkbFsNJkmQOwMhX8dWEVX6buPG+ + xNn9gQ1kMOFxduyB6fQrvuPb64nZLidTKkqFvDCMzOkqSw64JKc2ddLZjWEAWrDuWHaPHTt2fnc4 + JxnPf97tb3nj2dyNgO7LtLgw3sISfDx7rq8gX64UoqcixJP9ao3ANEJlGIOdyQlkTm6ESrJIU2ye + vO3VrzzfpZ1xTDkJ4bKDPGg1MxZgQlXA/DjhhNvnfuc3T9Qhl5pcEhJDKrz6JQNbnwRP4odjq22K + V+kjh/HRt0yvNrXDqyZiIkpDuWEY8YW7/+Qnfvrmc9ub5JlRXSscy6MKwQUQgJxsf19jO/5gFQkv + KZ+rZWd6LN155/HXv+6LgpKzu+veUciNvQpGge57HWNUhlOLxwYdpeOPEQyucM5Qry4p9RvzoudK + TW9+03j77YvcmcGI2wSaFgfeEtC9rUM4rzOtV6uvrRAjsNumlxvn8/t+4f/A7/zeKdik83F3RxK1 + qO9VIqcRjJ2pDUo+3LdsgNG+Q9AFcTgtZ5TaOQi+HvPKDrSthUCpn220OHmH+2pbugYYYSzF3JPQ + rOuJ6Ox86O583oM3nLpvc/NeFt2clH76hcXwpcn0677nvxtOHPsS5Hy1SklyInPSSkQG9eUexABf + lHp+mdgJSqZs7bDUopRNXKFgNWhxWzDvdJPJV7xIrjtVctIWvk7iAIhx6XgnADBzIanwAssCg4/s + +cRxJYIzO7XNYxVO9FRw4tUxkwGQMztaYCElcrep2MzGG7Xg03/0//3QD51+6MGTtZzsOgXgKYHZ + 0WKA2qdADjZuxzECQ3gcR2YyYAf40sbs+Gu/5pa3v+3+yeR+NemyaOlJ1RwitlyGZePBaS97WwmF + l4nX9iirNzxNdF03m81aNZC+73POzPwjH/rAK17+UiIMgw6DAnBHKY/VKmo3Pd29ZVe3KGUAzNzS + OluJkPYXD/9tXTYGmRkBqIpjx/qUt89vJd6/bzyhU8WyTYK9ILd9R7Z2kjLAQAa3A/y1Ukr77Fr5 + lWEYSimtZdVuSbt7znl3dxfAxsbGE3kvIYQQnrh18aw2UmgYBhFJKZnZbDbD6kzKzDs7O+3cGkII + 4WnIzDjJWAqAnPP3f//3J+HWwWGPctFAoMU4JuJ3vetdnSSYE1E7lbSzDzMzyKouFovXv/71z7r1 + FnMIg5ZdEQdCAKE6thbzj370o5JbrclHvYQ8yh1xh965+RRqt4rav7VWd5/P5wdZ90RY9bJ+7dd+ + 7TiOs9mslvKkLmwIIYQQQgghhBBCCCGEEEIIIYQQQgghhGuWiLh7m/QEoJRiZkYQke3t3e/+7u++ + ++4v5kwiSInH8VHjA9vrmNkwDABUtb1sSqnrulJKGzApIkRUYpxbCCGEEEK40Dq1urVLW9uyTZdo + X1nPhVHVo1kS4arg7m1i0XpVLxaLIxh4GUIITxPr4oF7OSDtiaPFV5MztZNhq+e2LOBme7/Yqty1 + mopP2XKHq8pRO9HHhhpCCCGEEEIIIYQQrkpt9Ju7t7wWAMMwtJual3Sll/fxoWW2EjkSbJWCwmDB + RsJGfx5WQbtbVQQO3h0Wh7o47F4LcikbOwPGCtNukutiaCkO6yqE2p5GbEMIIYQQQgghhHApBPAy + 1xXkBJBDHNmJyzhseLmpLvDHn/7Cx37hmbu7xxnFqri1+OqWbO0EcU+GrJALA3FbAnDKVEvtSSxt + fsbshW/7djz/uQ8SqQmrOItLZwSFwwuT8tEav3R5jKBESgwnNp4U7hRKULbOXIZRONeNzS8lO/2m + N4w3P3Pc2GyRuqt+F15nWxuQmPXs9sSczN0d4Dae+1Bd1etfCaNwIRKjbBA3wJS9MItMnafd3/j6 + M8cmcwIUIqyX3y3HXtnBoC4zA1pdHVzGB3//D7Gzm0dzRSdJVcFU6XJzsx+XJ+sjuiB4/sJvHTh9 + xPctntHeo8UuqmpmyZIY5Gr9zs6J+fAXH/xQuvueU2Z1XpyATiAgWAt5bQ8s4+FxUbL1evmr2dhP + 79nonvu33jyeOLaYbRoYCiduwbHkhHZQIlIiciZnJS7MQ0JlkCOZHbHt34jcfMxdR8zzRbXqE2bN + CTedvvVNb7JjJw2iRkQEMweMTNmV3dgcvkp/hjKUAed18K0YxGHwE2a33vfwZ3/sp2dnH/aH7j/W + yerTb8nBRnA6zMjzS3KCEyvxyFyYlZdDqMlhoMo0z7TIqAyDQgCYGMMI5qnv2rahbMp2zQT6itGM + Jr0nG8dqw1Ytwy03vvx//ueveu+/4be+4XOnb7yH+nvHtHvi5he/9Vvw0pfsbM6463ruSFI1t0WV + SsJZWYZEg3BhGEH84nP3ZXBpG5VTZVRjreJFYAyQCdytFPKS064zNk6cuO2Z8yyDsBKvjxS+Olas + 9+i2sbHDAerY4OqgRIPqFgw33lCZjbjt17aOejr8ZGt/xJDmtt5qrSmzWu1E0/b5G+c78oV7/uBH + PpT+4vM3Fs1FydGjU61t0wbMyYxgYAcTIAaCcZKqNbN49Umenp9sfPEFt938D//b4dSpeTdN09kw + DNNOMtFimBORGDpFZ9apiRvBnKyIrdOsldD2HbumYpvC5dnd3TarIjSOi52drVrHY8c2jh8//n3f + 933Pec5t7Wf6idBjbSDcmqDjOLZY5b7vW+EPM2vJygBa4vVjBJtdQe2mYcctNZQwlHF3LsSm3oKo + LzoGHuCQyKuzJDsIMEJlr2y277X2T+m53Ndv6aeq2tZ2Syhv3xIRZm6fyGw2G4bhqrnvHEII1y53 + bwfqWpclt8ys1ioiu7u7qlpKabnXGxsbR20WXwghhENgl7wKyDnP5/Oum+zOh7/4/Oc+8Ru/3uoD + PFqD3t3b5f2rvuqVL3vpf5GyaKlC3C4BiMjdBbS8TDObTfrvfOc7CXCHu8PBxPyYl3+XQJCuAyAp + OfChH/3wfD6vtXaT/jJXwpXEvuwZaReAFz6M/Kq8hmop5m1wsqoS0Xw+P9gL5ZzVlECve93r3N1K + bQOej1hXeQghhBBCCCGEEEIIIYQQQgghhBBCCCGEI6oNZcw5u3sphZmZ0+7O4p/+03/2qU/9Uddx + Gb0W+GNO921j4dBmsjGvR9q7+3w+bwPb2hD9cRzXU6tCCCGEEEJoWitRRFqrsk20ad9qSczti8yc + cx7H8Uou69WszWfJOavqek7TlV6oEEJ4+nBjM67KqmJFWlm5WqU9rNVbU4YzjMzIfFWSq1WRc3Jf + fX1VuAtolXmewuJyITwRUUYthBBCCCGEEEIIIVyt2i1MImrD4CaTybVQRpxaOITB9tV5JzgYs+m8 + z3xsKgnjiL7r5PCjpDvBiY2N2Vg+/o3fBCIHUtfbfC4OWYXxOKB4RDJPCCGEEEIIIYQQAABOZqSA + s7s4HEmRFdmREmGzDpOHzv7BBz50auvcrGxjGIF1YFvLf3VyZwfDWqLkpWYUugPF+Txk/rw7pt/2 + 1oez7Pa9sRCxS3YRRmZzNkt4KpIpD1lL+2aAxdEpxF0c2ViLpenkXB13N7py/YkXfet/+XnIIl1i + iJQzjMCM8dz53ohaEuZylPxTMaTqMf7GOnD0aI5BdMBpmXjKboIWxI6x0tDP8Jxnj7feZJNuYZUp + My7MSf5yyJEIDJTipkIEBwjo1fi++3Hvlzqn1gVoVgFn5sOL1G1BsE8iWmV7HEZeBRGVUgTUdZ2Z + lVKypFOTKf7vXz/7iU9cX0pvSMCgqNC2/a3eHK9Ta9dfatnMe0NiASeZp2647Rn89V97jrNyV4om + MDngzM7sICd2YocYA3BiZVReBs+T21HbpNnRiVspqi7SwdxqFUjt+jOZT77+G3aPXbfDGXni7mXE + NAHAeriwtRHGZMarryxjdFd7MeBARj21GBZ/+OmHf/XXbl3U40lqHQGGp9VGAcD48jM4LxuZE5Rd + V4m8tk4ud8a+jbO9HyV2gsK9zVQnYme4d90Eqx1Eua2TVXDyob+HQ8VcSBREKrPkmeaz6b3Tja3n + PPeOf/ier3nfezff8I0P3Pmc+ctf0r3xG+e97IIScYK7uxk6ShOZMkQVlXgUbuHHlaFk5ODLXT3O + 7Ewu7bhrrE4VMHIzgIhytexODOpSIQLTTV/x/N3MY5bKrE4EhhOtAq33H9AIWO7ie/OXuBosZ1x/ + nRLD93Kan9Lkcmd2XkVwL/+wwYggbLkOpwn91s7dP/aR+rufui1NbI6pSCmjcNtK2WBtC3davVEs + 21SlFhEwuYvcr3b++hte+Pf+K7zgOfdZxaRHFjNzSYsy5ixwa2e3ZBdnk7dUXcIFX7zKN/5wcJPJ + hIi2t7ePHz/edV1KabFYlDLccccdH/7wh5/3vNuJMAzqDiKIfJndqU0pHIah67qWplxrbQ1UZiYi + EWkhnU/Jm7sMbsYgUwUxUobr5my2vwnYbnrygTKt1y5qQRmtjxZmBLTLpfUuevlaprWtqGpb1ara + 2leqmlJijvkXIYRwhYlIK2rQdR2AlFI7h6pq+27OmYhaCOWVXdQQQghXnLufPHny/e9/v7qXoo9d + yEWECHj3u9/lbrvbO21wpqqSsORluUavSg4RGYbhbW9722zakSMnxqpq5OXScQTQLjT+/HOf/+Qn + P5m6/io6hbUrMDqqtzMObF1cqV2PE9F99933RF4wSXrNa17T0tZzzpFpHUIIIYQQQgghhBBCCCGE + EEIIIYQQQgjh8dgfFmhm0+l0Npvt7u5+7/d+76/92ieIMI7Wpq2papsedUnr3MF1vnUppaUSTqfT + NkWu/XrE5oUQQgghhEfqum4926XNwW9zYVoztTU1mbnN04/5+AfGzKpaSsG+NvwRrC8RQgjXLjOy + VkjN12W7VgW49hXE2ivJ5YSWY23LJ9qSrY2s/UybfSlG7Bx9LuEi7r7u/TsiohkXQgghhBBCCCGE + EK5K4zi2Gu6llJRSu8Hmj+5KL+/j5Y8MLzHAmTID/nDyexfb3qOfYr47n6bJYZe3I8G5M1unUr5h + sgHVoToM3E1a6jZWGSeOVRp3CCGEEEIIIYQQLmRAlWpUO7Vc2SEjp8rJQJLoZKnjT/1C+q3fP6kL + EBZWU5ecQQA7xE3ceBUvq4TCaGGN7BADOzPgCgW4mz4k3Uvf+bZyamNxfHNwV5FKXJmNUjLqK7JV + Qb2q0wV4FTOplCplA7Nho9jG6Kjc9bPzi11LMKu7veAbXze+5IVbOV8ybLXlWM/PbUGV4d7yea+e + fqQrgh3sTM5KXJkJnsxnxfrKanJeEo5PTr78xYssBDgYlC77bxCEoKCigDMDzDyjdGoccdddvRGI + K7mTk0P4yU7LoMMKcL2oH+/Ai22097hIS+1C6zttmcpnHvzMx37mlt2tvBgJneTOGUNd/+46U1lB + RqulcoISlPayJ41AwjudPOfNr8OpDckznjsUIpKUkyV4Ik9JU195WrhTRttIiCsv36+TOR2t/kOC + idYJkY4EzRucE3wAzpouNnucvv6m1/z1h2fHF+LLwcS8PPaKA0BlFEEROLXUW2ujkNsBvK26bkJb + Y51kOzHOv/Azv4i7769nz3AiOLOLgw0EtMBjAxkOcxUZlp9sZVRiA8OZjdkhLYfbAYCdxblTzspE + 5ASDG5wcTARwynn5gtSW+xoZhsrOmTdIcyXd0R3LoJR3d3xOxx6abe7cfMPN/9M/e/G/+R/v+Jf/ + qN5560PJOLkNcxmrWQUJ5w4QFIaKEbdtY5GwkzEkMJD18iLtyVksiyUCnGuV6qTZamcgd4Fk9amz + GNAOlgQ857Yd0oG9ChmcQObWKghccsNiwOoIJhauRSnJ9NR1OHlciZ2Indl5eUh8Svr/yS8Oom1p + 3JRIbUiwbhwmO7s7/+nXH/j4f/iKOdv5xWw63RrKdNJXGxxKk7QAKnElISdevmAL8IYCksiqlT5/ + 8fjG6W96/eZrX/fAfMBGP6+7tVZKedd8APUdsw/LY21rcbWoXDcxS259tVmxSbVOkY7WgS081YZh + mEwmOefFYjGWRdVxOusB7Ozs3HTT6fe973233fbMrmMAOSfVRz0KmNnGxkabyZlzHsdRVduMzbYX + t6mb0Yz6tQAAIABJREFUwzCYWTvdHynMDAdVgwOlTrp+e3sbwq1B8sTvZrYpOhfFpLHDACMoLc/F + 8OXOfrl/cB0+5+68IiIppVJK+wjcXURE5GBJdSGEEJ5Era6Bu29vb7v77u5urdXdSynu3hKv20ih + FkJ5pZc3hBDClVG0too5995770995CMiDIDosTqy3P2Wm25+05vepKXMZrN+ktkB3usyNjNVNa/k + llieeeutf/NNbwaQc6bVD1z2ghLLZNrOX4n4Ax/4QCllHMplv86Vs/+Sbe+x6sO+6HG1WN8qalU+ + ieiuu+46WDdoKYVAX/mVX3nrrbe29sl6O4lw6xBCCCGEEEIIIYQQQgghhBBCCCGEEEIIX1abYrae + enbPPff85E/+5Ac/+KMAtAIOM3RdRySqDvAl02fcPecMoOu6xWJhZjlnM2uj8QFsbm4S0TAMOeeI + zQshhBBCCBeptYpIq4EgIljNsgFARH3ft+/2fb9Ovw5P0DrTOq/q/IQQQjhkBDA7tyml6xI3y0J8 + DnJwS+NwkKXWA2MEYzPyVmFPV8/3vSy3so1XdfHPcNiOTlmMa6SeYAghhBBCCCGEEEJ4umk1B7Gq + 7d4KuF8TsUO8/PfCYn6uQO7e/PM/r9edXIAXc0wlox56+UIdcWKSeDG3nXMg9IkAQFfxKrTMtF72 + kR+xWJoQQgghhBBCCOGIcDAAcgaZkRubEZL5id053f1Xn/25jz97UfqiInCCrlLY9gcA+Lr8f3vB + 1ZOWtqgG6fJDnKYvfMHmV7/6zObmljmxEKujFlVXY1sPaLPLD3o7WshBbgY4sbWMVUUy6rpudzGf + TCbMYE5zTvXk5nPf+pbzXV8IxgYoUAAFAIcb4FrnW6iFnQnMpPDyFCR34uK/QXAGwL4/U/kIf0y+ + HHXmIHJqgb552j9s43Bs8/Rfe9mOc582zMz9sqetagULZc7CsswaN2AcT1U7+2d/nt0osXplZriz + PqFxeI9Mht5vnfJ7efYPHXUGmN3Zse8zZyNyAjtAdrDgihafzDBxW2aNE7U8jFIKp3zddDJ78IGH + fuM35p/+4+O745TYUEspSTBJ64DJ1rFngNE61Xi5PAwsoygBVMZDTn76ptNveeM9pQzApOtyzq4q + vheGzYA4i7Msw7CtrY+2kC0t+7HX+VOvDOO0n4nkUqqjAEbMnLtdpa2q1/2dbz9z46kz45gFuadh + vHT4CvkFW8s60xqOUnySobWccNq8+977f+IjJySnYVjl4C53fLTRyYe+2zMAX41ebUcbXi0wAUa8 + /oDEmR2yfLukcCcjcpBw6uGCde/1NdQtPJRR4V2XrY6qWkqZTjZq6rZnG2dOnbynT2ef86zy/Dvu + Fufjm0UrkQsvBwRr9XGoVrXvunaQbNE9ystmAPvlDRz29f7iRM5tGxMDmxGRs7s7U4K7qip5EeD0 + 6S1ibdF9ZESwtk353gu2z90BBxNQKxJRYjYDTWZ8+npsbigROQxshLaPt0z0Q8UOgrEbPME79kSe + lmsSTuQyn99khLs++4c/8uO3m0/mW1MIhnIspWExpC4J4/x86CZJVyOjCe6kvnrXfUZVH4CHJNUX + P/+Gd759S1U3NqsZzDthtwqSfjbdXewyUzturz8IQjvKQQy02vHF93bh8PSUUtre3m5px0TEzKra + SUqZzepz77jj/e//odlkMp3msTxWo4iIdnZ29k8wWE/mdPeu61rcct/3RzNTuVUqoS5D7afe+M2z + 3flm11m11txoLd19zYwDciInalN3Vrn1e41ndizPdAd9fTNrDapW6qX9O5vNcs5E1G5GD8PQIsZD + CCFcQczs7q2KViklpURE7clisei6DkDLjGzh1ld6eUMIIRy2vfKLtOyXcwAgGkvJ08m///mPnd3Z + Vl1eTO1dmuy/lm+dY+bf9a53dSlNJpOdrW13N69C3OrsEFHqO86pXbsxMM4X737XdwkwzgcAfd8f + YOlJki4GACAe3H71E5+4974vck57t4FWp7LW+eBHrAvimqykQEBrSAAopUDYzP78Lz57gAtyAoRB + 8Le++U21VhbknFXV9l3MXpPrMIQQQgghhBBCCCGEEEIIIYQQQgghhBDCk8Ld901eS/Ox/OZv/va/ + +lf/iwHCBCAldvdxHB/7dVJKbdT9fD5n5jZDqs2ZagPy299qE9naRKoQQgghhBDWUkq11lb0oBU4 + EpHWqqy1DsOgqiIyDEPXdUezJMJVoc1aWpfZb234WJ8hhMewvx7a+okRjAxk6/pgdg2VCDs8TjCw + IYmzWCscCoCX9SHbRFZaFp4CAJiyOoMN7LSuMegA7RX3a5NCDzIvtJUvM2rFAHlZ6q09louTWsk4 + 2/t6CE9UlFUKIYQQQgghhBBCCFerVs8dQCtKi9W9t6vaOkkExBWmqO3OADHgjNnxh3myoI4EZgV8 + 2JEhJCI21CnX3hawQloBQifKVqkqrPWfM7DMxIqe6xCeNlR1r3znSivkun4eVcJDCCGEEK5VzOwO + EWkJMVd6cY4+dhXi3pIs6iCd5qxlOH/S6omd8pkf+YmNsw9tuKI6aUrEVnRfsikDvJen6KswRYdh + L883J5yD/dXm5Ll/+61+4vo5ZXVmAmnJKB0pwRzqQjXRwH7UYg8ul5M5GcMAM+LKVFkqcyGlBLfC + Dq8YU//QtLv+9V8/nnrG7uTYCLigAmBQFmvb8Dguth+AL5In1sw+Co8gPdw3QC0mkwGmZZQtOxGv + rqEcTEcunGLJCA6ArFNLBkOqlIzMueyU83xs8oDjxEtegemJeVWzIbHTZcaEE8EqsVX4aHBjdqB3 + nKx676c+xX0qdXCGQlmRXNgvbwicPUqy8jIccZXv+wQsx5I62IjhnAziBlIlU4ISV2YlAplcfl9a + y09VYgfEPZkna0NgWSQPQ+n76UBkZ88cO/fw5374h29aaO8grwwTcqloD1ombesy6L1tmA44M2Ux + 6YzapAY1jDmfPXby9Nd8HfpNP3FsSJjbgtzIDTAns0c8nIzdkltnlgzsMGLlg6V4Hx7m3M+LAuDE + I2lNBi9k1GFzVzZwyw2bX/cqOX79UAFfRnK2oBF2JENWZF3FQjvETdzWMSTkYANVqGPq/Ixh/NIn + P4k//INbVKfMo1ZnZXGYk5M5lVUe52G9Wwc5szE52sGHV7nmTuarqOO2mxsZYKQ1ObkRSy48VlE4 + d/0JQ2proH24y2Bv46N54HqcjMw7VDarNqG+A5OpShlpMGg1M+ktTYoTiYxVnUUZykbkbR1yIk7k + tSS3ZOtHS3Jlo8vb/o2siBcxgMUk15xVCHAyhRq0Mo9wEIPdqNREuOkWnx1PlqUUghqpMABQGyjv + y9aFLweskyMTkM2SW0d5q/ozXvWVmkwJ7KhMlTgpdwpjVD7k5GYywUDQJL16ZyUl9MJs0OIGtesU + ePD8Xf/63z7/wS3fOoPOCHBz05oZXqoDvaBWYwXBhRRiy9H5YAPcMBrmabZ16vQrvvvdO9fPzp6Y + 7YqIpakz1ZLJxUYbF5RTcW9H3MoogipwgI3J2YgrcxGuvEyC3x9sH55u3L3lUbWkeTcyhbuzG1xh + 9bZnP/OnP/KTxzY2hNpUH7CsfplAwgAkJXcCWjOdS1GA1z3tAFQLACInopzFXc2sTT5scxFXP3bI + jdhHl1ICAcTw8uzF7q075yfjSExGZAR2iAEguDjBDxLYtbwm0taQI5N2DgIAiC+vjwDAiXDZ56M2 + Y7aFpK6jy9q/bXrt+ifXd6VDCCFcWesqWjnn1jmZcwYwmUzWPwCgle66cosZQgjhqeD7OtNXsdZw + 8urKfaqk/+v7fkABSuyr8aW5TyCknAHAMcm9ABk8Tfmd7/ivARARM6sqM8OcCUTkBHVzdziTM4M7 + Sq96+Stf9sIXM5CIh6EQX2YZR4eXSqD2+hWA4Afe/z5ld6KUEoPGceynU4NXMzt65zUnd/L2KVzw + WPaXXPy40st7aW2ZW493W8XEbl6JCExFK4l84S8/f1F3Pj2OBzvIMBH6lr/9VnKzWs213QO8qKtt + udpCCCGEEEIIIYQQQgghhPCYYhhACCGEEEIIIYRr1agmXb+aYoZSipslESIax1EkuxM4/eZv/c4/ + /xf/khhwqLbZT6vMJFfARJYpSuvB9m34fZt61maiMXObm7a+0G4D8mnlqX/7IYQQQgjh6Esptbhl + rKZwtqYjEbU5OKramq/tu+Gx7W9+r1dsa6ibWa21fd3MYn2GI2hdlyNcWQQk5aQgGMjYjVqRQDKj + kdm9eqLEmaovHMqcsBeWHI9HPABPwpKkUmdEFUMBiESyrKpL0aoGoHM1qSMhdZCKNPiEO6uepdOi + 7BBfpXV4B0/KpqxO9viXxwlG7GByEWN2kLuRGrk7wTOhAyUXV6rVi6JGPshVR0TGcVz/71Eo7RvN + jhBCCCGEEEIIIYQQjiiBMVpHNQCAGak/p+SS+5SJyKwe9jLMVXnSD6MfZ2CxQHGU6ssOdGdAsC/m + JwYihvB0si4C7u7rfOuW+tCCNJh5fRdkf/R1CCGEEEIIT0OdTMtgTuhnfSnD9taZUxv95jDHb/8u + /clf4OFBgI47InFz3ku6u9henKrx/tDjoWLRT/JLXoBXvfysAzQhtUwAVcBX8c9Q4mtruJkBLYd1 + mSbpZAZltxYgqpDdJN51L3njW85IVxMTIScA0KKMTCYJots7cIfJssfjKRwr6wR2W70ZXv5151Un + yxH9uJywHpjqECcGYGQkVBm169FPp6dOmyQwDjI6zluXmDGW4y9BRrA0Ft7dxc6uwIkcgECe+Ep6 + tPzwJ9DR5U7tXSxTOsSXH7STt1DGttG2aOGD/Y0Wwr3eXI2wGKszdXmyu70z6+SEyPZP/NSzHt7a + HE2s/SFbRi8b9sVp2/4tjZyJWK0lvJAADnDCguXs5uzG135tzd3o7MJFC1ofAF0Uirx8rJbT2p9j + v+DrR4QDQMIyGd2c3MlAzg6AS+q3NqfP+ppXP6QqMnFipr1onPWaXH8FuOB5w0BmCJKVksb59aX8 + +Uc/hvM7unVuNpu6KjuEWm6NtHDTQ3VhjIxdtAE0vu/fZdw72AhGpmwAgRjg5RrYWyFXd6Y1AAd0 + mcjOYiwGdnOqThUAnJVYIQ6Gr847j7JVty2//VB7ggNt/0bW4m1aLnXbNr3NHMAFiU2AKTu6vuQe + TKkdmgFQi9ddLtfemyVrv565AzBUqyRj1193553zWWfEAJTgxOKQZRzUox4wnyycRL3O6wiSlHt3 + 17HAtJ8lXuxuGt/z735s9oUvHj+/daKnemF8rxMMYGdyYmYiB8F9eTR3gkOqgjaPf2nWP+dN38Qv + fP79wrWfKIidxSDuLZkegBOt36wSlPb2iPXRbP/XQ3gkSSTktY6JcOedd/67H/rfNjenAERgBgA5 + CwARAaD1y9zvU3WR5YzEyWTS8tWIqNZKRDnn1gPfXu2K2Dskum+W4fg4JPPVGRbYa+U+kb/Bvi/0 + S1ZXRu00xL4+RsWkzBBCCCGEEJ5GnAAnW/XmruoCGGB93+8Oi1/81V+5+96/IkKpJiKqCkYr7KKq + 7cK+jiMBgL3jO77j5LHjVnUYhtx3bRAULrxrsNdjoJpzLvPFd7/nHwAwN2Z2u+z+XsayhIcTwBgd + H/n3PzMfB3U7t3WemTens+3z55kZRFfwuu/pptZKSWqtXdeJiLrdddddl/siDGRBAv7Ga197bHOj + S8LMIrK/nNCq9+9JXPYQQgghhBBCCCGEEEIIIYQQQgghhBBCCFcfEallGTVNRNPptO/7UkrO2d3H + cayGP7rrru/5nn9sgOmjvk6Lr24zztxdRMzMzNx9sVgAcPcWOvhUvbMQQgghhHCNa9UPzKzF3Lbn + V3qhjrqUkru31j7aNBai9sXWhm9ziNbr9kovbwjhKDMnOLmRt6lqvix8x+4uyFBuR5UrnpV7Vaiu + izKiKpvnDrMZiGSxGJeVu9arkNAKe0KgACklz6YgIoPnnPe9JLMTXA5WvMuW1d+YnMgNtCwZJ5wY + oqq11naaSMQT6Z/Yuw8BiFjrEEIIIYQQQgghhBCOnGUkAkiZjAEsRw+qgpm6iVeFmhs4H243sREm + s9n2fJhO0Q/jj7/m9Tg3gDJRalEWpCBDbr3oxJFrHcLTipmN42hmXdcR0TAMOWczWw+PANDGMY/j + eOHttBBCCCGEEJ5e2AGzRAwzIoLRicksLbb73e3P/twvp7966ARDDWojoAmULhh3ZgAuDoTDMuUX + q5AGY97quue++RvwjFN10ukwTo1TMQDKZgQnVIYSxDkr02HnUl4hbZT2RQaR/A2v3b3hhjmyV8BB + TgZi6txFMNk9M6D2RGROoM48RxfHY6NH5LM6AGchdjVmQZaTz7p5yGJMl/pMvtzr7/sAlsmxy/0I + 4+4C57e7VVqhsz8dh92TrbNy4WRElVEZSKwGhc663M23cd99f/Zrn5xtDZ1d3mdAIEcFDETEgEOY + 546N592GFzx3cIe5mYHZmXDVBxmjHWZXoZgEZzgBVq14wsB+7MUv6m+5ZdFPFtUOMDC77QJMbFBj + mji++Bv/Lz79J5sJRRdZEgqoBXOyXx0jv4mujuW8JqxT4bFKla7MhdnAQMve5mScjMUYTuiSJjYh + o3a+A1ryOlbhr6tXNoKROyrIi1kFdpN0N5zu77i9cCoMIzg5lvG7YGu52of4Zg0oxi5JWSsNFYuq + QwLNun53d+uZm1P80v/5wMf/47E6OpTNZXX4379ULWodDCOoL3eu9n6V2JDPs4wvun3j299YZ5Ou + 68ZhkFWjqB0HnNjpycjfDU97Ozs7KaWUUimllPLyl7/8x3/8x6+//rhVkCMlLkXhqGPhRJIv2t5s + eRUAtPogRKTqqg5gGEqbgth649uP1S8XjB1CCCGEEEII1yzyC7ur20U9q1vuug9+8IOlaOujW2ZU + E7zdeDFrlR8dTiABveMd78g5t74vEXG/dP9z66XJOQ3jImd5y1vecvONNwMwq8ueiMvRrvta0Rk4 + 3PGlh85+9KM/y8zHjh1TVYUzizvaNeZlr59wIK0GUBsFR0T333//Fx946KJu0UdseZdQFQa8/e1v + P378OBHVWvffybLVC16jd+1CCCGEEEIIIYQQQgghhBBCCCGEEEIIITxefd+PZQGAmWuti8ViMQzE + rOopdeB05syZd7/73efP79bHMZZwPe9snWBtZn3fAxCRNj0Nj1KcIYQQQgghhMdPdV00XdeRzF3X + XdmlOvpqrTnncRyJaHNzE4C7t6oRbU2O46iqpRQzY476JyGES3OgCqpYq0GnxEoMCHlm76CdYKZV + anEiqYY2X7LVr4vHIx8gzx2lHt0kG3wYlrW39urqP6IfRR1V4SyUkzKcaXccKtTInNCqhAGJPIl2 + YgLnx7885GBUQiUoLqz8pXVwFGZkkS712RONyQZQlMy6Ch21DrrYhkIIIYQQQgghhBBCOEK8lagj + gAzEINb1N7oE9vMbvUuCg1NelOGQl4YXi0XX8XyOCXCagN0FzLV1ctqyMt+qQ5tBHF2OITx9MHPX + dcy8s7MDoA1ZbqMf2mCIcRwnkwmAruvWY01CCCGEEEJ4mqplkrKZ12I5dxPz04vFud/+rYf/4I+O + z8fNbuYOBUCVsBz217Ko91xqoFj7fiUeprP+jttnX/eqv7LdMYuAs4uoW3sdAIASnCAGNr78YIWr + 2CIxbr7hxle9YjdxAdzEnRKSozgKky/OnocZM4yUIB5Jlo+P0/4Blrz8r5i7g+XEM2/ZFVfigw6W + s0c8gTh0WMzPnc/urT+KmR9HbMS1iIzdyNmX3XHmZJySwQ2edLxxWHz2l39ldm53VkwuL/h7maNJ + +P/Zu9MoSbKrTvD/e+97Zu6xZGXti9Yq0IoQIwQIJLQPiIZmBiQhhJqDaB3ENtAsI6D7zIcGvsyn + +QDNIhg1S7doOD0SiANCCxLq0jJNS9ONaKQGqSRVSWipkqoqszLDw93svXvvfHjunpFZmaWMrMqq + yIz7O348PT0iPMwtzN3Mn913/w53IiihUtrh9OQXPQ/iFdRJrlW7rrs8MsWNADJu/zqTS3v9Vy/I + GFzRd09+0fO/lHihuJBYa8AMDiUmFvHF4sain/6zt03cyoljGzKx6nByd3bAde82fxCcJcGaENNd + Hh7sWJ4rwDIRSQnKLZEaAAhIhqSrLGcAzEjUzjIsQ3F8z7sk2fLHlrMLDHCzog6eTO7ru+uf8XRs + H1mAjNlPZeoYAFkX31/MZ1xUKfcs5hhBSuRC4MXiOlV86o6P/MEf3jBbHE3MhFLW5yIcq2Tr5Rpz + VLcWEOQOcgiBiJS4TKafZ37a978c12zuplSKdtLBrE0D2IPIObKEwoO0ubm5jpqeTqellFtuueXX + f/3Xjx7dWn/PZDLJOZuqnjuUuk3aXMabATkvO4YQkYiM47j+FRfrmYQQQgghhBDCAbYcE1j9t42H + tI/5wzB85jOfufXWW3NeDpy0Ni4t05qFALg7OYTF4c/95m/+qqc8dSwLEUkstdbqX67ti3nOeWNz + 8j0vf2m7Q2jf42ZmlnMGLc8NwbG1NfnN3/4tI6h7Na21dl1HRKZ60GanX8ZSSmZmcACLxeLDH/4w + gOr7PiHBwI3XXv385z2PzLVUIYL53jo6p8i0DiGEEEIIIYQQQgghhBBCCCGEEEIIIYSAWisRtVm9 + KaXW263rumEYAMxmsx/4gR+48867RXA+c3zn83m7kXM2s3X94TonD0Ap5SyTiEMIIYQQQtiPddMD + Zp5MJqpKROs2COEBtKNxEdnZ2SGi6XTa7sk5t8lEIpJzjmbOIYQH5mRKrryap+bcLszJnYgITE7u + naN3y2piHpdzXnRnODHUmYlBQAIDVNXQVuxpa54c7EgGUSIXGLs7Z0anyO6imhRsJuqsYHV2Z9/X + 8kAqcYWMkAIulsxEPVWX6rk4D0oLtbmWkZx7mvY05eifeak5gLOG0yO9ACGEEEIIIYQQQgghhCUH + Wm5JpmrkFewAA7l9uQ64avLyt/3Jx7/2WapWyLtJb2W8qEkSHQs5umT3DDP4Ap0ieWEwQAIYo8Vi + AQowIukhhENEVUspk8lkY2PD3d29lNL3fUvXGMex6zoApZScc7szhBBCCCGEw4mALuVaKhOQRAn1 + +H0ylI//4ZuuK7WvplUF1CUHYDDCaTVhvnyMdU3bqUzKZmS5M8mTv/VFftWRE13yYbx6uk27O3AB + zAhCBmcngoOcxc0O0wf4QbhO5KZve8E/vPttZT7vhLWWJKYGIwjv2s5x1IFkYcIMJiUcpvWzX+ww + Wiavg5YbaAtdSM7mcCcnokfdsJPoSMGELiB42rA3kxUAQI7EEPVy306uPrJ7cgKI6MBV5F1k5HCC + MsjBmggmMHMrVliYmPzEjtx9z2fe+vYnwxKz2/4mJ7grg0BQq8pQxtyFrromf9PXHc/QnBjCbAY4 + 3OGX/gd+A1gc5FBmLLNwTBJMSBPvkm887zn3/MmbrxgZw74zp4XInQBNkgarKHhcL3/zgQ88+qN/ + d+3Tvsq0MicwqVdyPZgVwe4O9+WOyB1EkIO5pJchdiOghdO3QGsldloWtYtBDAS4oy5firXCz38v + TwxmcMU85S9uTx/zwudqSkWStkMRMoMpAwYxMMFo/+/o+8KdV9cy9jlxEoWpY3Jy92rzj/3OH6TP + feYKHut8AQcJKgD2tgawyuxuR0jrlGri5VsVqVXhuzhd+9xnp+d+4z9mp1qFJsRStDpBycjBgLU5 + A4BhlXMVwgVZzMfpdGpmk8lkd3fX3Y8cOfLMZz7z9a9//Wte85rZfGTBYrEA0HWdmdXatrcztzpm + HseRmVW17/vWhcQMzMzMraWImbWxelWNofgQQgghhBBCAGCA5O53f//3i8JUU0qtD+Oa63L0wOHu + CuCHf/iH+75f7O54+6Bl1mUhZtSzD4eUYZxubsx259znV7/61b/x279VHHX/nWIM7rWmnGspLGTV + d3YWn/zkJ//b33z4yV/5hKNbWwwaxrHv+8VisbW1VUp09nno0fJMBwOAG4CxWpJuvlhMtjYNuPV9 + 7z3XsNgDD5cR8H2vfOXRo0fLYkgsk77XUtdtQNeB1usbh+6ERwghhBBCCCGEEEIIIYQQQgghhBBC + CCEEAEApw2TSm9liWEy7XkRK0dls3nWTUsqP/diP33bbHSnxahraOYmIqk4mk1JKm3dmZmbGzABS + SsMw9H1vZi3xms8nJTuEEEIIIYRzyzkDMLMTJ050Xdd6IzzSC3XQ5Zxb+Heb8ZRSms/nAFoouJlh + 1dU559y6Oj+yCxxCOLDONkzAyyZ+7saVxAberTZAmJU6SRTJx+dQaCYbmqYo80U1lw5KcG/N13i5 + Yv1UC1By7imLp64kH+FV5+POFFKZHQZnZgaEkNiZUAFzuPH5t7Qyp0pg546dlFSpKikInUzgLMym + 0MGST1mlDoYpr/twhUvFGcnWvmr390iJWOsQQgghhBBCCCGEEA4KA3QVVAWYAi3WmmAAY9pDgM1e + U2eg1OX5YpiwnP3cwUNkUct00pcFJr1cSYbFDHbVqZFzAgi+XIA4GxHC4SIiItLqG9ydmfu+r7W2 + OI2Waa2qrVqi/TeEEEIIIYRDy11VS+47hy/m88dPJ/jTt3d//6ntUaeJvcJRXEECBkBnFhMZAc68 + TpM8/euLlE5edw2++dlfNPV+G8q743AkdYs6Y4CXSdi2+uROh+0j/Cj4Uocbn/qE/nGPOXnsxERy + qYVplRLu6uOA+cz9qHOCsZ+5gsNZmRPQilNX4aEwysRuXhn5uit3M+tIrBeSgdoyrb0NivmpqNKO + RXfmYtZeKljGWhsOT5ksmQO2jMpggMgZqOLuyK41MV876Xbe9q6r7vzSxqhmZb/bs7cBPzJ1wKHS + 38f5mq9+Gq7YHrY3rNA41rTZzcexbwXK+4zNPmicQG7kYGJ1xnqTYys2kKTFpNu48Tq55XH6kftE + NRkTAAAgAElEQVRssbv/TY2J4A4hh2FKyLOdKzP9/Z+99WlP+6q7ZwtMp8bqBri3OJODH1wSc9Qf + NrTcjy/5+o2RAID2lB9bO1oog5eRXIkIqzjys74JtDvNwAnGdK+Z3fJYfM1Td1icEoxAy4h3ZWeA + DHTRd5CckbyquOeEUodaxqPTrWtThz//yxPved/NNqDO2QEGJR6rAWhl+nx65I84O2tbS25wB0CL + lE5ee/UTv++Vx8jtyBXjcT0ynQxVsdrjtJdqexzy2MrDg5VzPnny5MbGxjAMGxtb8/l8d3cXwDOe + 8YzXv/71P/TDrx1H7fs8DKWlVu85XD+LtoMYhqHrOtXSEtYAtPF5EWnfEO/PIYQQQgghhNDGTwDs + 7Oz80R/9ERPMUWslovZhimQ5XNBOxTDgjifefMsLn/+Cshi6lNmhQEqJmUopTGefgp1zLsPoZlnS + LY+/+cUveOHb3v0uutDBvVpKu0EEOFT9N3/zN3//d3/PS6mq7u7uKaUzZqeHi8fMJKdu0g/DsLW1 + 9Z73vOfCHmfa5e//vldZqV3KMKu1ullKyeqpcXWPk1IhhBBCCCGEEEIIIYQQQgghhBBCCCGEcOi1 + qWG11pSSmS0Wi5S6jY2NxWL8hV/4hQ9+8EPMqNVESPWBignXAXjtRkqJiIjIzNxdVfu+f3ieUQgh + hBBCOAzaEexisZhMJu2YVlVTSi2tOZxLKYWIWgR4a+zcdZ2ZlVLWTZ5bG2d3j0zrEMIDEGeFiTkA + MZBDyQBYGdUUTNzp8eGLX7jvs5IZAIoDIG+N1+L6tOshD8dP3L1rJ4yqAU6oFc6ehFEVzusoEF5m + cth8MfSoKU18Wofu5Kfu/YeJb7KlNizTvpctk4NhgBn5fpbKjAs7Je3gomzKalzJYaPCaNr1VoCS + bzjymMdufaXOySLT+hJ00CYOR6x1CCGEEEIIIYQQQggHCwPkJkBHUEAAwGAGdgOz9NXIAHbPOeEB + iwsfJCekLnNOZTFsC2/cexxjwWCJDJlBBoICgBFYfJXCHUI4HFrL1JzzMAzrSuWWn9EqmEWk3dl1 + XSRbhxBCCCGEw8yBwZW7pE5cbKojjp34xFveektxXczQb4iLKlV3UTADdKq+qKVXwnn1kduwJ0QY + DgPPslz3/G/CDdcVFxnBOc9n877rlFahjA4HxEHekrHJ6BB9gFfhRS+wdPMLnvexj90xrZJJCxTk + BJh1XhnzEVXJxMnB7SvhnNhhbcukZd6qtYDVapyyu6pwPro9ZK7LGPULKXNUWhZWrv8Y7shMNg5Z + XTIpu6ketvjGtiqNYcsXNbObEhg2JapD7dhw/Pgn3/oXX+HUaVHYhRUIujsR4FQ53TeZPPbZ3zSD + 7xBlYXdzMwExiNwOVjnkg0XsIGeQmhYXUpIhZUz7x3zjs3Y++nfX7PsB2R2ACwDVCTMAq+O125t/ + /9cfxEdvmzz5qfdNnYXM0MHJXA/qJk20KlgmYmbfszOiy2sjOFCczABxBgDndSzSGetcGZXhBMwH + GkdSJ1++P/sqABunV5yzMcgcqAZNeb69+ehveyGObs41sSUHxKBsAIyghHS/X/qQEwO7C8koYmZl + HDu1zTLis5+9/Y/e/PgTM9FdJ0gmMq9qDCzL6JfJ8cslZAe1QG/GKg8K4LTY2HjMP30Jnvj4uWAc + aGt6ZLEYpetMRxc3Wh5pZQcZdFXfH8IFE5HpdDoMw2QyWQ+hq+pQ6nOe8+xf/ze/9trX/tg4lCRQ + hS/nopzlkMnMNjc3Z7MZgJzzOI7t/pbHtrW1NQxDe3x3b+HWIYQQQgghhHBoLT/LOznjj9/yJ1+8 + 9zgRui4NY11/pDp1/sXBBDgS8IOvfnXf5zqOiTIcIiIiZRgBBp35+G0IIqU0m826vrdSx1J/+LWv + fdd7/soJo+5vyJQZzFQrRESrMmMy6Yf58Na3/cU/fu6z1199DcxzzqXWyWSyWCxEDuj44WWEAZDA + zPp+srtz4q7Pff62T97uID9ravn9P4vv+a7v+Cff/hU336KqWaSYaanMbGb3H3eyqL0NIYQQQggh + hBBCCCGEEEIIIYQQQgghhMOtlKKq29vbpSiZTze3jx8//iu/8qtve8c7VZffo+qTycZisTjX/P3W + qMLM+r4fhqFNTHP3NiVfRFruIDPPZrPNzc2H6bmFEEIIIYTLVEuwnkwm4ziWUtw9Mq3Pk7ubWUu2 + BtDmPdVaW4i1rtpqEVGLvn5klzaEcDARIMYCjDCQixu5AeTkk82+2DAfZvN633/95Pvf8+F3Dj4M + WFiuyta6CMb1/a9TSrPFMfQjT8AdxjmIqdaalt0U2fd08XJC3oa6zutstpjtHD/+qf/0sTr3Mtau + z611mBPIGWix1oCzEZ338iiosBNbD0+VTdmcldy2+s1hPs+SdKSpHXnu07/lRU/7J1dsXZvHTix2 + GZeSg5ZpjYi1DiGEEEIIIYQQQgjh4GCAWps7NzgSgwjSBhWFwebIcJnnbHnKUNMiF7mXXVEt891p + Qtkt10/697zk21/4/vfnIzcuY7QAYDmgvvyPn61PXwjhckREzCwirRhi75daQXNLtjYzM4tM6xBC + CCGEcJgZAQIQlbkegV3H+e5b35vv+mI/P5lyruPc3XvJIqWMMIfwmXMIfTk+cGY8sBIqy4mu/5oX + Pm8ni3vXaS5m042Nk7OT2xtdrSMABwwGZwKMGHTWvvuXJyJSIu+m98yGq1/04vv+6E+37t65RrLD + 3YqIiNqkFuzOSQ1GECHak2sRzoEBJQDL2kcHg8yKd4mKkxJjkgeB7g1p3w872/iSOYgIRcmW4a7u + 7u44ZAmOxgDAvoy1NqAKCMA4bJofKfXYX38o3XXnxnw0NxDDLyRW3IFEcOdKcu/GFF/z1djans3H + q7c3kWR3HKYbvQ3FzOigxjCfFzIDmHwVItKei5IDXrvJZFGx676ztXHdM/+nu/6toO98HPcV7uuA + wUWgisSstTKBdmfXGA8f+C9bT3nqXbV4IicIWLXiYK/PeHN8+O1NuCGAHEzgVWo1ACUooQqcHIt5 + N5SkKr4awHcwExzk4D3vrrT8EmaOnc1pufH6G7/1RXfCB+IEhpmytwJ3AEZwMqeLv3FWcEdkXquK + 0NXddOPOL93zzlvxqU9dsZh7hjEGdQFcwQI4jOCAEdbV9NTuNlSGtZXAXCfTE0c2H/fS77wHbt1G + z3lc1C5PFmVMk25BgxHgYG/x4G0KQXu8C3kLDQHAfD6fTqctqoqISild15lZmy74ghe84Fd+5f/6 + +Z//+WFQACKoevbHIaLZbNZCrNs9IqKqbT7nOI7rL7XrSLYOIYQQQgghHHJOMMCAN7zhDcIYDRgr + gHEc2+cpLEOpWau1AZaNyfRVr/zeMgxbG5vjfKFYnkUppWxvb7emMPe3u7u7tbVVVEutzPySb/nW + m66/4TNf+Px+F9jMWrPI1oPGDPP5wMCi2hv/wx/81P/2k5vT6bgY+EDOTr+MuTuYZov5ZDJ5/1+8 + zYGzZ1p/OT/70z9ttSaRxWLRpYzEKaX5fJ7SWab2GxAdI0IIIYQQQgghhBBCCCGEEEIIIYQQQgjh + cCKinLOZDcMwjnUymZw4ceItb3nLG97wu+5IiQGUajnnxWKRUmp9JM76OADcvbWAA9ByrAG0MLz1 + ZLTItA4hhBBCCA/e+mhTRLquaweifd+3aTLhXNZtIlqyNTPXWts9pRQza+uwtaeITOsQwjk5t8hk + cXOAHXBjsMF2ZzvUEVjzFut0OIEv+VTHNFQpVSqtciTieu81WZIikyOpGA/F2rtvFhprBfWAtY6L + 6wAOAqrCDE7IU5DX4/Mv5c0sR2RuJ43MTuV2rP9kgvNeHsBADkA0G9iIlc2oMmxhx8aE6ZSQ0jiM + i8mOb1jZLYlSTFIMD9KB7oEYQgghhBBCCCGEEMIDWHcMHIYBQCnlEV2chwABuQ36soENBtHlsLOi + OJidIN2xLPck3x3LJE/Yv8xjPkhZJBGbIjuOjHU6n+GKfiBTMidusVwCjh75IRxaLbX6jDv3dnQl + orM24gwhhBBCCJeo9TS29e1wHmz0qglOOOKCLx3/xJ++Ne2ezABqSfBMMCtWIQy5X+a0E0AGgABr + q91QDElQRY4nueIpT8ITvuJEl0W6VExAWmvqu0EVYHZuCazkgLMS62H6u7k7jOrAPr0SV1+39bVf + YwkZVeuYkpPWiQ5X24gv3cUFxD1Z7yVFSdUDO9cW1HWdVUXbaLe2Fmapn6g9ZKNXDrh7zhlAS4VU + 1UP7RpQNWQGgMgbBIMjMm6V2O+Mn3vHuo+zm5gSS0zJxzwcTtThcAgg8p3TF05+Ca65YKDYmm/NS + R9OUUh0LAYkuh4FBIxgto2uNQA52TLrehpI5I+Vjbrjllo2bbtpR6H6SfR0wMIjVwQyvlQFnTAzX + zodPvPOvcPy+KRRuRBjKmLvuIj3HC9YyddpkmOXLzZ2Y20oLF1sLYXKCU0tahhjEgIrE2QlKMDZN + buwwxac/t11sA+xWySGCEXASYl4A3LMQ3OAO4mSgahi79JlOnvGql+8mLpMJKJMvXwUt3rkdRShD + +aF7Qz/X82UbS+k4szPl5LMdfPpLn3rTW47UMZGzgRxVeGQhgdhqCfc8ggMOMFgBTjBHAhaQz2V6 + ykv/KY5Od7c2zRMKEefiKiKupW3M67DwtsIjzjo8SDnnWmtr80HkzKi1MvOkS2WYq9aXvvS7f/EX + /3VKIEKbkklE7Z22W+0O9nYJwepM6HoC5/pAqIWftbfrM06e4rI4fxpCCCGc1d5T0u2EdbuOyM8Q + Qjhs2oejUkrXdZKyVjOnW9/3/r+/7RPVgD2T/k0VADFjtddgpizyPd/zsiuvvDKlNAwLYhAvW8N0 + k34oY2tHcPrvNMBSSuM4wgxuG9N+XOz++I/9mOwZr+77HgARtc9rD/AU3P2M4isDHPi//+3vkvBi + HFvxlWql2M091NpQ2FnuJ1r2AHL/4z/5EwNY5MwNYc+mQQwWgmM66dvdwviu/+U7nvSEJzLI1bIk + d3f3Usq6lK4NQ4UQQgghhBBCCCEcNGcMZ7n7ekAj57z3HE0IIRw06/PF6/H5M3zZQftwMO2dwbT+ + K0d5QAghhBBCCCGEy4yAht15Kz5s08puvfXWX/zFXzKHA6VaqQZwKQqg1tp+qo3fMvP6dqtVE5F1 + gf06A6/daFP1Y5AkhBBCCCE8JNpB5voQtCUxt8k+7TAVQM65HX/GUejaGevNzFJKrZVEznn9ueAR + XsoQzm3vazwcAOu/hYOsTZcTye4O4cFKoQG9LmRWZFdpgCtM4/r+147iUovOjSwlmCExrGpiWa5n + XzZea+2qyNEXTBV9QRqZRu4lsbmVCnMyJ/XlZFFfdqOD72upHA5WuKu7OhSu5A5zGKYTmHoSSi6p + Sl8nXe3JY99xiWHmUgrRASqDiTf3EEIIIYQQQgghhHBJcveu61pdXd/3qppzNjM/h0d6ec/XqR55 + rbnd6r/MsqijE4PTS9759tkV2/325jjMW67VxVoYmNfKbpkBRVadkAOlYF6B0pauLaHzqWUOIYQQ + QgghhBDC6dzVa+mYJmOpf/W+rX+8a+uMjAIsa8729K9ffdbek6sonN2dCH1GNdSc787y+Oc+p+Y8 + SDIwAeIm7Sf8VF0QO0Dm1AIpD1m9kPO0m86K7yZ+7HOfc6yOxcd+gmoQQSan+Q6OHeuYmJNWF8mH + bhXtE98v5sGWWbeONvFVGDkz8/038/P/FfcnreouizM5cUvbvbDHv5QxOZIhmbEbYE6mDGUUGqdW + 8enPjh/9uCyGVhSruv8/AREA4fY6kF2ma57+tNrnyiIOwJxAsHX+6yVvtTU7LZ9Qex92NTKCwoEx + Myb5yic8cd73df9B3suI3JZQQoBDHJulTo4fv+e/fvCKMnZF4Z5zHqs+hM8sXOrWweG6p5BdHMkg + RGbVCcZmTAZ1q707vnDXZqlihQAiuINWm/QEQLVBwYCQgITQkfT3stBTn4DnfMN8srkollIqpYB8 + fepBnNhJ6dRiXCROoJy4z6XWXjIPi+3dxRf/4x9fd+9OrwNoGTJELu2dcJm97afFUdvytWbqMAUM + 7J12myevvzZ96/Pv6/tBxMAGbk+H3Gn14+wQI6y+6pHdHi6OxWIxmUyIaHd392Uve9kv//IvEbV9 + 76nZBeM4bm1tYU+C9Vkxc+sczcyTyWRnZwerSOz5fNnBpJSSc45k6xBCCJefVjI0jmPbXa5HJMZx + bEGkZrZYLPDl9qchhBAuA61qdDKZzOfz2Ww22dxg5t/5nd+xVTj0GVwt5eyGjb5zc1V9zQ/+c1fd + b2J02xm1MfBxvoD5S7/ru6ddLywiQkTDMKSUWnOZC6hfdcJ9J0+85U//jIhEZBgGq9o+9IWHQWui + NAzD7u7i3e9+T9/1qsrn7gfkBlPf3t5czAdmMADD//7TP3O2bfCcDuEJjxBCCCGEEEIIIRwo7awK + EbVRr1af2a7bPG4iKqV0XRd1CCGEEEIIIYQQQgghhPCQSym1asPFYtH3/X//24/8xE/8iwcoh2/T + ylr6XftvrXUymQDY2tpaLBZmVmtdj/qGEEIIIYRwMayTqs2MmdvRaWsF36bVACiltPzmR3JBD5ha + a1tXIrK5udmmxMYqCiFcAAeMrXVSMoYDTmBnNmHL7EJO8OWVkQEggOP6bNfs5lSVqy87U63WMhnh + LKMrbCCHGLMlstTafjoAMoCBxM6rllkgW93Yx/IsfwU5GCA3dohBHLJqusUOdhJLufZJM+1pPRou + IQcqQie2oRBCCCGEEEIIIYRwSXL3cRxTSsMwlFJEpCXZ0Dk80su7PxUYwZXhCQDg7PCcutEcwsjy + Bfbj49BNJhd1MdgxSZJAZjCCkjsrdD6BK7yAdRmJwctA60tsNYcQQgghhBBCCA+TXhJr7bxifvLT + b3/X1feenFSjVfji8jO1s4Fb0AI5r6vZCFinKbibE9wBxlixkLxzxVF8w9cP0hmkMowghmTIBgLg + ZKuP607mh/KTO8OoFGPMt6Zbz/76/vqrd2GlSwvCvIA455wxn4mwwM1HSoSzlQ+GM+xNtl7mia42 + VDIHMROR6QVXp7W6SezJfCBCdXDXW2J1NwWzXEAcyCVNHJ0iKwAYGWBG7mAjUGZ4Ke9579G77t12 + gcEcOe3/Ze/kAAjqGNkXSR71dc84Jmxg8faGsnyB0P0Czi9dfuplb62kmD2JZ1Z3dyV44que+cwT + /aRQOv+HJYBhgLdI4Pablim8sG7cvf09/2lzMWwMY+fkLPVgFZeeRdtnRdbvw2mZiQ60WvX23pgJ + qmrLxGsj9059anTittu3RxPV9ip2hzDcvZhSElckgLKYm6lW8CgbO5tXfeX3/K92/VWVco9OVVOX + nGw5CcFZjMXg6234ojGCJowwZUgpNykWf/s/Pvee911ThuTWfrsYd8p9Xe532qupldovH4Fd2c0x + EaCi5+TO91T/yu/+DjzmukESOVfmyqjiSk6AeJsegKzLcHtl6MV/vuFQMsByzrXWzWmfhcj1Va98 + xb/8+Z8BwKtjphZRNpvNzv04DDARqWrrNtL6Sh89erQdF7l7zhlArTXnPI5j+28IIYRw2VBVIqq1 + dl0nIuM4trn6qtp1XWu8BWAymcQ0/hBCOAysqhC33jeTyeTkbOf2z3z67e98x6lxtvt9xm8ZPIth + JMILn//cr/3ar3U3tNn+e8Y8zzilcuYZFjKrY5+yqwkTMz3qxuu/9xWvcFNVbR/Q2g7ryzyBPVWX + y5NFbbjSsTuMv//Gfw8WdWuVsdFZ8mHgBCeYg1MmTn/21j8v0Pk4AGzV26fy1eUUJhCwc3IGAIbM + /O3f9i1Pf9pXn//vjan+IYQQQgghhBBCeMStT7i4u5m1G7XWWmvrN93uWZclhBBCCCGEEEIIIYQQ + QngIzWaz6XQ6jnVjY+t//P3HfvA1/9wAO3PWsa0vtVYza9nVZkZEIrJYLIjo+PHjAESkzT4Tkag/ + DCGEEEIIF08rM2Dm1vdgHMc2m0ZV22xQESGiVnLwSC/sQdGO1SeTyTiOs9msxX5/+VlIIYRwOidU + QWGU5JWtMJTZiOAC78h60qnoVHTK2pNlto6dW1JyXN//GoCxGZ/qQdlSqE/PtD41EdCIlVAYZdnP + yipX5aoEB8MTPLEnNmZLohOxTmwf659OxVczrRKy2ViMyUiMAJAzG4tJ0pQ0cexpL0EH7QAp5rqG + EEIIIYQQQgghhEsSM3ddZ2Z93+eczaydxfRzeKSXdz8cAuZV5WDDEGrx0SyYbMxT7rc2dheLi70s + ZaysxpOJOySlNA5YDKmOPbBu/btn5UbZYgghhBBCCCGEcCYGek5d0e0yxydvW9z2yWuMvVbIqdDE + ZYrsqXQFYqdTebG0nHVYXCFsgCocmFHafMqTcM3VlQRAZRQG4OJODjgb8TJogWwV+IjDWHPmNWXa + hQ5s8pyv+/wTb/n49dffftM1n735UZ+88Ybbb7j2M2S7WjvhlGkxnHykF/eS0fJEASwHhdgNDsCq + YqxJ4WpMF5IL2h559fjLCjcHihtt9MpMJACY2d3hh6sEjo3Z4YAxnJVhbJyMyQpmJz9x63sfzWkD + Ugt6hrd3g309vC/H+NSxy5BrrqRH37hI3N6s2I3d2hvLmTkulyZ2sMOopZWYkxuZg+DClNlZmJV9 + hxxPfep9G9Mi+97e2p/A12nQDjI4sAHb/ehH8bnPb5RRnEqtlA5uu8/L4899aVknNwOnosRbcTkR + mVcTr6QgF9MNAxbj8U/eMVVjsz2x1uzuDMDdHUkAsMIreJEnn3a/4bnffPQ5z7ljHAdQx0mtRbfv + Ta8/M6Tn4lHVomPqEs9n+d6dO97yF1cudjdNxZdrgJ2Sm8BW72y8zppav4pbOD2IyFGNhm7Tb7zh + ypf8z5+vdWRhb/MxTFffTG7iJr53VRvI2tHXw/PEw6HSQsgWi0WblklEP/RDP/S61/00rb7agjnb + mU3mc7762jfUWvu+xyqSrX2/maWUALTrlpMdQgghXMZyzsMwtK4H7t72gMxsZpPJ5BKrFwohhLB/ + ZiYiwzCkLkOYiN74xje2j/TLEy+nIyKYp8QAyPFTP/kvTt53XOjsH8AeYCA0swzD0HY9zJyYj997 + 7Ede+0PLXwEwcykFgIg8wOe7B+DA//vX//kfPvaxcRxzzjlnHcs+x3vDBaq1tijxN7/5zYkTtS3p + 3GOkZsht6A3IzG72r37+F+az3cN19iKEEEIIIYQQQgiXspZa3UbbWvwJVsUJIlJKaRHXLdn6kV7Y + EEIIIYQQQgghhBBCuAzlnImIiO68884f//Efv+++GR5wOHbvDDIApZRWQt8SrNv9wzCsC+wv9vKH + EEIIIYTDqZTSgquxKjDoui6l1OoQsAq9btfR+mCt1kpEi8VCRNpqUdX14X0IIZw/A4xggLJ5azXp + bMRwYRfyzNaRd+yJTJbT42g59TKuz3LdboDXjQ33dFk8sxWVE5xaErZVsSq1ClSgbKt2YbynQSLB + 2Wk/y4Nl+IeROZnzuinWqXZgbQEAaz3CokvcJecAFmTG4UgIIYQQQgghhBBCuCS1jrStTk5V2/lL + d6cLSso5aMg4LRvYtzsYpZCjSxkV8EzSD8OxK7O4XtxYhdyJjqrzRZe6cbG4anLFW178nd/13ltl + e1smeyoeHUCFOwgPW8RFCCGEEEIIIYRwSSCHjXrEaGs+/8S7/nI6DhkwwJ2MHYApxNECp1epjUzr + 8jXC6hO4KSylhGpwpNyfMH30Nz3LJ11lZscoxt4eevmjSgwguxkAXl6derzDgVAJ5nBJcvzoFbf8 + Hz/HOzMYQTJGRe5MbJh2u3ky37kvdV3uWWvEWH55jDO3JIWDwfAEx2LRu7PpBW1uDBg5GMvcdwBO + qAYV4q3pKACInOgwhrQDgBJXMcCSQhzZUnJsDuNw+x3DFz63Za46AuCc5kOd7OeRHXASuLZXzrzn + q5/4eOSMrjNjA8SN4JVMmUmZW/7rpUwcBnJiJwAKmAJoz44TuwuMyHeh2zddX6+9djh2934e3gjc + 6oBP/50wUhoXVx43f/8HJq/6XjY1FxiYmP0SWKWt/NooxoIvrrZ6dZWfZI7UUtJdHXBxVyfVabUj + EHz+S4s775pWc/g6tImN3YyJ3Q2AG1SLSzdK+mIn85sf++TvfdlxTtjYoCGbQTIvym5iAog8AXAw + ADa72Ode2AEHEYTtSjje9YHygQ89mlF1AQE72JgAQO+3kgwOZ9gqBTwlGop3TMfcdo5MvvI7X1Kn + G37kSi8gmBGMTBwEcwJ5ex9YTypYLUwIF0etowi7W63jxsZkd3c2nU5/5Edfu7s7/7Vf/y0z67o0 + jpWIWu716T992puuiBDRMAztv+0MKRG1KZ0tP7udSHX36DwSQgjhctIKhIioFQsRUd/37UuqSivr + /eDlUUcUQgjhXFrKDkvSavOxqNnv/7s3Osj2Dk3vOS/i7iJSq3bCT/qKW77xG57lpTBgMPJltaaf + x6BXC6ueL2abW1u780FyumJ7q9u4+Vnf8PUf/G9/k1JaLBYAUkqt0cyXfcA2lnPqvwJ3L+a/9+// + 3f/5S7+kqp2k2Kk9bCjJOI73HrvvQx/6UDU1EAggwrl7BNSqfZJa1cxe+fKXPe3JT03MVCr52ZPR + sRrLCiGEEEIIIYQQQjgI1j2msUo3WVcdzGazzc1N7AlHGYZhfXYmhBBCCCGEEEIIIYQQwkNCJO/s + 7KrTT/7ET91++2dFoHq/MKI9VWe11nbd9/0wDDnnUsrx48exJw6n7/tWxLgeAQ4hhBBCCOGhlXMe + x7HrulprSimlNJ/PJ5NJa5WQUiKiUkr75nEcH9GFPVjaqjOz2WyGVbVGCCE8GE5mZExGzgDDwb5K + OnYGuQNGBloNOMT16denpgE6A2BfTitsvULhbKs+YADIGYAynAA3wNrjENoM1eqeyAGqIMR5RyAA + ACAASURBVIObCwMg7G/9tzEegzmZMgCIwZZLS0pQmLEqq1E1quczNzYcNOuhPCI6CCHXsQ2FEEII + IYQQQgghhEvSupO7mYmIqp5n+79Lw/J5GAC0kX/OzBkAmJHSLjBohV5YMtD58zoqgJyyAx1nGur2 + MGJngBoM5MsLAJCD6sVcmBBCCCGEEEII4RLFOuoR7vCFO//xve/bYio6dJTWVUROezISnFs1W0MO + drCD0KKpqVpRgBlO2bqNa57+9JPTfhRejYlYG09wgnIbNWCA2U9rjn+5DKCcFydAUN3c6Zj6bZPp + 7Tfc8OlrrvnsFVd+4aabbr/yik9cddWn+8k9dWiV8WUY75dBG8603KJOX1EGdwIzZ0k4uduTEB4g + 4uHL/Ib73UAF0mQ6ObJdiMC0egUdqs0ZDlTmyqzUSkuVHGyYFLqy8u0f+OujTKwLgTjgpeb9lwc6 + MYjNUASzDjc+5YljKU5cGE7G7uLLJXGCXeTRyYuNHGTLeHQDAHMyJ3PAnE29FQAzamVgY3Llk59U + 9z8JhB20ej8H2JEMiXNG0RvNP/2B90Mtgfo8OQgVpXudz6sr3i4vqvW+u4WIY1XU7u4QKDtgbNpX + 2xj02Ef+gWYLcSVuuUftJ50AcyMiZpijAp7lpOuxjfxV3/89ePJXnDSGZ6S8W0dnZ3GsX9zOylCC + OJK15OiLxxjYZOkWA9934o4/f+ujhgUvdgggYzJpCVTLFylheXy0Z10xwA5uyYWAG3xz4x83JL34 + ebvdRJGdlhMGxCBu7HCC8XI9F8aydn/viY8QHmotYpOZc84nTpxIKanqOI4/8zM/8yM/8loApVSc + RwBnC/JszUdyziLSbqeU2uO3mE93r7XGDMYQQgiXmbajnE6n29vbtEff921XiFVNkarGfjCEEC57 + RFRr7bqu7Qve/OY3Hzt5zNvIxv0+V7U7VJUZqvba174WwMbGhrufayjA94zJ7NVCfbquM1UiMrPE + IkQ/+qM/WmttDXfa/dgzq/ycz+L+v9e9/dh/fNP/s7OzY2allGgr+bAhos3trTf+4X/YWcyTdADw + gAcVSYgctaoAW5P+X/3Cv9RS+JCdvwghhBBCCCGEEMIlrQ1ktdqDvcNZ7r65udnuad9TSolM6xBC + CCGEEEIIIYQQQnjIpZTc/ed+7uf+5m/+OwCtYBE+d91gm33GzMMwtNlk7t73vbu3OWutlDGl1GaZ + PWxPJIQQQgghHDbt0FREdnZ22txPImoHpW2KzebmJoCNjY2YF7OWUmoh320+lJkRUcR+hxAugDix + Ma+6AjJa16SWsmxGFXCnajw6VeMKgiMuZ7/Al431AGZncqa9E1XP1ppSbHlp30yt6SdAMGpZ160p + KMN5dKq+n/UPrG4RfJ26vWfOogOtFaGTOTng0T/zUnTQBu6iPUcIIYQQQgghhBBCuCTlnNet2Mdx + FBF6QI/08p6f1WixEleCEhSobSC7xXgT4AWdHE+cN6cPwxKlBGcMZIW5Goio+Ig269wANShgcILC + FOaRYxJCCCGEEEIIIdwfCxct7/3P23d9SdyqEITZOCuSAc7K5HCCs4OdqJWlrccz1jcY1QDAiYrq + dY95LG66/mROhcnRAhoNMGUrDCNWYscympHg5Iexg76BB2OFZElHplu1YjGaV1Uts2ExqCXkiUyJ + CMxVwTLZmywezoXPjPx0wIjcrJIqjh/jqnJBkcen6ikBo2WSqxE4od+c0vbmCFN3dye7dMb9HiLK + WGQMCQDEndwIxk7TCty9e+//93cTMoeTdIxUDCnvdzoHqZOB3aHAvGM84fFEBLXKqAx2iFtbEqWz + pLlccmiZHLx+1S9XK0iA5O7uSgTLKIybvuarxn0nohlWxcdodcCQygKiHjhShp07bscXPkcOZiEc + xOk3fMbr+LL4u18S6PR3WqNl6LITFM5J1J2Zk6JXYKfc9sEPJ7iSGcEE7lgGWrc0aDUQEYGTDO6l + z0943rO6F3/jXR0m/bafrIVQk1eylLhNS2AHQJVIicWQ7KInPZMjFzt6YnHP+//LfR//h6sSxCEZ + 7MSeCHAyZRSBEhuRrZKtW2y8GMQhBqvohBRepv2RZ381HnVlt3XlsDMqQcmSW6+WzAimbMrLkxpF + eBAGIIbk1nKvQ3jItbOWs9mMmbePbLKABSlxrfV1r3vdK1/5ijbRQIRqrXuOc/iMmv+9udfurqo5 + ZyJyd3fvug6rdtI554fv6YUQQggPC3cXkVLKfD5PKbV9Ytd14zi2mfxEJCKtlOiRXtgQQggXXevb + 0j4B7ezs/MZvvT5xJmIHn3Wov+04UkrXXHXVS7/ru10Vq1nf5zHotWwi0H6vu+eubzsgItrZ2ck5 + v/jFL7755pvXH9PMLKV0IU/MHUBKfOz4fW9605tE5PTPieHiUtVjx4694Q1vYKBoSTnDcOZ8fD+1 + jak6gCzCoB/8gVc/7jGP6VKuwwjEaGoIIYQQQgghhBAuDcyMVWjKuvyg3W4nX9pAHKIOIYQQQggh + hBBCCCGEEC6O2Wz2q7/6a29/+7vNQIAImaq15pOno9aN0r2l37U71zcAlFJaW872370z0UIIIYQQ + Qnho1VpzzsMwENHW1haA1i4eQCs/ADCbzURkd3dXz3Z8ezitV4Wqujszm1lrExFCCOePHGKcjZIi + GcSNUAkVpOBiXJyLykJlqGle066JnWpKGZf7XfZgQNo/qw5U64GXUyMwYtwpT0rqa9fX1Ck6Rftb + JGVxiBtjNZSDZTD2PpbHGUgAt56M5OD2R3fAZTXbcXlNkQxyaTpomdaIWOsQQgghhBBCCCGEcIkq + pRARM9da183Zed+ZIgcMLUNBHLDV2N2yElAIZOaGaYdOXvPud5wYRshFf75mMEC4MwPIJJlzAa1P + AzN4uYgOeIw3hhBCCCGEEEI4TNaBuyCjPYEHp+4HALDblB2zndtv/cDj0iYvFinzWEeQiYMcStDl + j5z2OKeifVeRq+QQgghGx/GUrnrKk7B1ZAEoLb/KgK/HFsjap/Z2D5zWNXMHroLpIkvSEcl8d6Hq + 027Kzil1IpL6xAxTdTVmIeFSlDlFSdUDMzIjAAxPRjBykBmBOClxMfVScc+JblACHmRKLzvYAWcl + 1tzR5ia2+krqxO503lkjlxUltuXr3Zy4/QkmVfHxT27edXdeLAqs6kJyYuJh0H1mZjixM7MIVLAz + meCGm9LGBpFg9ebWalfJL481z76MFTGGgYwcADmBmZ3M22xtJ6T+GNn2zTcvUqrMq7XKAPuemGen + NkZ66j3ECUbLLZm8JRO7k4/jOGHQwieL8cRtH99Y7GI+F+YDG6N7/zzj9qTX+51wcTDA8PZKx2pz + BTsLJTZnciGb1oLj9+185KNb7u0vxYBb+xsRMUkSI6i6AiZyD2HnMTce/YF/dizzfDoZzTf7iWqV + LhPRMAxGWEXynF5u/yC2z9OOcFavkZbV3Y6aCCBWns/yznDH2/7ySq11ttsJTNff78vvA6xtdaTr + sxW0jhEiMGBElbo7q371S192PMvxsphMO2VzcnJneHsuLYqqbcPrlXzqAU9/OdvyVxvWlzOe3Wl3 + nv7jZ/7ImRHF4VAxs62trZMnT7Y46nEcO0kpiUN/+Zf+9av+2SsIMHMReoCJB20Op4i0U6VYzVds + 0xdVte97Zm5fPYATGEIIIYQHo83PZ2YiavtBZh7HEUCbt992iF3XlVIe4WUNIYRwkZGDQTnncRwX + Zfzbj37ktk99YrAHev93dyLUsb7s5d+9sbHR9z0AVd33+JawiCzmu21/JCIbGxtlGCeSX/WK7xWg + ltLKWfd2ijzHMp1+Omj53AhEtVoS/o3X/5YnViaIxEDcQ2vv2OZ6CNQAEn7bO95x97F7K2Cw2g4q + /BztkxwiBEBVt7e2XvezP8sgHUvKD+4ESQghhBBCCCGEEMLDqxUetPEuIhIRAO7epm+3UzPt5EvU + IYQQQgghhBBCCCGEEMJDjd/wht/57Tf8HoCcxR1mbULlOasGU0rDMGBVYL8euV0P8KaUVFVV12mC + IYQQQgghPORSSq20oB1ztnbxKSUARKSqzNwOTYmIzn18e9i0KGsRaaUa62TrR3q5QgiXIiN38WXn + JHawG68Sjp1UWZ3MCcrQZeuq1kWKz3bZ0yXKEzzBu+UNsNOy2dre9muX06V11dvTaS0Z1o1GYVSd + zQlYZWCTGxvE0K7Xl1Mtpvb0p9rTe+t8l4edly2waO/fBfDEnsgTOcSWS7L8JTECFB60aIsWQggh + hBBCCCGEEC5JOWcA7dzk+p5L/fSbA5VgQAI6kDiLQRRkAC8gAzGDEnKHrtd+Q82NllkrAMj5jAvW + QQ7Lh9/foLIRLKMYsky5qruSLMgGWIECjpowMHw5rC1AjiHHEEIIIYQQQri87Z2x1jqUtbrYR3CR + Him2Lq0jI3d2YzdhLqbVDQmSiMmNmMy260nc8fHFHZ+f7pRJtaT1VHkYoTIqr6v0DPBVzCKc0Gra + HMxgMUsOMswYdx89kp/61KGUnPr2k+wt+ZKN/n/27j1asryqE/x37/37nROPm696v6CKAkoE0ZH2 + MQMLUFt77O4Zl452qzMDqGC3Du1jNTO2D5b9x9gLWdPTOqJCKyBjC47a2mtsW0AEuwFFEWbxFEql + 3lDUMzPvIyLOOb+99/zxi4i8eTOrqCwqM2/W3Z8VKzLi3LwnzjkR98Q5v/P77S8IlszqUhnBiNmZ + nc+5deDSxw63IiDkrHAvQyIUt0LkRYVBUFhhSqomQmYFuLSbmM4vMmV1cniGZwBO9UOLAiFuDJ6S + +D2fP1xAxdTPOe+WiZjcATjYLDkRcSE5yenozU8b+gUndkqODE7nYQ33OzGIg+HuPoCHJEra+tz+ + 8gPXz3bawROLw4F+cGub9lznzygM7QuGnPkpN+Oqa2ZJSimNctLUJXQJ4mgUYpd8H1YHlNmICcZu + bExObEyOgk55UDYHkzelpK4Z0Y03DIcOzZKYwADiBOKBMciyIbZ2MHZQbSZ1XnXmXvYPBsicO1CX + CT4gJ26K3v3e9076+RTFvVzkLXI6X2WZk+/KM64JxL7unr43DDg8URxsyO55FaBuyqa1q3pXco9s + zMVECpVZ+YM/vP7Bhye2EIIUyABe9XIvbjtFZYQCUIMdte7qa5/9Ez9mN1y7aDd0UE3eU2FyLoUM + LCNHdqIa985QgilzYTI6t/b/+tkA2fJPbDWeQSSbwcwM3qMM5MLcELkuLsvAe947ufX2qWoNEOoN + gBKG2sm/rhWcjBxkhPqZZMfI0NZXNEBZjuc8eeaz8Ywv32oOLTI66pzc3dVNoc4QYRZycmMCIIas + cBYVcbCBGFJ79hOREQyqUCc38uJaXEFG7EwuoEQQdvfiUOZEJG4MI4YQjNwBNXIjMwKwGjMQDiR3 + N7O2bbU4UxLOqmplQRiaRK/+qR//1m/5+8IwdQJEBGARISKAQQJw07b1vExV19dG1w/qOVoN+1TV + lBIR1aRPALVYCYDzF/NJRI9SMOUJ5/5E1ssmolrGZbf1lPofnqjXCiGE8MVY76Wx+m6t0+uDWoQL + wLpDUQghhEsI+albta50sDt+uN7YwU4MdvdmMn7dL/9SD5Ck4sYgBq06Uq7mAxDAjsT4vpe9bDxq + zbTruqZpsO5suepvuVoGB3z1iuszelaDOpFkcCLhWvkxMbXAD7zkZQlIgKqCydwkL7+SdlcPWD5f + rybgMNDq5i7EQlzU7vnsve/+L+9VloWZEoGJiJiZQVbUzFJKj7SVwqNjhruqDjkxObIkNxigxL/8 + xjcWkQLkpiGqbUJYXb+zpkn1gXBNtK7FIfDqV7/6yJEjZsoSZUBDCCGEEEIIIYRwiVl1Tjh1heXM + itJ1WHdcOg8hhBBCCCGEEEIIIYQviNYji4FTnQPJHGpeUpsU2mspbnk0/v0/+MN//W9eZ8vx4QrA + a1UIcziSpCSpdjhk5jrGqZRSn2JVA6SUUh/UiQBEpPauj3bdEEIIIYRw/tAKVv0Kqq7rRGRd3+DA + 1qkjoqZp1k9r/Yf6uJRSw79rRYj1kXwI+xzRsqORmR3Mv+v9w8lUOpXOuADuZEZGzmRClpOMO9VO + LfFUaKOAjcAEN5gCavUdJOGi7gQnOzUAkgAwPLNl9rzMwiY7fRijYVlUavcNtdzlrht23fb+/4u9 + CfcihzjYDXA4O5IDylCGMZzMa+a0M7uBzNhUivPCuWA1+NABJygXJSjB1+08wOOoN0UOp2XVU2UA + DE9sklSSkviy4F24RDHzMAz1EGCflDaKw5EQQgghhBBCCCGEEPYRWzcv13/W7YfkXnsXAmCBNJ0T + pba2UJ/VE1ISTxXjUe5mOwzkw41u25UF6BwG0J6lZULEMIQQQgghhBBCOGgMAAMEJ4eqigglKWbD + MNSxfw102i3u+/CHxvOhNYyISFV2z6KGPp42z1VPu10x4jVrQQBhzM23Dk/zs57p48meRLradW3d + gW895SCrwaurLWPstt4mq61kAFZhFfuum+P+Y0YwMMDsELcaH2Jmi0W3MWoxdCfuunui3jA/jt5x + 6uruJCABA+ZW3DrwZpaNG26AMIPciCBmVrtrHhzkSKAaLC6SncWNEhkN/clbb223NrOvMl7JGDDV + dQrLY3sBIwdcE2Mgaq+/DiQDhJnFiAEjqiHH4ut2wEu7PfD0fSbW3aCdrN4AsAlcCjOEp1dfNeRm + mT7tbmAwma9343s/8bumL7Oua+quGQSAunR9f8cdOHEy6wCy/bYLWm6fM1aMPXJxzru6a6X1A0fd + /TqhnUzVUMxLKWMCFvNP/MHvPwXKi355XMKJODGJELFgOqH5HMzYYbn3yOFnfd//XL7k6Z87tNGJ + sLMSlFax03sDnGzX9yY/jjf8zN8xcN/3IpJzKyJCiR2qWoZ+4oqTm7f90bsPndgeG1JD/WBZaPl7 + uyLVlzOvPfjrbbm1ACAlzNnvy+mWb/7mrkDHGyoEAYNSSqltJDVm1nWd9gVWty2TmqmqavG6FamU + oqowJ5LMkliYWZhrKQfhBE4EMeVu0MVQoGAIEQ2mBe4MY7JTf0PMdVyCA6i7l/319x4urvGkFfJh + 6DbGo5//+Z//hq97EYCUWFUBqGodgljv+9XQzbNiZndPKTHzaDTa3t4GUAc6zufztm0BDMOQcz5/ + ydYhhBBCCCGEcAHUJgIzK6VA+DO33/Yn73+vAeoGItSyAXuaL2l59w+/+b99+tNu3j65mVialKzo + 41iAPTHSqEnSaofGo+/69n9EANzhDiItywbFL9C9ctesmFhVzY2JOxt+9Y1vzuMJ5WRmqmpmtZpP + zpmZu7OdJ9btEy14j87gAIS5lJJZtk5uTqZTdf+LD3/oY5/65KClHY/6vof7pBnxqrm3VguqD1yt + kUQAwb/meX/ne176km4+V1XARKIcRAghhBBCCCGEEEIIIYQQQgghhBBCCCGE00wmk77vF4uFiOSc + U0p/8id/8mM/9mOP1N0vpVRrVjBzzQwTEWauedU1JtDMzGx3PF4IIYQQQggXl5mts5xrRiMzmx24 + Ihs5Z3evVVYAjEajengPoJTSdV0tWLdYLJqmOYDbJ4TwxVuOcPRaCHM5fpEcOtgwDJPpuG3z9ubO + 0JVJO/ECAERIDTWtMHMxNTNJtCyEWdsVlo0UBnJQzaAwkOEsBTOr5aDJ+iNb/k8DbNfj9T0AGK// + /7oE0z64p1VhK7K6beFstIypXq34sjBXfaaMwigCZVOCEiuxEds6IxzAqlYbG8jPYX0NgLOD6zti + BDgcvCrBdfpYVV9OR7ik+NJpUy7e4gCXek3JEEIIIYQQQgghhBCeZGpzOkAg7gk9wQVg9HAFMQgO + EMNZ0mje9Ua7m/hOpSD4qol/fcOpZuzHvDCObPChJElOvL3ZX97ihofLu57/92AGQmMY1SsL0dQY + QgghhBBCCOFgYXZeJ3pa7TNGMDNmFhC519GARDRxwubizg98qClF4ERkDuJl1AE5siHbnmiBU1HU + Tli9CAC4AoRCNLrsGK6/dnC76N2PwsHDAJTNqQg0mSWDOEYpN3AuA0wfuvPOPPTyeHvH2ermNfqD + oIm3cxrd8kzhJhmRKwTqBXzghtcyiAByJyJ2cLFxcZzYvPf220kh6wZARSZAz9YH+JGRg8xrgK6x + XHHD9Z5k0FKHRuyxeoMOEuKrnvpUFTKAAHd1KBGd+TmvedgAxCC7fsoOcYgjE4iTurechs8+hLvv + Y1WKkJmwGxn7kGzIXpKZuNXP0sCYwXTc7JAfOnK43R7u/aN3jxc7un1CHE5QloHQ+2BezNWLDTMf + 5wY0/axPrnzpd+Jb/u6DxyZ9I0rnt11/d3iS0XKwwXIvI2xm1g2NoiWxxIlxdN4NH/nEfX916+GU + xR3mg2O9//Fd+xyGswMOAwpjEFIejIflixoWCd1TrsKLnn+SHCJFQS7k1C/6+WxRioo042Yy5naM + TL1JocS5yaPcJhGCK6xMx22bhQEfina9LwqKUyHr3XovBVpIPSGNpJ02zWG2UfLGwCpuufjIPA2d + 9UrsSGRZLIslcQAGKud144dLTt/3KaWmaba3t1X1da973Qte8N8AYJAQUkqq2jTJdGja/CjzISJV + rcVKaiT20aNH6wGZu+ecAZRScs5939enIYQQQgghhHCJqr0ipcnFdDLZePOb3zJfdMyokc++vMhy + qkclADgEYOB7v/d727YVkVJK0zRnbcjeE1n9GInIaDR6yUtecmoSf4EWmLO+SK1EQ6sfvutd77rz + zjvryeO6MGUpBYDsauGJ6jXnSodSq4KqqsIPHTm8ub2Vc/7FX/zFrhuweiMADKXDqTfTARARETm8 + aCF4I+m1r30tETVNM25bBg1df5FWK4QQQgghhBBCCCGEEEIIIYQQQgghhBDCReZk67HGux0/fvzI + kSNEUoqJ5I9//JM//EM/OgyPOJ9Sioioai1kAcDMVLX2Yev7HkCdPjzKXEIIIYQQQriwzIyIAKSU + dnZ2iOhgFkkbhoGIcs51fMpisRCRegBPRHVwk6qORqNaHSKEEM4Rk7XwemvgGZ6c2AntuBmGftFt + NmKHp6OW3eeLhmGOHhjcC2BObgRAeBmIzQZWwBIsORfwAjQHLcClplCwr4KfgeVvEFu9J3ZiIziZ + kZ1+j+U9Y8/96mb75N54V5msVZj3MpR69WBdJc4JhdEl9LK8GVu9+WrQZy03lwxpVYnusS8PyJwI + EIDZwFZ/nZWgBGUrgvrYqFa2SxfuoxeevCJrJoQQQgghhBBCCCGEfeSRoqczskBqpTxogdB2m+bj + cWGcmXVSM61PNcp/EcvDBFdX7fO4bTK6bVxp6TJluILrK63CuwA+19zsEEIIIYQQQgjhUsarTmZY + xSewiLt7UTZO0rBkMs19wZ2f1dvumboBXlwHX/XZcbCvOuXtOoE/FWp9OgLUAQc17WU3PRWJ5zpw + jm5k4UJzAKQgZzNxd4KBsvtGSnbiOB5+sJx4WHRgs91dMB8jISGCOpapHQQhsszdoQ3cdJO5sBJg + xOrudQDDwcEOuNbdhZmZWTKb9MPm7XeV7fk4JXLAjAFTMGjVfncOHM6ci8OIj1x7nbbNAEPdyy27 + 1TKvescCOFBRKU44esN124Syyi8hd6pNo7Xn8Rmfdq4/Wj2lGmttYBY3c2Ca2yOD9x//dKMWsdZh + j9q5nJa9xx21Vzq4L8MwDEc2puX+h/Pxndve/u7DpWtgxOySnFCsuBuRcf0t0Ca190yPHv6Gb7j6 + u7/rgenkIS36uJKZHgc+1Z++ZnUbJzL3XosrGhNxqJmoNgu99e3vusxsg5nUXJGBms/ktNwL1WU+ + 7cvFBQCoMIb6WoNhnvM1z/9aHN2wyWgwVyWABblN43EzFW7VsOjLoAbwtB2PyUfzebt58tDx41du + bT11Pr+pm195/33XP/TQjZs7N+3Mnzbrb97ubj6+c9PDWzdv9c+YlafPhutni2Nb25PNE+Od7bab + UelgygwiLzp0XWdmbW54uedkOAMwAs5WjSIccGY2n8+JaGNjw6xsbGy84Q2//LznPc/h5lZrjtQi + I33X8Sru/Ux1MGcppW1brP6C1vVKUkoA6n3TNBdkzUIIIYQQQgjh/DK4uT/40ENv+83fzEnMl10w + 9zS0EVZdHAnPvuUZL3z+C7Y2NyejMQNW9AlsZxYRM/vKr/zK5zzrSzMTHFClVRjyaSHbtL5bOX2h + U0oOL245NwP0N972VqkXodyJiJndvZ73pdoyvHqJ2n5iBOACtf9cutZ1kYiolJJSMrMPfugv//Ad + 72jbnHMe+p6IJKXB1E5/i4jIzRi1KR6vePnLn/ucZ+swjJpmPp+vT8NDCCGEEEIIIYQQQgghhBBC + CCGEEEIIIYS1yWQy9MrMIvm22277Z6/84ZMnZ/zIcTFN06zz7eqDOlislFJHmtcYPCKqXeAuyEqE + EEIIIYTwBaSUhmHo+15V27ato2Au9kJdHO4+DIO71yN5dzez9T0AZlbVWlPiYi9sCOGSw/AEz+wJ + LoD4cqSkm5V2JELUz+Y82Mia3HNrREImUMdQ4E4imeGqp3bRRjXhellUrY7WrNXVaBWfsSfa2bk4 + mbE5mZORAzBygEA4/X41oLL++jqMY//cYzkk04yWt/WWIcfuwN9aDgu1jpQz26nqUrvmc2pll7Xp + do0qfWzL44CT8TpRm9xA7jwoq7KrFKdoD7q07bdjpBgWG0IIIYQQQgghhBDCfnEqGdoBIBPgIAUA + MkOT2iYXAzWNtPSCd/6/n3zh112307W6/IXlNQNfZlrbquGZnU/FYZ1jWIIpUpuHTuezzfG0IR6G + MndMweaEPeUcowRiCCGEEEIIIYQDwmgVU7pMaqTak4ySoCgZMbOqqw5sOho6/dBHr9xejN2YTd1q + E4AZmADnPal0TstUg9o3bdV1zwAQk7u7w3K6+lnPdDZtRsTJfDgzSzWE88EAX342NgnzUgAAIABJ + REFUTdwECqAwGdgX3YbbYeChj34kDYsWBoMwXM/xNQRQuIEEznADmfbu7VNvwJVXLCAAgxwMP5if + ezVhuHMxJ9hUZGM+v+NTn54QC0nxQgAz1ODuBN6bhfKFEAB3Auam02uv3hEQN6q+3tqya351Z3hw + KCNdfdWMobUl1+AwPn03vu5W7LTc9ruyqm351AGzZYZNX67Io/s+8smnvAyuhei0rsnhIHOgCMid + YVyj6iHwBNAkj7WbpxOz63td/OZ/vOaO+/Nsyxuou7vBXIAkYAYMZJC08UA7+dzzvuSFP/nPF2ms + JU/bZihKF+jDxgAMtnxEZkYOMHPbpEapH4qLtUPBXZ/b/vDHnm5k8xnBQWjaVLoCoIZ7Yx0hTwZn + ArNTQgIMPDBADiUoYyfnW178wuNC3rasnljIyYpDzdxFhHNCk4oXs6EshsNajlmBGrZnOP4QHngA + x0/ofQ/1OzvzEzv99jYtBgGN00hGjTcNHZ6Orrt2fPNTxzdci0MTDDaQDkePPOQ69E5pNEojIk4D + 2EHmRihsylbHLYjFn3nYazQaAdjZnpvZdHpoNpsdPnz49a//pR/8wVd+9KMfXXQDgKZp+r5nZtPh + UWYlIkTUdV19WrPZakyXmRHRekDjeuhjCCGEEEIIIexntLwQc2pKfUwOJ3RdN5pO/v3b3nZi82QB + HEhNLqXA4bszpHfN7ftf8Ypx02JQVc05L+aLUdOaPzEj90sppZTDhze+93te+s9//Cdy4qGY6xeY + Oa2bcX3VC5NpXVyylqH89bf+xo/+yA85E6mCJefMOXtRVQVHz83HKaWm6/rEkqQZtBw/eWJ65MjP + /dzPEdB1g6NGpLuZMbG5ma1SrAE3I1ASUrUbrrn2Va96Fbm3uTl+/PixI0cJtlgsWPLFXcEQQggh + hBBCCCGEEEIIIYQQQgghhBBCCBfHmfUenQE4yWy+GI1GW5s7P/TPfuSee+4TgT7yYPy+73POquru + 7l6HiQFwd1UVEQD1HquhZCGEEEIIIVx0ZpbzqSEVpRQRsYMXu0lE60jvPaGV62oPRCQiItL3fdM0 + F2dBQwiXMEYdBUdsMAaMjBlEslgs2iNJxhsjb3OXR2XcJ+9loAZiLQ0CK4kcDB1gueYn1/1STw5R + ZhhWORe8Sriw9evCfB2EQXACOZLuTn5+TCtQq9jti/ua0r3M7l4ViwNOPfBTK1V/RbRhE5AbADIn + MwJgtazXsqAoAYAuJxk95uWBG6gAEBTYKv6DClBAcFk+JSijEIxgB6kc4JPKvgq2jljrEEIIIYQQ + QgghhBD2kb39AZcF8ABJcLiBCAUuKWM03toYl/nOnuSYmmmN1e99cR0MiVsp3ZCRcso7O50D03Fq + MgEDakv2abkXtiu3JYQQQgghhBBCeHKzekpuBDjX83E2M9WGWgZ3WggYJ4bh7r/40OWDJh1qBLYw + zOAOOBOInQGj3SfxdZji6Sf2tW8yEXrDwunQ026aJeam6fs+SYwwDBcMYxlNarWjpRKU2AGQtaW0 + qfnUn/35lTqI0KCQLzC3s3AzdzCBCCAYQEDndO1XPBdtOydxsJIJhsQM+6IbwC416xAXB5i4MZLF + 4uFb//Yad5RisASCODvcH8+mYXCxwlk0NXL1VVuw1DTWFWNxMnKQEznXzsR+wJKtlThddcWsyZYE + /XKMuLsTPWIXajpjem28NXeRDJSh76Y+uf+uz6EfkhdDc2A2Z/gCjGDERNoqCJAaxeQEcCPEQ38V + Cj7+6Vt/+/duns1HIn1XJLubM5AJQjCFG3YID7XcffktL/yX/+LkkY1BGgWIjJfRTue9SX85MgEA + QO5OqH8wkkYwDIsFkx9q81HqNt/xx8dObo+HkqAODA5R5fr35exsAMwhy78sczBQv2gMDicoY2CZ + N2RXXJmednM/mZSiCd4IWTEnSTlnMy4l9V0La1VFOzz88Pyee+7+5CceuvWvZ/fcixMnsZjnoR+7 + NeZJqQU1xq62MDeWuRkm43mbj5OeFJpcd9Uzvvy5V3/Zl+Yve9ZkOtHpoQWamZZZQVFKzCLsZMpW + 2JQhBtmdVhUCAGA2mxHRaDSqsdOAmelll132+te//qUvfeknPvmp9WBFM6v51medDxHpqopJztnM + SikAUkrMXJ+mlMyshrddsBUMIYQQQgghhPNEmjxoefNbfk1S6kshojIMAGq78Z7mYwauOHLk27/l + W/tFN2oadx/6oUn5CSyLY2bMrKrf9m3f9jM/+9qHTpxgkJ3eDOBneXSWtoKatM0gNRWWe+///Nvf + 8Y5//G3fCjPt+r7v29wQc9HCvLclfp38HR6du4sIExs8pUQ5vf/P/uyd735PymkYCgBJSUsxN2Fx + BQgirMOymkQWUS0M/OxrXtPmJrEI6OjhI4vFwnTY2Njo+nKxVzGEEEIIIYQQQgghhBBCCCGEEEII + IYQQwj4yDDqdTuez7pWvfOWnP/03zFBF06RH6m9WB4WZmYisw63rQDNmroPF6n1k4IUQQgghhP1j + XRuhlFIPUxeLxWQyqdUPDpR1/QcAbdt2Xbc+ngdQD+brf4vj+RDC42KgOpLQargywwyYd4ujR48+ + vHW/DsNXf9kLdvqt933k3dvd8U192EcQZhAc5m7soAQHK9UCUSbLYYkMQJc5zcAq2XpdrGpZN9Mh + NZSXdmU/P5IzK+L5frpf53oQACNfZnWvU0Jq9PVu7CA4HEzLQarsZrsKevly4ChTDfIgwO0xLk9N + yAaQbTml/sQIKiBazZxW9b2cl/VLw6Vj3dAHgIj2Q751xFqHEEIIIYQQQgghhLCf1EZqggO1vGIj + NbOh5tSAHQkEFzTt8UGVeHfxQadV8BUYZ7TSP46YBO2KMGoGTts2ALTvymIG9IQBEIDrAocQQggh + hBBCCAfN8pSclpnWDhjU3RNzzW0cZRmVDg/ct3PnXdcNJZkaTBgOlIK0CrJ+lLNqctQ0R4LV5gEF + VFjbMa69etHmrgxxWh4uOCYHuQIYBErkYCNw440abr3LPn17WwpMa//Jc1ZTW53gKHADMrFMD13/ + 1V+zRTzPuSR2K2aaAXI/aMmgRGRuCmdmN3C/wOb27K67U9HiKkhEDgMR3B9nJ0UFlGjjqquxsdHl + DDdmGNUMlbXzHoW7DxWm9trrhsnUd2auChiDfd3bd7k7tvp8HeVLjvWH1Ak18IQcIGJDBnHp7eQm + 7r9vdPSmjr3ETj0AABzcC4vzqAzZIAYlFAJgRedH59t48OSn/vUvXDt0Y+v6oUxb0aJmq+h0gxoY + NDt85KGveOZX/ctXbV1+eLExHgafLXYONdP59labRud1FYyMnZcXPRyAM0wBkTwUM/jgrqaHRm0u + insfuOM/v+8pw9DCkJgMZNDiQnt78y+3z2o6e6mHSkooxFu5OT5qbvja52M8JU6Y961Qhs110NGk + aGm6xdFhyIsd3P1Z/8Cff+7D/98Df3vrqOvF7Gjxy0HJWYwFlryDGzuYwA5b9e+fkPQ78/EOHZME + zv2JO4a/uvNv5D/N2nzD13zVlV//oulznjO56prNyaRrmoGoqCqjsBWpowwYyuwwsgP1/RUeXQ20 + nu0sRqNR1/Vt21opZnbZZUff+tZ/99KXfs/HPvaJYsYEAMMjZFoDcHciqsMV3L1mV5dS6hiGpmlm + s1lNa4tM6xBCCCGEEMJ+5mc0kdUrMrvTmp3gBMnpne9+z1/99a0GNLnphn516cWA5UD99bUYBv6n + 7/7uy48dG4ZBh5JzLmqpadc1cc583XPFTGAauv7Ky6/4x9/+HW940xuJmdzVDXuasldPGGdr/XAH + ICLubuZJhIA3/OqvfPM3feOxQ4cp2WKxSCxEhFXNyjNmYU44mA25j52rCTMJaylIaWc++79e9wsA + +qGIEJGUUojZzdQUBGKontrU7srAd377d/x3/+DvWymqqmpJWIgn08M72zupibPvEEIIIYQQQggh + hBBCCCGEEEIIIYQQQjjwnAEYMQAhcaNXvep//fM//0siUvWUuH+ETOtKVWv6nYjU+Oo6nYiGYUgp + pZSGYWiaZhiGGDUWQgghhBD2gzoihpnrYSoz17oHF3u5LjR3H41GteCDqtZM677vRQSAiIiImbm7 + iKwjrkMI4TEz0AACqAe5UyHnOqIw5zzb6SfNkZ5S6fwbv+Zbbrzhlt9/3+8I33NyeNBskTKcXYEC + IWJzWc3w1MyVuKekXMObjQEiIzcG4CYOGAPMnuAE1Gqcg/G57O1pWUVqX9zjtGJQviuom1fR1EwA + zGAAjGCpZwdW5eb2DFBd/TrDE7sYAAzO57BUSmCYOtNq2YzggNdw6+VjcSRHWg0mjWTr8EWJY5EQ + QgghhBBCCCGEEPaNVSM1CLZq/VWCEOAJgClYVoUGpe1GU93c3j0DcvCqZRmojd0gr+3+jwelGrWl + Gan0hRit0IY45gPGAzJDlq9F61WI2JUQQgghhBBCCE9q6/PuZS8yZyynmAgbqbvD4IZETFubO5/5 + m3bRNaZGVhwZoJqjQHT2BIM90wggwJ1ALOgUaMZy6DCOHl0wzfthtHHIhkdMtgvhicUOA7ODoUZW + iGusNLsphkY7/NlfHHt4O/dFHUmg+jhDM7jOEjBGmU708mN45i3HmbucSJL5gtyIxM0OWmMUMxdT + I2ImV7fFAlvbePCh7ADAkswHV0jdwezdz3xhBmWWzaJHrr16YMeone/0bdO4OchW/WsPqEKMY0fL + dKwPiwICEDvMuYarL+OrH62h1AjKcKBxWBkKvMmtDmrzna277hnfdH1pcyG5sKsV9i9yIhO4kRMD + DgZp8nJosdjQ/oFf+KWNO+4+YsW1TJi102Vz/eoSw1ykO3LZgzde+1U//ePHrziyGLXbi0VKTd6Y + bM8XF2Ysk5EBiR3iIKhTPagxVSVxEUKbGoY88PDWRz7WnjwxGhaZZbAiQG7IejevMVSnf5lQ7Vhv + AGDMq870BupEHmgnX/LCFw5tmpdFytS4bWh3uOtpZ54k4d7P3/X+99313vfits9cszW7UvWyvrS+ + 3F+qswHkiQnM5rqKyyIoQAQGXLV1AC5Fya1efnFOi3l//N3v+8s//WD3lOuv+7oX3/wNX48bb9qB + L9o0yyjL2UDWPf+dlwlbIQBd1+WcRURVm6YxMzOISCnDeDz+t//29S95yctuu+02MzDXaLOzq5nW + dZBnHdWpqnWII1YVTOrjUorIMv8shBBCCCGEEC5RBvTd8Btvfauh9nIsyyY5ZtSYZzp17UUABr7/ + 5a+Ybe+Mx2N16FA2Nja2t7ebpvFHOdc6d+7ezec/8E//6Rvf9MbB1E5bkMeERUzV3YnIgX7ok6QP + fvjDd9xxV/v0m6fjkQzCzPU08PRqCeEciEjXdZITmNTtgx/60Dv++N0GkFBRB8qeRl63+lvERFbM + zG+46urX/KufcVUrmllYxEqpJ/g553hjQgghhBBCCCGEEEIIIYQQQgghhBBCCCHspqqvfe1r3/GO + dzFD1UWoFBOWYnrW/29mTdPUTGtdAdD3fdM04/G467q2bXPOkWkdQgghhBD2DzMzs1rYJOdsZnUi + 8+OrRHUJq1HWAJjZzOqRfB0xVDcIEZVSItM6hPC42Kp4kdc4CyerFQKdiRWld87jiaShm918xXNf + 8T/c8Lv/5W23P/hXxxf3EPXeuDqKKYgJYAc5o2Y5kymbE5TZwQYS52UpKQLclgMmybCMwGByJrIi + e6OdHwun/XJPDvipVTg1EUyrsI/l/8eywJyebX1p18BCXtemO322X/De6xvqMILYqWTrWnqLALJa + oCyRyfIHIXzRDtyxWgghhBBCCCGEEEIIl4TTsqIBmMGJBYNCAaSEnE6kZJJ02YC9jFZQc5FEMHYj + /6IyrW2Vrp2YUEoLTk6qNunLf3zRN+N4h2Id/KRZD0ANkb8QwsHDzGf2C2maZv14HZ4RQgghhBCe + NGqJfCIws7vX+4u9UBdB7dG1R9/3o1FrZqpKSdD1R4ju/uhHkw8GVQYJ3AFFA+yKGTDURNRH6Jq2 + 6p3mriDB9lCue8YzQDSAR+PxMHTnZxVDOCtmJV2UnBpj6dzQtuRgLawdD/2d73nfsRPzsUMEqpBz + 755WW5iobQeHODynu1Ge/o0vhvAwHilLr0VACWRmTnTQdkDFBiOwyDAoM4+JcetfHbWSTBlcTA3O + BCcQwfzxnJIb05DT9JprBskLA4RVtXZfrpvbiZcNgQcskFUZ6BfXP+fZs36g1U7bHKd/Cp1W7aTr + yb567ITCKAwDOzwDNgyAbYzaz33yE4fU0sHaouHRENAM1iiSjJVHvSbKTefzsW0f2Tpxzxtev/nB + P7+sX3i/MMCdDNQRaARhMEtv+eThy//6S2/6sp/96ZNXX7UzPURIY0ruql6UWcF2/rsQO8EIRmCD + 2HKXncFMRCK9acnwYTGR/Jk/evekn7fkbiUBINjg9coHORhGzvV6R52tE5CgBHVjAgOs1FJWSqOb + b8bTn77N2qduLvMBm838ZD75UPqD39/8qVd/4p/84NYv/spNH7/1lhM7ly+6kWqqMzTAwLDkECh5 + gaKOuGAHGcTBBhSQLSfC1ZdXUQzWj92O9f0zZ4un/e2d81/5d5/80Z+c//KvTm+/6/Kdreli0ao1 + iqm1aYBILnAnuHsdbFbVp+f7TQn7k3A2RW1sd9ca2O6usNLmdOjQxm/91m/eeONTdv/KnkTq2ixf + P0KqWgd2Alg/qJ+xOrJRVVNKRNT3ff1p1y3PKYZhOI/ruV+tx8GixuABtbzLnj9Jd19vqBBCeALV + PY+7153Petd94dX93u4F2J8HJ/UIqm1brL4Q63fo+rJ1Xez63y7eYoYQwoWwjDTetcd+EtR2WZ71 + nzl9dQbt7mACk8Hd/a7P3vP2P3rnsrMlLbtQwgyrx8xMhDoo/1v+4T+4/ppr29xYUXIQUdd1Oee9 + B/+PsAyPbfmtnn6lJE+5/rqvf/GLBMtXXy4hAQSSU+/V3u9+BxxWFI56vam2Lg5aALzxzW8i4cW8 + a5q21qyZTCbrkzucmmW0Mz5GxCmxJCfuhuEnfuon69ZWXX0kHL7r6IiYAZh5KZaZGfj5f/N/bkwm + MM/CIHe3euW0HtqFEEIIIYQQQgghXCrO7Gawbt9Ytz6tp1zE61khhPBI6j6KiNbdn+pQFwC1EHz9 + aXURlzOEEEIIIYQQQggHRx3DVUph5uLmLGWwJM3v/M6//9VffYs7iNgBNbDkYo5dddtqb/l1RnXN + vVPV2ouytoTs+c+7/38IIYQQQggXHTPvDmmuV+7WV+t2D4BKKT0JxkM9CpH1uKLTij9g13ZIKUWm + dbhUEJE7RMTM1sMbw0V2WhW49XB7ECRTy5a5b2XYGA9Hj9l13/eNP/zf/1fffX2+OS+mNjAzHGAp + hMJuyZCdEjORF0LvJt43VhrTZJaUxVmM66uYwAQ9bKCFNzPP25Znhl7YmczNmKw+Jhj8UrgZWMkG + YhADfQ9mSimtdt7Mp3/eHTCHA24knJM0pYOAhYgM65vDHL1S51g4ymNfHoe5wwAyIiNSgZE7uaMh + ZkVSsKaWW1cwsx+4coyXPGY2s9oxc71Hvehdm57Mh2UhhBBCCCGEEEIIIVyaGGACGBBAHDCAEmqT + YoIBZejQ5O/5T38wd0gSMC/TDtzTaNT1Q42y5i8i03qPdYM0Oxq1yxZzzOYoqq6ZucDBDI/2xhAO + kNq/uZQym818xczcfTabrYMfam+SM8qnhhBCCCGE8KTiYHIQkBMPw0BEnDKAsQhm882/vU282CrW + 8RHmACPUdMka2ch7c1JXvQaJS5vzsctASYkV0YcsXFDkaCS37Wix6Gvf4sViIYlGwFNTc+9/fp/d + fe/E+gQqj/ezKU1TgHk3a3NLoAWnzSsuo6/5yu02FUpGYBi7kddwkYNYWawO0mBmuCdg+Pznp6Vf + dn19InKmldBnoenUUgMSB7s7OcitNhMagF37q4NDiTEe+aHDLrL65NUmUTrbznjZ/doJ2NVGq/W7 + gGuCDUCmMJReH3gYvaeo+BlW2C030uswK2UwBidbzG5IuHy2dcev/Mr8Ax+YnDw5dU01Xp1Awklk + ew5VzJzvn4znz37GC/7VT99/7VUn2mlPbTGUUuCaUjPKE+H2/K4AGchrrLWD2VlWfyaqmiXVhsTU + iM628Defwe13jXQZGL8Ow96dIMUOdoazE+AgR+mREtIInWEwCCcq1gNXfsVzMc4j8mu1PF3t6odO + PvD2P/qzH3nVZ37p9cMf/8lN937+WYvuhkV3+aKfKpItd2vK0NUljtUrn3bJg3zvDVj+KqAgtTJk + K4f7xfVD/6VDd+3dd973u7/3kVe/+sHf+Q+XFbvy5NbRWTct2pIMXS+SeaU2q9bhOrsHpIUAYDqd + bm2dPHz48OHDh97ylrfccMM1ZmhbYV4muq2LrvZ9/+ifn/phq6M6R6PR9vY2VuVL5vN5LV8yDEPO + +WAmWwNg5r7vU0q1Xgx2Fbqt9+sI1RBCeKKklFQ1pVT3MyLS9z2vun9ceHWoWy2eBaC2cfn+G05c + l2qxWDRNsy4+DsDMcs7rQAUi2tnZuZgLGkII59/Ozs56fLKq5pyfxHEyIlK/p+rXVm1YaEbtm//v + t3TDgHqKbgpfFXZJCUDTNGbmDgcY+P6XvwLneWS+u6tqm7MVZeB/+YEfrNMTMTOv2zrczAynWhkf + GwN+67d/+8TmZmobYuYkZjabzcbjMWpr7RO6LgdBPfrqtZDwm37tzZ/+2880bWM4vbl31xM3G7Uj + OBgg8+/6jm//+he/OEtiOO29rhdCCCGEEEIIIYRwKanlEevl8joMUETqNaymaeo4wfWU9eWYEELY + P2pvn9r9qZRSO/mYWb1SACClVK8v1D3eRV7cEEIIIYQQQgghHABmJiLT6dSN3AmAiLz97W9/zWte + A2A0alQNQG2yqCPH68gmAF3X1SbZOgKx/oiIzKzruid35l8IIYQQQnhyq5fqiGid4qyqT+LrdyJS + SqlVHeqRf9M0+3Dcbgjh0uZsIIDhCc6nFWwCyJOYpNLmMm6GQ7J96HlPedHLv/V/+7LrXtDOj/om + H045mTfsVnrzhVm/WPQgn4y4SRAgeUneZ7Nkxo5akalXkGBRkEZoN2jW+ax3IhcBOzLLKAs7Sufa + g2xZV3Pf36jlSSrJexDxxiQBvlgUMHbVoTLCqa8tEco5OdAthmFhrTRszbDjZLQebGgEY3htH6Jz + WJ46h9U9kzM7szM5WXFxSQ4e2BXmpdi8s5k9ERUIw0Wxfw4P0sVegBBCCCGEEEIIIYQQwlkIIKip + VjXWGm6AoDcwg8cTdDMcmmAy9p1Z0cGAdjTSxYIWizYlqDKwu4Eb5160kB3wU+kRRg6ADQRTWiD1 + WMzH08kCYNBQ+pzyE7b+IYR9r/aEHoahbdta5RyrHOs9/Z77vq+9KEIIIYQQQnjScAI512hXJ0YN + LHUj9kGHzGMiNrUMw/GTs9vvSG7KeIQE6j3diBjLU3Asz+XdVnGoRoQCdDlNrrvGmYnI3Kmm+4Zw + QThhMG1THopbVybcslBn3Yb2zQMn7nv7e45tbUoNJXVmMrVzbpPyvjBx59bDGM02mul//XfwJTfv + pOwEcRN3cTAwgJyYcLCSlY0MJFRPzNUBe/iO26fDIG4Adu8PbBXKeq5ZGgXUNyKHjxRKABEJ3AAD + eW0trPEoB2qzV0Zs7aS57HJLmbDYvQHqZqd16vfqvbDV28G+O9saADHEUCBs7m797HP3YtGnyfQC + rEi4JCjb9rCZR00pJCTZMN3up5+/9463/trmO//48gdnh+pH0BgwJxC5d3asHUNxYtra1z73lh// + 0c0rjvQbR2RovVdkGm+MbShbW3O31LatswPnsSc6uy2jqAkAwxlQAO7OAMzJIVqOMroPfrB98MFW + 1VdXNRy8fLj6wyEHQEZgZ5AxkAEoLKMnTCghNX2/0/Pklr/7fMs2nnX4/AMn/vj9n37Hu9oH7r/J + tT25MwUSk9mgAAmWX1iA1iBrBzuzkxgT4ND1hRV6hA213OUSDPBEZHB1LZ0RxgxsPcCzE/e/+dcf + fP+fPutHXjl++lMfHgom00PNoe35gpu9YVPrKLIQANQ/z5Mnj29sTGCFYdddc/Wvv+XXXvayl939 + 2fuJlxWl65iEWoz1UcpGE1GNTa2VplNKR48eXYeV5pwBlFJyzgezPZ+Zh2EQkVrHtg6CLaUQUd04 + Ne27Pt7Z2ZlO4/s6hPDEqLuaugcG0HVdrax9sY4K6gKoqojUiOj5fD4ej/fPELiqbp+2bbuuWz+o + F6nr/ryuQillOp2WUkTkYi9yCCGcF6pad3QppVr6cBiGlFLRcrEX7byocdGcBIATOZBy/vwD9//m + b/0/9VyIic2NiFwBsA0DVt+27N6m9JwvueVrv/qrz2wsfWIvsKSUajXJLFIG/Yav+7qnPeWpd919 + d+9m6xZConppyJ38ES4dPZLZ0P/uf/i973vpy3Qo03YkOfNpMUK2bhCuL7W/vsX3n3Y82pnNRhuH + 7rj7rp997f8BplnXrzcarTfg6r0jULdYNMKmdt21V/+r//1nyAFTAu35aMWFuxBCCCGEEEIIIVxa + 6uWVerWlaZp6zaVew6qXy9dT1lfPQwhhX6mDnevVZHfvum40Gi0Wi77v65RSyng8LqWs/1sIIYQQ + QgghhBDCeeVE7r61M6+tr1r8Yx/7+E/+5E/t7HRNk+aLHgBzWnfXr+OY+r6vvfprB8jd8X71ca32 + VruPXpT1CiGEEEII4YtRR9QOwwCgaZpSSh0V9SilEi5pqkpEfd/Xw/t6nF9d7EULITw58LJ6Ua17 + dirSmAE3NnartTLFYWAoJ5m0cqjFsf/x66/9wCff+f6P/+GJzbuLDDRWSXAGsacMd5S52YAmr0rY + USFngNmhhHYk3aCjEboOpfcjh9th1iWXYtqrA8oMODJzLVxwtnoFte7SPoqSaCphAAAgAElEQVRh + Zk9UmnFuPfU7i23NBgYJck7eAwBotZFXe/FFcbaSGO1IbGA4Y8CkmZor3AymbCA4mQEMiPJjrwdI + MAOYkFyYAMAhDpCLqTYpA8LObZY0otIuWky9U3iUVrhk7Lc6HlW0OYYQQgghhBBCCCGEsB+dlnJC + AEHhZp6Yeh+EGM0I3YMPbW/dUIbJZDLM5rrohHkwq+3x5xpXc1bkYJCBQaiZEQOTA6JzeId2NHSW + Wu5tmOR2X10DCCGcb6o6DMNoNKrXP8ysRlzXn9boi1oz9wBmYIQQQgghhCc7BtixK4DAicEgwAqx + U4Kpmin1HT5773RnnnRZ+b6mwDqtT9ttNcPVjwDCKjC7zhy7YgcIhXwuhGuuVHMice+jj3K4wNSs + s6Fpmr5fTMYjK7118yuB4Z3v4Y9+6gg5CH2xJgk7VM+5vWiAJU45y06v0oy2jxx+5jf9vZPTdp6Y + HMlc3MThRM5nJAU/2Rlhuf8wSi4MBfzEXXdeY4Xc7VSy8uNHIIN3wvnY0Q5MKuTqdT+12oNZ3aHt + TvU4GJSwYB4dPbpNTES+3pU7GTsA9j0fSILz6c8hDnjtTkoOMDmI2LS/937szPPRIxdqbcK+5u7u + lrI1DUnRSVeObM2bfvGp171h/hfvf8rW7BiBMoqaOzPITJkgoEU3FyTJh2/+R9+JdkTbi5zGzmLk + 877fWWyN0+jw+JBbWvQdZXY6j636tN5FOxlAxErsMJHUD5q4YcC2Ngl01wc+cPnQN6sKCw4BAOfd + OfGMZU680XJQQiOYDwDADCbyYSDwjTdcg8kGb80X7/nTv3zb7xy69+EbzSZ9l8t8nDPBVNUMxBAW + NyvF5bTd2SpW+7T18McyEKIUE0HOBHMYGsJYcITt+PGHFrcOH/gXP/7VL/+ey77pm3Lx4VDOiQZT + wOuBXB115u5mFod2YbfaAt91nZmNx9Mbb7zxTW9608u//5/cffe9DgOQc845z2YzImqappZhPVNt + xi+l1ODPGvDJzPVTV4uY1PuD2Z6/Lrpd63TXbNT1lPoXWrfzZDKJTOsQwhOImQGklGpJqVp8yt0v + Vgxz27brXWL9mhiPx/Wy70VZnkdXyjK0teu6WsXA3esmFRF3ryGvUasrhPAktt7Rrb87/n/27jxO + sqysE/7vec45996IyKylN+hulgalVRabRpzBcRkFhMFp8dVXVF43FkVQ9HUQnXFFnBFXGHRwUAcU + ZRdFBxFfBHdHhBZaaOimkaU3Grq71syMiHvvOed53j/Ojcisreksqqisquf7qU9WVFZm5I0bGSfu + Pfc8z4+Zz9UeLgBK5wJVXSZSsHd/8r/fcuDgIXYkWVWVQGXaDTT8kZyZGKoppWc/6/scMU5zmXd5 + OiRl74kdxT5+zzOe+ZMvfAEAoiHEurxVScpb203eS8G5//mbL3/6dz+tamoi7ruuqaq+712wt7yT + MZvNdu/duz6d/eIv/9I89VH0qFnvI9LBFYAGdpIzAb/zileOm6apQurjUbM5lmltjDHGGGOMMcaY + s1S5VFQukZcc63LR3HtfOkpjyzUaY4zZUbZe2g4hlDVXy3rnolxGOVNX5I0xxhhjjDHGGHO+yTl7 + 75kRQh37fOutt/zAD/zAoUMbzENAtfc+JUFZXqiqKn3fM3PXdViUGxBRWR0qIqX2sGT+2Tp5Y4wx + xhhzlvLet21brtkteyPszEzHU6UsulieBZzpzTHGnHOUUBIk1AGbzZqUhBQAC6F8khVQ7mNyoebM + u0fVE774mz7/0iv++G9edRCf2t/emYOmcn8CiSDBuKJIGgkOYIWyACIEYfQtQoV+jl3jlZBrWdcL + q8u69a5pGmYCkHOWjLqukTGfz0sHgy22tmXbKakWSlCmg+v7aEwepDw0wepTcsQMSOkOuqV4sAmA + QhNcdNS5i1YuSVEbGiEDgJRAaxIlZBIG/HZirTNLQmalOnsWVpJMUIUQB/IUNcW5Zl51F1TzcZoS + mMkqG882O/AoyA5WjDHGGGOMMcYYY4zZMWhIr8pDlJUQwTkGkLJS5QhgYAVBpjNUDerVCy68GG0X + UwpN3batIx88x5QCAZsT3OWvk5ydH+IiACIRoPOITuo4x3wORag5Aw0HADHmEPgz3qEx5tzgnCtr + QWaz2WQyIaLSab2Udpf8jKqqtkZBnOEtNsYYY4wx5jRYLgVyAhASCxwlkawUQvCzddz00YuEKhmy + TlkYJY6RBIv0WVquAlzkxlIJjgWhBMfqcI5PQFRsOODCvRnkQQkQKGiYSjDmdBMCB9f1vW98cF67 + yPPZA6uAj378k3/01kv2HRp5l5GGpAdVR9tOCanYdzkhOJlUdybsetQj64d+0V1VnYmcSlBhgdIw + B0VbQk/PE0QkqizCIA9C23f79/uUQVBiJ8BilnHIU97m/ifSRNo5xp5dmogShrhVHfazgIVBClJh + FaHzaPgRok613r33sJKqLjN79ahf88XK3uUCYt5yo8oQAKJKVL6NSStSWl/HocN86X0/F4/EnA0I + GDme7/v0A5qLJmszfOjmG172Mrr5ww/SXM0BRlQoofEeOWcFAc55T5yE/PrsQ8/9L5f8+y+/+JlP + W1W3b7Xrx6MJeUigRClGhThHcjrHT1IwRAESLhUOClWiTOyYiJxTrbLU84jbb5t94hP37ebDgmZl + KuPX1sjtRZ6QDnUUIAUIDARlUck5RsDVYXXvhfjnG65/3R/QLXc8zPk6RbTTUVO1Ka/3mQkViMmx + sAoRqhqkkkkEJKBcBk7ixY/AEQUbwNYZTsXyZa5gkqCQhMjqmZ0jyblNSMh7d/HGfO3BsXvfL//a + /a7/18t+8Dkb1dqhpiLnCG6ZyLWMtbZ5VHPULz8RkKWug0gi0gc96IEvfel/f8Yzvrfr03w+X1Yw + quqJMq0L5xwRlbYmAJaR6ss8dREp7U6WmaDnj6MuZGxtaLusia2qajwel+TU823/GGNOHyJKKVVV + tSxEZ+YyRJ8Ry0zrErMNQER2YKZ1OXzy3pcoBVUtOQpb38KIqO/7YwpcjTHmXFOGu+VYXQ7scY5W + nZfBv6xKKhHWhw8ffs1rXuOCi0louJBCtJyTI4IICKoSiPau7vq/nvzkUuB93ML8U1Wt33XdZDJq + Z11KybmQJH/bU77lRb/4C+td55zLKiqSU8JiKgDYnE68N3O5fc43337H3/+ff3j8Yx/Xd33pVllV + VdatV50AoEzenppHde6q6vrQ4bX3vv9f3vAHb4wMJfjgUswnei6CD5ISgJ/7mRdcddVVpJpzZmZo + ZoUM08XDbtfNX0djjDHGGGOMMcaYna5cZFletCoXX8p0HBHFGJfTWeXGGbykZYwxx7X16nBKqQQ+ + ARCRGGNVVUTknEspweqdjTHGGGOMMcYY8znCRE5E+r6fbsy/93uftW/fAWZkQRYBGGAgOVdqDIWZ + S3Y1gHIbi6KD5e0SlX2GH5YxxhhjjDGfHWYuRaylp/GyRPRcVS5fTqfTyWRSHrituzDGnAYMCCkB + zEOjJFGCUFr8LwCAEIJPmhr2eZpcu3rl6r/5wW9+0Fv/8Q3/8K9vD2E6k24aNTg/agLl1KXYV8gM + RyDAbamVCwGBvFOPtclXP+aar7j68TytVt2uuNbVXJWxriw/A/h4/ZTKJsmOqr+LYXq4ufu1f/bK + 2+7+CDj1ed5ncACBNUOO3AMAk0qaYeTBPY905crLv/ibn/jUFdrLc+917MSxLvtuQWjbnb6UU3KZ + FHVyrMismUSJoaSRHKkP5NmnVuq8uqIX9WsZVdLhSTdnjZ0WbG2Tj8YYY4wxxhhjjDHG7CS02RCP + FpPrWhKDAAW6OB/7mpsGCYjpjn37HljVG9Npwzyq69z1zrtjr0zKqUi3YqgCpK7KuC/xW57wH578 + /o+RgklzjkTeOgIbc14RkbIkYjKZAOi6rq5rIqqqqiyDrqqqbdumaba20DXGGGOMMeacxEO2orID + QH3KgKuryoPu/vBNK13yGaRczs2FoIRIYIXDER3tCdBlbOMyREGhNLTCJ0CYYnDYtUpwyAQGEaCy + uWrQmNNLBNkFThIdUx/zJaHya/PbXv0mfPTjl6jklBQIVGnSBA0e2O4SRyIAKeWuqe9cqf79NY/r + V1cjNwpyIqzCQAYLmBSK8yvTnRVgQhZSsIJFsb5B3dzrMIXocApiaxK088CePQC7TMwgKkOMCJwS + BGACyxAre/5QoAP27N5d0oFUcaIiEQIWKSbEWxaNkg7PUXm+VKGqCg2EOmbctc994ZWfk4didrTS + AbbK0qzHK6pd+MQt6+/8+1v/4E9X7rxzpW8bjV6hAmUQQZFUBYBz6FOMwLiqmxQfXI32veuf//FD + H1z98i95xLd/S3vxhby6t3X1VDWzwhNY9TSmWgMYqhGYIOVIhigTK+U25coFzjoW7AnVp/7pPbs0 + 1qLMICmx1SVZF6C8Gf9DMoRMK4AMhWYwwOJU4EhAiDni5k/c9t9+aXfG5W6ks0MOfQ/p5rEJITtV + Ec7kpLyBgABiB8lusduPGdMUyDjefyxeysNHUvaeYs5ZUHKkoKgdmgrzDVHBXurGk123/fXffvrO + Ox71o98/fsiD9+m4dZudJkrhmWXlmqOUPqrOBefcoUNrJVn56quv/r3f+73v+M7vLg1KSqLnaDRq + 21ZPUKlQupaU2yGEMr0PwHtf7qTM9otIzvm8vd5XiqDKSxIlJ0+1xOaVCx/ln/Y6NcacQmWEAVAu + p6aUzmysdXkLKI2umNl7v2N7ATjnuq4rN0SEmcu4XXYgMzvnSkr3jn0IxhhzSpSBLoSQcxaRcuNM + b9TpUt4iJWdyjpkBfd/73veBD92QGQr4EFKMQ7J1ydeRMmHCOUqGPu1pT5tMJnHWwp3WQ3pZnjKU + VpLjycjVzTd90ze96vWvyymRYzBDBKpErKrbml9VgByx4tf+x68/7msem3MOoeLSy+Z4p4OsIuBT + ldh9jmIi+qmf+qkEZAEYSRfZ8It4aikTQAoAOUUCnvT4r336055WhxC7vm+7OlTH/lbZbjfGGGOM + McYYY8zZpawr2Dq7xcwl9rVcPEopldvL7tJndoONMeYoRFSuvIvI1jGKmeu6thpnY4wxxhhjjDHG + nBFE5JxbO7zxnOd8/y233A5AdVi3H2Mu5V0hhLZty6zsMr66lIwtM62JqLR3K/MeNtdhjDHGGGPO + aqUUtK7rrutKJVRZlnCmt+t0KdWv5bb3vnRpPrObZIw5p5Bis4fUsshQQAKUBkvQEqisDAg0BaLG + +2mbKxpBmvl6fPJXfNdDHvIFf/hXr9tYv+W+l+9en0031qfjic9u+AkAb/6URS2jknrvOeMv/+Iv + 939s4+u+8hsmji/C5Txzfd8758oqjpxzFZrcHlv6yhiaa+4Ubb2W6q5b63OnvuHM7FjYuXmba+by + wI/K4R7VqNRpctQzbfhdcmHT7/ZtU+eJk+CyB6BEQlqehW09YCVRygTxeYi1TiyZmYQqqjX2igSH + ShUppAhSpzhnS4zPSSfqE3VmWVslY4wxxhhjjDHGGGN2kDKJyIADnIJUkIUEFVPX9QL1oS6hKFox + xvVo9+os95Ndk7lI0rIg8YhZPyEIqZIKqdDJzFEqqRCV6AgvGCXs6hDubh/crGDWI4FA3jETctcf + tz2iMeacxMxVVTFz3/eqWtd1jLF0piCi0h+8rJaoqsrahRtjjDHGmHOMAEpHtKQngBSkUM1gBSPl + HioHbrmt6VMlYCUCAZSJEiMxMpeIx82cRB1O5EnBUBawLM7yy48TgQseTcDKhJnLmmXwjlqVZ85x + rJAcHUvMuWdJNXHb4S1/fehtf3ehCjtxBA84ZkAcoNtf4phyrHxgwYzlsid9FR790A0KyN4JOxWn + SlAlKDEru/NvMkpEGBLgnbCmODuw3wE8JKieglW6ZbTJzmN1l4Pzi5GuDHGLryEB0fYiV84FSizO + NaurSkOsNRZDuBALHb0akxWsZZgvaeAAUCLJwSRMQsgKCILSGIy79p+Hv9LmROqol6wD137ktv/6 + 0rt/+1WX77t9T7c+Rm5CHbgOFCqQF2SRTMgOkVFNuCFQ7jw67g+vzPbff9+nR+/46+ue85/pVX9S + ffzuXdOInKPPsY5zaoVOb661k82hIxMnXhzqqGYoKxoB2nzze983Zg1u+EoCM7hc6Ch52MsjLl0m + ZCsDUGEGR3GKgADvoRkHb7/jIu0v1l7jRkRLldQ1KgAxa5u0F8pCyOzhnCjmUdaBTikKixCkHOZt + pl5L+aOUj/dHytBbxoM+izBXngMzFBmQDO3QgPY048rVtDHbffjwro985PqffiFf+77m0KGc81FR + 1mcwxtKcaXzMqn4F1HvnvQPJ2vqhiy66AJAYY0rpqquuevnLXz4ej0s/VgDz+fweahVKbvrydsmu + Lklvqlr6mJS+J+dnpvXWKGtecM6VPFca3vfVOVfCU8/oxhpjzillwCGiyWRCROPx2HtPZ5r3PoSg + quXir3PuTO+noy0jE1ZWVkrPAiJS1ZLt6r0XkXLDLlIbY855y+GuJDSUiOszvVGn3nJ6YXnEDmA2 + m732Da/XEkW8OKpnsGI40Sbm0DQ5CYDduybf+e3/TzdvwyLHosyCbv1zqpT3I+ccE0FUUu677nu+ + 53sm4xEAFSF/4vfWLReMTiRnVdVrr732pn/9SAghpZRzjjFunb81917O+Vdf/OLrPviBEDwA8oxj + Tq63nq4TaO/uvT//8z9fQlDqum6ahhZn3GxPgTHGGGOMMcYYY85ytGVpQbmRc55MJqV5dIlRKdOS + Z2wTjTHmBLquK4ugSo3zfD4vQxaAnHNVVTnnvu+992UV0JneXmOMMcYYY4wxxpz7clYRSMbP/uzP + XnvtdapQBYFizDFmAKVSqW3bcrv0bSvTF2U2o1R+EdH6+npp71bWz1umtTHGGGOMOauVo9zpdFqW + HxDROVy/z8ze+9K0WURSSpZpbYw5pUQoCUdG+SOMtJlpDVGSoXZSWYhZoe3axKf57ODK7iq6tou9 + 15Wmv/ShFz72P/3fv/DEL3pKvJVCCqurk8MpUkNeMI4YR4wSV4l9BglI0We0ObfYaP2++qLZ9be8 + 83f++L995JN/P+8ONN6NgqecnIqHIkWNPeV0FvxJQj01bjTyo9il2IsqQLRYLifAUbWo3CZ0SYRD + Va0qqpRdP0flVpAckhNRERXJIkkkiW5vP3ASjsTRcWQk1qyaoUlFoFE0MwSqCi+oc6w7noiSxVqb + z5YtDzXGGGOMMcYYY4wxZicilH71i/CqLOO6igCgAgVBAQd9zJ//f7d++Zdh3k4qz871iE6FHWO4 + IjtcNABQcqm3STNDCVCQgjHcg4PeZ1LfetfdqAIYqetD7SHqgs03GnMeKb3Cl/3NAYQQRKT8c+tt + nK9JGMYYY4wx5hy2PEdWgpAArCQEqLAoQuUlaZ6uo2/j4bVa4aScypcYRlI9MrPuBCfsDDnqaxSA + Dzoao2nUs2pmgEB6orvYMZwKACEoWOCVQJAgKUh0KqS+5AKwDg+5ZFiWR0XLFFga5jt0S3bs8aY7 + GBAFg2SIvSSQEkFZy/O1GS0gxLqYNtmcRhnuWkiFASgLHIbVhEpIZVlhIp/YCVhPcyzotpEAysgg + r6RKZetZhpWmwOK3KwMAbw1C5uX/QwAWks0YhsXDDD7E2DHySLGaJH3wg9f97u8/JGOs2mVUDiLQ + HAnCDcdWtjlhxAxk5w+7fGjvrkd/x7ccHoU5kSNWzTh+cnPZ2vMFqSpAHpBUx5gPHaz4+L3VTjrF + RJSy8xiNmDefd1JS4sWaWmUM04bnG/YO4yZ7SoytL/2tgSV6xGiy9T82Y2mIwCXsWiCAU5mI4OB+ + Op9+mc8BZbE+QVilvKEsPl+ClMo79DKqdvFWSIAyAbzMZCIREpAGkSbJqM/jpG7W3flHb/nom958 + xb4DD9Iu9l1wIO/arvMIJe6ZCQKQRwZijxylqRA7MJShexsX2uyp7afy8d99jbznXz7/Kd946WMf + sxEmd+VpqJvyELYOoW5xvFEObwAA7FScAJDM24vmEXD5dgUJC0iFACVXce4i8SikjDvvyp+4Ja1t + bDmakRO9EEjBQ+FE2U5hKgOgxh4AxhWPlCjlLFmJPSOnIYrIOXgBE6CIqkiJAfIgoNeSls3lCIOF + UX4QMk6QayWbr3oZPhIAIlFRVYCBwIBSEnWgvp1V3IyISdXfvW+lW//Ai375i1/0ixDt9+yZeRfV + ZcCBCJu1Abp5jYeFNg+TzHmDAE0pe+9VZTQatW1bMpUduRi7f/fvHvPyl//GM5/5zJxzzlpm409U + sVnCPp1zqlqaTZfOJqWVSc65rutyO6XknKNtJqxvfetLzJmZ8zEDxuLg/DOntJ1Yeekd/bZbXsXw + gFuMIXyie7gHIrK86lGqYVW1ZKOWHauqXdfVdX3S22+MMUdZjjwpJe99SmmZzXxGtoeZyyaVNwUs + IkJ3mrJ5IrKxsVG2Oedcsq6xeC/LOaeUqqrq+/5Mb68xxpxGy4GudDPEYpA8xyzXPaqq8y6rxpzu + PnjwD//oj6Wc7xByzsH5lAWAiBCpisa2ZUJg/pqv+KoHPfCB0sWc0xB6rcPd3vPHkyApK3JwVd/3 + TT3uYl9V/hEPe/hDH/IF77/phnnXaxqeo3KKt+0fQEiKWZ9e+9rXvvCnfyaEIDk5sB4TxjxM/p9/ + U7j3noKuv/GGX3/5b2Sgj8kFzkmgcFWV+x7YPL3kxe2a+bd+42UPfuAVlefZxjS2XRV8llwuW1ms + tTHGGGOMMcYYY85ey0UFZcEAEeWcy3Xz6XRabgDIOZeawTLPZowxO0cJdiqxT977MkyVuuYyspWY + qL7vLfbJGGOMMcYYY4wxnxNcVxUUL3zhC9761reXT5X1id6HUqyUcy71iaWIoHzMOZfQO2xZ4b+6 + uppSKnMdKSVmtklaY4wxxhhzllouOVgWwlRV1XXdGd2o06hEWS8XYywf+3b7ORhjzIkJcGTbl822 + aEfPHhCwMp7MZ7NQ1RvzdQ6VUw600q13jlcvGo+++Su/6wGXPvBt1775U4du37Nn7/rGwZFb9shi + UoChKplQBeQMJWjI690+P/IHtH/tO37rk1+w/6se/oT77rk0rcc+U+DKc3DMsqx/JMHQHG9Le8Oh + FpIX212+ZtFjihhDJ6vh21khdOo/OvIOXhPFHMXJZFJvxK6dJ3+8Dn+lCtU5OAqSdRrbFPM4TIjr + 3GnFFYNKjWrmTASisg95W9sPZQaYGRBm70iImJSJyHkPQpI+C4CUNKs0tLVppDl76WfTnOmzZTEz + xhhjjDHGGGOMMeastGwmXlq0i4j358Jk1xFThcSbMSelfhIAePMaQajQTPaPd9f9PpcSnIdjBaKI + L2lMyqwQFlIFaLsTypmRGAB8VtYjtk1yksrDdZAYhJEZJJAIH06uU7wx5qzj3HBJbWtk9dblzrb0 + 2RhjjDHm3EY09PdR1TO48OWMYC1rtobQX+FEigywkkpTOZd6hNzv8cBtnwh9RtYSEAkwQZwwsWCZ + x7blbJ0grJvL5pzwlkBZB4Apd1maSy5F1bSIYMdwyEq6sw6/F9HRjCHyU4IoIJ3m7Gt1dZ/yKHCt + sz39Wp0SZAStoAKVIRiSoYySA+BUSAARQEECZsABPOy6E8Rag4aPCigcK5ew5WGXbkY1szoogXUR + TEllCaMQemiGMDQANYjhAe2gLRrXbszTaLUfX3A4JvI7K9maSZBa54IjHykyB58osO+RMgkgDCFl + Uhb2IBGCkLAyLdYylumdrKQgYqgqaWYiJk1RVGhU1+10/8Wk4V9u/Ptf+pUr1vdX3QwUAyMPC08z + AalXckOe6BERIAqAFZqhnkEOKYIAx16FANqf8p2XXvyQb30KLrq4rccixJDyouldeXXAQTLxYoPP + I6UIeSZplWUvx7s+eSuyYnOd7pBQSzpM0m03fIUU5INf3YuqioggR8RQVgCLZb5OhRSZQNuecTzr + qRLGExnVM2AlQCKcc8jZqQIgsC7yeJfjsm6O5MPgQwDlLDlXjnsVMFS1yV1796eaHRkaZ45LiIUY + iloSqyYu8TXl2CAzqVPHytM2Vc0YAEhEEpgiIKJeuXKVF1GVVsVVnNPMx+4Sddh3KL3tb29821/4 + O26/spuvpKw5BgAZknMF3kx9VjCgCQ6AQya0GZ7BAganTp1HztHF+ODxePrRm67/+Z+/9LonXP7M + p4b7X3CnI6FRlyjUYEabYiCPPjvizKJUBm8uVxnqnADtIOlez/gpODpAmRRMObEowQsAeOK5xlQx + 5R7//O5LDh9aUcABcfGtiABocaREixcOQ4EMRdkDTFDKhCwoC/chUaBMxI59IpUMITiGAr2KKuoK + IHZtTqVWgasW6Jk0uKxAyl4wJvYEzYnASSMRnEOOUMAziJEB1bLznZajBiLN2VMZBxZ7QACoAwAJ + YJWegDrDM8t6TOlT733ef/qSF/9Sdjpf2aO+SRl18LnLrpRSsCyirDkSK2DJ1ue6LU/u8tiehMlJ + BsCqUAhRSSQTEa1Yv/TRj3r5/3zZ8573vLW1GUFVhhx2XuR6MrMu4Mh8u5KdVi62MnMJwCsXXrdd + Azmc60AkOkhbVevEY6Rw1GVPLS9hAo4fGP8ZfsLiW1jKpVIBlBwhK5HGLOJGoAYQIALbu15ZLmcs + W70sQ6yXe2YZMbtjM62JqPSvKcnlFuNqzFlkGWZZBhwAZyrTerkxOzPK+kSWW7v1bW552wZDY8w5 + bznQLYc+ETnbL9kMCdZUPg5TnUpgdQAkK5i4qv7X775qDrBzKhnCII15eDMtU3COAQErCPLcZz+H + s2bJVahEZHmZgD7jx5PYfiLAl+WsMSdmVlHV+D1Pf9oPP/95TpGzlPjkqHJEt4ht7CElwqt+79U/ + 9vwfnYxGUGHnIRmb3ScYQC7/OMt/Hz4bR5x7KpXTvaqqUoyz2WzPnqM1Jz0AACAASURBVD2HNtaf + 8exnifc5RS1zvwoo5y6Vk0omEJFKdkwQZeAnf+xHv+oxj6mBfj5vqhC7PtSTrus8e5Qz1cUPPLlY + dGOMMcYYY4wxxpgzZdlAeVm4jUUL6eU/l6xm0BizM20NsT5RpbNlWp/Vjno/OuqfxhhjjDHGGGPM + 6bd1arRERg0lSCLiXQVAlWKMTdOkJFC8/Dd/+3WvfxMRVOGcyzk751I6epV7KSKIMZavWdZ29X2/ + PP9d9tg8N5ptGmOMMcaY89ZyZQKAruuOyrT23i9DoHG2XQ8qLZpLkdeyO8FxH4JlWpuzmqoSDc1M + HLNosl/oM4uP7T+5pY/l1s+UtkUpOs+TLOK4UlEgq/SVp8D1dKOvZeUrv/BrL95z2V/+89tvvO0G + qkK3ciBWyWdCTK50YVIwQxI8mIRJWZ1EJxlT7Ep/cssbPji9/gmPvOaqy652U4cuBz+KKZHnLra+ + ct67FDunqDjkVHpZcSZS5RJczQqFOk2b7WxUM3lF6TeogCigitPwUZEds2+51Sq3fWRCQ1Bd9NlT + EJCXbYEUDtSl3lUq0lXB5zZN1Adl1ZwxxItkyoCwlG8rbavu7VYBWYFMChJVkBKRAllVMwAVIubM + AKoSfX02vXMaCKGNw1QhAUwsujh4OHNPpU0+GmOMMcYYY4wxxpiz0vIKXGkvXkoKz67LjZ/ZMddk + 6KjbzGiajcnEzdY90aF5O2kqycl7KmE2W52KCWUqU9mZpe91tKfB9DB27YH3iBk1wbvP/mcYY4wx + xhhjjDE73zJOUsGAKKkSBEQaJBNBAhBS2x86QLH3YEKJlJDyve7E8UDlnnV5Wxdt95UBELIQkg9l + LR9YnZJikZK9YywXOQqxDssEM0HqyicRASm5HGM1n9/8tj+nT3060JjFBxnywpXQMxKzEgD2Ik7F + C4CcWRQsRAoukQA8ZAMcsbBSAaHy1JS4asdAyMpIJSLb6RDqkInLqkancCW8qWwzCdHciThl1ZAx + EnhhAVqWFjVvhHDxVV/aXPVoV9ciCTuJghFqypQoia88Oc7a91FqFoiSYrGfS0YDANbhj9Phl02I + PRMHH1NK0lc+eEc5RkeEnEfT/rJ55ltuffcvvOT+d+8fzQ5VwWtEyfPcmjsILctCh9/kLStdRYEQ + Qo4xC7xHziBJPYBm14HK0dUP333Nkw6Sn2U0dT2ddy54GWbESpaJYNj+sylw67M3hGEwac7IkduW + hYU21w0fYZFyvS0KqAsgFhKlTOAhLX7YAFm+4nbW0HP6CUEUcOxGIw0u51xmpekEISUEXSTRHv1f + vGXvKYhUfeppPoNl1p6Ftjy/w0uj73vvlLMnV9ejiUBT7JCTD5yiOOebasRCGhNirkhGrDi0foET + Pnx47a/+7uY/e2f48C2XTPuV3FeSt955ybPVRUD6kPe8+DhUGQx/DeG2DKkcXOr7fnb1nos+9hd/ + 9bfXX/eVP/aDD7j66o0mT+t6bT6faRytrkiUqgoSeyUiLdm5rFTeLjdH8ntP4EEICqgkCEhJHStD + lKGkytDpR27a3bYNWNLyrWPzVXDUK4uOHNCW2zOMUMpl6X+vURTk4GtPolLCfb2L4Lu62EH8yqSv + 6g1AJ+Pqwr27L7mER03jPIvIrFtbW5vefWC2/0ADrVm1nYauu6imQECvfQIPJQMQFYWWVy2fYBzY + 3BkAleONDAAXztEcXnv/T7zgqhe+4ILPu7K7YHJQJaXEjiGLaGLIcqeQbieh15wzjq0dGgg7mrfT + yWT18Y9/3M/93M89//nPL1moTCwqpdaxlHSqaqnePO4dMXP5Yu99+fpSLbltBJRKS8eH5zNUVXAB + Xbvlv7e+Qk7m+ARUhpEjRqKUNASOvcCHw32Gc0hR4BhuW7HW2HLFWUSIyDmXUhKRuq67rltmBLZt + 2zTNtjf+NCtNbUpnXhEJIfR9vyx2NcYYY4wx5uzCCqEhynqrlJIL3vuw1s76Tl//xjcoQZi29ABY + fGk5/xDU3ueUHvnwh3/J1Y8SkfF43Lfdlq8Y/rrnj5+NModTugx8+1Of+tMv+Jn28JoHUhQQkDOc + Q86f8X6OwISsSTFt53/45j96+nd+l6vr+XRaB3/UzM0wJ3++zeGeABHFGKuqOnz48Orq6srq6nQ2 + +9WXvPiWO+6Y9V35AkkKMIgA+HJWqFIuBGZRAv7jE7722c/6vr2rq2tra84550Mi6ubzZZPQ7c6e + GWOMMcYYY4wxxhhjjDHGGGOMMcYYY4w52xERM5eP83k3mUym06mvmj/7sz//1V99SVVVfd8DQ6x1 + vsdFgznnqqrK15SOmhZ3Z4wxxhhjzjHlEDfnXGoxcs6ly4H3PudcuiKoajmQvoc+CTuQqooIgKqq + Ukon2bfBGGNOleO2rNHSE5KBtGj8oqxCgPSyUk26vpvv7x9y4cMu+w8P+KcP/uM7r3vbp2a9G3cp + 9VUdQHHWwlWQDB56FfLQHIkkk6ibo0o3Hn7vXX/1yTu/+Ou++oueOMGe3ObgR4LcNFWS1HVzAlgp + peSYleToBjSkpfemLutMFzWSrEMZ6b2pDD2Jj0pDTLiSZielKyOUh75RACmXLopKm5WbwgCnzBkQ + p2Dh0uVRSUhZSbQ0XlSUf25rq4YbW1o3LnqdLT6jbD2pzjFHNWn63LNYa2OMMcYYY4wxxhhztiqX + 68pCPedc3/fMvOwQd15gwPHBrvVV3a5vjINv2361qaTrF8EytDUK+9huj/fMyfAtmSAOQQAgMpQQ + wGMQuMGBNey5EJUDiZy4v74xxhhjjDHGGHMuWSxsW+axDUuAiFQBFQWAnA/edbekyCXX9BQhohAC + mHZsc3wCeDmHsPhkJgzrrCXDpYo5xH5vlJvf/h734Rv72HkVScMqPSXuHaDM6kpkd4ImAJQyCyCk + zLpMpjherDUBykICsJJkBiB5CItVpSGUNw+VnOwEUKhCaFgoCUqgLpEoWBGyjki9U3EaM+LU06Hd + e/fShK68sncrO2wROcceoJHAkQvEVZ8R2HFDkaIwhKSkfkK1xIR7AQFOhEqsNQAgE7oYgQpQpioL + tfOeNO8ZNxPuVw9M8f6PfeC//uJ97rpzT+6aOsza2CzzSKks/hSnw4tkSBsF8SL2GuVFJDkCjkKE + Rk0TBwn8SY+1Ky7/tz/yg2s1p/EKiZu1vaubOScQqgwSEOS8zfdU1VKhwQqIdG1LoiXr5RRywQ9x + zeWH0hle5rhzCBTMo9GImZGzAipyVGLOUY7NtMaWqFECGOXNQ9vZvD5tW25OuRIkz0BmBZCJS4Q5 + K8bNBCQ0lySsnlJOtUPwrtc8qWvO6DbWUtJR8HWgpusnGy3uvKv/67+7+R1/qbffcnHfjWNsFO54 + WThbX+xHRSlvuU3lKGX4DKHt02pwa4f2XRpWJ3esv/8nXvyI73jqypMeV128NzHXk1UV6iRFD/Eg + ZaeoEmeWWZB5QGIe1tlvey8NecwMQMDlDUgkEFcxo+0//uEP31fBRKLY1rupEvLwqJmVFlHeqiQg + 1A0EaGeJgAbM3Myim412Hx5V8wvG9ZVXXPjoh93vqi90978cK2OIgAiiyArFbs153mK6tvaRj3z4 + nX+z/uGbLt3o3F2H9+YYnCNkCJjAcOUv5JxV2UG3k0LFijDL4Y59//LS337kC346wM3GdVf5eU6N + 53JpRgAhXr5HK1nsvdlUVX467ebtVES+7uue5L1/7nN/OAQXY3bssoqqMrMunOh+SmFkSqkcXZT+ + KXJMyc89I4DBAlHC5yv+++5dMcasBM1HXKf8LAgLACfDj8NieKyCm3e5Cc6Pmw/dcevDLt17F2Ef + TrI+ozz2rT1inHNd1y0vRhNRKSLd7i463coTt7WvTQlsO4ObZIwxxhhjzClXVRWYptNpPW7e+LrX + 79t/AARNCSeYKHZMfUoV4znPeU6MkX2YzebOMTOf6FtOueH8BQRC13VP/+6nvfR/vMxVYaPrALD3 + OaXtnr8sT/GSyO+/5tVP/dZvG4VQFs0uLl2ZwdZ5M++dirRte8GFF66vrbkqfOBDH/z1l72s5Jw7 + 55Znz0SkIqXtURUqEZGcau8fcPn9XvKrLx7Xzfr6+mg0IqKu61Q1pRRC2GnnicYYY4wxxhhjjDHG + GGOMMcYYY4wxxhhjTo9jVospE1B6YDrnROBceNe7/unHf/zHsSWduu/7EMI91PuUWrCSgV2+K8ZI + ROdXX01jjDHGGHOuyzl3XTcej6fT6WQyUdVS07GMr15ZWZlOp5/x+HmnYebSsaGu664r1SrYWv5v + jDE7AgkAObYpi7J3XpM6uMaNJMVxmDzy8x912WWX/dU/vf3GT14XVw6nPNvIqFaIhCgJCKCkYAxJ + z+IEBOQ+eo6zIH/8nt/7xCdvuuYxT/m8XV/gos9tzEnJoQmVCDRCyLH3UaKSOBUo3DDrIsqIAEDK + jhQMJoVDBiAQa4VnzGllc5HGGGOMMcYYY4wx5qwUYwwhlNAg51yMsaqqM71Rn3PECLxOWGvbPVXd + 5jhu6th1pOBT1KHQCfIybogAQAEFWNEeXPudJ37dM/7hXcDwBQqy3ojGGGOMMcYYY84Tuiw6HFbU + gRUCBRGDWHLIWN9/0BGfwlDrEt7mvS/3KQRRPVVZcacQacmEYCUmJQEnpgyW1DkODFFJDgnIK4fW + V/dvjLivJIc85GUKIRMryIknZR5iw0UgmQWkQYSO2KnHiaDQIWuchFRZStQotqSBCg3PoBc4HXI3 + dYi1JkDFiRIEWREzIiu7nAMpUT7oyHF9gUg9Ht1dO8knk/R5+jgXoKRJfeNAPOs7JxyqIbH6xJvK + gGiJGwUrwVVOSByzA1OSJgSX/cpGu3Jon/yf99zwa79zv4PrK1mQ+qqu15d3o8xApuEOoVAu00Zu + 8UwJACVhRpclsAdxzClUPlG6W+XOC3d/xfN/OO2axAsv2L/RURjtWlldn8/VsZIoySJiWRabfR4h + gBVKxCBVhehsY+pFGXQKhxqUcQYAoExyZP7HckvOTyUWvho1yoRhdAITLeNktpUvLqLEIMCDUtZ2 + Ott9OjbanEYCOmKluxCUIDkxeMTjLLQRk6R25CKlrlGupatjrjNcqNC1uP3u/tZbr3/HOw+99/0r + t376fqqrkhtEeMhxKgAGuuXHDXHOClY4BbaET5fPCACCB6B5V1P37fru2F9AF934it/fc9vN93/u + s+6zd8++lEurA3KUs1TqnPDifUGEEB07YS9y0u93ZRgpg1jOUoHHKeHuu9fu3He5LN5/tkUZRABY + GcOgNGytc5jNAKAacUa9P+nUufl4d/V5D33IV30lvvxLcN/dWtPhlbBeufWcwI5BnNWpOOfIk0BD + f8Heyy75sq/5Guzbv/H2v7z5zX++fsddlxDcdBpUmThLEkXQMgxAZHsDoxOEXi5m3HXjR27/jd+6 + 3w8++z7Nxbdl9Svj3LZEYCUlzlzKKoTLu/N295I5d5U6TGY3mUxinx//+Me9+MW/9CM/8p8BZMk+ + hBhjzpmZce/KHUsMtoiIyHaTrXVLzRIB+w+v0WhMCpd9ximutCyDiS7G29zlJrg+5k71AzqfARsK + OGz3xxKRqi4zrUvVqIiU2+VdvuzG0hpmB8o5hxBSSuVJv+c4c2OMMcYYY3ayYY3iMafZMSdkNKPR + tGtf8cpXAqByuF6OfI9ZthhFx3W1ezL+j19/zepkRVLu560PVU6nvaON0uaEapk5UICInvnMZ774 + 13+97zoGRAHR7WZaA9g6D/wv77/+uuuuu/oRj9i1stL37bGzuGapdDiaTCYbsyl5tz7d+IH/94cS + QCBmwtADlMp0EwAQMWkf+8COgMr5V77iFfe//PJ+NgPAzH3f55zH47GIxBjLSmZjjDHGGGOMMcYY + Y4wxxhhjjDHGGGOMMee045RcdV23a9cuIlKlEOrZbHbrrbf+0A/90GzWjsfj2Wy2LPkpi81OVOol + IiUGT1VLwl8I4fQ+GmOMMcYYYz7nnHPj8RjAZDKJMRJRKZPPOTdN07btxsaG9z6lRHQ2NTcqXRqI + qGRa88KZ3i5jjDnC0DmTBCRKy4Zp4pRjjJ59cDVUu1lS7/Y2F+6+aM+lj7vP37z30r//8Ds6Wku8 + MV3vdu2uNtquKpMWKqUDZ7kzVjRjiGDWTsd76g/d9e67/uz2J33JNz7qisfsmuymLpCwRAGIQw3l + LmcwAxAIk0CxbKylRJkAgMEAnIJUgPO4850xnysWa22MMcYYY4wxxhhjzkohhL7vq6pKKXnvvffz + +bxpmrProuNni4Hgde/ubv+h2EdXub7vPeDroDHi6LyZZT/5bRvaROrmbSbaOxo1sxYxoo+JmM+/ + VHFjjDHGGGOMMectoWGV21FIlZmJMyV4pdnhQ7s9Z6RTtb64pBX4KoAgBFVV7NCpECXechtzx4yM + KGPHyKnvW1QZeVrVrg7sog6R0gBKTLFKJiSnUBcyHLhMSAyhy3TUzj9eGKYySEiZIdDNr3cKIUDB + i1jrZab1FkMeMylcmREhUZJMWYGa4RwEuctJcp6l1LA/mfCJ04YZyAmZKGfn82RUhUzIQgQSgNgJ + /PD4OBOXX9BEvNyLQiKEENzGdL1mP3HO92kkPFJUd+7r/vB/3/TGN100bSeMLLNR49Y2ul0VNAJa + nr6SszqEr5ek8CF4FSjJ2gICSJFcYOm7CqxwBzrMLr/kUd/3DL3yio1de/d3mSarOVHMIiJOnDAE + yKSOQSXeZFiKeh4p6RqlLhmgbjZfLgE86nVwcikmJQExhAACFnkeJSDyWHTC1N1zkw6/48RVnVUA + OIIo7iE2krC5j8pIddTzwgoFVOFBXdueXzv0LCcEJclQp4AOlyYSixJUKXVphQKUWHVldbSauqqf + j7VC12MWse8A3v+hA+96950fvlEOHVyB3t/X3pHrU/B131Gf+xFVhP7YFzIvw7MBLDKbyhjrjlx7 + T4ATOEKvaMZIHVLb1Uwqnaztu1/dHPyLv7n58OErfuJ5ftckN5MQuJu3dd34jqDcOySGEAgImVlP + IlRZFpsM2hIuxYpaMO7T4Y9+YpTFgzRlR9hWACsBTjwAgpRE3ZJpDYJTVEBg39Pk09B9F032fPmX + fv7XPjZc/UiMmsS8IXkOEVVkHiGIEDM7TxBFFog6ARLPeQLHs8l471O+4eFPftKn3/gnH339Wx/E + jZtugBIxNCGqlgBwAm0riooVrHlvQp3bj73jHZc8+AHVN3396OJdByNXAOuiv4VySU9fFIZY0ZoZ + 5BxDCES6b99ddT2ajFevueaarute9KJf3NiYlQLOEmx8D71OAKhqVVUlJHv5XaVmclvbIwL2TiRn + RQ/knLuYRuW97zQcLgghMkBoJqM8nXt2h+ctgLWSZ62lamkbD2EZaF321TLVu+wWAES0NSN8p1WQ + ishkMplOp9iSYl7Xdal6NcYYY4wx5mw2zDcD0GGeUt997bXX3/AhAbz3se+3zL4d/c1d13/n9z3H + +2pjNkt9X1UVHCOf5uN5Wk7gAkqEISu58s3FF9/nG675+je/9U8J5J1LOZ3U/RNUnSPNqsCrX/ua + R/3Kr8Schwso5/3s4tY52MXEGgEoy4y7rhMVV1c/+sP/5aaPfiwBRKTLk0AqfS+GWPIQ6tR1Irlh + 99IXv+ShVz6knc2bqlLn+75X1aZpROQkTqKNMcYYY4wxxhhjjDHGGGOMMcYYY4wxxpyFFpnWtLih + DGA0msznXc55ZWXXdDo9sP/gs773+w4eXF9ZGa9vzACU6iTnXFlydg8/oJSD5ZwnkwmAruu8984d + t8LbGGOMMcaYs1JpJg9AVUMomahIKQGYz+elur/8c9kD4Qxu7bY458rWlnzu8iiMMWbHIdHNOY6B + EpzzUNaoDN9Uq2Dp2nm7vr6n2vPNX/EtD3ngI970169vu5suvmi0f+2QGyELQHBHtlPLhGkPT5iM + MJ93YdTdmaZ/8O7f/PjdN1zz6G9ZkYvGuCC4ps9JYmRmJhJI2aRFaSSVtlpKWnpVCYTASqJDG5l7 + mlox5uxSJgPP9FYczWKtjTHGGGOMMcYYY8zZqsREOOc2NjZWVlZGo9GZ3qLPOWYgfsdb3/KJqx+D + eUvEdV1zTm0Xa3dqehQeG7VSwif6mDmlPY7Qd5hMPDtFWSxpHfqMMcYYY4wxxpzjhIYISSUM4ZKK + ZVRjCSh1KgDmhw5d6E4uWPbon1hO88tdLRdkKyHrssH+jrI5P0AKIcrEShzYEyASCeq9Q44VOYrZ + KTk9ImaACQoICSDKrCJCUPDwv/fm8Q4VoXLsznGCku5ABCizgjAsWBQABFZZ/hRSKBgMgZKH5pJy + rUTqiDyoolPxHJ86rFL1CbG/YPdKF9uDsfPVCMIppRAcwKTiBKyciWVICk8gGR6xcmZkYiXJOa40 + 9SSnZn1td5eRqb3u+mvf9ObJP3/gij7WTmI79QyRHADk4Re0/LYu9gmXJ4JUyy/y4neDocislKGp + VwUcf7rvp/e56Mpv+1b32K8+uGflQJvcZNLDMWM2a8d13UtSHe4FgNJwW+gkklbPbg4kJXWVqGvb + 1RK8TsfkWp8sIfgqLANmdScOMmeMEgD1VchKSkTby7Hdcj86pMyU+2BFFqS5ZU+eXTbXuJPCKYMg + hExS13WMUZQduMk6PrS+/r53V5++4xMfu31626fa2+5oDhzeu9GuzOYPSGninOYYMfcEsJ93Gx5h + pdqV+q4sqT/RC5AVQsMYmAm0eOfa+uUlWD0QUoeYQQSqqc7U9d24S1Wqb/nH97zrhS/6sp/98S6E + feQpBI1KSgpkQmawMgm8gHS4XrBduhj9l/uLFJWI7/tP33DDqvNeRBVwhLyNl1P5UgE7CJCVhzcF + BXq4flTfkehAXV3wZf/m0d/wRFz10Hbs7xrXGyoQbXwIXCOLirIymEgogpAzVL1q7byrKgL2zWb1 + ZQ/Yz5L2H7j8GU+/78O+9Obf+l+HPvqv49l8DDQ1KIEVRAEiJU73XiKgCePUz3c5PHhUX/fqV//b + B97vgq9+TBscyAHsFLk8gzYIm+NpmibnnFK6+OKL19enfWy7rnvqU5/6ute94cYbb0xZnXMppc9Y + vVAyrbEo9SxfzMz33CHleIZvcQAD9aihNCWiU1IOJMcMPkpDDt3GdL4ymmjbhaY5sLE+AygACaLY + 7o8uj70Ee3vvU0rMHGMsxa51Xbdti0VW9Pb3z+lFRNPptGx8efpCCJZpbYwxxhhjzjEhhC5Ggb7i + d14pADOWjWmOm+bsPWuSZz3n2cScs67s2T3bmLZ9x6dqFvUEyvSMLDYMi7mR2HVw/PRnPONP3vqn + Gao5lXDreBLh1stJcuAtb/3Tn/qJn7zkogsZZAs370HOuRmNomQSvO4Nr3/jH71ZACKk4bmCcy5L + BgBVMEOk67qKyQme+/0/8I1P/obJuJmura/NZqurq+XrsegZ6r3PeRvzQsYYY4wxxvz/7N17lGRZ + XSf67+/32+eceGRmPfsNTfOwkYeAgs6gjshFkId4GcEHywXLuTLq4IN7RVScl0udcda9y5m1xuto + j1yUhquOXB+DAo0PfKEigtACSvOm393V1VWVmRFxztl7/373jx0RlVV0V1dWZ1dlVf4+q0kioyIj + zjkRsc85++z9+zrnnHPOOeecc84555xzzjnnLlZ0+qwiZk4pjcerm5ubOelrXvOaW2+7czwebmxO + S1zf1tlbZ2Zmfd+LSM6ZmZumeSTWwDnnnHPOuQuormtVJaKcs4iUGyXimpmXx8MioqoXUaZ1KU1Q + 17WZtW3bNA0RlWIFF3rRnHPuQRnpvCSgoaqqbtaTcV0PsuXp+gQhHFq5Ivab7fH2iQe/7AdefvUf + fOQdf/mJP1obY9pvWtDFNMp5zatSHCYTqgrrEwxHkIDJpE/N/e/7zJ/cfuddr3juqx+370kb6xuH + 1y4n4+PHjw+HQzbA5suwKCcFIyU7OV+yVF4qL+ZFmNwlj3CuZQ13iMdaO+ecc84555xzzrmLUkqp + 1ONummZlZQWAmeWcQ9hLXV4EjEe47xjVgyD1rJ8xk6gOKjFdlsnjbUUpPKCwJbBaABgIELMhIjQi + l3QihkVieZiv5ZxzzjnnnHPOXRRKvPLWkDUlZpCqGlRAMJscvR8572xCAhFJVQHnGC15vmzN4BQC + xMBGQiHnbBAOQlCgqiPVMVQgRgIyQUEAgQABqORPk0YpGzoHZbEHDficxyZvicfeqtxBxgBtjccm + wMBKZDQftsinhP8xgURZkLnkKCtUIaBaUac0RJ1tF8VNVmoHSHD/MYxldSgzBg1Zo4YmaDQ2iAYy + ViMDJ2KjWFlXaYYJENQY8wxTptyG1B02lRjxwZvvf9d77vrgh/YdOfqoZpz6CYa1VAgG7TF4wNiQ + kwHkJS4cgAEKMIEBJFNU6COIMRNJV1994GUvlG972bFh0w9GtNly5MAwI4bVIKgqIZXUESMl2CJj + fk8hO/kfiHMfvziIpbQP5/a5NIIRSARlgO8DLsO5PPElQgkgruo6wswMBAWYqeRnno3lu0NEZEYG + MoOaEOWUfNz0RYQBNTBASmIMAxFASrA4mwGhZ2vMxrMkH/vkbf/xhgN33D6uhvtgISbq24FixCzC + OUcG6jogpi6nAcCkqd9gkLJi0TO//EaTgTH/cs6zkQClk7HRJXy97Iu5dOYH5IRhg1nExkybBsZg + RqP66F5HH/7Hz/z7//T4H3/d/muuOs7VNKMqo/pJ2UAaxDSoApqJz/4TWlppLUu+ZQcNgEgkR3Td + 0VtuuSZlysbbj75VQiYYlC1iEXArikg8o/FtYYB/+ozrv+2bxs9+xvGK+165GU5NqaoqE0uakzGY + QMk0qUGIJEgQqFlOXVLWWIdKhsPNTtuUAq0caeTQs59x3RP+zW2/dMOxv/3bye33XqmorMyw0IQc + TgnvPotViDOG9dkGcfYY4g/d8MvP/PKnXSbV+kA6IVFmYuNFc1E3agAAIABJREFUq2Dk6VRuqxjj + rJ2urqzNZrOSx7x///719fWu65gZW8K0zhzAXKqiAChTPZdJ2ETb29ubAWYIiIrAiDEGzYlIBQCI + cNpkoZ0yrkOeTUUqVbRANZKNWQaV84ntISp7ZiOiUiamhEPHGJm5ZFqLSMmK3u72eaSVt68savk8 + XERzdJ1zzjnnnDuDcgZR+tO6GFNKd9111zvffVNVhTYmkLGIpowtnSRLKemhQwf+43/62enmZGVl + JRBPJ5O6rs++H++c6NZrN4szIC53SggpJQVWxivrk03A0jlkWpsByNkYIGBz2r797W//gde+Fmal + 8335urvousUFxQYlDAaDruui4eOf+Mcf+/GfAFNWYybKMBgzn3Kip/OLGmR40Qtf+MYfe8NApJtM + m1CNR8NSgbTve2au67r8utvOE51zzjnnnHPOOeecc84555xzzjnnnHPO7bCtgdZ2cq7fZDI5cODQ + 5nSmoO/+nu+95dOfMcJkMiuZfERU13Xf92Xuj9mDju0zs1JUs9xeEvG6js4555xz7tJRjnKZuRSQ + zzmXEOsywb/cw8yqeoaD511rWb2hbducs2daO+d2I+NTujgAAEo6aacV10IhK0A0Hqwki91m2wzX + LNcS+8P1Za/4p9917eHr3/XB/+9IvDViI3OPUlGKoDSf3TkcDCaTdry/iTFuHteVlUaknvHslv5j + /+WdP/OCL3vJ85/xzRszTps0Go+FiXqCsZLalicpUyNFty7hvGdmG9WvnHPnZC9l/DjnnHPOOeec + c865S0gIwczqui7lxWOMVVXtrUxrAETIGfvW1vt+muNoNOzaWSBKMYtACbwl5ODcyhSWOCjZUiCy + BDxJVSGnup0h90gRaDRnCT720TnnnHPOOefc3mMlUlYBEFHWBIDJkLVf30BOO5hASAARMXNJXdMS + qLadONXzxgjLfgUyhMwMFkLKWZiJEfsErtc5pNEYqRckQhKLhMwwKTGcOk+2thLmaIAp7AFSX8so + dFvePi0+wE6mf5YbBCyCJ00BBTKZAWTEsPJwBQykFJQ4EqlQ5sRsMNsQaqs6SV0bIRl2U4/IIKU7 + 3v++g9Slx1zZPOG6R19zTXX1VWgVTWMgAyvYiBQhMneJQLmyWGkCAiwDSQEG2HKTEmYbx//6rz/3 + h38cPvm5tfuOXjPt9jd17qcVo+v6poG2qAgUkCLAgDGfktqhJc3U5qNFFcZABtTYUtLBOETKx0nu + WVt59Dc+7/CrX3l8NNzgKrZ9MxrHmGtIzmnUDGfTSaiJoUGBRUiqAUp7dJjpfOoFsWUlItuhgG+j + +ReA+YvDsk9RsnL3GiWYMZi4CiBaNr0EMWwvgYaIQAQzEJmpGGCwlB/6L92uoWAjVSMyJuPlkH0C + GBSakLokGatC4z7tu/WuJ0XEzWOGTKBAbKYKNRAFtqyxTwFoAsM050wE4/neq+xNsWju5qn2AAAx + lBH5pywY6bxFxvxWjggVug5B0AzRRQBg0wDjbv1ajD7/wQ9+9ob/53H/+w+lfZWNVpAVgOjWJCQ9 + t2sMRtiyW6B5uw1wSuj7eNed1LVQBCI9JfXpLClIIwEEZURGx7xejTYOXvaUV3x79dIXtYdXPhai + DZuDWfrpTOphVs0ZYmxGagyiWoIQRWTNOc2PrIQrIYRZ36GqDFLVgzCoTsT2BOKVlx989A997+1v + qu77gz8abEz3zXRAIA6St719FBYqCTGRYbg5OXDffZ/8hRuu/5EfTqI9V6KsBLACMPBy3oVzBRHt + 37+/a3tVhFAR0cbGxhve8IZPfeoz5QFl3maZsXnmpxKRnHO52FruYeact7dLklDl3MNAQFIQUQgV + myp2YNdWEsi2Ki2hEdqYhtUgx1jalo1ZFqac7YHOGM6EiMoqL8Ohyz0xxlI4JoRQ5sSGEEr498Nf + rx20fPvK213WoqR0X+hFc84555xzbscQUTMc/OqNb1EgxzSPiFY9Q3/C+vr6r77lRikBxoABgkf8 + /HrrORg/0P3MtD7ZBCAsqrrd8xcAJczbCNlQMd/wy//9+77v+wIz+SnAAykfEVU1Jo35dT/8f2y0 + swxAkHV+hc9UUzl9ZiIiUyWAgad86ZP++y/9YiUh9n1NQqAc02bXNk0zHA5zzmbmmdbOOeecc845 + 55xzzjnnnHPOOeecc845t4dx0wzX19dJwhvf+Mb3v/8DZepwkBBzKvOS+r5vmqbrujKN68GeKOfc + NE2ZDVSGpXmmtXPOOeecuySJSJmOUVWViBBRCbEmohCCqqpqOSS+iObLq2o57MeiKhTzDpadc865 + nUTGDCgUKPUCzQihFlNTzaoKUiiYKcggdkkNTTWUlK1PX/0l/8uVl13x7vf/1ifv/bu+2kySM/cG + LdXoDNz1CSKbk9g0zWhVZv0MsecgkLYey003/87nbv/My7/2O59w9ZNmJzZCbEY2IgPAuZRzo1Kl + kEV5WVpQSUGlNJUqeG+WHHTuvNljMT/OOeecc84555xz7hKytRhcVVUXcEkuGOJU1aHq18l4ODJk + AKoWarEvHrlIO1CU8WQZyJwr4v0po9S4VyhUsCffBef2sBKQwMwxxhBCCU5YNsgppRACgK13Ouec + c865S0AZAaxqIqKqQThr3sv12k874S7RcWRANsnGmOeW7tjLlf6QnMvwazOYnUMM5COoRFArKcPU + FDCAxIgtGCXhOkGaEBTIVbx1/zjvH9QUQtIqx0atgdWaJKU66pggKVVADfQphQAQmJAUDDADghQh + gi5jWINKqiwtf5xcJMIyapsAIysZ4ZbMFACDAyY9DqyO2o1JHdBnUC2J641WYz1ox/W69mnEKfUj + kfVeZ+O1w/VAqDauTg2quMAGOR6497bZu++eirSGzNVwdf++w1fWhw4Mr726uuJQuPoKXHYQK2vN + YLgSKhiDRzABIgDkHsfvxxc+jzvuuOuDN29+4Qv9kXsPZd2HIFGNqM0ZZCBUBvQIBANyhjESgwxB + wQY225pprQSdZxwqEVgAoAGAcIwGX9i/ev33f/fhFz//2Gh4wqwmIeJOewiHHCuD9m1VUWQQEBSi + ZMRmUGIjGOmeivok4WzKXDEAQ+rjjmdmEFFd12BeNvhMnqe6wKSq9WC4qdkYKYOIzxyfs1V5XBkY + nVUlBKgCMDMmTn18xJbb7TwjJGbRk78qcRQoYSihm86aEERzb7NRnY2T6RS1wcBqZAoDEzJRhoJQ + BbAiJ2WCVEhAFzEWZJCaJYMRCKgIwtiaEksGLtnHWz6FBs3EmQxEYixQi6gIUKBFVRKylcAwAHl6 + RU9H3/Onm9Xqvh953WaYZqrrZmBdy4EiaWZtu251PE5xe/s7I4WxLgK5F8vGqloR8IXP15ONKuVA + IGbd5vEkQ1kpBZxIGK6wRj0ucv+hQ/uf+3XP+K5XT1b3T5uVjVBrM1DV1GlNdYxMFFA2FZERyIBk + AmKizGRAZmRCJERYqAUGQmblpCbghoYzMT6w71E/9K+y4a6b3htyN+g15S5sczqckRJJyikEpB5D + wnB9/d6/+gD+9K9GL/j6STNsJEy7lgdVb1msspRJsKsOOdz5UVVV13WqWjrbsTgdSCmJSM5WVRWz + pJR+8id/8qab/vC0P3/ITGsApRjK1g/wdjOtAeSUIAyoAXWgkpNtatihg5RlsvX8mwuIwQAJIcY+ + UBCueoAMmo1IzLa3CsvV3xoOXfR9j0VM+NYbu8pp7U9Zi4tljq5zzjnnnHOnMSIAIchkMhkNhiTc + xd6IjKhtu1/51RvL8fpDnrkY0MdMgOrJY+NyQH/ezq5POyifL7nOD9aTbvvkCwAL6ZZ1V9UjR46+ + 613v+paXvWy6OVkbr/R9T4SU0spoPJlMpNpbU8hVVUS6th0MBnVVTSaTum5IuI85mf3rf/dvb/6H + j1t5a0iATMKWs7CAKaVEZqZGgABXXXnZ2976ljoEUgtBSGGmBjRNgy2nh55p7ZxzzjnnnHPO7Vop + JWZ++DWCyyC6h7wCKyLLCXQ5Z2Y+bZ7dWVLVMg4ZADNvzS95RC1X84t/fvEWKAv5SC+Sc85ty7Jp + Kq0WFhOcF2Oh9Xw2qs4555xzzjnnnLskqWpdV23b1XWdkzFzzlZVkhQx6w3/7Rf+5/98JzDPtE45 + YTEvCUAJtytDH5c9rqW/Ytl9UR657LsgIu/HcM4555xzl55lXvVyQEW5zJdzXmZai0g5eBaR3Tm7 + /wGVOf5bB1T4+Ap36VnUPEQZHGWm5HXJLm5llBjINBGIzcggoFKtEQoDEQWqk7KR1CR5kh7fPPV7 + nv+YP7v59//s5nce47t1lWeaUorDUTOZthTIiBoZIKPNMwQNgXNMIaNLm9W+5h/WP3j3H97xvKe/ + 8Guv/4YmHbZpMhO1zMKqqllFKrbABrLShCqIATVSwMgU8KbVXSKYues65lKGsFQKIrvQrerempPs + nHPOOeecc84559ylxABIA5rK/v13H/3UQZJ9dR27jvoSb0DzUYrGXGIutj9AsaRNlF7Meb14gICs + uloNVibTm174whd+6GYEBK6yqZD36Tu3V5QIvWWJjZJsXYaGzGaz4XBYpnzHGOu69uEUzjnnnHPu + UkWGkt17cpibsULNDNk4KjSfHnz98KjqMq2BSwqC7rpBnZHBJWiTLJOSCSsTVGFS14g2axNAs9W1 + p73mOw/0EX2HlND1mHbY2MwnNtpjJ3RzcsenPjM9cmQwm66YjnOPtj0w5DjTKpCpqYEyEhCYh0Dq + lZddH7TowrDFr/PfaJ4BbgZoNoQaWWFAytg/DLONyXA8unc2tQOjIynlldXrnvGsK657HA7ux9WX + oSZAIRXA4EG+6lGbw7ESDGn3xEzWGZyxEiMhmgHa6v2b+MKRrgl3B9sYyHpFU4YyV8PRYDSsqrHx + mMNAkeNsM03XZTpZafu1Ph5MdmDWsaaaGJyjxkhQzUzzFNXyyV9YnvQZMO+XygwAUVFXYEMlkIpn + nbYRzQAzw5GYN6551Ff/+I+lZzzxzvEIzYDUkIygRjx/eoICyqoEBkTBi099eQTtum/AeaQqRDvY + CJQkcjq7FMz5n+xkC7f7McrweiIiAfDF3/1l7uZDMgCqMKMts252cmHdecJGMENiymwA2JC7VoyA + bEEBy2wWYEx9b0SQso8igEgJMJYgqY8GhADL6CLAGI8RJyAYmEOAMRlyTsgKYbCdbP3mNxa7vPIh + JKiBAWQiMuYtraUsuv2j5pXhuJ1NGrOrU3vXn/55fuKXXPPtr7hLdaObNQzAMhILV03Tp3QuY/pJ + bZ5szSBVYgBMFNRw+21rMTVln2y23U8/GYiNjfatje/tu2N1dfBZz3zGy1+GZz/zzkY2B8PGaoOI + mhjB2IjYOC9icTMZANESkZsx//IqGRupEWcCKRMgpooIIgKTIVFzhPOhhh7zL/63br098ScfGLST + QcUpq2yzNTQzXRxDBsbltfRHjt/yu7/3xOd8TZBZZ6xVMLYYY9DQ1E3K3TY3krsUdF3HzCXTukzC + LPfX9UDVmqZJSXOO/9f/+XNvf/vviGD7gdQXjcUe1tjAUClhYqRGBoDMaHl+5HHOzjnnnHPOXcxK + ucYy3MjM+q4LIZhwAn7tbW9dn2xa6eovyRM2vxTwkJa9eIZTryA8cj+BradoO9WPqrnUsgQRaTZh + iqq/9hv/46UvfeloZbw5nY4HQ5imlLquq+s62265eHF+MLOIrK6uTiYTVR2tjLuu76btYLzyW7/9 + W29+240EcKiypnIKnXNuqrqPPRQEBGFmTn1aWx295U1vfsJ1j019TF3XVLVqNjOIj39zzjnnnHPO + OecuAl3XhRDKpfZS3ZiIRGS7z1Nmw5WQ6fIMZ6iVnHMuo7+6rmuaJsYoItvNtAZQpukx83Ko8PkZ + VEZEOeflVjKzZZHo8Xg8mUzK/VvTu51zblcp85eXUdaqWnYEyxnQWFyDSCmd237BOeecc84555xz + e1wp8lBVVd/3BCGiuq4nk9lgNH7Pe97z8z//CwAIJCIpp7qqu9g/4PMsO2DLTLHSPVvCrc/r+jjn + nHPOObebiEjbtiGE8XgcYywp1xdRpvVSzrlcoCzlmi/04jjn3OnYGJjXO1LASMlK/SU1QialxYzN + kkxRCr0ADDABVZIKqLN8w5Nfev2jHv+bf37jreufHY0QQn/8/s2V/QNjin2e9RMRCg2nbLHLTUWV + SDeLiWK9Mrxn89Z3/M1v3nPf3c996guuGz8BbRWk7mMEeFiPUlKaV9ZSAEZWbpAx4JnWzj3iPNba + Oeecc84555xzzrmLFysYyrfPNr7kqqv42LGcohBYdrhge4kgosVtMtTCk8n08GBQHz+BvjVeU4Vn + 1jq3pzBzGSRR13Xf9yGEEqpRyoPGGJdFZstU8Au9vM4555xzzu0oWg56OyXB1Mqtkq6cMsWMvMOB + AWaWYwTAKAOXzewsUxvOEyUowYDaFMaZ1cAgNSDpPAuZwKjru7QdPvNLU451nyoFQyoEMRGjcTbV + dH1V4ciR9qP/cOef/cU9f/n+a0d27P4jI1BMBBBT4Eqsm6VEoRpYnpBV83CKsk3mW8ZoHttACk4l + 4pqUARiQAYUwxNDP0pCbe9t09PKrNx99xfXf9Px9z38exuO21yCNEZjJEGPOWSSH5kSmzRyrZsBJ + edfEWgMggDKBhYmYGGYKoz4eznIg50QUoWoGtMakMA41CWdFTinHVBsNIENQjlNBqDiYRs3KhKr0 + ES3W1cDlIy8KMSJDCRokKAyZkRgAmrrJfcyqBvSmCBgKpiTHDxycXHvts/71j8ZrrtlYXYngSgUx + KquyAQpCL4t4wrJqBiNWQ8lq3ZrqukcY5t8vKu2MaqmwRqCzjFI+SznnPZVWvU3MLMxMpGbgLY3w + Q4bT8OKfS+4O7GQbrrTYfbiLBBlCZgKMkASRYARWFssVODN6SmxZkHtVDsOc07gCVKFqZhlGRkJE + hNTHMngfCmLUgCr6CWoOppZVs5oSSMACCpSTAdiaVH2SoQS7lpDmTGxAJjKC6MnDhfJ3gbifTeoh + JjM0Ta7W7/38r7zt6dc8Zv/XP/tYTUg5iBFlQ66k6bv0cKo4Lr8dBoCFQCc+ectaijWMAFWlU9r7 + sxJVCUjrfbe678ve8Fp87bNw1VX3ppSapqYgmsh0pVclGEtiMktKWMxYAJbXPpabsexmYKxkBAMb + gZHEzAgMqiyQMcvKhgzrq1av/55/9dlP39d+6pOgPtj29kpkoDJpwwhsOYNTOpDw2X+45egf/ukV + L33RkVq6mlKOFQuMUtLddLjnzqtlxVUiKt3yzDzt2roedF0Urm74pV9605veAlzgTGsCzMBAmWBp + OxqcViZBlW9uaS9EQTQ/9YCVu3Ey2do555xzzjl30cqqQSTGXIdqHiRcSrqovuWtN6bSGQqCGXNQ + VZxxpOQD/BOdx59bl+TBruRsvxuWGJpReuSJJCO990/+5JZbPvX46x47aJoYIxNCCICeder3pcOy + xtyrSFVVIYQTG+uj4Xhl39p7//wvvv8HfyCEuks9wWBWDQaxbYmki0mIQwh97DWpQUeV/OJ//b+/ + 6iufNZtMBnVtzCmlYTOIfe+nnM4555xzzjnn3O4XY2yapgRRY5EJHUKIMZ7Ds5VM65Ivks94VZ6Z + S2ZqebCIqKptf5ZvicSeTqdN05QUk/MZI73MTVkWVhaRyWRSSkWXsO2qqnyqoHNuF0opicgyynrZ + FDPz1sRrIvIWzDnnnHPOOeecc+emruucc4p5bW3fbNapatunlbW1d7/7pte//seIoQqDaU4i8mCZ + 1gCWPa6l/3kymXjWnXPOOeecc+Vy3nLcwmAwKCnXF0uyNS0sh3n0fV/X9YVeLuecOyulqMtp9VrK + L2wMKlWPmLRimFiCBU6rT1j98u//5mvf9YHf/otP3JSH6cD4QMy5m0xCY80qslpOuQKCQDubahqP + qpS0a+NoMGzT5vvuuOnT93/0W77ilU+++isoVgHDcTjQbibOIDIKUEqAGSkgbAEqAEDZ68q4S8m8 + BuFu4iOrnHPOOeecc84555y7yNWDb33vH9/8VV91TU7DrLxIh2BDJgAslrcUJ9xepcJyRWEeULTM + XCFks3EQTf2hehWNZIAYZvCxkc7tHTnnEmjd9z0WoRoASrh1eUC5KhJC8BEVzjnnnHPuUsJ2ckQb + Q3WRbK0EwIhICWaGbJTPpSbdmV+xVFkCMwCosWEXzlRUYjHMI6NBgJIJgFBXUXNWIwlM0inZaHii + 0/FwZBkpm2USVExiLCDq+tmB6x594IpDj3vm0/GSb/zcm94CM7TtMCZJfbYsGhpUyRJSClTDbJFk + 94DBEQZSJQbUyGBgginIkDKqqonazZrq/pXRoZe8+Emv+Od4zOW3b5xo6wb7R7HNw6rJ/bSWJkIT + rG6GySAJgaCkuykAmEOooEiqBgAJABEFACk2iYCSo8kgAtRII2YZMAUzNTIgYutzQhqhgQA5ZqgB + gUEE1UUur5VMayrhqXSy7+iUEZ8GnrVJwBWTBW3JOkErg/ua0XUvefHjXvXKE+N689BqRCCSdtoN + miohZcoEgyEJjEAGNmIFwJnKawKL0FbeRRv/fDCad/ARlewWXkzJsOUDACid7M3bLjLkGL2n78Es + U0Xn2/pcn6SMJzWAiZWgMAqyx1JmLm5sUDADCjVCYgNQK7OpmrIwkVLgDDkW6J6D+3XWDQKTobzN + tNh/i2lFqEw5JcRWkjUEYdRgBTFLIApQhUZLOQMwBjLNm8eyJCUsWktDuQhXLscKmRhkaiTgxbLP + G2qzJCx9l+saZhhpOnDPPZ+58W3XXv/oy6+/7mg37YlCZZpMNddcKfRc2hVS2HwhdbkIRMc///lV + zVXOfK75Sgo0K6t5k7qwgn/2NdNHXX5fgo1WYFlUQWbWBzM1TkJK81Du8sU1gi4yqMsWK4vAMBjI + LIOSMBlEMyEZlFB2fLWh6ri+C92h/fse98M/9NGfeOO+I+vXDILO0rYaXgYZoGZMMCB2WAl6OenH + fut3n/PsZ4+uObRJRmp1VcWYVXP1MGLF3cVLRACklMysFFQt3e91PUhRh4Pxm9/85v/8n38+BJhR + zhfyqGh54sOlwvUj8yoKCKkComACoGYE7Mxpl3POOeecc243KLk4QlySh+pQZVWFfeCDf3vzx/9x + 3t9xzqcAF7DzbecyrU0BwAwExJwr4Zj1xre99Wd+6qcVFnMahMDMVkZw0d7qUCCiqqpms9l4PJ62 + s30HDpw4sX73Hbd9/w/+gAFd6hVgMwCxbSWEHBWAmqoqAxWzqv7sf/gP3/ziF6c+ViLdrF1dXY1d + P21nIQTbTVeDnHPOOeecc84594BKZCmAkr5sZsx8DpnWRGRmy0zrMmDsDEnVqhpCKOnXZaDvuQ3u + 7bqOmUejkaqWJ6mqqoSznsOznT0zE5Hl2plZifEu616mDZZ7yjZ5RBfGOefOwTKsupSJL3Oflz9L + K11K3pcmfRdOwXDOOeecc84559wul3NumoYpHzt27MCBQ7PZLMb8/vf/zetf/4amqdouNk2lihhj + zvkM8XvL+4mo6zoRKR3CJfrOOeecc865vakMuhCRuq5zzm3bEtHFkmmNxUCLtm2rqlJVZvbBFc65 + 3UnpZAXNZXFLIyWDkQEnS1oZgYyUUKpYkZVKgwQwKRoatRPeN7js5V/7quuf8IT/8d7/976NY8Zp + 39rKLG+0E1QVmoDcI2UMhsgtWIiTBFYS62gyyanrJze+95ef97QX/ZMv+7oqryKHldUDm8cm48Eg + a2cclTJQag8GUVbiRdC1c5cCM/NYa+ecc84555xzzjnn3E6yBKih5m5Uc0ZAtNxlhRBO5laUdOpy + 85w6KHmRjaG0CLpWk4HkWd92G4BG5BriUzid21OIqBT1OG06d7ktIiJSpnaXAOwLu7TOOeecc87t + NGWcDIfEliFu88RZIpiRPozI0wdSTr1zTCBmg6rCiJh32YgkFmUCyHg++I9UjMnQaYoWpakDB+36 + NVT1NDOvTKatMgPMEgySjSXBTMf1qLV4xyCsXrFy2b4nP/bJP3Xil9/2uXe8+7Gkq0YppxinlVRV + JtNIRKfGCWwZd1jeBlMQQLncUdL2iEGEPqKKudp36Ba0T3zl/7ryqldtrKxFpXrtCpJq2mYmMWYK + gwwNZJVmtG3FzNm6dlPqZle9AZpUASFSUjUzs1JJsZaArAY1QEuaJ8EAJRBDBGyW84wUBK7Aipll + A8BgBCipKsxgPB9gWv7DyTwOA+Yf+vIAUQBEqEM9iDrNahbknozw9Gc8/dtfjq/5qqNCdnj/JEat + iI0Gw0FMKQUGtMpQQi9QAgOsLAY27gUGyDyCVGVPhkgoAIICMAsh7GwLUIYUz8/0y5fFQPMhxyAD + 0zn2MV5Klh/++a9EZ/8mzIN0mUp6ipV4XVg2SPDxnBeZeY4SqRKMAWMFEzFYmIGUjSiS0KMeNfz2 + lzRtx9kCiIwpad/GfjrrpzObTY/ffrsdu384mR4e1PvNbDqpYq7YZjkypAILDNAKbGIgSqZGSPO9 + 27y9NUJiBhBUpXxzbb6EZeEAPTU/2gwqLKxkwIne6gqHa/zjxz8s73zndYdfGclOsGSIGThTHUJr + /ba2zyI2ekvStiEvdsrdkSNX5kTRiEoh4O0FSxkhMNrJpKW15vIrsHJgPQujjjOtgoBykghRNlaQ + EsxMjAEoSpC8Li6iKNl8t1I2J4BMUGKFiBkricHIFBqDwVQNKSqaKl5xGbS79lXfctt/e/PlXQ6m + pxx+nAVmiZqgCA1SB8s6aKdrt97R/fF7hy//RgkjkSYnqKamabREgLs9ptTILrMWS598SSXjUIvw + b/7m23/6p3+WGSnhwu6eCYDNY+OXJV/ZYFvaHSWgtJzbX9KTR5jzC6CLiHoDkRHIzDKQyz+d+3o4 + 55xzzjnnLjxmXibl1BKMqfSC/sqv/IotOzhgIMz7Rb9ICB4BAAAgAElEQVS4Q2HrWcH5H9BofPo9 + 5ULJDp2rlExrkhJrzaaasgn4N37jN/7dv/m30DQejgSIMRJURHSPnSMN6mYymVR1FXNS4Pj6idA0 + 3/N933vrnXdkoG4Gs67N2epm2HddybSeR4DnFIhM9d+/8Y2v+a5/0W5OVlZGOSauw2SyUVUV2BR5 + 67VB55xzzjnnnHPO7U7lmrWIlFlvzFyiTFW3N6hjGWi9zHJ+yD9ZTq8rDyaiEkayrdctPWNcRgGF + oKoln3VbT3IOyvqmlMprVVUVYyxbwMzKr+WRJSn8kV4e55zbLlUtDVRpx4hoa7J1aZyrqjKzlNIu + m3zhnHPOOeecc865iwMRzWaz4WCcUjpx4kQI9dGj97/uda/b3JwCCCF0XQRQ16GPKemDxu+VHtfS + TVHGTIqI97s655xzzjlXjorX19eHwyGAqqr6fntFTi6s5VXIElDpsdbOud3JSLdOkeN5OaZCyzTI + rZMyS8E5NpApACNkMAgVoaFao9AGP/XA1171rV/yjr/49Y/f/aGjx+4c7WsaU221GVcTns0SAFQV + 4qyvlJlDr9OZKoawhk7ke37rr9760S987BXf8J3NeDQ5Njt44NBsOhVWUF4sWgDUZ/a5S9LWUUwG + uxCTok/nZRCdc84555xzzjnnnLtYEVALQDWE0rBOJ2ZQzQqR08ognntHZF701S8zJwxQgJhms34Y + cNmhfUhJILwb+judc+dRCbEuFSsANE3TdV35pzLTO6UUQgAgIm3bDgaDC7m4zjnnnHPOPTLIwNAS + 0GgEI9DJIDfinT5XJoOZlfHWZlaC4pgo76bKSmxQEC/G/xkZWUkmLlmPRmzEWXMK0qTJTAJVVZ2Z + lAVERgw1hpGaGHU552F9f58TN4eJ9r36Ox5ThyO//ushx0FTx65nJApkSfn0WGsAUAIbQKckay4Z + ISVUA66iRVR3Jz38wq9becVLj46boyRBtZEq1PVA8yR2bTdbGYz66SxDJVDKuVIdC1VVNTXNtIvG + OyoSgwFiZGWArGIiIk2ZyGTx5pipZiQDC1RBJat4HsugBC0ZIQywUMrIBgAhsM5zMxS2DBakrZnW + KH1KxvPNXtG9/fHZYNCNhzh4+ZOf/wJ804txzRX3oM8HV3tom6Mwmdms60eDISwCXL5cogyGKMiY + bZlFCiNl00oVgNLeSvnUxTBEIgJRVVXL8MidwobURzzU07LN8yn3oDKBBIAANG/ueLtxtsuNR0TZ + 1Iiqpt7RxXSPuHkQMqsRyBgGNgI4MxuBTMUoA6Mrrrr+ZS87NBrmtmMQlQ+OMtSQEnKGZWys4+67 + 9JZP3vPRj93z6U/b/cfr2O8LdRWzRq36FJQYimwKZcFy0P2pux9a3KfLJRSD0TLZeutjlQRtygMO + lFAjVcR5NruScfs7333d0556+JlP6YL0SQIHI00at3Vcw9BlbBIBYgCQyiKqYTrT6aROKQFftGRn + iwjRFMN6cPkBzDY3hzIar9XJcmoBAtgICi7h8TDMt5aVKGs2mrdh5b1bbDFepOdylee7t0wMgzF6 + AgyU0nA0aLU/2s34in37XvS8I3/8/vs/+omDnCWffTvACVqFOqTcqg0JEFjGIPXXxP4z73nPk1/8 + z1ZXRzO1aFkqIjZs48ndJaUUVAVQzgLquiaSLuY/+qM//tEf/QkiiIhqBiAsWfOFWk4zA4EAAdEj + c3DGBgUyAbAyiXP5QkpIgDHIyHOtnXPOOeecu6iFEPq+r6uKiMwsZyWmz9926++98/eFsazySMyW + H8bRvy16tXf85wO+1qnoTP94VojI1AxGzFAFcGKy+Wu/8evf993/0jSp5hjjyqDJMDLYnunFJcNs + czKoazBvzqYra2ttjq/5l9/zgb/7MAeJKc+6NoSQklpJkyJiZs2Zy2Y0e/UrX/n6172um0z3ra6s + nzhBRKtra7PZjIhK2Mk2U6icc84555xzzjl3ARDR1vAPVSWiMvdtu5bj9MwshFDyRR4spro8YDm9 + rsyt226mNbbEaQ8Gg7ZtSyBrCTU5h1XYrmWYSsm0Lluy5Gov7yk3zsPCOOfctpQmS1VLy19q3DNz + 3/eliS4/S9t+3tpV55xzzjnnnHPOXWKYuW3blZW1tu3vu+++V7/61XfddaSuQ0pp2REdY2IhffAh + jjFGAMsO5DJJvPTEPvJr4Jxzzjnn3C5VBkioatM0ZajG8krfhV60sxJCiDGWUR8hhFKYaMdLQjnn + 3MOnhFKZio3J5gPtyv9p+TGvvlSKR7Iu/5HUSAEoAoCYjcyIZCz7+k1csfroVz//Ne/7x+ve+/fv + vnf9rkFopJb71+/XIY3WsDmxIRC05GbnDB2vSKR8//Fu/5CaK0ef3fjEL/72zz//mS/56qd8/YlW + h83QEosJIMsFzBJPLqZzly7CA9RTPZ881to555xzzjnnnHPOuYuWgQwgBtAyqUGzKhAEloFFrows + S7qfHnHxEJSQGABCBtu8nKKUuookTZ1Bdvfd9zwuMJcko6RU7Xhil3Nul0oplboeVVWllLquKxU3 + APR9vyxUUVWVZ1o755xzzrlLDwNkJ0PUyFDG5hGRluhEElAQZhDtYGAAEeWc27ZFygwiIoBsN2Va + A1CCGSu0rHkZG5VYQcoNhwzr2qidUaUNqwyIDJEomknOyEbKBAaxUFakbtaEUTNYXT9+nPcf4uHq + Za/6tv6jH5p96GauWU00q8w3BRvNY/xsuSQ0T/TkEj1rmL8fZgZVQwI4SeY602hzPH7Kd35796jL + JlNeWxkj5mk3mXQzBIhgECqatcEo143WkgZVjD36JBYhu2qaqIZQQ5NpzgYFCIhkRCYEMyRTUpSM + z8BoAiNDVc0gAgSGqSnUIAFQmMKyouRbI4gxaa+EzCBTnn8RuGRa6yLiXQEQRCkTWp62l43uuvyy + y57z3Me//DtwxTVHN2egYHWwPs/66cpoCDVTCw1B+1rJiDPBCE3i8uwwznxyPGlmQKHzUbHb7fS6 + iCkBBIVR+eIThRBO/tNiEO7DZGY559L3WPIj3RKDoKaqZsZluvhZtMGLlNwt9ywmnBsAghlIODS1 + d65eRAhgAwgZVm6zUpXZCBO0zDwMMpRhmiQiy4P992VIM8yqEapQJhPiAdVBqO87Oji0xxyun/WU + q+hbr9qM+ZNfOPHxj372D35nfPz+6vhsnNMB4mBCIGiCgmgePS9WcpsBI1IGmJFomWxNi+sIp36V + CciEqKgbnnRpHJpxM9qcra80g9XN6ZWf+dz6775j7XFXN6NrkBpQMOna3Attb383b5oNi51FuaIB + AO3xE7FrofOPvKox8bZGU5NBM0Roymnt8v1YbZqVaiNOuqh1HYJxnQKTKSETl51Y2VuIaUm2BlQJ + gBkpFl/lksAdlAElY2XthQGIiRr6AEBXqqqdrivycFid6Kb7Du9/wiu/4+Y7/uvg+L1NjtvaRJoT + ao5tZoUBjWAkZJP1ez//+f4jHz/w3Of0AAuLIMaWy67Y7THMnHMGoKql/jUzr69vfOjDf/+GN/yY + COVsMWYmVoMZnTJX6DwilK/T4tednmCpBF48edmr6uIg3wwg0xL8TjAy6IWdneGcc84555x7WMoJ + hZmJiKZMwjGlt771rUlhAYtuUTZVInng6yNffEZiW0+oy9kDzB6xn6dHXZ/+6rz1l3PYRIxS79LM + SkoHEVVc33DDDa9+5XcGISGICBFpzrQzfcYXjVAxSJnDYDBo++4Xbvil3/m93w+1zPrMQjlbSgng + GGMpcqSLk+5GwvOf85z/8nM/106nK6PxbDod1k0IYTadrqysqOqsa5umudDr55xzzjnnnHPOuYdW + +pdyzsuc6TLZ7RwuZBNR6UNYBmOfIaa6PKDrOhEptZXLPLvtvm4pcwygbVsRqesawHnIXhWRkgVb + gmDL65aJgWXmYMlTWUZce7yKc263SSmVSc1f3G6XprjcU257prVzzjnnnHPOOefOgXDV930I1fHj + x4nkta997ec+dxszuj6JCJCZWSqOfRLmMjjtAYUQZrMZgJxz6dBY9sE655xzzjm3Z5VM63K9L+e8 + HP5xoZfrbHVdV+b4FDlnZvZYa+fcbqOEEk19MtDaSsz1otBfeZQxoGwMMIEMUAagoIxScctYqmbW + dWtrKyc2jtdVhRkG8crnXvvNj73ySe94329/6u5PROmVkNVqaoZ1J0DsoaQVS+4R13Nd4cBA2pST + TJoV5PaO3/nrt95x5DPf+JUvPRSuGtN+SkNRAThzNu4zz1CewLz+krt0LGdJX/A06yWPtXbOOeec + c84555xz7iJmCURAPdqwCoMKbVfXoe9TxQDASDAGzKjEFW3XvLLiItPasLjkEC3XgWObrzq0hlkX + mhlkQME79J3bQ0IIpcZHKfTJzKXWRiksW0ZRlGTrwWBQhlBf6EV2zjnnnHNuxy1DSZlsEeULAOCS + NklsLIpMdEqa6VmyRSidEtgUgBFMFe0MMYlBKETS3RZrDQCksJKwySVBIrMCmM2mg7qSqjIl5TCL + rTBisooCE4sQkRlAmkE5g2G6f/++tu0m65PR2v6jXdeRrV128Ipv+eefu/XW/uixcUYwkBipGU5m + WuuWEd1GWHaKsJEoA2V7spoOm6bt89SsXxkfetZX4nGPvTOmergy3VwXkXpYq/YKZaLYdUFl0Ayn + sEnbWsWBQxYVyK4K/TVCp70SNCAQ1WZsyCVOQ0tHjyxH8EezEJUgVnqQsgFakrBFAIUtxrgFDgBD + Nee+DJhXwHgee1w++2WwaSbqmGcVdyKZeBYQrj74+Od9/bUv+EZc/Zg7pZnWPLjicGpnAZa6OBgM + U0wBTKoKIhhbwDxnhOcxpDgZmI2yHKagXbXhzycGDKSZARGECmwg5ZNJlic31zm0PAADZJoAWoSs + ZDIyYsxDrpWQACgCbD5Mec8xW2xlpnkc7vx/esqMEgK2zpA5mTS8SLhhhQoUQGJBXZ+vFXA7hHR5 + MMCmPH+LbTRaaftZ28e6qnLOXNU0GmxMp4ECKsoBygbLgE5VzSwPK2OKzFCtMlaq8cpXHDr45Cce + fNk34O8/cuQv33/P3338+J33rs76sWGgUmUrBwbAMjFaAS6fJQMyERuDFATQsr1kYDG1AABBGG2v + 42aYug5Jx1QjpqFhDfjYH/3BU1/2ggP7DhyTwUxAdQBltZOxsg/NeLF3YDJkUiM2gigGKeXjxyhG + yyCCEdQgAkvb2/xCSLCukn0H91nsUm4gzagZ5JyRBQCpQk5b4tKsaWkeGQBBF0dxW69wkIFNzWAE + A29d8a6bMeXRoImp6xTT4Wj07K+0L/vS9b85tho7sfLkfLIFoPkGWS5DmdBRST1JfUUIDGYgQxXI + OgQdRv7kTe956pd/xfjg5RMmgpkZ+4S1PaxUymbmEMJ02n76c5/9wR/8wclkxsSACUvW3NTDru8u + 9IKCDQKIqVle7hPZDNjSgGz/4IGXUzC22Hqcr7Q7ZmY455xzzjnnHrasiYWIAKIMa+rqxInJr954 + Y6h4Fss5NQwGgzAnO7U8zYOeGJTTEN7ae0eP1M/yEqVjhBddDvOfpw2vnPclnWl7PIByUaiq69TH + nDMByXLO+dbbbvvbj/zdV375M5qmEeK+75hLWYk9ROpqOp0Gopjzn//1X/7UT/0MMWZ9BpCzASgX + osrYNiIyyyISwF/+9Ke9+c1vYuaS0gSgGg5PHD06GI8055Rz0zQ5Z95jMeHOOeecc84559zFyMzK + qNpSGrjrOiIqvQHbeh4iKn9SUpxLreEzPEl5iaZpSp3iMs+Omc+QhP2AymuVvyqz81JKWwsfP0JK + bejy0iXTOudcYlSWKdfLe3ySoHNuFwohlF1AmeO8nOZMRGUGdLlHdVdOvnDOOeecc84559zFoO+T + 1A2UjORHXv/6D9/8UQBmYObSe0xEsU8gpHimHulSpQ2Y/6GIlJ4NT7xzzjnnnHN72TLTenlpz8y2 + O+jiAloe3gNo23YwGJRLk36c75zb5ZRsWQ2GrORRlCKNp41YUyPQlgmRpeD8sePHq1qEuKJaJ3ml + OXzdIHz3i37gvX//nvd+5A9WatUQN44dlxrJEAKZoUu5CiJSabLURw6AoE2TqgZV8a8+fdMdR77w + 4n/y8qde/VUDhJCGsqiVBSSQGQWdR26fDOc2wpYKoMBizqbSdoplOecAeKy1c84555xzzjnnnLt4 + LS/XLedF77nLdYR5cFLYd7za39txDgqrDImMABMkJSgtqybaaQXfz4wNIQtABAN0Hm1t/z979x5l + WVbXCf77++29zzn3xiNf9YQqCqQKBJSnCijy0NaehYra+ABFbLEHhkZanLF7ZnUv17KdtVyMOk7P + 4AvwAYrS7aAy7fQICKKND5RHg6AUglpAUVVQj8yMiHvvOWfv/fvNH/vekzezMqMyIh+RkfH7rFhR + kVE3zj333HPPYz9+X4J67yXHHozRrPsvz/nGb3r3f8WxAG/tjcYcOGVE9VDyo3xfHvzhvYeVqzDG + GGOMueoMFd9K/TKiA5chRsqsUsbiZTiAS3YjseYcvcKpQLRZX5f77onATnNKSUvWqdOSRrkYxpdU + K++6L3wBKi5RH9WthLafOfJXzsg5VhECA5m5DFmkRRBd5RrkRcRrFiaQgBiiIoCKACAC4BQOALFr + +x7kQnCp62uiiv2k1/orv/r4be86cuID1+bIjJJxzKfyIhiAK0Hg81zJEgouUC7plqWtwxNy6rxD + 7/znxvzUZz4dwTMoSuLgFBpTImI3X6IT5pl0ADdMKkoQgksQxSWvGHj+ImOrQhr5rWlaC814M61x + UJk5YoIkoggVSU6JaT50LCGDwUTQRUz1PIAT0EVbkmYgK0pyMikpmBJpZgCoMzk4SpyVYqi3mvAZ + L1vH1m/+6qfe9uyvDU/4cq3qia+mPmTnHGlMU3JIJHAOGUwMZTCgLIAsBYULQdxiZQDCPL2VSzYi + iSiuqO1/qbECGkpBtQwFsz+8Jg4i6pbb/YZ3cOfPABApEBNi53idSJGnXI1yDkIMiozM1At8Jg91 + DAD7ZhLIBRMRhec0nQXinJIQQcWRg0IJmQSExXFmrhwsoMwgApcsTyJkBQePlFTEed4k9WuHQAdo + f97vFJB5Miuxlk+cJAcA0qZAznnXa+aGeyRJiSrOmJ+bVMpxjJQIRA4sgkoBOEeIjjdJZ75pcD0/ + /dnXftWzrj1x4uSf/vnf/8G76PZP39CnY0lSP208SxZR+IA+onIqWRQ5EgvIE4ILitgnVIv9TsEC + AmUGGFBFxZCuc3AKVhWoenDq05HG3f4bb/nS2x5XN+ONuhkF303bsPPdk5VJWAldkExgxUpM12q6 + 79N/N0Jgx12W4NipaNpZ7eD5u+B5k8Mjrn+41CuEUAG5m1VwpCBSIc2sAiEwFAwpcyQWsxXK6xGd + /9/hbZ1n7rJCCLQ4FbKiyvO/8KFOIimjCmsbxCfr/ste9pL/9pEPHp3qeuW0zQrPCAkT7+ZZU1AC + PCBlz1ECcl8Tq0ggUBwSypkCu8lW99GP4s47sbKCw4dVUyCHM+d7mKvKaafupdTnmGIzaja2pqPR + qBqNNyaTuz5/z/e/9Ac2NqYKZBUASTKAtp+dzxN570s61/DDRcGMJPOX0JCSdKGuu2nr/fzERuWg + BwbJrqb9KEBQZoVHLqlsAoKoYwZAovNHKby7mC9tXyizc0sv+TBZd69XyhhzvuwDuztEdK7D3fIv + D9wgImPMwXPGge7qOOhJilVV9X1fj8aqbhK733772+/b2Ijlpml+l60AskQAcB4iAHl2OfVNqHJM + ApnXHTh92cBla02Wc3w/U2AXJZdGeXYhp+S8zynhtIGesrhhBDC/94ldj8W/yqLbnH7hDa//9V/9 + lVnXjUJVevHyVXStsRzRraohhHY6G4/HOaZ5EHUIbUxhdTWl/IlPfeoHXvaDAuRTG54BgBhIWcQF + n2NyQCX5cY/70rf9x7eu1HXqewYUyuS7WdeMV6FQhSOvGZZpbYwxxhhjjDHGXPmWm8hijOUHVS35 + 0KUCsoiUHvPts66HHoeynIcslFwW1XXd8oMf8q/KmgyrvTw7D4u+4MuQaQ1geJbhh2EyYJm7vfyb + 4THLXTbn01U9PGaInt3mwRer/XOn/XHb9ENt/1clPfc8V6k8cqfPNYT17mjdsKutt/xc59/pNtQW + P8/l4/K+qGJH/YnlAcP7taOXdiXv59j55xeXaz9fXsKOHv/gFRvmPocQYozDYb+qqnJG2OkqGWOM + McYYY4wx5qqz3D4gwHxWV2mXqOt6MpkEX4cQNjc3x+NVAURZcv6pn/mZd7zrPWVwGvPQ1Mw5K8BQ + KcUNhlYR51wJtMOitaQ8JREN7a5XxxBQY4wxxhhjdq303w0Xximluq77vh/GTpQxGKW+8R4WEyAi + 730ZTFIu70Wk3BQMl/dN02BpcIUxV6wS7jDcuuacH7IOydCV7723OfL7ESsEJbUapQoTSk71/Oh7 + Wum/8l9d+kOGAMMQMkjq68oDINEEocqxYHVyaJzG3/qk73rUtY9+xwd//9MP/O2hlaNJJpk6jQpF + HVxWN+0yuUCOnXYcRRjZY6oTdwSfiX/za39099d86Tc+7ynfcqy6kWfVyNUBVZx2zbhpnRBxbqNT + bnwtKSfJrgqQVCpeYl7wTTKVMlN85dTnNOYMRFSOukRQVSr1mfaaxcwYY4wxxhhjjDHGmH1piLKO + MVZVlVLy3h+8YXlCThB71Cvf/853fuJpj9lKGLtchwapA4EWwU0KJgjrDrePcimYmAkg5XnReQZY + Uh8qTlGoT83JTUiGZsDvJDXbGGOMMcYYY4zZr4YxanLqNyRgoUSkpCAiOMrOgd0FFLkvsdAly7dM + hgSL+r7DdIZVJaJc8pyvhFFIS7hESgM4fTwfnx7HOPwvXYruW45sPJVRMTxekZhx9Oj4sY93H/ub + EE9KArsSEzpvCYlEZSmk8ziHU0skodNyD+AIvQCr4YHA7ktu7jK44rz0tDxvDFmsDwCI01P/uNIy + lVvvjjz1Se7pX5FPTu76zF333n4Hbc4ku9UmoG+hqmBHPFJUkl3qKaey+V0ZXgyAUDKTMU8ZB9Hw + Ayu4zZLgOkbvqXWaCQArXBaffVNff/11T/ryZz7zaXjCY3Bk9YTj6Xit47C0jiVl/NS/5ztGGQ96 + +ss59c9FwujpDuB4USZVKAMqjpQ9mkZJaCnQRM5soONdxE5L34EUEGhmBqkIscITYmluZKSsIwUL + 5QP3NohITJB5sUIFL0Jr5xvfLcI7h78oc82hp70XBAhYACcQ1cgurKxYrPX+Mn/jT5255oP1FynX + UCDTWT6Apz41i79dPgJmQnaYeX+/Uj0en8x9U1fHvu2bn/qcr8Wfvf8z73zPJz7y1zdU6+1s1kAZ + qhnV2PfTVPly1iMVUkGfIhyqClieMKKspChxT6d9eMu5lIHsA6o2p9v/Dh/52NGv/Yb7IbHtvHO8 + 8wj7kgmtQGJkghc4VRe7MJt4AdQBIrrLuGZVZKKWGUePJWVVJahTcXDlpZVQeYU6wfKn7/SD1plP + PvzfPLybC64swzlVVQHBEVxklw6v6y0Pe9gzvuL4e/8Ik7geQorKgPdVSn25UGGAFkHaWBwgGCLK + gCz1v1COqQq0Ppnho389fuxjVZKD5myHhwMqhCAiVVWlJExy/IGT3/Ed37G1NdvpubdUPwGQUgoh + qOrFnauZJQNl1pEgJ01Qpz54aF461Aw7sWKHHYq0OKhiPtkJZQnOV8g5adL5kYRwsV/avjDUtRGR + Mm/QZgAaY4wxxpj9yzuXcu+935xuNqPVmPU/vu3/jud6NJVGDkCRUvJAjj1w6g68dNDo4rFXoCy5 + 9qFLUUvABiGn5ENIMWLphWxPyw2Z4p1/+K7Pff7ORz38ptSnOoS+78mFh/rr/aqEjnRdF5xn5qaq + t9opN1WX09333PPd3/vimCQBzE5EBCU43EnOVVP3XZdzZoYT3HLzTW99y1uuO3q0bztVrataRDSf + udWH5iZjjDHGGGOMMcbsa6ULvu977/02mdaXQVmB0sGtqsNaHcAub2OMudRijCsrK5PJpIyh6vve + KsgbY4wxxhhjjDFmG6Wptu/78Xjczvqc86FDh9qY2rZfXTv087/082/6tbeURxLR2ZqaS8sDq0pZ + VMkJK/Fgs9nscr4WY4wxxhhj9pG2bUtt+ZIP3fd9XddlHEXOeV7kR/V8kncvHWaOMZaYbVUtq7Qn + a2LMhSuftRLoUG5v93Y8lbk8+MH1ps42Y05PfwxOL8Y4/H6xtHnpLCF4qX0M0we6L73mKTc+/+Y/ + +vAfvO+v302VkNewRn2OG1u5WcVodbyxNVtpRtRHhgDIDokhhMyzzA/88e3vuOOuf/iO573kprUv + ybNQ5+rwkSMbGxsZCIGb0Yombbu+8hyq0MeWmVnn1SxlXjOK5UDWKDTmAlmstTHGGGOMMcYYY4zZ + l6qqKiW5Qwgl0xqLXpCzulo7+RSgJgAZIzdZrWYnUXvWPjKBSmbDIl2J50lQO6irJ4TE8+AHBoLA + KUiFIUwMyWBIcKMjq2gn4CNJ1XKtjTHGGGOMMcYcHGWoHZ0ejkhEKiKqCEGCc8Rez4xPPB8EMGT4 + Q1IogQBWCTFhYwvXQZkkZT53e8hVKRNFooff+iX3giBIQEUl6JEgi2GPZ4Rhn7mFdEiUFAEDCqrW + 1nDjw/LpSbT70WaopzffduMLXuxWq5uFbu4FJ+774mc+Nbv37hN33JHvPzG5975074lqc7YS09i7 + cU5rAOeoSQCoouT0lnHzICpNcFlFFcoqxJ0b5bqJgTcob1bgY4dWbnn46OEPu+bWW488+lbc8kjU + 9VR0Si7XjQTfX6XtcntG1BGVdr/MqFdWIzEzdOdxs+fiQLHvy+coq3jmRePq6Qn0JPv987JL87xM + IdYyKFsXB52zHXCAMtBZ5yE6i4WgPJSIoBBCdjBOnpgAACAASURBVHTo0OGDuUXNWbHKyJP2U2TN + xPcx1UfXm2963i3Pe+ZNH/7Yx37zd5pP/P01W7NDnIlkc9qtVOh7MINVHRETRUVSMC0CnChDlQHR + EvYM0JAUW3ZSCBhEKhhVqO7fuufdf3LDM549WhkRUZeEeMcD9XXxFKQgAitIgSTdbAYszjUXtJko + M3DsqEAFxIszTpkvIZfmA+WcSympailtGWMkIlpfffg3PPfDH3z/qDu5DigykAERQmYo4DMzQPNr + PEDnW4ZJoMsffVWgcj6IfOpDH77t2184SqIOQnYyvcqd6w3u2ljXdV2PJpPJ8ZMnX/SiF21s7KZ2 + yfJ8yJJpPRqNuq4rWdcXzrFTQpbsAU9cBxWRlJJ3l/bEllN0xN47lLA6VWZm5gNY5rvMfcUi1w1A + CCHGc2b/GWOMMcYYc8VyzvVtbBoej8dt1//Vhz74lx/4wKn/XW4ydPgGqIAZkgl44Qu+/cse/wRo + LplApX3gjBsueuiQ6MtEVRX8k//bTw23ZkSkogDKbxSQ817bktvcx/S2t73tR//VD6ecGKGuRn2+ + eu6PlltHHLt21o7HYyLquk5Vu9gzc87Sxf77v/8H7vnCvRlgdlGyAlVVi2pKHQh91zGxiBDwyEfc + 9Hu/+7uPvPkRs9ksx7S2ttZPZyIS3Kmp9xZlbYwxxhhjjDHGXB1ExHs/pJmWbuU9LHM8PO/q6upk + Mun73jp5jTHmEgkhTCYTACVB6kJHLRpjjDHGGGOMMeZqVyYM9l1i8szsnGvbvk+ytrb2//zn//zT + P/2/E0EVTdO0bVvXddd1ABZTsE/FO5VW3zLlpwSDWSOwMcYYY4wx56KqTdMM/yxTRUrXXvnOzOW6 + ulT82Kv1zDk3TVPuGsqapJRKiYO9WiVjdq0Uz1HVqqrKx6pUq7hIZUjMQZRJou9E8kpzOKbktPrO + Z/7zx930Zb/z3rfe3f1D5yYzlrVrEGPe3Npca6o4m1bsgAoqlOBYAFEgc9Txxmc2PvJLv3PHf/eM + b/3qxz+P+0P3b6WaVo/6ldints0IxLXrtIPkEJyIZEImACAFK/vsAWSWknVtzBWoHIT3ei3OZLHW + xhhjjDHGGGOMMWa/Kv2Lqloyrdu2HQp2HxwESBb2DM6TLrmAmHPjGFkenGFNusMSeyQAC0FJZKlt + szTOp6jcoI39tO+wOoJmONpZbrYxxhhjjDHGGLM/yZl33JxJAahqCZnODHjCeKSKXUcklEBGLIVb + A3BZq6i4/wF/G8ixSHSODlTQoRKm0EOPuOmz0FiSfbGItVbFEGxwzhYKxSKEgAlJ4AO62B+56QY0 + Fbyq6r5u3Ujkt3xz42h8F2G2Xo1DTdet8a03HMntLcJoO0x6bLZ4YBP33ov778XG8dldd+lsNt2a + tJNp33V93+c+5py7WeucC3UVQggh+Lqq6xr16NhNj6KVNX/kEK6/Btdfi2vWsLaCUUi1v9+5mXO9 + q4Q91Ksysnq+gI+BORsiAkEJPVFz+FCn80xrXTo6sQ4hHzvb+AQCkLsWfUeiKsTex7z8uWCAZBi/ + e+GvZ38hgUpKfWCGZFU53wMwqeBUsrUCCi0TZgCAXM9+fPTIQTqcm4dCojmnHF125H2uwsSRMKpm + evirnvbkL3sS/r/3fvL33n7v5z53TDFuQttHzyACREiVnK/UZ005ggBmQAGSMuxewPODg5a9ev5R + VgLAWXIl7hr2d3z4I9fe+Znm1keDQzr1qN1ghSqoHEtEZpsTL6qqxKQ6D2ra6emXCFkpMePIEQFR + qQbBJHLaoemin9VLPxQRMbOqln9Omdaf9AQ8+ha0f59PTD2cIuaUfcCMAYUryeEQQElOrdaDr1sY + cCp11rs//Q+33X/fynjUOhcP1uWeOWU8HscYu9msa+OLX/ziz3/+iyFQzpp3eG2VUqqqqu/74efZ + bIbFHM4LRAqVLJinwwXvZdbDq3eO9dJeBDp2WBT7LudUERGRA1h8VkSccznnMiPXOWeVbowxxhhj + zD5Vil+klEIYA+mNb3xj+f3Z74sVILBCgZr9q1/1Q0958hNzHwkgZjyoNweQvWrPHOaWlyGv5ees + +hd/8Rfv/pM/rn3oUiTAhZBilKHZcNm2NzrOOck5ePfGN/zKv/wXL19tGk3Sxp7c1dmCq6p1Xatq + ub1dXV2dTqdVU3d9/7J/8YMf+fjHACiQRIhZVfq+Bwk759nFvmdWAm647tivv+lNN1x73Ww2I6LV + 1dXZZCIpr6+v92231y/RGGOMMcYYY4wxF9kQGl26Vuu6FpE97FclohJ5srW1VdK1D2BPtzHGXAbM + HGMMIXjvS5NySqnrurqu93rVjDHGGGOMMcYYc4UqiXSuCSml0Wg0mUyqqhmF+n3ve99rXvMa75mI + Ysxt25Zm3nMtpzRBDwl8ZQJUaam4bK/FGGOMMcaY/YKIcs5DjnUZ11Eqz5fp80OJnpzzUDnh8nPO + DXcBIpJScs5dgYGUxpwP7335lJUPVPkAiggOXkUxcxGppxTVJQ3caM/pge6xx578ihde/44P/t6H + 7vgzrjf62SyJrq+QdD0RhJyCoQwCiQCiLJnTDGm0Xk2m8ff+7Dc+9Y+feOE3vPSaQzdTwuT+zZFf + WR1XbW5n/dRX3ns/bdvK11qKfwJOCAraWRSHMWbOYq2NMcYYY4wxxhhjzL5U+hpLb0dKyXtf13Xp + a9zrVbu8FJoy6gAOK9Ef0qaLbXIIgFKJRwBOZdjsmFNAoLRUFJEUKqpCjgSqTF0/Q44gyQAtRbMY + Y4wxxhhjjDFXq3mQKwkAJ1yy2pSEFMQEUALBoT52KEN3EdMIACiBh6du6Ut8rFOMMvCFex2zzsMP + 3LkCHa5KmZCbCjfcEJuqa9klSQoVeCJeCtkt23zeJHL65hmif5mJRZGRQGsPuwGShOvFlt+vnEoj + CZLGdaVN2Or7Lrajse9kPGvTqKl87fkI080gCCh75JFz6PtxShAFCEQgQsnqLT+rlMhwMMN7JY4Z + fRbH7IKH4widqMio3sqph7KrPQfNcAAHjnlvpgFc5UgSae9odOTo/XA6j6NWJYDgLqA9UKEEpRix + uUnXA4AyIZfFzZMpZfnhBwkrQATWlFLFSgpVXYrOAeGcm0QBJajOBzszQRSkpZUbidAy8ZFDiQ5Y + +7bZlqrWo4qp6nppe/FVIK6mzrkbj5649wsPf9HzH/ucp376zW/5uz9+36MjjeJWw8oKUEyqmqMj + aogyVAl50XSvACCsTuAWIbAliBYAC0EJHpS6PGKtHjj5xY989OjDbjzhQxiNeuwsnrZ0UszPyICT + +XdkbbcmqyQKYfCDYp3PGyGraB0wrhMUTJLn0duyWCJfgqPU0D9VJueEEFR1hrR+7MjDn/e8zb/5 + zDq0YYLmpKjo1FGBIKeOoPPfMh60VQmQmCvNfnNrdvunVq+7Lla1OjpgR9yDhx70+VIGMO36EMJs + a+v7vu/77rjjTgAp7XJWY4yxzM9ULaev06LULgSV8yOgBDpx/wduu63OWbNqynCX9ro6S3bsvOOq + qj52/3169Fh5vgM483M4KA3/LHXP93atjDHGGGOM2QVV9d73fUqz2efvvvtd7/5DR5TLRf5whzG/ + 9J9/Z9bg3HO+5mue+sQvl5gqdoDknDC/WZljxe6bTS8Yne1nVbzi5S9/95/8camtI5JEE7twRqz1 + ea40gWLK99x/33ve+94XPP+biFWy7PfBnKU5tbxvy++e5Oy9jzF6730VNidbVdO0Kf77//Un/vA9 + 75XSEwQo1HsvUcjBuZD6GEttiqzXHFp78y//6uMf89i1tfUTDzxQ1fVsMhmNRpLybDZ78Djk5TUx + xhhjjDHGGGPMfrScaQ2g67q9XR9Vnc1mJWy79O32fT9kbxtjjLlYQghd18UYRcQ5JyIALNPaGGOM + McYYY4wxZ6eMEqeXpKpcjHE6bauqiTF/8lO3/8iP/EgZ34jFRJ6zZVrPp2oCCCGU7D3nXIxxmI14 + WV+RMcYYY4wx+4dz80kwQzGE4Z/DVHoics7tVab1sG5lgIdzbuiCJNrH9crMgSUizKyqZWdOKZWf + c7YJVGbXOPf9+sqh3Gk/7eqqZmW0uOnw6gue+ZJH3HDruz/w/x7v7tGmnWxM6jGqgC4CSoQMCEMA + iAoIEVDtqyZWHp984GM/99s/8/xnvfAJD3vqjdc8anZi4pMSK6fsuPJhzMyJs1ICCSkDgdRbqrXZ + dxS7rVl7UVmstTHGGGOMMcYYY4zZl0pfY9/3VVWVqtzDlPIDhl1VAcDWdMWvcDsJ7DQLCEKlAh+c + lJqMO1+0QgAHwSKbZUGI2LGLqT+0MlovLfSOM9RfAY2exhhjjDHGGGPM5SGYJyizIp9+QxxJxeva + jdf1RBcwROiMwZ0MiBdZEcw+f/eIVJGZ/RCSekBk5gjCqHLrK+nkCXYiEQRSVehZ42DPksFZ3i8n + 6hidwIXaX3M4eXRZ2PudhXZecSTHGbqJrpBoAGu9Mubab5w8uRqqBK8ICRRVE7K4TKRBHarg4IjV + kSdSEhJJpAxWUhZkEWRkIqeOO82+CjV7TpLaTlJmZheaDCDUnklEpM8QlYwkmWt3sPbRS4kUrFCi + LCqqvXdrx44q/OJodOGkBC6HJHjggXkIripASgKdx68qMZRL4+FB40iRU9/NVnU+1YTPGSvzoMM/ + iSyihUFgZSnJOooEaplx7Jh9WMwy59xk1jJJ3ayOfYhJcqcZ7ouzuHLNsTv76Rodu/V/evWjn/LU + D77prSsZ3WSymtMKO88Sswg0ELEgA0Ilkx0gQEEoe6DOk3QJAIQEcFAGsecMkUOa7/zzP7/x659X + u7CpsosLmlOJzgqQsIIhUG0n03UMU8h2v4kycTi8jsDDlZgSgeYJQ0ogxeJjdZYA6d0hojItp+Rb + O+eIqAXf78L1z3nufb/+u3Fz5pG9asWIAjBIl0OPZBGDdPqhW+ebixksaEQPC93z1x9/1DOfTtmR + DwcvpdcAADPHPv/wD//wxz/+SQDOUc7aNNWs3fFMS1Xt+37oSyWirusuVoVWTYmYyTGyenY5Zk+O + vFc9s+tWLuqZzrEDUcyiqsgZQEqpfDAv5tPsB2XGYPk558zMlmltjDHGGGP2KSLKIr4Koa7f/OY3 + d33KADPyOW7rCdCsGfnVr/ohJlLJfepCCI4ZgFu0ThDmzROX7YWcuZ4PKk+jqsx49rO+5nG33vaJ + T3+KQMQkKiJCzCo7a8cohS8l53EIr/v5n3vBN39zirmuqqtvSG0ZxerYtV1XNbWvQkqJnAPT//V/ + /vwvvvGXnXME6nOqmybmlBZBUKmPTGhCSH1cH49+5fVvfMZXfKWkdPz++1dGIwARSClBVK0Vxhhj + jDHGGGOMuXqV1pJS5rj8sFftJ957Zu77vkyLLmWOLdPaGGMuuq7ryhi/lFIZ+LfXa2SMMcYYY4wx + xpgrnXNuc3OzzCIMoZ7NZltb01e96ofuve8EgBBCCfoqOXbl+1mXU35f1/VkMikhfABSSt5bNIwx + xhhjjDFnoarlgrkM6hgCd4cpOcxcqnwws+xw3s3FUp63jDaZTCZlyMeerIkxF64Upih1SFS17N7W + q24uBCvWx+snjm9Uzo/GTY6p8t671eNfOH7t0Zufdev6o657zDv/4nf/+nN/ubaGtpv0BIRewE5B + wgCTgiFCYA94xKxtmh5bX7nv5F1vefcvP/Nxz/uGJ3/LDUdvSG3WGa2tHMmJpyeno/WVWdoCMSlY + uNR0UoKQ7c/minZlHnIvVjlLY4wxxhhjjDHGGGMut67rqqoSkb7vvfelJ4/OYa9X9tJRiGhMGK91 + rfRQr1QFJwQl6On5V7vIRGGIU5SvRQ6EKAFMMcYKqLu0cnwLs4io/hzhUcYYY4wxxhhjzFVGCEIM + MJRPzyksI4Q4E88crdxwnRDTzmMSlMpdvCzlL87vuVl0JHnrnnsgWSQR0UEb/6PALGeQGx06GhUl + 1Ns5N7wLVHIs6EEtIYt/zptNCKmEHwDCcIfWU1NFIvD+HimuBKoDxnXTVMgJfUSftI1NqBxUNSfK + mYUcceAQXOUDMbMPcF7JZUFK0sXcZxFQUghIiMHe+Ypd8MQ1EfqunU1mOaZR0PWVtNJ0jhO5rKp9 + Rp9dwoirpho1zWivN8nVSVWFkLx3h44oB4IrhwKhkjsN6Lx5cBecoIHggROsQqySQUQlkvaMRx6s + ow8AwAkQc5y1kiPRPI1Gz7all9tmGaAz8nQVxMol7laRQLEe4brr89XclG12SDmJG1dr43pVuxw3 + pyHl1RDGwTdETjgKx9X1TefoG577lT/1E198yhOOX3/DCfIzUVROPCIDrKLlomW+R0o5D0IBAQjK + 5fw4nDRLFpR33hPq1HZ/+0l87q4mUIe400OKLM65WPQ1UAmQAsW2JSJAHekQQb1TIlDnx0cPgyHs + VGmYkLZ8ALzo8wuYeeh1EpFcEG35Cjc+/PqnPfXEqOpLPrhHznACJ+XqQgBVKleSpx84FPPfs4AQ + GHWW1ZQf+Nu/RexdznAH8Ih7gClDWYiFOEV5zWte82d/9hcAiJCz1lXd7jzTOoQAoNRmzTmXwOOL + lWkNgJwHsaQMIiZqvIdoTmn5k30pJlIkSVANjokIzgFwzh3MmZ8l03qowA7gYG4HY4wxxhhzFVCw + CJj57i/c85bf+k0fggKEs1/fEuAJBDz+Mbc966uf0U0n4/HYs3NEmrNIFslavnLWLHv4JSmf8aVZ + cs6juv6eF383gODIEUMBZNV0PtuKlmK6T5W8lPyB//aRj37sY0oc01WYaU1KADE77wOzO3liY9J1 + FMLb3v72n3jtawWIWfqcQNR2bU6pHo8BlJjw2vu+jwS88Rd/6bnPehaLjOq6qaq+7SA6bkakYOaq + qpaf9Cz9TcYYY4wxxhhjjNmHSqcqFg0pIlJGfezV+qSU+r7Hoj6siFyZBQqNMWa/K8f/lFIIgZlL + 4fs9PP4bY4wxxhhjjDHmyrNczwEAuq47evQonO9Sjln7JP/8ZT/42c/e5T0DiDGW5tySpXeOTOv5 + MoloNpuVBooyq8syrY0xxhhjjDmrGCMRhRBKsG7btqXIPAAiquvaOZdzrut6bzv7yhT+spKlC3IP + V8aYC1Q+cYcOHcJir/be71VmvLk6ENBtTNeqqqk55UkrG61sqebD46PVbLU+cfSR1Zd9/z/54W97 + +kvX48Orfq0JDIZ6URKAITW0BjyAlECEjQnCan1SJt1qPx0ff98//sHr3/3aDx//0+lKFysIyMOT + xDibOvEu1y6NWAJDQClzVipLNubKVVoar6jRo3ZxY4wxxhhjjDHGGGP2JRGp61pEiKiqqpRSSbbW + c9jr9b1EGEQZRKFCko2maZv17L3EBEBKBT8A2Hl81kKJ5iLVkqmQucQtqEABkCC0+RY3+sNvfD6m + swC28n3GGGOMMcYYYw4EXQy5IRmyEpfr2ith5rk6eljY8SJu9nyXPYQdlqWdcbMtWmWJJ08g9T5n + fyBvxYUd2K2sH8qgnJQzHOi8m39kiOZVRRZ47/skbnUlVUHYQfd7UjhnYsQ8nfUpYVSNa1fFLnry + ClbiUvtQSViFU9YYS0KyqIogQxXsgq/qEZhBTgAFg0loXsaLRQIheOcYItKnmHPOJUlUFEDtg/c+ + 5tj3bc7nFb9hdkQ1q4oSsnNYHYv3ylX5BLAKX3BTqFMZKWRzwykYBJGSPkuqDAEgYCFeTKs+QMN2 + CeIA5JzbVpIQ4BgE6HYbgQGQlsAV8CLsOisgygqGKJBBfVPj6LFk81XMEudCG1PXxcBu1FRMmrsp + utbnhBSz6kS5P3r0+Hh08mE3PPcnfkyf/OUnrz12kjmLZoUq5h9aJVbHy1nr5VS4uJ4ZLmBYwYrA + LsWkQIjx0MmT+JuPU+zhnezkqmN4cLmqKR0NrCAICEh5OW2aCDuNdFcqL5DD4TU4iJtXHC7T0sqT + CsAAK5FezE/W8ERDei4RUagiV9M+X/PsZ99fh+ydKkTgAFZ2Wq4tRElKoPVZD9VKokDKJf87cztr + 77wTfY8+ZtEdbX9zlVD+8R//8Xe84z05o2kqVXjnu76jnff7lYIpzrkYo3OuzCW7mP2nIihFYBXI + IjGRahXmsdkXPV1+4F0AkLIMU+OI6ABOkxveyqEsTqnAvqcrZYwxxhhjzC6JiK9CF+N73vNH9584 + 2cUYfEiSz3UnJAoGXvaylzFz0zTTycQ5LnfuDCrKDw7kFr+5QjBIUv6eF714tW40S8qJmXdx0wdA + VUsYc8rCwK/82q+SYw7+as1jLkWI+hjr8SjU9R//1z95xSv/ByJidhlKzFoGuHrfTacA2BEBMaZA + +Pmf/dl/8nVfX1q/Y9d7dqW0qIiUu+ZzlBk1xhhjjDHGGGPM1aCkmZaxH8P3vQLAe18yrcvq0U4H + 0BhjjHkoZRQNEcUYU0o555xzGfhnjDHGGGOMMcYYc1be+8lkQkR1XaeUXvnKV37847fXdUhpnlRd + 2hb6vsdDtevmnMsDSjuwc87m+xhjjDHGGHNWIQRV7fu+XG83TZNSKoMrUkpd15Vuvq7rqqraw+vq + skplbVNKNtLD7Gsiwsxd1w17st20mgs3qhtSpNyDUlU775Rylj5Tz2M9VM1Wx/01X/ekb/rOr/uB + Rx9+SrV5KCT4PK95BUBJBFCgatC2OHSIu9h1aLdkM61009HxO7pP/vJ/ed0ffPjts5WtTTq5mU6u + rY0qJi/shZ04qIeWhR2s4njGXCxWA9EYY4wxxhhjjDHG7EvMXL6Xbg/v/V6v0R5QIEKzcx2AFffs + P33nP6xiizN7BuZBKUvhV7upGa+kSqpEQoiMTMikmUUdZ6gqKvB4a3akF/hgzfTGHChlOEWpiFrw + InhJVUu5TywGXlu5T2OMMcaYqxIf7OjNxZA1ABhikoloXvZI0TMdu/mmNgkoXKTnpFJ2P0i+77N3 + oOvHjvNBHNzMAIP9eG3VOReIPYCY3VIgpi6GE24f2OAcEZBTYu9Wjh2eSHR+Pqd0/xJwEg+/Ajcm + HidxKbHzTVRK7BKTOFICQbxKJanWTLoIZScCkTJlaJQs0BKvLoQMFVUhKBNYCWDJLkudZSxoRBtR + J8mREEtE7KnLIUqVk0tK+3uTXmlExDlHADN3OaMeH7rxxolmGYYCLu/2O9/4RATRRrR94LgTQUZd + NWd8LsoYYLqUKZVXJlY4FaQ03dhovCMRyZnZbZ80U9KsnYL1tGMSkSNIyuKrqsu5vvZarIwzHehz + q1kmhJSz8xV5ikgZERQ9pYpSRcKSnXPCvJl1y1XT1fX20OHH/dsf9V/zVSdXVpLzKWMU0GcIwymc + MKkjZV6ErBPyIvJ56VlJSAGa74hjxg1R7vnwR+vgYo676wI4lZk9/Cpr6lrNkQGI8jwPd8eI0GWp + j6wjuJSVmZ1zklKJfx6e9xIdqYb6wou6EhDl1tX48sfPbjy2JZkUJCBFyOSECaLlxDpfAD941Uoa + t3dQBQMVZBxb3P6JxlsNzatfzpmZCS4nFWI4n6L85E/+5G//9u+VB7Rtr0DMScFy7vPOcGvgnBt+ + JiJdxB6HEMpvhj7Wi8NxeSaUEx+IQZrSRVv+OagIVL077ey5ixvVMrmufE8pYRGQpqcnf6tq13UX + ssKXyPAWlx7z8s7qRYwtN8aYK1KpTVBOalgc/Uot8uExyydBY4wx+0VWYe/B/HO/8AuenQJdiuVa + t6prAM57KKDzC+AqcFO57/qu7yRClOQc55xFZN7oXfoK6Ar9Kueva48d+9YXfDMBvGj+hWInRRMY + YBC62BOzAAn4nd99+4mNjZgTEZWymCWtueQ27bu4DhEpFX+EIFAAqipQV4Ws8pcf+ODLX/HKThCh + SqRgERB5AI4IJdNalAEP/Ni/+7cvfclLHEGzeHYlWdwRe3blWVJKp4bA0UN0MxljjDHGGGOMMWYf + GfqFS/fB0LOwt2tV2rKw6OHdX/0afd+XQQgAvPfbr/zQkT3MBH/IjU9EpQdfVWOMdV3vYiWHGY4A + Ukpla28zzzHnPLyWYejF8DK3X9vzWZ+yAstdWttvt2GYR2nTKxuwDGm41IYVizEu5+48pPPcFMs7 + QwihJACdS9lcIpIWY1FKa+f5PNEZ6rqOMQ6lz89nPyw/DDvGNn+yX/Zz7PDzu7y25/Owne7nl8Hy + xOeUknNuz1fJXBT2PhpjjDHGGGOMuUBERERVVQ1xdCXQK4OEOCcluH/9r//N+9//VwC67lRbx9BG + JyLl/nSYqFWWiaXh7iWKrwzewxXQLm2MMcYYY8wVq1yfD//03pcramYuXX7lUvyyFTf23g+dv8M8 + 1nJh3/d9GYICQEQsCdjsUw8eenE+43+Gn4dbYGMGCnTSZs5ERMqc4RNxRiA4R1midxUlh83R0x7x + nJc+51Vff+u3rW1cux5Xq9g4Bbm+xyxRUscxoQoMOOdYIa4ScTFy7Kt+2my84+Nv+7X3/ofbZx/p + Dk830gTghplTKoWdojK4YmayvAxzZWPmruuIsHw01V1FyVxEVgPRGGOMMcYYY4wxxph9TQWaADQV + 6uoBz713KsIKADRPpFhEI+y2o0cICirNmaVUX87ZO88MIjRIVe5A0uZo8/+MOThK/3HXdVVVDaOo + sUhNqKpqXk5UZHmWuzHGGGOMMVcBWbq/JoWSDEXtVZXIMXnHPoego5FUzUxFd31PvjSMU4GUdBSI + YhtixBe+UGcJTPlgDmtWJWJVJSUCTh+RBZwWNrAYHzVvtmAWJp0HzRYCFnZCnImV931QrxBAOt9R + lQGQlpfMpEzi5z8svngeoykgIQggrMI6/2H4TosUDVIHZRbnhJywEzgBLx4glDIn4SyUM0dQ3kWy + sjkXJYFj0aSaiQjsQFxfe21X17mkSV6MANdAcDHr1qwMyj0V43HaW0kooawX+mz7CSk4CaZTTuIE + jqEKkTyMsF+0yp7jz4efFDw/ZRABSMmP2MdWzwAAIABJREFUx9U118JxPlAb1JyXcmgVoaQkmXNm + 1WEnU87EiX3r/fE6bDX+Ma9++eqzvvJzpGFEsYMDggMrCPM863IGVIYSCEKA0vw0MDyj5Fz2V5cx + atuNv/9HbG01wV/IgOPFOVcAQM+SB7Sb6oLKSg5NDeeUoEKsTHAAlKQs73Ke1Jl9riusrVzzpCf2 + PhCTCCpfLlQAKBZrBWx3tRFlPiWCJK70Pb54Hyex8otXvVKUtm3bpmlSSlB+3ete9+Y3v2WnyxkK + 45acbADe++0r/1405z6F7ZcYMGbu+957P1z8DAV2y3ci2l35YGOMMRdXqTOeUip90CJSSokNuQKq + OpQGsBnRxhizvyjBhbA52Xr/X/3V7X/3yU6ydwygpHqUK/O8uGJf/FJe8YpXrK6s9H1foo6zSqir + sy5frsDTgmTN8or//uUKVMHT4ky3iyWpiIg47713m+3sN37zt0odzBJJknMuMTzMPES/XPnK+DRV + 7bquvJzQ1FGyH9VVXW9Otj57553f873fe++J4+xZFDGLc65uGtUEIPURCk0KgSP8mx/9H3/k1f+q + 6zqI5pwl7mw77PveI2OMMcYYY4wxxpiLJ8ZYVdUQeFxanLaJGVbVpmmwGDUHoOu6bZZfSlGXIRAi + EkLoum6oFn3+SggNgDIeoGTMbDPPcQi7reu6RFwvBwafVVn+UK56+8zpUoYSi0gbLEaMnEsJby5R + 1uWRqrq79sOdGlasPF1poNvm8eWFl+AfbLszDP+37DZlbEbZnc71+GGa6jAMhpl3URbce991XQih + /O0wxmabPynv11CavGmabcZx7Zf9fKefX1zi/fwyyDmXTuShedzKyhtjjDHGGGOMMQaL5qwTJ07U + dT0ajUprhog450qDxmtf+9rf//0/KG0h2zSfDLXXSjuSqpYGxtKUVJoZh+YIG99ujDHGGGPMjpRJ + MUOHcunWvwxdkKXmgKoyc7l3cM6ViS1VVZVe2uEmYvv+VmOMOVAyQYmgzOqdVKSeFIAI9Uk7OKl8 + 7WLlN5pHjh7zTU950Yuf/UPH4m3V5lqjY0mxquEqdFEAbntpp1GSeAfHIFYwKOiMprPRxkfv+as3 + v+uX/vwf3yNHu4nfPDE77gKzk6Zi76jrZ6pqw0OM2QW7rDHGGGOMMcYYY4wxZr8iIIB9qROfBUmb + 0VqGJpn/X9blx+6mrF5Js2AtSyOnIAWpeu9TTnCui5E8RenBSZ1bpC0YYw6EMqKi7/ucc1VVOWci + KsVV27bFYhR1ecxer6wxxhhjjDEXGS2G3ShBSEBCipKtTKoAZyJaXXHHDk8d4k5G6JS4ZdbTbuSH + HwlKWbid9XfdU7VdBVJsVxnqKrZ9XbZ5QqeeuenLlnQKpyjTQamk83onBFV98J/sM5SYWtCMqQV1 + TJ1DdkhBUGVUiUN2TgJLyAiJ6kSBFF6kfDk9+1cQCfP/C2gFbaCVolJ4hc/kM3EmZBZhVcpKCZQY + EbRv4jH2C2Yeyv9BOamuPfKWSR0Sz9sDWUHKJTN1F+2BqtkRfB/bB44DxKAcxRPzUrufEun8EHiw + GgMJcClhc5NTYsnlGCRQDNPIz3IAIYAXobanNheRU2iZyJ5FxIfDj7xFmZPNVDELrCAkosjIjKyk + vdPW09RT66l3DGUCgoAgQtJ6Prm+Itdf8/BX/+DJxz/6BFc1gxI0ggCFCFjKQYIggBAUUGhkZAIW + 1x7KkqFwLAALGujGXXfm++9vRC88uUcISgLNy4sqH5BdLpzJrayAWUAAzloztHw+L8fRSnNknjXV + zU9/Rs8B8Gnp+ZVEFgni5WIP8w1S4n4ZyvNflgxgRqUYpdh99g6nYuO9r3qzLsas9XilS7kKzRve + 8IbXv/6Nw/QYXbodKGn351pOCGGIZC4XDDHGXZS73ZnzrqZS+hz5yosBK0WoSypqKYJcOjtyzqXI + bwhhmOw6mUz2dGWNMcbMyxB472OMpQBB6YweqsmnlEqZgNKFvdfra4wxZmeUqB6Nf+kNb0wKAFkJ + RCAQeV0c1ImIQQQEJsd4yUteAsA5V3oNFi2ooiTlvrvcVc0zrZUXnTB7/wWgDhUkP/mJT3zak57o + eV7acjh/nX4/uD0H5XkrpADAb731rV2MJesFiyo55edtYleuNJIyRMfjsXNu2s6a8Wjazjj4+04c + 7yQ9cGLjW7/9n53Y3FAg5/nNchbpummoKgIR4IBRYAe89MUv+nf/8//SzdrgHDOvrq5uE+9d9hzS + 076MMcYYY4wxxhhjzKDEDKvqclhsKeh8Lpubm6ratq2IDCHN51La+soCAZSY3tI3tAtl5uMwHmCb + +OScc3nSyWSiqrPZ7CGfNOdcVq80620/trm88JwzM5cn2tjYGLbhWcUYZ7PZsEplMMNO369dbLGN + jY3yQ2lxLav9kG9ZeS3lLd5m+ct7y/DI7WOYiagENpcewJ2+oiIuNZnqIuF4+7esvHARadtWF7vx + NvbFfr6Lz++l3s/PapunOKvt178s0DlXRlJZWXljjDHGGGOMMcYAUM1EeuTIka2trdL+A+fhfN+l + vktv/U//6Vff9CYFnGcFsgDgs87yGxpPSqxdXddd16nmlFIZ6F6aSspjLveLNMYYY4wxZp/r+56I + mLnrOu996bLsuu5SP2+pq1yu9kv3YukFDiFsbGyU35QL/hKAfanXxxhj9gUhKLzAKwK0fDmAhQQ+ + I8QsM9IY1GELvFkfy7d89c3/7JXf8hNPu+nrwmS8wiPpEBNcDfbkPVeVr0MdQIigHqyQmFzgaW7b + auu+6nO/+aev/8V3/PTd4R/Dw6pNmZDTvt9K8eTaihdioWAlm8y+cEW1GtpnxhhjjDHGGGOMMcaY + fUshfdSYHQCu4MZtr+qYKgBgYQJYGUNNxvI3OzEUl2eFU5CWpCfMujb4IDHXtc/aNSseEMC6UY05 + cIah0jHGuq7L6IqhUCwW1cOrqtqzVTTGGGOMMeYSUBKU/Gno6TGlpARNyDlnYTTj1Zse1tZeaDdD + dJRO+0EI3nOK8ATq2+nn7xq1yUl2u1r4vkdw3qtqCZNVCObvhQAqp8VUDBHkp7bkGcHhAvXen9ri + +5sSMtCDEqgXykJJqWwZIYAgpCjbZB7+jTPDNM5laCYqhnjOEtVZkFLJVIZ6KUEa5uJiElUHgiiA + 5MLoUTc/QCkxhsPRTsJOziZLnWXzC18EwKDhk8Jadh6SgzrskFWDar8xCQQV6CKOdxgTWj4I53Us + IVIokImQgElO19726KnnTFfHgchcDCQMZZXFcRsAZUJmjsyZ5rnU81MeITFa7+5IrX7JzU95xQ/c + t7I29SsUKgHmp0hKoDOicHX48+E3pEqOVVUUpPCeqhSnn7u7nnRednBoOXWyGL5BMpdPy/zy6UKO + VKQMENhVq6u9A5igSspEhMU1QAkfujxYAZGoebMKzWO/NK2ud4pSbRTQ8uMiwbpklp/lNZFy+V9E + IAKTrgEn77rLKTvyV2ASsLmIqqrKWQEEX7/1rW/96Z/+2Zzh3I5PCqUibfkgDHFl25SpvWhoOCme + SRcXnHIFn+JijCGEUoi5TGotceDDXFNVDSFMp1MAKysre72+xhhz0JUjc0qpFBzvuq4UHxeR8XgM + oJS8Z+bJZLJ9RXVjjDFXoBjjnXd9/h3vfGdV1wpkyQCIWUUAsHNQSM4KdSARff4//aePfMQt3XQ2 + qhuIkCKEkFI6VxvdldYP0Pc9KRj0qlf+y67rGLudgK4KIgAppSiZiG//+0//+fv/kn2IMTLzUBNT + VffR+bHEq5T0oMNHj25OtkAUJR+95tjn7777O7/7uz53910ZcMGJghyDFarsXOx6JnUAASnK93zn + C1/3f/yHbtZ65hwTgK2trWrUnP+aWLK1McYYY4wxxhhjzBlKUmzJrI0xbt/oVHrhARBRzrl0x2+/ + /LZtSzqvc67MWNxdnPPQ+z+k2G6zqs658oqcc+U7bTuir8ysJCIRcc4Ncc7bLF9EyjLLBqmqavt4 + 3bIFhlUqhbO3fdEXATOX2aDluYYXeK7HD7HHyy9w+4Qe51zJby5B3Q/54GHFyv7jvd9FAlDZhUq2 + UMnJbtv2If+k7D/Du7bNOJz9sp9jh5/fy7CfX1LLVea3/0QbY4wxxhhjjDHmoCkF02azmfd+dXW1 + JNJ1XRdC+NCHPvRjP/bvc0Zdh5SktHKcS4myLk0uRFQyrQF476uqGppfSqvU5ZjnZYwxxhhjzP/P + 3p1HyZJf9YH/3vv7/SJyqVfvvV6F1FJrMUgI9xjQgsZ4mMNYLDKGZgw6mkGYVhuklpGAOQZGPmOO + x8zBHoZFCLDNIiEGxNISSAghNoMFHnlmQIyQQMhopUESove3VVZmxO93750/fplR+Zaq7qp+W72+ + n5MqZderjIyMjIyM+C33ew1pmmY+n9c7w6zSy9D/2DTN0Hs79DVj7Tqi/k0N2L6C/aHOOXdVYWMy + hjEsKIKBjbgWXOr7RWAQREsZx9Hm+EjSBls8WRy7SW+540XffPvffelkfuOoG28gjEGUBUU1m/SS + e4OCgcTU9xoTTzdaS7odTm9PTv7ZQ3/0E7/+unff87uLo2dO6QOZ++nm9PTsdJe304j13HJbzl1d + zA4wDu7S8tMa55xzzjnnnHPOOecOMQ4phdQYkA2FiJtMtF2gBKrJ1o+1RZJqFlG91V8ZYTqemCgR + cl/Q4PTWCYhMlA9NvUPn3GNWSgEwlDo1s67r6niLOvG+DrCo462v7Ko655xzzjl3aSjDyJZxkkPQ + oCmZYRnrG8Pxpz9llmLe5wid9WvwlTpQzwhIAa3pwx/9OMCcNTz+6ugbEcw4RV3FQ9YQ3yEt8rzY + zvooABBe3lnbbAQghRiIzAx8uOtVrcd1G0EYhdEH66L1wXIowmKcQZlRbwJAiR/lDQCoZ3TKva1u + gLJpMESJUWKUlmRsNlbbKDRW7DVZ1+1XLa9GbBANxsYBtzzxTKLCjNWOrURKdLCAFiKC6Mgwe/Ah + 5BIMIQQTEM76WK2SKR9fY3YJaJT602caJgJMwASmIHaB7XB+uKfRToivmRlD6kEp8LbpkVufsmia + 4iM63VmUADYwQEZBOSon4aAMcGEujMIQVkAJ2qZRTu0npyN+/vOe+OVffu9oejqjCS2gIAGJkegq + VnmZrEyr2GuAjBnKUAbMjGqIkshG25y+51PTTtO+P/FcRynbKtG2pk2DiGq3w1Dy8oAR12wUmo2J + EGOV43v+ke9ypUFrZBUuXYy47obJU558JkZioCZak4KG9CMeTlTYdoJ+aRV3HZgAMkMEWmD7wYeA + WN/0y/NK3BXR9yVwIoRf/dVf/Z7v+deqACBits9Px5DNPNRZxira81Jbruoupx/rmdZX4eVL3UR1 + uwFo23bYaLVqcC0ZM5lMuq6rYeHOOeeuIDOrB+raYQ1AVWsF/+3tbREZKuBPp9Orbhqfc865RxJS + esMbf0pg867Teq1hZPWigghKRAHLi2Rj4JWvuCsYMQiqkgvUyGpOBq/drl6BGGpk+sIXvvAzbro5 + BsajK2dzgcsvM6LIvFOg5/Wvfz0z04qZ1XDri/0iLiETzV0/Ho9TSg899GDTNHHcpvHor++7/xvu + /Cf/5WMfVUCBPi/DWogIpCrSpoYUDExi+AcvfOH/8T3/xkSn4zGDmqZVtSxCtNMZNzSnr9+vapPO + 5Wpics4555xzzjnnnDscuq6r2cA1kTelNJvNahvUBaWUVLXOQ6xd83s3VZVSRqORqtZuIFWdzWY1 + 13m/6kLqk9Zn3Lv9rb6iYd1qr9NuCx/+si5zyEvebeE5Z2Zm5jrjcph0udvyh7EfWCXy7h2fs5v9 + brT6qLqGZlbXeY+4nfVU5vX84D2222w2SynVV1QfW+eiXtCwTKwik+vutF8hhNlsNuxUqjoajYY+ + x/MNcch1vzUzVU0p7bb8w7Kf7/fze6n3893stvzd7Lacutr1Tt2N+773fGvnnHPOOeecc84BiDEu + FovaTvLQQw/FGFNK4/H4ve9978tf/nJmmKLrMgA1WhuLeO64xKHS2sbGRinFTGrjhpnVzGyshr73 + fX955nk555xzzjl3zVDV8Xhce/rm87ldrqkx9ey9zsoBUHtUsaqRMh6P65+1bYtVtRPnnHMAgiEY + ATBAGEKQeowUarlpKFFWKb1oBpfUGPVnRoXtofGX/Fdf98qv+u5nHvl7o5PH+RRNKbQEVlEBLHFI + xEHEphtxdqbrF10TWghZ1K3xwx/Zeu/PvvuH3vknb5pvns6jfGI2G003NfaLfBLkVfHd1esAQ6Qu + g6t6SrZzzjnnnHPOOeecc+6RGACZ91DCuLnXegk0bjCUuWer9RsNOLfc3qN9Alq1/hvBuOZFLebb + MSaAYkSXbRICtg1zw64TeJ1z15oYYx05febMmXNmep88edLM6gALZo4x7jG93znnnHPOuUNuZ4gz + AQIzQgghxoaICuj40542C6QHHaFzzgDqLBYiYGiAez/8cfQSL5Slem2rTRNGoBhKbfEABKZ0Vlre + eRiAgvWcrAeCMZlZ4GsmH5wAhsVltnqdKGtUt5sShKGkSgqq20wBGBhWQ05ZaK+fNZFUyYysxrgr + GUgIygaGhroUI7IA8AGjld3uxMyYGAQzMjJi3HCcjh1Zj0Nei3g/4LMkQ96a4eQJQBOn5dhHUl41 + Mxrh8ZZpDYANEXTqwYfZEFavn5htn4G8RpCaF2xQhkVuN4/g+uN9ZCMf0ul2kDGMV19eTEDU5S3o + MkrH1v44by/G06OnM90f2+u/7uvuven6ct0NM+mNl0Hryxxr43qz5QNBhvqfZABBrZghBlagL9YA + Z/7qr1uN8TF/6I1gZGCmwMO0rANP0KofvTSeZFMlBFzRzw8psZppCclCvOHZzz7VBGuSGIYQa9Ay + UByAUU3mAqMeq5f/YwMhqJoZoEhSupOnUBSi18qJiruwFNsQwrve9a7XvOafd92yOT2GfVcizjmr + atu2XdcNH67L0D7/6HfPq3lPHmr7ViJSN52INE1TfxNjfDTxcs455y6pEELf9wCapsEqWgBArYce + Qhgq4A8V0p1zzh0WCszm23f/0lvqZTPXa30irAW3mNlwUv7sZz7rC573Ai0yHY9LnyOHEELXdYH4 + ar76GJChjQlA13VHppNv/KY7iyjTI5fXofPucwgAzIyYDeilEPHv/O7vfOQjH6lXNLVwT/3SPETJ + 1jUfZT7bDiEcP368qM677sSpk6969avf+6d/AgCBDGiaRmGmavWlGfrcExCBL3jOc3/y3//YdceO + aZ9nZ7aYuZRSSjn+hCec3jqz3/U5FPuVc84555xzzjnn3GXQtm2tGV3bmsxsOp3W+NsLms/nQ13p + rutyzuvR0eeLMfZ9X1u0ak/9dDqtScn7UpdQs2xrlG/f93vHDGMV+ktE9U7tjbqg4YF1jGV9+B7L + TynV7dZ1XU0+XiwWAHZbfn3tdRDIEPx8gHEg+91uAGqcT21xBZBz3iNup77kumLrpTZ3W/6wwwyP + zTnXuai7Lb/uD3XnGd7W/b4uVZ1Op8N7WveHPZLC6y5Xdx6sUq7n8/luyz8s+/l+P7/DAy/Rfv6I + z/so7bacuqp1gTU1vGma2uPsnHPOOeecc865x7mUkpmJyGKxuPHGG7uu29rauueee77t275tPi8q + ANC2bUpJRcLu7Ug1DxvA1taW2XIEe23nGY/HRLS1tVVnLR2g0cM555xzzrnHOSIys3rKPRqNRERV + 9+jnvVhq52/tJgZgZrqsA4JSSu1Ermpn66VeH+ecOyQUVAABCcgUpGBFhMUmtiaGrGxQLYu8vV1m + mTqmMgnphtH1i0+XW9tnvfKrvv3LP+/FN+pTwqlxmHND7ahtObGYZagQtmZlc7NtQpzP5sysJPPS + jW+KZ+IDv/m+X/nJX/vRj535EDZlpmem00nwYm7O7Z9/bJxzzjnnnHPOOeecO7QIFgJgqW3QBhzR + r/vD/1ASowdhJ8npMTYCCqEwCpMRG0EYwmibVnMvamQYBRxX+rkXfClOisdaO/e4UiMcxuNxKaUO + sKjTuUejUR1mUSec55wvw7AP55xzzjnnLj8yBZZRwdUqwJcDmMGiwFNv1VEjBxjZZuc8ZPWfBDNE + 2Pyhh/GJT0exx2ENfSMIKxIbwZgAWE3KrKHXF3jEWSO/z0m2Xgb0hhAUwN7Z2IeAgg0BlmAhSGpK + 05QYNUYJtIy45hpiDWM2Zqv7sBppjbu2PX8KQygKBUVQLJOuyQCYkiiJUVEuRJmRo+Vgwo+/8PVL + rc64qBtfQTgyPXrLE+Ui7bpmlgiswmoP3HtfnVrBZ31q1moOPs6OP2QgkRMP3F9K4cgEiNV55Ltu + /VWC7TqGMZiVWAhGKLAnPu1WjJo+8MV6H921wNgQDEEoCC13JDZE1Ua0UU2qq4jrGDQGZaj2p+dH + bao6lpuvf9Yrvv6TUbsUM4MArrflHhmUSBgGBEMwGJESGYPW9trld2uXuwcegmC/sdZ8oQ+AEsDM + zGBSWsXDH2iSFgFmFttGYUMhS1IbVn75Z5frSGXam4koOgrT2551ahRmbHm1qnXD1jt7n2yYmRmI + wID1Jc/myEIeyPg48J73vOfVr/4WVTVDSoFARcrqs7sPtCqSC4CZazP+pVnlJQN0dTv/nw6FodJu + jXmrQggxxlpiuP5TrbR7iOLfnHPuWlVrAZjZ1taWmW1vb9fe6pyzmdUOazMbCq9f6fV1zjm3P+94 + xzvuve9BAyYbk+XVvdk5zW817jpQuPNlL4s1xlkRKDCHAGaDiOCsNoFzrq3oqrlhsVhEBoNCCLff + fnuTGPRoLzp47XWtvvJsuGYJIQjwpje9Cas48BBCKaUWxzwsiCiEkFJaLBaLPmeR2KRXvPKu33rX + f0QgAYpYjLHP/TL7fPXVHwAC/s5tt73xDW84fuSIdLlNTQrRROvF3fzUmdFotP5cy96iCzm/jcs5 + 55xzzjnnnHPO1ZrRNTKWiPq+ryMbL2g8Hvd9XwNla8TL3mWma+5sXTJW3UN7LH839VEiklJq27aU + 0jTNHv1HdZXatq2FsNu2Xe972kNdt/rwOmbjgmo4tIjUtqnhzm6LBdD3fdu2qioiNfj5AONA9rvd + sKoGPtwZgoovqL7kGOOwKR5xc9UdZniL98jMBkBETdOUUmqGkIjUx+73ddVHDSHHqto0jdmuzX8x + RlVNKdWXFkLo+348Hu+2/MOyn2Ofn9/1DWiXYD/fzR7rv9v23G21678OIeIAmqbZ7/Kdc84555xz + zjl37dne3q7j00aj0Ww2qzXT7rzzzk/f+yBHNE0E0PVdzjKZHpFyztC+nfF7pZTaemYmIlIbW5h5 + qMm2sbFBRF3XpZRK8SqNzjnnnHPO7cPQ9VnPpW0VLH0Znrp204tICKFt27omtXpD7b4HUGsRHKB/ + 0znnrk2kxp2FOSgDhQ1sgS2QJkLKWbJKaGI7btOkjaNkAb31al1enDrWNNMFH50ffdHf/po7v/Q7 + nz593qY9RbdDzp1x33PJZNTG0SR0XZdzaRpugiUgANtbC22MbsD7HvrDf/tr3/t7H/61fnx6a2vG + ZcR6mGZTOnc18Fhr55xzzjnnnHPOOecONVVVKEDARosUBFif5EoAoGwY8iH2t3TaWYysYrrIUEpm + 5hi5L4CAOnlCStAClVq13tZuy//TZfX6nd875w65Ovl8mIsuInUiPYBaLmE0Gh26cqjOOeecc849 + ekbnhswxakWnYqZUNBtwyy3zY5t94OW/r/6+Fsc3gg0pkzvl8rleiSsBBKMavaAMpAApMEOjdmPW + 8pGPhVx2UhtX6c1KZ8UlLi/n1/7gkNNaOIuI1dZaLoa4atIatEwGXrZHrNohLpQGUd81MCvhGhkj + bjykl5IRGQVlNtTdby2VgRWkq33y0ed5G+p+i2FfXae0TDYkFDpgi5TblRKMtBahY46E0BFhOkmf + 8YRSI96XH3/baYnb71MAHAiGBujue2CchaQHC4bESgLAZIyaunvI3+LzDpurzw5Ay9/Xf1QCgin3 + i/zww+gyQmJAACMxqtnujOEnsGoPVWD1RhCUdRmuYjAzI/QBWwlHb30KQiwUlXxIp1vHa7dK64F2 + LSOZ638CSCFOxxNkXYAf2hxd90UvyE980my6mcPydGJ5qzv+al9dhk8bG0FXXxNkKApmatugUrA9 + Q7cgO0gnA0OXQc7GNakbgSQEXIS9XQFQYF0d7JRUqb4iDvXDR5BVutNjfrpHxsyAlsS49Wlbo3EP + ENVD9+ovdg4RqCncWDtMGDB8LzODCKYouYeUYOZHh2uYEn/wgx+8665X9n3peyVCzmIwPtDHpJbu + xSqq+TLEMNPqICUAGEbr3YCsZ3/6jGCPOV70En0caqHeWjhm+DmZTFJKRFT7Qbqu26Msr3POucuD + met1ca2eH2MkonpnsVjU+uO2VjH/Sq+vc865faHXv+GNBlCgra1tWw/8IADgEJYNAsBGO/76l/yP + KjnFuFgsQgg5515KHbN04cVffZfXTdPUijYmeuuTnvwPv/xFAfQIvTm2vOhaf5FSSkwJtuzmIOau + ZCL6+Tffvd13YmoEZu77PhBHPjRDuRaLRY0/SU2rMErNN9xx52//7rtq7IwBRFSkcAiou4daYCIg + Bjzj1qf8ws/93A3XXVeThzQXNsQYu64jIkBBtFuO9TmGDpRH+fd7oAudmwwNd84555xzzjnnnHOH + RW2GqtWZazd6jQemXdRZh7VlJoRQSmHmPcYz1IcMUxfrnd0WvgdmzjmHELquAxBjrIkyuz1vDXLu + uq5Oh6yxx8NwgvMBCCEQUSmldk7VJewmpVRjj2ueTb2zx0sbVqA+UX0Vez/FbttzXwDUOaFmVu/0 + fb938rSI1B2gboq6AXdbvpnVTr06NmMV+bNrAxkR9X0/jNyorcH1sQd4abW3sd4ZhtlckKrW8KH6 + LnddV1t0d1v4YdnP9/v5xSXez/fPwufcAAAgAElEQVR43n3ZbTlDkPmQYn6AD5FzzjnnnHPOOeeu + SaPRSGAiklXM6Mz2/J++8lWf+Kt7ATBT3xcAMSUA27MzMe067m7VwiNYa1QEMAx0B1DbxIZCbc45 + 55xzzrlHqXZlYtUTirWg60uqntvXHmoR6bqu9nvW8/96v85yHdbQOeccdiY+KrCcSlcnzZlZDE1K + SUzrQVWhufSxSQpEZhRprW3zZDS77jM3P/fOr/i2v/v0Fx7Tm+NW20pqCAb0fclZwEQRZtrnomoR + CIEo8KzM+KhtjU689T//wt2/9zP36yf6yayErKTCKixKCtKhGucux24dakGdXcxT6wvh1RxVI7UL + 1bR0bl+uwmoYV900bOecc84555xzzjnnHo3a1lZ/Xupq7FcxJRVmQgAiAwkWxZIJWJltWXWPIGuh + TfufyQlERTAIqxKiICpA2msx0wSwTcfp6HY+gXAGoTOoQRXIQB4yrgtQaj17FWiGKtQOeeaNc64O + nhh+1nIDQ6JDvRNj9IwH55xzzjl3TTKwIAgFMg66Og1Wi4GMSy6zCVOILWIafc5tfQoCUDPKUAWo + QSF0BCFWBEMwLHNTl5fy56QFE2o2cC+gAGKMitx0emv2x+9r27aXXIfKRdWgWgMdjaDESry6r0E1 + qrId+phhNpBKNDIN4KRiBCQm1rWK/8ZBh/dFhxDQmqYZ1odwGSDKIMCUYQS+6sZ37Q+j7i1qBCHU + F7XKXBCrO5qBAbJVrKBxzTStN3qknzXid4hBBWp29tptZ2EHSFV2j8BIKYCNE6feZNGkkzE94fkv + yCkKAE6mlAJYFQai/cWTGNWcZjZDW3T2kY9v5jKyHiTComzCaiDSxJoMrBcjxuMKIqAeFW15+K1x + 3UzG0QIbjBSMYMZFSGWkAsjpj3zoaLNRukzMkdBlWQ0vJlio4dU7Gbswqkf1IdmaSBGhHCwGjnMO + 949SeP7f2RKAfDq6O4cCyqZsy4Z2JRbmEiAMJdhqgHvdCVW1WNZEudF5xEnD87/hzk+H9gyQDRRR + lnumMYGhQRHW8nIUy6R6XUVfq1mfJaVw8v77QMb7P4UgIFhhFEM0pLagEcDQXHdDJ0rg+iwH2zgE + EFmTWlhgZjMRFiMNyklCEg4G4ZKDCdHZ6eCXgDGHUVEy9IiK4zePbrq1INaW0ZpyD13226zO8xSA + EKy+lYAwjEBqiUkKjGCBAWB+JqA/UKy4u2LIlt8pOzseKUgNEhMb0yL3xoFi4tR8/GP33HHHyxZd + FoUBastdov4XdvnoMfPQ9j600g+THoeys1hrtL+Er1cBoACgEka8MBAzhUYRYAEAA0YqrHqgT6Kt + xcNfIOWrbjXAYAc7/6ybqJYtrpsRq27oWmB3+MtaVdk559wVN3wPppTqd18toz8ajYY/wKq6+pVb + Teecc8A55/PLifzLG5PVKBTi0OcC4j94zx++788+oIBIPQ9nKTvXRBSDag4hmOmIw8tfdse0HSVi + VUkpZskhBTCyCoXV5dJa04eBV2tiV8nNCL0UcGSwZUkUX3HHNwWlADA4xqZWR4hNAq09buc1qC0H + YSqgJXeAYlUZ04De7MT2mTf90pt5NFKQiGxuHOkX22Sy6keoNwyb61Kr+8P6XlExSHIhA4NUCzNi + ZFWNTcoiSmQc+qJ3vfKbf/23frvUEalqHJIZMUUtBgObRoDEEnDrE5/09l95243XX19jZgAYkzGJ + SIyBCCCr41fXX/45t/V11vPW+dEgDmrLEc4MqpucQQQtuSOyGFlygWgkDqDIoSbfmFnf9/Wc5yos + TOCcc84555xzzjm33kFzzi8vqM46bNu2/mcNbtl7PEN9yLDYAw9+qCs5PPXe/f7r6zl0SO3x1MNL + rq9omF+5h7oCNc9meOBuhhWod+qKPeJTXBTDK6p3HnG8xNAxN7yiPfaHc/6pvkd79+sNK1A3wt4Z + 23sYoqOH1X7EPx5e0SNu/8Oyn+/383up9/NLbX1th5TuK7c6zjnnnHPOOeecu6xqQFFgVhEmYzLT + EhhMJpqLFSMgsCgU/O3f8Zo/ft+fqgGG3C9HbZU+r4/Qq2Pb6m9i3GnM6fu+ziMjCqpgjjlL/aeh + +au6/BvBOeecc865a0CdWBFjLKWcU4V+6P676P2AOWcAdU5K7bgvpaz3gZ7TAe3cNW89xL3u/4/j + VAi3G4IlWAPUY7KCsnE2zkaqEFEAxBzYCIpEjQkBQYwNjaA1aYJOR/noDbjlH73gH7/kv77j6emz + 29PTSZ9aQSLEgJxNDGGUlGMnQAgAl04SpdyXEqwc6/6vT/72T/y/3/+f7n9nOTKf66KEnEOnIWft + 2ZRFrOQAg4loVlKKpKQiGaSMZRnPZfEf0lrnig1BI2lkrVW/YLUUo3MHRURd19UjK9PVkuBwtayH + c84555xzzjnnnHP7QkR93w8TNc3scdqNQQQzEE7POwEjjjg0RIGMyJatf1bTipYDLA8imNWgIwBB + KSgMiC0AUDOay2L7zPbRaYtyBii10F997mUdRRrKKSoMQ6C197s655xzzjnnnDvkGMZszKta/0Sk + WixCAwjo1ebT6bHPftaWGnGQvm/QhBBKQVa0La0SrAGcU8T/wsmLBBKBCJLhWCknP/oxnD45MaqZ + lLa2kKFZAMAyb5WunYhhRg3n3tlKdfvX0X2r+zXs8twGCDYb/uYshz3ue0cd5agK1Izz4fcAlgmJ + pMstYHz+q2Z7hJ/LwOqB8bk3dykFZqipQlWJLLP148n4ybfMmC3FogLAtKa9BjU7wBBBMzMg5Cz3 + 3Yf5HKU30uUuU4c/2lkHmWvDzk5thHqUUDNTkAbixIGIghlOPNzOZpSFkAAmAjPqcNBlaq5xzQOu + zbFnHXlpuXgjMDjFVHrNIc2ObuCpT6bphgn8E+T2dk720VlIQ4p9KUKqrF3ueXMDT39GesYzdNQ2 + Y8x7EINSNJhYqacM61+Iw7Gd1r47iWrET4/SHSjZSOtzCAWAlynazDweG6XHXIdBQWq2vhStvSFk + HJSD1v4Rrd9dj+WZHo2izByZrDdBaiZPeBJC3NloFoCgYH00L1lt2flTt1Hf0zLe2B16zJxzVtVj + x471fa+qH/3oR1/5ylduzeZ9X3B2HPUesVUhBFWtfaMhBDMLIdQpkVeGQbMEACaLbns0DUWLllK/ + G5eHFzLUXkufv+Ccc84559zjW21hJiJVnUwmfd8vFovJkQ1j+sk3vHG90WOtnwMgslJG47GKtByK + yste+o819+e3kRwge/jKijGqqpkFxfOf97xnfeYzx7ElkIhwCABKzgAO0IpiwDzrm9/6y33JHMNi + sej7fsg1qRtKaef+5XHBBg5mjjEOMSpEVCsNMYdcSmjaPufv+M7X/MZv/5aCiCPAKbYqEmKsJVFS + iCYGoA248fjRn37jG59w400hhJMnT25sbDz21T7YJqrvLAAysCGsmt3MbLqxkXMWkfF4XN+FUkp9 + 1bPZrG3btm0XiwUzX8nrfeecc84555xzzjnnnHPOOeecc84555w75Obz+XQ6JaI6FquO6WqaRlWJ + CBRSav/lv/pX7/qPv7/Koua121lUtZQSQkgplVLq4DRVrdPB+r4fBgQOw+Gcc84555xzj8VQTqSe + eNc86eGsHoCIxBhr+YWL+Lxt29YaDvU/c85mVp/dOefcrpZFCMOqipqefVv/G2Y7a/6oEZSgxGwc + JcX5KG21/+2zv/Sbbn/1c5/6haPtzXEfW8AEISJGns+zqrZt0xcxM2YOgUCSy1YO23m09aET7//l + /+dnfv5db9ienCijbYsC6HQ0zjkDPGrGRFQbeVR1sViY2Wg0IluWZ7RaxrLOK7zQ1MJHVULKuUPI + y0I555xzzjnnnHPOucOqDuOrddxqkfehzvvjCAGBcrYjk7aIguhM12lgo1XJe0AIQgfOrVpLXbHl + EzJQBDxqskD6bsyTcUq6tQUKEBAIBjKEVXv7Muaaa5SLMjiAeZeALuecc84555xz7irHBlrGKivo + rNHMBoipBVamTDaHSApP+5xnL1Kypu1QwAqIKBhgITKsBtsZ2Xq0pJ5V2H91SR7ANZWRDCmEE5/+ + ND71N5t9GRdj4y5yF5iMoyIpkoJNAdTg4p4pBwKYjX0snHOHFC8PFFxUjRCYIGKRRzffHK+7vk+p + QIlNFUYwJsHueZgXQobERGoRiKrbf3MfukIULDQAkREZk4FNCeVaOpKsbSUFWU2ervHWMDaQEgmx + meHBB3neQQvBagA4M8xwztdBXagBSqQEIwUtn0ZYhIugvjlKFNqnPhk33SQwmLDH1rqDMqBANJhp + YUUDRoy45YanfdHzt0Rh6Gqq+uqwcE6g9HJg//J+DbNefjLIgCLo835XSYkNrCDh2lVBQlSYQDze + 3CAiNmIQAQo70K7PoCBWEJgNMKshRssOkfVP5fmf0EtBluUnsgoi3fS0JxsR2U73CIBHE01NRAoQ + A4rGLBrlrjtIdJW7olbfI3rOm66CwKkUnc3mk/HGQw+e+JZXf9tf/uVf931Zxlytvdd7BL/XKipE + NEx9LKXsEYN9yRGCaQtFLyNmiDCGyPmdLcBnXfI455xzzjnnHqdqG0QppSZbhxBGo9FsNvvEJz71 + m7/9W2f95XmPzTkTKKv89195+2d+1jOugfGizJxzrtsBwGQyedWrXtWVDlAzGS4MifnCxQ8ehT98 + z3vf//7355yn02m9ctytUs/lCbc+5z2rvWM555TSydOnisp4PJ3PO1W0bTtfLJrxqOv77/pf/+Xd + b3nzdjcXSN01SikApBQzMRNVDUwMjJr2zXff/bmf+7nMXEqZTqdd112OF3YhBgUZERGRmZmZEsxM + jba256kdgUOvxQi9FE7RCEeOHIkx1nVm5r7va6El55xzzjnnnHPOOeecc84555xzzjnnnHP7YoAB + qWlyKdvbixibpmmIKOe8WCyIgqqq6o//+I+/7W1vK0WHyLrdDLF5Oee2bbe2trCc12giUqOyY4z1 + KS7HK3TOOeecc+5xwMxqpnWtsdD3fSklxjicdZdSROTiFluo0zpqZnbXdcxMRH3fX8SncM45B6pl + eQQkyhnUgTpQJkjDYRSmsxP5uvEtX/PCO7/0eS/ZLE8LW8diCGJgoWPNJImy9Eemo2yaIRmLkEqM + ElEmo9SOwkm5/92f/s0feud3f/zEBwVzNpR5mU6PKGjR5+1FyQVMMaWUUgK4X+QapMGrUnuVnTf1 + kgzs9XPcNerQT9t2zjnnnHPOOeecc49POecYo5nFGEWk9izWfOsLutLre6koSFVTIihCYJjMGPMU + hADACEoQfkwFB9nWk63rcjEZoTvdEyFwgJVRonHOyArl5c0QDDwU7A8Aa83RIoDABBw4ats555xz + zjnnnLvi2EDnBbOZmYLBVMgyUYlhESg8+UnTJz1pO0UCqZXewAENIL2ygaEEI+hygJqxra6Y+axR + a8tBPkTggCKA9lG7B/7kTzbnXRJVghCEmY2DcY0BqGtYH6mM2lzgSXLOHWqalQ0UWKCBjKBZBePR + zZ/ztx+CaaDICASzIVBzf2q6BgMNKD/wIE6fpmUmM5MxL/NZhSFsChDZtTcEUQE1UmMiIihUVRSF + DCa478GRSDBlwEzUAAzh4bZ87Fq75znvgi1/IwbRkhOoULjl856LlGbSRx+q7B4DJSwkxyapKgOT + NJ5lmSc9/t98QTlyZCujjTCiXMTYAgczM1JbnRvUvW957kEK0nomQQZSMVGIHGytjKBgpRr0Xk9X + bHz8uK6Ss40ggB20I0NkmfC0XBqgZFI7I1bnaWSg89KFLzozCyA2CAgNHXvyk3pdvablql0ArZ1M + DvcVIIIaYGCyLAVMlydZyl1qKaVSStu2zHzffffdddddH/7wXwwpbHVnHtLF9ohnq/9ERDXNuj5Q + DvQ5vTgIHAKywDgC/QLMAQCbDq+hXp4455xzzjnn3CDEOJ/PKTACU+Cf/4Vf6KWc8zd29n+YqMEI + ePk/+ca+73eLZz5caqY1Gczs1KlTL37xizfGGwAIkFwAcAhYNX3sV4wM4Gd/9mdDCApj5qxSG2qu + qt4iIuq6bnNzs2maM2fOjMfjyebm9mLejEeLvvue//3fvP6nfypDmLhp2/q+m2pMqT68TY2aitrm + ZPzmu+++7bbb8qLrF10TY7/oruD4YQYF4kDLi2NdDesNKcamEcLWYi5mlCKloAxm3traqqVRRWQ6 + ndYL/yu1/s4555xzzjnnnHPOOeecc84555xzzjl32MUY+76PMaaUFouFmbVtuzHdZOa+K+94xzt/ + 6Ide1y0kBDKzs5Otzx2jWEPsYowAuq6rM7JrJcwQgogM08EeMSHbOeecc84592jknImonmCHEHLO + TdPEGOfzuZnVM/Cmaeofj0aji/W8dckxxlJKXf58Ph+eyDnn3MVjRqqkQAEJQwg9owRQmctGPB66 + KZ3Z+JLnfu03fdV3/K1jz9GHxsfj0VDCYj6vdW62F4sQECNUjA0xoZRu0c2IrDkSZs0D99M9P/H2 + 1/7un/7adjpZ2v7U9ikKIbXjZjRmjjlL3ytRaEJT6+mtbtiJ9yUAUMZQrQtn14xy7mDWp45ePdNI + r72aks4555xzzjnnnHPucaGWfa/F7FJKYVW5T3Zxpdf3UmGQmakYEQyAaj66cbqNfYCRrjKtDQAB + rPtuDxyCVGpMF1ldCJkgBFAAIkC6OHNyoxTkAq3pE4AChjqsUgAjBStolcuFGuHiydbOOeecc845 + 5w4pBenOfShI2bSOdRYzgZVAktI2DG3zlM97zkNmaKIAFqERnAJAhHqtvExeBCCMzOfOcVwNYmMC + mwEGA7J0x0L86z94DxZdtJqsyqRMxrRqAWADQVf5iCzEqIm0zrnDilkoUERgMWXVQMjQnvj65z7/ + foQSDbYaBUvLkIz9UauxzMlK2jqNT30qKLIajAGQUVAEK8FqwMw1cDxhgJVYicnAWGbfCgRsRAFg + VSgIFALx4hOfnBZrQMN09LMiaVcLHQYfK6BDjKctEz2JwEwKC9TOQ3Pzc19wMkaJvGpXde6AjLS2 + 6TMCFAvRUyngls+45bnPfxgUR5OAQKsgdoXVo8Qy2XrVC7Bu9aEA1Xzlg6wU6+oTUZ+uMBbBptcf + W+8feCxjqnPOAQY1NrAxAGXIuet6OT5cTGYQM7PA25Gbm6/rIDjrKHHuOtQtvNzINVYcCloevFdH + juUp3qVef3exnZekbgzj2aKjmFQw3+6+5Vu+9f3v/yAAVTBzrWOyHsm2x3wDVW1XOV6qqqqllLRK + 87oCRKCCRY9e0JcU0GmhUQPSuheTgW2n29E555xzzjn3OGVcmxkBxNTWq6FSSi0T+TM/8zPnNT6s + XVuZhMSqGomf87mfd9ttt8UYr4FYazFNbRMCl5KJbTpqA+yOb/h6wAIHADBoERMlYEhHfvRKUQDv + eOev3Xv//WdmMzENnIZ3AWC2y9rGS7ZzW8cxZCmxaXLOIUUK/NAD90+PHJnn/nt/4Pt/+Mf+XQFS + aoppzhkEYgZQcpdSaFPT536U4rhpfuR1P/y8z38OG1KI0/E4d/10Oi19vpyvcV2tYwFSgwiMiCgw + mDoRIcpmiJGbZrvve9WiZgRVrVVT+74vpYxGo6unHoFzzjnnnHPOOeecc84555xzzjnnnHPOHToi + QkRN23Z9b+AQm/mi395eAPznf/7h7/iO19ShiCKmqkRDHPWFByjW+GoiqksupdQZYapaK2HWkY1D + vrVzzjnnnHPusUgp9X0PoJQCYAi0jjECqCfhfd8T0Wg0WiwWF+t5VZWZzWw+nwPIOY/H42tgHpNz + zl1djI0IWGuNoQISQFVyQyn2aaqb1/OTwsnNJ7e3vfwffOf/8AWvGD90HS8SR8oJYRMLAYBxiNRD + MmCwCCEUkcX2IrLO9IEzx/7m7f/l53/8XT94j3yIj6pF7XIvRWFEiNEiFR7adlbTYHltGuZOQbll + ydDVTEkvpOMeI7Orbv6oN2s655xzzjnnnHPOuUMp51zrErZtC6AGV9ehfhd0pdf3EuG+6wIHDgQC + TDFuv+w3fuN+zYUZgBBsrYF7/1thaM7UtftExppBBgqsZlva3Xh0c9PknV/2IijWn4ZWQReyugFg + MMEDrZ1zzjnnnHPOXTuGgWUEMLOqKkiJJFAP64HJcz7/xCgtIMSggFKgQoy4evzO1XRNlzTWGkZd + UySX49sAqokFhBRAwEjz7MMfxQMPJRUlBEVUYiMjMoKwAsrLADmuP/WssXHOuUOGDEQEMHEopmqF + A4iojxGf/ez+xht6IjPUEGZTHKBd1MzqMSmZHZW8+NCHkoGMCTUvlgEQhJZNfddeI58CCtICU4BB + bAwOzByIG7MH/+Ivp7lEmEGNwAxirGaX10bUs6KCGcsviOHYS4ZgYKgRz1LADTfilqd2zZhGqegV + i1dx14YYY86ZmZl5tr3gprGNyULz0S/+ohOjyaw3LRoCmyFbsXDuqHiq0bMAACWsHz84EMIBehh2 + nqJ+BIxQmHvmyfXXKZHBhmHMB+zGMSldB1NeLoQAElYjM1q+FjaALsfcMCKCGgEaaN4QrttETES0 + x4Hy7LMyIygAge383gxAaJt6YHHXgJQSU8w5v+IVd/3xH/9x/QYJgWpmVf2b+inGqojJburEyxBC + fWA9Alzq9d9VAAyY92/4775kDKIGbeBuvrUTVGYgI8An5DjnnHPOOeeA2ohm1pfcjFowt+PRL731 + rfefelh2KwMJANAiBJjpS1/60unGWFVTSpdvpS8ZMyul1JdDRIvF4o477mg5mQotmzvAzARSO2AT + x5nZ/Bd/8RePHTuuMAqsV1+zbq3vc+rEiaLStm1fymgymfWLH3jda1/7oz9KRAaImQFqSsymGmIE + UHLuc89AzuVHXvuD/+irb2eQFmEghWhmksuV3E/MasVSs51MazELKb3hjT9191ve/JZfeesb/s83 + 3v3Lb3n9T7/xF97y5re//e2TyQRA3/c12Rpe3tQ555xzzjnnnHPOOeecc84555xzzjnnHhtmLqX0 + fT8ajWrqdDOa/OkHPviN3/hNMbLZcprwkSNH64ytC4oxEpGI2AoRxRiZmYiYOefcdR0z933vcXfO + Oeecc85dLHVmTQhha2uLiMbjcf1N3/e1wEKM0cwWi8V4PL5YT1qL3ovIaDQCkFKqlwAXa/nOOeeW + LMIiLABxJ06XzMwCM4rJlukMo3zkiF5/NN/095/5Fd/4om99yviz2nJdxOTkCWxsMgi5L5EQierR + ummRWuaAYuhET9tssXHq44s//5G3f//vfex3TrUP9uNtTZK11G+WEELpM0TZGKuqcXT2LEwj6GWp + YeXclRUf+U+cc84555xzzjnnnLv6hBAAEFEd21f/s/58HDE0sUVRBC6iKTKIkXu68cby6YeVoAwl + NIpgIDtIbTtaZa7oTqM+AIQYikrf6XjcbAhtnXp4PG6PmMHOyuLC2l3DAXK1nXPOOeecc865q1kd + XraKYwQYRKCsFkJSkJkgxHmKzWc+nW55Uvfh0xtFTaACEAO0zE+90HKZAFvFG4LYQADMAhHMDEgR + MS+ObcHe80fxGU8EtY0wKQwsrMIAIaoxQEoBUGMANkTROucOJ+YgIpZAzFaWjXY5JNx04+azntk/ + /ClbiBkITGZMdIDgaQaIEbQcFfmbD37gaYJEUSAA2JhMiYoRtKYz7xU0cyisNXySkgJkSgBBYaQg + IwIzg0rG9uLEPX/1lFwStEDrNHUT4OyWz7MTrK220w6CgQ2mllt+MMUnPf/5GG9kbnqzQhIoet6n + O7BgpGogVY7C4JQKeIvj6NnPWjz5CVsf+dS0jpgnZAKzkq7nyy7vrc49dhARMSPFA3zaCTCCrfoa + FCzE0qTRseMWooFRT3gOGmtNatItmlIQIocAUF1/Il0lzQ8fv8vx0QogNTayeWIc24yTiZ6eEQkZ + VkcbWq6LwcAwgHTtXwFADRxg9QhrBqAZj67CuCn3CNYnohgDUGIAMTSnT5/+7u/+3/7gD/6oxli3 + Tdv13fpDh3zrvYlISmlrayvnXKdBXsmYLiKcPg3QE9qxLvp+jhjRtmPJPUN3JuoYgxQHOT1xzjnn + nHPOHVZ03vm/gQGUUjiwgsB08vTWv/33PxYpLSyv/mZ9EQogcFCRadMc3Tjy4q/52tJnAwWmQ399 + wVRUoMYxmNl8Pt/YOPL0pz7thX//i3/9d/4DE5tZTQE/2NjLGKJKAfCmn/+5f3rXXaOmFdvp2zr/ + 3bk81p+3vrBa1rMdjSmGE6dOH7vu+GKx+KHX/fD3vfZ1AqTEkkVKBlOMseSc2ib3fYxRizCMgH/3 + wz9y+1d+1Xy2PR2Pa5r1idNnrr/u+q2Tp6bTaS+7Vhq99LS2CBmBgCyapbzznb/+P/+L76rvBAHj + tu26joCXveQlX/kV/7A+bHrkSDefl1KGAdLOOeecc84555xzzjnnnHPOOeecc84553ZTR9mdPyyO + qE6RjMzo+yJik43Ne+6555/9s28/fWq7/k1MSTWfOXMmhCByXjEGAgyllBBCjLHruppaXQtgAmDm + OtULQCmlaZpHOUHMOeecc845t7dSSkqp67q2bTc2NgCYmYiUUmrgNABmrj/n8/lFfF6sKj+UUmKM + WAVsO+ecu3iYNBkBy0J2BbUck9Fo1Cy2M4Gno3Ex7XsBa0tpHI9+9tHP/9av+Vtvfffd/+lDv3Hj + zWG+2BIAhCZx0Ci9FoFFs0YoIRpGkbqFqZZP9p/cnJ65+wNv+LOH3n/7c19yy/TpzWLUb3cgAUBE + Iazq9hivJmCykRqgBAaMdCgntCzb5d8M7ppzkCQb55xzzjnnnHPOOeeuOGZW1dqfV7sPVVX1sGeo + 7J8ZKIhJiJxzhhg2j963tS2rnk42kK3SqbEsnb8/dUl01rbVYszcjsOZeQ/Sjbad5DIqAlIhgHfS + GRgIZ6VgMA2LJW92d84559TIgBoAACAASURBVJxzzjl3WGnNfaSd+FI2BBCDSDQSs0GKMbO0I9xw + ffOZT5fJhAha0DJCvEA2JNuqfj/ZMinWzrqWz8gIQRW9ggNRn29W/MW7/3PKudESVcmgxEpsBCMV + Xq4nGSfhoKwE9Ytx5w45ESWEEIIFEhMTZGJMJ0ee+czctsoQgDmSAbrvac81BtYMKDbK3am//Ess + ulZKWE/nJLOd4JFrYAiiYnkEHlK6ly3PKjCFMUEldB3ObOUHHmyKkFlN4TWCKlTPCYDZ2Vi0XPLO + TPjaYGtAH+mBjfGRL3yBxaQIXe5jEy/PC3bXJDZoKU1MdVpUGk96te3tRdzcxA3H+RlPxWQaEUyU + GWCUC6Yh1cxlW+UJGaAwQGNASvtMT6rJ7yBb9gPUsfhGsBRpOi0hFITH0klARFALfQ4idX0JoZ44 + 1VVdrTADimXQ9aVVDx1ilEPAdBwmY9nlGFnXTelC4V4GouVPBYSZ2uaA0VXuamO8WCx+8Adf+7a3 + vYOZmBhAzhkAEdUEL6wVNNl7WmPbttvb203TpJRKKRcomHL5KABMJ1j0OHV6EmPTgBD6bs7LMwvU + r0TfkZ1zzjnnnHMDjiG1bV/y9mLxvj95/4c++pHecqBdsnsNKpI49H3/4q/92iPTMTNzoCt6KXRx + iEjbtjFGIprP5xvTqeYC0Ze+9KUAAlFtpzEzg9ULyX0pUgAo8Fd//el3/f7vK6yocgxXW1NDSmlr + e4bA24v50ePHzsxm3/t93/d9P/ADIbABfZaUAggwKzmDKPd94CCl1G66/+U13/nVt3/luG1HTVP6 + 3C+6pmk2N45sz2Ybm5sXsSzRfg2X9gYQkcKKyqLkf/5d/6K2VSmQRs1211FgALff/tWTyYSIYoxb + p0+LSIyR+RpohHfOOeecc84555xzzjnnnHPOOeecc865K8PMcs5mllJijpPJ5N577/3mb37VX3z8 + E1hNg8w5hxAA7F3HkplrpjUR9X1fZ4Qxc31413UAYoz1ny7Ha3POOeecc+5aF2M0s6ZpagWGnHOd + czEajUSk/rKegddT+ouontX3fT9kWtenc845d/EwwGSRrdaMigoyIiXMZrN23MQYF4ttgjaMIDpC + asqkXRzZmN/00i9+xcu/4n+6QW6NpycTGQUKWa0XtZCa0QanxgBiKGG+MAAabHI0nLaTJ+P9/9+n + 3/2Tv/6699//f883TpTxrKe5sYBJVZUUpLoWxjHUhlKCMoxUuZbSYYBxtU3UdIfK1Xlq4dNZnXPO + Oeecc84559xhVYu1DUP36ti+K7pGVwIFwBDCskCjGihRbAxgM1awLRsBH3vz5BCPDYCJzKwUaRPM + 1HLfmibJMC1mhSAENYBQcmazmk3x+Ht7nLuW1cqwdSy1qtYB2fVnKQWAmdU7fd9fyRV1zjnnnHOX + BhGI6PE5oa5GWRstE6MBNdJ6ycxqKJLAXJSUEicoLQzbiT/nRS86qbQQjCJIASK5ULZiMISzr+GN + sLq4RwRbKURoCZptwpjOF6c/+jF86tOTvmcYpaAwEJmomSmpsNYrcrbl7TJkOjrnLhEjKIFDUFUx + FYIRAkiIT8Bu/fIvexg8U6TRRFXUhG3fgbGBiAAzBMNIFSdO6F/d0867IALAKHBMFLiYFhWEa6HB + z6geG+trYZCygWMoKikl1GgZouvM7v/AByaiyRRaIkEVZmDGWrN0HZQMXW13ImKiZZR1jVgxEIGA + eeT5U56Az/6sBQeoxRivgRged2UFYhQhIlUtpTBzE+JcbCvw0//eF87M6n5uhqYJIjuB0+cwAjNI + wQARlJhHo/+fvTuPky2r6gX/W2vtM0Rk5r236tZwC4sqRhGKSVERW3igIDWAoD580KACgpaI3f1B + VPSj3e+9fr72qYCoFDiLdNky+Hyt3YDaotLP59BCAcr0kbkAqfFOmRHnnL33Wv3HjoiMO1bdrDtk + Zq3vJz55M+NGRJ6IjHPinH32Wj+I6BluUMggOls9yuaorGtdyrj00nr/xVNQJoahKsHTZ3gaI5sG + oY077mxBbDCal4GRApvlAUqLXaBzTVNKIqKwAWQsq/v3R9Xl141xz0siQmYwgwIUQjTFaJRtc4fQ + 7SDCVRwyhSqqMQUieu1rX/d7v/f7AAiSDRLqbKjq1sxU9bhig/LjIu56cfxVKiq7rsN8TF5ESkHm + eX+KSzQDNjJDVstQVWEBDAQjWn4Dn9310coKAwCgM97x2SXMjIhK5e2ie87982j9bFmedVDXNbZr + LZDbHZY/AszMDwruveVz0Munqn2Fdc657Ww2PgYAKJ+AZjYajV73+tdnQIFsCizt2RNAmxMfVTMB + r7jxBzVlTbGSsAv2e0WktMskokokpQgokz3tXz3l4Q++WjUDIBABwqK2lfM7BgJIgTf+6puiKQdJ + qmUURXV2esvMFAY+56+nZa0kiEjOuWrqmFPOmZmHmOp2lDS34/HRjY03/PIvvfaXfikZhqwgVE0d + U2YREMHKoBZpzgEQ4Mbve9mr/6dXVcRkBjUGVRLSEFVVRPqhl7o6188r51xVVXlLM3POeXF0lrMR + MwCFGXHVjH7sx3/itjvvNGYDDJh2A7HkrFccuOwpT3lK3/fMbGYl0Lr0Pz3Xy++cc84555xzzjnn + nHPOOeecc84555xzO12ZebWYu1UmdAEQESKKmhUMlvXJ9FWv+pGPfOQT5WaLGOucM6BmGdCqmuXh + NU0FAIZyzXKOXak3KUoxctM0J/6Xc84555xz7j6iOcz3vQGYWSlOKbvoW668WHRyWDzyor1D6dxS + Mq29cNW5YrEuLNYU5+4bLRcysFFJtoYFgLluhhQzotSU0at1gZPakLPVMl7J4/H62hMv+5Ybv/lH + v/kh18ndTRNHOiCzWCNTOppoKkIpAkpGSAwT6JAbIkKyZvqp/MGb/vI/veNDv3X3yq2x3RjypKrE + LJMg5gFsQx7qwKpqhiAnX/ozbZbl3HGYuYQ7EGHWPm0btE7yHmfOOeecc84555xzzu1kXNKtdEhd + VVWoGnQ6Gu0rQ39iEFMARsiMzHSGsRMELAdiGzbHyrVksZQfyUzMghqGLpB1QzfkbAwAVajImIDj + xt6Xm1Q653aikpzRNE3prs7MwzCURv8lh4mIyjd1XccYL/DiOuecc845d5bxItm6HGsbzY6R2SAG + AkRnl0w8XRnjwVevPeShU+ZkqOoQh2lN4bgHJQObkRmfPJng+CvF0OS8p4+f/cu/2p9U4yRKVlJT + rVkCuESrKgHGJU3OM62d2/kMVMbVSAkKkMHAsW2xd23fIx6he1cOdxMGB/ByIN+9pGXuOIMZNWzV + 8m0f+tDFKdUwM0spxxiTGouQSMp5R29VjOaxLWWYFTwbsSRNQySIqgGoKsldtzqk9X/+dJOTmC7m + E9/jxGKzbGawpeBrQoaY0BHo1U9+MvauTQzCFRnv5NfSbSObwcnGACLTpG1Xr3pQCkGJiGAGTRbo + dMmypaRLDWrIBF5dgZxZlRXNkqSVATIl2Gx9MSIO0DS64rK8Nh4AJuEtzWZmBlIcDh7EkMS0ZPyU + 8OxZIBPofEZB2zzSnoiNRFmobbOEk75ui/3GE0+UqBqBiaBADNTu3QOpzE+o7DQlwqoMjxNRU49U + 9aab3vTWt96ckjLxYlwdx6ZyHqeMw5cyy9JLpYzJl1qvRcBVufGFK/piADAga62JAAXYiG071Erc + LxDRdDotTXZKC56mabw49r5YRMjXdd33/aLU2bmzTkRK8GEJpyeiEmx5oZdrBzCzuq6HYSgfqWWj + V05YL0I6u67DrLmYc865bccIoa4PHTkM5g/94z/91V//NUB13eSlqYrLBxQEBGIAz//O5x247JIc + h6qqFvOUdhOy2fOu6/qFL/jvATBgMCbOmrd2lFUOLQ245UP/+P5bPtANkYMoAKbAcp57iJT9n8lk + EkLo+75pmqqquqFvxqM+DiQy6aav+8XX//xrX2vl6FJIAsfFgbMZgDpUsNKjgl78ohf+h3//78i0 + qap84fajqqpKKalqzjml1DTNaDQioqxKzEQkVZ0NCfb7b3/b7//BO7UcdxDAJCJZswHPf/7zL9Ty + O+ecc84555xzzjnnnHPOOeecc84559xOV2qs6AQxxvF4lSBl2vmrX/1jf/M3f3eax6mqKsZYsuv6 + PrZtDSDG3DRNqQIr8/9TSmXKunPOOeecc+78M7MY46IbQyno2NpDlVKXUtsrIiGE0tgB26ifg3PO + 7VqMzIigTEs92krIdSZk1sxJSZVMOQMZgGWTyFXHe9O+r1x71HXXPOf7nv5De6b7L6kPhFxNuw2u + jWrEaMwwFoiQEIMDhWDCmvu8jvF02Hvo/77l7b/+7jd8rv8E7c9H492oNUvkBtKwCJmZgIiwXLao + BIMna7jdbLeVbTvnnHPOOeecc845dz9CMMJEowIcwixwJqwMvSkBpGxWcikUSIzEWxjvptIhkg0g + ncVAzMfNxUjmj0iAmIJFTOtKRCSZxaQw6BBR+iCCfbzduV0jpQSg73sRYea+7+u6Lv/VdV2Z3lG+ + iTFWVXUhl9U555xzzrlzwXgeHMlKDKiRkqFc2DD7byAz1oWwb89VT/1Xh9dWJxkak8FM8nLOWMnJ + pkUU5fwQmgwlPhtQ4IRkMtJxjne8729w95FadOBIAjZURkHVCJln91FGZohBTp6Z7ZzbEdRIgdnW + xgAjIoMSemGsjK78xm/8clVFCgojkOoWKi6obI4UMMMY+OLf/i2GYQyUiDVwUJAxk2zWXex0JS3G + QAou05tVNYiYmRI4UEgd+nT44x9vSmQaAeUD4GR5kcsBvQYYjECsDEAJiWSg0FHo2tWrvvFJB5uq + EyMiMVQIp4kZdu5eYACiEFNRAMiEyBylaa+8mldWE0MBMljWimWx13HciQMykPFiqr+xtJfuR+B8 + 5jOOF/cgA8PIGIBBYtJ9D3/IRitTVQBb25YQM+U8+eKXELPAlNSMyCAKhs5DpomNCGVv6twyIjDB + aLaXSBLGY60rXU7hmkduYymcq2x1N9d+A8xgSIxJkPbyy0BhqfLC7Qx9zKFuo1oGxSH3ff+2t739 + l3/5jX0f66o2YgUBKBlsp4lhyzmrakk8FZGmafq+N7OUUmmDknMulZAXOsO4PIVM0BOXo6yM5Xsy + kMctn20ppdFoVGplU0pEVM7dnNiIx91LJZM+5zwMQ13XF3r9crtZ2Yz3fb+xsVHOrgLw86r3qESA + p5TquhaRYRjKq1dW3rIlBNC27eK0tXPOuW2lDETEmNbW9jSj8S++4Q0GE5HpEKvQnOpeZajixd/9 + PaOmBSDEKQ27LtW6mI3L/Ovv+M5xNZuLRVtvfMOkVPLCJ33/pl/71WbUxnzMUeRisOI87PqGENbX + 19fW1kIIqjoMQ0x5dc/ew0ePSFVl05/9uf/0c7/4hsGQATCYQ05KzFVda8pN3QjxEIeGOQDPfc5z + Xvvzv9BI0CEyyujT6X57GYQplkdp7jsz67ouhDAej8v+yWQyiTHGnJpRO+2HbAamj3zs46/+0R8v + Q2VZ5/e0zAwCvutfP++sLZBzzjnnnHPOOeecc84555xzzjnnnHPO3c+YEoz5hPl2GXR0slFiqn/m + f/uPf/pnf5by6WbLlW5pKaVyl5S0PGSp6ur7HvOsu7ZtveTEOeecc865C6K0XyjdGFSViFR1C/W5 + JcGaiEptfs65xGNvv34Ozjm3KyloAPegAZSAxAYlKFhpM0B6uTUTizIbggKZ+knb4+rwlV+7/6mv + fOZrHsRf2XZy8XhFo06nqCpkYLAUoZYYg0CJyIiNDLHDZDLli+PHjtzy2v/zZ971kXfy/jjQRmcb + U51OhvVkOeYMcKAgdPJecx5u7e6j4/Yu7MQ2sxfC7qzbds4555xzzjnnnHPu/sCAXrWqGgCljx9S + RlVNSkJEiaQ+WSjF1pRka6OSnTO7hg2zTvQGNkVMGFJNAJSIIGwxc6hhvD1GRJ1zZ02Zdd00TZlg + Ub4pWddt25bZGE3TAKiqqqQpOOecc845t3sYL+Iey7y3Elh40m74Bp4mOxICnvR1By/el8arvUGC + ZMuAAVxSTzeR4iQH8iVhFkaziNQScw1glFJz67/gAx/cx0AaAKsCU06WlQyz7G2iyJwJZCpm5yHZ + 0Tl3ziigXEJnQTqfdzu1Yb2S5olff8doxPvWEpIB+cyH5JgYQCYkghlateEzn8Xtd1R9hJoIhxAU + nBNBLez8eDArL+Hs9SzXAEAQEZpdkzWuCOOOO/Pnv1TpLCt3kVN70lTgzccHloM8ARi4Y7qrai56 + 9KPlAVesC3LFEcmUZJfm8LjzxoAyK7icIeD59iESY7SycvklPVsEiBBMOIOVTxreQ4RSZ8UkBE7g + 0YFLUHE6o7coKajsrZQVTGcLB86gyLz28AfdiRQJ95Q3dIonS1DkAJt+6XZksGZiVlU2ZlPWxW1m + O2xsJ9u9OnuUZrtnZkYAZ4Mar4wGgdJ8M0DH74CVq4+7lolNyQzKvCEYXX4p1AIF8mTrHYWZyxB6 + iaz+i7/4y3/7b/99jBnAojCyjJ+fvoKxRFmbWcnvLN1PAIQQ6rqOMZaozlIkeYHH4amcRjSlssLN + tjBKgPG8WEjh5wvPgZIGV1WVqi7eeOWt5baAmZumWaxQ5jXGx7rQf5/dRlUBNE2zsrJSXuGUkvm7 + 7gxVVdX3vaoys5ktbwm9TZhzzm1bCjBzzPlzn/vcu/7kPSKhHC0MKeLEwwYCADV77KOuefzjHjNd + 3xg1bWkKs9O384tzPWV0sczGLAdTZLjiiiue+tSnEsBA1szEWyhKZyBbBhCq2gjvfs+ffuozn845 + mxnUyiGqmRERn9uxk5lhGPbu3TsMw7TvmLlqagpy+OiRdjzmIP/rz/yHX77pJgNISAG12SAVgDgM + zDz0vZo2oVLVG6697s03vVGIphvr47bJceCth3/fV4txgBhj2S0RkfHqSrsyXu+mXFdg/uSnP/vi + l7xkvZ9mGDHDyleYognV46959EMe9GCfZeucc84555xzzjnnnHPOOeecc84555xzW1byp8tc/UVl + zWg0KhP13/GOd/72b70lxhxCffp8llJUUnqppZRKgVh52FLqVR6/FHydl2fmnHPOOeecO0ap3Shi + jHVdY74nf0bqui7HDou2D6XoZjv2c3DOud2HVDkrJVAG8rxXFUBaGnPOIq5nTT7ZCDEltcSMOjAB + 6KjuR/v0skdc9NiXP+uHrn3ss9tD47Yb7eU296hFlEBkZAwlS0ZKRMSMpgExNoZI4zyM1v/Lf337 + b77rptv5C1jVsCIWjGuSQGaWcz6+TWipDPUxIXf2bKtSae9x5pxzzjnnnHPOOefcDlZxiU3RCqKm + aGo04XDFibEcBEEAGZGdPKPiNAxs4KWwBMtseTFovpT1YoRKgcEQM45uCNSgRKCwyLbh0npxc5G2 + 9JSdc9tHma7N8zwbIioNOgGISJl4UWZgV1V14RbTOeecc865s6yktM0PbGfJ1pmQGUpqpOUw2UiV + tEyGY0hqxviKA/Vjr9kYtRxC1AQrvfhLJMHi0FtxbCo2AMAAM1JlLaGJMNg856BN+bL16Zfe9Sfh + yGSUEqdUdsUDixhEQcaZODGUATIy5e00e8k5dwZICSUplhcXIzYCEfXCuPqqvU/46kOUVdiYZAvz + A4nKdqZsZMIQLzp6FB/6UBMTVPMQczZCMCMzqniXjPCVrWV5Mcs1bNCYFAbGMPQjAT72sdHBQ01O + m3czXlxmG2cAS9twIygjMwwgUImlgeVpoC+tjq667to0arNQDjxYJiLN5/dpu91FCUqcaXmtL+k/ + AAmSXvKgK6eVJgYzhBh2yrnxzJwVCgOLMU9ho0v3d4x85mt8yYA3HDMRn4gwavHgKw/XbHUNFsJW + pjPnjJY5HzyCaUdqFKQEQ4pBzBTIxAZW4vOz51P2AJXAxlUCZatG4x7IvLl9OP4u8+QqXZw6MQiI + SrWb8IZQe/n+ISUhOflDuO2qqpqDBw8HqU3p7/7u71/xih8ug+QGjnkWZd73ffnmNDFsOedys9XV + 1TIgX25sZtPptAzIlw4pwzBcwHF4w+ywJs8+EI9fpcvasfxx6c6uUnC7OF+zC+L9LqCy3pUXUETK + N2VFc+6sI6JhGADEGBeF7t7W6h6VLgBEVHIuiahpGmZenJsua+6id9iFXVrnnHOn9xu/+ZvdMAw5 + GfHpD2oI+O4XvmjPyqqpEhEsi8gi83iXIUMdBJq/93tehPk0y/uyk9A24yFFNWTYm37tV+t29tFp + ZshaHnz24znGgTam6wobjUblKKbrurZtVfVHfuRHfv03fzspFMhqs5EW1fFobFlhMFVhqVhSit/x + bc/5tTf/akWsfdyzutZ3XRsqSxnzIZqTXpadcCbuPkkptW1LRH3flxl0qjqdTvsh9SnX7egjn/j4 + d73g+Z/+/OcziDiYGWj2N2VgGOKLXviCej7vzjnnnHPOOeecc84555xzzjnnnHPOOefcmSoT4TCf + Q05zfd+PRqO/fN9fveYnfxqAiKSUwqnna5X5dUQkIkTCHHK2xWMubsPMZWa7c84555xz7vxj5qNH + jxJRSqmqqul0inlV6RkpRb4AzKyqqkUDh+3Wz8E553arWdNObFb/bfaJmvfzBBgmMAGIAimSpt6y + kgaDmBAHkdiuTPZ/++Ne9ANPe9UjwqObg6O9YX/sEAxCHCpIMAaVvj0q6BKqBhUhbySOivFwy6G/ + /pV3//wHPvv368MRVAaxpJHIhAIbz6oR523l4MnW7izZht0wPNbaOeecc84555xzzrmdqsRezQfe + IcToOjTywj979yBshMRIMhuUnEeznAnjRUoXGcqouQJKVlIoCCiJ10qUiVjxlmdchz6jroZuvdwY + tnmZJTTMHnx+cc7tTDnn0lc951y+lrMgqlpmZpTWsSX3ere2kXXOOeecc/dbbMw2i0hcdMPXpbS2 + RYijEWBcSTVJebo2/qrnXH97Th3AAbp5nC6Gk89NI0OJQaVykH1c230DgGB6seU7PviP+OfP7E9o + lHLOCWZCQREUWARvL4K4nXM7F+USd8rGZWiurN0VU4fcj5qvuu5b74q9ChTGfMYV0aZqSgYCgwgy + 5Etiuutv/pZgdajIkHNmrokrS1n1TAcctx0lBXQWOL1gTAZVZeYQAnFGTpMPfnhvFys10PK45mz4 + 1DBLtt7cbpfPCBYjLvOPySAKJgxB+gdega9/Qh8YajEPYOMg23CCqdtRjnkj6/JVHHrL4wdeMVSk + AiLAZjsUtrTTUpCVtR8MAliNEmh8+aXTMwyjXZwOMFLQ5rZCCVlhVcAl++Syi2OQbDY7lXCG1FCT + hEmPL99BsPJcZita2WuaLQqfl92fsqtmAJNBsnE2aeqeNM/3EgGwGW9mJhHmfwIjaHnVwQRmMECZ + eCNg35UPMN9924Fyzk3ThBDe//73f8/3vCQEmU57Jh6NRgBCCItt/qJhykmFEEpx4/r6+uIuqkpE + o9GIiNbX1xfRnuf8Wd0DBmtmVbCCYLyowCnv/8xlHcEpDn3c1pVdssV7oOu6GGMJZnb30dGjR6fT + qZmdpl3R/c2F/pvsNosXtmztS8OsC/fn3THMrHwUrq2t0ZLy4Vv6DpTT0znnLbQhcM45d34o7NCh + QzfffLMBTCGlFGPkcoBzstvvW1179vU3pJSaph66XkRKU8jzvNjnTWl589SnPvXyy/aXnYasW5l8 + RSAFYozlA5SI3va2t911111EVF7A83w4GWMsXX4mk8meiy+adF3dNtnsR3/8x975n/9gYzoFQwJb + WfTAIEwmEwBNVQOAqmq+/hnXvv61r9uzsqoxMWHop5ay5XQB3w55rm3bEELXdQCqqlLVfRdd9K73 + vPva6274zBdureqaiVVns2jLDiETAvDcZz07x3TBnoBzzjnnnHPOOeecc84555xzzjnnnHPO7SIl + lLpMMjSzj370oz/0Q6+EQRVEwhRS0lNVHarqoq+aiKiqak5JzWxRwmNmZWLeeX1WzjnnnHPOuSVr + a2tmFkKIMRJR27Zb6IMkIuPxGMDKykqMMaW06LS8/fo5OOfcLqQIClZigBZNotiUoPMGWsAs65ph + oVREZlg2ZLBChqRDl2yQVbu4Orr30Zd940uvf8U3feUz+O7RnrQv9IJBLUVwtqBKmuabc81oqAos + kbqh2jgUbvv0kY+/531/dNvdX1KKQ0aMEOKKhWzWY25zsectRj3Z2u0+u7Zs2znnnHPOOeecc865 + 3c9ACTUogLJGqGF1jDFjlXtBJvSCaUAmsEEUclw6y73CAC/SKmYxXccNKxqXlGsGVhQYFOuTUdsA + GpFg806TtMi0VkBhCtvxsTfO3Z+JSJlssbKyUqZcVFVV5nPv2bOnfKOqItL3fZmH4Zxzzjnn3K5B + szzC2RGy4ZhkayM1UpuHSQMI2fqsd4/r5gmPufSRj+iQWSCEWb4h5mmLJwmMLNfPjqA3J9kZ6zwn + lQ21adtNP/Xn/+94PbZqKWdtwqBZFFUmUWAzNPGYiXrOuZ1lFpmMMukWomBjJSgpk0XTO0NoHn3N + FQ9/aCTrLWc+46BYgxHRbFavogL2pXz7Rz9qd94RYHVVkYIgTMHMYFtJUtmuGCWGE0SAEAkzERlB + ROzw4S9+5GN7kpIhzyYT8yJhx45NBT6OlrRdGMAMaoy1rq5++jdj31oEJGdVlRCiZhKfz+nuEyMA + rITMyKxKWqmKIZlZU2Hvas9mggyoGuOYeM5j3sNZBcQIlqEGqZuLr7hC6yrTFt+ix6wdxmY0ABjV + Bx7xkEimIABbCGYiwDQ1WfG5LwSjlFKQ2sxknhutBNvqMm/BYgeLQcEEytWoiWSnjwM/sTjBTAnE + YANiFejKr6iadldtbu8fUkohhA9/+MM33ngjEVLKANS05FqllJg5hCAiZtlO/QcuuW4AzCznPAxD + CR5LKZW+J6urqyUDdNPniQAAIABJREFUtaqqknl2wRBAJbsas9OLYMwzrRVsfhxyzpS3RIlLLyFq + KaW6ri/0cu1UwzAAMLOU0mg0CiGUcFxXkDurANR1XT4dRCTG2DTNFsrm72/MrLxc0+m0nLAGUNf1 + MAwhhPJxKSLDMPhJauec2w7K+ZT5WZVNZnbTm9909+FDQVhNAVR1q6fe9br22msPHDiQU+IykqAK + UtoNHWHouCDvMvxbjhzH4/ENN9wAoHyk8ZkPdBAI4BKJPVoZx6SHj05+53d+ZxgGVQ3ERFRabZbc + 67PylE6jruvSzbOu642jR1NKVVW95jWvecvvvvXIpLMSBQ0DAQaLivlu0xCHigWwpzzpm276lTfu + XV07euRI0zQ5Z1JbWVkVEctaztad6nLulL24YRiYuRxBjFdXp9PpxrR79Y/92Iu++3snfSck0yHm + xelFZivjRoZnfMs3H7jscjIl73HqnHPOOeecc84555xzzjnnnHPOOeecc1u1yJku0+FUNcZ46623 + vuxlL+u6BGA0GqWU9J6aH8YYAWYOAIZhoHkxhYiU+f+qWpqtlcov55xzzjnn3HlW6mKIqFSm9H2/ + sbHBfMZ1NznnyWQiIhsbGwAWxTXbsZ+Dc87tOgo2iFkDqxQBx7TDUoKRKZmWTGsyJoPFBBCktWqE + pqGmrepRkFEbVgJGrezN03o1P+C7nvzSlz/9hx8QH3gR9lWKnJCgucoxmBIIvFK31lFSo5amYTqR + CY+1XaG7jtyFClJzCAgBZfDHjI7LtAY2W4M6t2WLwcxtxdsgOuecc84555xzzjm3kxEApBQbrkCk + yFmASjKXlpAKzFJuCEbYQsdtBXTRVLL8W76ywTbjKBiAmLaaoBHNCMYpxoAAAWhzJFKBOMvrgrev + d25HyzmX+RYltTqlVCZbl2sWt8G8cecFXFTnnHPOOefOgWPCoQmbeQy6uCz645NqyuOVtQ2j9axX + f/sNRy7ZczhCAo4/NDboLC0VfELGA0o03OJQ3GbfkyEPaT/zre/9S9x29ygmkHFbD5qDcp2ZZq36 + FVAlKPjEAEXn3E7BNk9WBgAuGworM3BZUl1jPPqKp3zTHaOmlwAsJr/O0iVPCPDYTJ3EPHhSRCgb + K1RRMSrYcPDgoU9+Sg4faQ1mmk3NjMkqlnu5OdnGmx1WYpCCZqUjCmRiIyYiTYm76Uqf9ba7j976 + +VozYTHGoZiNjxoZ+IQidptvz8kAVcAMyCJdU623owddd93thK6qTISDGFPsIkN8Sqe7Dxb7IAzw + Yj/BgF4jtRVC1YGVYYqMTDxL+Nsc5yc1AAY1YxBEEnRg6EobLrlMm3Zr2T+LXSQyVVIARtSToB3v + e9jDswjzZky8zTK5Z5uj0wcOEYGTrqWUv3hrbZZzlooVWef3BcAKOV+Ttxnl9QOYQBmUAwsbYILl + goTNzfLSc4GyoYR8ZWQIEXEk2WhbHLjcmirfU6cMt60ocRWaz37m8y95yUsPHlxXRSkiYGIza5qG + mVU1pXSPWbmlcrIUIahqXddlsL1EeC4Sr0sqWAjh9I927rGhrMCqlADF7FwleHbgU9bPC7iEu5Oq + hhDKaRoRKWmvF3qhdrC6rsu6WZLCMV8TnTsXynusbdtydrWsvLsinvPcKp+kzFw6DpRrSiZ9+bgs + a3Fd194jzDnnthVbOmAH8OXbb7/pppsIs+E2Zo7DcIp7goHnPe95OSUiijG1bTvEqBn3eFS1/ZUx + nBL7vTyIWocqsGwcXf/2b3vOYn90C+MDqbRvYBaRycYGABL6xV96w0bfdylmmDGZmWW1MiJ0bixy + zWPMAItIH4dQN6OVlZf/wA/8+lveUv6QHLjro2ajwAAgAjUpGdCAqf53X//Em9/6v1980d4U456V + 8WQyYea6Hfd9d3RjEkaj0y/G8ljT4vt7//XEh1pcYk5SBalCgvU5hba5/c47fvf3fu8p3/zUN/7a + b/SmQ87JjImZuBwvwzLUyqjYC//N89PQB/ZDOeecc84555xzzjnnnHPOOeecc84555zbKlKQlln6 + ZmZGKWnfxx+88Ydu+/KhUhoynU5FJEg4Tf9DIgohqKqqdl0nIgaIkJmllNq2BSAiwzCYWem35pxz + zjnnnDvP6rou35Qq+9LDYQslpURERDnnEAIzL9osb9d+Ds45t+sYAwxj2KKM8nRFlIFYiIkk5TzE + HHPKOatq38WoGqPVGO+rD4zjxddc8jWv+PZXXV0/7FJ6wCg1VWaUrOxS5zhJDGFQsmSMTOgTes3c + auZuMsk5IwTJhiFr2f5TaQFKm41AAWUYK8jARkaspYuWhUW7v3nRqCmpeRK2O5Gd2NDmAre48Q47 + zjnnnHPOOeecc25HWjRwx1J+arnyfoRQQqMrHkEDoCxiqICgsMAIg3EHoIoQVDBknNHINc2iWYzU + CACxISgFnfdRLgEMpAQVA5uGKoMGgJCkQi2zCAeAFZRKz/oemJb7mnmytXM7V4myLlMucs5lC1xm + XSyarVdV5R3/nXPOOed2JSIyQ1VVqnq/OxgHANhscpiWfFkykDEZ8zEXsM1CCjXwZBhGvBLDCE94 + LH3d448EpIiyQw1kZqiBqzAoQLSUaa0EpXl8tSik/DqomMr8ZizgyeRhG8Oh3/+DUdfVnDem63Xb + hEx1YiAYmJAZycDq++f3S8clg7LNIkT93bCzsIGNASRGlPI35RJkm4m6lISrSWjoum/9/IEDurrG + 2dTKAByRNAqAw4YBjczfDwKIQcoDKwGgnHNVBhkZmbBhqQny6fe+95I41LGvarGAaeraukLOgC7S + VuiES6E0y3jebuHWCjZrDJI5KUdRZYMSJ0ZixDyMg+3J8dIj6c7/dkvTD1VlBgbK5h0EXQyQlheR + jAEmm8+TNuZsASAYYCo2rcKtkEde/2ys7O2a8XoIUxEVaBpW6hZRaXd9qNrSNyc+s132ZLcDQgIp + jEW5yhDTQdAHNclJE9b2RRqZBjYEiGmcjc9bpahmibM2i8MmMs19Ju0r2Xf1g7Gy74hyxhaSdXi+ + j1TOOGTjxCEcHuzuavXAE540NcsaDcjzMPjIHJkVbOCy3bClDcr844xhXIUGfbosxYP/+GGQkGln + Xaw0MTLNgnTrTCETyoborLzKp3qeACdtSKLaAM2NoUqTjaON1Kz1LNmasXhGBgICjg2LUgQFCxMs + RotDCCsPewT27V+nlDlvLVbcnS3lnTz/QZcvxBY1GhuEIUyhysn+5V++/OKXvOzOuw7bfBvIItkU + 0L6fqqalKHrgFAmmIlIOuEpccRlvZ+bFIVjpe0Jz5+OFOA0DjMkoKMQMHJUzG9iIDGUVZ8Ox+wjb + DhGV13zZ4hps16zZcgomhLAIxN2ey7mDiEh5DZe/OncuLN5dTdNgvjr7W+7eWGyly/dl7hDmk4jK + 9hCA9whwzrlzYX5O5ITL7Kj3+IuRgi2bKizmJFUFFiP6hV94HXHIx3wCKjHP9rxlfghmqAiX7Nn7 + lG96cjm64DBLRDZisCwv0omOyx7ebgfXZJgt13zhlQBjVrasOsSVpv36r/naB17xgHJoKdUZf7QZ + VJFVU9YMAISU7Wg3/OzP/0K1Mo6EZCZVUNVGWHMEZue/Trgcu9gGMiKj5Vd++XUmYVUlIssKtSZU + pAa1ECoQT6bdeHXtyGT6kpd//9v+8A9zOVUGxFRGqGBRYYxU0q6NgUrwxCc84bd/6zf2jEdIkRkx + xqqqiKWLUUMI4/GQNdOxB+0nuxz3ztV7/fWYS3n7sShzAlDXR7ouiwywD3/8Y6/+yZ/4hqc8+VU/ + 9VOf/uK/lKdWXkE1VUsAQLMGGATs37vnmc/41jpUmnZ8TLtzzjnnnHPOOeecc84555xzzjnnnHPO + nS1KetzlxNssVTSrWRZBtsQiOVtdt9M+vfilL//nf/4sAJ3Pz8o5Ju1AWiYlisiiN1r5phRzAWqW + mcEMIpRwu+UJ6nVd++R/55xzzjnnLqDFnvxiR71cs1yre49FpqVStTR22NjYEJHlfnrbq5+Dc9tD + WRfKyrLc/8S5LeB5XSpD+dhKRjZmo9LPE0ApezRSJc4K5MRkwqUFXAYrVQpWIiMDeuNptaL7Lg8P + +eEbfvqZD/2uS4YHVxt70YUmiAhSUuJUBwRiziTGpQ0fGCl0WYZGUCtStkzguho0A6V9FrDo3EgA + gQxBUSmLMkyUKlgNq2Cis6ahDBhIjSyz1w+6YzBzN/RglMJqA9s5bpJ2r5bqQi+Ac84555xzzjnn + nHNbUc5Y5JwBNE3TdR3uf+19DcjQE5otMiBNPZoMaMZhZSRDVJDEnElwsvSQ0yqt/JZ+LqEWfOzD + zK80DBtIPUCmVFWSc4lnKH35jKDHjkh6prVzzjnnnHPOuR1NsXlQfPzBclGuJAYza8rarPT79x54 + 5rdM9u1NbTUYiGZJjgAsmgCBq1P9vuV4gOXvc8bFTVXfcce//PV/w123r/WdpAg1I85UJsKpmBIs + EzKR+ZSh+5PlIOHtFirszlT5CxotMl02/6Ip6Wi0YqpHzHDg8kd+x7O/0HUbZlIHZijUcopQEmkr + dP3m9FZbeuTFN2Wbtnj0Nqf1f/oYbr+z7iax7zSmcdMOw5At3fskmG0YGzNjPM8UzWUZ2UhVQ5Bu + ur5qwKT/7J+/7yIhS7E8AyqbdwIBoPn239hQompn49RsCBIGzaEOEiiqHSU+dMVl1dOf1leSiTNx + Xtoe76aY53v+W++iJ7ttzMNxiGHMANksKDoQGIR6pByIhAx27B+A7ZgPCAYA4xASo2vrPVddleoG + Up/ph8j8bbCIsC2nGwxAs7JyFAH7Lx1dcXls6kzMfNyjkxIMnJeSrW2eiFXWP0vWguthuv6FL+Do + kSZbEM62XJwAYLbDdK7fcWQQAxQEUZaICFLOKqnMFj9218t4vkjMBp7tMc6WMcMQIKDE1eiBV2HU + dlw2NH5KZZsys7ZtiWgymQCIMR49evR7X/zSW2/9YgiBiErdYzmneZoHKYGmJYaz1DeWNGUAIQRm + XgR2btfzoQpwWfUYOo/zJhiX2qHFx6XXLzjnnHPOObejnckQnwJKRMMwtOMREdVt28ehG/rPfO5z + 7/iDd3ZxIOIhJystY4jMDASDmc7ijavAZrjh+ut5cVixu5wqkFtAlQSNqRJ58pOfXMYhT39oedpf + c8xPCvzOzW/91Kc/a8JKEJG6rqfTaRNOeX5q2b0Z6Y0xikiOaTQaWcpd15lZxWJKUW20unb7HXe9 + 9GXf94d//Edlamk+bvRm6YfS2+RJT3rS297+fxw4cGCIncKAzez0zMhEkSgSnRhkfuIl8zGXcs2p + vioskWXTCI1QJSTTWGbtMvU5HTx8+Iu3ffld73n3W25+642vfMXjv+4JT3vmtW/+7d/5wm23Q8jm + A2OLkZ35K5eZOAgBuP7a68wMaiKym4aInXPOOeecc84555xzzjnnnHPOOeecc+58qqpQZtnlnEej + 0eFDR37yJ3/qAx/48Klub2ZElHNW1ZJ1JyKLuq0Sbld+HIah1Hw555xzzjnntjkzU9W6rkujhpTS + ouHDqYQQynFB27YAptPpeVpW55xzs053i0DrY3srHdevCYqlusNyx8V9jWbfsIGNRUVyU8WV1bT/ + addc/9Jnv/Jhex+7NlxCRypJzARuZBJTn3si0qiUUUlNNqtYnDesKgWJJyaAHG9+Y1VSpdI3a7Zs + BBWD6GYHLucKpfmbfPa23i7tmMKFXgDnnHPOOeecc84557aCmfu+b5om5ywibdsOw7A4a3ii7drh + /b5jBZhBmPWHFwKASRdrBoY0RIzqFbAd6YZ9Y2h/8pCts0IMISuIkSJWx8kQBCnFOghgJREmEGpA + AGQAXBraO+ecc84555xzu5tlZeYc+77hnpoHPPGJe77667703r++lFNN0GykiQEzFRCykfEZJReG + gH4SL6LR+sEjn3rnOx76P/5grMLhnLtQQ5A5grTOUCJlJALrORwfcM6dO0ZIzJkgBpiKMUgTwQhB + UTOlpBmURvUV3/DE26/8v6Zfui3001rBIKoqjTGlVFWVWqRZlkbezKk1MJBJAeSS3AywoclYG/Ke + Ow5u/Pn7Vr7nBStNvaGMxAiSj91Ule3KSUf7SrzudsMGpQQwW4AhcwIQMgOcMXAga2va6PDBW+Tz + n2+yQUloFp9D84FN0lnULgAjkFlJBCcoAE3DiDkOqWqrnOKkHl317GfgK6+cVhDTOiMbjIiMdZaV + 7dx9p0asBoBZURvINCgghHl0tEIZQmAYiNTIDMgMWJkYDwHBUgpyiOXKR31VXybxn/k7VAm0XCFg + TIClFOq6p4y18cWPe8xdX/zCxRlVtLLZqVQBsLES8jxtSEpoNJAJAJgUxma5kpA0HTl8F+64Y+Xi + PdNohiDKADKxAUmUZussG53TWGgmAEqBOcFSziAgZckmOp8zPsv0BW3WSKjYZiUDQ5U0EdRQSzNk + u/pR12wQZyo9Ms7l4rt7cpr3T4xRwaq6uron53zw7sOvfOUPf/KTnw4hpJQAiMgikfo0+r4vnVAA + lNunlFJKpWyynA/NOTPz7j3p6ZxzzjnnnNsZ7vVAHwMYum5ldXU6nYrIdDo1wp59F/3H/+GHN/rO + SueXGIk55wwzIlqe/kmAJQXw3Oc+d/HL79sibTvHLrkCs5FGzagk5BhJwnXXXfe7b38bME943sqv + AXDM0O0wDG/4lV9+/c//nJluTCfjum2aZtJ3oapOWKp7Wwy/HMk8bkdd1zVNc/jwYRFZGY9Vte97 + Jama+uChQy+/8Qf+4n1/1bbtRtcRneSZEXQ+lIK6qb76a772zb/2GwJiIiFePtC2eW50eYpnJRl6 + MpmoaoxxmIsxquowDCmlruuOrB89dOjQ3QcPHj5yOJXhdCI1my0WwxQ522kmx6pqqERzfsELXtC2 + LdRONfnZOeecc84555xzzjnnnHPOOeecc8455+7H7u0ctj4OZta2oxR1Y2Pj9a9//bve9R4CHTuR + a3PuGREtZm0RkYjEGBf/u/ivUtt1356Cc84555xz7jwpHR6GYSjNGUQEQOnhcFJEVO5iZqWz/Xg8 + XtzROefcTpdMA7cPvegxN95w5XtvefdffeRPN3B3HE86i7GM90iqCATOKacBVVVGohiY1WMaKQGw + eUGjMYxBDGMjzRRNzCQzx8wRFEE9iNgYYDIAygDAZN5gzh1ve5aUeqy1c84555xzzjnnnNupmqYp + g24xxqqqypX3q07uNJ9uqQADS0+d14NM6tD0iQGNA4T3jcJ0mpp7Oz9zK4Lqah8RM+q6z6gEmlAH + mS/gjJRYa2KYeqa1c84555xzzrldj6BsRMAQsI6kkEtX9j7w+mf/7Qc+uufQ3Wt5MJgSBGRGAZw1 + 8RkeMOeECuDAe9Lwiff+xUOf9YzVhz1oY1wPs3lxiaEEZuNtOX/JOXcGYlmvDWyLYA+d/ZiNiHKQ + Q8iX7N/3yGdd+4nfurnJodZhMK3FqixZc5WsBmAwhkKNQLaYLKs0S41lAKxKBiGMU7wy45//n794 + /Hd+W7vSaqiPxL6qGWSzSbP3wllJEznLSBkGKFkAAIoAYMzGyFZVMuhQ5fjl9773SuQqDsRGOovo + nr1uC8abWS+0mf5LQFKtBBt9HNq17sClD33us25HymFEpgKQciYG2Ej1tLGpzp0eY7FFAFhhDKgo + gxRZJSXEDM1sagQ1EBMUBGNkBaz0YyAwQCCQxJxiU6+vjfGoa/qcxXDKOq1T0Pn7meeNHxiAETTH + vqMqTAfe/6Sv/+Sf/dla4nFUADSPry6MoMRsqoAASrOYIiWIKSCac6hCS3T4k5/Y+9AHTSZ9s7JG + NjBQKTLN4upFw3nYBJGxgghMpGoGIu2GkEvOPcxw3P6dQmcv+LzBBQEgBaNPCE2Tm3bfo77qdjKE + AN82bFdEtGdt38Ejh0ejla7rUtRXvepV//APH+T5x2NVVaWhSTmDefpyAjMr5ZHlZszMzFg6E1qq + H5dPjDrnnHPOOefcebYceHxvDrfX1tb6vrdsxtyOR9Oh/5u/+9v//Ed/LCJJcxcHAFUIwzAAEJGU + 8nKnSAMq5sc+9rH3/jfuDosDQzV7zGMeI0JJz9qTNyBlvfnmm1/x/S//qoc9vG3bwwcPra6MRqNR + TOnePwiOH+3YNN2Y1HUdY1xdXZUQDh853LZtJjPCxmTyvOf/m1s+9MEB1nfdbGLpqZ4cQQ1dH9/4 + 5jdpTAwSULZjXgtdeoDz0zSIFoNpAIAQRFUHNQBEIEJWEBAqiekkI2o0Dyhnw1VXXfW4xz2OmTXF + E2/pnHPOOeecc84555xzzjnnnHPOOeecc/djZ9QwkUUohNBNhxDqt7zlrb/1279b12EY0qlmupVK + rhJiV+q/QggppaZpuq5LKYUQ+r5vmuZsPBfnnHPOOefc+RBCMLMQgqpiHmi96Plw0tvHGEsVz6Kn + vWdaO+fcLmEU0MQuVsYXjR5w7eOf+9CrHvaO/3rzl9Y/jTpVrQGIGawQqBCN6lozlEAo/eXUSGfd + 5MpHhDGMCQwTQwCScc6ciaAEkBolUGJkghmUwdjsu8UKhveXc9veuQyxcc4555xzzjnnnHPunDGz + lBIRiUhp3V7X9el7we9CBjKQoQRHD8BQrhc+tFbfPpJqb1t65JMaZW0APpevUJX14i5jvUNGJRBF + xYBp7gcAIAZx6dKnABhg9iFK55xzzjnnnHO7HhsqMKCxpYkgyOjgEPANT9r79G85Im1KSgIIjIyI + sKU5zSxQIMfJaJheecfBw2//LyNT5B5AZgVlwAwMBFEWPbfjA865c6dEz87yOUzFtCRPzy5EIpKY + j4Ame1frZ37LkQOXDFVjEjrGoTSlgJpgWYlgBF0KoWEogchmA3Zl0FHnISCV6nj9aHvbbfmWD42P + TmrLVVXlTEiz+2uZVgtgaQkXtvM2h6BktrSEmhlKqKmpI1XTDrd9+dA/fXh86OC4TBu2krO7Ga8L + bGZaE5ShVGYhE0CgWgYAgYc2fHa1euBzrp/u3Tu5aF8fkNlAxqaVsigDmtnnHLv7ZD7arkrIBAWz + sSgLiA04OqlsVkRV8nUABohmd2SAQVACh2BmBExh44c/DAcusapi01PFFJ2aAsrGZDxbQCMyBFLo + gECTwLjmkZOveMBUhLnM21+6LykZyopyis2IJmRFbhhfeP/7q6x1hCYDGMasTNDMlsT0fE3oX2Rr + GROI4sZGY1au3NxWz2O5MmtmO3ajqQwIwQgbhD0PehAuuSy2TYRC/GTKhVbqTMqlMIaxgif9IFLl + ZMLV93//D/z93/9/RFBFOY9ZbhtCWE5lO1EIIYQAIOfcNI2qmln5Op1Oy3+llAAMw+CZ1s4555xz + zrkLS+cXo5Nflg19IoiIpJRSysTy4z/xGjC6nPN8LHEYOkADS55lKs/igZkB4JpHPuLifXsXB908 + Gy4AzZbi+N+4sxjp0gVGs9dEKk5pCJUw86WXXvrgq66Ggc7q8EA2++n/5X8eUupj3HvRPgNvTLpj + X8x7nt65/BdfHv0YN+0wDGZmZgcPHRytjENdcQgbk8m33nDdP3zolkwAEITrqtVyqD0fUz2RVCEr + omEw603T/B2Yz9kFLGAxYqPZabZyUZYEGoAIlP/NQJ9y1FkHIwOpEZgMOCHTerHqKAGBJab8/Od9 + 16hpYterKrOP/zjnnHPOOeecc84555xzzjnnnHPOOefcSZSpgyeypYtmTDa6uq7/+I//+Gd/7nUc + MAzpxEcCeFHTl3MuOdazRzMDcPjw4RKDB6BpmlLSldKJD+Wcc84555zbdkp8dc7ZzBZtGU6Vab2F + 2zvnnNtB2ILE8Srtb2ycD+c1veSRl3zdjc969RMf+PRL7QFt32oPElS1KCFGC8gEsDEbyBQ066s3 + y6U2MJSRGImQGZHMYGWgiZYqMRVQUALleTkhYKRgI8/jcMfbhqk6/h51zjnnnHPOOeecczsSEZU5 + fwA2Njb6vgfAzHQKF3RhzyXdHJqe/wswvu1P3n1n4NuPdmGlJVDWBLUtBWOdgUpxOVd/+szr0Q8M + IAMpQ7M0FYwNyPN0BgOMGMwn7ULonHPOOeecc87tPmamUAG1MkrV+Oho/Mjnftv/z969R1t21XWi + //5+c8619t7nUY88yQMSeQo04CUggraKDQgqb22JKNKtgtpe7Nb22bZP2nHHvcMx5A77OtS0Xm+L + ernqQBEQRQwgCgIGQhAIEUhiQiqppKrOOXuvteb8/X73j7n3rlOVqiKVnEqdSn6fscce5+yzzzpr + r7X23GvNM+fvK5dechDoAzRAFMVEIXo0EvG+LZlATZgBgE2g53ez2977/vKRjz8CFC0bHe0wgFEU + jsp+Oe7cOYsXyR4KgObjXAGAYxhUS9aYWuG0EVtcfNGTX/ri29UOFcU4GQEq9TfV7rVQWy685o4w + GcOigQEEw9jKnq77zNvfmYaBZjMOaEJjx4ymPeV620knjZ9lxgB00VQqMQAjxNhgq780pi9ce60d + +OLEEKFYZFovk1rqy1qG/c5fIMEIUgcgF5k03PV6mGP+8sesv/gFB5hyagsxjrbOYKjS9gecu5/q + G9IAIQgxQGQcQoAZ7r5rpCCbZ1qbmdbR8gBqe7KMZCYdTCLHjvmSr3w6Emkb9fgYni+Ftp/RHBNY + TQQOJiJDjNi//7yv+IpNoGwLxJr/jiHY/J6MMR/xX5s+AGDiABIRyvmeGz6JjY21pi1F6maoL8eo + CBebZ3if2SHTdSXrSPHEAVr6I5tRT9j0qRKMxUi3p4UbQwlWYIkPMF1y1dNBZk0zy17zYjfjYRhG + 7URVf+RHfvRDH/qHUmA2j6k2szplMcaoqqf4f2UppZRS86v6vq+Z1vX/nuPxmIg2NzdDCAAeyv/0 + dM4555xzzp1r9D70Z3Vb03ZlRVVTSjHG3//DP/jQR68rBg6EQPXqqT6TiGrcb2WGOh37KU95Sr3c + 3n45NA+33tl0ASiKAAAgAElEQVTXs5sQUc5ZVYnQNvFJT3oSE+w0u2dOIaTATO9+z7Xv+It3FtWt + 2cwI7Xj0wJdcd00ppY2paZqt2XTPvr1bs9lmN/uXO+54yStf/olP/ZMAxYyZBtFZ3wEnTrNeklKK + lJWVFTs20FoXQ1JtEYm9/fEHcp9VBpVimk0FpjWvmqioKNm8BCrMCMRMzCAKIRjBYAYDwDHglJfw + kZmAV7z85aoKIKUkIud0RrtzzjnnnHPOOeecc84555xzzjnnnHPO7Sg+rVmBzJxS+4EP/P1P/dR/ + AVBzqGOIJ3t+naUVY6wVLOtoRlVt23Y5KWz5+HKso3POOeecc243q6fu84lIi2kd4eQF2WtRiBBC + ndwhIiKyzLd2zjl3TiPjMlXraZJWI8Y8NOOyvi9f/Jrnvf5lz/iOS+nLJt3Kiq3MtsQUq6vt5qaw + KaEQlKFk8yp/WFSyIquzGJUxMAZCARgWYQ1rgEUyZmMABgZYCcKQes9eXc6d2G4LtvZYa+ecc845 + 55xzzjl3TloO+BORlZWVtm1V9RT/Jnwoq/3a2x9hRmq60Xhl72rZ6gS5TQmAnOHgg2hqhw9eMllF + KWZ1OGgAc8kZBJ3X8gNBCarbUq6dc84555xzzrmHMCF0XApJ0+ukkGRB0xxSw2Me96gXvmDjEY/Y + DJQVSlCgsyIByqc39qwbZDJCCJCSx0yTjc2P/z9/0H7x4Fh6kLCBjHSRTRtOHK/onDsH8DxwGlgk + MdeEVwCZ0UOzCjMbwqZiI6U9L3z+6pOfeDg1xGlEUJmPXySAjGtYbJgnv8Kgtoi2TapBa4Qz1SAN + jhS66eyj1+NjnxzLrJSOmZlOOg2jBnvQsbddx3ixMYpwUQRYqMEoBRZKwa133Pau90yKxBRgZotR + wph3dbISCZMRkSEosBiFrIQ+YAgoClBj1GxO1r781d96ZN9qs//8vDGQBSMSRg6mpErqo47dA0cG + MgPUSI0WKeyAssCG7o67xoNQUSgCoKpGEIJRDYpWqtntwCACoGiIa/vP/4qn3RV5iEFPvzu/hmaT + MTBvSZTYCGKFGaQygI+MV6549nPKeKXwfGVA8wjs+rZKgqBUc6rIkBSp5mUTzCSEaIZWSnvwbnz6 + U62aBdZ5pHfdKPOArQchE8gIRCQwMwsM5Dw9dIhEaNumq/sFAC8a8G1p4si1hVEMnA7vX+NnPm2L + YzYyTzTabYxhrMRKDOP1tb1Hjmz8wi/84p/92TtE0DSRQDWmGgAREVHXdQBOPX0xhEBEbdvW3LIa + cV1KqXMmV1dXiajv+5RSXbJzzjnnnHPOnS187K0i4+Vt+8/37N03TGdEzDF99p//+Rfe+Ett26gB + TKpWpBQptUxMkUIgLPoSmeadmY985CNFZNHjCAAEBd2nzrR69b39ttuccK0IMFVmFhGoQe3KKx55 + /1b+ZB2zKhA1AD/7sz9796FDsWmy1NBs3rYrT7ABj95wglWv/5AiIxFlDsOQOcQs2kwmdxw8+PJv + e+U/3vCJuucUyGocAhGduu+YFsVAt7a2ABgQYgTR/MYEphN8+8Dua4768t5gWvOqCWCmwCAYTE3V + tP5IVGDGIXAIMFOR48oJ2Lbhsgzkkp/19Ku+7IorI4eYwnx3O+ecc84555xzzjnnnHPOOeecc845 + 55wDUOcnzm/3Kd86q33hln953ff/4Nas1PQ6AhVRO0GpQwa4ZteVUmKMtXzloUOH6gQuZiYiVS2l + qKqZ+fgu55xzzjnnzglEVKsxmNkwDAAmk8kpzufrk4dhCCHUawRmrhHXzjnnzn06GbeRw6Ej09X1 + fVmUMu/F3nRw/LWXfdP3PffHvvLi54Y7x/ub9QQ+eHe/74IVUGEqoAJSHDvxkY52VS3DrUtQDhqC + BrJIFtgiLMISLChIiIW4MApbYVVWvW8TY93DhO22RGsAHmvtnHPOOeecc845585RKSURqf/wMzNV + JaKH47A/Ahi1gzsCcV6FkECpUJrOegDteGWWezEjxhmNjwlqe5vx5p1fRJuMILmAFZCY0nJQJ0MD + NCy6Jj2KwTnnnHPOOefcw4EygbkBjxHKrBPJaOIGSvPSb54+5oojo/HAoISmBRHuYxjD0YUTCBBF + FsQELTrpcvuZm+U9f3vBbDYpA1mNlZw/WQnqQ4acO2ctJmADgJEZGQAjFENMiWMopZAxmsnmaGyT + yZUvf+nmBedv9JkEbOA4D+YAiCyQAgYFlDCP1ZhHkoChgBoBBiMMRSes50+nt7/9z8bQseR+1hmH + 01r53dgZaNHAQmZkBoZFNgRTKcM+5vKe94/++dbz00iymIGXfZo2T+pdLoYNICWDMZZRwfW2qXLX + ZHLRc75q/elfcSDSZl/GaRQ0knGdDG8kRgoc3bPO3R/GNSC5Hppaj0BAWLP2kGE4eHA0FC66HMtc + zwqq7fnKUITx6BATP+JSPOry2aTNAQinfXweF8tUD3glCKsxjUJbsuTR6vqTnjTs3z8djQrXPw5d + xD/XFSQsB/nz9mUKAIgaxsTn5Tx84hPWbYbAwssEel00kw8KYyMozEySGXKR6RapHBNabwCWydbL + EzLGcpcRYuQSmuHSi/CYR+nKpBRt0ihS9CHfu1Pf9yLypje96fd+7w9j5BBoGAqAWsqkqlMIYox1 + 3uPJiIiIbGxsxBiXcx1jjESUc67LaZrGzOIi0Ms555xzzjnnHnz1Qvu4+5NdfZNh1neqqmbd0P+X + //ozdx08NO0HChBRALXHjYiIiIHIDMyzgGOcX1jt2bOnXmQZHbPk4744p21/OfVVmtl4NGIQEZnZ + 3rV13J/umZMSlRATgJtvu/1H/vOPGpGYyX3rSDluv9/7f1pGiDFmFQqcRm1X8ic/9U8v+uZv+vRN + nwMgwDKlnJnt3vVCj1taKWFxIdy2LYBSilUw2Alu9fEHcj/fDSe4J9Qka4BDmAdsm4GIQyBmFdE6 + jJlO1R3OxAS88hWvIDLAypBFJKX0pbe+c84555xzzjnnnHPOOeecc84555xzzj1cfOko6+1PPnxo + 45Wv/Na+H0KAKprUfMlfr7Ura3Z113Wrq6sA2ratWddEFGM0MyLaPlnMOeecc845t5vFGEVEVUej + EYDZbHaKJ9ea9rWMQ706ICJmL+7hnHMPEWJ5kFk7jocPH2rTiCwkGa/J3nZz9TErT37Nc1//imd9 + 58rm/jhbuWDPvoMHt47OJSQA8/pdBijYEBVRwbr4EWp1rKNx16wgICixEhvi/OFFUSndjQX4nDue + nwY555xzzjnnnHPOuXNVCIHmASzEzHTKMnAPSUYQRmEIwYBoiFpDERiSGmkiJyaUoWvaJhsyn+Ge + a1LTIUYARQAeRTCEap3JeZZGBKCAgBTB8KVqEjrnnHPOOeec29Vq0sDZXovdroYUKgggFZkkjpYJ + MmtDv3/lKd/77+4crWGy3mWYIQjS9lzJ+6BmVrMiBmiBgdbQXH5odsP/+D2++dZ9s05hkloSncTU + m+SGzXfa2aaqNaoQNelQTy/L/NSsJjds+7aOm9/BP/FQRURQrZMTmE4zYf6Y5UChRFTnM5vtZBcY + GdNi2J/SPLpVCBpITBkGNSYCeAo+vLKKr/mq9qqnljQJghhhCjl6gDAQYFyXAFI6GkEioKwsSlYf + 1QiY7u2m93zkI/jE9Xu76ShSMWXmSExqVgRAfb3Ll7zr+//YEBDiUHqwcQyq1nBKZq322Dh001vf + eelGiTNlJjUQEAxJEbaNJK4LUiiHaISisAZdQUMUBGroVlc+f8H6Bd/5qm5lneJEVSEahaJEMjJS + YVWSs7sh7qP7fTDTiTLNd/at4QCUUkapJTOTEkAANJCRGgoSf/4fP7afQ8NBa4h1HTAP1cUoeQBB + OSiPEh/qurvX16944QuGEPrAmlJROa0dRgaGMgzb2gElCKHAjKBDHqeVQ32P1dVHP/+5t6fQK6c0 + HnT+vw8ElGMXufxqHv9MKKLMCLmsTmd3feKfkmSyLBBlsgiOIRGHoiJCZ75shBFi26gZk42y4MjW + 9J572vl0Na1PqFugiowsYIoUQt1EZoCB0R4u9Ljnf72utYfzkEITmSWXE/9V9yCq2dLMHJrUF4Ex + U0yp+dVffdM11/wOAFEUsRAbBYnMG/ZlW1fKfCcy8zKXevlFPVUzs1riRFW3z3WsuVa0cMZf6jmF + iJZRYV8yC+1k6pl5va97qu7B4z6qzKzv+weyts4553ZEbZ+bplk+wotI1PqtqpqZiOz4JblzzjkA + ZkYGBjGIDND5tynEoesjh0Bsom1qIod6mh1SDE36zWuuecdf/pUBIbLpor9gcTZeW2zR+cUUMYYs + zCDg9gN3ZClGJEXNLDSpXn+llEopPE/CNmz7p9W9T+aXT3jwttSx6mtcrt7yWwICM2G+JeuqhhCC + hW42tO1Is1ix3BcCSE/Uy3bfbeuuNaCUUvfD2975F7/xW7+JGMRM1SJHBjNYi0aOWpSMTLTudBOF + KBkCqK5wHb4rIjnn2DZGEJFiaoRBxQgfu/4Tr/zWf/v5f7nd+GigdZVLPsXKLtaZJSuMCTz0mcA0 + r1XKsAf9prT8WotJ1vkjSlrMBMc+E0y1ewwhhPkZC6iuegK94mUvj8xlGJqmqSWTHsjudc4555xz + zjnnnHPOOecewpYDAObVReDjAZxzzjnnnHPu4UaxmAFdxwo2TTMMQ9uORUwVs9nsVa+6ejbrh0FF + EDgMeVCYLa8libCYpbXMqDazOrKrDj7HYmJRnfNVH/FAa+ecc845584ttVYDM29ubjLzcjrPciLq + cXUbtk9QXdZ/cM6dWg2GOK40inO7jZHmUCSJYto2ZiWTBtFoGlfjOm/QvtnFL3jCy17ztW944t6v + zHfTJLWmAMARxhgUBaAmKAcBFQsFoSAVS8WCGIkhSx8ayTYVyqHhokIxFDEDa61uN8/lABnYfP6g + OwYRDcMALCsnYTfUC/Vm3TnnnHPOOeecc865c5gsboTtoy4ZFDNiUQPAzEUEjMBnvDuSUFJUWKYy + E1iGArHAQDXEAgCgPF9Vny3onHPOOeecc+7hYXEFzDA2EuOsIXfJDowaPPmJV7zoRZ8nHhqWAU1D + ENBpXjKTbf+VEIquz/oLNo589rffvHZkuseQ+9kohs2tI3Ey2sq93v+4Xrcztg8aq1F8OziMbHu2 + HxaD6b1s031FtFOj+s7c0EA2Dgo2WA2IZci24d0MsMHAirAZ03Rl9PhXv+rQ+rqu79soMEOdQGHz + G2uderH49RrWvPhOAa0hHCHGABtb3t/NPvbm318p0uQ+Jso555yZaDQahRBq9sa5MklbCV0RMR41 + Yzb001kKbKJhNlxYhtve/vb0LwfOlwCRrBZaEgEZSEGGRQpwbYGNCbkUEELA0GO1jTSQKpXJ5OZA + T3vtd+LRVxzMJVAKhoa57iY2JmNAjRTGu3w8pzcju19sR1vdTESaGAMxAFVRGVZI5dYvtKXXfiZa + AgOBFTV2+igC2ChokKxYXT144X589bO2UgyxOXxkYzRZfYCrpwQjKBsiF9WgFEAc0j2wtWc/8549 + q7Sy1nezSWpgEAOYIgNsNo97hoGOeZswmMCMYBgNeuCfbsDWxqTkABPTXkufs4klSjHGomc2PN4A + gU27WdMmlDzKRW7/YlI1zcv/3KAmiANKAGCCSQTMimQDQkAgCPEmp37Pnv1X/S/dntUceBgGLZo4 + nO75odtZzDyZTJqm2TiyNQxlPB6XUlT1zW9+86/8ypuIwAxVbdu2lHLqyYqqWkoJIdQAttXVVQBm + 1nVd/UJEfOLWWcHMwzDEGJfBeERU48zrPRG1bXuW19I559yio6Pv+6Zp6uXnMiK0bdtaWYyIQgiz + 2eysT9tzzrmHnhRiIDYzE60R11jMlK7XRDlnM+uGPufMMcS2EcK173vvG3/5v9Uxg6Wc+D8U9VGe + 92kgBDKDAO973/vW9qyHEBDYCLPZLDQpjdqNjY22bbOUZY+uqtZaGE3TbF/y9jDpM7pxvqRlCPQy + 5Dh3fQCllAIzgPopVi8ta1Y0M49Go+uvvz7s6HBLIlIYRVYghPBzP/+LH/j7vwspgaioUOAspWma + vu8nq6vDMMzr+KglDjHGABKRYRiISHIehmFl794QwnQ65RQRmGOwwGB67wf+9mUvf/kX7zwQUlA9 + 9iU8DD6l6yGXUlqmVhsscDCzb3rRiyajxkRDCCEE7311zjnnnHPOOeecc84555xzzjnnnHPOufui + DlOcTqeTyWRzc3M0GqWUvuf7Xn/rrbfNZj0AIogKtk2xX1lZ2T5GS2Q+2XAymYjI1tbWcti5h9g5 + 55xzzjl3TqsTi+oZfgihFkGq007rtI56jbB0ttfXOefcGaSk85qfpDqv3KRGqoS+H4KOeNqMputX + Peo53/X873v+016yOpw/xr5AbekgGaOWmDGbiYggMEUQMzFTYArMzMzEATGWmGAY+jxVywqJkWt1 + Kapp1sqsFGrlLP/kcbueF71yzjnnnHPOOeecc+7cRkAAgG01/5hB1I3GBcwMJTCzGXI+053Wlosl + KcglWYwwIADEYABG0Fp8knj+GD0sShM655xzzjnnnHM10BrGRihBh1Ayl4HRh3B3M7nkNVfP/tWX + 96M1AWB8uqEKZOBlzKrVhEdTm0266ca1H7B3vfe8WVljSJm1q02PbIHNr8d3mx3NWDousckDnE4P + ERYb7f5tuDphgRa/vUxM2ZnVW0SisoHNjFCYCrMS16YgmJLVdBgl48JxYzLBox/1pO+++gbWsLYy + GNCkHlAyIWSGEAfloKDag0dQgjFACIZgYAuwkPsSmIkwzt3Wh/7R3v2+C9so3cYoBiYiNRVRkcAc + YzwuHsZ2NHBlBxlAbUJgLhQzxrCGTUz3MvCZmw78+buajU0ORHWvGi1DcY3mr4jm+wJkiAFFUQSJ + gF5VEUZ7Pl/Kpd/w9WvPe+7hUavUhMFGMUgZlEwJBASbt951y+9Oy6k4RFTn8Z/2Eo49BHxizxli + gKrGGBnIQwcpgbEa49qsu/nDH22tRFgAyAiqEQhqZGaALtoWAhOigo5YuODf/GtcdkEOkbI0zajP + w+kfoozjzzkUgILNAhlDicmGFPCEx17wjKs2CggRiFAyhaoJIDAlCCMzhI87kgBACqBYb4IeOjz9 + +HWrs82xgYhQJwBIiAgMEjkmXvpMYOaiamzJsNqX6edublVqjPVytRVQmjcaRQFaRBYlFAUysoY7 + 2nbfM56OSy87XIoQQghsID97O9u6YRhK6YYSmrSystJ3Ocb01rf+6c/93C+lFNRIFCGkvs9YZFad + TA1XE5Gcc9u2m5ub9VdqXnLNsqrP9NbyQcPMOWdVbZqmNqQ1Rk5EUkoAUko12RrA1tbWWV1Z55xz + AGBmTdMMwyAiTdOISM2x7vu+tuEAcs7j8bh+7ZxzbgflnDUXUovEy0sYVT2yuUkhgDmk1I7HalAg + xNTlct0nbvhPP/bj034wIEZOKXAg4N6dhqqAmsYQAYiYAUT4h49c90d/8tY+ZyPE1ISUpt2sqKys + 7RmyxBg5BiMYAUxGKKV0XXfcone8n/Z0pZRqMnRZEBERWV3dM/Rlc2NqSim1RMHAHNIgpV5dZpUv + 3HrLX/zluwRIMd2/v37vcZq1WGeNGB9Eujy88tu+/d1/cy01set7UeUQ+jykttnYOLK6vkbEy2XU + zRhCGI1GWmRtfZ2Zu40NMU1ts7G1GdumlyKm73zXX73q6lcfmU0LIWeZ7/dTuFdXMgGLTut5v/ei + f+WYG872jU58m28yMwGBY6jHYa2XevW3v2rUtKRWc+IVQuH+7V7nnHPOOeecc84555xzzjnnnHPO + Oeece3ggBelkMimlhJBK0cnq+tasf8N//JEPfvAjfZ8N4BBAXAejEZmZMGNrayMEAjTnvmliCMQM + ANPpFMBkMlHV5UB055xzzjnn3LmrxlfnnEVkGAYzExEs5sK0bVtzr+scn2UBAeeccw9JDBAKoKh1 + OkmVs4bOKBs4NZOYRpLNtnBxuvQbHvPi7/66N6TpRaGcn3R/lFXICIJIWBlHaDbtFTOzzrQ3zVCB + WsnIuXZDCai0bVASY2NThpIhGJKiETTCUdgLSLkT2lUlpjzW2jnnnHPOOeecc865c1hY3GDbqg8y + YWVyaNRo0xRBgPVDDoZxG/gM904mxmoT0RVsTiF1xZgX/ZAGFdSwF8+0ds4555xzzjn3MEIGAEYs + DCUYwUiFMVDYbNLW3vWv+sEfuGt9T17bcyRLiqd/wWygRa1/AhhmsJT7R2a9/n++BZ/89EUbW2sR + Xb8FzU2MO/zy3AOz87HHi0UtY2iJyGMR77s69xgA0/3ZL8f9whnY8lwHyWKRRGvEZMxWo6kVJKAa + mKFCNGO+wzR+y/NGX/Osmwua0VqZSQKMVFmU1UiBo20IcDSFlutoXIDBZCDRQIg5P0rwqT/+M9xy + 63laUs6RmJk1F82FiOoEj51+1WdMIiMgWyM0aRqdbU5kaIa88bY/H3/h5j2MoXQhUoyxG7Q2z0fD + v8FYdHMKQImZYUCkpoA5rB5Qosc/7qKXv2xYWzmoSu0YSqwwHZS1sNb8cDYGGJjvi91mB4/hRYhL + /VD0RmnnZTUOKUQqMrChoRBKabt+MpUDH76OuxkFhBDULCsoEqEOf1904BMIJEx9WDmyZ+3RL/iG + 22woHLUvayurfXd607EMLERKqOc+Rx8GACawIZqZSAmT0dT08d/8LQfbRtvVIWsAM6CKojACSAEz + goKVjuY7i4IZRAQFCBeN2hv/8q8mXZ/6wbQwI6QIC1JAauHMD5cmsnaUum66Egi9HrrxC1GUt592 + HXvUBwBFFBo5iqEXEKi049vH7SO/+YU9aEtFmVIKXhFjN2BmIhKR1dX1I4c3iejaa6/96Z/+aQD1 + RCvGuDz1OvXn4DAMAGKMAGr05rKlrTu6TozMOdcTEvcgyDnXhDkRYea+73POMcYQgoioqpmllGqp + mpWVlbO9vs4554Btlyo557Zt66UoMwOIMapqSklVo/eDOefcTmuapp4/F9MazAyAAq+urhqQSzGg + HwYEjqP24JFDd95z99Xf+eqbvvB5ECmQi+YiKqfqGtrWH0UxRQV+8Y2/dOCugyGljekWh7Cyujbt + ZhaIY8hSao9u7ZmsSdv1E8EWaKE+flaISF2TEEJcSCkNJce2mUwmYjqdTrMUMBUVMZ2sr3Z9b4Qf + +4kfL4ABQyk71adWr3Sa1NRY5kIQ09d+z7//w7e8hdsksKzCTbLAIOqHQWFGMCYlZJWcc93sTdNs + HDpcP3BTSmAaTyZdHsD0lj/54+/696/d6GZ1yKgBcsr9/kDs2uvnEAhAjQ9nZoMxMYAL9u3/yque + EUBMZGbDMJzd49M555xzzjnnnHPOOeecc84555xzzjnndp86wA2L+8WjqnWqV4xxa2vr137tv7/1 + rW8nAhajsJaTvLb/1jLEbhiGOl3ouCi7usBzaX60c84555xz7kREJKUUQmiappSSUgJQywXU8g6q + Ws/864+cc849hLFxUJ7XO2M5WuEtIsuQUTgEHaCH+SJ75FWX/+v//N0/+4SLntFM90/kPN4YNf3K + Go27u8pY0kjTWNqRNmNtx9q2msYa9sY00dQUSto2NgoUNRfJA0gBZRiZsiIYsyIow3wKoTux3VMm + 1I9R55xzzjnnnHPOOefOVQQEQzDAFKQWYAEAwMAkfMc73rppObZxGMrqZBQIQycPwlr1h4+8+YXf + BCUUZaMAJiXU72ECFYYxCqFAd0tHqXPOOeecc845d8awISqCcWHNDBiTcY2QjON2U6VrJ7j08qe8 + 7ns/HknW1wc5mil7moiICAKIsQVYO5utfvHAP//6Nem2O9PhuxtWm3UjUPAJlWfb8VHWZjs4zdVn + zN5vdY/UIJYaMXJ/FgIAIFBNTNnJ9QOwiLIWNiOtbQUrgoK1jgU0MiUzxjwA1QS2Z/3QntHjXvOq + 7vIr7tDYI4WmJYChSmIkIF2OJDQCsDiGDLWxIug4NCYgQhywd6uLN33+tj/6k/2CNJ2ZaCCOMdYw + yJoHqYvsZ11sRTs+0XUXIM2ly8NsEkYRESW3ub8g9/r3f3/ru95z4XQ2IumRgXkgLocIgxIKo3Cd + B1/TdtUC+l4DYRSDiMRmbTM1d62On/Ldr8YVl22kNo1XsxGHgCKJOXPJQYUVxmQpaO3Y3aVv3mPm + 8O/Egb17RtA+lCgxKFBM/TColkmTEoH6YWU24OYD8bO3hOm0SIYZB1agqBmDADawss6bF+0Dbhun + 8776WeXSC4eViYESpb7Po9HoNNcHQhACoMGUDJjHwGtQCkhGXACxoTS4A8C/evLaVU+9veGOmMBt + YDJogDIAkKEuoYZbY/EtgNAkIwwzGecyXP9PuO2OtVyoqJRBRJSDgc0scdi5jX1iojkxaRlSEQx6 + 6DM3Rckw3d7+sYEXswtCk4rVn4kWBEJqxpux4Sc+Fk970jSF0WjCAVkGgZmP9z7bYmxUAXDf98z8 + kY989Id/+D/1vaysrvZDATiEpFpSCmZC9CVauRqWXNtVESml1K9jjH3fhxCWSZwPwktzWMw4FZEQ + AoC2bZdzUGseXi1PM5lM+r73/eKcc2ddKQWAmdV228z6vq9ZocswyNp6ezakc86dCX3fD1KUUAOk + KbARFCaqXR5Ck6iJneTYpM1u9tfvvfaqZz/rli/ezjEMZgRq2xYATtT1Wq+fmaKoARxCMNgwFBB9 + 6sbPvuSlL/3UZ27cu++8ad8ZU0jN5nQmNu/OXVaWLKXUz4hllDUWnVEM4rMXf7xcz/rxpKqllJyz + mM36vqimpg1ta8RiKGqI8dDmphD/yI//xF++528EUAq6M+vPAIMCKPR54BBiSmrIaoc2Nl73Qz/0 + vd//A1/4l9u4abOUoeQ0agcpaqbLXO4UOUWB5ZxN5uVBAYipmHWSlen/+s3f+r7/8EPGJMCoHaki + hHD86rHS5n8AACAASURBVNu9bvdy76ec7JforIZbn2zdVGvPKkAoJQNoR4mAF33jC/fv2yel1F4v + Ew0heK+pc84555xzzjnnnHPOOeecc84555xzzi3V4VVki7Fhi9ihra2ttm2L6ZHN6dve9ue/9mu/ + DsCUajpdHViO+VxYBaCqTRNVNQTq+z6lAIAZzDwMA4CUUh2FuH1EunPOOeecc+5clHOuhQLq2X49 + 7Sei5eSXOu2ozkv1eRzOOffQRsZBW7JEUOOhZlqzBjI2K5lmmWcSNTXjSdw7GiZ8V9zTXfTa533/ + K57zHecPl+6dXXDecFF7ePUivmiytT7ZWl+pt83Vla3JytZkvLXeHtkXD+9pN8+bDPtHsoppSGjH + 7RgASJWKkRqpbivx59x2u/BsJJ7tFXDOOeecc84555xzzt1fy7J8DAOkBl0DIEYT0QZNCZubTChd + FwkhscmZ7KMkIGClSeN+QNcjNUgRgBmR1QwcVYS6qjirZQSdc84555xzzrkHDRmzsWKe7cpGZNEY + bDTkPo3ajWnfjiarz/v6y2/69Of+3z96JGOPcbTTCUuj2klg81hrAhgESkXOL+Wu666/+//+3f0/ + /h+GobSjta1+IJ9RuQsQ0fZY65ppsSNEBGbzxI5dN1xt91rukTpj2QAmUr0/KfN1w9sirZyIdi7Q + mVHDYgEQlECGZExGDAPUSAkaoGLRyAACU080XRmPHv/Yp7zm1R/83371CUypuycyFOBjXl6AgWDL + 1zwPka3fSEkAFAFoiu2ddrf81bWXPPOZ51/1lUOIuR84hrZt+5JLKU3bqu7YIX1GtQHDrOdmAuFB + yiWjhM9+4ZO//wdrB+9eM0D6EUOlkCABWHSuGmpMitatowRKKANMMAos4C2mT4fu8d/6Ujz7mYdW + JtPAgxoBRXUcY6+9sgobJMBiMADKVGAGUthub6I9znPXMhAxSdYUA9RK101SSDY68ra/Xrnz0HoT + rSuiGmJghi1aJkJ96zOxATJNuPO81ee8+JsONrEwNyFGpVnXp/HodBsyIQ7z4hHKxGYAFAZCIAvG + USgTY1oG2re/O7L1mJc8//0f/8e1nKQbYl23RR4RL5ujZatlCAFZALKUkg7ZOrlw2ulfXdt8zxNW + UtrQrpTShBXATHrDmR3cTwAZVHLbNtiY4a5D/W0HRoGlVzrR+9oIMBWg5ahWGOAUZmKHFE952bd0 + 41iaxpSgZmTETMRK50a7+lBVk6djjITw6Rs/8/rXv35zczoet5ubmzHGEFKNu67hx8x8slO7GKOI + LH9azz1ijKpqZiIyD3hzZ0PNRl1+zNViNHWXNU1T91GM0cvTOOfcWRdjNLMaBToej5ePD8PQNE3X + daPRCPOLcaiqN93OObezaukWEVEYEYGJiEA87buVtdXZbKZM3TD8+m/95jXXXHPzF+9QghFKEQAG + 6/ueAtvJ+5eYWUUBgAIgHIMWiRw+d/MXXvCN3/htr3jla7/rNV/26CuapkkpiUgbx1TXR5WZY4xQ + E5FluRksTu/nmdZnacxiSqlut2Xhy5q9XXthibmYZikcY2oalNIPw2dvuum//tzPv/u91xaAU1Qw + SgF2qLPZLDWNCuqmA9C0KQ9ZDX/8tj/7i3e96+qrr3796773UY96lDJxDEZkokUKF4TIMQYy1iLM + HC0QUZYSUioqIaU3/vJ/+z/e9H8akNVA6IZ+NBl3s1mIUXLZibXfxWqf26LnzQwpci46/xHQ9z0B + 3/7t387M9WioHQ7MVEohCmdz5Z1zzjnnnHPOOeecc84555xzzjnnnHNut6lp1nR02OHKykrOmYg+ + /OEP/+RP/uRysv5yTlAIoc7eMkMdSz4MhQgiBiDn+cSuruvqUHNVrbPyd2GKjHPOOeecc+601Pk7 + RNQ0TZ2/k3Ou5/zDMNSLhXq94IUdnHPuoc8YxgyYsRkDvKwBRWyBQYFEbciFxUbUrqV1ZOo3+q/+ + sq95/AWPvfXAZ6f5cGyDktRfJCPMF6GgAmO2EZhKLNaH/bh0pOvUR2EjgrDBVAnCxvenkKF76Nud + vZEea+2cc84555xzzjnn3DmOIIAAXDMZDABELcRGiEGBTQKzwKRoojPbfy0ZPNLYZxgjBhBMQAxA + YRoIBAbAhlpAGMAZTZJwzjnnnHPOOed2j2AKgJXZuMZQ97mPbYBxNx4nhMu/49umN31m6/obxhtd + vM+ZoUZQsBGUwNAAEEEBM4rMsQg2jtz2N9c2X/7YfS96/kHOCMEvxs8uMzu+h2ZHY61LKdiWxoca + C+ruszpv+YGP9bMF7HCyNQwovIiiNdTmwghCCFBAg8JYjVSIIZJFODWHRnzx877mkps+O/2Td0w6 + IwMTos576AxEYAIYeZ50a6jdeJkVAKk2gUyNAWpiM+v3337nzW/508uufBwuugDAMAwhxRBCKeW4 + sZK67Qjk3TSKMpi2nIhoKMWAnGJ/1wF769vwDx85P44gUyKLBFWoIiKKSW092WDz11LTxNEzMIJN + kaUojw+OKH7dM/a9+pvvWI3D+trmrEMZRmFUcq+jKCYgVWJwUBAkBpRgGVBF2E1baB73TnPzb+9H + rLXBaJ5qPH9rYFcOqD3XmRk4InAIoZ91UXQlJdxyy+f++v2XbWlogBY2wFSNAyA153nxZgeggMwa + u+gbvhpPfqw2jXaZYiuwGGMufaDTOH8wgjCgIEMwLA8aAlgJSsJcGByp16Ft992J6eVXPXHvs57a + vefj1rFpqX/N5tnbyhYUMDoayI3aHpYcU4qjMC4ynubPvef9j37pvx2tr5SUNsugHJmgeRaJlXau + IT4RZuRSmkmMqvj4Dc0sN/dKyiIcXflcVAFEogEpIKt2iouf8LjVZ111SyBmzn1PUTkkNURmeKD8 + WdU0o9lsNmrjjTfeePXVVx85MmXGbNaPxyuz2QwQADWTLOd8ivO6UkoIIcbY9/38lGORZwagfozW + 4OStra2VlZUH7QU+zC0TT5f7YinnXOOuzWyZe+3xqM45d9aJSIwxxlg/W2tBgaZpSimj0ahGmdaf + xujz5pxzboeFFEVERYg5hGBEqlpUxmurH/34x/72b//27e98xwc/+MFBrEnJ5l2YBkJMqeQMIpOT + XOISYChSCGQwKQVgjo3KzAhqdGhr85rf/Z3f/d3fvfLKK5/73Oc++9nPfsITHvfISy5J83xooPbu + qpVSiCiEMA+31poeDDp7feW1V+1o9jagqiICYuNQyPqcU0ohxetv+MS73/3uv/7rv/mb97+PKCgQ + YlNKASl2rps5ppSHAaQUahUF64cMgwEphc2h/43f+e3f/J3fftYzn/mC533Dy17y0kdcdPG4bSNR + GfJQigVjZjDVVGzNOcY4nXWre/e8/ge+/w/+6C1t20z7wQBimFo3m2He984A6F7dHCd4WfdlX9nR + 3z0Lu/Zkf5K23dvRSqkUOYBkkCc+9rFPfepTrUjkAFELFGPMMhidrdR155xzzjnnnHPOOeecc845 + 55xzzjnnnNt96MSjDWso3cdv+OQb3vCGUgCgbdp+yEQwGDGDCYvZXcvpsGYIgQCIWNumruuW9RZU + tY7rq+PPz+JQQ+ecc84559wDVKeX1qkc9VQ/pVRKATAajbquozoTqpSc83g8Ptvr65xz7gwymvcM + kaaoCaRGWu+JSESpcBMCAgmkwyyBxjmMS0tNs3dlfOllF1lUSrEIEUVYJGM2AApSoADQEizA2sGE + m27PiqyTGYlqVEB0XqJOyRimNWPbuV3Oy3M455xzzjnnnHPOOXfOIqCGQyziYOZjIZmZGhBnVQCB + SEQtUAisZmcwOcYAIHJqGSg9DFBQqNkuDDIi4uVI0ZMMGHXOOeecc8455x6ilAxsMGIFYEymk6Yt + uV8br2xOt2YpXHTBeY//wdf9/c/8fBrujNazgQwMpeOv5Rn1KpzmPyKeh4QKEACZZ0CqGVTLeghb + hzc+8j/+59dedOl5z3qaTNoeXJgXFfUBUhjTMtOSYIsYWrYTpAsAsBMFY9PRn54eghoBqH9pvuTt + PRhKYDvamaBgAyupkdp863DN8gYgDEBhzAajmtaJGvt9dP0XX5stV5vqg2RHf3qmGIMYAJEpwKYw + oaLAMnHc6saYr9LpLZ1NFMYRFogMAhDIyELdLABv35iwh90ox6RQQiEACMY0zydWELEpxLgY1enK + 92viMQEgEoYQm82PJjJWEoCUlI3nhzTAhvsXs2pEADPA83GuEIISCMxQXUbPkoKtadrNLit4vGft + UVd/66c+fF0zbE7ykVZBmKcoK82/WL5l6ttHaf4maVLUXIhhhjzkUQz7Zt2N7/+7tef83d6v+xq5 + +Ly7ZrOuZKKwvX0Ii3VbvhlxkkP6hBuB7vWEUydkK6G+idiUoPMtw1CwEciYjak2jwRhBSDd0DSN + 5WGstn86Gz76sc/+f3/yRA5c+qyWGFmQIkwBMjYCrGZaY940EYEBlQEImIzCVqF7mKeXP+Kq133f + wfP2Ttu1zSHHEFfGozzNnOJ06NKozZjVRh4wIzUDdl9oCQHBmEG1eSVDMCUtrCWTzvepYd5wkR79 + GsvdXZN2eP5pU5t6UkBBsFN2Ed/vz5QHJgIKqBKsHjbQYErGAISOHl3YdpxvOx4Yi9fO0Hq42tHj + lsM8hF4xf1DrUrZ90PNimbz9eDecPLRosRmNIDVIyog5NrB9qRkf2rz5L949OXR4f+DDW8UYIwKB + VAVHzycAqBILhxzozvH46a948eEUZsRNSqUIMRG05Xi6ieZszKY2b+UU81BnVlUyE4iZAkgcNqfT + /etrXVuu/MZ/87kP3HB+06qUyEoKpuW2tvpiyeqHl6qgaYDMQ84GapjybIYDd8hNn2zOn4z3rm5J + UME8mD1yLUWxfatuO9vB9rYUUIB5/hGpi0eOvi6A53uN1AAlZYApWdeHaJMy3PrRj+yBUM7b39aL + v6IAFEwhaMlQredvG2LT89Yu/8avz0xlMk4UmQBWMxqGITThtDa+22k8m/aj0eTmm2957Wv/3ZEj + mwBMCbCu6wCUUlJKOfcAmBen5idbFnPNtF4GcNZaJyISQqi/aGaeaf3gq/Fytc0ws3ofY6zJcwDM + rO/7tm3P9po655wDMwOoDbWZiUjTNADqh2kIQUSWzTj7BE/nnNtRwzCEEGKT+pxvufWW667/+Ac/ + 9A833njjB//hQ/dsbC17MELgLmcFDBZilFJKzsRsqrWhPtnyDeDAEAEREZWuB0FEFEgcRAXQT3/u + pk9fc9OvX/NbgO1dWXn0lVc+/vGPv+SSS/bv33/RRRdefunl5523/+ILL0xIDSVmrt1S87P9B7m3 + afm6zIgoxkiBSymHDx/+4oE77z50zz13H779wB2HN47cceDAdddd96lPfWpjuqVmBhBITEBcSkHt + Dz7NzplTmO8OUzOr/1Kqe8cMQ5YYyMzU8Hcf+tBHP/rRn3/jL19+8SMe+5gve+ITn3zZZZdcfOFF + l1122WWXXLJnbX0SU9u2Q8lENJqMX/1d3/mn73iHGHoZamey6XzJ9R4n+u/SQ5gBovMOKVIrRQPw + she/ZJSaUoa2aQbpIRpSzFKaprGTvjOcc84555xzzjnnnHPOOXdCfHSE58NvZoRzzjnnnDuT6qnm + brh3zh3LOMbwmZv++Q3/6388cmQGoElNP/TE0UwAmKqoAiAC0dFhdyGQyDw7qOs6MzNTZhaR5bDz + lNLZelnOOeecc865HRFCAEBE9VS/7/t6ql/n9YQQaqB1SinGWCs8nO1Vds45dwYZaa07V7/VmnVN + ZmpNbFkp52xssQlENgzDirVUqO97TnHv+LxBZOglckOIbLEW/gMASC2EFbgtQ5/zjCi0Nsmz0iLR + 0dJ4NC8IuvhOT1Q3z7ldxWOtnXPOOeecc84555w7V9kiaMqAmp5iqKFIUCAYEgcAahZi2CrSNpGk + nMk1IjayzIEMrJC6HgpSlHi0w9wAmqcz8WknMznndpFTJHMs0f3KAHPOOeecc7tcPRX0k737yEjr + OLZFwGrNtISRlkGapsmSNQHMhyYr4ZFXPvNnfua6H/2JNaZuY7pvPCqzLgI8if20NPNECKqhziDw + PFXUCGhsEYBpqJnVISopRGQ/N1ce2Pi7X/7fv+pXfub8x1xx1+T8QXnSpJzzIENK0aBBERaxnYWX + cddgGJnW6GsARjU1Wo2g8/gBXiRwz4M5hfW+j5ojKEO0Bt+CbZGxrUezDerfUpDV6AMFCnNhFdZ5 + nKcREMgMsBIUQFxMM60ptAoUPvq4zh+HgaIRQKiRwAau++t+7+/7JIpYSBEqUkqN+5S+I22ADOox + X7dF3OYxiadfAhm0K8gaszJnClEBiIWYsiYjkNVemQIAFhddMw+Xye3BkARC6BOEeVzAyiBVUmVl + UgjxprA2TCI2IMD0NLY/ACJksZ5pCBy1mAmDREQTKRCtBrAHJTQqZDXi976X0FIGdJ6gOg/E1UWo + LRuMghorEWpWt2KwwiG23ETQwX62evH5T/ipH/7wL/3ihTf3F8z6kJECsoKgxCHrEMFGujj2lIF5 + oyUKsBiMqTAAa6Vc2nc3/fffuOoRFw5rXz40QSlFhNjEUgaLCIq2AOAuopCCACjZ0WG1dEyrAiyj + 3BdPWB78RtDatmwLl73XUcsGXmxMZVjSUlsqZWTjJv7/7L15tCTZVZ/72/uciMi8eYeqrq4e1WhG + yGojIbCM5YUsrIdAIAYx2UAz2kwCGr3nxePZj8mw5LUMtjCwWLaxLAZZCAyI5wUG9ISWwSCQeCAh + 0chqqRsJST1XddWdMiPjnL33++NExs26Vbe6rujbVdW1v9UrOitv5IkT04mIE2fvr5ZdGYeKI213 + OzJixJiSHW9qmZ0+trPLd//VB17300+Zp5CTmTEDhkCwDAZKQLsSQgAbkqpaLLLhIGHMAsZcZGe0 + 8sh1Jz79ztfYrZ9y1hhCYwIZUtdyYMCYY1ZlqglUHNulHdNeMXwF5VNj40pYTFFTVgmKqIo8Y5sb + qxAHA4yMehU0gH4X01IZUAABpJqZe1d2QkZFwggKQtmtezu07HdeSLJLH7gulNJHusYBjZkk3c0M + bSoDWFJgjp3CYooVAqK0nBUwpmgQNUtExkQUiSOyWJY6RE3CTFxHIWQVYQSlypjMcoCSGilgCg2G + 0DvOy+ZiIy7HA6Pvzy/ObKWljHv9ia9FxVTaAaIAgDl0s26N4spuiw985JHf+t3b8tTm09UAlMuE + aWAAECGiimvb7VIdaFrXZ9Y3PvkrvsRuvvVMXWcKBjLWYBoASCY6xKh4MkRVMgjDFrcohgACBZhl + JgWZZSLEFea55IfD6JNe/A+u+zv/89Qf/PGGxDTtJg3LXIlgDCGFFT89sBDBW4cIYlSiItCGUefN + 9/w/b/qM5z/L2qayiqzKkqiOc8sBgYx5cawJITMDiIpKUQmMVAOM1MjIlLQqCzLKwgJSViZjBYIy + G5HBGErZWMRYJYzCpOp2MN25/33vunXn1LiGpnJ/1Z8VNDRoIFAUJCPEhrbntrvetC94Dl7+WdNx + LQZVBUWDwtBU0TT525THm+X2VoH+tAohpJQIIYQgYiIyGo26LjfN6P4HHv6n3/ytDz70iJTZmUwN + C99UcVoDGATwVVWllAA0TTOfz4dvimUTQBFwFrM1FhGSJfvJk+NR6xJeIDxulC1Gn+h5UnSnRUle + bNZYPPbmnJd3R9lZjuM4zmWHFnbSMh0SBwyN9vCNO60dx3Eed6pQmVlO8s++53ve9Mu/3JXu9CXh + cml5u/LsBIZBUt8FagKARezCPaKLh4heem3WD0wqvYhAUgNY9hK2EsNO7+6evuuud911V/ktLUYk + fvrtt7/trf9v4JBTqkIgtTZ1T0DGSYJi/yuG0s/DCiPitktG+MHXvvaNb35zXlrvC3V7EUqPfpkp + 61DapWN92Rf4VS+ZtrIgLAuVs9hQg1nOAD7y4AMfe/CBt//hO4Z5AtP3/Z//1/d8x3cSkaplzV/5 + 1V/zB3/0DgEEAJWnQkb/6MwQHaznB/SCnpuQ95DmicuQzOExF9n3ivc9QyYWgMj48i97lUEocMqZ + IwNIkkteVLqS+ocdx3Ecx3Ecx3Ecx3Ecx3Ec50qBYGSLEWkB/djOpRkWrxUW7yAWYSbXBke9qnzI + tzZ6xY1/vIYOBuex4KWpc0H8fHk8cSeBs8wVd3l0Lo4tXzKuhOk1xmW6mb9g5gQi0t6OTPtmPijw + 54LlDLEqw1+H0BXChfVpQ1Kv5aKGX+2rZBEzX2TtSiHLZQ7RT8ujsgHoAYOi9iq8mLOsTghhOQZn + mGEo/zz0/MoctGpmZiYxRoWllOpqZGahrtq2e+ThR7/rO1/zwAMPl9/MUwcAJsu7xmAhxJz7CM0Q + AhERiaqZWXHXlXnLyHMfdu44juM4jnPlk3OO8RzL3hCn7zjnU271m6ZZ/rKkeiiW6+K9vky1c64+ + cs7l0RKAqjLz+Y3StQARmSlRn50jMJuJ94A6VzglCygAkBqAPv0Ui4gCFAkgyaakFcdkxkRENYDU + mVGsUF0o413fkaaSGKjRwGCWueJs8yIKYSNYiZcMw8+POH+ac5XBzG3bEu3laLpgT+kTzDV3bXMc + x3Ecx3Ecx3Ecx3GcJx9hb6ol3E4NASGESkW4jjAdNbHrUs1H+ZrHmEKcZ8mUEIBACIBJSl0VIhb9 + 7gGA6aKqPpTTcRzHcRzHcRzHefJjS2G0izhLBRCJLUs2K2GjXajyyZMjohd++7f8+U/+9I0ba1s7 + szFQM7TNTQVLfQnnj0orEtBgKI7moFBCm1AxAlNI7W1No49uvv0HfvhlP/R/3/DcNYsQkyS5WZuI + iHRSV7V2GcUTaSDShVaZQLzQK/e1H5zWZeZz+QTGzDFbUX2TWp9gqPitQUq97BNsBHCZ9hLQxeY0 + Yuv/KUN+IjIYFRUoLesFeCHXLKrU4S9sw945/BochkBIamqZmEIkywIG5UxGZZuXnVhWYTEq8VIh + g6UOMSAQ2MzECEYEVbagYCMRUpgVa6wa27WUs2nAeE8Bov2RLIEEWYq/ubjZ7RM4HAzEIAoBpN0s + mEZY5JAWg0rJOBeJOy5w/lwCushsski/tbwHbS/tCRdlMgDRYNSEpq3r09Ld8Mmf9Gmv/qfv/OEf + rS0ep47UGDnDqoDIFbKUU68vhYaPvZa1/IvMoumxbp4feuTD//H1T7/5e256yk1nKs1V6GZppRl3 + OgOp9FZiHupsgLAWoTVjryXBwsvL1ttqeSH6Pac+52zohRN7qBX6M5r6FoDLNgFQVdV8Nt+oRkhZ + VddXxls2323byXh1vnn2GTB+dPPd//Jf3fLw6bV5EjGKAHoXL1tpA9UIFJAVrAhgIwYTGRjKKtnC + dlN9rKledOe34bnPfpCDNhOWebA87Lu+gsZL6Wl0sT640jpLFRCGGmUyJVSGCALMNAGqhEWAP1M5 + VRZtLS1On/LXcpJh4TcNCuS8LDvtG/mLnmy93YeONrNPljYEritmkmSaYWqSchqhyWaqMIKwcVSy + SDBVWGRmUpBqVtVIFCvWnOu6phi6PO8kWeQQIiBqxuDSzlu5whpb3wQRALLitIZQORF6BzMNWugl + ZThBASYrXnAGEGGaOqqrCKq3Wmzv3vfmN6/ef18jqWw66q+2/ckSiEOMs246qWMX6weztE976rO/ + 7Ms26yZT6AXlpNpfOg+37cuVHYABMlR7oaEC6aI1K+cplJDr0ZnN3Vu+/mv+4oMfko9+9JZRs9PO + 12q2tNciGVTBIO2v2gSyIp4nEJTQiOgH3o/3vm/yos9aP7a+nZOxQDSUtV6EGRggXAb6l5sQLiWz + KVmpHhsZLfZTsKU7iXJnoVw87sN1YtbNbxytNNvdx37/91ba6TpDE+JC67X/ACczzeNYkcpusrYO + 0+uvf86Xf2FeifMQyRjGRjBgcSOkBPXEVk8AqmpmdV2pqqqura21bWtEjz569tu+7dUf+tC9WNip + L26eLvPEGHPO8/l8NBq1bVss1+WbGGMIoW3b0WhUgtaeoDV0HMdxHMdxHMf5m6GqIQSIdjkLkZFZ + CbIvD0lWersGc8DjyVJ3Uz8V8PnPywQEgEKYp06MYgjlWS/GaGaXK0uGiCiMiJqmUQ4IMZcOCuDo + ewUvvfz97yOWt23p5SwZWHLOojZLXco5EInq7nz2zj95V4It+r0XC6VLPw6ejDl5A8e6zm1b+rMJ + +PRPff6znvFMZAH2rOWO4ziO4ziO4ziO4ziO4ziO4zjOkXG4kBzHcRzHcRzncnL5gp3PF1HjYH31 + RUKKSnzQPtPz8Kvy13PM0AescbGvDSbs5VrtqyEAETkoLml5trI6RYuyb/69BR0Q5Dloqpe3CREt + 67SXl1Xqfz7UBwSfY2cptTq/2kRU1810Og1VHI1GMJ5Op8iSk373d7/m7rvvNYAZAKsqmMoowZxz + Xddd1wHIORNRGfNWorpK4Re3gDuO4ziO4zhXLDHGlBIzhxBEpOiK/e7OuXRijMOjmeMclqqqVFVE + Sp6Q8hB6uSvlOM6lsj/Lol0gAJYXebFkkcurZAlc4oJ9ebpUoJYkdcNSGDg3UtLfXDtXAX55cxzH + cRzHcRzHcRzHcZyrFQKCnftvnCPbyUnNADFVtYo40pF3XKtyrKSJoATrMscIquq6qDKkdKIzoCCw + 9046juM4juM4juM41zhllHyJ/yzmPCKS48fw+S9/ytkzf/2GX3zu6hq2zrSKUYAmFCWlMAAhaPFT + 2uJxnwlkTL0sVxloInIGYAzanZ+97fhNO399+s//zX98wZ2vvvFTn/eIka2vbeWUjOtQqxhFJigj + k1mlJIxMnDgWCwVDyRD0HOlD8SwqLRK9FOnmYTaCgdWYjWOv4FVlJIaR7qltAbZYVpsMY2HuMEpc + ZyKDAUaqxmXmuJBmFKe1LTSo/ffW+y+H2vYoCEbQo3ZIEBBIMsSsMrLIEdYClnZ3QVKGJApDSp2F + SWHvmAAAIABJREFUwyEtGwyd724jIAWkGKgz5iDBAK2zgWQeVAm1IBpYlYEcriFNphIyQxhkGham + 2MxFBm8EIE/n3GlU6aQm5PyJWE5YeWQ0Usm7u8E0qtZMcwWRVapsWSgaQag4V4402oGbWJsYpwzQ + JMQk6fRk5cSLX/zCb/+W9/3Mf8aDp6+XHMcx5Hw2dZO6CujPux7b+39x8TIQxIpTtlI5GeTBu+6+ + /6d+9pY7Xz1+2vrHcgdQ1+XAbKxbI2HTJnMtgaxWosSmtHz2KRZC6yKJNUMwg4GgIIbBuFePA8se + cOK9f/bjdwmDKBeGquvNwcyqlHStimm2XXOoAk+3tlHRDcdWd7fP3mzCH/jwe370p06c2l2b5mDa + rFSzlBQgQtDi87ZSATOoIoCJgkFVVEg7QxW5G43vr+LtX/s1+Id/7/T66o6qoQPp42zveQIR1swk + MLCRQYwMjMgp56AIVrTlHES5dy7DCLCyI8pOHgzCPWSICmozEgUtP+NQLi7W7+XSeuvSuad0/gFw + BFBGyGANllipUjZi4cBgZCMyZk1sU8qEbpQtcp0iC4GhC3GxCJERASawPN0NgdZWV9puNpttrqxO + UkowNqLFlYqLIFmNAiEoABNCZhXWwWwdFGUTAVBSIxiYDMEiDR5xogAj6TJJwkizrBO2/sfvnn7X + Hz6dkkESo5LABoKR9acJSOZpOo4xWzwzo3TLjZ/xnd8iG6OOY5N52OZGSMxWBNJHtgsY0HlbX3cM + hpu/5Ase+Omfv1kkxvlMtAFIEQhCEAag5ZouDBiCgaBBTRnCaAQ3nUof+6X/ftun/T2bblaTNVNd + URYRjSpcmon+jiIqggHGKWgXQEAtqAUZLIQcOjKOqpWCNABBGAYWghAQhExLtpSoMKBeq3dOPbyR + u/t+/x0n5x0LsPBnAxZ6OzXIwAQ2RVAIxNBxPLWytvGiF9FnvHB3ZSLElUJ6A7deLtvWNcN5r82M + A1fb29srKyt1Xe/uzqqq2tnavPPOO++6666SMyWEkFK6eIxrSmnZbF3SoAxJVYYo2dFoJCIXN2Rf + vdAh1GWO4ziO4ziO41w1qGqoIsBZRcyk9NMBpTeoPN6QEVB6NnBOHP5RYLTXMQWj0qNuyCpN09TM + UOu6jojqup5Op1VVHWVt9rHX9xtjVJiZzduWQ6Ui/VsMkB1tn9dhOWBvmXEIWuTci7nqUVONGiZi + izpHmxMRYlV1qUPZE8YA+t7CRWfT0R4PVxYE0eK0ZgIrANxxxx2qyouXX47jOI7jOI7jOI7jOI7j + OI7jPA6QDlmne+xIh+hfWRz9qh5uCUc32PgTog+3usy1cK4sPFm84ziXgSvr8ug8JnuCE71iptcY + l09u3S9/Kc6neKf3CapxEbM1lfFrJYqsn42ISmARLYLw9+TQdOGb1ayyb7mD+7lMuSxoiP89wI/N + gQdldb9sKvVJ58ikqa8zHTCmaZ91eqiPiC5VbG9dzt9ihUGPfcE5l1ewMJ3Nm9FKznl3Z1bXo/X1 + Y2e3dr73e7/3Xe/6M2ZIH9ZZolmDwXLOVVWVEYOTyWRnZ8fMcs4ASoQXM5esDmWnuIHMcRzHcRzn + 6kJEqqoqN3hEVIL9S86uy1015yqgPAWUzzHGkvPt8lbJuYqIMZbkIQAGofXe073jOI7jPInwPlPH + cRzHcRzHcRzHcRzHuWqxpSmV0ZUMAgyRAGBbcq4azXMYJAtHPvKB7syAtjtbiIY69O/2xRCWhkhT + UUsVHcyBiRAdx3Ecx3Ecx3Ec5xqhRGyaWRkuv0WQ0ej6f/SV9WZ79y//yrNX10bTnV2xSRUtDX0B + /XP2XvZ/YyyiSqm4okmhqCrkBI5hnEXOPPq0UfORuz70rtf91N+989Unn/+3Tm3vhLqRGAPVKgkw + hlqxQKiVOFNSBhOMS1gqAFjJ8dMHRRfz6MI/qgD4cDmA2Iil1NwYBECDQQbVAbjPa9P/9RwMLFyM + jwoYSGm5wwQg638elmIQilFyoZsEmYGMDZlx1EIFIzWA2YRUlYyMEJA0bZ5lZBkcmAAM1As5+NKD + zwmWt88gzQVjCYGImAIFgSooU9kOrMIgIBaJt0Gumf4ZAzJDi4YWMFYYGzGBSYlB2NmGZWIxyIER + 2BdF+4M5N6Ld1hZMFDIHlb1oZIKiQWWAYXykMfZsIAsmwowsHShqDKdSTiHe9IrPfe7u7r3/4Q1r + KtpOLaMhqGUwFcEwsO90KOuF0lCQAgQGMG9PED34e3+Ubryl/savWpusyPp1nSqLSK+9LxrrYCBF + NChKhPyerEUXPZsoR7+SMiC014W478QsTcxg2FXqXTWLpgBk0cDCVES8QWHSZcnNSgOVlNPKZFSZ + 6kOnnlFzfPDUe3/iP9QfuPf4fD4haAzTaaIKbHutWamrEgzlPyIzRQYQAjJhq6o+rPK8r/3a5gs/ + 79HV8cPByCRaehz35hOPEYRVCWZGJcifAyikrqv2mg4FZQwHjLISwrnFFHeRGUAIQFCyVqCICHPa + a/QYUMOeIvu8pGZHHQ+kANdRUktiAaxmGkAwVonzds34xnlDJMAOpXmQAG6gVK6DWoccYxt5GtAR + tWqjURw34zTvprOdEGjcNPP5nDkaKVkI5fRHubYyevmxAjAqTYQyIIgwZiuXtvLXsh10SK/GRsUO + DkhkmoxWzu5s30wBf/6eP3vDG27XeWhnFlgRDBGAIZXTqlzqmwop5d16dOrEsWd80Zfguc95iBAo + lAsxADIuIm0hxAPSWzxeMNGO5umoOvm5n7vzR+975H3vXU08Nl3o0ZkWDaaVG49FC7AsAaozbuB4 + z7vvuu2df3LDS1/88RahaRhEoK5vh4cVQzBiUyUYOAeQoVKwclDVYAYzEgCsFJQNDCD3G16V+tsP + BqIEkJmmdevwJ3/a3POx0W5LihCrLieExWscQlAGQKYgQADGXKAbJ07fdN1zv/zLdyzMmxVJRAYi + LWcZWZ+NxUPijoALHNIppbW1NVUNoUpJAJw9e/af//N/8cd//K5YVUVW3bYtgGKtvkjp5a8luDHn + HEIowY2qfcYWZhYRVX1inWpHi8fdOY7jOI7jOM6THjG1lDJZCGHvWTUQsmEvS+b+z+eMFXwcp+c9 + Lxv6nKBd1yURzf2jfMk1cxlzUHZdNxqNjNCpMHN5ElRbJDA9ou3zuE6ZWbOYaunoI5CI7M6m46qq + qtrMGMiG1HWhilJy/VhZw729ZMMbnCtgjZ6AaYgsWQOTigFYbUZf8IrPz11XxydPV4DjOI7jOI7j + OI7jOI7jOI7jXFb0shvvnCubQwTjOI7jOI7jnMuVYLO+Ju9kLtMdfgnz3+ejKv7j8yVVRHSQNm/f + 971PeglbgIvG4DCfE6c/zDk42C7+8+UKlGUNSxwimw5bDpa20vIPL2V1zl/W8GGo3vkbGcDKykpx + VK+srOact7a2/t2/+8nf+q23AWiaajpLRAghquqw5csPQwg7OztENBqN2rYtcmsiatu27D4icqe1 + 4ziO4zjOVUcIAQu5bFVVdV13XedOa+cSKc8dZlYeE8rj3uWulHPVMKQNKS1P13V1XXtuDcdxHOdv + yKJ39HLX41y829RxHMdxHMdxHMdxHMdxrmp0SfjCgJYcgGRA17Zr412WjZZCNzcUH9XRkmW+Wh8/ + 2dSYJ5hm04qseGds4dkQIBAX1ZQ7rR3HcRzHcRzHcZxrmeKxLuNThwBIVduNzep6vf6NX3u8bT/y + G299apyMqpTSPBQ/q2HZJM0GLopEUHn4NqgwAWzQnDCqgZRDjJI7brtnrR174C8/8u4f/Ncv/L7/ + 4/pPfS5dF09rp8xmVhlArKxClhlBUQsAFQmDM5KtqKO1aEqV9rK8DN5ZPc9FelFUCUbcEUDKBjZU + iqBMBiOUpQgVYTaMMI+MSPPAXeDMFBTGgIHNgoEJ6IW5vdD6oO4HtqWxXAYlzUxCdJjKfyIkdFQF + AqdsCMQxYJqqrV1CUtJgiL16NHwCNYmq1dY2trbiiTVFIJBQAASkSsZAIySGLiAzQtCgewbZawYG + lMxAKsQEROVywAQFTp9eSbmCFi/vYfeAgTOTGUXLARq2dmA2jzaNFsGATSs1YlINysW5rsVie3Rk + MdUwqlNK3byLo3E1auYijxhOvuqLP2l76+5fectTWz5OyuM4nWYE08CVgmxJPI1y+qNskuVDJgSk + dnYL6IO/+qu3bIxu+OqvPDXdbKumUora643JoITEfXdgUIDKSmvxi5eD0MiKN1qJdQhO76vRtwAA + 0J/le+3MIJ9WY2EYUBXbLMwIBAW0DpxUrcbOtGXYKoe8O39qs4q7P/TBH/vxkx+6f32eudu1GHaS + VAFRQQbWsrpW1NxGECYFSKSsGjMIlEL4eBVPvuJlzT/+koePr+02DcQmIWjORvEKGzJ6CMg0iFGg + ZGQcEiGLQSlNu8ZAhqo/gE0Xl5+eJU9NuVgIQwyBYEC0aHOBIRAbrGiwjSBlSntXNzIl668yzCpH + 3lhFsUo1VlBwnBllSACti5xUwelN3HXPI3/8R/d94L26dbbu1Np83fUnwmRt9bbbVm9/Xrz9eaNb + bxqtjx+NiBsrp7Y2x3XT1KNuZ15TM1pZmW/vUuQAkEYGSHk4sYQVZELKyIBFy9EUiGY1LATrNdRK + WgzHZGBosL7tKptaSJm429m5Rbr63g/f/bofv317izZnVY2sRBrJoFAJCiAoM2kIACMD2+PVrRfc + vvFVr9rhOtZNJhOCcNkowyKOHDPbFl1dOz7X6mnf9FV/8EP3POvUdMPELBuVVwoctb/9APo7EyMT + QjAdLuu527117ab3vPGXPu35t4+PjzYrE6sQK+Fc7lVYF+e4QamoxPsWLzECIShXoqmXc5XDkIFy + fCpBy9wGZKagXFmsJM/aM2sVffQXf+3WB89MOhmFCOv94EaaicnACOUmrv+5ohqt32/8tK/5R+m5 + z5lxtTNTrquiNgdhyQ/2BO2Fa4nFxXe4ChsDqKpqe3t3dXV1e3uXmatYf//3/cBb3/r28biZzuZY + yKqxuJM/iCGOkZlLrpPitMYiqQ0AIgohhBBK0NrRrOZloOTZOfxtlOM4juM4juM4VwdVVXEIqpmI + qkhmpobitC4MT757lEf4I5guil/8b6n7aLK2GkJIs1kIYTIez+fz2byt61rzxZ7mHl9s720FYoyq + CqYAMs2aEwHlv6PYMkcxLXU2Q2AYYGq5SysrK5pzkpwk22IniOTFjlGc+4B42dfiCZ5KKl3LYKBm + fPZLX3J8Y2NUN3ne7W2TRb/3cMw4juM4juM4juM4juM4juM4jnMA546l3BuEb/3nPs/GtTXk8qjf + L9AhBwNeee87rq3jwbkoClw2U6ZzDXLY9vNKa68OW/3DNv8+1ty5ovGLxbXK+aLlYoA+f4ZF4MzF + ChmKKuzTVA+BRWoHjWc7pxzVXh1dVc2yWHqo7UH12Vf/onAbKmBLLFfsfJh5SIawb/59hTym02uf + FbsUOGzSfUXt7s6qqgohqAIcf/6NP/f61/9c+flslgAQcc7KzICGEJhD13VY+MZijLPZbKiqiOSc + zUxEDlpTx3Ecx3Ec5wqnBPhXVQWg67qmacys3AQ6zsWJMZYnhfl8XszEAEIIF88a4TgDMUYzK/lG + hkPoyZQnxHEcx7ksLHf2XiG41tpxHMdxHMdxHMdxHMdxrlrOH8A5RNmZYWX0v73tt//0hS94tmBN + jJnMDI816PNvVh+NsZlvbm5UG5grRGpi1RRCZYvcfACkN1t7kIHjOI7jOI7jOI7j9FGXIjJorbPm + atQ8sLt78rr1p935HR+f4cO/+/abMxqZjwOCLekgjEE6CKeXYIUaoQmx7bImwJBybppYZ0vbZ2/l + ldWzO3/22n/73Du+8sQXv2K0PjkNRTPSbAZYUe32GmllAxHMFol+es+iGaEICciYCQoqFupBJn0Y + FKTGUIIAlaDYnYskVQBQyeavupCezqPlAFvO11Aqtli09KJrYNEDcX6fSBHo9g7MXlgLJYMqH2Em + IzMTQgAikUCooYizW1XqgmUMnk79BCtQqTbdHKdOj267NWYSrtUQhKBqRFoUzkJC/Za8xlI2LXY6 + gaBs2v/DiI3JKCjw0OmJaKWZABPw4buwiAIRVBFI0uZZZBVAiAPYSDPDSKNREfUexTrugxkpJVUO + se40ETHFOlm3uzIJJie++iseefT0g7/3Dj1zdjLNI0YCBuvMckopKoZooFiKhzMqNmgSuJ3eoPLX + v/brq8fWrn/ZS6vrr28BBUVhIwixFH81lExBVqS8ZFqWFQwgM4CMirpYiEt7Es6T0w+f94zs5+4j + JWRe1BMwUjMYsLK69ujOVtVUnDPt7D4VY7z/3vv+/X+id9+1OkurIDB3OTUVqipilsn6JkRpLxuF + GRGByAIIATvZttTOrI1PfM5n3/Idr35kdbQ9mXRziQgVUc6mUYWu1tOMATKFkCJYCKYKNRjytKU+ + 6RuYIKRF8EsGW9KN70H9qacAgdhY2gxRKhevxX4sjVJmCIMMUQEgGBaXIRAdeY+yKhkqYctMyXQc + 4nWix7Zm3Tvf/eHffOvZd/5/t5g+u4ZsbiJjvRnNT52yWM/uvufu3/v9h1dXmuc861kv++xbXvyi + NJttrK7sqnVdnqysi9h8R1bqtSzz4fwiaFHFlwuuQAEVsmD9SUEKWH94G8H61VegV4mDhYzYFAQm + BMXYeG3ajU4/+Gf/5nU3fuTD17epIwQOmpmNGVlJbOnEmQuU0a5v3Lex9uLvufPh9QmPJ2JQCLDw + iJccGUVIf6QYq9B4vLrVZVTxphe94ObPf9mpX/pvk+2dMXPZLP2mW0iqFquyVDMDgysQtzP+X3dP + 3/LrJ77z6+ZISlFMyJgWG5NUyxoVb3qAknH5kwDc38+UvB1sxOW6WW42jKzIxQkcFUHZQFH1aXWz + 8xu/lT9wz7F5W8faRFprm7jSWQtASRmsQBhqy5gzTjPGn/GCp7zyC+4xXRmvynRaLs2hnFN7zd8T + dNW45jgv2ZCZ1XW9vb3bNA1TeM1rXvPWt741BCpO69Fo1LYtM4cQBr/1BVHVqqpERERKiKOq5pxj + jCXvSfkMQEQ8Vs1xHMdxHMdxnKuILqU6BiLqui5nMyBGznno8egfX3Xx5P7EPM2WfpSh84iA2e5U + VSeTSdd15RGs6zoRuVxZ9ImobdtYV1VVCQwAA5FDq1dH+hsmqIKAKoaUhYDItL66MqQWbaragDqE + zkRtyTX+xB4JVxr9GwYxAkTxdXd8rWaZyazi8Ji/dRzHcRzHcRzHcRzHcRzHcRxnCTp3RPkwptiN + g47jHAbXlDqO4ziXgvGVeMkotfLpkU1VQGyEMHzDFIktJyW28k35qykBKtkuUhohlNJo8VmyGWSp + HIOxQZniBUswpXNL0xINXqzM5aAYVNP7RNfLDNppIirz7/NYDwPAlv95Pqo6qKYHPfb52u+htOXv + l1n8sIyrYiIDGJDyDXMEhCgAShSIqGnCbDYvK/rrb3nLT/zET5qhrtmMchbmsG/FU0plTUWkBH/V + dV1CugbRNRYSRFV1ubXjOI7jOM7VRbnNKzeB5d4v5+xOYucSKQ8FAMxs+HzQw4vj7COEkHMuT7Ul + PUgIIQQPDnIcx3GehLjW2nEcx3Ecx3Ecx3Ecx3GuVooIZAjBC8t/yAJmINMn3Sr3P5Lns6oMoJSj + fWOa0vzk6no+077tJZ/3Oe9/bxiLUhDTQByKgYOAwT5yuZJEOo7jOI7jOI7jOM6VwRC6icWIZyKC + maaO6/pRhONr8Sn/7LtkZXzmN37nqRQkbWpxMRqCgqECBqCsrLxwhu4V36Y8HjftbD4KaGreneUI + NHVImuqdrZt2dj7++jetffDDN3/HP6FJmFXX7VJQhFqYlI2LblaVxLjvUDBS9F5GnKtXZAZg/IkZ + R4MBpmTQ4rllZEAYQXunY1QFs6DXnRpl5QwoGVg5ihoABQPGUEAIBgZRWChA+Vw9JBl0YThWgpGC + VFiVSOlog1DJUBGrwIgj1SHNqtZw6lQwi2rVoA7f6zexQ+VaYtNxSnjo1GimdU3zphZJjRIMElVK + oLKiFhJGJi5a9MObyK9eWIgZiEWaazAgE5RorIzO7JFTKyIQIS5qarLDHNYEVAphzYRseba1iVmq + OlRVnYmUjGxOUDKA1Ij1XHX04w+pBqbAmpRjzZE7GKd57uYhxm51/UzgT/nfv+uuED72P99x48cf + vrVpqnYOlKB4DNWjohYuahiCEJQRFCDsTDEOYMbJCHrgob/4qX//wsl44zM/Ix87tl01QYNRlRhG + YDVAQKpQBoSUDIBxkdQakYGJDazGgUio2GYFpMtCmh7jvU3Xf2AyDQYhtFVWQqVWDNqZOXeaWmOJ + G3FV2jM3zgX33vPnr/2xjXvvPTmbrY+aeTtlQwhgYD7NDWCAcCleUdo7BcyYmQAEAngLefO2W0d/ + /zNv+eav626+wSjQVEZqkTSlFOtKr+Y+0KAIKiGEDAaIM9VSjuw52V5LWfzi4bwTxQBQLx8PgBlA + MCMzS/MOqqo5MJGiSLKL07oL/WWODUaDBQlGWlITHO0qmwppYgiEAx3LsvqBj8z+6E/+4ufedNN0 + 95ltW3UzYkwCENDO21HTdJLr6dmTjLXpo/PT9z363vfc95+Pf9LLP2fjcz9n7elPe0hTN24QGptr + oNBxuZJqUblDEUwD+p5zJZQ2iiywAeCADMpFqKwEJe29y4vNQCbBEBRRsZJ1dSs129P7f/QnT/7l + +491aZYwrqhrJYAZmSBc2h/0GzzW+JjhoROrn/kv/8X05InZZMWyci91BgAlaFhYxou//Mgg41Fc + TbMcg80jHtT8rK+/497/9dGd997Fs7MrWRmqgIGVwOWstOWfl9VjA+qmmu6effbKxt2/+uuf9pLn + r//tv3UmVkniigQhnlaQciwRoiKYap9rRpXQBShxF0DGBkZZIlQZIGVTwDKrkQIcBePEAE8johk+ + 9LEPvvG/PgPKMAQphic2DdYvgKALl1H/Gme+MXno1lv/7rfcsRMjjUeP7u6urq7k1FJ/2Je7GwQo + W3/f4jxuLOcYWmpbcs6TyVpWSqKvfe1rf/O3fycJACtq6rZtq6pKKalqjFFEDkr7AiClxMwlv0nX + deXOv0TGlhC1ktKlBLCVrCiO4ziO4ziO4zhXPk1dm6iKjOqmZnQKyzrYrIe+sDKU0Y5+hOCw3OHx + rFRmMl6Z7e7Wa2smMmvnq+tr/ZPXwc9xjw/WdynuozwJxhizyKybmwgDSSVcLbIF6zcsWb9yZNjZ + 2m6ns1FdEbPmFIEsUmZbXql9x8Y1RRVCEmGgIlx/3Ym/8+mfPplMpEumAoC8r8dxHMdxHMdxHMdx + HMdxHMdxPnHsAv+8Au2DOPLxz0fOlblVHcdxHOcxuLKuv3ptDpu4Wik3P1fWIQQAJc7Op0c2FTM2 + KhJoEQWUiNgADgYlDn2UrZmBTBFitQheP2dKFMzErESxE4yImGAUGcZmRFTkzSpiqspMsJKS75wp + h2gmhj4FAazPSKAE0MItzQxARdT0IJMWhWCqKgJA1Iq02gxEPFiosRBjmx0YTl3VjYioqgHMDKLB + aT2orA3QvhBjPqA+zCA1gULIiAgwMpAZMZOVzwqDEYwIWcWIxfDOd77zB3/wh9o2MaPrFnGOYiEE + Ig0hqKIEbZWKFbM1gK7rypdt245GIwC7u7uTycSd1o7jOI7jOFcjIYSu6+q6LrH5VVXlnEMIbrZ2 + LpHJZDKbzcqzTDlyhsxvjnNxRKRkGokxppS6rvM8IY7jOM6TFb+2OY7jOI7jOI7jOI7jOM5VjC0N + hTaAhlHsFaNNWFt7aHfnhnl7XWQYuiwVH+0496oe7exs3VCt3UiEWUsb65pzrBrYebGB9ITkrXQc + x3Ecx3Ecx3GcKxszI6IYY4mTNDMOQTUbh6lZiuGGY6tP/eZvsJW1v/zF/3KyHo9lFgzR9qKiS0y7 + BOUiFVAGwCA1qCF3eRR4LlqJVYyaoVnMZGS0Ua9sPXr242/93Z0H73v2d3/7SpJqY2OnagSVcZ9M + 34YUOkVmSVo0twKlopM0JuOFJwKLeh0ibU1fDhhQVhOCkQqDDRZgiqjc+03BbIAqQypN2ZQBXixY + zQ7qZzCC7FWRS1adhSK0r2xRRRKeAMEzBYqaYWZ1FU1Iu8SPnmmSRNuzje79n/RQYoOgqHPG2bNQ + gVoFJgusII4JSRnJKDCiMIAcULTW1xgEgI3ZlHqtNQMqBEB0e2eclVXQ7wUGDhe+YpaDUmaYZN3a + xWweEgIqVQTSaAEEJbM9Q/zR0nXduBlhDs0ikQQaIjdUWdaZ6Hbd2Abf/h3fek8Vz/zm20abW+vE + UdVoz2ldGhljJeO9o2UhiV8NBEDV0nR+PUE2N9/xuh9/9td81Q1f/qqwghmP5oHLuRYp87mdhH35 + RqDSdjDAQZmIzLikAzDutz8vneKG3gG87KoB+u1ppEoo4eyxN2KzBGbiY9VY73voxlGNP3vfO//1 + T934yOnrptsbNU/b6UrNOSuAlDBi2KIZK77bIa9AMGOQGOamO1V4ZOP45LNe/Mxv+ebpLScfyMqm + 43qkkixLXBl1SZ6IfXyUkIGMWS1QIEXMBoWljNKKcr8TF2tZ8jj0aQMUWOzZRQusIKJslnMHmUNT + oEjGRYlNC4+LAgEQhgp6o3P55xFnBGFTWDYYCJXlSc7HHtncffsf/MXrf+GZKuvtboWuioBBEwwY + BU4pmeqYMGFAIclktjnbmd3/5v961+++/amf94qnvPILcbLeQs6xapNyDSEmU/SSeM28d8UMxgbA + QpFbBygsgdSYFShJI4pQnLQc58aEoFqpjrOdmGWc3nr/j72ueue7bsvzCggVNFvDlRUf9LIKmjQz + b0d+YFTf/o134FOett3Uc0OsgpmSJiOwcbHCByhIg6rwEV8xlBlMbBxsHqpEK8/85m/40x/Do4hQ + AAAgAElEQVT8EXpoVqlUqmwQ6luDcw4GAgzlr0a0M28ndUWznWMa3v2zb3rh93/vfDXaeFUUi/WC + gYSMCTAERWmKtG/0lI2Nyr6AwsAw0mBKZqVh0pL2A8SmQfMKsDGbbf7ab2589CHb2TZG6iQE1Kiy + tAGBiMEKgrGKISgS806IH6b43Du+Ap/y9B1iVRuN6ixpOImwaAmxaIuco4ebptnc3Fw/dvy1r33t + L/zCG/tviQcddc65fHnxMFciGmYuTutisC43/yX1CRHlnD1WzXEcx3Ecx3Gcqwsimu7urh7beOlL + XnLixAmqQlIhovJuYrmTvu+EOOr6LDoqDVDuez/IcMsNNx5b35DUTcYrLbWScuq6pmn0oLybR8yQ + 7CandHx942Wf/Q+PnzhhBLOrYwAlEZVVKB8mk8nW1tY/+KyXjEaNpKQpbaytf+e3fZsQmKOaLadj + 5r7370rN+XuU5NxVVRU5tG37t5/3vJMnru9mradDdRzHcRzHcRzHcRzHcRzHcZxrizIEtESyHMX0 + PJHe4z11HMe5KEfXvl3t08O1t47jXDlceaekDVVinx7dNDCIyNRyxnzepZTMrFiuB/1zyQNQUgFM + p1NciBJqlHNOKeWciywNxfpsVkpjZlVNKaWUDopLKssqhYhI+eFQzjBbzrnr+tpesJwyT1nQME/5 + MKxUqXBZENGFx7OlBWWz0EJrPcyvqqWQlNJFjNGzWSsixZC9vGHL/GY2bLpSSTOLMYrIvffe23UZ + gCpiZNEypK2vQ0oJ4BijSL8pSh2YuRRlZqPRqKzsZDIZ1NcHbTTHcRzHcRzniqWuawAlNr/rOgAh + hMtcJ+cqIca4u7tbPpeHtbquy1HkOI9JefYsGUKwaIsOeqh3HMdxnEvkIv26lxFPg+U4juM4juM4 + juM4juM8ebgy++COFF1yQ+9FKhCIFHWFnVCHlVE1Q26zaDz6FIi5a1dDDVJKHUgBJQp7iyXFIKmB + O60dx3Ecx3Ecx3GuPpbDHQ8KTXQOS4mHxGKTCoxi00nmKqqlTeSVm07QP/7i59xy3fv/0xtu3tms + tjcnFQAkg5pxXXXUlf6BYKBOIxCUmQkcxMCKCixZiaAKMlQMEZNudxyrp+Xw0J+8797v/ZGnftHn + rX/FF+S1ZnOykqoRtRIQmCvJHTMTCGSmRIEDw8wsCyEwejOtEgxcRAWHkl4aME/WNE0VSDSZdspM + bEJGBmMwMxlZhwCqOMByhRTbliTXMWgWJpY+ItfIwARWFHUkQ5UM1JutsRBaLLY8QoiximIoKotG + KYnSEUcsGCJlXUFIucssXMfN99+9YVwtEv4MRmElZUMALt1szbAJ8daH7l6vv6ijNEpagec5xTEb + sQLKrAa2XhZOhmst09CoqqezXTatYgVVKFeEDEpjss3u/ns/PMnGClXEUKkIHS4Zk3LV5DRnwSoT + b+7ioUfHT/9kzVZrjGZjBAu2GbVDrhAihyEu/UgwDhxzzoGikUUYkapmMmZFHUZEupWtvu7Es77h + 67bHx97/C296VptOxNhZx4wuIQJ1g2kHRLBppQiKAIAgBABRiA0ECwQlrKs848zWfW/4L3x65/qv + /IrRTSfvI6PxmInS7vY4VJTBCArTwMScLashxihStNlMhmAwIECFVRdamuWVAiGjqMFBRBUHACaa + kQ1gplpMszKoQqxyELWWqaowkTyZ5/wrv3nPr7zlhoc+fj2k5pwzOKJVjQAZKsAUBhAbzERBFcC9 + FDgydTnXFHbGo3sm9Q2v/Pynf9PXn944NrUqcIgqkrKxSkVzy1Yh6FV8rVSCRKLAPA+cUBNRymA6 + /cB9NwMKTqxKqAVBi26ZCDaIgYYdRwYGyCCGGIISZ0uYblteNx5nzQSOHNgYatCQuGx4ZIYw2KC0 + kJbr4S4xh4IAllQFyshhZ/umenz6t3/no2/8xee07cTmEQmkWYtTmQlBBUQSGFC2TgEQgRlN7m5t + 5dj97dmf/fn3v+1/3PZ5L19/+efgtpsfTDnWE5NQUQhmKSVhaB2NTUVGoakVOs+qmao6RxbNQBsC + UwhQFgUbRwkAdSahGSFI6mZ11utiVU938Fcfe8+P/NsbH3hgnDMJjKAGMzITYjWFEcTAFESlauKj + ku+Nk2ffccfkZZ/96LE1mWOslGqbyxyEciYCWNz3qbEdcb4kNnAWqyuDiuY8a2r75Juff+c/+eAP + v251nglzNotkxNxmNP1PFsIqAhkqocSgCjNNk4gbQtz8w/c++nO/fuJbv2nKbbsyzqCRICgsBIEm + lUldWZdAmhkpGICwcGFlEa6iEqkpqxERUzSzmurpfN6y1KNmu926uamv227bP3zHX//Gbz112hJx + ZokGMpimABBITKpAxjZP4ABTtDx6cG3l+pe/dP1lLz09qtNcJhhtT3fCKBIrCNAAxFAyGZIWdbfz + OKKqo1Ezm7V1XcO4bdu6HgHIqrFufuZnXv8zP/N6MxAIMDUtm39fXFn5Z1VVKSUATdPM5/Phm+K9 + LsFp+56hhrwnTz6hdckpY2b+EtBxHMc5aobOtJJTbEjWBkBESjYKTzfmOI5zFGjO46bJbftlr3rV + l37pl+qiF6h0AZ0zJ4Cjz/M6aK331SQoLGUGpXkXiKFWx+poO2MPwAhkMFNmMtGqqtq2feUrX/kF + X/jKJ74yjy9kQJZAHAIb4Qe+7/uNAOOlPtG9DX5tXpKHs6C85ZEuEQOml/7Sx3Ecx3Ecx3Ecx3Ec + x3Ecx3EcoIxnpWFgQBkyYGZEQ2QTmZkpmVngC78SGjRpgx2tfF/GvA2KuMUiDuzNH8pZnrOUcMF4 + q8WXBtPlKRGAMljeQCAykJkph6F8MyigIFxsCoAU1o9+J4ZpGca6NzVVg5gqSJlimX/flMvvVQ0C + M5BSGVGvdimbZY8DRg/u2ybLIz3O31zLf71gOcs78eLRbcuVv5SAuOXyL6WcfVrBx9xWyzLCfdFk + OPewvMhKDeybn4iKiXAYMDOU/5j7bt9ZUF6tHjZycHlBRXaYc953cuGiB9LF9+lBe+fSMaV9hZy/ + E5eXdRD7ts9jHrEHvaoeVI5YOqoHrSOWjrflOQ9iuaiyxBjj8pF2KauG8452Va2qypbA0iEHAGDY + BaZEZSg9D1MDEQjEZjAjGBExFp+BC0wJfJHyzQCwqZX5l0sj4mFZB5Vgtlf+8q8MdP6cQ/kHlXb+ + VI0AMiu7j4mof3tsi+22f/oYHNRiHDTbvgPssIf68Id9v7Jz9a5DORdp9y74p+VysHQeXeJqHljt + vR/Shb60ZYvq0Brj4E1RRieefxHZtx1syVN74ZodsB62sMNiqW1h5sEse9Al4/wV3zfbvp8PV4ei + kj3I+FXWtyh7cd6BtFxykfUeVE5x0A4i3uXGdrnMYVkHDfUs5ZR5hlU4vyHa19AdtF6XeDNQGLTE + yzrhAVvSAF9kPFJxEnddNxx4dq4G+BLLmU5nZSMsC5Jp6SZWRIrY+IK1Hdjd3R22+b5z8KBy9u2s + 8tvZbHbB8st2FpGy1iXihs692bZL0EIT0bJlefnuovy21GpY0EX2/gXLGVaqlJZSyllUccj25knL + /mDex38Bh5v9/OoYkLIuIn6XWwDNWQErhwoRDQcblhqNEsk1fHAcx3Ecx3GuRuzc7mXXyjqXSLER + L+NOa+fSOb//wcwO6hy7Fhje0pqnFnEcx/kbQETlhoSo76M+7Kuxo8B7Th3HcRzHcRzHcRzHcRzn + KiYsBmrSIkxB+6lWxMiV7igZq1gMjKqyI35pGmNtIkk6lQZ1EJNAjUkfaVJiN/okff7OyXEcx3Ec + x3Ecx3EOQAwJ2rBxE2Zd95DM1m/eGL/s7z8/8Lvf8LM3sNWzaU05EKp6tDufaUBSMCNGNCNGMhU1 + BXMZ+UpAEY4WdzOIECNEYDmtKG5UOvtXf/WRN79l+oG/eM5Xf9l1n/q8B2wnrKzluprmXK9MSDTn + bGpcsZi280SGUWxIbSnzPvdJG0j1kGbrybHV2c7ubJaqqqpC1aVsCRyjRe4kdyo1eMS8QhTEqt3p + RGbYnIWzW9jZiTAYBNqEykrovqKIEwCUjpOF0qJktFAzJigZAvF8nhl5fHwjEAcLEGtCTEc7qotz + yuOVVeloxMxG2JnNH3g47MwQeoHrOXG5h9RYsiHM21Mf/et1S+uro3Z3lpNOjq9vt1tgZpAQw6AA + Uy+LPTpH7BUIG3a3dieTcaQwn7dExMEyxCylnU2SfPbjHz8J6mWuagajQ3Zj5TQHUI8wm9oNxxvc + +5Gd53xyvPUp3FmNQGk+T11e4TCqQkY3b/9/9t492pKsrvP8/n57R8R53Jv35qsqq6j3k1chz7ZR + HBAZEUFtdalLW3vE1dojM2Mr3S6WPTrL0YXas7pn2m51dUtr24q0dquANCgiNAoiAgUUUllFJVVF + Ua98VGbe13lE7L1/v/ljx4kb9zxu3ltkFpWyPwti3Txnn99+xI6IU3F2/D7W5Jeipy0YCiEBxMTj + hUCQ3Baj4dj2Otztnx5umKNHl1/7mhf3+p/7nbe6jfXCceGk3yvgyrKEMfWxpBMLCykI0Mn8UYIQ + GMgCjoxdHgaP/dE7Tz3wxee88Seuv/LII8ORLHd6mQ3OkWY2s4HUBx+CxLOSiDAYAEGiTxcUFEwQ + QGoFO+oZG+uyWRagqhJCcKVjldxYY00gFh+soGMsAPJi1PcNH2TYjXP2kUfv+q23Vu/9HzcHyaqy + bxEPh4bGghPTcmWGmHToQYTMQASB1FnzRJ59sZPd+A+/58rv/76zeb7Z6Qe1rJA4LhAlFVYB0eV8 + iCnBCapx2e0tDQdjMehTic31ng82QImkNR/aN3yVIGABgdioAICAFBYMZYFC/fiJ06u3XX/eaNYt + MqUw9urKLCuMsowrzQnYdlo/ZVibV25UZPagYdz3wP1/+MfXD4ZHNIiUwpOkDDERXiO8VwagYAKR + KitylcyHA0xHGGfu//zx//hQ9f4/ufl1//PVr30tAjZg1LLPjWZcWSOWnITM5mXlg5MMtlPkaqwT + V7qq38md+NJ7hc1tp+CO8RycX8rzza21Xi8/amxnY2jdqPybT33o13/j1lOnV9bPcwiGYNSISjyD + VYI8M86F3BoIO4MnvD976MCN3/rtR171jVvHjp0pq37WsRkPq4GxLFEjroYUpAKSp8CorCRgQFgo + KEgCBhnkikOrL7zjxu/4tsf/4B2HBnpQQhDPEgqArBGv8ZzQfBshwCgscxBxDlbKW4r8wT9+X3b9 + Ddd+5+u+6CstOlT5nPMxk4LzIh8Nh10GJpp2AKQaZdLWmKDxGhEgQWEqJVEKpXb7K/DDajw6dqCT + PXYSJx755H94y42jUc/5yogQkwgplAFFUJ/ZoqrKEVBk8ACK3lqnH577nBv/8Q8P+v2z3vVMl9Ud + XO5tjLaQs4KF2AiMkJI6rq9Gl+/55GkIM4/H416vd+bMmcOHjvZ6vbJ0qqSE97///T//82/OMgNV + UQFg2HiZ/0RrNFhba733ZVl2Op3xeBwt10tLS+fPn48JUGI2pa/kx9ISiUQikbiIxNRyxpj4zDMz + O+eyLIuXXWNMc81t58JLJBKJxEUh3qkDIIABQnv5ou7471YhMM15/eI3qfYWgGj7/kW8SzdrDm7u + /j3F7Lh9oUC8OT959zL+732q75TFDpqoViCYeT26jLv5ZBFCcyOA2xMgkUgkEolEIpFIJBKJRCKR + SCQSicSTQVR5RihIcZlf85vVTvHiDqqqohaNQlJbguS9mF+n4rSVipiXYnjylk4eDmm2UV2pzVY1 + qKpzZfPBSVMBNJrMHVslqAYogKACIpWgjacQAEXjNZFhQ5YA472P5ae2Ag8ApDRZ0A+IKiSEqZ5G + ZvUPiM/sLPg1JOyME8c/GkfmDtqi8Y+a5KmdOHfkI865ue1fZI6cnQmz7Y9Ozbnj0NYuXrD97XFY + pJHeRROLnbLYuN+ntOtTry/qbztUbEmz/KYdf24Lp6I15aNLxhgzFWH3fi1qZ6N3nWrzLo2Z1z5m + juNmVAPAcYs6C460t6rUbGffZbbtkkQCMNGcOLPl21sRqNZ/ExkijSW9FyIlMsxKZNrl58Zptzl+ + itkSqfcyiSztOIu2MX7UH8c4ABsjIWjsXXxl0tNG1z2tZ9aJzlk1PiOngMZU7FF0QWSItnu9e79i + jqL21nshiqEYYCK04sQXY0lVpWjCndtOorovcXarNomQdpSM59ToGp4bp+n1ZPUCRYk1s5nMMYnz + TRUicT7QzP+E2aqG9qxrj0/cj1P7eu6IWZvHOE00VWp8T+1PqVIzA2e3zZEydYxM1Ts5mnaLQzTn + mIq9a/VI2+82f8fIqsGYbJeZP9MSxOMdO33PIpLneZy9bdntLjrhra0tTM5vbTVvfFdEovnYe7/L + eVKA9fX1+WemyXWnidO+IrRPfZHNzc25caJOOHYnBmlWVLZdyLHjIlKW5aIuN4MTSzbXhbYWOnZ8 + l6GLXuSmO81nrbXNvmjXsui6MB6PY13NQEWyLGvsyO04i64jm5uDiQ2iPhehpYiYJRZody6+0pSf + +ucijKEQFhZqJssF4zDXLZ8fB4SFZvmd7WGjCCqkk29s7c8yMUja78ZGEhgkUFbIBWuZHVWieu3U + 3uMQdrShgan+LBGYjCIsPnZ3lN+9hYlpLv/xyfN8PB5nWdZYz6uqiuf/RCKRSCQSiUQikUgkEolE + IpFI7E7SWicSiUQikUgkEolEIpFIJBKXK4SY9k4moqb61QBEfQpGOGKXu2bEZuiDIJSG5yx/v1gI + QYPX+PhHhzHaxKFDpPVjcYFqR4xV3nZxJxKJRCKRSCQSiUQikZiBiEgRQmDD6PLIe+KMrj7S+Zav + f+Ht13/iF/+/4f0PX+8lGw/KclREP3GHK5GqBEMyBsdnfEUniRgEICjHtDVlgLWwFuoAaC/PqaLi + 9Nnuh4fHP3H3ja9+5VXf8x24PjvpSsm754e+QE6ITiASUmMsAUQGGmKqCaWYIEGiEGJf/8UvJBtb + 56zNulmH1LLTDroEI4IqQFBqoQSPstJqq1dpPhzhzs8+8J9/Wz79mVv63eBHqqHo9kejQaepWDls + CyDFaK1SkMnD3vFOSi5UmIxhSCkMK6OshsNT8dAtD6tyGKRjzAoqPHHyzD3Hb8uySsaOkQWYWpeq + mdYPYe8do3LAFl/4wkPXnj4ZVpbFdkYS/HgMwKglZYrJMqh2M36lmSRIcaR/YDQaDDGmnJTJUwCj + BxwONL73hDtzNg8SJp7m/UpOlOAVWQYEWMHSyJ+8865j3/VtZ/0AnI1Fsw5x1jMUqtJlAUt5UYag + mJ8x4WLALAakShUQCN4qW28B9qI2zwgqIpRlm0TZzTfZg6u3HMg+8eu/cev5cGDsRsNhBhQGqghh + MiaAAVhr3zBBAiPAKMEKGAGKJedude4LH/rIp048+oJ/+oYbXvaCU2fPLx09tCniLA8lOBW2xmQZ + xMEFazN1lVFQNNCzKkFIdJJ3ZqfMVZSwNdpia6y11rJhJgkWREq+BCE3TAIJWgFjNb4fXOfsZvmh + v/7Mb//+oTNrhw14tNVf6VfrgwzgyVHGCgUCAwBDxgGZwBa2Z70EsFAQrQgbq717jiw/+/X/6Mpv + /bazMD7raSXIxDM8iMBGLeCBaHsWXML9e8nJs06lbjAccjcvu8EHxcnHO2tbudS68ZYVPHbTxXkS + R5S1tsAGgWEAtgoOhvuF3frCA6svePYot65gdiEnWup2Q1nJuFxdXhr6KvC20JoVUTN8Sc9YCh6p + ZdMvXdmRfOs9H+w8+FhfVWQQTO3jiTNSKQi00REJASQsTDGdh2ZsuhKcSDiS22XG+Qfu2/rVz336 + bW+77Vt/4MA3vBrXHF4rx3Kw52y+NSpz21VhQ4XtGBANQwhVyYaWlg4M3Ah5ATIaUHnyVaDgIKFg + LHezfLy1VI5x/2Nn3/knj7/vw7dsri9Va0bARMQWIV4GQUQ5jDjJrYVIKW6U5ycPHci/9qXHvvd7 + to5dNdYiz3iMAHGFwiqcQojjBchoPe6ya6a5i4KD8yYAxjJx5qsQYEz3ikO9H/iu8aOPjj/yadlY + 8/BFDlfBKDsWJbVxvyjq3EOKLmjs0MmhAePh5hWUnfiN33vhyuFrX/GiB/1GZpeQa+VKD1aRvMi9 + 90IijMB1l42CRYiU4IlVmXIyAuvIOjJeyHnJjekHMmdO2SdO3fv//utrH32s70pQsEIcUzcRHANA + oZl4Z4CVroGE8yXWu2bj2LE7/vEP4aorN1y53OsDcOXYKHUyKoGJOp2sIDCEODDsU6EX/wrCGOO9 + Hw7Gx668enNzACDv9ETkve/9sze84cfYUOXCdskw32kNIEo0G7N1zDYY81Wtra3FPFAAvPfW2l3S + JCUSiUQikdg7TdpcTC6y8QrL3GRwRggheq/3lKgvkUgkEk8WE1MKx7zMra3Wt6G3X7lE0OQuJSbp + /9s5s4Fp0/ZTz8zNwSa7bf1/c1lfquIdUBJq1q8SjMqMdkDxFblW1LQ06lPd14n0PZFIJBKJRCKR + SCQSiUQikUgkEonEnqh/k5KYXaNWC5NVONJ6FQERgZhIRefolgFkxbbGTFVFVUJQ1TzPoydwL9Ze + AEW30wSJPsX4hzEmfh5RW90ILxesQNxZUfMojhadTjusqEqIgeetP1QwUfNDWP2rjCpUTUsbqaKN + mJHZzImD2vjXlmjGAcmKbb2xxFiiAKydn8pYFvgtTZY1BWKzYvy2mro9Jotsh02cWCbuxF20zTbv + NN2J46kSDbvzy9dxZmpn3m5eqPdO1HzuGIeWdHP+RLJ5p2mMbjcGs7+nTcZn/v4K29LTHb9I6U61 + NhHFyvzMj3j1hybjQETNNGzK7zwo4rNu89lepUN1vbpdb9O11uxasCpaiRtnahsB00SI3IpHYcES + 3/nzgVC5wMwU8/FoNFvWhnEQoNtbFQIRs40Czqmt8wqKZlSFEjERmEgl1OcrYtL4JJ8oSOs9PGO3 + tzZXBAkQVQ0KirUqsVUSVSZSKCkQfAji8qwz1c64ZbLbcSSAhGBAYjgjZsCARIRERYIogmGe2x5j + sjqOeKgQN557JlKCURIVEgkSJGplJ3t2h3naWKsqqqDJExj1cxiT0ZHtk5wHdDLPdWo7MY/GKREX + 0wsRTJbHo2Zysozm5xin1RKNp0Nimm5h/aRS3ZLoxA3eO9UoGt9uc2O6V5XBYDhn1KAARamu9y4E + qftOkO2zjapiYhMOzvm5cYi4HUcm84nZtCPEMiIhxp+N45xv6ortn+1LCOK9i3Fi/Nk4a2vrU5+N + fWE2ceQnKmEfez1/lkO3tgbx3Tgy7f5OxRFRVWm3p9kjqrKxsTk3fmxPHJm4Fdm2OLe10HFQxuP5 + 3xMW0VwWZn3GUa8+9dYuy0AWPaS43zj7IuqQ22FrgfGCxxpmr+L107gTrXJzrd5dJ2wtRLbLzO1R + +6vQov7GOFNVT+2L3SO0S+ok3VlddpePzPSu8dZPjqUmcnOMzAtTP3tWz/n4rAlQz9C97+X42LaC + 5srd43dggBphvAjmlpx8H4hHEAOiiPuGAQm6/W0yXktF4jHYHqs5evu2Zn5Kcs9siTSEyczbGWfR + VjG5Ou98faIIZ62XJxFot/YEmVPXVAvbPYp7Z/e2pe2XvN0vC77FAjNLBbcpyxJAVVXe1+f8Rf/x + kkgkEolEIpFIJBKJRCKRSCQSiSnS7dREIpFIJBKJRCKRSCQSiUTi8kWgOxdJExgIEEEAgKwzrjAu + XScoE5j50mbsVSbDLris4NIN0e8yIJWyJaWYNVKfxNrSRCKRSCQSiUQikUgkvuKQkBurIfhQZcai + oIHzm1VZLOWrt9744n/5Sw/8ylvuet/7b+4vL1VDq1XpQ6FiAANobYUF1ekeardobZ4G0HocnQlO + PCopOOsaHm6dP0aHvvD2/37vhz58/be/7qpv+sYrr7xy0Om5zFbMpQ/DQQlr86KA0LAsC5sZCCbp + Vlhrv/V+Hy5lI8aKeB/GFYesyAsiE5zTID0LW1bd8fCQOGxurX/8U3e/73+Uf33XzWRXi2412FQg + A6Esi50P9rNCAJCAwLFRBAFCffMEpGyIq+DHcGOoLboGNHSecquXWK+Q20wtj8KoS+7QufX1v/pI + trmu1QhZ88B7LeZ8Eu1gRTUsezmd+ejHDxw+nF95JeUWkFAFKyzgQKRUT5NAdUVfUWxtrHc6nSzr + O1SB1EvlpCwCVobu8+/5wGFi+BImCpuVmFX2pzuxhkQ0CJhgx9Wp4/cce/CBq597+xqCy23pfTms + 1OZL3V4uoRqXMPPTDF0shBgQo8oQYYUKAyRQARt24oTE2GzT+YHi6MGVpa9/2ddcceSTv/hr7vxw + ZZxZFldtAmiyNkktjK/lr8rQbYdxnLQhU5SbW7d2++dOn7nzZ3/h4OteedMPfT/KU9mB/vlMuduz + DKdOfICqQLzXePqKKXeUREgCC5Sh2dwp2uv1gkoIARJYyaoiaAi+111RYu9GGI1Wja4g0Pkn6LFH + //atbxt+4tPXrY0PDFxOyBib64Nla/wkocEOww3gFR0GKfnSazynUhYKeybH44cPfM0//z+6r3jF + A+Og/eUOMoMgFECQmNZDAWXSYC73g0tZXDAmAwxYxsPzS9bjb+86qmIlsIKVoTIZuu2MHQoWECsT + JM4JJoiAIQQlVlOOB/fed6R0y0W2DrWZAbTyZWZtl9gPRrk1HnBcZ8NghRUA8HxJrb5MHsRsiDCq + Hvyrj97c7fHaE0F3ZCPR2oEkNLnaRY23sEA5jsY4bHTRKQz7alhUuNrAEIb+/AO/9Z/W//u7b/2m + V17xra9ZzWlta7Da6StRMOwCxt5JnbFK4bUMJWWZxNwLQYxSh6hr0CEU5TgbbWGwWf3Nncd//4/M + fV+4OdhsvG5rp3idBUygICLD6uERco8NCPr9U0tF/rKX3va/vaG8+rrTXlC6rJN5Ki3KmMIAACAA + SURBVEWkIFBQImZQreue+OYvNUIiBLAGUSIyNg++GlQVlJcPLD3nX7zp3jf88+qezev6y8ONzV7e + GVfj7fNna1oQVCrJCGCECivMZjS84tS5z/7733ruSu/GZ980PqQPD9fQ6fW7Pb854lZStEmiNYpO + aRVH0EyVKSOyoqyqxNRf7o82znZG1dFyKzu9dueb/5/DJ+4/OnYEATge+EL16REKWB4H18vzMKoc + U1g5cHLl4Iv+9x/BS154fPPckSNHynKMIEVuHbzzwjYLxIDognx5iYtCWZbLy8ubG4OtrS1rM1X1 + 3n/wg3/xpjf9lLXsvBhDIrX9OiqrF4VyzgGIBbz3xpg6DVgIMe9JWZZFUQALcuQlEolEIpHYJ03O + ZQDWWhExxlRVZYwxxjjnslYe5HT9TSQSiUsHaX1Dr/knJjfZ4q2Ep0bcS5NKA4EU3ORs31nvU++0 + XpSMGM1Y7fzn5QrtuHFUz4odJfQpEJwnEolEIpFIJBKJRCKRSCQSiUQikfhKgomgQqo6WeMuAFQn + hssFCS28k8bZTMQEGDYAgtdGo9tYe1V1kd86tNYTRqlwVNqqoNH3EkWdalu3PEfqphoaeR7V4lgp + S9eo9YgM0QXkcAGkUsdpKf3Ue5lEIGY7+VtD0Llxms/GljSvl2NHRLEXcdyYiYiCn68TlkXjFhSI + wmPD3OwIcs4149ZOhbJIO+2cbz476VqzL+ZQlo6ZJ/JsqvXNjYN5QTuxU1BJRCGEJg6RibURkWzr + pevPiUisaG78qvLtphLFqBxCaL+uqnGNzCJpaOz4rAHaGFNrfoniGEb3rVnw3ErL+d3uMrV12i1X + 98JxjnraKJlW1WjTFpG2QTDGicvjF+1fVZq4b5uF3QTAmGyHnrweF53SijcssKujU/RiR7x3zjnn + Ku9Do9GNyt5GvruLRjfPi6gNdq5yznvvomB4UZxFOuRGTtwIfZt320JikdCojufGmaxclhit0So3 + 5Rt5cNQPL4pTVS5GiLU37Wn0yU2cqA2erK+ePp9sbg7i+U01NK835xZVEvEhqPeVCNpnwqntcDie + Gyeex1QpBBeChuAWxYmvDwbjBfNt7stx4l2gQBtrWUTaTuImQvuPqQKzNNrgRU2aMh8vbs8OnfBU + k9pxLtgezBuEidx3/rtzWz63ZNMetAZnF53zgtPPhZsx60KeDbhLhNmRbArPBtytkbHGmSNPY+Yw + mT0igbgAgxa+e8GSxJjo0bdfif+aen12OzmT1dvg6791Z5xY72wE7+a3cPde7B5HZdIqbL+y91om + 59Q9lN85P6YutVPfInbP5NZcsICJHxoKIF6XdcLcyDvmz/Y6JJ7dTmLUJ+qmpbMdJiLAACCyTYRJ + cENEqrEN8SvEnBpjBNVFu60uo/UXcm59U4pfLUxTV2z51OutLTW9m4o/+/qk19NbZt5ZPracjTGq + cdymos0f4bS9qNv9wtj/g42qGh8Eiw+Fxe/bT6r2RCKRSCQSiUQikUgkEolEIpG4tEx+jv9yt6NF + 0lonEolEIpFIJBKJRCKRSCQSlznUWntJQL2Ek1CNwTroF+WYTSeDC5fU+BFRVWNMpYFY4Ty5AMqi + KCmuL95e4ElQCD3J9aaJRCKRSCQSiUQikUj8nYUVTlxRFCJclj5IoIzIMHc6VcDGgeUtk9/0029c + ff5zPv2W33jWRjg4cp2so65SSGFBBt6DAGtJPdB6mFoIrADBGniHSmHYQFWgHlVw2u/mg81zRxgr + Z+nMf/y90+/4wG2vfOXSa74R1xzdyJDldqnXLw02q4EQm27hg4iCUdsICLAyMTjuHVImSKgKZJ1e + EcSM3dArmZz6hjtbW6tVhdOn8cEP3/fe9w0feOBQ0BWxGJ9jhikyLR2gIBKBaTI+gIyyUYmpYKJH + wQjA8BTHgRkoxWXFElvdJA2AgMQYxaV1SLKCXeUq1+mGldGITzx44jd/96YM6iR6gqE8eQQawD4H + EwCQMw46fehtf/z3v/rrbPf8uN81asuxZjb3RM4CBBsEUG/r8F9RZmvODVsuR0MN5dJyP4cfuPJK + B7z3I/zBTxwldghkQID3IScLkn3tBYYZB9/rYjhGwaE4f+6+X/+t2974o71jS2s2z7OuzToUbBj5 + MlQCZXMJZ5wQPIlRtYEYqMhE37ABd6zdGg1Dj5DbrfG4MHlWdNeGW/aKZ3SWDrzwl458/Fd/Q+55 + xJ8/s5KvBD9wJCAxClIEQpMzIBAEMKok2xNXAGVUMloO7rqKBm9/78fe+8Fbv/u1B7/9NVc+44o1 + F4YShEht7oiILVsbnJ/kYIjqYwA7vDj1GaypwleiagBDVChlQA7yhjbLzYrUcjiWY2lriOOfW3/X + uz7/vj87UI6vNVRUahkGgLXLtjMYbhljlJQU7efbGVABFRkqCQiF6Qt4GPwZykcvec5X/+jrcfvt + D48qs3yoJDPwrtvNUQ1ZwWiSHcAKAdDL+f4nAYZ4OBh1l1er4cZhLe1g8MTH71wdjzPxBM0CJOap + IVBrYazEHCWx8wQlsEHlYBGyvFBUuj6ojt+HR5646rm3bZzboF4n7/Q2y0EGc7CzTGHMCgQI6muK + URipI4fFSV6+RIxKh/NytNU9mPmNc+78WdraFJEsgw9QAmsUIBlVNnBAfX0BAHCg6OwJRkM3s/Cl + BDUEaxkC7yULesvSeOPUg+O3/s5973jHgec+99g/+Ba85AV+bf1styP9pbzIgzBELeUAgngOgUiZ + FRQ4uJ73q2UwozFOn5W/+usT7/+Ae/Cho65c9lUWQtYheLBCRUTi4Q+wBJDhLNfcayndpS8c7Bcv + e8ltP/7jmyurJ6uyWFmV8Wg42sqXOmSMH1aGyJBRgjCUJOZzMlHlfYkvF8SBYcSJLwPlRJxbZJqZ + gUEWtp75cz/1yZ9/sx6/97qiN3AjkxkSjVLqQDAAqcQLmo/pjSoYAkizMD5c5eHE/ff/wr+9+Wfe + 2H2OmkxM0R0P15ZCYSUEq4EB2c6zFhiqYGKWkAcDr2MOXgOrIUi1OVwN1TOcw/2P3PvTP3fdqZP9 + 4CRIzmCBcNDmPKYAMHKVtQZBK1BJS493Dzz3n70BL3/Jw6HMDh0ZMznxBzgXH3xmtWDysIpAIiTe + xDnGpEy67zQciV2w1g6Hw06ns7Gx0emwtfmn7vrMT/7kT25sbDHH/IMKIARPBj4sdFozx/w1YGYR + iYkLm/x9sUxRFN57IlqUEzCRSCQSicS+iLmSrbUxtXG8Cud5HjOlxkuwMSYmhk5m60QikXgqefrf + Z95FNX3xiHXMH4vJ/aVYUBcX/PJB+7v/0NzUBeo7hLRjlCfda24fL9BI/F2lLfOenX5PyYRMJBKJ + RCKRSCQSiUQikUgkEolE4u8mtb2vXm5eC/lUoKpk7dyfPDizAKRWj9YvRjEyMNEdo3bxKhZqgA1z + XWayTDD6leMawvhPBVQ1iIiIjU+ozFj/MpupkgaIKiTqIQlESkzMgCFWKIt6FVLAmvhoA09tLVlR + LwGKAGVigjIxqxCICAZEMYKKKILhDAQoT20JRgEVEghUQUpgEHGWNytspbYfgwDw/PHhBUrPOD4T + d/K2R5xoR5xmBWZUX8+SZYVugyhaJqIQ5v/O1e304/7y3jvnvPfe+yndcpvNzc12SzCZGDzZ71Nx + 4jxpG5fjBweDwdz4UTvtJ1LlKCJu9OfNUDfm5kVx4iKZEEKM0DQ4GjGb2RhC8N7H7dw4zrl2nKbX + 7T+iMDmuj10Ux09ofNJTyu09xhkOh1OOz9ip+oib7IIYZNbq3bCxMZz7+n6zgS8y1M7GYY4a6e1P + 7VH3O7dY+/W9RNuXg/lJsEf7bwMTg+a7xYkmz5/sZXwwvyRNnhXUnb+4N683232NCRGYDLF6L/v6 + oPfTXSUQkYZtg+1URfOlu1OnsehIbpa1q9a/ujfPPS7S3vswLdiO/9RGBjzdnvlxFpxWa6I6HROR + c9POuSV3e33m3RmncI3s7ZiKgwagac+2h5uo7SCekVLvT7kcR0Bb80z1QotAdM6WiIgUxKqCidW4 + 0R/rgk/N2W7v6e3XVVqmZIUCGnb2d/FWZUc05rqFdZnoAG5kyvP6VY9x/Lv+5rbj79nyu7SnqXey + Qyft3Nnr3UZs3l6YX376M9uDNqu4ni226IOtLz8UQpgttus5q5FDX+AjuzepkV7PrWreQTFdxeSr + 16L4zbfj+fUuqmuGheuLaFL9/MvMThaVmTv+lxXp+bI2M1dhovhtM05Ia21VVVmW7fGATSQSiUQi + kUgkEolEIpFIJBKJp4z9/Zz5VJG01olEIpFIJBKJRCKRSCQSicTlTFwtyTuWQxtAxaPXgdNHOriB + xY1cZm3lfLbg8a2LAiu8iM1M5cJSXkAIlVAXgWMbhSDQmKPw6Z/EMpFIJBKJRCKRSCQSiS8bFgQf + SCg3FgZBBDDWGA0qnd6g6AzXN2587StfefuNx//Vvxk88MX+aLDEtMQ5qR+XQhZ5geCVSEl58lim + ArU2wFdghkZNIpMxhMyMymowqjoWFiSDYR9ZWZ0584fvOPmn7z74ir9/09d/nbnjuaj8WKql5QOu + 29vw40BWCaLMBNKorOAFKRcWwkpKRoMLZWmkWjLZIWON97w1tiFsfPKTd3/wL7c+9ZneI6eu8P6G + IBwqizxAIOrKQIAl+OCsNSIBALTWykajrFJzawJU5wuIEMNuSHWK9Gx/aVMDbEbqgwhfSrE1qeTW + mq3No67qPPzIPb/0r4+ePbvUtUHBk/8p6jZHhfA+48MarCgOP3b28//yl2/5v37iiiM4DxxYPlJV + DGIhAYmSkopRCpfW4v20I7Ao88CPVroFe1OubRwu7DUV4+77Hv2dPzh4bsPSWAEmBIEK1OxPoUvK + GjQDqaglqPpD6r74kY+dWu1f+b9+b0/6w0JH3PFKhnPtsCFalI7n4qGAQBnIoCpEDFZC6cusYGXy + MSUTcQhBbH5aqLe0euiFd7zkTf/04V/77dMf++Rwa6OnsEwGOyTTZvI3A0alZbRnQJihXjhUR9ke + FTk4GJ5857s+9Rfvv/1bXvOMr/nq1ZtuhMgWBrq8MmRaH26ZrCMEIWYFQFCOJmtMhNbtHUEKL4GI + GDAhwCsHMUIFdJVMZg0GW8PPfPbEe9+39pGPHjp19rnW8ggWGnOmlAGh8p1q2LGZU0H7xNCyyUjp + AmC4M2Rz2jk+dnT1a15y4+u/R264dj2zQhbGUpCAMKpGTEoQI8oqAAtBYYXAl7mGlgxba8m5a2w3 + 3xiM3vG+8X33dcZjw1AwRVlRTIEUE2RgcssazGACKwIABBiAwcF7MrJqsfaFxwb/7V391dffePTg + ZuDBeFz0Cu9lvRosdXtSBQIYCIAQaHJWlEucPUA1WMvVeKsabdpqLNWow3Xum+jVZoWojd1s2sLC + QjGbncSpJM5DEABWmAAVEExONNisrrDwfuTHbvzxT33iIx8dXXf1Ta/6+iMveoE9dqU5dBicQVTJ + kDFQhSGUY7gKmQEpTp4+f9ddZ//2+LlP3nVwY3RgfX3JuWVL0FAGjINaAxUYBSPmo6OgQTQwMMro + XDBnV5ev/PbXXfW93/1E0dlgK7nx3mWWOmp9VYEN20JhKChIAI+Ydk5JiKGslzjtRQght5xZE5Q0 + CBGDjBhbQssiu+62G1/4U2+88yd/BidPLbP2IVG2HfU/gTBJIiR1UjCHjBGCFnk+rDau6x48ed/9 + 9/zMm5/102+4+aUvePzcRq+/EkKV2UJUWaEEqbNXMZQFAMOAvYpXcQqyJtdQhJCX5YoqPvTRO3/1 + 128+ea6zfr5TGM2gO0/npGCBEIOgwECk7B94pOg970d/uHrx8x/q2DFlJrObg41+kaua4DEah96B + peArhQAepD5mugOMfGVdr58CmLmqKsNy8ODhEPTuu+/+oR/6obW1LWOoneROFUzTKc/aiEiWZTHL + nrU25uPz3htjrLUxx1+WZTH7oaqmNCiJRCKRSHzpxHRy3nsiitffmHEMADOHEJp8wc3fiUQikbhY + KG3fHdijkVdr4XE7cfRF22Iq8Szt+JtaDukviz947p2UaLaesh1fovF5Mtt9JWne40Ds9EZ8+fv4 + VG0TiUQikUgkEolEIpFIJBKJRCKRSFwsdqz2BqKFl8gAEq12AKvUv2BsbGwu+n1DJIQg3rsQRCRM + BMqYLaMqo9F4lzjeB++diIqE9rtEHGPGMiKhqtzcOPHduI1tiJ8V0RhBVUKQaCUWCfH12ThlWcU2 + t1tC9aru7Tjeuxhn0S8/g8FQVWL5WlYKavSNUUjceIt30Qk3WuiZnUiN2HjKf9zes43WepHOORqO + Y4QodN6jPHimrgt/6kmEfRKRo4Q1Dsbe5cRxveusq7FpUhNq92iL4kwFuSDWssgcd+TEdzvdmL3r + oiPM2wW+lH1HtHBk5pqbF9VlTCMyr8u0+z5Hw7z4B+v2EDVMjWTT5guO21QvZsvHVy5oAiUCgUES + HyKY797GwiXWi88QteSWtG5arSZd8NwNxUeAoO2K6npjnMlTHdtx4il9e1t/ZG78qKtvGqCKoKH9 + S//UBxf117BpdTxOChVB1NXH16ilgZ0cKtMq3YlWedtCHYdrsr59p516MYsONGtZW02cLT8F80Lt + evPBVijd43HdFONJ/qv2WeiCR98s7fFpBg2T/dt+LqC5OE5aMrnU1RrsqLmd3i+Lt9t1tffvotm/ + y+txGsZ/NaFaLd/TWDTFqEXs16yMuVV+t20zRFg8b3dl4rBu1d7+e6qdF2xPu8Z2+9tx9qI63gsX + HPa5yucnEW1q5ix63qT5grSX6vZVcveGzY1zweCzBZrz4R5DzdbevLLLt9BFcbBzeOOXyeat9gHy + 9NSHzHB5P6z61JDn+dbWlojEuWetTQ9zJRKJRCKRSCQSiUQikUgkEonEHkla60QikUgkEolEIpFI + JBKJROJyRQGpHywDTxLhxRWUGTGqAVa6r//wez5zxx1cAiK5MXqJNSqZLdRXRsHDCiNBP5MKoYPa + eRMEBBj4lo87LflMJBKJRCKRSCQSiUSiDQE5slAFUOCcxJIIqydx1EExfGKzWCryfu98Jv1nX/vs + X37zA7/9Xx7/8w8cPH2WvfZhCEKEYDAs0WOYSaoGIzB1Mgd4kDVd1UokBGgQWApMoAxKqCo10G6h + 7DdkQ271xda733v8T/68d/0zbn75/9R56Us6V10RlpZMrzvkUJpoz+Tabw3s+7FYZWi3w92OrZbG + ZVGOsLaG48fLz9594i8/bNfO94aDI953A7pEGasGgJxhLQmVx1IOCDmvVlUAjR5UBSkYIIIQPAGA + FbDCBgaIVYVg+0tPlOvrx1af8YqvtlcdCcaEUVXkedBLqBlWQumHV7HBZx752zf/0uGTj1yRG7c+ + zmJWBAWBArhiAaEI2+bgvSMe7Mtr8t7Zz9x/7//5r575ph87evOxM6ES2EAIDCVURjIRK2xVQvQI + f2WgBJ9TNayySrtVWBbTHRD+4jP3/Ie32M+fOGRJnbcEI9AARjSA77cSykzmyiozkCD9anxTxo++ + /U/Khx667ttec+BV39BfKR4f+bKwTNaFyhAu3Q5glRweyp4ZsFBiaGAf4J2vVnrLVHmU0ut1XVm5 + 4Xre6W0iHzONgx654dprf+4n3J/+2cd+7S03lWZ5MLIhNPaXmBSItHb9EqAs8RgkYagpYMDBhQB4 + 79Z6GS+dXP+q8erGW/7r8be958hzbr/i1a9aetFXoVwTy9nqoQ0VxxZgAbEqA0YEQOD5p5Qiy8UH + FsnILGXUYeUqZMMhHntcP/iXJz74ofLhRw6Iv0XFgv1w3De5C1WGTCQQpGMhXiiIRTwnQBhCE90I + gQmqMCbbYjpJUj7r2qu+/VUHv/Vb/KFrHh05EiYmrtxyno2VPYXAYEgu3gob5YqyQWYCcS7OXLZm + 60BYr0YrS/18rPahk/jAhx9/yx8sr5/vdqAVhIVbnt2oCJry7io4yq5DQMYMsBNnCEf6BTZHD77n + Azceub7/2lcfvu7waLSBgzZbXarWh+er4RIVTVjP8IAzoHhuv2THSyBskCu6rOMqB6Gq+jYja8bj + cY4sqpMBYnDj11YClAEwhKVWsANwiiJjBnvnvQiDAHjVfl44XwogPpiNjdvyzviRU1u/+V9O/Obb + eGmpOLjav+LI0hVHO4dWKbdBwEGNl7C2sf7442cff3S0sca+6kKfUbmOD11BpvAV2II6KB1EYQmq + xCBSw0GYIAqYsNn1D6we/Kp/8sMHvuk1Z7o9yjod0W5Gm5vrWW773WJzMPSA6fW9ABCOd+/j7FVT + a9qBS5f8ghVGFAiGYA2LN0HUMzvRivzy6sqjg60rb7j+RT/7Lz7+S7/YP/l4tjUiKJShHBieRQhG + hRQIKHqFd2UlyJeK4VbZ6xTj0fmDRc+eP3/X//1vn/1933XV616zWW2Ol5Yrq+rrTikBYICjQzqA + vVFP5JXYUteQGY9662v9oZR/9K4Tv/fOG4bjbDTIs3yzrDoMggEpA1CYmDlQjQE7ONMt1uAf69nn + vfGfhJe+eHzsajXWKsJ43LXGq5zy1cEDh/tbzq2PtZMpAaQg7xmkbEJyWl984nwBeDgcPvro4z/4 + gz+4vr7FDB8UQBRkmoyDFwkXOO8455g55jqpqqrJiOScy7KsneAmpUFJJBKJROKiYIxp8tN57zHJ + NNfYrAFEoXVyWicSicSlQ2lbFN3cQZXpW50CbBeLf1zcLVDfnNlR5eQm1ZTleqq1lxiq8/8DF0rj + K5diZJ78Vve5XdT/dgrsHW88zfp7KbcAaCIyByb3jeZNy7njlkgkEolEIpFIJBKJRCKRSCQSiURi + EdGOfOutt2Nm9TJi/o34dMYetsRQ2f67caHu8ikCQNOfauKo7Ii5y9YwieouJWPkpq5Y72xJpgvE + 2eN2ql8t7+cOg2nDfrXEF4uoWd1vLRerVVNm0F3C7q6l3PnK9lDvvZ1xvWvbyLhTCzr9K+Wi9vjW + KtlZfakqiIh4+7OLdKHO73h9Wi+qk+CTUIviLGpnkOmhiVXsV1/aHu220TaEsK9J4mdWF8c4i3SY + 873QO1q2+J39zJCpAnPK7xph0gWuExNtj5UBRJUAAThuW/mN5lay+Ofkdiu0iT+HyV6hdrR28Kk4 + 864JO4vtRLbnFaNlxm2Oo5kPLjiOpH0cMU3kyZP5SQDtOHHN7S/J9vyh7dagfVw3v0Qz7zb/m+Xr + Oxvuww5ld1OFNPF3MnPYTYXfjoM4eRa1Z+ew1Z8m2nFcT9oDYDtOY3Tfle04VH9q0q9YWWvyxNnD + Bth2LTdq6vn7ZXEDqNH0TpvCF03++a+39eextsZPfaGAC9s76VRzBO0Isvv5s60T3mlS56kyk+rm + j8/sgLSvMjsN6zoVfxemgkxCtePsb7gWEbXruzi5pzq+y6Mic93MizzoX7pWeeJv3nv5JxNn0aeY + twsQbRfbv268rVGfX+PezOI7yrSndPO5y8Nk/RRzcQ6jS8Me9hcRbWxsZFkGIJqt47GcHulKJBKJ + RCKRSCQSiUQikUgkEom9kLTWiUQikUgkEolEIpFIJBKJxOULNw4JAeIjPHGRPkqPbr8C5ZYoL0qH + XsGu9JYv7fJK58vMZl0JuQtv/cZv/v6/+hteyUTB9XMiDAVUiDg+JXdJG5NIJBKJRCKRSCQSicTl + i7VWyVfBj31gm2c2J4KF7fV6EpyDHzHc6oo1+U0/+sN4wfM//9/+6MSdn72auVONpKwyQqcAHABB + nS6/fhpdCRllCPAaMjbGqHeBBBkQFKIwDAKkrCxwkEBVeWBYHjVm877Pf+7BB8+9/Q+Lm2889pIX + HX7Oc1duvx0mU8uebCBSgoADQ4gDARPtZ62JBRhiBKQwCqPCAgasgIJiXOHMqbOfu+fEJz+xec+9 + xalTh0bjqyvX8VIYQOFDHSomcxgHmAIdhnewpDkAmG3XJgkUgMw+pKowpbEjSyNr14ze+s2vu+U7 + X4Wbrjudm8qXuWXSQHt6uHVSFcAqrDCqrNLuNZSNwgqMCqsYlTxoJhVGowd+/x1rf/juGzZH+XDo + g3QMiCBSV1ybDJSmhBx7Q4hQKFANDwXxx+/7mzf99DO+79uuefU3IfPeZFsFKiOEYGrfLDtWIQgJ + IEahYMcsZBwzJolGhCTuQQBPqlWXEKHYTqGob1chBUNJoVRPiToBgwIQqao+m87W0AbC6fMP/dd3 + nn3nn11X+aVuh8abNnZZQQLDczIvXAgCIMFbIATYjpFx6PjNm/LeE588/tmHT2Z3fvaG13zTNXc8 + X1S2Ro6KzCkCsYKVmtGuBTBCcGwC1Smham3wvh6VJyFVQJRsbX3X2iXT6XW2xkMjJmfry4qJuv2u + B2e97mg0MkV3s2M3ZXj01S//2htuuOvf/Or6Fx49NK56LmTiGaHOWjV1tDS3HpXVq0JygyCwFsHJ + Myz7tbVV5G7ozn34b+76xJ3+mqtWnvesYy9+8cqzbjt08JDY3BEJba9pFEJg0fpAA0EmI6BMyt5n + GqCEzeHwwS987jN/u3H8Xnv33ce2hsfGoee8ilOoMNga8cEiEyUiS+q81zxD8DAqgEFLLgIAimBo + THaQZWeLTn7Hs+/4ge/Cy190yvtNH/KVVfhKQ6lBytEQ1k4ySXAc8+YY2UXr8mUkDiarZBIIQpPW + cpRGI57QxKha8RaKtQ1s+RNv/X286/1Xr21BINJO68KASOMxUkARtb7bKCxDBQoxIAT1W+UKCnLh + +O/+fv+e48/+ztdc8+LnhCfOb5471+kuiweHkYIrg8rwZMIBEKNBwZ4pEMeWB4aSGInTg4G6sFFg + PydzAEIwma3c4ODSku10Qicfb42N80wssVOgyT35+jgKqFM3MeqrW8QC4kXjeckYQJ0IgKoqFbDW + WkCDmHJ8wPGR4BnGDUbuzNnygfu3DD/BJIbYZuKQC/Wc5MFfi2BYlb0GGEVGoOXLRgAAIABJREFU + kAAAbFF6lB55h+A16qhVFIoAiDHjTAYdu3nD1V/34z+OF/+9L1A+5mwpkDqnov1uRyWMRqM8zy3b + 0ntRYpDUY8jbHb705FnHVeNKg+XMqBUyzCSMPMvObq2vdLtrwJHnPfslP/nPPvWLvzAKZw6VoRNC + W68eIUOjQVlYMJtqq+wyqnGZW7hy2HHllcPx3f/+Py3fe+Lm7/nO5VtuGKgizxzzyLIz7AisXJ/M + JTBTrlo4t+yx5Ct7/gl+5PFP/8pb7N2fv7GCGa0bGLbWAFnWKV2IF8raP6QA2BOHvDgJPX/00Ave + 8CP4hpedXl4uicbjKssyAhjExuZFcXZj7cpiFR4VREloe74BJCT89DylXL44F/Juz5X+7Pn1f/QD + /8sT59bjlzFjTAjBex/N1gDY0C5mayIyxsSS0WmtqtGgGbfW2phKzBiT0qAkEolEInGxiFfVeOEm + IudcO50oWknoYj6yL1MzE4lE4u8su/wn6vbt8XkfuejbtlG7Yfa8/xT/N3VTHU/y5ZLWrZWnamSe + su1+eTq0+Snb0uSGfyKRSCQSiUQikUgkEolEIpFIJBKJL50pX6xIrcqLa1y5pVrdNkDv3G7rDltb + lR1/E4Gp9f5M+W3v9c4IGqZfadqwKE5olibqRBm4s7Xtts3Wux0H03G2BZ0z46Dz6qpr1HnxUb81 + Wf3Y2EgX6nt3Z0pAOHdR5e6RVadbsnuNk/LTzVhUy+6KRN1pSJ2Ns8hS2S4yVWyXGi84zjuNjHHx + t43RpiSae29PLMhsdzpHGxauw5kKMhun3eCF+uHdVuzuGNtJFftdFyTNaLS3i6rc/d2d7dFFhXeZ + pbNHRFsHPlXF7vN2VpuKBcfarp0ysSuTga23qjqZ+e3XLw4X63zypCNg5058Eou9oy+zidCyUzen + 15YbduagmrxOZCZDUZuS63JszPZ0jX/IIuX0pN55bWhrmIFdZ+1snNm3dnZn3zti3rBMB5n65+67 + pnVCnAiiWxO1VdG0fntWp72InZrt2Tjzm30h2rrf2ek314i8r/izJ4Td9/zu17U9XOYWEo+v/euN + pyXWi2rf/eDdb4P32M6p8/YFW3XBy8ri83z8+O6NaWr5klTNX0qc9jDME1Hv9m6b3S9qc//5pOM0 + bz25+Zl4GlKWpbUWLad1fLwrkUgkEolEIpFoQ4BOtru/m7a7j1UikUgkEolLDrXvWypAJLblJYm/ + hoiSgEQQE2clLie+lJ87LxFJa51IJBKJRCKRSCQSiUQikUhcrlA0rqD+mdcDACwEpCg6UIAy0JIB + WwAIxJOilwymPIg3jI6GK8sSZQVXZXnuAQHnJoMIVA18IPu0u12aSCT2z1whR1VVeZ63i0ULyJeh + fYlEIpFIJBKJi0177YsxhpnFeU4P9F1UFHA80fAwF7BQIHhSVOprHymIuFMKXHf5bCb9r/26W+54 + wcl3/+m97/jjo6dOHjMko5IZ1sALDEBMPqiBoayA90QKqSxYRSBiCBJAgJncMxCAYyofhXoQwyAs + u1AEPuRceX5z+Om7n7A5rRw4cN21x575zOLmG7Orr8ahVXQKWAvOYCwAGAIJoFFnCgV8gBCCYjDE + qSfki4+MHn109LnPDR57dOOJJ6wLBwwdDb5wLpeQBRhAAkCIBqI4/8igY+AcRGABAhmyCEogBikU + UCaoqgCWJo9DCyjrVrZ42LutY8dWXnTH8773u3H0QDi0vJllFRUEUYaoKvYxp1mlq0SD0WpmqBpT + zg4SRJSNdziQdahUDDZBgB/j+PHzH/vYFz/4kdWt8Q3DslO5TI0lgkDqg4sUTIo81Krm/d/KYVFh + AqHKxR8ecvHYaOPXf+cTv/tHz3vFK/PnP2/1Wbeik0E8OgVsjgCQDSQuK5lgxpXp9DY4X9OgnV4g + U4gAqiyAQCeu6KfNykUhFmIGMTHGZS7aA0xZ9TIDCaI+IBCRBUEBJ3AlMMb6eRx/4PEPfezcp45n + Z9dv8FgST8EZFQIrSQgMQlACwPvcBQQCCGADkTIYMAHBlYeNyc+cO//uP7/3wx/t3nzDNS96/oEX + 34GbbgTlyDswmSjYCUhdDhg2wiMN52yWLfXc2CmR6drKl4DZh9laebK/oog4ACAFKYuIIcPMgEST + twMCee+2MgsEGXsxvYNn8+rAHUtf9e9++fHfe/uJt7/72rObx5yzqARjDyiBBAyYWjsNgERV4IQM + wE6VAPFgQD0ZGIU3fuugoBe4/Pxg9OCDX3zPnw0yc+imGztXHD1wzXXL1zwDx67CkcNY6iGzEAUY + GqAAKULAcIjhFh562J89c/ahh9cf+uLo8dPY3Mq9vy6ErnddH7J42BsoAIIgBIJBYBhVZUAIXogM + IVBMIqEqEFgGQGPB0BZnu8Xpowdved03H/uOf4CDq4+NPJaPmIDghgCIKTAAqwAr2yCACTDK6kGB + YTWYEOgp0gHvFRGwaCZhCdp1m5YAF3ekhRf4qh6yqsSZx/D4KXz+gfV7P/fwfQ/S+Y1jTiwJszGh + PjPFR48ERqGiBMCIEijanQkCCItpbkoT2MRPCQDpl4Ob/Gjjwx+499Mf6V1z1cFn3rr6zNtxw/U4 + fAW6HeRFN89hbJxY0Zwt5LXfP+UC511ygLEuU6FA6jJVFhFi36juFYH38XAUK7TyHVsMxhuHV5b6 + N99ybu3u1arssimDz7LMkh1VpWVjrXEVrEFQDgSjMAqDiUiJ6uXeddIQqa9PmMi2Ib5+V6EhEKDw + hkAQE7gjshRzmiCwZkaYIAQFRCVAYRQZQ/0kZZxHzmSUQil5hnhBMZaDDw626i0/ZGXlG156++u/ + T26+7iHR0LHsAQEZoxwcFEyADaoaApHGLwOsUDBIRKFgxuRSeskQgkiAzaAIyuA6pR8rfOWX8hze + IbdblVn6qjtu/5mf/civ/LubT5w4tjUilk6WSSk5GyfBGKPMME6VEJABQUAgES0s4IOttgqEM+95 + 7yf/+qPXvexrjnz9y/HMW7DUX+oWa4EGJGptMEbFG2heVgeDdILg3Dl8+tOPvP/9Jz/28SOD0SHR + 3AUTvd9BczLDsgKzKTLyEpxaAwA+uFD0T1p78ujq3/uxH8HLX/YYVPKOJe4gqAQmKBhejYR+lo3d + CDaK4QVgKCh+J/n/2bvzIMmu60zs3zn33vdyqaU3AN1YCBDEwp0SCIK7SC3UvgxHC61ReCRacigU + 4bAdQccoJuyZ0UQ4rLBmM21rNDMxDofD4whpFLJnJI1ISRxRlCjatIaiLFIUQYILQIAAutGNrqqs + zHzv3nOO/7iZ1dWNbqCr2Ut19/kFmajOynr59pd5373nqydzd0lU1cxSSl3XDUetqnZdNxqudBlE + 7WS6/ZM/9dNf+/qzVlu5ITtxmDWpGoCWRQGmWsrEzGqpGmauE6+vNDMR4WW1LyLaSdDcKYByM2Ra + n6kDZi9ROmx/eslSRDfDRnTOuetIvXCfU6awXoLrvWkz80xr55y77HaqvpOd//kddFWakXcHaZOB + 6dwZwwtm9Yqy5ZvtKgq+86vzvP7qrKWry3Duwp5Zxqu5LfaDs4s9n/vbm21tOOecc84555xzzjnn + nHOXyGpodO0esOjjd04eoy56Ne/Kfr60t/rGUgDPmdQVevHFT+f8wamX9F6XkCN4haaz9ymc5wUv + 8kff4Ia7yD/f/bLLNTPVeftAvuR0XviCS4h1PO+7XMZ4yMt3mFzshF78lZdlOi/cn3c/c/F7+0u+ + 8iLnVrVczMsur0vest/4LnG5TpIX3M/tAj9faH7k/M9rucAvLjih859iTPZ4PF7e09M3Pp09v+nu + fN0XmexFT/jFX3Y5VslLBf1e/mnu9c8v79Qu719dk9CHl0yHv3wXnYuZwku/pkb81iE2Oef6ZB0s + s6fpXJrL8in0G3j3l7iM7sPgkGvm+lkTO3tvHeFFRCKyM+qn9iH3QUDOOeecczc5orAzwNC0EChE + KkVrDiRfoHzG7t/WxwAogQ1yvt/eJI9G1+bb99W0KBFzoy+mq5ihBhhCQNH6QxCR3WOgrmiNnetX + /TKuCq8x7tzVQEpY9pYAlDNbYGtJI1EGYJYAgLIEFRIjqHqy9fWEmbuuw+JzCNWKVde8vdrP7845 + 55xzzjnnnHPOXbfO37qoAFAEoWUCLJx+brNJ0bTwhW6bXyZKZ2YpqDUiKD20BDSl/oYYBCDDmGuL + uHPuehZCUNWmafq+r8/sZHsA6Lqu3phX1ZTSNZ1T55xzzjnnrjO7BgHvZO8ozooBYBgEEEZpQllZ + 2Qrx6I//2NFHHn7q1379sY9/7GDkw6Zt1w0bQKBiMTDAkvuCEo2MiIgYVsvuEIMZqoAtQiB23shI + FSBDY2iLrkKNsoILz+Ybk/mzx5/8s09vhdgNW6ytNAcOYDQ+cPvtSIPYJE4RbCKSc6dSMOvnpzcm + x0/kU6dp1jVFktlqzncWWZtOj6rGEBkQKdgVm2y0k4i6kDMISAFIDIWIGnLNtKYYYSaSDaIMUhQF + N3FGtA06XvJ8ZfWed7/nVd//PXjl/Vsks9Vx3zSdmZkxE++9jFFSOyDlC//3JzaeOdl2c+EeERRS + zkLcHN+eN1udPn9685nHJ08+0W5v3ap4cCaDLosqYATeFQ67iIhl2K7F3tuj1SYaRiCLImOVwbQc + 7PtuMn3q135t6zf/r3JgPR07unLH7YNDhzAYqVFKTYbM46yJlHrdsjA9euyV3/ldz6r2TEFhNU+V + QLXn9f7qs6jMXPKcgQOJ16fdpz/04ZWt6RqA0oOKEEBqfcmzXCZTTCfHv/jZdnu72ZgOt+ZHe1oz + DlYUwmCQSh1jAACgZdb4HreCADXhF0oAKRsY4KKHSQ6UsP3cqY3N008++rnZv4mTweDQq1+nqwcG + 6weHw/GQosIm3IlqQ+20Hfb33XPHG7+5C6Fn9KUXkcB7iF0HdrbXmWbBZSr2uduxPp2skIGNhbmA + uzZMUruW+mPve++xt7zl0X/yK489+oXVkgc9Do9S6XIIkAIYApMxmRkzAqWiy5JhNbt3ETBjIA2G + WDAoAmQjZObMNNv8TG7TszF9hXibKacoIRSmlQMHOSQGlX7edzPte8o59HkEDEsZ5jzOclhKK9aY + 8XJ8yGL9A0xQA2r0rMJMiChQYLOsqqYGtJHnRQkYtMOc+9MqOHLrM02U++5+x0+/H9/0upNd1zdt + aQ9O+3liYlO84DxR+/LWzV9XbX3ZfpNSCiKDovPHH//yH/7+eHsajLWT2TRHGObT7VPPT0+etslG + mm6OZ9vHhJquvwfcMENhkgnEHKwOzjiz59eFXjxDoHogLH+u6l5gANWTSqvdgHk9l/7UpN88Pfni + l5798Ee2U5ylJh4+KONxWlkNq2vteCWNx6kdyDCdTrjvW9+Zjh3r2UJoxLTv+9gS6KzMX6Nzd/uL + xCGKCShi/eDhNz30+KNfWplRN520g2bWdb1hNEp9n2e9jBLPsyLU5GHdvUOcs2/sDqfRsw882xWz + RPVEsbPbGGPRgs6AKglIDSAFCH1GIoQECFRhaoE4htD10kaEFDZ7keHKsyFMjx1+6Cf/Bt72sN1+ + y+OW54M2Bs7zudCgSbGoGLB7ps7db215wrgqg21s15wYAVCyekUDM0knM0MOLIPh6E0Pv/vn/9Zn + /7v/tv3a0+nUlnRlHFKWPBi0WnKvmQhaTCABiByVUKRkRSQEwlD7sfD2c6c2f/f3n/6Tj+ejt6y9 + 6v5b3/CGI/e94sjhWzEaAxGS0c9w8uTmF7/0tT//i63PfA5PP3V4ll9FFrvSQMmgZKLFtBCHYYqd + yXQ2j8CoIcu2baDR+Amm7fvuftt/+Z/hta98OgU+cGiWi86mgzQQyM7eEbQu9WI9X+hE7S7BTtN0 + TbYOIYQQ5rnEMDx+/Lmf/dmf/cIXHiPmwFxKeZGe/bXff83OjDGWUmrE9U4BFBGpz3jFE+ecc845 + 55y7ms7JivacYOecc84555xzzjnnnHPOuZuQ7Xp0zjnnnHPOvZDqYtQSEdXhM7vzrd3N5nof/VRH + crVt23Vd3bd39nDnnHPOOed2mBGBVQXAeDj6B//wv3/NKx8kIttVPUNrhQdjXLi4w+bm5nKCN8uN + CFWVXWpRBbvOv0dcSIyxLl9d6lJKLaFcK0vcPDY2Nq71LFxFpGwaQji9NQkh/A8f/J+7riv55tri + zrnrRq0fSKRktXYbCMTKVABAQ/0loAY+u1Snuz7sw0+YHmvtnHPOOeecc84559x1a3cgyBkMCGIE + iAAUufXYUTzx5U7Q7jHy5hshjC4qogIKQyAswl52tW17G7dz17WdvOq+73fSPmrQde2BUfuv12EM + NdLjGs+xc84555xzNyzdfP7kwWH7fCeDe26/4+f/izve+72f+7e/9el//8evKO2hbpY0R8BYVZWB + tonZVGGqCgUDxAi0+NpOy0hJWkThEixgmdlZAzjJwKTRMBYbdDICr6Prtqbl5KbGZ5RDlk8RkTJH + 5vp9gbWwSQANCbfAEkBQmEKURKQDAZFhKCKmQAAokIlhJ4Vi2fphhBTGWfJcchCLDGbU2EuoSekL + QIQQIQUgxEGzUZqnQzh956Fj3/a21333t9PL755TOw9J0lA4dEAHI6bAaAQQ3QmTvBitlHTquZMf + +lD7p5+/petzmYRozaCVWR9jY72UQqMUx5SRZ0HzkBAz6vBYAghCBFPQJcZYn/uoDGEIYEaBjKxG + oPftNN8Wwng2zRub9syJ/OnPnRYJHAeDQddnilZorkyZ0tNqkwcfeMMbHzlwYH0ztcG4UA2q5Uwg + Q9zbGrrSlJEDOpJ+KManJ1/9V//qZc9t83zOlkEFAAeCESvFYtDyimFr/bzJMiZuG6K+VzMAElRp + EYISVMnABNQ9cE9bATBaxPnWkHgzEGBiwYQhQ6E4RxbJ85h51n/9D4yCUJgaz2vX0ETMset5c22d + v+MdB++9e3N9VQeDXi2FeEWrbQVDkwFAGEIwMAjK2GpiPHqwae3Bf/Dzmx/748/++r89dOI0nts8 + hBFZjgFm1pMqEAJZUZUcl21/isVuWddGKryMltG6epMqGyiL9XmFgxAVY8REgY1p+tSJkFJkVlXJ + BUDDFIhJJMIaaAQCiElNoYstsHgLri2TAABmNlMDqRlMAUSwBRW2XnU4YGjTdf022sktBx9fG77q + b/7Ibd/1bdK0GyrjI7fNu36zm3CTTPWKboIrLZcZRJBz/8RXT/zr34qnJisx2jS3IjHGxDoo5ZBS + IgxijlZCr1HBEIjUS0aAIZTFujYQLYdmGVAjrPdyvEhRIrSMBG1yP5S83rOEeb+5oRyYoxrV8T8F + ODVonzp66L4HHxgevXUmfQyJDMg5pIZtEaCudR6shprznsKYhSAcAU6SJnn78Hu/9y/+6KPPP/b1 + O2Izm3dthDXY7jMXDAiWMeBYrNT9gW1xtTKq6wkAwRhgBquBjRRm3GdaJEUv99L60jPPLJHBQIvK + IPV0tFNyLzLMIBkGMCGEYKq5WNs0pe8VpqODX14brH3nOx/6mZ/YOrjataMpkEscNm1WC4E4YXs+ + iXEfdSFmWw75Q91Dzgz6KyJtSkJQpjBsp9tTKNbvffk3/d1f+MwH/9noU3812No26gNQ5p0AMSAG + IAQsSlIUAQKAAOagRYoUAlY4NrO+2dpoJhv941858eHffVxFmENsYoxkkNyRWFRplO4UJNEkwiIN + h1wkABRBAgXMRHpJEU0CG+a9tUjSjJ4cDvGmNzz8cz+l9937TDFthznLdDZbX1vLfSavlXFVzOfz + wWCQcx4MBhsbs6bh0Wg8z2U+n3/gAx/49Kc/XV9WSmHmF6lgsjvxmohCCLV2T239ro3kOecQQq3v + cxUWzTnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrmXxMwxxlJK3/cAah7wiw+lcTewfZiV + sie1uFnXdU3TlFJKKfVJr2/mnHPOOed2o1q1AwAwnU0feOCBu+++u5TCoZYCUGBRCae6UDElojtx + /X+Kvng7ZYR3/5OI5LqutnNhIrJTHWJ3TQnmCwWduxuB5r5pmq3pLITwwf/xl+uTzOzNJM65/cUi + jA2kYCURgrIyCVlmmoKUNJMGrqXcLJFhT/XWnDuvfVSTzjnnnHPOOeecc845d9kYADVlhHDi1PN3 + ig6Gjc56ulp3RY3QR0UwAFCEsCvE2tgTrZ27AYQQBoPBfD6v/2TmlFLXdfWfTdMwc845pVRffM1m + 1DnnnHPOuRudEQ7deujUqVPD8bhfCcc3Nu586KFX3/+aV7/rPU/8zoe//KlPjSabRyL1G1tjYGWl + nU86SmQAM3FEIIZq6a0HBgCWsZq1h/Wy8z3Bar+1RX81MgSDQqNiEAwcjUhEpIhqlwKTmRYxGAME + MDEFSJEzsw0QAQFEoASAVK3mWAcC03m6xtki1DOogRACQCQKGEQNJhaB0CRWbJdciHU1baOcVNAd + t7/hx96Hb30YB9pTK4PtdpAtxNBC2MBqIDJjAnGBwBCwhyRUNsWwXZvPD2xs3TPXgm0FEk3VYNiO + FNS4m+UANAEg5ALGIiDcFnHRNeUbTGee2f24zDu92EdTAqimqBKUATKwGbS0gQxMXW9GZgTpMdlW + lIaiUJ8VIcQwGD65PUVMSaXGmZNxjTO3RdPSPuq2yMYsNGhGhMSTCVJc2dy6a7s7PJmkUNS0GMwQ + gEgEjiCez7fVNAGJoVoyEIEwil1fzhptsnMsnJU6+9KPu4Np606rABs4LHbspBYMbVdyp4AOI2AF + RqZQaD00CBCj2Ene2ACDTMHGxZjiFR3owrZozxPACAaQMRuU40nNcW184PB47b3f+bZHHn7m13/7 + iQ/9Ud+X0WwjSR+UDOiLMtACbVzE0sPAdNYeY0R1CZZpyEqGaIh11anCyFQ0dwoY7AgFyXkRAExM + zCh1jZlCDGZ1blHXMFkh22l8tLDcLMgiDDAxMwNiZmQKhhIK41SvJWG6sr556MDRb3/Xu9/313HH + kdMxamwm825zc9KsjQcJvZQrt/KvDqZIwUQ0SVk9efrujW7EZNoHTujnZmIgjgMwlVnfi7UJCpiA + gRBABChKRti9z+NM3PIlHC8EQOo1QAMwDMwsddhPAJGB1EBKhjUZnX5aVjuZm4h2OSbmOLAAFdQT + E7OBYbwzhmyPRwszczfrm5i2QzM4sPLmn33///f3/+HY5LBanvadoG0RASqgmErpz2nwqmH2O29K + i9bxnWWuJ5SzDoiz/rOkdZ3S4k93x2DXlyqBAwUikxrUrgAK08ksfPDWiRHf//I3/if/Ed76zU9Q + 162v9plaahsGCSTnECgG9KRGujs9ej9gAy1jwm252pgZHMHUaYmxobbpFKc1rt/z8tf9wt87/j/9 + L1/5yEcOd5tHGuZu3gBFkAWARIACRAAgtFSySTG2EGGAUi6JMU6xbM5QL7uEkJi411xyQZsWK9wM + MJjBrG6/ursBRAZjBhGKQBiqkIJmbe2pHs+Mxve974cP/PXv7++89ZkAbWMxWNHRYDzvOgCB6EIj + G91l1LZt3/chhL7vV1dXQwhbW1sC+q8+8Lc/+clP1vo7tS7Pzg/nnY6Z1cjqUkoNtK4vzjnvvjQz + cymlvvIqLaFzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzF6aqNdB6NBpNp9NaIcoHv9y0 + rvd4NmY2MxFRVVX1Pdk555xzzp0XsZlqSkm1qKCUXkSI61chrWVAdn+W9I+VlS5zfWsVhZ3P28tS + Ljeac0ol7yyv3qD5xhf6AnXzBLdXIQRVXV1drSVESlk842cC59z+Q2QMMAyktYghjFRYCRqgINQq + kQEA9l2JSPfi9uf112OtnXPOOeecc84555y7rp2ve6gRTEEcGSCE4RjzbUjPAVe+lVJ3/qNkYAWA + GkSBXekc3g3UueufmdVM6zpcwcy6rgsh1MSOOnohpSQi8Fhr55xzzjnnriQhfnZ7Gyujoogqo5X1 + EzmHNq5/21tvf+TVdzz59S//9u987t//8Z2WVnI3mWwnQPKZHE2DREITACxjaBfBnGoEIQCIEtiI + sBPwu/j6HxJQIKIiPWp8NSgyW+5rD10mAqBmxcQKmshERgawQQFFKZBFjrVxDXEmAjNUi1lYNCcs + e3vXGSAtup0CRUQVKzCKMTaBTVEk95kQ4mD1WcbxGG955Jve8F3voYff3MVgo0FpU68GCU1sezFT + GBshxNqVnEyIKDLBLj5mUgkQ6VPgkEB9DI2WXg0h1pYQ0cBRk4n0oiFQall7ORNZDVKAYLVTs2IR + qb37cW+MkyUDcV3FNSKZTEnUYDApWRUNIYUEaA9JiASKlIDMQoM0ADfIuQuDLqCp29oAVdt/LTpk + sWxraJvS2EZvt2Th1JhNExtMjaEBAKhAxUyyAoMwUBGDmRqBI1SBMi0Iy7BdAy3yZNkApb1tBDYm + 05rlTLoIhxZCEQRDBDMWHUADAQi59AwiBGYEsFApBFI0adCOYmkYTZhCCoekgcGZzPY4S3vECljt + xgoOhiRgYw1Eg/bpvN2MRkfuHd/2cz959Ad+4HO/+mtP//HHbtnOK9lGiOsNk4rlXIrVUe11TTKB + BVqT1o2FQFYTpc8caQpAzwSLMxA4ggHSoKoCqm2KpqaqQIiREWrSrEDFUBSApZoBTqRgNiZjBgFI + IZjkbJ2JcI3BBkigirAyPmV6/ODard/zba/5we/Te172rAWzpsxpMGibJs2DTSmXeRnEaPvwMNgD + BkfiOCsdRR6ldhyyyjxESOm5RjIbpMwYHIgH0bqsAWAGWz1PEeqZ4HwnSaM9n7LqBYEIRNRQUIJa + saIBYF2c/g2AwQCW6VFeRS6NFYpUtI+Bhin2uQMv9i7FoqCCEfZ6Bo2qoSsDjiq5pMFT1t397re/ + +itPPfa//h/d8e07D6zy5hZ6hJZyMUMRgGtH73qB2tk1SOtTzPUqClZUkny9AAAgAElEQVTeaSEP + u1cdaV3Guuvb8uf6EgKCLgKed1Z4fU0xkNgi6ZrBMDbM2+brK+v6yvtf+d7vWXnzN0+Hg2kT22Y9 + SSqxLSpZcsNhLZCWPs/mMcb91wO9dpTf9WGDwIZsWkoJKUFlKjkSUZvmHAShzLvb/vbPDd/x+j/7 + 4D/dPvH8XcNEs0kckfRqBQIQgwgksGysnKFAiCnBIKUvauhLAjFFMJlq7kyhjBgC+lxija+2xb2V + EBACpEcTAYKKiYEFHENoaNMKWo6D0edmPb3lTQ+//yfxhtdOxsOTXS5NO1gZd5PJMHDbDJ7bOtUO + G8g1XNU3FY6xUdVSSghpa2u7aQb/9d/5ex/+8O/ZctBdjalumqYW6LmQ2tbdtm1t/a4DA8zMzGoN + lFrSJUbvnO+cc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOef2ixBCSmk+n89mMyIiopRSHSDj + bkLXezxbnf+maXLO9efpdDoaja71fDnnnHPOuf2lDv8XEVUhQESYGVSL1ujiJbtLyNj56nsDRLQ/ + EwevkBjj7kIK9ckbeA3UihO2dM7zN54bdbn2SkVKKYlYRGKMQFke6b5+nHP7CEEZIDOGqsKMVdnA + QCRtGUqaGAYUgpLCEITPWxnOuT3wylnOOeecc84555xzzl3Hdt/vWv7AgIIIqgiM3D/fz0tIW9P5 + 6hVOla2JVDszEa1AyrkzSgzPanDuhkBETdOIyHQ6xTLMw8z6vq/J1jnnlBIzE5GIeLK1c84555xz + V44RDwYj7UWmnUUusZkgT5uYjt5mTbz/Z95//3d/X/97H/3qH34sP/f0msiw6AgWjUiUclFTyCKZ + 03bla+5+h8X/SWmnwxpBM1DTZwOAReIjUU1oNqaACBgrhAxmpmYmpgYGak5qjAAhZxDAbCBSM4gA + qJnWZ/X0Xfb+jww2U80KkJGBJlpmqqVpckxzbuKxY3e+7a2veOfbcdcxGTZbtx7aCoysBFAIRtQV + EUKIkYiCGlTNzAgWmJhM99B2YcSAgYIZFeujgSO6gkDQgh4oklNsmtiaqEjXiQwpEFD/B4OZkRHR + MrX0hY97Q5EYZrZ4BzOoLcNBiZDq2i8oJUegTcmKivUQC0BGyaWACUzCUIYYgiqbApDdua37AxtG + gzUhzaxhOMS0s8Bdl1VFADNIWMQhMwMWgpEsGqxUYIGYQ2CzrEoGrQm7AOuuoSYXGHZyYQQEMmMD + WM0WB1QKXDMvFWYwAGwxgBM3MFND0QKYcj1+0PezeeCcM4qUJhVQQ8xCHOXKNa4pocDAVOd5ebyz + klpoTm5uNAfGyvTYqefvOnp0uH741R/4z/ED3/X8b/32Fz7+yeH29DDAW/PGcKhlycuVWPcZ4wAy + 48wAlAlqxjhzSmGG6plGRAVICynq1goA02IUCBEF5r6UuovXuGBebiVZNFDaMmlY1ZgBFRFkg3GK + HE1YZ2SzEKYYTVbWjr3jLff94Hfj1a84OW4maVgopS6O02BzczO0yVrMu37UNCXnxBHY6y6xX5AB + fUEwM1ORYDDp58BYwQRiBIYKTK2uazYMYwMzmImJwsgsgMOFxlzt/ZSVFpePOrbHCMoGMUSA+NwT + TgghiKEXVkspzXpR6VNsyECAAIsQaSWCCSugvMfj16RvUgtuTk+fXz1y+OsnTtz+oz/0ssn06X/9 + b5rTm4ebNs87mlkaxI15WRm02mU2AIxdYfNkMAIvd2a2GnF/vpErdhEnVcMLR73EEIUoB54RtmAl + hLQ27o7c8tBP/E285Y39kcGJUTMPaTLtx8XKtFPKFCOZBaBNbafalS7E8MLr/b5CBgaEEJi7rmua + mJq29H2XsxaoSEwx3Xbr1/rJ7d/+jnfdcddj//J/e/Q//Nl6X8ZdvwKMBsFUcgEzQkSfEYGGopn1 + uQcQQ2hBdf+DmYkqjEEEJmZmxDBA6YsqgBBABC0QQawfljIEiExCPCmybZisDicpYXjgdT/0Q/zD + P9SvjZ+OpE0arx14/vnnJ5ubgyYh534yWR0OZHEpcFdcDbRm5rW1tePHj6+vr//iL/7ir/7qbzRt + 6rrcNE3XdTXQ+sUzresgtBhjLdlTR2Oqam36rrCMvvbGcOecc84555xzzjnnnHPOOeecc84555xz + zjnnnHPOObdPiIiIpJRyzkSkql3XxRhLKS/9x87tM7XQWd/3qlr359Fo5PXNnHPOOefcCxGZiBCh + SZxSMijTmQI1AO+u5bHX+iQ3qlp1gc4GoJi+1J9el3a+F5+zyHIFyztdSzdwQvmexBhDCEWVl2cE + 1RtzD3fOXddqET1mhTFDYRpMhSKgZIEtwBqQKiug4Xzlzdz+Vy/NZqB9swE91to555xzzjnnnHPO + uesfKYyZlqnSxNCCEIxAg4ZXVzfm28fGrW53dKXvki/TO6JgpRdkWUZaYfFoeEEGh3PuupRz7vue + iFJKpZSdsQohBDNLKdV/xhj7vm+a5lrPr3POOeecczesoBhyMz+51Zittg1BxKQNHAS6kZu0vnUk + NOsH21fc8cB//NfyZz73tY9+9OTHP3Fwe9p2MlSscApG0KKm9Yu9EQANBux0ciLV5Vd73tUzmUNN + O4XYIjwZsBhAtExBzQDEqEZEQtWIEBkAyKAGKzAgRAAQA8EUMCDUQFNVLOK2AYBry4cZjBXKQAwE + 0FTLtEnPr6+eXD9w+7e8/eXvfEdz98tkbb1LA+JYUnxuOhmur4v1fc6jlTZD+yLD8SjnLoglRVSB + mhGKEQKXvQRNkikCswqDgFCkcEPKVicyiEE49r126BvEFMaJzfKcyQggMxiYQFgEoJ73ca+M5rX1 + xczIFgHaDDCjFCiQCBwIAjGTnDmCCJIREqRY5qzBEGs7jgEwAu2kqhoD+6sTdpa5Erp+FkIAsxml + 1FLRRJ0EqyHSpSDUlUlQEwoMkKqKSShgQorQgrDc8Gea2fa+CQhn0pzr8VIna6YgUKAQGwAiokUB + UTVG/Q0Tm5KKAYpITYpDiQMgpTTsjKXole54aEAf6noCASBVoIswgBI1q6vdvF8ZDFfGB6eZpp2t + jNbGr37jwdc9/OavfPWxj/zuVz/xidGJ47dNZ6cnWytU2yyBGqtOyhqU1FgAqOHc0eG2CCAnJhBU + TA0AEgUAdcFrWjnMgkoTA8zMVA22HAmigAWGUTBLEJAA0HruEAQgcSpIG1lPB9tcHWwcWr/n3e95 + 7fd+F+6/Z9ptT0IybZuJpWDZ8pZqe2A0mW41ORwajU9vb6ytHuhm3fU7DimYtspRCgXuiTuAOQ60 + r1H3qoDWmPAEI7WcRQl9PSxiTWk1E1U1hLOCzxcu4XhRhRKgUIAgkYiZyeqmhRKIFsHPCnQWZgqE + IApTCpxEVFUJNQGbQfVgMpgCCjJALz6Z3ki1oUneWhuvMcXZJA9WD33t9Mm7fuYnb7nrZZ/4J/+0 + nNq+vR103UakMKSifad8pv2bbRHDDJyV8E2LGaCdtPWzVpoBOOuvAs4Km64/My1eSQAMJjpHOEXx + 9Mrq5NiRlVe/8v53vWP0lke6Jp4iC207mc0RcXDl4HQ6XV1fydM+xUhE867bzBmJ0IyNSS3zfhxw + VNfSmXNpmxpVzVlUwRyaQSDj1HKWMuumK83g6S7f8voH7vv7f2vykY8//psf5i89IadOFLFhZNXM + CjSUYAWaiCmEUCQDRQozzBAjabHatzsEAlC05AwAAQQKRsiqZAhkibh+FBGIAtSOO+mnQD5y+OnR + 8LZvefv97/0h3HnHJrgfjVPTzMxObJw8sL5CsxlJT4GKlNhHU7O4L1f/DYUBMHPTNF2Wzcn04OFb + fumXfulf/Mv/HUDX5RBCzaju+z6lREQvkmxtZiGE2uJdxwOcPn2aiJi5Fj0xs/l8PhwOAUyn09Fo + dJWW0jnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrkLq6FcOeeaB1yfvFEzutxLut5jzFSV + mc2sDgdrmsbMmK/fsb/OOeecc+6KaNtUE4uJUIrmnEWEiM0W9ZRAuvuj8Ut+Tq4voP0TOXhlpLQo + CFRLv5hB1W7gj9wxMM4kSqqpGaA37vK6StSISERTSjlnItTvmNf512Xn3I1GiZUZAJsGKwEZUKLO + iKEJ1sBaIe0ZQInWBKvV/vZXiUh3kfZPm63HWjvnnHPOOeecc845d/2zGjvFXH8Eg1mk1zBIZO/5 + nX/3lXe+dXNz+0CEXY0mZYOhURzoCroCBXhXisfi/jvvhDM5565TKSUs+ppYve1RSql9L1S1Jl7H + GAF4prVzzjnnnHNXGqustS2pSCkGhJAAiFiMTQ+bSLFIaXVtMBysveOd9z70RvyNr+PTf3Hi//nT + Jx59jDYmY6WBSiilNY2qwUowBpQMATC6YA81EShABGaYkYmBiBCIhGoTBVFNiDYzMdR/1AjHGljL + TEQkpiIwoGHEGKGqoqLKgNHizXcyPgtxDs2MIIn7QDNVOrB+7A2vP/rww3jrI1hffX5l9Myw1TgQ + sTDXaDRYPdDnEkMcpDjPvUJS5K7bJoOqChCYA8gIrCqmi5zti2MEmJzWMhiloQ3nmkOTSlMCKAix + JSPCqCE1MyM1QHnQKikblEBqSgggY6o/1+d3HvdKSc2kjjDnGqW8XIFqxm0gg4gRBW4TGYp1GWU8 + jLNJSQ3lttkYtFsEqCnROZm1RthD4vdVoaSIQpG1lKwCyGnIZGV0QkqAGhcJUKIoTEoKBhBSU1QA + MEcAqgqTQGzRALAxACXGop0NwB42hNLib2kRcFvXvwIIIfRSBGSLsGsOTQoEWDYzM6qd25dTYlg6 + FVkoAEbMCkNEjFE1X551d6H5B5QQ1JiIDYDWJSqlGGHUtKWTQWxn3Xw8HM+ITqcSpazf/4r77v1P + 7/uB7+//9P998o/++NnP/uVKNx3n3Iok1SSICsBq7q/RecKPiaCAGSBGBDNiEHOAkkLNjAFGIFjd + LloMpKhxwrTM+yZkgy7CjBfvZYRCkAZzitsIGyF2q+uHXvWqb/rWd+Gtj+Dg+kbEhEjXDgJAsSaS + EYMUwbb7aRqkqOi2p8M4mE2nkc7N476+MJl0uRlwL3KCcGjYmJpIDkNeni7ILMCYOAQiYlNdnkZU + RZU5xhh3Klac08C7p7OWEsRKCEQUoLbsVsuqGkAKNgKYCFwvARup3RgPMB73xKVISEMzFRDxCwK1 + aZlp/YL89BcnkGaQNjdPr4xWhLCdZ3TwwDOz+dFvefvbbr3j0Q/+888++vkjBw/bxunDTYNc6nK8 + +DRtJ+CdlGzXKjsr+vqsPwnLKG4jVYIBmVACCnMXQs8px8F2M0gvv/f+d75j9NZH8LLbZ4P0dOIt + QmoG6EuTxkbY3t6mwJuzrUGIs1mXUqIYACAGA7qcE/N+64auAFO9q7DM/DZ001k7aFumru+ZYEo5 + 97UdMjRpVkpaX/1yNzl4eOXo937Ha970ZvnN33/8o394/ImvHok06qZt37FAURKzajEFAQ3BGGRQ + Qp+NAwLDDKWYAYERm4DCYkEhgBIxE0FVDT0spXbGNiWap3QyWLr12NE3PvSOH/lR3HnXdH2wkRKl + 4bxI6TNFGg7idPL8CBQ4SJ06mPZPR/IbXS1NQkRt2/7Kr/zKL//yv2CGKpixE0etqmaWcw4hvEhF + HlUlolJKreMTwuIME0Ko7zIcDufz+WAw8Exr55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 + t0/U4TO1NtROHvC1ninnLhER7ezDOzXQPHPOOeecc86do+s6AE0T+74QQIS2TX3fLz450p5Lbdwk + X6NqvYXdC3tjL/hOUZ2qLmz90nGN5ujKurG35sULIagqMxORqpqBiVTVK+U75/abepViKGCLGmW8 + qIykRAYWQmGAEHS/lYd0L21/ft7wWGvnnHPOOeecc845d33b6VxY7wZd69m52s7c7DIQqWGZuEPg + GAVADEi8ybTWptJluqQ8pL3NEpEZmiy3zfX3vuO7v/MvPrMT4BMW0Uc33WZy7gZWYzx2/ln7ZOzu + hOHDGJxzzjnnbjz1ex8Rao9M3n+BiDcdUoNlZCJGjDAutX0gak8ZABsYkZR7ap9rqIkrg3a0dt8D + t/zwj9zy7LOn/vKvnvzUp7/2uc/Tk18/Kro260a9seVF7HTNizUAZLbIPK0tD0SkZPXjvgqzIYJh + MBEmBtRs8dWAQFzDIGFqRiDstGkoFBY4EVShokZaakAtExUzNVAAAtQgBmPMG36aeHbwEN91+5HX + vuq+h745PvgAVlYBnacwTXE7USYr2oMimqgG0wJWKEg1ABFkYkpqgDB6Rg8JimCIwnv9BlOYc6Lt + I6ufH9rmcJBBSqVGGgclNiZjWy7tMiKca7DpxTzune5kke9qBeIajGpUo53ZACWuocWJdT6bDG4Z + Ksh4+GRRWj0Ibs2aoOcmwlqNZd03hFWi9tbHEZXOEHH60MoX8+ZhGjdoAOujGkDGAIwIACsAKEMB + WbSlgQ1ktJOiamBhKJgNwfTiN4QQusBGCIqoiKZkiw0hrEYQOpPRzsZkCsoMhTUAsYJhqPuKxmdT + c2htNA+YUZZAZWBCwtnYrlTzmhEK1/EVSmZkCLrY4iWgMEyVmEvpU2CIGpRDsYTNbFPi0Z13jo8d + u/db34PnTz/9h3904lOfmn7mrw5Np8eShTxTlUBoLYoZqSnUAKvhtTXQm0Fa89+NQQSCmi7Cf0lg + BBBAC1CF1sjwGoBrZrAUUEzNYAwmqKEYtiM/Pxg83bZ2/713vftbXv2mt8Xb7sih6VKznWLPAGCq + QtCoc1ZgEVfMBKgWQ+BIgoggfKWbV68gYXRa2pXB9myy1QyOHzsyP35ykFZzP48xMlTJDCRoAQbl + YBqWV/i639az2e6r/s5Rg3oc7XWWSHdNhMkA4/pMzaE3IizPoltNfHY8OgUZjNZMVTKIUoEycTDe + nRhtANulfDhhYynWNE0pPYCGQq/YToMnx3Ln2x568K5f+PrvfvixP/ho+1XhbTlggTUvzpKkdf0o + gQiy+KS0nBtTAMQ1b33xqzrGTRUGhEBQiNXjgAlsMIMy6rUv5mZwCnwyxnLr0XD3XXc+8sY7X/uq + 9MC92qRTlqUNlEgUQwT0QkYwBSGEIARL3JvSMHQAIEo1wpwih331EU5puYMZuH5kINRraBuiFjGg + AasSgMipHooK1YRsOaY0M/36Sho3h9Z/+sfu/eHvm//JJ7/w7z707KOfPxYGoZ8HKaJK9T4FYIZ6 + fmEGIgQwgRkCEABSWFFVUhSGwsxgdRdThhJvxniiCc+0MT143yu+7V23vumNOHZsltI0Nj2HTJxF + jSkQkZWQJQVk1h4Gi2SkRggBppcw1tG9CCKazWYrKysiJiIxcimFYyNGqvYbv/F//qN/9I+BZaa1 + AstBlQBqK7eI1MbtnbhrAMxcf6j1TYio/lUpJYRQW7+bpqnTGQwGV3/B3TeufrGtj6WUGONO6vnu + Gxxm1vd927bXcFadc85hOTyvaZpaVwLL6/XOTer6s6q+8GTunHPOOeecc84555xzzjnnnHPOuf3p + Qv0BrulMXQM7Sx1CqD3ZROTmXBXuRdT+MPWoqdEL+7Pgtbs6dk4RTdP0fV+frGePazpf7tqo/ehS + SjnnWobLryA3uRhjznmnw7yqxuh5BDe1nR3Au9e669oLA/ZuwuKTzjnnnHPuIvX9olhubTAJIZh5 + u9mLOe8XxpvwW+RNuMg3lXpjhbnWFVkMTL7WM3Wd8W/izl0V2oaY+/kgpNz1wZJFKM8pBWibe5jm + OGQLucy3R7YWlYXVbxtfR4go5wyACPWG/3647++3kZxzzjnnnHPOOefcdWmn8iwR9X2fUsKL3vPb + D41xV8rZS7YIRwIUixAMxDBNoXSshGBX8rYoKcBsBENUrHWymgsMspwvQ02w8ttOzjnnnHPOOefc + 5VQzjG2RhMwKAmDEwmBTrqm6xoVCDnHC4GF8pvSxlNFdd6zdcfT173wrTm3g+dPTP/nk5LHHnv7C + o/nUySHJgMnK3LocJSZwIg6EYCBRkqKqYZkgyjA60zDDxWSRPlvnBFZxzSiFGYwQaJGOTaI9gSM3 + FlgYnSFDM1kfWFPIkbc1z0TSeHj02LHRXXe99qFHcPc9uPsuHFybp+ZUpI5C4V3JkIZobFAhBqG2 + RAgj2KIhhRVELKxkKIvGjBqxS3vNbM7Es2b47vf/1PqPCLoMyxgkqCErOGHxjgoCuMAMBiABe2ke + 2dMs1dVAuvir5SKD+MzmAtfNs9hpVAFFy+jmiEOkwYQj1g8XiIKDKVBqLjSZgvZbw472fW5Hbe5m + 86y6fvAn/s7fXSmMYuhmi2DcxTEB1MxoYQAgnPWrGqa7s6rrYtYQWt3LJmAgMgBo/d8yCh7LPG3C + We9IBbXxDAGIy/cqADAcQqQ7eIAPHoL0VIqgB0dGc6nr6qWRISmUas432JQAhgIWlGosd01lt0U+ + txrUCJpCH3hOcYuY0yAOh8fe975jP/jX8NRT+LM/e/qTn/jal76IfmuFI23NoyKCGqYWIZhaFtVM + RkRgMPMictbMBMrEOw2aZqrQmi5LAIMDRWMyY4EZrDA6tg4qKaJpZiJTYHT4ttHL7rr3TQ/d/4bX + 4jWvlPHwuFqOQwoDURQYSKMCYCVVQubFlgy6iHE21JbVuvNfx4MQDMhEBort+GUPvem+/+ZgQwEh + QhVS43ULiEEJxqAMq/v/8pDZ2RKLy80LDg3b4/kK9Vy4c77ixUSw603rpq5vHVljoDtvP14Q22Hg + ZtZ3FrSJCVlqNraRKcC0TAu2cEkzVHd7JSAYK3G3uvJUsbU7brn9x3/09m/9Fvz5XzzzBx9/9M8/ + M4LG0pNogDWGACMpJKXlABPAqJ71CWag5fmm7lX1ZGzEQjzvC7eJQ1JwL1JMmdlSyDFMVDQN1u68 + +9bXvOZlr38d7r8ftx3JbZyOBtPEMytEKYRAorn0MS2uYkao0cn1DetVeXmBU6qLeKnh31cSGykA + NVAN96a6WbEIsSaEXbMcDMIogBAAFHBJYRp5o0XLdOt3vOv1b3sLHvvC5GMfffw//Kk+dzxpacmS + EWelkhvRNsTI3OeeyDhyYCaDqIqomgmbckBkZcqRMlEmmlOchtgePXb7ww8/8La34v57y0p7skn9 + eNTVG1fKRqwEJSMg2GLNG0ioXoKZ9MznE3e5EBEzj0YjEQG4CiH0RVJKH/vYxz7wgZ8HECNE8CID + yupmrEXcYow1u7oGWtfqkNPptA5I81pONx5m7vu+aZq63bEc/lFHKaeUiMgzrZ1zbj8gIiLquq5p + GhERkZ1CvW3b1gs3gBDCbDYbDofXen6dc84555xzzjnnnHPOOeecc84599Iu1B/gZrNTNL+mTpqZ + Z9O6F9pdP2dn9/Bd5aalquPxeHt7u2ZaDwaD+XzuO8NNy8yapjl16tRwOKwludq2rZHG13rW3DVQ + w7pyzjvDH+qni5vzU5ZzzjnnnHPOuZucfx12zp3DG8qcc/tf7ueqRSNzE4AURna6ey6OE8omBolB + 86AT2WyGbcK6bsquInHOXSKvqOWcc84555xzzjnnrksiUjtM1/70tZj4zTvMZpFOpOfJQSAgxnmI + wnuOFLkENdUGoGAWtLRlkQlVG7NrVoY3bDvnnHPOOeecc5cRGaIyACUSYqFlOwEoCjM4mJLBWIVV + WACMUlLjrDZXlBQ305jHKR1dX33NK27tulu3pnju5OyrTzzz6GMnvvTV7sTxcPq5QT+LWZsiI+Ux + eIiYJMdApKpaAzJtEdkM1BBRWmagLtIcDYAyRxDBzNQUojAYEgUhdCgzxZTDNKX5YDht2u3RAAcP + rNz9stsefOD+++9rb78DqysYtgLOTRBCZzbjMA9xFkJvFDlF5WHRqArKRqWPMyGoJTaGQet6wSJZ + 1BBgCMrLqGv0DNpjzKdS2KTB2j0PFkW/PRO2kKKJxdR2JQdFK2DTPoqRRlUyCDW2lwaSPSVtK3Nm + GJigNZl4GaipO5G8RjWrt6YXc0Lb5zkF7UsfiZvBahZ+POcyGGqNhl1OhAlqyraPylgE41Uehhk1 + MrQSTjCv3P+a05NJq9YGBpAZWsOZgWAIiiQAYITCqC1mSgQsgpyX2GgRXxwMdNG7hDIys9X3MtBy + 5WORj7xABt45NMiESCgaQtAaGF1A0nUdD9opxW7WxxRXlARCRGV3/aHLLRga0RoiW4Orler2Vl02 + PNYVo4QSACjUgpERiAzaK0VOpKE9CcQVpvW72gePHfvx7zs22T71xS+c/Oxfzv5/9u4tRpIsvQ/7 + //+dcyIys/oyMztL7i5F0kvRxNIi5Qtk2IAF+aI36dUQDBiEQcqUTQKUCaz5Ihjgg1+lJwGEYRiG + DQM2YIAGDJiWRUMAfVnBtkSvaEs0QctLLvfC3Z3L9q0qMyLO+T4/nMys6p7una7Z6a6qqf8Pg5is + zKysk5ERJ7JPnPj+//D/Su+9t33vAR4+2Uz1bvBeTqtmyZ3RgIhoPGyfNYBoJDJhAA2GY+wxYSnI + xWPx1mgoeRrz+2N6XNJysh4/+9lP/ak/9U/+6Z9e/fiP4623ngRwcrLkcrYsc7QEeEyt1ZTJ4/Al + jPDSDD3EOWyfpNv/7PmGf92SgC8jj0GbWztd3cNP/1Rb5mXxnHMwGZxoASwsoJdYzN0i97XT33Pf + jI9Jw8dd5lLd1FHwfJ1e7Kb6g+ix0sGLXRbL8GRZThuKDRE0syg2zbsNEsPw9F6GS6dsmyMBBraA + J4TBs0cQFrYs9ZETm7vrH1vhM2995l/5Fz5T68Pf/genf/SNb/y///j9P/wjvP/wZPZP0e7Ddqfb + 5EuKZnRLQLJgwFE8WVhF1MAEr8aa01LyfGJTtok20Vspq3t33nj70/ntt+9/4ad/8Md+bP1P/Aju + rZ3+KPk85KWkKHmu09LckMgMd4SlcZytAgvDLAwgwkBjAGH7nIdYaLgAACAASURBVG844IZgNABB + 4jp16cD5nhb7g5QHwcOdF9vKQHZPgWqIIGAMZjcLg0fcvfvefceyLT/4k3f/xT/5Ew//kn/721/9 + P/7+O//4K9/5/a/g3YefjrtvRx7OdvXsyb1hE3D3ObwGYInIyRNryttsT4j3ySfrzfhDn/uBL/xT + 9z//J7/wT/+z+NSncffOHHWbkm+GxXi62w7ZEHCGk27Wv1oAZgRodJDsQeaRwECOfVy3fCxaa72S + Y2tttdpExOPTbSnFLH3pS3/3r/7yvwfDahy32wmAmcULoq1JHo+0JHsJJxzKZc7zXGs1M3fvpyZf + 1/uTV8vMesWuYRh6JVAAtVaS/VM+JlsDOD09PTk5ueIWi4jcen2mUC/D2m+QNLNpmkjWWnPOy7Ks + 1+t++6rbKyIiIiIiIiIiIiIiIiIiIh/uufMBrrpRr1tEmFnOuU9Z7HdenNwoggsJ1n1+Y99Tbmmx + HQFInp6ellJ2u12fE1tKUYzxrfVMEMs4jv3IcoVNkivUJ8Aft4o+zXIYhqtul4iIiIiIiMjrQ0Lj + 6yLyIhE6BSci15oN1tym7J6W07b71oM//C//1//4vfrHKaXklpzusNXJP/+FP/fnf/Qv3klvXHV7 + 5ZNAtTlERERERERERORGyjlP01RK6dOm+/UVt/Aym+gZUXH84QPPIGA2W0LY67xojwF6JNRDcojb + IQBGudYiIiIiIiIiH6t9JKIT3kMraQwyYEECCAu60w2eIwCcPTlNVvJQSNaGHYNjhtk7yzxsxvUb + b2w+85nVj//E5//sv/r5BfCKd76G99/Ft7794BvfPP3mO999551333+E7WndbunOaCncGKn/k5+B + YESguUdj0IxmyczmeaZZWHKiIcCEZLQ8ec2rcXXv3p1PvX3vs5/53J/4YXzus3jrU/jRH0EpKMM8 + lqkMD5PNwEIi0wnzcHe3hDQw5wHZayCAHsAc1mwfHgw49mG45nQLI2ABDzMes1oNPbE13HmJmEmn + pWHz3Vq/syxpvSrr1VTbvJ2zZW5WFhhbWPi21GDkcAs0FKdZ9MDOD1lelhOLJYcZ/JBpHQYw3PYf + DgB3IugIY1jy5EOO0lK5g7nWqeXVaGlwND+E1x5Gn+waDuykSLvTs83qpKZUc3qX4ffvDcPg8wTA + sc+uxiFqOrvjkOwKwIm+mVzM5e3bgwOgX/ZT6BHaFnbY8Pzp+88zrXuObxCNVi0FMgOEGxpQ+cY9 + AGhW53qnjHV3CtJor3lwLYh2aDxwSIQHwH1SvJNgIhkgwhs8DJ4RRBtKbDLgxTHevzf84A98/s/8 + mbzb4v338e57+NY7y9e+/fCrf/TOV7++e+89m2bW2evCaMVohv4hWCaiRW2txj7T1grJQK7gEmjJ + 0ubO3bffevMHP3Pn7Td/4PM/gs9/Hj/8w7h7gpzP1uO74+oxkdYnT548wW6+sxo3Jbe2zFHzAPfW + I5MZlsIR/e3ZM/m5ABoBRLrJlyI40dpszKOVrS/zyWo3RYphtdrs5tngKaoTi2UAJabkQJR9/3nY + Bj400zsus4Ue49Kt73A4Ri/jmEl98QXbNKdhKGVda522s40p5dIa0JwBgzUQiGNnxbh0snVcaAfR + t3Nz97xeE+lBW97xxpNNvrc5Qdx581+7X9vnKjHPeHSKb30Hf/TN+s47eHga09R2p9O0W5ZlqdO8 + LK3WejrnXPJQbBhtKLZebdYbX5eTT71ld082b72NT7+Ft97EyRrDgGFc0upsHB+xnbHV4rYeKto8 + z3mZUqQxj8WKL6jVQWNGcHa6BSJAT4aebw3fdzyOYxj5PuU6XW71vGrnZzH84qHwmKG+Tyt/ahvz + 5BYX+l5ztIjTup0HW0pEHk6G9erN+3H/7g9/4QufnytOJ3z7ffzhN5evf6u++x7Ppsfvfcfbsizz + 0uawyEMZhsGGdPLmvftv3l/90J/Aj/4oPvs53H8DefXE0neY2lBaSkuMLapXh4XlzHDQwzxo/WsH + gIA1Wj+YWqAdDwz0y26d8r2ZWUSklPpFY6enp2++9fZ2u/3yl//BL/7iL05TSwk903oYhu9xPrG/ + SI/D7IHWOedaa601Ity91wHsJSNV7u0T4xhZ3VpLKU3TZGbHe3qoeSnl7Oxss9ko01pE5Jo4Xim+ + LMs4jrXW46G5H6Z7bVZlWouIiIiIiIiIiIiIiIiIiNwgH5wPcAtLiLh7n8F4nBX5TEapSN8wUkrL + skREnwZZa9VUGWmtKdNaaq0kI6L3CTqC3HLzPPcc6z5JfhzHq26RiIiIiIiIyBXSoJmI7PWBU8Va + i8h154EWSzTLOW8Kh/Eb0zfe41ezJYs2Ik9zNb/7E/NPwlqvmXPVLZZLiGt5HNKcAxERERERERER + uZFaa8d50r2G+Gq1utomvX5xyMY5T7aGW5gTBAye0HMRUtAsrDheT0xCwIKNqAxHIB3Cdp45ga/r + HkRERERERES+f43WzII9hdPBSoAwQ2YkAI0gCHj2tooawLRaNWCpYRGGlEFvvVTBWN0f1XYathpX + 6zGVBraa3/qpaDW8ruH3guYNraHV5b33fLdtp6fTw0fz4yfz6ZPlbFfbHNHcq7fG2hjMxmw5km3W + ay8WucSq2Mlqde/e6t6dsrk7fPqzSAlMMHiw0lrOSOmsNZQBpSyMXa0NgWQ553nepZRSLh5cGnyO + vDTSDUE4GW5wuiOb557cGfTDyIQxkL0nl5oT1Xpabc+bdODSYdI+O4OljEzJHUMaVnfGWtvsDgda + VHpzq8kbeh0IR7gHAvjQ5WVFmDl5iCE+Tlhj7MNi6X1tIBiAM+BLrFbDtp1V98KUU6CdeZ3yUBwO + OHshJNCRA3C6xYcm274mAUzRbD1E4bKrc9S51mG9mqbTAbnHiHrbZ6CaowLLMQAeSNFHsvqPhyjr + /QYD9LR44CWSfPdsHyWOoDm9J6nvE1gDOHymfRsjAXiKBph7CTYGGQFUJzwwLXVlG7BUT3OkMt6p + 9HiVK78RSwaA7Ninv4Ntn/zNHpR+3EGcaLTFykJLDsCTpbAIuke0aAhks8wU7tulTmBd3xlX9+zO + p/lDNf+0D8G3w992R1vw/vt+erZ9+HD34P36sPcnZ63OZ8uuIRJoZpaHMg5l2HDIm/tv5pOTzZtv + lrfexN27GAvSgJQabQlUM09pyWkCZncw1Yend5KtVqvEmKft4ku1cMKzASgNJZCbMVLPoK0JDvY3 + mxAA2n7DuC4b/0fi40lZpjkQyzw358JmCU+2TzIt4PDWh5qD8HCEI+g04EMGlvuDfmFTfxkBa4cx + Ytvvbc4AGBb7aGmL82FkJ9J6TRa4ET6uSmWdd6clGdvFv0rAEPmQ3HwJFu7safeIvhMcDhCLV/da + w/MwjrbGvDw63UW5Q9Sgswzl5F753GfzP/fPwD3D4F6arwIwA4joWdMNJEgE4X03y0iGCHfMjEiZ + ubhx8bZ4q0CkiJQTGQjufGVpw02mMcBqHiRSSlYZtVVDJmvAAkYYA8kRhFsE0QwAssP3vdD1uuKu + h1gff+rJ1kDPtHbb34n9/WGw/f5IwML6e3I4zDfj8PjscWq22qzr0nyKxUq5v/n2srOh5RMf3v70 + 6ie/kJoPU0VdPl0GRCAMEfsPyAwWyA1tWWqbzTCsvAwLywykcbU92zZv42plNmynHZ2rzRjbJwFv + RABEIwKRADgsjIdk7iA8R+VrOl1zi+Riu90OwDiO2+1uGIYnT5783u/93i/8wi88ebIrJS1LG8ex + tTYv8/d+qV4BcxzHaZpwKPwXEceLN46XcKjC1yfGxUxrABdrdfV7ejGvzWYzTVMpRZX+RESuVq0V + QESklFprEdGP2rhwmDazXq73ylopIiIiIiIiIiIiIiIiIiIil/E95gPcNjnn1towDH3q2jzPtVbN + W5OLemBtn8haa+2TZJRpfZv1dPNhGPoEKjPb7XYKr72depp1a+3YJyzLklLSceTWOn6jOPYJirUQ + ERERERERAYAwQHWxRW67axknKiKyZwHWlFDCsUw+VWwrn+wq769P66l5s4w0mtORkTEUH3oBwKtu + uNxsmnYgIiIiIiIiIiI3Ur/AZpqmcRw3m01rrVeZv216snUiAAf358H6lQQEEoAw0ByJAOP1xSQ4 + QYtmgTi0iz3RBz3SQUREREREREQ+LsHjv7gPgaBwoII9lRPBfaovwtxAEhFBEDRaQoqIxqi1Wcpc + De71zH3X3MwjM1J2FJJmtAQLIBpa5affzK0V9xK8HzH0CNhwtAVwRPRcUDAQBguQMC6MhZwTqtlZ + sprLk2aec6aRDPeI6Nm/q9VqWZY6zTU855xzjoi5TptxVWud5hkwy+NoCRFoLdB62mUzRxhggFkA + cMD3wcWBHlN6CPV0Owyw8CNlWgPIQ2mtOSIidtsdyc1qHVFTohEWAI0JMEM4A32UZj888jLLS7L9 + XGnzC5MLA4hAb06PDt2nfAeQEMY6txp1WBcYrVouw7a1oB/ysHubr+OYTvVWSpmWeTzZtLYbhsEM + Dk/mFnCYEY2Gw6DZkuCExfl/h/VsfniL+9UfsH0G9stW7rBAchAIAmaNIO3iWuOFDSzgBjKMQCK8 + 59T2C18YNRYzDkN2evNgGSLxdPtkVV7hlL9j8G3P5wbMwgPmOESDH8b6gr6PzqX1zctAswgzRwAt + p5xIeERtCEvjOoFb8LvVMZbENehwN7h5Y4zDW/dT89Ta4H4/kI97bd8neyRwBMxANtqMmJM9ttRS + mhMWYgn0XHkziwhvUcNJFhuyMSWL5suyTF6ZmIdi2RZrs/fUdnPAyfRUDxD7PaV3DvBPQAjtsky1 + LWMeUkoeLaVUcmnLZJaJaoggzCwIC7OwRmPfJF/8mnHcPC7ZmOBTL3t4jf3dDDskW/d9AkacTZNZ + JCQ4xnFIxmnaZRqDIP187LknSVtcLjrYSTfA3JohkPtWeNgdkIuVNDpiXsIwjHfWu6jAGESLcIQT + JGGx5yRgzIlkEIgWMy36KkXzfrAj9+WBAtYQjmgRQDbYmCyiOcMsF6C1FrvmYY1uSBFewTAwpyCJ + ZJ7AfRR9/24Q5vvVGsitR0QDoCMd1+31cWiPO/cbFQDvXfGFpwUAwmnH6wST9y4cAJzYzZPlnHPy + OVBpkeicWm2WIhlJkmEkmUAjY679574VO6IFItzrbjjZlJTdvc3N3VOKVMq2TmmzovtumWAcxyGa + nz56PA45SESQnryfEHEE/MLOYQDDLRwMB+OahYvfaNvtNue8WW8ePHiQ87Barf7wj77+8z//8+++ + +wCHaOpe4NJyMrM6L899nV7mL+fcn9y/f7o7ADOrteac+7KXdnp971BevV6cy/f/buiXIMaxPKi7 + 9x9V001E5Mr1A3StdVmW9Xp9vL8fnXe73Wq1wmFakbur6xYREREREREREREREREREbn+XjQf4Bbq + RVQALMvS44pFnqtvIX2WbJ/vqnkyt1MPMC6l9G2gT3Xuc6jkFiLZO4deiatvG1fdKLkyEUFyGIbW + mpmRPM6xFBEREREREbk1jmVHjgVODFDco4gAh1IkIiLXEwHUlsxgRMqWzBa0qHNMZcx1WZaYwhnI + S5sjopQyx3zVrZaP4ng46pWvrrQtirUWEREREREREZGbycyWZRnH0d1rraWUlFIvJX/VTXvdnhli + JM7PmO9vv8ZoCsL3adrAlFF7kkSP/gng6eQeEREREREREfn+WTjgCDgPgwABh4NunM8jrWGVZcoF + QJuXlFI2A1DrUr32Fyo5tZh8cWcUYx6yh9e5JYsSdPdoEQsa0CMhmdKSuHgwQA/Q4WEtFcsJdAJG + J4LuQae3FjTLKRkTgNbCqy8L0pDQ4GwADDQypwRg++Q05zwOwwAEGuEeHmGn28VyGobB6VHnaVlS + hCHMzqdCOb0PSRg8xSFWEnCiGdp+1YFwC8+OsHCgWQ885ssPpwRwVncAwn21GVdl2M3TFNuGxrCe + /YtAuDFA7+Gsfql06EuNphAwr2DPsIafp57vg2n74Mwh/dMWGFKaGrG6P+Ro5G63a/NcUq4JQaQI + c+8tt8AlEp5fl9Vq5bWdTROLtbaYES3ujrntJgRg5mCPFG3RE4q9D5oxsN8s9rHN7tzfwdgH2RIg + L/ERxCEKsRERaLDjmJgFCFjs41r7R+PRZxOy/+kAiJpiSaxjSU625UmdvDKlxESuBnulyco9hxVA + imPEuzH2ibuHQGs0wgmnARjDzftTnQg0OCMFlmVCGUg2GIPmDKSImhNg1UALhAUikBCwCQFjGvLO + cRowb9b6XkMGYQhj0BsiiIqAsUeMBiNIgsWAhGU6Xa1WVtI81RzMOcF9npeedY1C5iEYtc2xhDEX + jAgDvRFhWMwZACv6dUh0B4zgIdjYaTd3gNOAumDgQJRsqfoUrbXwMY+tOoEWEUTryb5BRzQC+2zp + /RVaF99/78vicOOZR1+mPReu9fLzGOPD0iIB+/YARvdNSiRhabvdnu2mss5jYTGgef8cgQQY0AAL + +qXGxgk3NMJTKzVsstQsZUd2nDjrPC02R2mNFo4lGJlza5bQ8+vpzr4vuKe+09Ad8KgeiCCABEaD + MQAYyWyHN9/CCGse4QgQZmZm23kBYUjRnE5DyuN6SHm32yETZkQs3irPYDQka4WBDDSGm1fzSreI + 4siNKeAwN2u0RgPgdItrdLndoZPZXwTY46txSLbebxphfuiWq2UGUlSyDvuIejowp1QbY+GQyyrT + dzvz+WQYyaEiKnwKb61VhMMNHC1Za+EBoDIaEZkOYzmpjtai0Mo4MFpry7ycMdm8zACsmIEIT4a8 + Hic3wLI3oqZoQfcwwFM4wvZ7B6vTW1oAIMor7dJvm81m01p79OjRer0ehtVXvvKVf/0v/Rvf+c53 + meCOWj1nq81zKXVuvv8y+BwRkVLq1dz6LP8HDx70UMxe4SvnnHNelmUYBtWL/MQ4VnKMiGdKOi7L + 0uOu+7YBxaOKiFwPvdpmnyOUUiLZM61rravVqpdf7I/mrOvmREREREREREREREREREREboYXzQe4 + 6na9VvM8j+PYWiNZSjk7OxvHsc9eEznqUxl7jHGfy+ru2k5urT7nmWS/oInkdrtdr9dXXvFcrkQP + LQCQUuoxxq21/uNVN02uwLFn6BvAsiyr1UpTK0VEREREROSWIFPEi6oKPDfZWtePfy8qJy6fJMF9 + D3AcSL8OMaIiIh80rFK0Ore5hTONtT7OeWe2ax6JSGYRQDZka2zVKy5V3VLkeXQOSURERERERERE + bqTj1TU93aEXlL+FmdYHPbOqAR7cZxQhDGFAwli+Ow6TpcbLxYp8RHSEOQ37s3ENcESA50Pah9xt + EREREREREfkYcJ85ak4c5s33ZRxu72NHGxOAnIhoHh4RBjABAGmtLSmZ5dTa0pp71CAtgd4CZokA + j/GXYZyjAXD2JGoLpp5BuzQaGLBmHuxRtwiC3Kf4WljyfaJnRixsRvShggSGR4saETklIyOitRb0 + PgRkRqYSEYs3wI2eExLMDF57KqoB6LnOvJDWScQhldV7HG/QU3/8fOL8R0ltboiTk5Npe7rdbpkS + iZRImgePcdIGEiBpgT6QZdEjtD98eakhFAvvK9MNdgyz7nHWcYiGBYIXfgNpaa3ktMzT5D6UMW0I + 8nABBp3Wg7Evk+/8+kzbXUppfbJqrSVaStbmqc1hDMDIMDAQQQsLCzPstxIe1q2D4H6d7HMrD//h + wtNeVv8ACOfh1WL/5ywAMmGfab3/G0GEEQnsqbpBkGB4GC28lbKPz9ztlmEo7pdL6r2sdPiQnbCw + Z3YRhwE9/9ucSI6MYETQI8IjAk7SyCEXj4gGS2a0cHo4CMbiDdFjh/uqNeIQqOwgEhiBlJhhYeZ9 + b+37czh7rxLhoPUH9unxdJDcbNbzPLdlyrkYrNaZYaWU6g05BX1pLSxyKgB8bonWPyIHGh0w0i2A + w+UHYHjQGOzRzTd6TDOsJCJsmiaSecig12kuZaSBfS3T+k0gEc7DoC4Py76nHHunwD79/fi0y3ZZ + jP6a+zUeRNpvaT3eGIcNniTN4vT0dNys15txqTUivDanBRL2n09Y+NO93KU4A0Cwp9qHMZDco3nJ + mWYNMMKyOWyJlso+AxURRpIkHDBvToJmCeG0iDDrPb9FRL+OxfshIrwhzKxF66nwoJGo0XxZhlx6 + o9AipUSm1trjaSrjsFumhrBMmhERCB5Wl8GDiEAzB6Pvv0Qw2J96jTfjvhXsuzgCiKceONz79O9w + 33ExPMyCbDXG1boubZnmlHMpBXNryxSeGuGJ1mfl9wOkR6019y2MTGbBcCLgjP7inGszX2iRiWEo + S6spWy/u4+EpGIEW4WllYQg3GPadFHrWtj212vu3E4XifszmqYaxlHFu/v63vvVv/exffued983Q + HGYIR60OotfkspS8vTDT3d1J1lp7jnWv39QrY67X62maxnEspSjT+pOnF3nsvUFE9GXO2d17Ma+I + 6BvAVbdURERgtv8qnnPuA0e9hnVKKSJSSq21Yzdu+uYlIiIiIiIiIiIiIiIiIiJyE7xoPsCt0t9y + XxW11s1m028oflIuMrM+tbXfKKX0CTOKrb2dSinHia99M1iv1zhcISW3TZ/23KfB96MJSU2iu7V6 + n1BrNTN3718n9KVCREREREREbhPD82sl7UsN9NopInK78EKxkV7U6DmleF5YkETkuunVFC+kTvTS + W09vw2H7uAog6C9Z+VDLj315dKzb9qLl/nMDzpapVyA0tB220+67FnNCOIGE2mKpMVo11EB1+rMf + vVx7EdeusKdOI4mIiIiIiIiIyI10ccb8bZ4tnQ4RVdzHJESDOzDA9plROePO+G/+z3/nd37qT/8g + U4a/2mRrQ2seiDGtp2U3ekLdItYggdwbGjzPptDpe5FPgH6V48WYBzPrhcL7pW7HGyIiIiLyydCn + v0Tsc2JIXrvpMLdPHAIgLXBhRqyBDqR9tGfAwg9jKMfxgfPA3ogAUwtHgMz9W3z/dP3wZwAcMhp7 + RnQCkPpD0eNlAcBTOAKxn9q4Tz+N/SsYAEYY2j51+2J7EP1JPRsU8AgANCMMgT75KqIBsH1ktQXR + Aq3tBxrOI1dhtm+/H96mxVPzL4H9pEzvv2iRAFw2M7hYmrc7IuWUetujgj2qF6gGwCzghzbH/u/u + s8Y/dHkpTvPDtMLgfj08vZc+NTrkBCNGA5oDTJaief/I8j4y2AzeCBABN+C6zbq2zIDvM0LDorpZ + 8YAzHTeJHs/cd5ALG9v+2pLA+Ya630r7lrN/9HL2oen7jfD81Q5/7tlHD/uFH7YQ278Vx76GTKAn + a+Y0vOpMazz9fp3+vLd/2EIcAGrs9+pDsvF+Xw8AYWTfjRtoDgSdOK+TtH/x/oZBP8/P3qfZOnEI + nz/+iiFgPYE++to4pNETAcy1wizt45KdJBgtKgl4CyLTEH2DR7Fy/Bys9xXHLiIM+9d1hIHezHD5 + 7eG66YnPlg1Aa41IOe871aB77yTh+4TpQy96/uuHG8/0ThefdqlV5LTzw9CF/x228md7m8V9WK88 + wmsFgBaZKwJt3/O77zur/lKX21kC5ihOmPXQ7pajGdAMUz8i8dAtROtx9D2emIDtj16Ifbx2Cnrv + YRnkoU3HY9zx/fYew88Pqe5haJ7RZ47vm5bAiBb90FvMvabE1C+U8ciwIBgOIth8n2Pt2fcHOAfc + Dn+Lh8X5kfS6OE6Lt/BDy565cGKvH+l46LED1ujg/iCeDG2eCAyZjjaHW04Xfjd6x98/KgvCzI9d + cuxDzTON0fqdyQBjvzIp3EnrXxzskOQeBMEcS+8uHD3T2o/fwfzCjP8gLUpv6sez4j6xLq4fB/bX + hvVqa+v1elkWbxiGYZomkuwx8Ezffe/df/ev/Dt/8NWveewPJ94OLxOI1rPnnxrQ7hnVfaC7/4lh + GOZ5BpBS6vHVx8qYx0hjZVp/kvTzzmZ23Cpw+Jdv/xp2fOYtrJEqInI99c75uDwW5D122sd7VI5T + RERERERERERERERERETkpnjRfIBbqK+EYx2V21xQRV7kOKHxeOM27zLyTKcht1nvCi7Oddckutus + bw+9c9CWICIiIiIiIrfNMxmBZmZmEY3wY6UpxMWyKter/sZ1E6ooLJ8sEZFLOX3yOBlbi4ggU6AB + N7+81KtH9gKBl6+OJx83pwUSwhxusS9xZaiOOE9wDwPcItMtiPbSlQ+1/NiXANK+2t6+at6Lll2j + x1ie1GWFUsZSfTe13UieLYiMmlgxrMacpjbUmhKVaX3jpJS22y2J4/fW65ByrVPOIiIiIiIiIiIi + N1XP2TlPUwmA7kCAAdvHUhE+FBvznF7DGeDwhpSAvFqmOZGcKgC4w4hDTMQhh1tEbrx+edsx6qOH + f/R7+gnmYwJErVVXwYmIiIiIXIEPxCV+eIDl8xIWX3KK08W0YAC4ML/tA4GU/v1NVX/6XcTTL3/4 + wc7v+OB6eObpdvEXv092WIf2VHOeXQnx0stLeSoQ9qkfXtTa/bUWz/wxe6oFx7bfhDmL8YHs4UPu + 6XOe+/SPL0rwvdzff+kXfPrRi3nbL94gX28G6vOacAydfdFz7AUPfPhe/8HP6IUfQV8PF5fPPPTh + f+L4tO/RUz31t65+sufHyZ/743FP/9B3+3GtjZd7nQt5wM/+vn2gp/2+uqm+9x1Dsvd3EvU5W++H + raXnbp/P+YvP/pYBL+65n313522I8ycELz503iU2XvzF69yfXwiYfwG78H67i8d6i2ceeuq9P/0K + H/j146Hz6Rc5f/DFn+nxkHr+TeS5XaXSrL8/KaVhGB4+fDiO42rcLMsSEauTO/NU52WudfriF//9 + L//OPyJBIOe0LO25rxMRJycnp6enx3v6QHcPNp7nmaS7T9OkkW0RERERERERERERERERERERERER + ERERERERERERERGRG6tXfTgvIsFQHqvIbUXv1XniOaWoY+IZ+gAAIABJREFUntHvv85VekQCbPu8 + h6eLLV7IgHhqCyccMC1f/9LCg+ChT/Ew0F+0tDAnFrQwGsdp2sXgj3ePyLQvuUZzMsLMW3YwzGnX + u6qYPMd1yLF+hiptiYiIiIiIiIiI3GAMoMdU7M8SmIENtj9VQIAgHIHsSK9+fNJGmydPXBxtzMPG + 7G/8S//yF7/897EuKAA8DmkRCUq3FrnZWmv9tAfJlFKttf9oZu6ec3b3HmttZkr+EBERERERERER + EZHv0zRNm83mZHOX5DzPZpZS2W63xuwev/Irv/KlL/1vACJA4EWZ1gDM7PT0NKXUWluWZRiGPuLt + vr88o98YxzEiaq0a4hYREREREREREREREREREREREREREREREREREREREREREbnp4uD4o+rky41j + qM7AeRaFOQBY0IHkgEVPQDaHwWgRKTztk4+1fN1Lpzcinupq9o8yADh4vmxE0Nl8ZIKHuzvi9OzM + 45he7kQDE2BAQiREuvCacgNcw0xr4LB9iYiIiIiIiIiIyI3EZ28QxuM9x0fDLSL5IVP6lZknN4MT + achtme6W9EN5hdkPQ9l+3tjekus4aioiLyWlBCDnnHOutZpZrXUYBncfhmFZFjNLKZFsrR2zQERE + REREREREREREPppxHLfbrZm11kj2IWhjrrX96q/+6t/+23+nT9cvhS8zb79P7h/HcZ7nPo6dcybZ + 860jov8VZVqLiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIh8Ajydai1yUxmqYQYr0AAP + IABHdmQgO81pgAUBhPNYH/7qM55v6/Ki8/vjEHd9WBoABlKLARZLS8Wa1ce7x5NXGvsHClZGWGRE + AbLyiOVjoTJbIiIiIiIiIiIiN1kcsqsDCAPdgIABCO4fYQQCKQB+cOT6YzassMwIi2mqJ4W7Bw8/ + dfI2JsfJM2csjo3XSLfITdUjPXpedc7Z3c1snudhGPoSwLIspZQegC0iIiIiIiIiIiIi8hGFASAS + kVprrbVxXJ+dbTebO2e73V//63/j13/9vwVgBm9Y5hjHMk3L817IAbijD2WnlKZpKqUsy2JmtdY+ + 6E2ytaZAaxERERERERERERERERERERERERERERERERERERERERERkU8AkiADcHfFWssNFwwHA9F/ + AAJARgA4JB8DPc3a4AAavd8pV4EAGM+N5DAAFubnSwAoyJhbQg4yrdI7j96p5pXRX4sIgyMSYggk + hIH2vPxskUtQsS0REREREREREZFPgiDInmxtx5xr9GTrw5kCBoKvthnLDnnE2dJO7qzq6e7OndWq + VZxt8eb60CjvQ+Q6eSFy05EkaWYAaq0559baMAzufky2LqXgEICt/A8RERERERERERER+X5st9v1 + ek0yIpZlOTk5mab5137t1/6T//Q/BzCOaZpazhYR07SYwV9wqYWZzfPcs6sBLMs+ANvdSU7TNI5j + H9PuY92v6e2JiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjIKxMRcQi17jVMrrY9Ih8J + LQwBpwUMYU67kGkNBtAzrcOxD7Sm4xVnVMgLGGBhAHr++IWlMRA0Bmyfbw0AKWxkmuqUV/m0PlnS + 7o8ffZMDa8AN1j9fAJERCUDQlWl9s0RcPBZdF8qQEBERERERERERucnoABxmAGiAE7DDkHQDCCQA + 0YeY7VUPK+eEtiBbOn2yOyn0tqDOKAbg0C70FmJ/hkNEbqrWWkSklEj2eA93Tyn1oOuUUkSQ7InX + yrQWERERERERERERkUt69qzWMAwRsVuqmZHp0ZOzX//1/+Zv/s3/qCdYz1Pr555ai5zNXxRqDfSH + +hA3gNbaOI673Q7A48eP7969uyyLmaWUlGktIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + IiLyiXHtokRFLisMMR5+MCcCBgKIHnhs6MnJlQjAA4bIPThZroITDrgFnHhqCfgH4kMY5i1KGuZo + NS/vPPrmw9277U5zAoAFEcHYp34ADWyK/JDvnzoIERERERERERGRm8sRH6jIH/tRZDw9Bt3IRiBe + 7ZCgO3K2ZJYIgs3dWUFH9HxtQ0/g7iOTxHlbReSmSSn1sOp+Gt7dSyn9dq21x11HxDHx+mpbKyIi + IiIiIiIiIiI3Hck+2tzTpn/jN37jV3/1PyThjpTYLxmr1XO2Wj98WDql1Frrw9q73a7fuHv3bq21 + lJJSqi/zKiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInJDHGOtSZXIlxvKHNlRAtlh + AQC+z06mG5zhKZAOhXN4eIaWV7Ls+udkT+VP7x/3Q1fUHwx6qzGM47Y+4bp948Ef1jwtWMJg0aNG + CBBhQIAzOD/9p0Q+inzVDRAREREREREREfmIWmtm1mNTI+I2n/55blR1H4Oel3mdDbDTpXFc+bR7 + lbnWTBbL4kbLYQCGVdliQXLQDs10wgAEAaVai9x8x77XzI4/9ijrDz4qIiIiIp8wx+97EaF/34mI + iIiIyMelB0sPw3h6ehoR6/V6WZaISMOICF+WVuM3f/N//Gt/7T8A0K8Uay0u/Pr5VRbDMMzzDGAc + x2maSinLsvSHjrHWEbEsSyml338c4j7eEBERkeumXytO0t3N7OKsodZaSglAf+gqWykiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiIiIiIiIfh16EvF8/eMyj/R6OzxmGwd2BW129XEQ6dzdmkrVWM7jD/cOD + YHPOx1olt7kn6f1wKUWVJ6+JAAFDOKyBhwzlwGDFwubdZKWYjdOyQzLLyaOBLQIgtHzdS4BO53lh + hOgh5BEg4tC3RERDWCCA1Z2TB9OjNi5tM3/59//ebKcVc0pwB8NiH24Np4MLuICl3yM3gpn1IwuJ + iH0He9WNen7ejYiIiIiIiIiIyHW3LEtKiWRrjWSvUdvPK98uBHDxvNd+xG9Zln5eZygDAliWkx/4 + gXe22/aKT3q5o6REZxnG3eKnp6ebuyvUHWgIRG9voDe67W+KiIiIiIiIiIiIiIiI7PWE6UePHm02 + m2EYaq3unnNurbXWNpvNb/3Wb33xi19sDQByfuF8eJLzPPd06mmaUkrLspiZmfWHehbmNE3KvBQR + EbkpWmu1VhyuzOxX6/VjemsNwHHu0DW5ck9ERERERERERERERERERERERERERERERERERERERK6P + l78G+Zhp3S9t7pczi1wb7taCHmxAI8IQbV7aUg0pnOGEZQ8urUVEhGt5RcsIIJwIC6cHI55a1kAN + dxpJpBzJ/vjhH8dJWzbz1977yj/6g9/hyi2jVjDAAGCAuTlYYQuxKPHjxonrVw1DFbhERERERERE + RORG6uXsAaSUTk9Pe7l5ndE5KiU5akR4OJBQhq9vT/mpN+srHhGcAlidVNQ271Y5D2NetqcoBWHn + g6OBHnEtIiIiIiIiIiIiIiIi8oycrbVltVo9fPjQ3UspzMVp024pefxfvvSlv/rLv7zd1VxSynmp + /qLXIdlTscdx7KnYPfPS3fu0fncHMI6jmfWATBEREbnmUko5537hdz98m1lf9jtxuCD8+KOIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjcZrrkUESO4pgx+xJ6rZJ+dXNrLaU0TdMrbqDIy3Cwgcvh + vwpWoDKQLRnYUzyawcYSJSEbAAYtTMvXv7SwxMGs9P8SS7bBLKdUch5SKkQiU18irLHd+cydJ+XR + I37nv/4f/guufGo7BwznmdZBAAE44KADLyzBJNfQNcy0BpCvugEiIiIiIiIiIiIfRUS01nLOAE5O + Tvqd7n4rzxAb+9gjcQiL9nnZlbJykkEQGFZ/4W/9rX/45/7sygyvMvx7PeTpyaOElGiIuiqrVV1Q + 61Mp1ny6sSIiIiIiIiIiIiIiIiIHDx48eOONN1prJycnpZQHDx6U1Toi3njjjd/+7d/+pV/6pZ5V + uSwNwDAM8zw/93XcfZ5nM+uXhPXMS5Jm1lrr+dY96LoHZL7GtygiIiIfUb9M3N0B5Jz7cXye55RS + SmlZln6FLQB370d/ERERERERERERERERERERERERERERERERERERERGR7uVjrSOCZK0VQEopIsZx + fLWNE3lJrMdUY4YDMBgAM1taQ8Tk87zMltOcZgDrGBjmbAFo+fqXNbYgIsieKWKMCCAQaIhgM7Me + KhLNZ27nOH3Id/+r/+4/+8709a2dVjQAPYPF4A3mQNCDwYCFASqtIN8vld8SEREREREREZEbiWTO + uVeqdfdSyjzPwzBcdbteM7uwBNATox3AUAbAvaIkaxXJCjabJ6XU6fll/T8u7jUZEEAyIOaH2zds + g6miwdkb6r3NdrglIiIiIiIiIiIiIiIicnT37t2eVE3y0aNH9+/fb+A0Tb/7u7/7sz/7s9/97mME + hmFw91p9nuuLXsfM+pnE4+3+mrXW4+3WmgKtRUREbhCSZpZzJrksSz/EH74Y1H4Feb8mvD+kZGsR + EREREREREREREREREREREREREREREREREREREel6rkG/TfJ7BFz365T7EyKi1ppzXpallPJ6miry + QnRGBP0Y+gAAkYFUq1dvKLQxHPM01hgqyfm0Jc8MBKHla142c2RvaBHsH1kwupTp/QMlAa/e6rxs + cfr3fvfvfvkr//t7Z197jIctuyOKIQIEAAcR8KADQBRE6QklcuO8+BB0BVSES0REREREREREbqpp + msZxBHDxFM6LzgCRn8zh1P5uuf8fDucPHIil1pLW4UgJqBUlbYdUX3G53lphhlRyuJ9VvP3mqlX8 + 93/+L/yF//v3jw3rjSTMNMgtIiIiIiIiIiIiIiIiT4sIkjnn7XZ77969hw8fWhnee++9n/u5n3v/ + /celJDObptnM2C8AcxzOkT3lYpS1u6eUjpnWJPupxp5pPc/zMAyv912KiIjIR9FaA1Br7d8W+uXf + 8zwDMLPWWkoJAMnjbREREREREREREREREREREREREREREREREREREbm1SJK8XrGBInJ1epTsyzyz + Fy0xs56EnXNurSnTWq4NMsz2NXcMQYQhDDSmaNZiqN95+M2vfv3/q8NUo25wkrznQoSWr3npjNl3 + DQ3NG3qlpObugbbdbhdf5nmept3Z2dnj00dPnjw5Wx7HnfqovlvT4/HeeDrXPIzEXBcvAOhBONz3 + sdYDvQRebf6IfLxe/kj0OinWWkREREREREREbiR3H8dxWZZ+Cme3261WK3xy46tf7Nlh4sMYZJSU + Y3FmWyrKuMJjTDm3V7t6ohRUx3aeNqvhhJi+uztJ9ubK4R/IEnCQyrUWERERERERERERERGRp9Ra + zayfB5zn+e7du+89ePgzP/MzX/vGt1PGsjSgwegOS8nbAuDCKTO/+DokU0q11mEY5nlOKfUJ/Y8f + P7579+6yLGaWUlKmtYiIyE2RUupTgyKi1orDTKFjmjWAHmitTGsRERERERERERERERERERERERER + EREREREREZFb7vaVKxeRD3cMEyX5PYJFzaxHIex2u+MVzbXWnBX9KVeMYRYA0NCvqTeEIRhAGGHc + xdmuPv5/vvp//k9f/s0n6cEOp5486EAPwtbyNS+RU4kIhDsCQNAjIqKREQaStECPu96404MR45RH + PF6mFrizefPBO++erBEOMBAOBhBB0gtiBNvFsksiH4GObSIiIiIiIiIiciNdLEo7TVPPtI6IW3qe + uL/pAOiH929AsFhdmuUEd+SSyhp4+Eob0hosYRzK4+18Z8A4IM+xXiocFuiNS8ePKC40XkRERERE + RERERERERAQYx3G3zJmGZF7jW++8+2//5b/yB1/5piW4A/vrvpBLqUsDiRdcIVZKWZaltZZznuf5 + 8ItuZnfv3q21llJwSNE2s+e+iIiIiFw3fXZQSqm1RnJZFpKttYtP6Df6cf+Kmikict0x1EOKiIiI + iIiIiMiHYCCevvyTh6tCRURERERERET+f/buLUiyPavv+2+t/947s6r6HM45MwyIQTYDyAMDHi4W + 5ioukrGNscOO0JtkO0xoJGRjc1OMXyQHhBUiQnoQcvCGImwFD/CgCINEgBRgcRks0AwMFxkhEHdm + uA8z50x3V2Xu/f+v5Yd/VnZ19+k+p/t0d92+n8hYnZWVl13Z1ZnZ/8v6AQAAAAAAXHDGQgcAb0zf + s3xycqLTAOy+x/m8jwvYvb+FydJdCvk+7KG29FLcx/XhKobNR+ofzqvNsj6Zy5JeLeUpWYbt3yRN + Z3KypQh7teWDeAM8PZsyTQozMzPtnu6QJMumM/2TXMVUpFa1rRpXarM+/McfetOLRye3b+/2Btvp + tdN3oebWBLwxxFoDAAAAAADgUurx1b357Gq1OnvhtdLHjdNkklqVJNfpCLJaNJuy6bjYrNCwmD3d + qXQrUgvNrZbJomUJFcvRQlXWlIM3qUlFXkSgNXAV9Ml1M+sNwfvkeq11GAad9hMX7cIBAACuFjPr + a57c3cwyw8xYug0AAADgwfr4cN9HEWe/sR897tu3omkcxyVamsK8+HDr5Nb/9HVf/wv/+t9Kyra/ + lSTVZZF2+2z2c2CZGoah1ippWZZ94GX/7jzP+5zLPo599gyuuT7Z8fArPLODAQC8pp5j3d/Z9+/v + /XPFfraaSWoA11H/L9JdF937Kff0u650ZeRTXlMIAAAAAACAS6XP7EuSh4dJMk+l3NJtn2rNkBIA + AAAAAAAAAAAAALjwMnf7aDIzM5zd4sC113ubDMOw3W6HwWuNiLAHt+3fb2HeZ1rrGree2Pch3395 + TZ+IC6P5fvdo31TfwqunF1tllTS1ul20+I28WW5prTmaK8eUUkqZqRWFlMoS7mFK9wyZpff2K8la + wSelqbn7ac/WTGWc3fy7655058tIKWSmYmpVg/lw4Ccnt3uG+anoyz3TKpnWl46ZzfPsrogL9LZC + ew4AAAAAAADg0gspVaU+9OySq6VSXoqUk0LZVNU21fPpDgla+unYZyqLZDLJmiz6NH72wwNw+bXW + ei5In/Nw92VZ+vlhGFpr+4hrnXYPBwAAAAAAAADgrGEYSimZ2YeUzWyJVmt192EcX3nl5t9497t/ + +qd/zszcfTcLdt9M0zB4Zo7j2HdA1VrNrOdZttamaepZxffsjwIAAACAa8vOVEm96waVSqVSqVQq + lUqlUqlUKpV6v/svZ9IdAAAAAAAAAAAAAAAAwOWVp877QIA3JM4GHPevrPV4Y1eZhrWauftc5/Fw + 3MQ2bZdV7Sk/XQrYw8mjN5jPfmEIT0FYnD29yjXy7pP8NAVbJtmr/73suzAFf3F444bzPgAAAAAA + AAAAb4wppZCKS1IzpVRGazUG9yKLefG5yYZpfaibf/IMjshzNyORJkuFSUPWopBcGs92LqCHAXBp + lVL252utwzD07OqIcPfWWr/CMAyZ2VrrCSIAAAAAAAAAAHR9PHlZltbaUCZzN8uUxnE1jOOHP/zy + t37rt/6Lf/GjOk2k1m6zRd9QcWcrRa0xjuM8z2Z2dHR069atzOzZ2Jk5z/P+HpZl2SdeAwAAAABM + Ul6IwCQqlUqlUqlUKpVKpVKpVOpFqPn693ueNrgEAAAAAAAAAAAAAAC44MxMdMEGcMbZTOvM5BUC + l5O/2iVmnstyMq0mRW1zXZf1K8cvr25YiyzhJWQZkjxlJvN90HWEhUthCtulSwB4SvrbUH8vykyz + C/E+dP9rCgAAAAAAAIDLJ+UypalKVZpDQ/Go2U4WH9Yqa0m3W23PZETQJEvbtzBISaU2SVLpDQ6y + X+lZHAyApyQzI6LW2jOtI6KUMs+zu8/zPE2TpGVZJJERAgAAAAAAAAC4XylFkpmt1+txHE83fbmZ + 3bx5+9u//du/7/t+IELjUHT3lrD79aX5pZRbt26Z2cHBgZlF7KKvM/P27duSxnFkvBoAAAAAzv7/ + 6iKkJVGpVCqVSqVSqVQqlUqlUi9I7WfOuj/o+r6rAAAAAAAAAAAAAAAAXEQXIx8QwIWTp877QC63 + CxLCiruCaNOUnhnuudTjrMvHvvinVIchbEgrdwLc3cIlt3QP93STpCqLsEhTancC8PRcwLch2nIB + AAAAAAAAl97Z2ZueHj24WlUx07SSZrWm1Xi8Gpo97Vzr8JSl0hQmk1wKC1lLqUhFUk8QKEqF6Znk + bAN4CszM3YdhMLNlWdw9IqZp6pnW8zxnZo8hiYh+5fM+ZAAAAAAAAADAeUuXJAtJu9jp9OLjsrTt + dlmv1+62zO0f/IP/47u+67vdFU3L0u6+i7jnLsdxnOdZUq1V0jAMJycnu0fLzMzW2tHRkaTtdjsM + Q4/TBgAAAIAryCSpb2R+UE+Ie3Y5+4Xb9QwAAAAAAIDzEaZdg8vcf7k7E0aTSgAAAAAAAAAAAAAA + AABXRETsduGZXcBg0Ytp/1yZGYvJLobe790tI6134xkkZbb1wXDr5FZZ69M+6dOOfvzGMty4NX8k + fH8bl9xCrl0LIFmVSafREmmKHicB4DohQwIAAAAAAAC45PI0Ljplcpe7ZFIpUkqheTvrYNJgHy45 + P9sRwT615JKyDqqmONO94N7IAQCXS2tNUq211joMQ0QMwzDPs7vP8zyOY0S01jKzlEKmNQAAAAAA + AADgfj1zelmWeZ6naTo8PNyczP/ou77rH/7D/0upDE3TIMnMHjLOvCyLmfWw6lLKsizTNA1D32mT + PfG6j1evVisyrQEAAAAgdGcdX08nolKpVCqVSqVSqVQqlUqlUiWl3an9DN1HAQAAAAAAAAAAAADA + pWZmr30lANdJ7pz3cQBvQLzqm1u65GbWlnlwO5oORt34ws/5snZTY6xKuGfst5emuaV7uiRPWZ4u + IFRPj6CfPPAUXcw3oeG8DwAAAAAAAADAG7abBHCZRldKpc8MNMk0HhzGsvHnpr/6Iz/0K+9859M/ + FPNUyD3V5zWGCM3L0BaVUeZiKh+4EkopfWlOZtZadbpSJyIk1VrdPTPNrKeS9AQRAAAAAAAAAMD1 + E/dekC5pKMXMlpIh+TCtDw//4I8+9P3f//3f9nf+nqQyDLXWea6S3L0PPj9IZkaEu7fWJPUo68zc + brer1Up3NpVlZpJsDQAAAABdSjKFlFQqlUqlUqlUKpVKpVKpVOrd4dY63QiaprT7mmBexH56AAAA + AAAAAAAAAAAAr8LMJNOFjA8EcC72eaK9dfb5HszFx7N0UcWd8GkLpUvWl/YtyzKMriztln3BZ3/F + T/3ij73cfn+xjy4lPCNCMik9TWHuCkmyfmG/u+HMQwB46i7IaywZEgAAAAAAAMCV0JOt5UVKyUxa + pCKFNq2N48qXWZNV99e8pyfh7KPY1ELbqnnRakiXnfYvsLNzHgAuoZ5aXUpprZnZsix9jrlfss+0 + lkSmNQAAAAAAAADgHpvNZrVaSX0k2Y6Pj9/znvd8y7f875JKKbVWScMw9CHohyy+33+3J1u7e601 + M1trq9Wqf8vMJJFpDQAAAOCa2//PqgcRmeSnMUVUKpVKpVKpVCqVSqVSqVTqvgOlm/pYUtidC+lP + CQAAAAAAAAAAAAAAAOCyy8wLEiAKPDZPhen+ZX1hbm05ODjYbG7FcXv+8C234yOf944v+eGf/7/t + xiDVMKmEUsp6J8TW9jfvd+5Kl83P6qcBrql73ovM7HzfnYiRAAAAAAAAwGXVG9P32NT+pSR/RrHN + F8Zpd4BXyYcepJQGH31sCo3TMAzN7r3WU+JplumSpVYtvvsrv+ov/eT/q3HVXG6y7Aeez+pwADxF + rTVJfTJ+Hxyi04yQs2cAAAAAAAAAANfQMAzHx8fjOO4zqiMiM4fVeokYh+nk5GQcp5/4iZ9497v/ + 1762vrXdBFgPt+71QXpYdWttHMdlWfqd7xOszw5WM16N+/VJ515rrf23tJSyn4buMnOe59VqdY6H + CgDQ6ZT0NE3b7bZf0l/GzWw/W90/bNz/Yg4A19N+gaG522lrAZdnptxaKMVLJQAAAAAAAHZ6h0uX + IiVTmpSepsiUWyq9FEl96l+n4/aXQl9X0OswDH12+HwPKTP7kfQv95MdAAAAAAAAAAAAAADgDTFT + Zp+IN2kYhswUfbABSJLMbChlWRZ3Rew2JrNq5+HONh7vvVwiogznHL+KOyv+7K5wa3evSys2DWWY + Zy954/M+7Uve/8v/ahu/udUmUnKVyTa368G61LnJ5PukC8lSSpfM8t57BvCkuPtms5HU34z6B9dz + X0RKrDUAAAAAAAAupX2m9f78tQu03uuNJ/uovymlkIpJpyeTn86aP9OnyLNPRsQQemE7a56VsZvl + MFmfnLB4xkcFAAAAAAAAAACAZ+nk5OT555/fbrfLskhydzMbx3Fbm6Ra6ziOP//zP/+N3/iN222T + 9BiTR621aZpaazrdB0WGJR6Ju8/zPE1TrXUfiL4sS89KH8fRzMi0BoCLwMzMbLvd9rf+s7EZq9Uq + M/vyoVLKycnJwcHBeR8vAFwUJsuIlpLkZciIn3rfeyNC2U47FwAAAAAAAABKk6Xu7tLqYZpb/a0P + /I6593l5XapA66615u49zbqfP8eD6VHWZtZ3RkdEn5s+x0MCAAAAAAAAAAAAAAAAAFwaFrL0lJ1u + EQ3r0dQhs1bTUtaK5KMfvDB93Of8B1/4B7/8QR/MxgzXXNt0pO12ux5XUVuPt7hz32nSXZcAuA6I + tQYAAAAAAMCl1PeN93a0ZtZaK6X0et6H9kylQpKZS7tM62V3uYrv2geUVDFXSs13kwFP73hMcXfT + gjQNkUd10dIUkf06SZY1AAAAAAAAAADAtTCOY2vt5OTk8PCwt2PeLPPc6uHhjc1ms7Tl137t1//K + u/7aKx89nqZpnufT2/XZpNfOV+uThv2GvXH2sixmNgwslcdrc/dlWUop0zRFRP+1qbX28HVJ+2Rr + Sbdv3z46OjrnIwaAay8z958Z+pme+rDdbs2sx1FbEYe8AAAgAElEQVQsy3JwcNDPn/fxAsBFYjJZ + ZmbG9/6T7/3ef/K9531AAAAAAAAAuIj6bL2p97jcTdu3/qVpHEYzi9oys+Vrz+lfBH1CoadH77ch + n+M8wjAM/Uj6fMdqtdput31BxbkcDwAAAAAAAAAAAAAAAHB95M55HwfwRsVuuV/2iAo/XfSnppw0 + WhSTD7XcWL/02W//gp/8tR9f2maj27aWmbZVU7HWWk+tCLuzavD1dvwB8IZdqDcjsmMAAAAAAABw + KY3jWGvtTWkl9W3kpZR8gPM+3qcrpXZ62gtJduaLkFL+dJ+JlJ19CEvt5jOmaIpZuUvV7uOSTzli + GwAAAAAAAAAAAOevlDLP8ziOwzAcHx9n5nq9Pjw8fOWVV9arw9/5nQ+8613veuWVm5LmeXZ/5PXt + +7bOmXn79m1J/bGe7E+Bq6pHVrt7a63PPi/LMgxDKaW1FhGZOY7j8fGxJDKtAeCC2C8EWpZltVr1 + mIf+KWIYhh5KERF8HgBw7TxgPd7Zi1Mpt2GczHYL/cKoVCqVSqVSqVQqlUqlUqnUXd2dtN+Qumty + 2SQv5kORtCzLPM8tWlySTGtJ8zyXUoZhWJalr2Ho88LndTyZuSxLRBweHkrqu6TN7LyOBwAAAAAA + AAAAAACAq4eJeAAPcTaz4MrnF+AacMu+HC7SalOTVMpoqRJhzdrWP+7GJ37Op35+OV4f2Y22USkq + Uiojq0un8dj7O0wRcAs8TRfzrYf2HAAAAAAAALiUenvxzFytVsuyuHspxcweNGG8b2d/JcWZ0f5y + 5zI1yU2Wp/MBTz9H2lIpldj1L+jSonmVNSlcKv2vwnR2jgIAAAAAAAAAAABXUg+r7pN6ZjYMw+3N + 1sxefOFNv/qrv/qud73rj/7ow+6KkOSRdjqF9PDZvbObXyIzM7O11lOHt9ttjyV+aj8Tro5xHCW1 + 1vovzGq12n+rXzLP8zRNh4eH2+22B2Cf16ECACTVWiVlZimltZaZPelBp6/bkty9Lys6t6MEgIun + lFJr7QHXtdZ2+n+qJmUqqVQqlUqlUqlUKpVKpVKpVClzN5rU/9zPjIZUhqG2KrX9iNM4jJLmujyj + Ea43ppTSFxX0Cd9pms73eMxsvV5vNpvj4+O+LXocx/2UBwAAAAAAAAAAAAAAAICnJzMjIlNmtl80 + BVxCPXvCe96D0rNspci0UgbJMsMUg8eyiWE4+MLP/NL3/+JPVd08mW/FqMMDu/1KrsdJtd9B9AgL + k6SQ/LU6/wC4auipBAAAAAAAgEtpHMdaq5nVWkspvTWtu+cDnPfxPnUmmVSkkirZw60jFU0hC5nk + 0pmo6ad6JJJ5uqQ0S1Nz1dJUqqQiWchSOu1uAAAAAAAAAAAAgCust2CutS7LcnBw0FqTdHh4+IEP + fOBrvuZrfvd3/8CkCI3jOE6THm1ery+G98yc51lSj7dcrVZkWuOR9F+YONVa67GprbVpmvolwzCQ + aQ0A524Yhsw8OTm5efPm2XVB2+12WZbNZtOvZmaSItgrCwA7/fOtzIZxHIahDENIuVvHJyqVSqVS + qVQqlUqlUqlUKjV1uknVlKaUmhRSSCnVViWZ+zCOkiRFrUtdnv521SejN6LV6aRw/7KvXjgXrbXN + ZjPun8yI7XY7DMN5HQ8AAAAAAAAAAAAAAFdb73hw3kcB4KK4JpkFuB5McqUrezuUkNW08KJaaypk + 81TkKqUOf+rGv/9n3/6F84frc9NzNmvZ5MHK21Kt3/z030Raj5Go5/dDAdfCBXwnYhkrAAAAAAAA + Lqu+jbxv1e7zQNe2HW2fLrCUUordRcU1n17gJjOVonwmE+iWSlOaQpKpmU6GUAkprHcyMGXpf4op + fQAAAAAAAAAAgCtsnzBdSpnnubX23Me88MEPfvBdf+2v/vYHfi9TQ/EaGco2z+aer3fKbxcwnNm2 + 2+1qtdLppGFHsjVej4joYdWZeU9q9bIs/bdo/+u0vzIA4By11oZhGIah1lpKMbN5nqdpqrWu1+vW + mrv37xL/AAC+X07oHhHKrMvSmwv4buEen28BAAAAAACwF3ft9szTNpUmmfX5+LosksxsHMasNfJy + bOmNiN6cej8vfL69qksp7r4syzRN8zz3C88xZhsAAAAAAAAAAAAAAAC4Vi5gmCjwxgxSk1VZM5OZ + Wmvj4LIl1YoV5aodn3zBZ3zpL/zKe4/zT0bdvH2sF26MrW1VzNLTPBUyWUqqZ/anArgu2HMOAAAA + AACASykzp2nqcz99S/k1byBuknqsdbdrPbkT/QLzrU+zj2GSsnk2v2vyLEzxxjbj9zTrtAxJ6buL + enFJw5kgayYkAAAAAAAAAAAArr7eizkixnEsZTw4OPrDP/jjr/9fvvHf/Jt/lymlag1JrVZzf5St + X7vJptbaarXqUdZm1ntPk2mNR9JnnPuk874eHh6O42hmPRV1u91e8ylpALgg+qtxZvbX59baNE2S + SimZWUqJiJ5pHcHSFADXzH3/ndq/Du5ie878d8nd4/4bAAAAAAAA4Jq7f8So7wbtU/mZktw9MzfL + HBmXaICpH3afFy6l7OeFz0s/qnme9+dplQsAAAAAAAAAAAAAAAA8G/u1OvvVO8Alk660XQyElBaS + LF3pkptZWCujp6m15pI3rZaDTzh862e+7XOXmzJNN27o9q3t4XqQMvf/DlKSwhUeMrnk961r8+wn + Pz3tLsFj68//acDH7tQ8mp9+S5Lk6XZ3qEiPIjGFjL4Kl8zFXDI6nPcBAAAAAAAAAI+jT/b0ep27 + h5v87Be6MwXmkopkku+vY8NNX98cDl5QLNtapWGQLakmd4Up1fv7h5TRn9tHH9WMnmedslTJIU2W + ZYyiNii9mcqoqM2lImfKDrjseq/wWmv/srfzaK3tr8DcPAAAwNXTV8CY7T7+EXkAAAAAXD/3zs3t + 9zy01lbrsYdYr1arWuc0tYhxvZrnOVtE03ZZvvmb3/2+9/3cXXcRKSlVH/KoZpZnemT3ftMRbf/d + /RmGpvH69bnmfQfz/jvWa6317O9Sz0wFAJy7s0uGzKyHs+rMh4H9Jdd5QRGA6ytf9azUP+WmJPWF + PXX3nyl2qgMAAAAAAOBUSnY6rpRnLuxOvxUZerX862cpItw9IvoKgVrrMLxGM70+LNbngiNC590T + sB/DPYfRf6hxHPts9cXsWggAAAAAAAAAAAAAwEWXqTONCIZhaK25Jz0IAEiKiDKMrTWzvnQnzYxl + Oq/JzDJ3/Scz090z42wiAp49T1cPtLaQ3BURo9mgtBqtTGUbVTYp01SGJmtT6vDLP/er3//rP3/b + juc6r4qkml6buyRLL20Irz3TeghZqIZUfBiGiGituhRNbuZezEpmy5Qr0tX6IkSTTmtfAfegdXDX + 67cn5W6Sn7a1CZ2mWbtLJpP1PcC7J801z1qt1JosNY6mVlpbRhWzSGWYwqL3gHKlq4UKL2WXiLtv + t1vtPrdelPWixFoDAAAAAAAAV8W9Y/Bu2uVU7y/48//sB3/ly/5cvPLKWw6l2A1Pl7IbrwyZ7rnJ + 4x9MeLpSIZVQ2VY1SSWlUNpgfdjc6CAMXFrLsug0HaRPe/R861KKuw/DkJmttWEY5nkm6QEAAAAA + AAAArrxSSq11WRYzG8cxM2utPpTWWrEyDFOr6V6+6Zu+6b3/6qcl3R2P/dpRaplZSmmt9ebOZtba + 0qOIn84PBAAAAAAAAAAAAADAdfKQtngXomPeTm/nt1qtImJZlmma+qa2nl19eZVSdGbXnrtLYl0E + AAAAAAAAAAAAAABvEDPvAO53MSJEgTcu9rWnQnSnf+56+5g0xpB5+ML0ls/+M5//ox/8PXONo5ZF + MfSbu8Vg6Z4eCknzVgeTTeO4XepmmcepTKVEhA2SlJGtzaE0s0hJGtxil2bdA5p3oRlur5564fna + vYauDvNoIcnNddrVvz9dc61mSkszpSlTmcqmF15Y37y5GV1lKicnrVg7Wh/kXKM/byZZfwJ7JHn/ + ksgPvCHEWgMAAAAAAADXxiC9cPC7B/Zx4/P15Y9aqsjUUsUtm8uaSVKYPOW7WbVHnnT3VDPZbs4g + XBrCXyqTtlURRYrMVJTdnnoAl9U4jtM0tdZ6p4zVarXdbt29tVZKWZal1tpjS/rVelsNAAAAAAAA + AMDld++2kDRJapnDVNys1tq7KrsP07hatERmRBuG6W/9rf/tB3/wnz/wjh+66WsYhlqru0dEKeX2 + 7dtsHwUAAAAAAAAAAAAA4BparVbzPE/TdGUyrSUty1JKmaZpnue+Qe+8jwgAAAAAAAAAAAAAAAC4 + ajIzMyWZGeHWuD5qLKOPU1t/4Wd9yXt/64d8OA7bVqmEUmo2pCm9StVSFjq6cWOzmaPlOI4W0drS + /9GYe3im18gew2xmK5dFXexMmnVvCmRm8YCVfXnNMpgnH/uZzGyZkZnZJB1Mq4iIqJLSzcxSTeYv + /95mtVIZPFpbjcrUPG/ueoZPX75CljIyrS+vvDBvRcRaAwAAAAAAANeGu9p2Pjq49ce3xqqj9eGy + OTaZ5GkRp23/8zTZ2nIXQvC6mUWm7ceyd5EGU9TpeKttU22WysziLqnVWobpif10AJ6tzJznWdLh + 4eHx8fF2u5VkZtM0mdl2ux3HUVJESCLTGgAAAAAAAACuvFrrer3W6fYtd3f3ZVnKNJ7cOj5YH/3d + v/v3vud7/rGZ3Epmtjsr6u/NyX7Q/fczfRS6lBIRrbU+HA0AAAAAAAAAAAAAAK6D1lrfxSap1joM + Q2ZegWTrvk2vtdaXWwzDcHx8fHh4eN7HBQAAAAAAAAAAAAAAAFwFZrve+b1jNnDd1BrDsPqE5z/x + s976We//wHuWG/MwpS0Kue2CKqpMJRQaPnzrlg9lHHy7LIpYDYeTTfNmiYipWCnFVFp6tCFjKOml + tSHNz+jJ1gcHRw89KJfiWtQWkjIzlTWjR1ln5vZkzszM5u4qLinVWrbn1+aDTpabi06GA9vW3LY8 + nDxqKs0zTTKFpJSHhnx97ZtwcVycNOs9Yq0BAAAAAACA6+TghpXVfFIP5KphsmF9Y7u5NZwGzqap + WZrkj7l//2wOdsrCpCH0/Lb+8H/133zlz/x8XVqZynY+WY8HpZBpDVxiZrZerzebzfHxsZmZ2TiO + 2+22t//obUGWZSFNBAAAAAAAAACuCTOrtWaml+LukjJznmeLPDp87ju+4zu+8zv/z1KstWyKzP2k + 0uvdFDGO47IsmVlrdffWWjl9IAAAAAAAAAAAAAAAcE2UUiKid/QbhkHSdru9gA3+HlXfppeZ/Wep + tR4eHkYESyMAAAAAAAAAAAAAAHiDzEyXf2kBgCdivz5n/+VdbfWBK6qM02beHK2Otrc++p989lf9 + +m//2z9u2xxnT5UcWlFayGQpS5cpb2j2JrX1NJbtQdmOZTl6bpk+4c2f8PzBjRefe/HFGy89d/DC + 0fpNB6uXDsfDw2Es5u6ltwPqmdYPcGZFXLosrkMtSjOL02clMzMjM9PUWpvnzWazuXV8+5VXXnn5 + 5Zc/Mn/oZ3/rJ2/ZRw4PbiyDf/T4tq91dOSbTQxmJlmqSJ6yHnJt/Skl2frSeNUlr2Z2vh9XibUG + AAAAAAAArpPN3LbLxxzd8Ju357oZfBXZmqncd8Uwlccbu0yXWVpK0Wfrh2zr482NORRtGDwVq2ml + yIjw4f5HBnA5tNY2m00PEZEUEdvtdhiGiIiIeZ4jYhzHWuswDD1c5LwPGQAAAAAAAADwFJVSWmuS + pmlalqW3V16vD1+5efu7v/t7/v7f/w53RaSkTOuNmB/p/vfD0f3Lvn1lWZZxHJ/wTwIAAAAAAAAA + AAAAAC6wvurA3fvmtfV6vV9OcHn1dRfjOGZmrdXMIuKhzT0BAAAAAAAAAAAAAMBrMDMm3wGctY+1 + PvcAUeCZSakpx3G1nCzrcvgpL376O/+9z/vhD/5uFJVdlG1Ikbs3TJdUm4ZBeayTW8vz8dwnvenP + /Edv/+J3furnHtrB2tYrjd5cS8k6Wh6ONkWbzczD1MzNIlOZkWlSSrualtaTmGXpZ85f8SqptcVc + ZpZSf35MSrvTRskOZUemj1VEnJTbX/1V/+UPvfef/uS//pHt9uTo+bJR2y5RBqlKcsvmkil6lHXc + FRUOPCZirQEAAAAAAIBrI6RhOgir2020ZRpXJ8s8b/PwaN3mraW0m0IzZR/ef4zHcEn9hmFyyaQx + 8sVhmk62ylQ2yZtycHcj4xa4xEop7r4syzRN8zz3C1trmdkTrPfT82SKAAAAAAAAAMBVYgqdzgfd + /Q0zT1ORtCzNzMP85Zc/8qM/8p6/+Te/pRTLzEi5u9LcvWdg33PXevCOr2EYTk5OJLXW+hh1rZXx + ZwAAAAAAAAAAAAAArpV5nqdp2m9b68nWmXnZm1D3dRQ903q1Wm23255yfd7HBQAAAAAAAAAAAAAA + AFwpSZw1rp+6xHq9Pjl++fD5o/k4P//Tv+z9f/gvP7T8fpYhPGTVFG2XjhwlfVV1WG7Ysb2pfPyX + fc5/+kXv+LLn/C3tlh344ZilhDzMqkvutsqSVdEUlgplKiOzBy27WQ9dDsnTQ/K8//wVr1KUYRcZ + 3J8N87TIUCmlRERE1FYlmVkpZaWD2x+5/V980V9861s+4Z/+xPf81kd+dXxRPtl2ziJ3uWczyTL7 + HWZ/knlhwxtDrDUAAAAAAABwndRlcJmrKSxDls8fHR4fH48uTwvJUpI87Q2OPofJ8zTZOuXzvMpd + bnZIUpGU0uVuEgBce6213iyjlHK28UfvDNLPl1JKKb05yLkdKAAAAAAAAADg6esDxRnZuyqv1+uX + b370p9/3/m/6pr/RrxChUkprrZTxVTKtX8s8z30gukdil1KuRltqAAAAAAAAAAAAAADw+k3T1JcN + jOO438h2BVrNuntE1FpLKdvttv9ErIsAAAAAAAAAAAAAAAAAnpS+FGe/1sjMrsC6I+B1cDMtrR4c + HNSTZSyHb3vz29/25rff+tDNbZmbhRR3lqlZeOpGWdeX25vi4774HV/xFz7zq56LN518ON90+OaY + W7a0lkVWbJCiKaI2G82lDDOLTC8uUymeGVYk9VwKO1utSHY9TlLJaFKkyXo8R8rMizKWZqbRh2Il + u5YK/5jxLXmy/ZS3fMYXvvMr5l/Z/P7mA/IsRQq/6y/WIo28DzwZ/tpXAQAAAAAAAC6eiNifr7We + 45FcJhaa/CQ3Nes4qdVlPZjN85QaU6Z0yaUSXlKWbvmY44chpRSWeTpebtYvVnikFFKk2EoPXAE9 + tVqnU/LdPtN6j0xrAACAK8bMMnet02iUBgAAAFw3mZb5KvuyMizDfJxqqoyrW8eb9//Mz33d1/3P + LZRSbZlST7NubenzRX3+SPttGLnbI3H/yPPZNs1m1q9wz3Vwvsys/3XwdwIAeNr6fkydLh86+7Gk + f9jQ3SuLAOBKMrO+cjIzl2VZrVbnfUQAAAAAAADAjrsvy9LP11r7oP3+kidiv2xgv5GtT1iP43j2 + Co+9qGB//+5+9v4fxE7p7lUNjyQi+o/TWus/SGuNdREAAAAAAAAAAAAAADyyM90JhmHY70kEcM31 + lwJ332637ooIXhxep/5EZaqUcrYDDC6RMg4RkRmSomrKg//s8/7r4eRoGFebrAqpaTV4hOQyM1vy + IFZ/+rlP+nOf8RVH2xfHkxtHdmM5mZtalIjRlkGbMp+Mm5Pp5rw6DjVLd5V+snSFZZPCHnBKRVpe + i5qZPQOkZ3/sEkDCFHIVS8smtbSQpxV5yWmcD+PmwVF78xe988+/OL1lirXFGM2y30gyyXvvJosw + XsouGTPr70R77v6Qt6T9q24ppb95PY1+GsRaAwAAAAAA4FLab2uPiP3cD7Gpr0NIaUUpVSkic66j + yVIuWaalPGUppUnSYyVb99ukKe5MLUWYZLEfEA0Tg9wAAAAAAAAAAACX1P1bjEop0zTdvn17NR1s + Nptf/uVf+YZv+MbNpj7Gne8X2fd+zWwTBQAAXWutZ7j2jyJ9+ZCZRUQPtN6nRJgZnx8AXGH9Ra8v + mIyIcRy32y3rJwEAAAAAAHBBRERPg57neRh6K8zcB04/PZm5LMs0TavVqgdCP95kwTiOfQhumqaI + GIbh4e0CddpPMDOHYTCz1to+b/v1c/d5nt19tVrdvHlTTzoLHAAAAAAAAAAAAAAAAIB2bUzO+yCA + Z6uvcGtKd7nL6/Dm4RM/521fUE/aNPlYvJjqEmZKV83Wsq6G1Z/+uE/6mPWbhrryxUpqKClb0paw + aKbmapa1tOYtpJSnvVp9tVMX16aqPz/y3J3Zf7m7UOlKD7nSPYfSVgdx41AvHbaX3vG2z17782ru + 7v0p3TNlT7Y+8zi4BB71TSgzDw4OJNVaSylmtk/qeYLYpg4AAAAAAIBLad+KcbVaTdPUWts3rsXD + bJfJy+RWq9ZHw/a4ribVWYPLduPWujeC4LGYTlOrd394vzRMdpp7DQAAAAAAAAAAgMvIbLdBJO3O + loZtXQbl0dHR8fHx7/7+7/+lv/zf3b59YmZ66DL6+2emhmGota5Wq+12O89zX4V/f4o2AAC4hvap + 1ZJqrT1GQpK7R+w+lrTW+k48NpQDuML662HfeyypxwKd90EBAAAAAAAAd9Rae7Rzj4WWtCzLM0i2 + bq25e2/Yl5nTNM3z/Kh3siyLu/eQ6XEce+O/UkrPun7Q4w7DMI7jyclJv+QxHjcizCwijo+P+/Gv + 1+s+IfKodwUAAAAAAAAAAAAAAADgVSWh1riWakSaLFPFFaGwN02f+Plv//L3/d5P1OWWa2XZTpZl + XEmm6qpqdYw3v/XjbRx6InZ6k9e0mirWimexGNM9m6RI88jXSp8406foWgVVhEn7J6efv6eeyt2V + zcNc49QON9vVf/gpn/vjP/v/vNI+YoNLStMuBvv0Rp5kWl8yvRvG2feih78xDcNwcnIyjmNEnJyc + HBwc7FfnPkHX6l8lAAAAAAAAro4+uLZarSQdHx+XUqZpOu+DuvDSNa4kOzneDkW3b1efbK4a1p53 + xqwzLdKU1iMCHmckep+QfedOpZT3AUmTTOFi3g4AAAAAAAAAAODyuSth+sx+kmmaMq3V/OM//tB/ + +5f/++PjjaTHWP7e20Bvt9vDw8Naa4+ofEhvaAAAcH1kZkTUWnuEQ0SUUuZ57hkVPVWi68EP53io + APC0bTabYRjMrJTi7uL/TQAAAAAAALgwMnMYhp4D3QevJJVSns1D99pa22w22+02H13P5D4+Ps7M + ZVlec+XDMAyZeXJycvPmzcd4uL3WWkRkprufnJyY2fHxMZnWAAAAAAAAAAAAAAAAwJO1DxNlMzKu + jZDk7p6yyFTLplW78ckf+453vPUz/NitueTFZKZIpUmDtq0Ow1DnGjUHHwdXZqTFPnzZ0y2KR7Es + kmTx0FOVYn8Ki7DdmStfpZBFWsiiZ3/cSQDZ5YDsrma7MykLS2kp2owf99xbD/zGGOVsAnaaJPcU + r2KX1MNzrO+xb8AVEev1WpK7P/G3MJarAgAAAAAA4FIqpWy323Eczezw8FDSZrM574O68Ezyss3h + 6LmX6kc+vJpKy6wlN5s4GlRSJqXUPEPyDEnlEbOn00KSp4dkqT6YnaaQNXO5Uu6SSZliwg4AAAAA + AAAAAODy2UdZ930Rp1+enGxv3LjxW7/9gf/ha77mj/7oQ5nmXpblgbFqd88U3YnHLsV7s+ZXXnll + 39X62bS3BgAAF5yZuXuPcV2Wxd0jYpqmnnXdd+6VUnreQ0TsozIA4Iqpta7X674Jub/cnZycHB0d + PdIeZgAAAAAAAOApydO2r32uvw9hPYNB+9ZaKaXWKqnnavfDeNTOff2wx3HsX/Y77MNxr6pfoYd5 + 9zPzPI/j+Kg/spnN89wnPvoxHB4eZibNcwEAAAAAAAAAAAAAAIAnJTMfshYIuMJGL61aRktL9zGq + r4fnvvQdf+E3P/DLm3Y7fFkVb5F1l7k8WPXleCmLj60MZagxysNcKXOph1tL6l2DSsbpl3uvuuN1 + fx1Xz824HlWKkFw6Wx+s2mBqreS4yrW19UuHL728+b1j3ZZFmMws05XWGzjZa94fLqTXvyk8Isys + Ly7ta0o3m42ZrVarJ3g8xFoDAAAAAADgUqq19pGyvqt8WZb1el1Kae2BnfGh4lqVD7b5HUu+OK5P + 5q1PQ61x43DSdt5fK3ZB1On5mHvdTXJFpu3mDFLNvO0mCGSS8k7oNQAAAAAAAAAAAC6l9F2ytZSZ + 0zR9+E9e/ut//X/8jV//HbMiKcPGYbXU7X3bTh6m1urufRJw33LazEi2BgAAfV1Q/2wwDEOtdRiG + eZ4luXv/5CDJzPbnAeBK6q9+0zTtk3KOjo56ONB5HxoAAAAAAADQGyammS3LEhGr1Wqe52EYnvb4 + VSklIvqImU7TrPvBPNL99IkGd9/fQ5+GeJ03X5ZltVrts70f6XGnaeoP3cOtzYxMawAAAAAAAAAA + AAAAAODJ2i/seYzFRcDltFu85ynJw4r7tNTwefy0t7zzU196xy+9/DPVlzKMiqpQKaW1sKKXX/7w + 8MleSsmmsEHKzBIWIRWFzFxq6aaQZK/5j8nONCA6vXK/1dWuuyf/vnrniUmlna0ReWI+lCiTj/Os + Nz/35t/eetRFxfPsfaQ7r2GX0z3vRA9/M9q/W/XeGq219Xr9xA+JWGsAAAAAAABcSvvOs2Y2z/M4 + jhFBpvVrKK4b019573v+v0//vI9fbNBqtNGLL5t5tdvYHuFKU5pKquRjRE+/yk3CrLpXN5n3TGsp + JNvlXwMAAAAAAAAAAOCS8bNJ1X3V+/Hx8dd+7df+0i/9u9NLfJpW23kjSXZnM8mr3dVdd2tm4zjq + NNNa0r7lNAAAuOZKKT28ITNrrTpNpNinWev0IwSZ1gCutszsqTY9BygiRAcNAAAAAAAAXBiZ2XOg + ++x/rXWapmczeOXutdbMHMexH0AP2H6Mu387GOUAACAASURBVNrf6vUceX/EeZ5LKT2a+vGmKnpr + wv7QPaJ7PwwIAAAAAAAAAAAAAAAA4I17zfRQ4KrKTGUULxFmQ2m5jOY+v/RFn/blv/Gzv3gzP2oh + 11CsmWUMUXP723/4a9U34W2pUa2ElaYq1bTI04zqXROifEC88tkoa51dVufXKKIiJUXcWcl47w/e + n8MztYZtBhuzrbxZCXvpuRftDyM9pZApTJG+ew7TjHDrq27/ttVX55ZSMnNZlmmanuCj0OELAAAA + AAAAl9LZDeF9yIypoNdWTDZoKLkadDPGcTVvt1KuhkG5SEp5SmnNJEtZKh9xt370ZsEZ6unWucu5 + 9tQQqbaM0ZQu84dkFwAAAAAAAAAAAOBi2+8Y8czs80Lf8PXf9L73/ZyZyjDUGuv1tNlsSrEW8ZA7 + uv9uzezWrVv7ub9aK7HWAABgr2c5lFJaa2a2LIuZtdbOXqGfIeYBwBXWX/p6Lk6PCIqIx8vmAQAA + AAAAAJ64PoA/juN2u12tVsMwzPP8ZHvnvao+ibBfY7AfQHvUobPM7C3/9jfssxIP2sJsZmbWf9h+ + yePNUNxz/P0Mkx0AAAAAAAAAAAAAAAAAgDcmzD2iuWTyaKZmipxsqpuD//jtX/zPfvEf35xfriF5 + FtnSmo1alu0H/+g3b9WPrOz5la/TPNNkcRq+7MpimVZmlx4YU/3AMAo/rXEdath9T066LHqNfp07 + VTYMshKtLbEd7OBw9XxmGwbV3AWISKrutT/1JH5cMv2XYb+0Nc6cf6BhGPZLVXtjrie+LpflqgAA + AAAAALjc9tvC2Zv9Orj8UMNaOQ9D3bYTFRvc1KInWC9uzayPR3va6xnEPCtMqdJvailPeZqlebjV + +qIXzbe1nOyOREWNbGsAAAAAuMR6CzadyYkBAAAAcClZyO5Knrb0B56k1CKroZbWZ+j8ZFu/8Zvf + /WM/9i8lZarWKsVmcyyrLRblA6aEzFJKhReTZSpSIcvWWl9G3xs0k2l9WUREPEKE+YVjZhGRd9tf + ojMT0wCAi6DnWPeX6P34ZF871D889JDX8ztAAHjqeiSPTj+p8qIHAAAAAACAC2UcR0n7mOdnkGmt + +2Z1+6DZYwyd9fvpOdb7+3zIeun+rf0Pe/+RPNLjAgAAAAAAAAAAAACAN8psP9Hf9+DQJw3AXill + WZa+VCciWLTzeuyfpVKKnXmNxaVhIVvCW5ZxSfehqMXaVr6xsa10c/zqP/sXj26/UMJtjG1r5qpV + B4fjNm/+xu//Sp2WkzwuQwxDLbpdtLWUxcrakcVqiBxyMfW46/tPD/Kg61/Nk+d9Jz2wpvlSp00r + y7DNVWQdXzh6c7jNkrsPWaawtmxPvC6T1wxXeXa/S3hC+juRWf+Yuv9VuX/J6+7fUWttWZb9UtWn + sa+cneoAAAAAAADA9eGSS0NalbU+CumSSWlqpjRJbmme++s/irxz/ewxBMo0SVqVod26+Z1f+Z9r + bqpLqj/qk/mpAAAAAAAAAAAA8MwMw9CDfs2stVTa3/7bf+cHfuCfP+r9ZGZPnWyt7UMo53l+8kcM + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAACuGQJrAdyDSGZcV6GeG2Hes6Y9ZelFY2mrT37zp7/tzZ8+ + xcH2dpsmSRoH3bp1nEP9xd/4hVxHLS0853ljSlOTIk0pDytpSovHzZyIa1Pv98DrW/p6PBzLYN5a + W3Jxa6tsFk1tiWwaZOPgZSo2epG5go87l0t/J3rA29G5pUsTaw0AAAAAAABcG7uk6QjzZiqZY4RS + KTVTmCxVok8kPM7du6JEmiIsm2spWorCMjw0aBX50oePdbtqXporI1Se9A8IAAAAAAAAAACAR5Wu + vGtVeVrsT7uLLHYn6WS7kds0TbVWd/+2b/u27/u+78uU5I+0On0cx34PklprkpZl6UHXAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAPD/s3fvsZZ0aX3ff8+zVtU+l37fdy4MM4AxBGObgRkgBtsTKyTCEgqW + 8mci4diOgsiMJRuikCiREoVEyI7yRxInSmRFiWMlkSJAloUMthOHyDYGBTsgAsMdZmyDE/DA3N63 + u89l76r1PPlj7b179+nL+3b3Pn3O6fP96Kh6d5191qqqXbWqdl3WDwBeHOHWAHZlkm2NWybdY/Do + sRCzbJZN4ZEWc7RhODwe3v0HP/xNfjYuNOYslxYyzbKx/Nqvf+J3Tj+XRzU1KBclSgmXtfDlVJdT + abOVpprsavfHM/L8tCyXdc4jGw/r0Z2Ddx/U10Yv1ReeHq3FamrLZSzPYl7mPF31JONVQKw1AAAA + AAAAcJvkdtDjq0NSmMKUkkuWMj1/snX/252q1i/PVufHw/BFw6GWoTqEworEJQYAAAAAAAAAAICb + 5vDgOMNWq/n4+LX/4j//L7/v+35gtZpreeY46mmatHn+090jYjsSAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAADg+WzjNcm0BrArM3sPJ9v/XuHEAC+LS7Wn1oaFrMma1KRsygyP8/pVX/p1v/s9X3mUr2tS + pnLOcShzmd9sn/vpT/5UHk7nWtVaLQbLIiltDp/D5+ZqRh7uPplUQqWphCuszTIbplUuz5uZqciK + atVYh7GO43CwODi66knGM7uGex82YwAAAAAAAOA2sZBk6Z4mSZZS9JHbN3impZ735GFYpkslVUMl + 1tnVmTLFyeffVGuKKK2txwIAAAAAAAAAAOAaS4u0SGn7s1qtShky8y/9pb/03/0P/+P5NEua27z5 + C39wman/wRMMw+DurbVSyjzP/Vb7YRgud34AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3Eq9hxMy + 73GrWBTLEiZZk2bZLJvDogxltYzj8p7jePc3ffhbyunhQT1Q02pWVj/3++cH9376H/745+ffmspp + WniMpS1KFEvfxGPH21ePZ5Jey4H7YWqY0yeFj4PVoS7GlqumlVzyqixzK2dL3T+fkkjim+laRbWw + DgEAAAAAAAC3hkUPmfZ0Sw+PZj3ZWtrET1/MFshnO4WYpjRZynITWZCSdDCUnKf3vf8LtFwqWvGi + FttaAQAAAAAAAAAAcFPUOkr+gz/41/78n/vP+hg3d3vm+9KnaYqIxWKxXC63z3rN8/z0vwIAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAACAZ5WZea1yRIHLZylPV7oUaSGLkNIyLUKSfGGHw+roq7/4w19y + 58uG+TBDXuqkaeUx15NP3/uNT/7WL+Zw1nIpybJ6Vs+eRhGWKmxS+za1OXJWca+uonHhRTaEjzbU + NM2y2b3V0oqK12G86unFs3nsbmjbAddVIdYaAAAAAAAAuC1SauonBYvSm2kuMZVophIqoTC1fsrw + uc5bhq1/0qQHedm97pwzfvvzn9XxQmZKhVJWXnSWAAAAAAAAAAAA8GIs3fLhu8otHvsTFmFKK3/t + h//69/65Pz+nMjXUwb1GKqVnfcbEzM7Oztxdkru31mqte5sxAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AABwW/WAwCuPCQRwrWzzRGkccHtYyrcdA6VrEyrRcnb3+aTd0WtH7Y0/8uF/cZiPixZWNJt8kEzy + 5c//4k+u5nvhkyx6aSVVQzU0hErYxc6L8ALSInxuPqVNkedz3DdNZYrFVOrSh7M6rsbFdHgwL2p4 + scwyS3HVU40bj26/AAAAAAAAgFskJZk381RJm2QZPcI65almkkL27JkDD1Vh/aJcPHw9zlxHrx2p + zcomd5OH0p8vQBsAAAAAAAAAAABX5Md+7Me+53u+5+xsklS8TPMkeS11avOzFtVaM7PMjAh3L6X0 + F5cw1QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4HYhthbArszcxloDt4iFLGSZJkuXXGlpMsuMFrMv + aj2y42/8qo/8yMf/t5M4OZ3vFldtGlsZ5sVv/MpvnP6B0zeOsvls6VJYqqgHTdR1+difUkqmIlqm + DofhA3c+8Pvf9+F4bdnSqnlVWtbzU/viO196YGOsJqO/ppvmGu6JiLUGAAAAAADATbU93WZm0zQN + w3C103NjmJrXk5ZDtVp9Ds3nUYtJ8nxw0v85zmV6SunhEWbNlHKTSoakyJSUy0leNJZzpcuKLEWu + NXCDmVmPG3l0/PbyfGZy+w4AAMCrpx/s9ai5iDC35/kaCQAAAOB6a62N43i2XB4dHZ2fr8yslPIz + P/Pxj33sT8fmmlKLZrI0e9tM637q2N0jQlIpZZ7n7a+2Z5LJtMY7l5mmF31csCep9+E8z7XW1lop + 5cIFjsxcrVaLxeKFpxoA8EJ6sz+O43K57GN6M769ct1fR8SjjTkAAAAAAAAAAAAAAAAAAAAAAAAA + AHj1ZfanDm3zECLdGADoMnMYhuVy6a6IlNLM6Ebxbe3mQWjd5fiVThCeR1snT6cri9STrZWa3Epx + jTa0XOikfMtH/uXv+7v/03i89DGHe368euNDH/jD3/RH/9j7Xv9Sm4fmTTaVDIuqdKkoFmmhnEi2 + 3h/PcM8ii6JFrPwwhn/jW/6duaxSVes8kUj5OC+G80VVbQqashvE3Td7ogdjIuJqY1uItQYAAAAA + AMCN1LsUXy6XvevwYRgys7VWK6e8nsJTs1r7rGJ67ajdWw5zWyzqcDjkag6TpBcMIjPJw5tLUpop + pXRXWN0kE1jKFDJJ06odjeWFZwoAAAAAAAAAAAD7N45jz/E9PT1398Xi8Kd+6qc++m/+6QhFPMNj + JGbm7q21bab1YrE4PT29tAkHnpm7r1arcRzneS6lSDKzaZqGYehDMyPTGgCuAzMzs+VyOY5ja621 + tn34ebFYZKa7SyqlnJ2dHR4eXvX0AgAAAAAAAAAAAAAAAAAAAAAAAAAAALhGtiHN69ckNOOVZ7HN + nLZ0qSdSyyy8lJibqyxXZ8t5et8HPvD7hq/5wMGXfXqZWs0fev+Hv/nrv/WDH/iGPDmc7quOnmrN + QpJ5eEhSmNJc5hKx1vsUJpeUXrJoXtjZu5qHciHJM8JbWpRQbWbJkr+R8ppFkZPxAwAAAAAAgBup + 1jpNU++R9uTk5M6dO2ZGpvXTmTSkaRi/9Uf/9s/94T/05QfDsev8ZCqu8GJSyeaSpdLW5/79GU9o + 7r7dwyV5NpPU5nE40MKl0HJl47FLlUxrAAAAAAAAAACA68HSJaU9eErh/unp66+/fv/+aa1VVn71 + 1z75Z7/z3/rcm/ftwj3xpsz2lJIzsz/QFRHu7u53797tCZTAlXP3aZpKKeM4RkS/4jzPs5kNwyBp + m2wt6eTk5Pj4+IqnGABuvcwcx3G1WknqL8zM3ZfLpZnN89zvKTo8POyvr3p6AQAAAAAAAAAAAAAA + AAAAAAAAAAAAAFwLmdt+UIBbJC3C5L1roXRPkxRyRbNMlVZrLemrs3jP8IFv/tAf+yef++SHPvjV + H/qiDx8s7+SnFwflzvFisWqr8HCpmSxLmodl2izJFM8aaYEni+YhRSot5Wku1VCNoixKl0VGDVu5 + Mr0pUzLJr3qy8U5dz/0QfXMAAAAAAADgpuqn21prd+7cyczz8/ODgwN6wH+alMLUTEXTu19rn1lq + bi5VH5aWqSwp2zmHmfZwTvU7ECZJliqp1La0lCwzT09P5FIdx15wP8sNAAAAAAAAAACA6+f111+/ + e/f+4eHhPMdnPvPZP/Wn/vXPfvZzyme9fCQzq7X27MmIaK1pc6UPuHLbyOrWWilluVy6+3aMmfV8 + 69PT06OjIzKtAeCa2B5ITNO0WCzmeY4Id5dUa42IYRgigkxrAAAAAAAAAAAAAAAAAAAAAAAAAAAA + ALv6o8pmRt8nuCVykx8hydOlkLkkl9oUw1jb3Lz4eHz41r23NJR//qu+ORfflKsY3lzU+WhhR2p1 + jiaFW5Vc6ZJCnhbNJUWNq5u9V06Y0iItU1EyIuXpLle6hSRleni4qmwOkyxS5Zn7hAIeRvccAAAA + AAAAuJGmaRrHUVLvgnae58PDw6ueqJvAXEPV0eJzll+4nF6fNZqlpHTZ+pR/Pm/UdJiahaSS8vDN + uJA0tTw6XLxxeKi5qaUvJw1DSKSQAwAAAAAAAAAAXAt28emQk5OzxWIxtzw9W/7xP/6vffp3Ptva + 9vEFl0LrZ7Te5pmGzJznudY6z/P2tXGVCNfDbqa1pMVisf1VH7NarcZxPDo6Wi6XwzD0zFQAwFWZ + 51lSZpZSWmuZuVwu+696uy3J3adp6i08AAAAAAAAAAAAAAAAAAAAAAAAAAAAAHSZGRGZdI+P26Vn + T1h6SlJIYemSW9ZaFqfz/eX52eJwPDw4yNnGaTy5e3pwcDTYIjPmNtcapVqGRZhUpHUIhSxkzTN2 + RmIPUjUULptNZmEZnjKZyqT0VJEUKkpPnyVZsvTxoliFAAAAAAAAcCMNw5CZrTVJrbVhGFarVe+7 + Fk/TUuZaTXl4MNw5LmOR2TzPJtlO4kCsry48ZyWePflgE35gGhY6ffPua6eTllILqyVDhYt2AAAA + AAAAAAAA15W7D8NiuZz+xJ/4k7/x678ZIUnjeCC5bbxtprUkM4uIeZ572GSt9Z38FfAy9ZUzNlpr + /dJza20cxz6m1kqmNQBcuX4gcXZ2du/evdyxXC6naTo/P+9vMzNJEfHUwgAAAAAAAAAAAAAAAAAA + AAAAAAAAAADcLttuT4xoa9we6f3HpJ5F3YMkFnVcnc/yosFOpzN3v1OOdDfe5W+UszKftXSzA19q + Nc0nbm0IDaESXkKutFTJMAUdsuyRpzyjpCzV08fTXCopT4u0CMvYRJQrWfbYD9YkAAAAAAAA3FRm + 5u4R0TsZH8ex1nrVE3W9mVRN5jo8PjldLts0tdYiqpXavDb3VNo601o9nfpZeGqILJlShqm5mmda + pulkqffcOX7v55d/+1/4VrWQNXfRezAAAAAAAAAAAMC1dXBwcPfu3Y9+9KO/+Iu/WoplZinDarWS + lJlpSj3uYpI9/CMNw9B/M8/zcrmU1IfAdbBNPM1M3yil1FqnaeqPIGZmKaWUQjwqAFwHrbVa6+Hh + 4TzP/aHx1Wo1juM8zwcHB621zKy1zvPsznNzAAAAAAAAAAAAAAAAAAAAAAAAAAAAANYysz+hTKY1 + bpUwD3OXPGVqsllqLln6PEWW6oshLKZp0jKObFGmueSUwzTVaVlXcdCyZuTStarRai6LppLzEDE2 + r63aM0Za4ClMMUZbtDY21TCLYjEoFpaDskpyhSuK2pCzaTa1Z40UwdXK3O6LrhG65wAAAAAAAMCN + tD3T1pOt53mWZE92pRN7XaQ0STJpbovitYxyT3Nzd82uJln096VcIa1HvHOWD8Kww1JSmMJ0+Jru + vnXyBbIvMNNqKbepNS97nT0AAAAAwEuy/ap4ze6CAQAAAPB8LC5eEkpX+r17J//hf/Af/YN/8NND + Lf2288x8cN3t4fviH1yOy4vDbRK2pHEct0Pg+oiIflnZ3bfDo6OjYRjMrNYqablcEo8KANdBb417 + drWk1lo/tCilZGYppd9HVGuNeLabXgAAAAAAAAAAAAAAAAAAAAAAAAAAAIBLYv0n1x0XhEXvvz0s + wiLMQzXMgw71AVyO2A76fy2Wq9XBwYFFrs5Wh+PhOI6refLBrMiGTM85V6s2zdFy2z2RzVJIYRmS + LMzTiMTdO0v1sHBP97yweMMU/VPw3sHTM+aJAI+qVz0BAAAAAAAAwPPoPeb3obvTgfg7EVKYpCa1 + o6mpzSk385bhPktqKiErar4+VR3PGlCW68iC9JRS/RpDM8VSx4OVOI12XwufFXUYU+LyKAAAAABc + V/22pJ2v2/1uJct1dJ2pJ36tf8ttTHiF2A1PbM9LPuFyzZaPS9LFWy3x8lz2+oa3w/73RuF46elo + zPfsnSzPnSdMItzd3Od5dq/zPC8Oj09PT//j7/nev/W3/k+lzEprK3ePnL2UnEPaBFdv9G8K7p6Z + m/TrTKmU0lrLzHme+0W9zOzDB18ogKvTLzRv18n+pbcP53neXUuJYweAa2L3liEzK6Xsjpe0HcPd + RAAAAAAAAAAAAAAAAAAAAAAAAAAA3EKbvtLk7tY7VaB/A1w1k0qEpYd5M4XNabnu/cMmZVUWqUqz + pFj3VPP4/mrWD9Cma9vHe7qkpH+bt+PuKZvn2V0RIbnZNetW7brqPXKUUjKzuKfaVU8Rno1nSEpT + yFNSljQp5WPOee6KAxu0VKTKQTnNVXqEmacGlSpTz60wze6ePe1C69bIpIsdEeGFpLxZyKT+YUlS + yPpCjs17+qfgUnjSf9cN4+7TNEkyW3fbFXH1e3DWIQAAAAAAAOC2CUXWDF+f6l+fJAxTmNJcWey5 + zz73C5n9WsTDTDJFyRVRKwAAAADwCuErHvDc/LKGecnDy5vy5xwCAIAXVatHzP31MAy1jtM0/4W/ + 8F/98A//jXmOUspqtVJPiDR7yh3wPULSzPqTSJlaLBY901pSrdXdt39OpjUAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAABujzQPs1BJU64TSd1SJlm6pVumKXz9g0vRO0IBbqeUlL7+kdKi5yKX8BLu6c1i + 9mjrEGXt/krpKfVfXfjBfqU8H2Rad3Gh99fc/TSBF1avegIAAAAAAAAAvCQuFUnhSlN6CZWQZajn + W+9kB+RD/3sGYQ/ShHJTRIlNgHbK0xVe02RcZgAAAACAVwh3MuEV8rJOWVxm2HNe4jCvRZT1dhiS + ZE8M1wSAa4TjJbxUz7hzdGsZOc/jOJ6enpYy/Nf/zX/7l//y/5wpM7l7a816oPVTn8tqrUkyM3eX + VGtdLpeZOc9zRIzj2ForpbTW3J1YawAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAANwGKTWrUmmqqVBW + U1gMnjILz95ZyCyfpWYZaR4y19t0WdP7AaELj2eS+SDYOjNZfACAlymf2ovXVaGPPAAAAAAAAOC2 + sB5rnZJqmFu6pyxlKUm+PoEZ/R1pD3Kpn0mY0jJM/cez/5hvEzvy5cVDAQAAAAAuGXeeAM/Br0Ea + 9Cs2BAAAL2SapqOjo8ycpnZwcPT93//9f/Ev/veZGsfqbtM0Saq1rlOr/Yn731LKYrHIzB6D3TOt + +9+O4zhNUymlv81sXSwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMAemZkks/UL4DoIU3PNnmkhC5Ms + vUTtPx7VU57hGZYhix5zjb3L3VBrAACuQt8TXavdUb3qCQAAAAAAAADw8lhK6TIPFUlSPJz9E5bl + xSoIrZOtldL2on3JfgnUJVe6ksAhAAAAALgR+v2sb/clLt34oodXSN7wxxDsku9Ou+nLB3ilGE+e + 3CgcL+E6Sz87XY7jwcnJyV//m//7f/K9/2l/MHO1mm1ztWcdbl3qPM9PKqa11qOv79y5c//+/f4c + l5ll5vn5+cHBgaR5nmutq9VqHMeXMGcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOA2I9wa10FaNJ/S + ZFFKylMWtYRbuitkofSwSKl55KYv96d08Zc7vQ8lPRG9Y5kEWwMAcBF95AEAAAAAAAC3RkopyWVl + cn80gsh3LqSFKV7kavu6dJesZyn1wkOS7eRdAwAAAAAAAAAA4KodHh4ul8uf+Imf+O7v/vczNY5D + f/7KzIZhqLW+k0JqrcMwSOqZ1n1kRJjZ4eGhmd2/f7+UIp75BAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAl4/+DXBN9H7aTVHUTE1qsrn5HD7PJZpl8ylKa54pD5VQIWLykkSEJDOjfQAAoHtHXYwBAAAA + AAAAeHWYVOt5Le1x18tc+Zix75inwpSmkGwnJ9tSKVNPu3aFE2wNAAAAAK8Wi6ueAgAbnHYBAADd + k47Sc/ehNZfkQ33z3v2f+/gvfPe/++9JGkdfLiczmZfWWpselDPPs7v3p7MeNc/zuobM1lprbRxH + M5vnuZRiZnfu3JG0XC4Xi8U8z+8wLRsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4uTx9bJJKyZQi + LNLU6lkzyZqkEr7uPyyHVLU0KaWHuvjoPYb0UZYuKXvvIn2YxGC/vczMfKF++AEAeBHXczfEMQQA + AAAAAABwa1jPmjYNfl7q7JJifQkyN7/PB1nUL8JTnrJUmJqb5JaSFCZ5THpC3AEAAAAAAAAAAABe + roj4tV/95Mc+9rH795eS5nl9Gae1ZmalFEnu7u6SzOxJ5fQ39JvmI2Icxx6AXWs1s2ma+m/HccxM + Mq0BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwW2S1qBbFo5Solp6W6dk8mkcr8zqjWpIsn9i9BwAA + uNmuYbI13YEBAAAAAAAAt0hIXk3V71bNUko21HlaVpkyZSl70bxpzx6ULQ+FaXZJKlY0xzKW4zhK + kVJKqTRxaRS4qVprtdZ5niWVUiIiM4dhmKZpezlkmqZhGCRFRI8zAQAAwE134d6XzHQzM1O2C29w + dzNrrV329FifAGn7QlIPz9t7Xf3FbkXXipnlxnbMvgp/dPZf5o1Ql1TjbrEPFe6X+/le9sI02W6B + 27WiB1LupYLHj37cvPTVcj/17hS4u5673fhb4C5sqo9ZJ1+48N3SXk4LdqEhuow1QQ/PV18rXs75 + h0fX832V7O67q/e2ridVcWE5XEb7/7a2U7jHT3k7/dvN4XrueZ/DtuXfHquY2b6OW/qC2g0V1iVs + fU+fgN3X+9oeL6wPervtYl92V7xLXc91qYdV6ZJ2L/24ez9bm5l91TPzzPylX/rlj370Yycnq/62 + CJl7REieqX5Uv11X+3/7AX9mRkQppQdg9xPF8zy7+zAMfU3YLsx+uviV2aIBAMAV2h6hbY9ttscY + rbV+DoSL1AAAAAAAAAAAAAAAAAAAAAAAAAAA3E79OUR30/rBQ9P1yw7EbROmyBzqqDkUOVp56/79 + 19/3rnvn94fxcF4t1eZS3MymmNOiAgoBDwAAIABJREFU1LHNk2W6u7tbekQo3cxcTdJunzXrPuGv + ZMZulN6B9vn5eSnWWkppZrQO70RvV0spZhbRvBjNKgA8H3dfLpf99cvsJu7pbnyfngAAAAAAAADe + oZTC5POsYfiOv/k3PvENX38WWmiqw5jzLIVnSmlpafJUPG+sgKe0SThq5lK01qRYHL1rWp4pwhUt + VsXHfc0agJevlNIvdSwWi+VyOQxDa22apu2Y/h5Jy+VysVhc7dQCAABgj9zdXbIopWTYaprdVcuD + bOl+oBgR22DFS52YbcBtj9Drtde6n1tidhNxtrN2fe772eohghdof/HeF0Ic95u5+xS7C3w3v3xf + Vffl1svsuYyS5HuLF30ntgtzj/Gfu1Gp2/nq28iLF55PPl/Ut8FHx+8rTvvC56VNKGabr9f2+Kx6 + a6mdsNs+d/tdD3e3oG6e5z2W/6gLwbrb9nlfUaY9UfVCo6d9L7dHbRfjJZW//Vy283VhSV6Q8SCt + dndp9OXzMvVGZl9L5rHzu8f456fHhF+e1mK7uu5uEfuqd7dkbQ5jLgSlX5JHN0btb3u8UM5273bZ + 8/XYz2WPlc7zfKGK3b3Anu1UNE1TrTUiWmsHB0er1WqxWHziE5/4M3/mz37uc3eHwVpLM2vt7Y8c + dt/QWhuGYZqm3aPx3X3c/mcKAADcVq21zOwPPEty92mahmHo5yVKKdsTEdtzSlc6vQAAAAAAAAAA + AAAAAAAAAAAAAAAAAIDSpGqTWmttCJeVsR6cnZw2TW05HxwshhznabKmg7qYlefLsztHx21azvPU + mruZ0t16vytXPTM32bbrwqueEAAArhFirQEAAAAAAIBbJCQdHOjkrhYLP1z45081lNVqKrbOSTBJ + yk0m9bOXb5tM65SkNKUkU5pM0rQcjwZN86iYQuYhXW68GYDL01rrSUuttVJKTxdz94iY53kcx+34 + xWLRX1/1JAMAAOC59by6dYhsRERIpsxcLBaTolZvMeU2ry43Sb1KXfItm63Fg1RIk5ey3zjPVNpO + jnKuv+Y+9/fmy1KGqn6brBQZ2/tlh2HYS/nzTpzwg7EPR1dehlpK7ogMSRl7iyMqQ93eVRyZ2VOZ + m8zsUnNxt9P/4IW77S/+s5RN3LuytVmbW6j3FfeuTaNwQZ+LR7U9tQNWiqTI1ObD2szXuMdTTNtV + 4qWlXvlm+42dlV37iwN393xI9Or2tT74Ez7eiP6LB01HX0H2dSt/rXX7YEBEbp8U2ON6/liXHZs9 + DIvtfPV/+8r+hBUyzEzpmz/pb8u+vC91Ovt6pc3+dz212lv7vBvPvF5nem172gFnPCEmfC+lP1kp + 6/1yZpr1WbM9tjcXQqy3rfJlt2ettcxtLdu1N5+9HXv89rVtx9YV7K4Yl2n3g9muk3uMRdw9Ttud + u/21M70dCEnaObQ5Ojo6OTlR+uHh8enp+WKx+OVf+pXv+I7v+M3f/O1SfTWFpGGoillpjy9NknRw + cHB+ft4/nb5Meqb1PM8RsXt+uMeQ72mmAAAAHvq+PM9zrdXdJfVL1X18PxTZntMDAAAAAAAAAAAA + AAAAAAAAAAAAAAC3WWbS8QGugTiP88FLrYM3k3RwOHzm/Hfe+MI37t47OZvmaKVEsTYqipccq87O + TsxlxYoXT4+IFq1FoyuPF7T7DHJmXrd+BQEAePmItQYAAAAAAABulzmyDqNMp8vzYVBrk5WqSKWb + PQj9iue9kBYm31ymt5QplGrK0Xw1nRXdUbimGErZzUUDcOOUUuZ5LqWUUnpKTb8e7+7jOGrTn3hP + PSTTGgAA4JXRU+tq9RYtIlerVawDpE1apyZmKnMbYnr5X/pM26TDNmcPU9xXvb4J6l6Hi66TnK9d + Is42h1d9mjdf6p8U2/ms3OpurPU2vPCy413nB+Wbmfd4zv4tYy/lT6vZHnCz9YocdrlnK1prZil5 + ZkguhVnZDvuYFxmaiqyZiixMxTyVnmrRJAulv+AwnlBvhB5MgxUpMm2P87Ut3yzda3+dmfs6uXRh + u95jcOnTtfbgjNx6Rd/r9tXL7yVrJ6X1srffXRGxrXdf7efD2b2+bZ0ue756e3F55V9YH7YZbE9Y + bsV9va6+5B1TbKLlt23oNjh9L+XvxlpvZeZlH1dc9lZ/IZj50dd7Kf/C56LL394vxMnvPXZ6exCy + W+ZLWO37ctsuz+34fdV7YXvX88eBP4lvhiH5Ntn6rbfeev21d52fr1arVSnl3t373/md3/n//dNP + lbKezVp9miZJZq4nz+/5+bk2S6kfnvUxfX2YpqnndvfZ2f4XAADgxfWjpn4cUmvtF6P7sU0pZffA + IyJexslJAAAAAAAAAAAAAAAAAAAAAAAAAABwLRH9i2vFUoN5TRtMZnky3TtZ3f/xX/iRd/2ud33w + Qx+OVc7LehR3DuNQrZhayjQU7z2RRE5tsrDiXnzY7bcEz2qvXSUBAPDMrudOiFhrAAAAAAAA4BZx + aYpW66gyzBlmmqdcVCldevhKZPa+fZ8h7iJMuQ5I2FSXqqG0XBwdnt47PbRSpqbz1FnoyMKaGz0I + AzdYTyjZZlNlZmutj+w3N7h77yic2BIAAIAbyXpC9EPcPSKmqfUwaTM7ODhorUUPvev3x5i2YYrz + PF/qNG6CBt18nTe4jm/c6206PdC6z5WX4r6O+rs+dm+PtZ0x+4pFdPdeemzvxM0eH76v2MXHeyiG + c1PpY9bL5+XD+KDsJ9V7CUoZNpHMLdO2n557D9Z+0Z95nS6fUpql1rOTZkWS+tmYFxnq8UMzl0xy + M5e8JylnNrPSx7/w0CTL7Bt6f61M1XqJ55deQjt24dGXx6bevriHWomX8rDNNub2QtrxfmvfDfHN + dbt0uecbtzHhl1S+u18IPN6mRz/2/dPUtom/L/Mxqj6dO5NqZjLb23rbP9A+Q7uztq/t8cnL6iXF + Wm+n4bEB3i9ou8R2N5A9lv+oC485PTaF+kVM07Sdqe28vIR7snt7cqH52uPC3J2Fbdu112ee8qEX + aT3ZeqiLnu9YyvDWm3e/7du+7ROf/MfRK183cUWbhMinHxQtFovlcllr7dvmYrHo54rPz88PDg4k + zfNca12tVuM47mmmAAAAZGbuXms1s2ma+unKcRwjYp7nfjRVSsnM/iuSrQEAAAAAAAAAAAAAAAAA + AAAAAAAAuLXWvaIA14CnH+swl7OaVKMdTp9bfepHPvlD82+fzT/rf+CD3/iR3/eRLz74srOT+aDd + qcVkObVVZBSZpbsVFcvUHJOJ52dfCLHWAABcQKw1AAAAAAAAcLscDFUpnZ4tFot4azm4IsJ1MYYq + TVonLD1rDZtk6zRZllRK9++dLgY3Hw9S/+s3/0t/8id+TAcHVrlwB9xgPZJkuVwuFgtJ/UXvE3wb + W9JfkGkNAADwKiml9HC7WvXpT3/6Z3/2Z48OxvPzc3m/BaVHYfe7uN3dXnvt9T7mkoYR6W61DrWW + UmqvV8o+3GNdmdEjrUup7rZYHFzqfD3r0MxkmSmzHjWdmcrs6d57KH9axWbZap0kbu5urcWlzpf7 + 8KTPYi/lZ2i7rB6ut17qfLU5vJjJ3S3D5K5084y2rv8Fh6bS1wuZmYq5K10WGbaX8p80zOg1eipM + 2zG+ma8XHZo8lUqXZZ+vDAvNe4y5fWx26WXHX/VGdTeodb/xzI/ED+85RteeMH61WvWWYltXbzv2 + Ve+jUdkXAmgvyWMzpPcYG7xNkL1Q4JPKL+WR87qbpb2X6XmSbS1vG7z9fHppfWlss5kz87JPMT09 + wffF9c9rN70+InrY3l7K334Qu3HyLyHvfDeOfVvvc83XE9fzl5lmvZWbg54+Uz2weY/t2G5u9DYa + PCJq3det3b19CKmsr9qYSTo+PnrzzTcPD187Ozv79m//9n/0j359qMNynoZxzMxpmqZpkrzWen5+ + /vQKlstln+ZSyjzPEdFaG4bh8PBQ0v3794+Pj/VSVkIAAHCr9GOneZ7NrNbaL1uvVitJ7t5a6wfe + ZrZ9DQAAAAAAAAAAAAAAAAAAAAAAAAAAbht6O8B11BQhl1sxLTzqfLf+9kl5048O/o9f+qH/62f+ + zu9/z9d85Pf80a/5sq87Phrn87izeLfaEHNapEnFPOeYpxjKeNVzcoP1nmT66z12JgMAwDt3Dfc+ + xFoDAAAAAAAAt4X1jOqUMnTnOKY5mkr1Uoa2ikcTI8JUnvGUZpik9V/1YGxPhXRw4K3F2fJsbvYl + 7ztWG/TWXXvfu3pw9h7mDcBL1+NVFotFa83MFovFarUax1HSwcFB7yK8vxiGgWRrAACAV8Y0TWZW + is1zfN/3/cD3f/8PZJOk6F8DdwJo3STTvuJsnzI0l2n9un/lvdQa3eRF83Tp8/Vcob+qgw11UQc3 + FVn0MOO9DM2zl2kq5ll8KNVqGfdby4Vhr6uWsVTrNfYxbc491uJWvWg7R176CY5+yuJShsMwbCPS + ++fXY8L3FUMekpTupRTfDX3fV/mWjx9/fHynx5/bZpvsNfao9Revd1tarWUYxlrLJuD8UmzTi4+O + ji6piq6U4u6llFJKrdXde2zqvuK6tzmsvZZaa69xXyGjTzq1N45jn6ltrm2vdF+xwdv56jO1na/L + vkfz+PjYNvqYvde4/aT6TPX24UnLzWwd5r3NMy7Fe3jbfqfqgmmaNyuSpP6Qhu11+a+37r7qbnOF + W9vP+vOk6bzsGPvt57Jdi/abPH0hhrlvID3Yby/lP0lfP3dnapsD/awlPXbsowHq27XiRSf9qVpr + fXuU1API9xtrHRuS3L2vfntsJzfLc3coSefn54vFYp7n7/qu7/rZj/9CcWvRahlXq5X60i3FpPPz + c8kX42K5Onts6aWUfhK4tTbPcz8D7O7zPPck8jt37khaLpe9uv3FdQMAgNuuH2xIysz+3Xnzbahs + X/cDFTKtAQAAAAAAAAAAAAAAAAAAAAAAAAC4zfbVpwewF2FaljlNlnXKOF/FSrOGtIO4m2/5oZaL + 1a+e/vSv/f1fPvjJg3/mKz7w4S//hq9/7ze/Hu+rdZAsW0upWhnHMS+3O5lX3zUMEwUA3B7XczdE + H2EAAAAAAADALeKmNqtU1xRzKeOBstlyeT5a7TEhaQozpUvhz35K0zMkV/Y/7X+fklarqEM5GO1w + GPPeXa3O9QWvpdzItAZurN4VeE8l6fkr4zhGRE8uqbVmZmut1rqNuwYAAMAN87hvhT2xcp7DTGZq + TWZyV7b1+2399VKRD5dzacOMR6Y0tb6TfK/D7XytowYveb6eaeiuCKU0rXKezu1ce8tDfAIzleLz + fMnVbOrqH2im9nv/1Sbp+WJd+TJmS+7rCdj/h2XaU4D1EwLU3+5T2D7KcXmf13aVuFQvp5ZtXX0G + 916d+8Vie137XfGeFORetA6Rb/uOiK+mJlleZgT9U4dp8lTYehqKFJsxLzLczlEv/5mW2+DyoYyl + Wi1FtpfpedJwLLWMw6IOKq4WTVlk6WaReylfLcJUZD7Ug2H0oVbzPU7/k5bPG3deu9TlZhlpNpRS + hmExDFZK/+wscy9rpiLkXsxapmWm2WIYel2XukUUM7mPtXqtQylp1ueomD1jafH45RbZh+k2eCnj + MJaq4i9tPe/r9pxRZH2d31ctTekpH+qiDmUcBi97247kLoXMlReW7btee72l/eBf/at/50d/3KSW + 5mZzm0spLZqkbSR5rf6kTGttYtpba/39wzD05O8eX91TrjNzHMfMJNP6VihVi8XnF4tVefjan4W2 + 3xPXXsrRNgDgldYPPEoprTUzm6bJzPrxyfYN/UW/fn1FkwkAAAAAAAAAAAAAAAAAAAAAAAAAAHCz + hfV+QcKlTUdUUnpsupnyTe9zni5F2PZNF+12rfTQn1so10+DmrR9vWYP+ijI7Zj0sPDNcLeEHX3M + 9eriIORN6cq08DFLmlVftkmDomrVVqnJ7yzPIj732//wl3/r539s+r8//CXf+KEPft3vev+XHtix + LT3majFWd4u66bvG02J3/mPnA9jtZP7CYrdU3sr+4a9nmChw22wbqsd2eio9psPDtLDHv1+SwsIf + avTX7dtjszZ2+1bcKWG9L3vK1D6YmCdMBnBz0U0YAAAAAAAAbqTeIX4PUt12ke/ucdmpTTdelOqS + tDicvC5TJdvospwlpSzSZy8y1Wyufl78sVeBH2Nzar7HuEjWpJQpTcUVEZNKWKvDuepKujNpMbzz + 0gFcM6UUSYvFQtK2K/D+ojfL2wgTMq0BAABeFeu0xC5Tc1u/iAeRMS8p//VtXZPJeGl2T4dcRizu + ozL1cjKtdZlz9GixL2fpde3ylt8lh8q/7RJ6CZ/Xy/mYXmZLknlZN6g+uqZdRl0h5eOGTcpY37b7 + pPc833DOBzcE77fkdzpMpZS5noa2M+ZFhnah/GeZqimUy7ZUe67AeoYMGd7WYca6xV4f0kdujizn + edVfuLu7z/MsqdbaX5hZZvZM6355bjcwchiG/p49729wnQ2j3nj9X/17f+9XPvS1lieWMrP+QKNn + ekpZwiSL3Gu1ZqbNipbKB/953tKefq2ZtRoArpWeY92fG98+Pb57wTozybQGAAAAAAAAAAAAAAAA + AAAAAAAAAOB2ylQpZZ7noZSImafFn0OYh3mYSrbQXDIkT9WwyE30YZhKqKRKuKRVTjZYkWWmmfUu + KVpr7p5uaZLU0tJkKrJobS6SKSTPTEv39P7sf/9zKdKU2TIzLEKZJinSMhRp2bJJWUxSz0DtvaT7 + g1RUi7TQ4wOuXetA7nV+atrT+hx4YZ5LPxjH6ex08brOdG/W/SIfss4xLxaaz6RMr+FeTmUnB2f/ + +Ozj//TTn/i7n/qh9x2+/8Nf/o1/8Pf+c198/LvtbFXijmXk5CZ5kbk3ZWa21sbF4myavRYVtdU0 + uGVr7h7yNKXcFKEo2bNb41YlW2emSaWUaZrclbleUffbFcarqvc2Q1uKvbCdtOl40HXMtjlyS3n2 + jdMtldabfa1Dpy20abG3rXZ/w0Obc7rWYdXSpp3vf+sZfXyvPU2hmlLIt73XeEaf1Aevcz3xKTV/ + bF428I6Y2Wq1kuSuiNSmjb3aqSLWGgAAAAAAADfSMAy9r/xa62q1GseRTOt3IJSSRaS7XFnWo7eX + gdLTSqpKsyR7BzFFF1jm9sz8zkiZTHKZSSGbZD1Fe5uADQAAAAAAAOCmSoYMGTJkeMOHTxEREVFK + cfdpmu7cuXP//v3MPD8/l9QfaSuFqz23XbprXNjBwcpNkqVLJls/7NOvOXr2i5KpFwufBgAAAAAA + AAAAAAAAAAAAAAAAAAAAAADgnSCB9cXEJm3UPGsP9QzJbR2HnCal0pRS2CypDmXOWLVZUjXfLv9M + i9j0dGFpKjKZmbmnpaVlRqalp5SydZ/moRYxzxmSvPaQ7Fm9s3NZzzqV6eHE6h57Ku32k54ui00Y + avaJeGRm/fHJ1/vjqaH6tDpfjGPMy1JLmV2n9Wh8LfXW8iSODvzkrTg+jPPVeatKTX44na3OR39z + ubr7qZ/7J3//4z/6le//4Fd/6dd+zVd83Wvju4+PXyutrFbZWnqamVWzNs/jOLaMs/PlWOrBME65 + ykyXWk8Ep9MHKfPKw0MBPKRvkX2n4xlpvTV3y5YmWcjWjfu2sbb0tPB0WYRkabJ14569nbPY7Ah8 + u0fYhFHvplKH0jf7lu2OYJ17vZkw12bvQuOBPbpWOyNirQEAAAAAAHAjTdM0DEOtNSLGcWytJReC + 3jFLKVVb1pByfSX4Yhj18+rn+iV5uqxJ8s1ZUZPK5uKx0sv2Ai8AAAAAAAAAAACun3EcV6tVa621 + tlgs7t+/Lyki+qODu4HWmcnznAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAK+GkrOle44lzNKlVizS + ZnmEpPQ0zaam2coki2nyWoc6Hkqap3nZsshKXcjKOg0003uocGRrIZfSwkyW6RGmZiHJakZESlaL + e8nUsrU2r8ZaJFm6pXsUk4osLFOTFD3OtPe+vsuk3CZbPybQurvcTGtJYXMZ2+A2nU+50mvvet/R + 2effG+//1Jvni3cdznZy9yTe84XHJ/dOD44X2VaxysHr3MLM2nC+0ulby8/8zmf+35+/+5PDzx39 + ng/83n/2K/7QV37xV73nzgfKdFDnxULjgez+vZM2tfHg6PXFGzHN53en4l5KpvUFup1NU0p2S3uI + 380yyEyCvoGXLKWQ9/a4R1mntg1UNEtJpmgKl9RjNGJQrlN3PddtV98TSepdIHlsxltk35ts06wf + VO560OJvs63DM/oExM7bmq/LX0+2uySlmdL6nwDP6xqm6hBrDQAAAAAAgBtp20u+u7fW+n/pLv/t + uCyUbpJSJbyEz0pZKh/EUb+49ZUAySULpclMSpXc/Lb/V1ytAwAAAAAAAAAAuL5Wq5WkWus8z8vl + 0swiot8TP89zrbVfp5umaRiGq55YAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA7EFP+7SUtyK50iWX + Jplbhts22TqaZ08DPT4+nqZYrVZKd/dSi6SUTXOT0ovMsliaMjNTrRWT99TR6N1Z9B4tqpWWGREW + rZRafRh9kA+ZTWGeriyW7qmUVKT09NjEWYdsPfHrxFOLbbL1FSzHLcvl8nQo49Hi9Zh18ul7X/IF + X/7Rf+Xf/is/+r/8wmf/n9fff3hazz735snBaOer5VEtGS1mjcNh2nzeljrU+Ead4uxTp6eD6tmb + dz/5k796HG988Iu+9iMf+iNf8t4vPz+zkzN793vfe7psZ9O9Rb0j83Ech+rT6kxSyWiWStt8mnoJ + Yd7Xipkp+8qXmXK36xcqCtwWzR9qf0zhqbBNMy71XOrZJLmlm8k3TdaDv0zXTsq1bWKt3RXqOwWT + 5BmxzsPwdeE74dZpMqlkKFOSryM2NpXYZtdivvkL9+2UAq8QYq0BAAAAAABwI7m7pIhw91JKxNtc + /SLu+jGyKkuzqcdMe0oWkknxYgsr1TOtbZNs3U/Pp2QK88lN5j1Nm1xrAAAAAAAAAACA66yU0loz + s8xsrfU0a0m11uVyuVgsImIYhn7Z7qonFgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgD7JX5RJHtWy + Z4tGM5ckM6kqw9STrUNKT4X+f/bu7UmS674T+/f3Oyczq7p7BoMbAQK830FKJCTZpMRdrUitKHGX + u/bueh1eR6zDDw4/OBx+s1/sv8EPfvElwhteRXBlh3attZbUSuJNoni/3wmQAAGQuBAAAQxmuqur + MvOc388Pv6qcnsGFmGv3TH8/gWhUZWdlnsyqOdl1TtXvm9V1tbcvrjk1qtkgkVNd4alNFePoo2NU + jKIVqCZmWauqmLsBKas3qilJa33tctNIJyY+FFtVACKiuQNgLgBMUKNKPRxqAM6veWHAugqGTYHW + ri+siG4Sj73qFTPUpc2dlbLqd7dnN9184tTzz5953c1v/y8/8t997bEv/ukX//VsJ3lTdxer7S1E + vDcSBh+L16qohtVQoMAMnvDUmafb+syp9uSXH3vsqz/596+57e57Xvur73/rByDDKmk3OzXYfr87 + bqet5WKYN43AFDUyW+FQT+tmHW7U9zUX5VN+aaIBEV1VJnCBi6m7OpKbrEMrDK4u6pAqTZVsIg6F + WJLRN/1VREpPvbZhk2+ttvltBGNjiszwdedvU5Q1AMc67jq5rZOu149Yh1k7ANRYR7yuHxibmnpR + oou3vsofMYy1JiIiIiIiIiKi65KZlVLatu37XlWbpnF3zhNflCppkOQy4vyhy8tIm15vaD1A7wDW + /4MrIDGsb7IZ7I/V+aQREREREREREREREREdPTnnWmutNe7Gp+FzzmYWEddd1x1qA4mIiIiIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIXg7rll8iVzEFAK0mm1jriA6FSgSRijtQIeqNAE1qk3gV + VAymtaoVKaOsPPuiP3Nm//mzu88s9s+uVnv92I82rsrgIm1qu262NTuxs33yxPZNW832bSdftaxJ + R8netKlptrJYhsHdI5raBA4xcYMD61ZGjikAF6sCcYPnTUzpOtlaHXZ4L4fsTam+vbOzv7dXC5q2 + 8UFOple9782/c9ddr/nDj/9v6ra13S6Gs6NaatTci48uSE2T1IvXsXqtyGpbJ5NWLMuZUTV1eGj1 + /GPf/8lXv/Wl19z61nfcc+873vZrnZ5odubtfGd8HmZV1kGtcIj45qmUemjn4lAdzTxRomNlyqgG + IK7iljwuMeouVbJABUmhVRRuQD3QyUMcBt2EXegL+vXo5S7c14FMa/MDj3GBC867PIgDcYkTXW/I + TCAee1eFHUjWJroRMNaaiIiIiIiIiIiuSyLStm0pJcrl11pTSmb2UjPEnDle880Yt6BPudfUWIx9 + AxB1GFzE4KaXM6320snYLqjqEGOaNRERERERERERERER0VFWSkkp5Zz7vjczAO7u7qoKIKVUSsk5 + q+pisdje3j7s9hIRERERERERERERERERERERERERERERERERERERERER0ZWSADMpVaupGRSe1LOa + qqtAUwR8Qh3JBGZmakVWgy5Wae90/9RDT/3o4acf+MkTDyxtsW+rwYaKChETANrlxt3NzN1hnkSy + pgbNXLdPbd3ymttf//o73nT3ba+7eev2Tme5zLv9WbbWVSAJqm4irnCoZ3EABjEXM3ETE9dkZp4j + yVRcI8RUHXYgTjXYJvT66hFXH6TBbBiG6sNNt92yKuPp3f3tUyfLwt+y/c7/4T/7n/7wT//3h577 + wfaJE3t1t6hVQ9tCRcdxtAJV7GTVrMOywOECU4yoLtCmto2Pw1O/eP7Zb335W93X/t93vPbe973r + /av6xpP5prnNck2RwCrTMb7gJBwTUTvlsFtBdNyJr5OnxeGAeFM3nbBFkLRAUeEVm677YOpurDl1 + aAfzpV0ijCOJ67qjW/+TNxW0r8k7AAAgAElEQVQAZuuLwvqBLg6IQU1VNyke4pFabYCKGwCIpQM9 + BzsRukxH8ErEWGsiIiIiIiIiIrouicgwDG3bAuj7vuu6cRybpjnsdl0vHAln27TX5hMDcoVe0ZRp + dZgcHAw9uHE7t0xeKvyaiIiIiIiIiIiIiIiIDp+qRqb1NDcnIgBqrSml+HC8uzPTmoiIiIiIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOGhE5ermB1xOX+M9MzGXKg86AwjPEAHMtVUpJY9H9kpdP + P//E9x745g8e+dYvFo8v89mxXdU8jLkUgWeIwlXN4dVWFQqRFE+TwYEKcWhJzy6fePSRn3zhR59J + Y3frydvf9Jq3vO72N/zaG//DxmYJXYNOfZ5qI1XhaSp77oCt00YjmlTPVUQHxOGHWBHdVaCqaqjt + bP784kx1P3XzicX+/snZzt7z9fadu/7rv/ff/tGn/uU3H/3y/FUnd+vZ3GEcAbMmoWmTm2F097LV + NMWsugGSGlRBcSteSrdn6l27tbs8+72n++//6Jt3p9f+9//V/1iWWSypA1ABIAbXX9bcG1nUSxER + RtMSHQr1ddesrtFvm+L8cOp1162AWiRMX9BrGTB16VYByLneHq7wBoL1VeD8nl+nuOv1ymLrMGxU + scjbVlEA4gkAkADfdJubuOuDWyC6ITDWmoiIiIiIiIiIrleRaQ2g6zoAzLR+RWLoXAQZv//pP//O + 3/rNbUvJYjJYY9w+xs8vcz96YAMuMMDdsgjMW02AwUaXGVOtia5r7i4i008zSymZmaoCiBulFFWN + JS9lekjkoFyj1h8x02nE+jMNV2sv7h7PS855OuFxt5SSUqq15pyxeV6iYZe8x3Ec4wI93YgNTk/6 + 1LBpv0RERHSNvejfYNNfJkREREREdEzE2OAFd0VkHMcY/hWRgyN48T4iBv2u3pAmXUfOfXlTRCDX + 5i2lu8tmWlNwia/DGK+eJjWmwfMLhsfdfRiGmJgmIqJDFOOWbdv2fR9LohufhjTjdkxeX+ZcJxER + EREREREREREREREREREREREREREREREREV1n4nuFEWu8KZB7qA26vknysVZISprKuIRYkyFwQRFt + 3NRERx1qM5Ru+bw/+Y2ffe77P/3mQ48+NGLQGYaTqyIrNKjnwkfhBpgpII4EKNwqXDxW8AQHPPnK + hlGLJEm2/2Q989QjD3354fQnX/5Xr7/jLfe++b3vfO177mjvltUM3mQVcxcVk2JmgpTQSE21VvOa + c57KJqtqsXquePI1DiUVc7Xq6kgGlSRJyn45mxpgsBOyLbuS2+6/+L3/ZvHx/geLb6RZkqE2ipyl + Fq+oXc5DKSIYawUgksRhRUWQBCZlSGYZve832zjbP7mzddPp3V+ssJekSxL536oST8BwfhX5Y8Hd + AU8pDcOQs5ZSARW5RiUyrl/xPW53F4Gq8uvbdEUkUxFxseJm4g64epRDV0BcFaIOAdQT1jnS63+s + rr7J0TCDAw4xF4uCA+4VyCIukgCIiMDcHebu3mZ1d9i67rquw6prTdW1iohAAXVzQMWl1qqqAlXV + CncXd7hLinBroksiIlExw/1cH3vYjWKsNRERERERERER0XFkUMVMn+/SsDq/rrwYsMkZ9c00/EWa + NjhtqHrNubHRxA2LJcyRNfbECSii61d8IENEIg45puTbtgXQ933XdavVajabjeP4MrHWByuJRw5K + ZKW8fBL2jSdOQmROxweeruwZmIKrI2Ym9hIn3N1zzn3ft207JdNM6dciEgXfzewSYqdjMmx/f39r + awvnR6HHCvEiERF+IoGIiOiwuHutNf4GSynFHwzjOB52u4iIiIiI6NqJwUNV7bpuuVzGXQDuvlqt + sHnjECOKRDckVY05jpjyABCx7vEeuWkaEWGmNRHRURATizG5OQ1sAnD3rutilhNASmm5XM7n88Nu + LxEREREREREREREREREREREREREREREREREREV1D7gdLarPY6eVwseKlbfOqjmUY2rbLjdSxtzI2 + 2hUftOtWWNq8309nvvr9z37xvk8/MT640NPWQTKqYqzQjLZFGYGoRu4QRwSSCpAigFxgjojL9s2u + TYe6WVlcI+XUbfHQXv/IVx/8s7/503te/e7fvvd333Tn2/LY2oCtZhvFxLXLXR187Md52yG5qJu5 + SHL3YRig0nVdlNpTh13DF4gDVQ2OVBtA1VBTASwiv1PJWmZtmu8v+3/0gX/21CeeOIM0YM+sL+YC + iOhY3YCkyeFR/d0AwKIgvAI1TqPCAUt91b7q4BIrawRWGgwSOa51vfYxswm+PfwAUaJjSwBYgYgL + kogkdTHDum6AA45qJuJIEChEpJQegEgyMZgZDICLrTs2BWCuLiIQg5c6Vnh0faYi6hBNCgylJgg8 + CaCucBWXpBDVirEWc1SBRtx19ZpScke10hdzd0nIOTcp2Tgc4gkkuhoYa01ERERERERERHTsOCAK + tGmvzUWBmLf0dcK0XKHZNPV1ZrUJckSiqndNeyo1GA1eRxs6ba/MzojoMETq4TiOUzXwyLSOoGIA + 8bNpmshUfqntxEd8pkyUY5hpHaYa6xH8DCCiMq7IxnPO8bxEQPUUaF1rjadmSuOYYsjNbGtrK6XU + 933kdkyR269cPLkppVrrMAw55+mJNjNVnc1mcYOf9CIiIjoscYFu27ZpmmEYIrUrLt+H3TQiIiIi + IrpGIsTazJbLJYBaq6rG+OE0xDet7O4czaMbiarGG+G2bc0sxsxLKSISQ/RTsjWAxWKxvb19yC0m + Ijr23L1t22EYAMSNmGLu+15EYm46ZrFffp6aiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIbmCs + jXAFqKyGMSWZz3asDONen1S7brbf76PFflqs2sXXH/riZ771l8/0j/dytvh+Sm2bs6GKFZVaDcMS + aVNpWFzVVVwjTdlhJgY3l03BW1lHTavDJUKYFVB3FcGszad3n9WcTtx28iu7f/X5P//02+9413vf + /v7feNP7MI6dbeugdShdns+2tHhd9ouUBYBKbtpGTco4Dv1SVaMKu26KsV+DfGsTK8nVTSyCvRWS + XXsAktSLwUor2/M6f/Mtb/+D3/hH/+ZzH03bfW2Gap4Azc04jkhtXRcuNgAQgxgAAQTQkl3NxJJA + DcmRHGJJPIs16wRrgUskwqp6erkW34iiW2CmNdHhciC3yd1TNYNkAzz7mkjSnLNnN7hZ6a14rV3X + ulf36l4AE3UkEfXixVEN1d3MKgATU9eum7m7V3d3OExUkZI3kiDSJihqEhN3FSSF1mHIKbVJxcTM + YKKqmnSsRcVT1laSi1UYUKqNIlh3qkQ3CtbmICIiIiIiIiIiOk4cEAhijjEXFZPItZ7Gvl1hJpCL + yy19EQI43CQys93MRcT7vtlV9BVmjSpgAIfdia5LpZSmaXLOEW1y8Fdd1/V9v1qtuq4zsykE4kWJ + SKQax91IhojQlKt7AEePiETkc+Rbm9mVyrQGUGuNlHERSSkNw9C2bdwGsFwuI5s8oq9zzk3TrFYr + HMizwWV85CKezbZtIzXTzOIY9/f3m6aZgs8PvhKIiIjomon0l/gLIdJfIvrlsNtFRERERETXjqq2 + bdv3vbvHEGUM4sWQYAzfxejlFO5LdMOYXtXxIu/7XlWnJSIS+db7+/tbW1vMtCYiOiKmictxHLuu + K6VMU40x7dg0zcvPUxMREREREREREREREREREREREREREREREREREdENjJHWV4LW6l3XWRn75aJJ + edZ2xcuy7MsJ2cPZp/vHP/GFP/vaQ18s26tluytNaTS5+aosi3lKok2T3Gsp8AxA4uuhrg5EAfQa + edJRwzx+HoiantZHJFvDelu1O1KknvXTPhdt8o/3vvPwF370pW9/9r1vef/73va3b7v51eNetVKy + tWOt3azRJpVSxlVvXprcRQENrMunHzhUv+rJ1r7Ok1aIxaElR/XsYqPbrOvqWMey6lK399ze+9/6 + wW9+7+v3L78qraTkgLp6cWsVBoO7a1SQPxcHLoZkDQwlLZNAPU6gqitcxFVdIG7rxkRd+qt7yEfN + FHUfZVXitruD3QXRNSZWfVM0wF1MAFOHC7RNxcbFuCgyWKrSuDcu4isrDitmwzDsrxa7e2fO7p1Z + rBZjHSu81rF6MSsOc8Dd25RVtU2567qum23Ntmd5q0ndq257tUqTvXFTKeqWkqRGcjI1V7iJu0BU + tLrVipSSmXup5rXCABiquzRNe8jnkG4sInLJ9f+vFJbnICIiIiIiIiIiOkY8Mq1hcMBELccwvQGA + 6GXPIvoLpt9iiZmllMws1Xqntn/x9/7Bh7/6Od3e5mwd0fUrKoBPkYci0rZtKaXWGsEnXddhE6L8 + MtuJ8uLxEABN04jI9AmP4yPivQ9OHbl7pMVcke3H6R3H0cy6rmvbdkq2HsdxPp8Pw7Czs2NmkdIR + mdYppYjxiFTsWHix+zWzcRynw3T3+AxH0zQRollrzTlHe67IwRIREdFFiUtwXJenCzQRERERER0r + FwwJxsJpKC/n3Pd913VTQmRkRhLdGA5mWgOI2Y0QS2L4emtrq+/7pmn4+iciOlwxPR3zyzE33fd9 + /GqamFbVcRyjhyciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLjSRhtfdmirrjb4OraYFlWg4+z + W7pnxie/9uPPf+ob/+60PVVOLZdpmbfaM/s1eVWBtIDDxNWqSJNS4w7AIQZY1SJuEWK9KY2+FoHW + 4gpXcagrsEkcdrjaoDUyiNWhRc1KbaCd/fDsN5740cOfe/BTv/7G3/q77/7wLXLH+Nx4avvUmX63 + TY2LS1a4lzooJOdUq5/b8ibcWa9FmmTUK6jrwu2mqTZVbShjt63ejkvfU9Gbmp3FXv/77/3ITz77 + 7WW1NifzChvEkXQwg8Z5c7goXF0UpmKi1gqKC0RjDwmu67huMUAjBltc10nOfhzrJ/gacDRiRImO + IQOqj6qaUhZkcYW5wU1KkbG0vTX7oy7OlmefPvvzJ5756S/OPPP46Z/vrZZ7i92+7809ZZGcRLzY + 6O7u1SJzWmxd/Py8PwFUkQQ5Ia32x/ls55YTt9586rZX3XzHrbfefsupW07lW1/dvCGtWq9o2maW + O7iWoY792EoLd3Wo5ial6DSKm7PvoMtw7jp0lDDWmoiIiIiIiIiI6FhyoGpTVRwGVAUcMZfolzeB + arKehvUDS8ThVlWgpbS7/U63hZQFBrxc2C0RHX3TB3Sapun7Pu7WWg8Gn6jqyyQWq+qUFRGPVdWo + RX6sxIkyW3+WJtKgr1SmdWx/GIYpjaPWOj0jqqqqs9kswqejGVEFPlYbhmFKtblY8UqYDi3uxsbH + cYzDjPkzZloTEREdlkiqa9s2/mzLOZdS4udhN42IiIiIiK6RGJiNYd5YEqN2MXxXaz0Y9Et0Q4p5 + immUPr77kXOOcfL4t5BzZqY1EdGhyzm7eyllHMf5fD4tjynp1Wo1m82wmcg2M3bdRERERERERERE + RERERERERERERERERERERERERJfE2jbv7p7Z2prNt7d29/dk5jqTh3cf/OQ3Pva9R79yGk/07cIz + LOPM7qqdIyncAUcSmIlXdy+KJK6RaR0/I0zZBevEZb8g0Pq8L4e6GABIMbGc4YBXeAXEVVFs3O/H + E7fqmeUvRlt+4vtPff17X/3de3///e/6YLVVl7brOJpZbtqsqQ61lqiZfN4u5EA19atHHClKGohB + pkjpDLe2Sct+1bQipuaevekK3nbn219zy2vvO/2MSvJqSB51oB2b8yYQN5c4b+stu9SqcEUSmKBq + xIe7i8EhMAXMRc6FVxqOnyMZJ0p0vGhKplZ8gKwqzNI4Sl+1f+jxB58689gjTz74+LOPnF09N8q+ + Jau5lM5HjDVV2RZJKiJmZbTatrliUwxfTYJj6a5uANzX/wHiJvPbtkbs7tanH37K/XFRV5HU1e27 + 52+888Rdr73zda++4+7bTrxqp9uZb223W20ZPVmqJjAxq+6OiuqeGtYxoBsNY62JiIiIiIiIiIiO + JQdGnRVRhwscgkiZPjeXJpewVRO4AIBsthNzkjmLF1fFliaRPFtWGFCNqdZE1zURieLgtdZhGCKr + OBIQo1Z4zM+/TKZ1iKyICLeOWb/Y1LU5iqMj0jIOHvvBwO/L13Vd1G13dzMTkYPh1svlctp7ZI1H + FnU8feM4xvNyCfGWEfshIlM65pSWHSGaUVM+qs9fwSRvIiIieoXir4K4LgNw96ZpxnE87HYRERER + EdE1lVLq+z6lFDnWAKbxuhgYjEDfxWKxvb192I0lupKmxFN3vyD6dBzHGKV39yn3mvGoRESHLmal + Y/IxpphjTjPmqWOiM37LyUciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLjKQKARQRMr71kYklr + ylZr3euHJcrOifah5+77t3/9f//42W8O27ulXbbb0vc+7OPkrJGayljNS60OQNUbEcDgFQAcLoDD + BHB4xDxHGfR1rHV8l9+iqLmJWYQ1y/qnOMYVxIAEVbhYNaSMU/O8v1t25rO67EuD3fz0H339X3zy + 4T/70K9/5Lde9cFTelsSKWVwyUnSwUq/kaUdEdGbfO2rSAG4qev6iEUsDl4sN2mxGKVt26Ytw9Av + +1M3ndhfPfOeN//aT7/yoPQikiGe0I/VXNbNFQcEyQ0YBAC0prFqGRNUUc1TqkWtqlU1lwqpcIhL + 8gxvALjWYxhr7Qy1JjoC3KWKlaZf1NM/3/vpgz+//77HvvPoMw+XvOxlrBg8I93UKBKgBivNylA8 + wxwCiEASMmA+TIkY8HVNfAdUk0t0+KYim9gMX5a9uOioinQJriJpJYv7+8d+cqbJpzv9fp7Lybtv + ft1b777n9be98bW3v2mWtme+k2uLQeGam6bJnY3D4Zw4oquG5TmIiIiIiIiIiIiOkU1UtQICWAyt + YzMzC8ABgwJQMXG/yHBr2UwOxw8ziblSwFwFXqFwt/2Zzo/fZCXRjSYm4Luu6/t+WgIgcouHYRjH + sWkaEYlQ5KZpXnQ7B+MiYskxDLQGEBnSiA88bU7LFfyIg4iUUqZT3TRN0zSxJJIsRWQKs4y9R/R1 + znkY1lOkl5BpraqxFzOb0jFTSsMwxB6xydKOfOs4CURERHQtRbiLmcW1PhLsbuC4l/iDh4iIiIiI + LlBrbZpmHMcY+42xwVgeWdcA3J2Z1nS46pQo7dMtdQGkGAqQLzlxOgbGY6Q6/gm4e7xfjoFrd+/7 + vuu6yzwEIiK6fNOkZ845xjPbtgUQf7TE5OPUjcfKRERERERERERERERERERERERERERERERERERE + RLQJb84m5mKAiUNdJbKWZVMVVkwccPR9P9/qdvulb2N+Qr9w32f+4it/8kT/4Lh9dmh6yVjuOyq2 + 5ipVxmF0TTl3TSO1jrDiGl/e36SMOxxQh8m5uuebQOvYtZ1rqKxvuACACdTRikoWFzN1CFThjtWq + zGa6XK0SFO14xp7DSTzlj/zx5//wvhM//J17PnTPm97ZWFdXzUzmKmnsS86KTaD1eefnXCkDuBgk + igVH0XWNWu4Rhi0wwBwX9z3WZOv1Y9cuHk/B2A9d01WzcSy12Pb2Tr/fz2db77z73s/6X5+pz3qG + qBrEbVNd/tzZw/oMipmab35VFabmYi6mLygHH8/CMSQiUVf7sBtCdNSt+0MxB9adITZdnqtgXfsl + 0iimHtJk6swNgMJlE1ph4qalaq1aahqXtvjpk4/84CfffvDn9/9i9Xifd8fZomwPY6omcEAc6j1q + o54hXmvRBgq4w2wdbq0KcwBQAYBzpQVcpnJJgLu4bC4r66hrh4uLFwOsogKyg1FKsqUWrMru7uln + fvLsD9txfqq57Q2vfuu73nzvG+58800nTyVrhxFq7Uxnujn4ybT/9XXD45ysF296bIsTe2AdBdTW + DzdIAQ6W1iG6Rm7YMsRERERERERERHRjOxiDykiqV8zgBlG4Qlq0ddUMVTQZRNYhslWSIQGm7hAA + l5BsrQaB+BSVvV7siClkTdW0hwD64hm3RHRdiL53CjwGMAUSRzhx5FhHL/1SmdbTdiIZZerbp8Dj + lxLxKhGWnHOe4pbj9nRdONyPCMQRxdmIpqaUcOBgp+bF4WATPj0d/qVd46YQ8SlgYxiGtm2n+I1I + 5oif07N2MJUcm2fT3acs6ksT27kgrDqSy18YZD4lhcTdUkpKSUTiiOK8xW1e/YmIiK6gSKqbwl2m + 7LobFT9FSkR0bJVSmqaJd+iqqqrTu2AiIgLQNE3f99PIZK013hrEqGYMOXJQjl7U5murQHzXSIDz + 33j5Zon45u5Fbd/X2zdZx1qnqupiXlPKcCAJsF9xUpHP/wLmKxLviFX14Bj1NGZ+8GUfmalERHTo + onOefsafKzjwt8q0hJnWREREREREREREREREREREREREREREREREREREx447ADOs69aqmrGKKQAI + kMyAPEhT1FyXkJoNqCqmSZviPdTNi5i3ScexbnUnFuP+2K5Ws73v//Trf/LlP3pufNxPFNNeBCho + BKKo1SoKWghKBeCAwlUNCkCi2rArYOqAWDKDmAtMYAK4Yfp5QZt9Xa8gRSUBsVjgDl/npAIJvRta + uFv1UUXFIbWO7dlvLD/73a987X1Pvf9Dv/b3X7v9trKfWswFKeV2sVrmrDnnceyTSM65DLXxLJ5c + 4GImVsVdiovBMwBYI65wCKqiQNwuKtnadR0c7sCUIL4+JwmODIWjTW0ZTURTnd89e8td8zeeWf1i + mfdz1mrWqtZqiDxXB4C6Dkw1AC4QR1OgItUdgKCKu5qqRbn5yKmFacULsq5veO7u8KhvrAqzTTHk + w27YEbcpxwF3NE1jZknE3S6yvAddT8Q1mQJwRdVi4i4GmDnUs8DU8zqzeV1JRt0yVCVhqH21ITfS + COrQZ0855xG2wtK2xkXeffCpH/3w0W9/474vF11VL6MMdWvwZCbFUMWQDrZEKuAQS4DXdUkbxebV + Z1PSNrC+/k/3HUBc/AUXFsOJletmcwp4hQIOVIU1fc3j4KtVt7s3PPf0U499/dG/mevOW17zlnvf + 9R+84e43ncAt3e7t6DtLApFi1d2blEVVq7u7W4VIalIxrdVFVASb4GoIDO4KW589z+aNi5obZIQ4 + sDn7dIMSkQh3EDlCNXJv5ErERERERERERER0A1PViLcUkb29va2trWnJYTftaJMKh4sKBDATN4GJ + KBybWUQTFcCAdAnDmK7nTSQJzp+RU4eLVJcKAUTxyudciegGJSLL5XI+n2OTZt11Xd/3L7V+27bD + MIzjOKVZRyhyzrnWGkvcPVY7mHh9jc1ms9VqhU30hbsfbEytNT7PNMU2A9je3l4sFrHClN98sfuN + R8XPruvi3LZtGxHXItJ13TiOsfejM181ibBtAJGaU0pR1TgPcYmfytMvFovt7e3DbS0RERERERFd + R3LO8UY4hpFjbIFfNyIimpjZwX5SVYdhYIgvXaYp0PpKbW3amDhSygCKFTGHeELvmJuBAaZERERE + RERERERERERERERERERERERERERERERERETE2jIvdLAGgEkUBzdIFcnuXs1VPKXktbqLqp5ZnbUt + W+bdB5/83h9/4l+uuufTTtkdlvG9fnXANvXM1bAJWkYEN6/3pedioaEmppG+PLXpgqIEfi4qV186 + dll9XU09xSPObcSmo3MZrOtr0//NA5/80cP3ffje//i9b/3teTl58sQtu7t7s3auqmYl59bdSyk5 + NxixTp6Gb5quiDToc/GiBljEjgIXWQ//vI2cdzgXrggVz3M7ceeJu348JkkosOqobr5J0pZ1trcC + sHM52Ug1qWqVEnsRmDo0AmhlfQNyOBWbj4gjWJOZ6KhxgURP6wqpAMSh8fO8f0AWXY17dbfSD5pl + Np+P42qo43w+G8tqpfu1qc+Pv/jO/d/44g8+/9PnHinzfZwYi/RmNv1zFIGI4MJ/noZN/3a5EfQv + +3BZ98/mAhcUNWAojpR0LMu27UTLd3/+te/89Ot33vrqt9xxz+/9ykdOzm9v0KXaztttLzr2o6PC + vG0bZBnHWkak1OTciEit1QW26cD1wIXQxNZnWkzWv728IyW6JIy1JiIiIiIiIiKi65K7T7GXOzs7 + AMyMmdavSERNm6JqVwVAlXMj1CaY5gAuaeMmrilmfGPw+1x1ebjDBBBUBVQrVAHO7RMdc6WU+Xwe + McyllJxz3/cpJbMX74iGYUgpRYL19vb2/v5+XBGmxOidnZ3FYjEMQ6Q7X8NDOc9qtYqjUNWU0jAM + cXQiIiJxFKWUCG+OLOfFYjEFOddapxNyUfttmibCZuKxTdPE+em6zt1TSvGz1npB0vYRYWbxxOWc + zSwOP1LA3b3WGjk6Zra9vR2n97CbTERERERERNeB+N5IvE1OKfV933XdYTeKiOgIeeFQGzOt6Wg6 + +N2bWsfUdKnqTAS9paoQTj0SEREREREREREREREREREREREREREREREREREREREdd1HFFEy2fgET + NTFgVEBrhiC5CQoULrAKIIvU6iamVb1ulWG2eODx+z76Z39Yd4a94ay0JbWwiBd1AKquJiYOAVKU + E5Z1VXITi4hQoEAQ1QIqsI5bdqjpOqZUDK7nfr4oV0c2gboBSGaKc1mnLrDNfqsoAAXyygWmO2U3 + PfX/fO3//NbPvvIPf/M/vVvfeNtNd9n+aGNS1VpqKSVrI7M85JWLJxdxqKtYdsnRXBeYVMhoYgo3 + GFxdfklO6mUS1zvvvCufzklQHFE8Xq/yTm94vnbuLktVEF3AxaoYNhHMagpAYICpA1DATNdJzEA1 + QW5bG0tWA1AXUEnI3W4dVrPx8bMPffu+z3/7gS8/t3giz3Xr1nZZsKpjbGG6UB/uJVsjQWNDfF3E + Rhsda7Finly1qWqPPdRt85sAACAASURBVPfo03tP/839f/WO1//K79zzobfd+i4sfEtuOtXe3I9D + L30VMag0klThXseFVWjXrDftAGCigMINcYnUAsQFUdVizUsNCqHrhF+Y4H74GGtNRERERERERETX + pYgFjRG3cRzNrG1bVX2pMbgjODZ3yBwokqsiJkQd6pdb530acFeH+ObOZkJXRF0EfCKI6HyRXtw0 + zZRhrKpm9lL9dtM0tdZYZ8qBjuTm2Wy2Wq329vZi4eFOQ07J3GZWa40k6QiTjhUiJyZ+GwtVNZpd + a40VUkqXsOsIm4mTmXOOvQOI7C5sJmi7ruv7/ooc7BUkIuM4xulKKY3jGAnf8VsGjxEREREREdGl + cfemaUopqioiXdcNw5BzviDDlYjo2Ir+MKKsY1yOmdZ0pLisv9SqB6YOUjvzYQCQSsFyRG+wHlsd + wHBrIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiovM4UAUQAwZ1hWdFFqlAgQyiSTWJqLsV + c1OvTS07+z9+8vsf/fj/Vbf6fdnVuXij42ibirkaoafqMGhkcCqmzE4kX5cLAOCRyezT7ViqLhCH + b8KaBXHb1rdlHVktDhczAFCDRrJ1ZGCL42D8p4m5GABzzYKcZJThuf3+5Kn5g7vf+z8+9vjfuueD + v/eej2znm2a+BZvl3DSpdfdxHC27icEtma5Du2ty0QS4VNHiUgFbV2KX82ogXIUnTFHxqtte7RXu + iFBZ2Zzeq7vrG93BWGsieiETABZ9L6DJoqvXzW8N657WN12iWVmNZWyS5pyhNqSya6d/0T/5V1/7 + 1A+e+Objuz9uT9rs1rS7OusLtFutez24x8MtJg8A0OhXDRHXve5sx1KaWRaTfhiabN3WFkz2+udx + Ur77zNd+9PEfvvmmd/7dX/mDd7723t5Pauo8S4FHgX03Q7VW0/zEfLfvfXPtgCs88kEUKBCHFHFA + VD2Jt1infDDZ+kZ2BK9DjLUmIiIiIiIiIqLrVSkl55xSivDLKeWaXpbCsflPk6lDqhwcKDTBpaSo + vhQXmIgDJlAAEHEVlxgsP/RZAiI6ClR1HMcpujjSnV9q5XEcAYhI5KzEtSCSrZfLZdM04zjGw4dh + iLvX5CAuNF2YDrYh4rfdPRK4I8wbQNu2wzC8cOVLyNYys5iCjdhsd08pTZOybdtGujaAI5hpjfPn + 0sxsa2srkq3j1JVSuq6bEq+n1wwRERERERHRyxORvu+7rjOzWquqNk1zBD7ETER0VAzDgM1AZdu2 + MfIWA3GH3TSiA99HRXzZaT3tWIZVkzPMstlH/6P/5J9/+jPY2UEBOHJMRERERERERERERERERERE + REREREREREREREREREREdL5IHoW4OsQNLuoKBcQrvNGaNLuJiWibpU1j3nvg2R989C//hZ1YLfz5 + MS91juVouZmiNlXOi6lWuBpiLxYh1RJ5zDgYbh3Z1XBB0fVGzsVXvzDQenMbgNgAz5tjWT98vcXY + w8GSQmKLiq2MYYWTJ3Id+rP7S9sqn3ngYz989Dv/9Hf/2Ttue8/i2d1T6bZZs73c700sHu+CqgYg + mUIauAogbgJzLSYGqCMDYrj42sGvmABe5ZadW6zACjRrEhE3cQcr8V8GZ6g10SuicckAKkTg2dDE + QhdAClAhBlf1BKQyrm6+5ebFsn9+OCsn/dHnf/zX3/733/3Z1xb5jM9tdof01YcyNrMWs3E59rIp + axS14I7av8pNqjXMUayoiGdfWVmOqwSVnDDKUAe92X48fuO+z3/rLbe/44Pv/vCvvPY3tuyWxrZ0 + zCLokqp66fu9M3vadVUAqXAAFYK4nMEVUmV9dTZxVRe4mlZnkbxjQATx2j8K/wQYa01ERERERERE + RNclM8s5RxIJDhTWZxLJLzedIcmjphcdlRaHXvzopfp6Nnfiggqp64lddYEaxJEMcGZaExGwSV+e + eu/VajWOY0SnvNRDIsoamz4/Qqxzzqo6DENKKS4KZnZYmdbRNnfPOY/jGGEwAFQ1GllrzTkvl8v5 + fI7NVSxymsdxTClF1PclZMZMD0wpxYlS1ZyziETgdwRpx9XzCCZbR0i5iMSNaKGIRLPjeY/T0jRN + ZF0fboOJiIiIiIjouiAiXddNw8gxHDGNMBARUdu2MQTXtu1qtZrNZi8/SEt0WKZvq5p4k7ONRVW1 + lPlyhCcMA7Z5cSciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjqupnjAcwuExUs3bKpGro7N + iVJDAgqqKaw63AWzvEqLR/ce/jd/9Uf97Mxuf7o2Q23KUCy38ArxTeBn5J4CgAFaRdVjiQEFgGCd + Th0Fz21KCgUAOGxq1aZthgvXATwysmN/xURd4JtwaxdsbkZIN2RTLH22g70Fthr0fWmT3n7nzu5i + t+Tx0eHH/+u//Z8/8Ksf+tCvf2RZ82LvzE5z0yy1fTWRdUh2VTOpCTVqqisc7uZx8rSowLNs8r2v + CpckaXu23UijVTU1DkOtBihf0ZfB3aPKiogcgRRRoqPoQESFAXCZunoACjcThbg6ADUoYHmeHn36 + kebm7oyc/uTnP/6th7+w1zy9nD1fEqAwg7m6oEBMzRRZIOen+cb/D++KvT5AdTUxOETgQEqohtE8 + Z6QGAMzMqjXadfPZYPtVLc+b+xff/NnnHrp7+03/4Lf+6etufuutW3dgqWVlM+QmN63kFVwcCtv0 + O4b19VHhBiikyuZMQK7mxYWOhhfmWB/6VYllm4iIiIiIiIiI6LqkqmbWdZ2Z1VqnwvovHIOj823G + 4xPQpr0mV1E9Nyfr6rDLmgp1iMGTCwQwYEhwAVzzZkIXgLquZ5YFTLcmOuamEKmY0Z/NZqWUl4lL + iazoSD529wg2jiWxQq01rhFH5IogIsMwzOfzZ599djabYRPSbGbz+dzdh2GIkOmmaUopTdMAmCKu + 4+5FaZomtg+gbds4S3F+4nNUEbPt7lPe9tERz2y0dnt7e7FYbG1t7e/vq+pqtYoEMmySrfmxMCIi + IiIiInqFYgAhpRTjDymlCG097HYRER0VpZSUUgxIRvfYtu2ljU8SXSXxfScBXNZfRq1jUQAJjUiz + 7DEYtnQdfE1EREREREREREREREREREREREREREREREREREREREREL0biS/tSAFQkQNTEATVz8REo + Ovzs7AOf/eFfPHbmJ3Vr32bmua4Gm+8AwNAjK7D5ev86jlrgMBdE8LMAQBaYukHOBXSu85gdgEJs + HWKNaeE6A1s2OdaOczs6wACYwqdYaQGAZOstqEMcKuhXaGfoV5hldaTTp/dSA+QydANm5S9/9CcP + PnPfP3zvP/7VV/+6ndmT1az1LZeupr4qqprDTAY1bUxhlgC17AqBiOVNw65i+KgizfLWPG8lJEUq + 5u7nZ1qzNO9FEhHAj0jZaqIjSwB1E/eqcDGLrt5HQOMKop4R8c8wF6tplG7MO/jr737sk9/82HN4 + 0k6UFVapUa+ujkakSV7dR4MB2gD1BTsVHOI/TRObwrzXNxymqAVNI9KiVh8LXCAKVyjK/n7fZORG + RhuxPS7k6QeHM//LJ+/7zbf8nd95+4ffess7d9oTtkCp3nVZRqiYiOn6yB0wg8KTQg0AMmAQgwyA + snu/4R3BKxFjrYmIiIiIiIiI6PqmqhF1OZvNIuL0sFt09CkESECXz8xy3YXaZp4VMIk1AAAOXGRi + qDpMzm0kysqbIDnEAdfNRIGtA605LE507JnZFFMd4VKRWPxSphiq6PMjiWoYBlWNfOtIdI7A40O8 + LsSuI57Z3SMAJsK2U0qR2z0MQ9u2XdfFQcVdALXWSIt5+VPxoty91ppzBjBFzkTUt4jUWmNHAHLO + Ry3TOsQpUtXFYqGq+/v7KaWIPI8TFbHlqgwnISIiIiIioldKREopOefpXTMzrYmIDhIREYkxzLiN + AwOMREdNzEW2Sc2sVnPUndTAzv/CKhERERERERERERERERERERERERERERERERERERERERFtqKvB + Iq7UxIDqIoasrgLAXGGuYloX/vzDp3/whR98Um8pe2XRNmkY62wOr9jfx852ssHVFa4uBphFbLXA + MEAU0E0mqBpU3eKewOAQVxcVV0c5l3cdpco3ydbqMCgAgWJKzgY2EapxOIBvCqg7gHUVdLUIZFWD + tTn1fe3aZCZDP7Zbqkn2+1EzBuy1O/n+Z7/7/Cee+733PP633/bBE7i1GRKsg8e2FTATF6kmtslb + FbgCKlC/yvUNFDDXBG1zp6YiCodCUpJqNoV+ExFdBabuANS1QiNsAlLEVV3hCRATdbGqtaZ+bBb3 + Pfbtz33/U/f//Nv99qJsLfdRq0CqNcji8FrdHYqcYQI7kGntfi4K4yIzMa4wmy4uG2rISYdiPkIz + UlpnbKQEuM13spVaqiPBFT1M2mV3c/n8A3/54wfv/+17fvfvvPNDd5y6yxapH2ujc3hcQUwcAFzW + oR7uomjhxUXE3bRGFX44C7DTNcVYayIiIiIiIiIiul4dzLOMDBJmWr8i7hAgKWbyzz/1Fz969682 + JuIKQLwq3GUzyXtJ05LqqAIABhggjuTaQOs4ZjSiyeqIpFBUgTLYmug6l1KKRGoRibwTd1fVWtcT + g6WUpmlqrS+V0BydeQRKAZBfNnMY60+p1bHZWBh7mX6FQ70uTHHdER0dATAXhHZHiDU2rZ3uTuu8 + fHJzZGbHqYuY50h9jiVT/HOsEyvjwDmZlhxBtdZ4CUX7I5Y7Wq6qU/53BJIdblOJiIiIiIjoehFv + IflGkojoRb3CMUmilyGbST93h1/J7wnJ+SP9tpnCrHAVWEJ1l1rgBjGIARf9Mo75hfhZSonbMeVx + XktEXma+g4iIrqXoomOSeponjV9NfXX86jBbSURERERERERERERERERERERERERERERERERERNeY + OzbfQ5zK1R5uTOaRkjYZmS4wgQPwZNCMlBPKsO8trN3/2XP3//mX/ric2DXU1KJYTRleAWDewoYK + z+fFbQoi9FSBTWFyRPyzi5qriNQ6iouklCRVN3WBNkjVvcLcXdQR5QLcXTRNhcvdvaICcHEoRCAe + wdWAQSyec0RRYqsobg540qSd9ejEbSyuJi0GMTi0hTuyYhjLbJ7OjM/+f1/61z/5+YP/5AP/+R3p + DXnwamI1daktpVgdJQFSXVGgEdTtooCIX3x1g4viKl6T5Nlsnvo01gqJM2kvUtXdWer9l4ui2Tk3 + wzCowoxn7RKJCNMgjglHay6GCreknsWbpMv9YbZ1ord+X1aYr06Pj336Kx/70gOf6dv98WQpqRYT + UU0wqMJQRSEqAoFJLbq5BoUjco32TTNsnWyt6/DpiiwKAaqZI8l6ZYOXWiBQhRi0onEAqDa2t6Rn + Vz/9dz/8V/c//f0PvPsP3n3Xb+x0t5R+qdaoo1Rtc7ZSIy5kk2ydIhbEZVjXz/HmcE4EXRMiMgyj + KszWd93d/ZCvSizRSEREREREREREdHwoAIcDkATMMrpURC+Y/7mc6SDx9ci7ASpQIDnggNVOkogg + KdwHqUi+Qpkhs+470XUqch0itbqUYmZt20aEcxQKN7Naa9M0q9VqNptd7fZEnHa0J1rSNM04jld7 + vy8l2nAw8eLKZjDH1mqtkSY+RYlHifaDmdZd1/V9f6X2e1giETxm19q2jRcVo8iIiIiIiIiIiIiI + jjNbf9XHTGKO09ffbr3I737GpEbO/z979/olyXGeB/5534jIzKrunhtxIwiCJmWJF5O0RIqixJt4 + sWlalCnSpkWbsizZ2l3vfvC/sZ/2g8+uPuj47J5dSSvtkSmtqCWp5fIGXg7vpHiHIYEgABLXwWBu + 3V1VmRnxvvshqqp7gAEBDAbTPZjnd3AK1VVZlZFZ1RFzIjrfJ9a1jzq77u51oaHOxg/DEEJ4TNA1 + ERFdeaWU9dIhAFUdxzGlZGb18bpgitWVe3JILmMlIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + OlDiqq4QM8k1ANyhgIorXObD7sa0PT2enHXbn/nGR077g6Wdw4Easbi+1N6h+wKtDYDAV4Gg6uut + 6pOKGv/pOTSqqu4+DGMpFjXGkBZDXy8OVYe7wFxEkuiin4sAAaoQFRU3h9SaArZXXSAKgmpQLdlL + sWLQEFLbGDDkcbGYT+IkmIhqcXMBVkGqDqiiOIaSNY7Wjd986GsPffSR977mN19202smehy99vOx + TY2V0jVxzIuaAm5S47oFgOLZrz/g6i4KgaugMIL5cmHtCKInoyYGqNVK56JupYyDW3a3za3p2Z3T + pctlOrvn7O1/edv/ef/irtn0zBizAY4IqNaOWmpmr5mougpULUIyfK9DPgx8FVZdUzbWydb12XVs + h5rtjSOyfFoc4hosqsPEYrRFXoQJwkT+9vS37/v0j3/5ZX/3jte864bp88Oiida1zXSxOzYhqQq8 + mNdka1vuxBXQ5XkjurJY7p+IiIiIiIiIiOhaVAQlhibFXMv51ilzgThUDK7Lny+VAuJQhwgACyHk + MceQZuOi60LvI6TI0ywoT0SHSozR3WOMNTp6f3ZyTXQ2s5QSgK7rrkyyNQBV3d7ePnr0KIBxHA8w + 0XkYhloh3cxq3nbNmVa9PF1fTdGoNdlrxLWqrmuyr/eiqn3f14rtl2W/B8Xd17ndpZSu62rBeiZb + ExEREREREREREV0DnmSKuwggy4tdn+4C5zAMTdPUVNQ6BY3VIsg4jiKSc26apmmaUgonpYmIDtw6 + tRr71kkB1NXY+nhdSH0OLJISERERERERERERERERERERERERERERERERERHRJVuXaaU18QAHYjEx + l2QeFcsITw86x0K2/Ot/+9k7Hvz6YjrTBj5CDcsr+R9z1aaYoUaWWr3OXxzJIF4jp+H1pQJIdrGx + wAtUEVokEZiNZR5bFVGHezFzwF3hI2Q6Te5uXty9wN3hgAiCB3gETMWgpTiyGcxU4YICOLKV7IKQ + Ytd0PowwqKsWLWq1NIEL8giDNNIAQUKUTT+Xt8/v/ODc5/7zP/6FX3/Dy985kSNHJ9fbTmkkDdt9 + 6LSIFYGLwU1cgwOwmuv9LH5eEgANIV344LKc/H41ULzwK/8U+NJBt4PoEHNo1sbMzF3FBKISgk6C + ytDP5uNOe3w8jYc++91PfOJbH52ls/M0K6m4QFxrInPtJE3hYgBcrQDi6q7izar/vCrCmxWrPtag + cAuAq5kCNffbIjy6yKjFBTlbikglWS4llJ346JdOfuKOT33n/a99/89e9+pjzY3nz55X7VLTuOX5 + 7rzrmuVeBICaKBCAy1TFng4xdxy2kYhllYiIiIiIiIiIiK41CsCBAbHRaM9atrQ6BAgGAEPJjarl + Ydo1fRmmkxbFErIiguHWRFcnM3P3Wig859z3fa0MnnMehiGEEGOsKc41hPjZbk8NOU4pbWxsAAgh + mNlBZVoDEBEzqwXT3f2yl02vMRv1fk3RMLMQQt/3XdellPanej8H/lCinr39t/XEHnS7iIiIiIiI + iIiIiOhZ9dPmgdVRAEBNl0uOl3CJZc2rrksMpZSmaWqgNVbh1mY2DAOAUkrd4BIOg4iILpd6mXhN + sK5L0iGEukIdQhjHMaXllfl1tfpAG0tEREREREREREREREREREREREREREREREREREQHSUQOXWbg + wVtdl+8KiDgAK2qIvqOzs+NDn/nmX2Nj0UwxK9iLU/bVy1xdAJhJfQe4LJ8VhxjEAUBFDRECwFxM + gBAhAjegwOFiHgqSdaUYCsRDCClIVAcMtpOlUrgIUINRg5UauZgRXXR0HbMPIyCCXKO1FSFoMR+z + jd634qJQVxMDIA51wBEjzFwBD7Yz7nqANZ4anJ6d/MjXPvTwo6fe84Z/WXbLdRvPS5Z8ULibqkt9 + F6txrY9P+n42rGvwigpQXAwCEwAIz/7en6sYa0300xnUEFwUMgIGB0wgwQLikbRdHj41+/FHv/Rn + 333wmzvpbNks2oZhKIDWfklXkdXqy+RqX/aYZoJLrxHzrBGHy3L8qkyWudWAicNEtY4gUIjZ8j6C + Ab48Whe4WNsGy2Uoo0LiRlO0P112zpx++EOfW7zppW9/7SvesjW9aROTnZ3tSUibRzdzv4DU86ur + /Sv8igwwRBdirDUREREREREREdE1RevsvQABWpcffTV3vzeHL+Zea/vaJeyjvmb/ombTRBtzjlLG + oW1kOHsOQ0mjIDDVmuhqVQMehmHIOddHatB1vV+jHXLObdvWMuLPdszDOtpZVfu+jzGq6gH+gUCt + kB5CADCfz6fT6eU9CU3TjOOoqqq6DnsGcOzYMRGpeRv1PKyjxy/Xrg9E/RuaUgqApmlqiMgViEsn + IiIiIiIiIiIiooO1XMp0ABBA65qmi5pCSjAAKAKIwAX+tC9bKqWISAihJqTWBOuqTq03TTMMwxVY + 6SAioqdCRFQ1xlhXRVXVzOoCYs65LhDXi/PrU0y2JiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + IqpcDHCHopYodw9uJl4k92GeN+ef+eInH9i9J225psZ2B481y9MufIcV2ffjMjFa4QqoYZl+DTEA + 5vAMLRBHcgRJainkxuea0KXQTNvJVnvs2NbxY5snunbryPSYSFAJ7pKzLRaL2WIYcn/qzEPbi7Pn + Z2fm87Ml7no7ahOiDkWL1wRzQc5mpkGaFMXzvCjyOtPaEEoEEJs0s/lce1FYgDhi7krO1tlcytfv + ue2R0w/+5lt/K6XcjhNNrbrCg7jq3kGZw+FXIlo6xlgvoRWRJw461UsrJn8N8n2h1rWq88G2h+hw + ymYhhCgCz+LmgkF0xDCEnR8++t2//ML/8dDiR4vJtmyJATvbY5eiuEIyJBcBaq/rGmzZO7mYKRzm + OtRn5TD98tVk66resdXNKq7jgj42FJjABC7ZAEDFIa4mngE0CMFL6cceUbG1NT15/r6PfePP7z31 + 4/e949/kMh498rzFTvYxhuVZcKAAUFdDxHJsZa/+nHU4hx7GWhMREREREREREV2LAtAYYArABPBV + HV8BLqHc+8WYIKwmRYchN10o7iIYF360jVg4FoYuMNaa6CpVw6qxinOIMdZYa1UNIYzjuA4hzjlf + maSH3d3djY0NAO5eW9V13WKxuAK7frx67IvFomma6XRam1RKqUHXz1ytwF5PcghBVgCEEFS1JlvX + yI2rPdO6qjnltR59Sqnmptc4cyIiIiIiIiIiIiJ6DrPV0qUKgOXVqwDEReHianXF0Wv6tT6tpc4a + jwpAVetE9HrKvc5CD8OgqnWloz572Q6MiIievvUatIjEGNd9NQBVXS/IishlXJwlIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiIiKiqw6vDX8MFxjcBYDAQ3CIm7pCLGvJbX/fzt1f/tvP6QmZl77fRds0 + bhkwE6jDsSxbXisAOLBO3JR6pb+jCBQwgcOKwmUZa61AAtoYYm59SDqkBpudbPzDn/uFm07ceMtN + t1534oZJ2oCpliCWShGBRmlcRD0WuLsbRnRlYTu7i3PnZqceOvfAvQ/98EcP/N1Dj94XJwKbZx2l + kS6EgpJLHksOAV7rn3ttu6pHAMNilIgQUBRB0UhMJQyl7PT95rTs+Kkf7XzvDz/+v/yTX/6NV7zg + NVvpROgnailYQD0JkgEHDCKrHTxrn5p7rb5bY8L3146/SCTmYUzJPHRqfeODbgXR4SYm4qLuxWAl + qGonRRa7OP3VH37+41//y7Nyf7rBdmbwweGYtEHzsjMsupcPbUBwqEfADFA3k0PbUWkNmPZlpPT6 + jhYxcYNAl01XQK0OA8ttMmoitSBnCwlFMWQkwXQaZcDObHdyJPW9ff/k3zz4Zw/9zj/7D2htszs6 + zk0liQuWY6w5oFC4MtOarjzW+iciIiIiIiIiIrr2OOAII5AVQBFAAYPKZYizNoELXBAMLhCHC0Kj + O7kMgiZi2mAD8Y/f+M7f/vo3kYD0zI+HiA6AmZmZiIzjmFIaxzHGWP9eZz6fTyYTACmlYRiapqkZ + zM92kzY2NsxsHMe2bWvh8oPKtAaQUnL3rusAmFnN2L68ZdNDCIvFouu6GvBcEzVqkncN4VDV+kcS + qvocSLZeH0I9KBFxP6xr0ERERERERERERER0mdTFRywvZL3gzvq+KSDLqz7xNGfia5Q1ABGp88/r + yeeU0npGuuanMh6ViOjAhRDqqrS71wXE+uM6zRpADbRmp01ERERERERERERERERERERERERERERE + RERERHRtEpH9Ab/uzohrAIAVNUDhjcKCZ3ETb8xhoYy6+PL3bzvrD8eJjxm2kK7pBp+ZmvgyynrN + V7mkq8v/VV0BK8EyzGWZxukCAdTRqsqgvoiSN46GG19048+96qWve8WLXhXHONUuhQZZyswtW0JM + oUmazMyLFTeYS1BVRWjKznyim8dw5ObNW1+2+ZrhlrzwfoHF7Xd/9+6H7rjzgR+cOn9/aXa1K020 + HNE7HAgGcVVTuBbNJlbcYhCByGBWYMijZAAbE80lp428Mzt593Dmv3zp0bf9w1NvfdW7p7ltS4il + EQdksGBFR9uLOH12SdD93+H932WTCyow0FPEmsZETyZHLYooRT1HnYTRZz85f8d/Pf2dv/rmh7Yn + p/uunw25nUie+w1bR+fnZ662DoSuXACYixlUXdXVDCqGZ71Q/SWooxisFrARA9bh3Hv33SEA3Fzg + iCYIDnFbHpAYBG0nObsMSAIYhkWOGtrNeD6PaTKO8xKAP/jT/+mfv/23X/viN25sHLOZhJoRAgdc + YPX9GWv9nHcIByLGWhMREREREREREV0rfDkhXuv71v9MYS5ew6dX5d4FWK4TP925/dUku4sDELi7 + uAnG0WMjIcZ+NnqA98ONxxNKgdvT3wkRHQo1Mtnd92da14jrmmmdc44xNk1TH7wCTap/YNG2bQ2Z + aJqmhlsfiGEYopRMagAAIABJREFU+r5v2xaAiNR868tIVWtU9romey3LvlgsYow55xplXe/XE3JV + qweyLklvZiGEeuwH3TQiIiIiIiIiIiIiurjLcfXj3tXC9cpVdag7IBBzuLioQ91W17xeyspjnXN2 + d3c3sxp0XaOs1+Gp9fE68f7Mj4qIiJ6J2kvX5cK6SC0ipZT9G9Q7tfc+oGYSERERERERERERERER + ERERERERERERERERERERHSK2yukMFgQBsGVap2YL87PjQ39z11d8WkY3d3Qb035YIF6kpG0tQr4s + cg6I6zrd2WVZGcCBmvqsjlhiyp3shhuaW17zil957cve+PxjL0FpfO7TMJUR6AFogkQJCiC7FSig + GhMAMS/updjoKcZGkiHCg7k0op2UHMobX3zT63/ujefyyXtP/tfv/PCrd973/d2ds2mKnMaiJqbB + IDDAipiLpag5m2VvAlJqzHMpphGlmAbMBqQOoRtP7vzkk9/+8MlHTn3gV39PXYMjlghAarIprIhC + rJ6BWpp9eSbW2a7+DC90NQBBIqCAQwyPL+NQ9+VXffHhK09ERIQR13TNWHZHJtDaY4gBENfaU61T + nE0M4pbHkFST5GR9u3hodveX7vzMZ37wsf7Y7tjNdw3awAyTSXf29LlJ0xaMtctad4Y19cIUemF5 + 9DpkXFqfpb4cXJ709mm9w5KripnrOtn6IlYbr3ex7v8hUMfYewgIQc0gkNAEcetLRkQWhMl4eufk + 0Q3/yFc+dObc2bf+/Lu6ZqsZ27gM+zbDclhZvel6h/tHE3b4zxGHbfxhTSUiIiIiIiIiIrpa7S8+ + u1gsuq7jCtBPZ8AIQNDVdd0AhFGQg8OgJgimQBHAoSXUxch98+lPiQCIdUHC1aTuCUmk9I7ikyAw + jyFI2YHPgcRZSqKr17oTXqdW74+vXuc6XJlMawDrUaA2bBzHK7PfJ1IzrQHUxIvLy92bpgFQc53X + ec+llJwzgLpWW+8/B9QDqZ9vLUlfs0PqI+t/ErAwPREREREREREREdEVtn8tUSECgVyQZy2+3MYv + abJcva441itq1m/hJg5AAXFpisEdAdC9y3Keuv0z7XWSud7fn2BdH2emNRHR4VEXDety4fqPhfZ3 + 1+7OpUMiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqJriwiW1x5CVc0siOASrkJ/rpKigpLzRrux + 2+cY2ybGrLt5svv1735+x89q68N8nEy6HoscS9RlhLM6UINCV+dSfPngiplYEBSHOERFRcbBkjST + vDGZbb7xpW9/y0vf+YLNF8swkbPBa/3zAavKARD3grzMRA0K8YKyr+kBEHeF1y1EXAMgCLGIFbhp + i+b662983S1v3l6cv/2O733tzq/dvnv7ot1xm7v2QbMrggMCH01FQ0wOjKWYWT0uF3hBUoXZIAgT + 384PfP3+T+x8/Pz73vRbL9p6+bitLVThYh6TzsWKy1TCOBuSxLZt58Mc6lDBMuvU6tEVUWAVJfvU + Py5AzNvYKkLx3lGCwmwZcr1MolXmmz5V7g5ICGEcx1ou2szkwhIZdFH1am4RqKqI4CL56nTIqXjt + i8ThJgCyogigruoBErNZtlGSarKcyyQc7fvFuNEv0rmHx5989G/+/Nv3fBnH+1HnbtiQmnjh7kPo + NPuIVQ60OmzfuKu11109axd8fZ6wGsATRWOI11Izj7uF6Wq0ktVOgYt8Vfdvs75dbiW2bJPDoOLA + sg9fd7O6bkZjJg6ILVO6ZRnlHQXmyzo5rshear2daEiN9r1JV7bDuXPb25/82/mpxcn3/PJvbuLY + Edkou0MIoYmxz3B4dIjDRW1ZsWdv16sjMQcgy8hwdZZWuJqY2SFM1GFZJSIiIiIiIiIiurqZWSml + 6zrsK1NLT04AyRADbFVKXosiOOQZTD2bAEAwd5E67Q4pAIIBLmJ16r3OfxdgwNNcRiUioqomatRo + 577vRaRt28VicdDtutIWi0XXdap60ZRrIiIiIiIiIiIiIrraias6ipoJgqPerq9WAqCO4IAboC68 + npiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiWtVtpTYHiLoIoUkqBq2gcrYw6ns+n7rj3 + u7vlfBSNmspQciyxBfLqxbWCuS+zPWXfg+JwsZp4bYbFHG2HSTvdOT/flCOTvHFj8/wP/Ma/uaV7 + 8Q3xltBPrQdUipXsOWl4TCP3Z4s+/ghkuf+9dM/gANQlyhgiUrYRvV+Xjr3lpbe+5uVv/t65b3/5 + 9tt+dN8dJc0HO7/I2xYAwA0mJsgARF0bcXFfpXSLKaCuuSgsmcnO9x7+yu6ntj/w5t/9e0d+LgwT + yWpuXlSjmruEOJkkjJ5zdvcUY/ZSw1BdsCr/fkkfmZs4BArf+zabLLNOsQz5Zo33p41ZBnStEYc6 + TASACxwmcMDUVCGqutuPGsNkc2M2Pzc7v3vs2LFxyKMOC9mZN2f/9GP/+w/P/MCOLHqZuUIcwYBl + 72MAikIcT1TzxZ5wNF6HRj/29mIvUV0VnKlB0atbBaz+Su/dymqn63BrgRogcIcp1C68feygY09w + /8JtZHl0vs7n9n3Prlq4fKYABXCYSmmsTMZHFvd/74GvlM8P7//VD4SxhNQImnmfzTCZTHzIILqy + GGtNRERERERERERXpZpY2fd927aqOgxD0zTgUvFPpUACpE5qy3p2W10Ah4sVAIJQANTlWCgX14iI + DqWa4hxjHMfx+PHj7r5YLEIIpZSDbtoVdeLEiTNnzrRta2YAQgjrfxIQERERERERERERERERERER + ERERERERERERERERERERERERERERERERERERABFRh8RglkVdFX0uRe3Rcyd/8tCPwzQCpqrZijtg + ewmdJnu1ymXfg4DqKn/UAREcO5HGbOdP717XXS/nml/5mbf+2uvf+7x4Yxzj2A+LPEgKKXSCJmYF + /GmlMteIULlY1XQzgyKlZCi5ZBHZClu/tPXan3/TKx+aPfjF27/wpTs+k2MIU98ZzoUoTVQzG0c3 + Q1QAGAuSQICArA4rTUHIwXO0cGJ+z/a3/uj/Pf3Bd/z7n73uFxM2BU2UgAxbDEPMqgogqkRRBYKb + Qw3iopAaKGuCS8+3Xh7+E6fG0lPnS2CaAV1DlrHN6lIjnE1hYiqu7jJa1lahNh+2k4auO1IW465v + N9fFncWpP/jD33/E7hu1N+QCiOx1wuowWSY6O/QifZzYaiTZ19Vf8KtnT3B7ARcA9gSjxVMYRGr+ + dFi9QKBywe3yYb9g+9W+L7IvF9i+Ha/GR63vII4aELzvDXMUc/fgoYwKiVGCxPH04p7v3X/Wbzv/ + vrf+3lG92eapkTRtHbk44GI1fhz7osH1gp8AVwVdfdwPYwAMY62JiIiIiIiIiOiqpKo557Zt648h + LCeDD+c03CEhNax6tVzmgEC9TkGLLZcTHEFMnIHWRESHWowRgLunlObzeQ20vtYyrQHM5/O2bd29 + aZpSSr1TM78PumlERERERERERERERERERERERERERERERERERERERERERERERERERERERHQwhLm1 + FxJEM4viJpDgRXKRIg3uuPP2AbNm0izKXBwhhBTykBFrFPO+WuXqyzTr+mON9vTVaXbBYj5aj+ub + 69KZyXve8Jtve+W7w/ZEZxHmFsbQ1BzsLDmq6DMOel6KMY6jl5wDVENQt5ILejS5O755fNoeufH1 + L3zz697+ue99+ovfvy25SzMMiwUCmglEMYwY8zLkWB2oB+VwATy42qzMj2w1J8/e879+9H/+t7/2 + H1/+gl+03Sb26Xg6FlOyJKNn8+wa1GRcDDFGE7jCl1GyNdz0UrJHReQxX2N55vnY17z9QQbuzrBw + ujYYVvnQAACFwyEQZMshtcVzP5+Hpu3ixtnhlJ7I9+7c9X995I8fGR6cNTvdkXRu2NEEt2XPrwZA + dZVZ7YCLisP35V7D1QG4rX7LDI9JtXZAgNWr9m4f18/Zuot+hmdBLn6r+/YrWLUEEFm3ce8WQJG9 + cGl3Q+3nBeqK/YHWyzYrxNShIahL7ksTNXYiKW/3j3zrvi/55zfe99bfncbUxlZcF/NZbFLNtLZ9 + p25JDL5/QNHHbkCH3uHM02FNfyIiIiIiIiIiulrFGN3dVlRVRA7nNNxh4av/BBBzVxEVV3GB1Kl8 + 08e+gOtpRESHUY1wjjHWvywppbRt2/f9QbfrAKiqmQGoJyTnfNAtIiIiIiIiIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiA7MOA3Z35lsDgGsQzeauLgIVFB88eo/Fd+74Rmitt1yL + vYeQVDUUk73gTFunjKqrQQG4wLEKK5WaaYqywPXxxo3ZsX/5tt96/YvfgkenMU+61GYZS+iB4sWt + FEERFbFLieFc1qDf95GOYxGRGBr34sWDxtQ00eXIdHrq5CPaxSPTE5on/+KV/+6NP/OOL/7gk1/7 + 4af65uwYZots5jBFSjFAJI/iyKHuYgAQLEpRkXR6Zzh61GZHzv7+x/7H97/td3/1pb8RZxuz8/NW + G4QSgrnZkHMjrcZkgMOADDhggDrCM/kK8gt8Gbl7DTIQEQYa0DWipiO7ZFllIItLTaeGlpB0zL25 + d920DHl3PBeP+I9mP/yTT/3RA7MfL5qZbuazi7Mj0OoqotoB1BBrXYVVZwCCGuqsq/3stxwvltHU + y98+hdeMbFPff7sXGg1AHI/vBfeNUMsw6b2wZ7nI4LJM85Z1vDceHwUt+2/9cY+sblcvvzBme7nT + vfdctkoAoDhs9BRzk6JmdysjSjGLHbyZ/80DX1x8qnzw7f9tHC3vtE3sTMryA6pna5VHbssjX/1/ + dar3BZbTVWA1DKEOQ4dkVGKsNRERERERERERXZXqwo+qAkgpAajrnQfdrquEWAGszu57gKsAkCKr + yUoXmDgeMyFORESHRghhnd8sIu5+bWZaY/VPgmEY2rY1sxi5+EVERERERERERERERERERERERERE + RERERERERERERERERERERERERERERLTHXcTV3UUdUornkvDQ+QcfPveAXO99HmJqgoVSShELUTzX + vMy8zLQWiAMOFRRRF1sWOQcEUIcP4VjzvOnO0d/+J//Nz9/w+nxKN3Rj0m304yKXXHJBcNWogEAu + Y1SzmaWUELQUmGWBlGI5l1LGzSNHBanv8zHZGOe5S93Nv3LzL7/qdV/4zse/eseXBjnfbjUD8jCM + HixJzepGUYhDHcEzoCpxejRtj+NOOXfkxMb//YU/6efyqy//p9dt3eA5zxc70mjTNF7EXVJMY841 + 8LWmnNbjvORa7/sC2i/HybrmuTuzDOiaI1YAiAcHXODRamSyZGhxM0UQqBekaco2e2B29599/o9O + 5Z/shEe181lejPDpVtjdLW1cZloD9dYAqK9+p9zgAKxuYIJVQLUBUFt1iBdYv9UyB3p1u7eFiV3w + OsdjNpDHdI/LfGtbtxCALvtiNbHHbo+9hj1ppnV9NqwGvotYvZVJPTkwIKiWYjlb0iGIFEh2ycAo + QGtjPv29B7784S90/+JNH9TuulbbPI6PfVdXwFaH6hfuj8PDVcYP5YjOyv5ERERERERERHRVEpG6 + 8BNC2NnZmU6nqlpKCSEcdNMOsdVEtgMOFEESccTlarBYMAdgAgfWM/RMtiYiOpxCCCklrJagRGQ9 + OF5Tuq7LObdtCyDnnFK6jH+WRERERERERERERERERERERERERERERERERERERERERERERERERERE + REREVwsROZx5gQdNLXsIyXyAwMSK5iHYXQ/cWbqhxOwFIhJCGHOxgqAurgBcL5ZDWiuZixmgjmCI + JTbD5qYd/dfv+PcvOfoP4nzr2MbR+Xx+dvd0mkaoB7QBQVzV4SjFRjzNErLLqrsCXFg4vW0nZjn3 + g1lW1RSDiJialzLzwYqHEKK4jEV7NPPuZ9tf/Huve/nrXviPPv3tj93x8LfixthO2u3xfElwgWGZ + ab0MDxVb9EMTERTjiD5CjuGvvvEnD5+9732v/+Atx29tdsX60ngIkrJJP2QEBUxdawKpSw031Uso + 9s4qu8+Gdf/AvoKuEQaYFoEFi2IKD0U0h1IUotnGshmPm2E2znXT79u96xPf+at7zt/xaH6k22rR + 6TAr7RRDLintD1BWF1u9PWrfXu+bADXRWSCr2Go4xCNc4eoC0wFiWOVh1753H33MIfj+vlAAwOpr + fW/rx4VVL5u377Vmq3jqvW1l32sf1x88fr9141AgvjoDAnuCrnp9XCISIqwgF4TgGhDQmLQZvjsM + k3YY9PR3f/zp7ivl/W/+D2fP2USnaqKrBHED1FeNXx6Fqi8DRi6aFk6H2eEcehhrTURERERERERE + VyUzqwnW7r65uVkfZKb1U+H7wq0hWiQ4omMU97qoWQRlPV3vzsloIqLDSURyzgBijDlnVS2lHHSj + DsBisWiaxt3NrN456BYREREREREREREREREREREREREREREREREREREREREREREREREREREREREd + IsvarUXci6O4WpHFfafu9cYWZXRBzjkgqmoIMFvHipoLTKAG9XXyqK0CTWustbbDZLo4+s/f9oGX + 3vTqo7ixbIftfha70KZmKL2oqqoVFXMxqKiqZJTLUkZ2GIYayJ1Sci8lm1kBIDGWUmKrEMwXuzGE + I+2Rkt3mHvvu1Te84ZZ//KKv3vXZz33vkw88et/x48dm5WwJUOwLKBUA6DqMIwrQdsnczvSPbh6b + fP2+z5nZr/3Se16w+QIbVIYmoVUNtqwP7EAUMwUMpmIGe3xQ61O0P9xaBCy+S0RPmxgc4gimcIUi + 14clxCh5GCSE5og8tLj3c3/3qdtu//9wIm8db3bm/biLrWPNfD4MAyYTYIS4wpe9mYlhOTooUPvM + 2u8tuQC+7FQVgCtqh+bqYgK4QPwJ+kZXiMEVgpgBMV1v6Squ6zxrcSxjnve/1fK1tmznXpMcgO97 + xMRczOSCXlodJvujsg01ssPrqBdrM0ysHjLw2HDreuz1DYaxNA2agFLqyfHi5h5iaufjUIJLM5xf + nPz+fV+dfu36d77uvWUWxFsDarJ1sNUJRNk3Qhme6NQRPX2MtSYiIiIiIiIioquS6nKedP9yGj0p + s1FDKMDgOUgDF8S2SID0upwZF5PlhD/PLBH9FKUUVRWRcRxTSvVBM3P3EEK9LyLspZ+hmtZcT+n6 + VJdSRKTruvVmIlJKSSnlnOtHUF8IQFXrHQAppXEcATRNMwzDT9mviLh7jNHM6kcZY6yvxSpIG0AI + oe53/dQByjk3TVNPRT1jRERERERERERERPQcVq+0fOaLEeslj5xzjBH7Juf7vm/bFkB9yszW69RE + RHQg3B1A0zR939dH6npoXd/Eap26duPuzgVrIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKia8je + xYaIMbo7WB13nxCCma0zOmPUrIu7H7pz1KEIQoCYWCk1BFQEcOwLJ12WLFfYmE27CJg71DGNGz4v + aT75R69896tv+qWj8Xi/M6QYHKpwNYlI5maWISZBA1RNUKBBy75g0Se1vNr/caHOqqgXoZZSxCHQ + IOqC4gEhZMyBLK2ayyKP6kFFgjRl5lvpxre+7D0ve+GrvvKDT33x+5/Wra2FL3oAbogSU0DJZSzi + qEXbxzy6jHEqvc+syd988PPzL5977xv/1S2bL7EZbHRFUTEEB9RHwCMgKqXIIsZg9rQjSN29Xkvr + 7iGIOyOtL527i2gtJaEKs0sPGr/WiIg73BFCEBErRXjmrjqu4oAH8ZpHYQJTV80C8+y57fycPPKZ + 7/71l+//3OLITkiwARrRAv1sUKBLwAhgmWm9P8fCFIraP6nB4TC4ahCR4iYqtRqAFTjGdXvUWzEf + rQSIxlDvu5cQQq3u4hA3d5FU2q5vkidBAODFAAkQ1ViKw1UAQRCREGIQXRaHEbfiBjMz82xm5h5j + zJ7dXdRryRozG3UYu/mog5sAUI0iAnf4chuYFxvdXXX5rFmuxWqWxyVAgGj9NVFASqmHHgGYZw1e + Csqq07HlaSte+i4G8TIfsbWhD5z/8Wfv+MixG46+4QVvn8TJzrnZRrPRNd1sezfG4O4WBFDAfPkR + mLIfuwrlnEXgBqyyALAspnGQ/2RlrDUREREREREREdE1w6Ea4F5KbmJrADSc7IcXqmwIwmoZQX25 + GKBcnySiJ7aODXb3Wg28hi7XWOWc8zrrGvvymOnpEpFab93d1+dwHRyOVUB1jLGUUrOla64zVuHT + 65fXzwhA27Z939cF0brl4+3/TLuu6/u+3jezUkrOWVVTSn3fhxAONtO667rFYjEMQ9M0NVCEmdZE + RERERERERERE9NTVWWV3r5clr6eah2Fo27Y+wkxrIqJDoq5y9n3fNE0ppZRSa0m4e+20a18dQpjP + 55PJ5KDbS0RERERERERERERERERERERERERERERERERERHToiAjMivXnhlOz8VyZWK1MLg7sy5lW + GIAi8BqzuKpYnhp1gQK5YNJ0i7P9xrD5ylt+4U2vePvzwg2754fiOplEh5fsAgkeRaxodimAmap5 + xAWJ2c+GfeGhKy4woLgFCUlaFG9K86Ktl26+snvZrS/7i8//xcPb94cuy4ZsD+d3+txGBIMqIEhR + XD07xuIBRZtxx07fde72P/3EH/7Ou//76xuU0nVhElTGPMIViKLiAhGNHsYxqwYWfT8MnPHgdI1R + 1BhpAFpEIMXV1A3QPNjm1sYuzpz1M9+4+wtf/dFtj6SH/IiU4uIQhwsEy/5ffJlpDdTBwlbPIGuB + Q0QkREGA2VislNI0zTD2ZkgRqhDAHQJYcTONEtuYxFWKKMJEgkJ9hGf34kFi102n0+lW2rr+uhsm + YXMymUyn043JxnQ63eg2UkpdNxWEoCrQKkhc5gSL1cLs5u6o90suPoyL+Xy+M9+ZzWbzKu+eHk9u + D2e3t3d3ZtvjOBa4BEDdxIsPrp6aFuo5D+OY3T0mHUtRRWxCCM1o41hyNsCQs4kgBI0hAVqyl1Ji + WvY6ZR1bLAYMwUPJoyaYoASJx+LpnQc+9c3/58Z084uP6LETJ/rtod8eJ3GSQhxHiIhqNlnmdsNt + Ncw9yyMqXQMYa01ERERERERERHQt6UeklEI0oGSH6Lu+eNvfvfFXmsVuazABXIMj1Elt2VsnJiJ6 + DDPLOccYm6YB0Pd927brXIcawLxYLLquq3nDB93eq1iNpq7l12vt9VqNPcZYQzVEJOcMoIZbr/8s + okZirzOn27ZdLBYA3L0mYdcK7xdVn6q39VXDMHRdV1M9aqv6vlfVn/ImV4Cq1uZtbW2dO3cuxqiq + jFEnIiIiIiIiIiIioqeohlXXJQ93l5U6IV9KCSHknOvaR93soJtMRHStc/emaYZhAFDviIiq9n1f + V05jjOM4TiYT9ttEREREREREREREREREREREREREREREREREREREF7JlLVnXUvLZ7Yfn5VwRFIEC + EKs1yX2v3OwyKdMBEwQAgIgshowGUVDm2NJjW3rsra9514nNm6VvteTUJEEu7uJJJIl7cFGYSXEp + Rd3F9rJRnzJxxV7R9Nqwn/ImGWIQqLVAq24COMwFBeLqLsVK9vkQs9wYX3z0xPOf/+uv+OQ3P/bF + uz4+s7PTrSQ6yJgmk+mw2DUZi3ndmzqiSVDkmB+eP1AS/vOH/9O/fdd/94LNF8VBfSZtOzV3VzeM + w2gRmjxGV2PB90PAGWpN1yDX4AZokYAASAZKcAmuMUzHbLO4fff2Dz78tT8+3z5ybuw3gkiBOrCX + XK31fZZvKIb9I4UAigKYFc8FGEVjiDE2TS5D27aQbLm4IQg0oDhEABNoVIu+MBl0Go4eaY/edOT5 + x6cnbj5+y00nXvC8zRMb3VarrSK4BRERUV9RBEEo8yISAkQkLNOsIe6mkHVTzR1ADboOmgqKty4T + l+dJfYl7gRQgZ5R5XpybnX3k/MmHzz50ZnH6J6fufXTn5Jn5o2XMaM1jiFE0aRn7kLSUcacvZggJ + IUIETVAz8wJ3y8PoLqpx0k5zHlwMYi7LUVXcRKBm7mhVR7V5b+0k9pN8z9k7P/L5v/h3/+wGG/Ok + 2WzjhmcMQ06pyTaYK2Ai7vVdkIGaWU5XjcM5DLE2BxERERERERER0bVkFfNZrHQxIGc0eqaTEwMA + 1Gl8ALKazHQcZFgpER1mqlrDqnd3dzc2Ntq2xSovGavq4V3X1fs19eFgG3yVqgnNZgZARCaTCYBS + yjrKWlXrswDMzN1DCPXZGmgdY6xb9n2PC9eraj7HE+26RpXXtzWzpmnqnbZt6xvWT7k+VT/3K299 + 7MMwtG1bD4dfNiIiIiIiIiIiIiJ6ilQVQA093T9nPplMauI1gPUiSP2RiIgO3HrRcxzHuny57rRj + jGZW11iZaU1ERERERERERERERERERERERERERERERERERES0YoAYtMCjqCIEkXOzk6a9C+AQh/oF + SaXiNYMTJvVHGFRX9WDNEAEdQ1e2XvfSt/z9m//B4lSZKCZpw7yURVbEEKMVF6jA1FTEiirEsipg + apeSxCl4qunQsjwohQtEHbZMaHW3XEQtSIQAxRwxId7Qbr3vzf/61hfd/KlvfeTeU3duHttEE86d + 255OOxg8j/X4g6q6ZCuhi9nHXTkz9uP/9tHf/733/A8vbF7SdFvFRjNHgIib5GIhIamoecEq0JQO + 0DrYehWCS/QcJ4C6GrQIAFcpAhNXB5Dk9OLR3a0z/+W2P9rpHn0072ydSPP5OFm9Vg3LTGsoah+6 + F8y83MaBPkMjNMINpaCUbMhRJKp6HoNpQhITzwVAQgp2RKU9unH81ltufckLfuaF1996fHpiqltt + 6VJuw5hijsghzGO0CJWiMEAkYFVtIGBdhFxFRByrwOtadx0AAsSWI5oBWm/di2FdmN0AhZdOoqMU + L1uaT3ReEYIhAAAgAElEQVTPf+HGz+Zb+kEH2bDtcv707iP3nbr3rh/fec+Dd5/dPp3RN5OmHxYC + bSK0VcM4ei6GeW8hIChUgOjuUC82uiIY1GVYnkMgAOIIihrELQi5FBuztEgnwj0n7/zQJ/7kg+/9 + nWCKuSSRNrU5Z6jAVcVsPRqKw231GdHVYT0MHSosz0FERERERERERHQN8VwkJZiloF4g3QQpbAc1 + hToK4CJwAAqUg24sER1qpZRxHLuum06ndbGuVgyvicLDMNTQ65rKzJjhS5ZSqjkZ7p5zFhFVPXr0 + qIjUhc/6dzw13LreL6UAaNt2f461qtaXl1JKKSml9TtcVE2Jrnnkde8A+r4PIQzDUAO2F4tFCKGU + clCZ1th3mABEZDabrb+ERERERERERERERERPyt3NrE6/10jU9Zx8SgmrWfcQgplx/pmI6MDlnAG4 + e12pdPf1cuG6l1bVuk59YK0kIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOnxcDO4Ohwf1ICLn + zp9EGBwKV9T06v25y6v7vncb4XAf2lb64jBpvd2QY2/7hXfK7qRpp8giJhgNZu2kcZW+9KIxGIIp + JALmgjGYQRs3+NNJ4qwbi+1LtjYs241lQvZeJrcmiybmkk3NRAGoq7pOQ7BcvARo9NjmkIuOrurm + ttO86UX/9GU3veqvv/qhr/7wc/Nud2OjGyASpo0VKb34GKKNIoO5Lkpq09nd3RNb/sj8x3/w4f/0 + e+/+jy8++vK8Y+Iqo6eoKSgg2V1En2ocNz2bapWJg24F0RXlgCG6wKVADG4A4FJC3smPyonhzz/z + p/f198wn55sphtnYxYiSbdmvqjgA9ToiCGo3Xh+sHa+5JoUZ4EXEmwANqLHOWmzc9VhkszmafCNY + d+N1z7/1hp95xU0/f/3WTcePHVPXYTH4KM1u24Wun+fkKUgMSAJRUQS4L7MzamQ16i/yXpdqIqIO + EQFEVGRVld0A1BrsIm6AKFygoQ48IlJjrcV1KKNCJKQYUlQpbmXMHUYvkvLWll/34utf8Y4X/rpp + OXPm0Ye37//O/V/70cm7Hj71oGu2kGd5Jyi6jVB0MLgZsiMEjxFmJS9yDJ06CtbncDmMuUAC+tFF + Std1Ra3PZUCfNma3P/qtz3735re8+h0lIoSmnyNpgivE4QopWAaWP+vfH7rsDmOoNWOtiYiIiIiI + iIiIriECaVMpJWjo+7FtEswQFNOJnQME4nDABOqAAPvWZomIHiOEEEKo1cBr7nLbtjnnmvdQM61r + fPI64pouTc2iDiHEGAEsFovZbFYfd/cYYwih7/umaXLONWI8xlirt8cY14Ec9TaEUAOt68LVEyVb + N01TP9b64zAMk8mkbdvagK7rZrOZqtaG1UiPA9H3fT0t9XCm0+kwDIwVISIiIiIiIiIiIqKnqM6c + A6gz3u5eZ9FTSjnnOs0eY6zPHnRjiYhouQCacx7HcTKZrB+vq9J1KROrZVAzW694EhERERERERER + EREREREREREREREREREREREREV3jRMQEbu4ucIH5+e1Tjh4QRyM+LFOiFavI0n0c8OgIBofrOFpI + aLwZZuWX3/DmY83NYbtrm3bMg2gKIam6m5UyBHHAIOqyuurTgzj08bv46Y0HdNUSkyevnq6A/P/s + 3eu3bFlZJvjnfeeca8VlX84lySQTSC4JmIpJSaEiqCAgqDS2pVXiQLoQUat0lF1dY/S3/hP6U4/R + o3uMrqrRVbZlt1qWWiqllGTJRRHEC/cCAVGRwiQvJ885e0fEWnPO9+0PMyLOPnkSzCTznL13nuc3 + 9ohcZ0XsiBkrItbcOWfM93Eo1KRAHHIkQttcRQA195KtFK8JItCKW+e3rS4P5/XZP/ztb3vWHc97 + 18d+62+Wf5N9jH2MKhCBoRZYQIgKk2HI8115eLHY7fGQ3fevfuP//NHXve1Ft/39nbqPwW2sMUQE + yTWLCFe9Hi8RgaNVb97uOZnZokRPLhMF4AJIEbi4KuCiRTN2x/d94p0f/sIHhvmhT+CC6NAMiLbI + ZwDbTGuTqyLh1SGuABQaVYvVWl0cQREMnmEZncx2MT87u+0Z55/7gmd+/bPveOGZnadNfNZd7Ps6 + kYcEwFw1hFTHOq6GM/N9d7E1sU3pAJHQCqk/oqC6mbUPdW3tkZauAW9dhKz7CrcWIo2jv+3eyqrX + ls1hcK8FRaEiIipdkL4M5Uy/48HzMNqypCB74fytZ++46xl3D2n58KWH/vwvP/mJz33kC/f/1coO + sSwDFpKqhupeLcPMVREnkDxuAsLXx9QFAMaCSZKSvVSkCBFRQ/E6zpbFy+/+8TvuvPPZd+3esxqX + t+yfufzAQZcmrT9UtyPdIM9jp8zJ7HoYa01ERERERERERHSzcGCEt3rrfZeqIYQATYfLZZskVoe5 + uqCqtZRrTnMS0VfS5upSSsMwtLRjAC0yuc3kbdMduq5jsvUT0Y5wK7k+juNkMmm5GmYWQiiltFyN + cRzbNyHazlbPHcDDDz8sIm3P9t4ODw/n8/lXedCWgb2do20V4cdxVNXJZLKNMy+lzGazY4y1bk+t + bbfn23Udy9MTERERERERERER0WPXIqvbHAcAVTUzAJPJZLVahRDaUqejEyJERHSMaq0xxhhjKaWd + vdt8dCllMpnUWlW1XdtmSImIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIgIgKvDfV1y1lQdB4cX + qmfDDG4A1GGbyE+XzT/XO1WgcAXMXcwQDOq6P7/lW/7ey/2hMMWeH2SBhkkyuFmpXmrOXR9Rq2st + qraueq5daZVj7Ss19bEQwI9mrAqwyfYUhwnW5Wk9qtk20RqwZS1BkwYVCcGDIqD2ojCsDi9c3J2d + QZjLavLtL/iB3d1bf+0Pfv4CvrjAQ7lCAgRaXdwtRjH3fpKWqzyZhsW4gGTp4y+9++d+6GXDi297 + 6dP0mWU0CcE7VL2MgFg7tfBEnjI9KU5mnijR9eNAVXGtyXJwREuOWBRjzJ998KPv/NNfG6eXalqZ + a4BEkZwrkrhoO8Pq+lxtR0+zW+oRKHkYQ0AvIkiau2iTCXYnafe5t9/94ru+6evuvGfmc6zSRKZx + 6G0ok9hp9epmZl7c1IKGyWS+Wo1whYqqSnAA7oZNb7EJor4ipugOwNrneptarwIczcDefOqv/fiL + iAFIAhEVARQm7m4mbu4SxoIgENMgUUxqMbXpTt7dSbpji1ue9Zxvf+H3XLaH/vQzH/zjT77/4vDA + wXAx69hN3GIeLRdDVIgaYOpqHiHmYhDz9tJIgqpbyWWAaRcj1A/LMnbLLs1+5Xd++Z/9wzun/f79 + D9+/Pz/vo8EV4nCFmGyf19f+7qBjcDK7IZbnICIiIiIiIiIiulkYAMiAoqYquh5jNnSxhwdHEYfC + sigAdfnaRqHV4fJoexxYT+yiq3Z2NWAYYE9o2piIjpGIqOo2X/noVX3fD8PQ8pVb0gMzrZ+Ivu+3 + k0xd17Wv/rRM8ZxzO9qllBYfjk0idSlFRHLOLV88xthiOVrkxnw+3xZ5f9QHVdWWXN5yyluGx/Z1 + 3GaWhxCWy2VLkr7ex+FRtRa6e4xxGzTCTGsiIiIiIiIiIiKiG+boKHNVmEKujBg/Ygj6JC6owGbQ + 293bOHMbahaR1WrVNtooPTOtiYhOiDYb2KYI3b3W2uYx2+R1CKHW2q5tE53H3V4iIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiIiKiE0BM3B0CqGqEFgu+8KXFGtxgUFc7khItm4hrcQSDQ9UVYnA31N1Z + OrycPcs9z/umbpjN+7P1oscQBO4uw7hCwKSLXqs6DDCBb3JJ1RFM0XbK9Stpa+uIbldpLd/EYMeU + AFQzqyVIVA3wWPMQFfs7e3XEuBpSN9dlvPuWl/5PP3zHL77r//rCxc/ev/wyOkXXFRurV/Hqjjpa + SrHkGrpkYgflQfPhl9/zc/hO+9ZnzlK3mzxeU3vh6OrXK0/fBMA6flucK2SvG9eTmSdKdP20c5A4 + 0DIjoFVtSHnVX/zNd/3SIt5f4tLFbXSVOOYymUxWVhwGWf8WYKZwWSdQXH3mNgF6Q+dJSmdjmsm5 + O2974Uvuftndd96zE8/qEONh12MarfMMqdJLZ5YrKiTEFOFa6lirCzTF3t0N7u4m25RqyDq4el0T + ZvvYpYzrGyjg0i4hDlunXG8OgLdLkfbbsrmyhWe4O2zdRbi7w1VFBNpq7NfqIqoQd6jKVCdSOsve + xX6edsZxoZK+8wWve8U9r/rcFz/14U//8cc//5GD8WKc9RJWGSO0VoU64FBX23RGJuhSGlZVDJOu + rzbUWtxDyTUmSMBidcmy/tb7f/VHX/v26eRcyTkgXHlZ3RWAiwm+xkwRoiMYa01ERERERERERKfV + OI6tLu0wDH3f55xTSsfdqBNNgQAERAggCAEYHFWTJXhnKKoOr+3Gsk62fpwPsR3eByDWhrPXVyng + tf1jnvNzLj/83u965Ss/9vEn/ryI6BiZ2bXT8C1cuRGRGDkZ8bXbBkuHEFpPt83PaKXYh2EAoKrj + OLarWvCGiNRaAWzjq7dZ1M3f+bpsZ2dbqfejBd+32+1ua60tBnsbLN3ufxv+cV2paq21lLJtczto + 1/txiYiIiIiIiIiIiAi+WdQigNgovlLv3dUBCLwtSIJI3S5+8fU6nyeBCORJuqvm6JRH2xaRNv4M + Dj4TEZ0Y63Wim8vtNOj2LL3dw0xrIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKim44IHO6QTXYl + bYkIqkVNZlZhY/IH7HDVoasVLqZwUQBwKMwFNbQIagiiiwJmYtAiEYeHeYbYHU5f8w3fuzeeX43j + ZDYrZRS4W45RAZRsEkIxAKEt5td1WvM6cPpxZVo7sE3dPlIaQHF1TPQjb4Cr81dbBihaPQGEIIAb + CsQkuAFDyVDVpI6KjF3fm1r4me/5X/7Ln/zO+z78Xy4OD14eL072wjLkbEgRtSBCkqS8zBoQel3I + w0XHn//Av7z8rYeveP5rJ5f2ep9N4u4wLLs+DTkDEkMSuJm5A+oiwQQuBXCDBVNlrPV14CYhaK0o + paqqGb5y5eTrXlH5dGkFN9y9RfwGYYTuKaOOiUjJSLEzmIvXlA/Sfb/30d/6/MWPLftLgIWinatB + a4pLL0Mtfd8FURuLuEEgBg9oORMKeMEsBVVdDMM8pnk+p5fC3vS2F3/9y176Da+6dfeZYZiGVUrW + BdNNZ2xBFAFVqui6vy5e4NrCmg11+86SdaL25lfX22GzfQ03uMJsHWt9tCKNK8S2ly3AGuLbPQoz + qGwfGgJxbVVozDbdzLoqjbuIF4WJmDhQapS4o7tWd2qxl5y75Z7v+Jb/ds8XPvCJ9/3JZ//wojzY + zyeH9WLtLVd0cLHiqKvBZ/uoGVZqj04lIJuoSlRDDQotgKEmH+Pqg1949/P+8s7vfsYPyjAGn7p7 + STC3WEw1uCugeDz9KR27Wmv7E/WaeIfjxCQJIiIiIiIiIiI6ldy967pSSoyx7/taa0rJzL7SPDHn + j7EZbjfABII2HG/wFl8tLoDDxBUOiJoK7PEHWz8mnVk3DGfGAVavywMQET1ViMgwDH3ft0sAIYTW + /bW86pYn3XKv26/UWkMIi8WifTHixsSKty64pZi3hI9a67WR59dDS+9uT7M991JKSukGPDQRERER + ERERERERrZOt2+oggQs2M4zrVaUAAM4JEhERERERERERERERERERERERERERERERERERERER0Y3D + muTXEodCYA5xqBepK1QTCEqwOCpMoK7q1mqYu8CA4BqgtaVQS2mBy0GRSnfbzh1n5XxXZ0VC9hoE + 2sqeb7muq9NeXaT2cQVaX/mtr/F5f3W2vWzVEsQBMYEFh5YUbPfg0F7/kh96zjO+/hff+W+CdPc9 + /AXZBRSiMMFymfsYdibTYsOy1NihIl9cPPjv3/fzxcsrv+71ywcPd/XsJEyHRen7WbHqsGomIv1k + slwuQ8CRg6YuArdHS+umJ04BB8T96tRboqc4C4LlsAraaYzLPNRudf/4hfd9/F05LmoocIhHcYXA + FFXQT3o3KzkHN4iriohDAUMIcGB3d766POTFcG53Lz9U97unf8fLXv33vv5b99JtYdjpFvNYp+qq + VdQNUk3MxQAzbTnRBmAdxvykRDK3zuno5Ve/9urLr3DCffSGmQBaANg6Clu19tEVQCllMt27a2f3 + Wd/5nJe95OXv/rN3/elnPtj3qOPl6VyXy3EyF5jv78fFUEpBUoMUrENDoK5VDEBwuGKwwRXdPPzu + B37rW37g5Wc0pNq5i6O2V8TdXdRdhMnWp8qNKd3/eDHWmoiIiIiIiIiITiV3zzl3XTcMg6qmlNxd + v9KoL22IIwgyYEBoU2aKupk7W2/4et4UnFcjIjputda+79slABFpnV3LtG6Jzm3DzNw9xigi4ziW + UlTVzHLONyDjuSVJ55wBdF1XSmlJ262F1890Ol0ul60BAEII2/xvIiIiIiIiIiIiIrruZPtjgAVH + tPU6GaBNOIrLZspxMxdJREREREREREREREREREREREREREREREREREREREREdL2JCE5kcOBxMThU + zFzgqgrzmsv6KrWjycrttgC8hT23sGpZ77SKKIDH25/+rOl0JiOiqNmpD9Q0RLSwVTFxByAOcd2f + nr98+dJt82f/1I/881/47X/jl+ql5f2645fGIfayq6nmvFguYoeuQx4RLLi7T5e/8p7/5+LBg298 + xT9cPJASbpnqTIqs6oFHn+3sjGO5dLCc9RO3HGAOGASIBoOaeFVnffgnmW+w9j7dbJbjwWzeBZ1e + Wl7UnTp0B799729eHB+sXQuWhsNKaN2ACSy4lFwDJGowKdW9OqxgogKJRez+Bw5vme2dn++fWZ7/ + zpe96sUvfOne5JZok7LQ5JNee0BqHjUoxEwK4BBb9y843XkiDmRVAC7WztMCGFRd+9TVIUue+Kre + MXv+W7/vhS9/8Wvu/cPf+dyX/uvl1YP9WbtULkBRivmIeafVrYQiXtRjMDWouEIsO7oUfKzmxVUf + OLj/d//oHT/8HW8tlwtW6rVIggU3qxEdi/mcRu4nrh863R9LIiIiIiIiIiK6aalq13Vm1vd9Sqll + ebbLR3Xc7T0ZfPMDrFNGZT3Ra7K+NIE41I+UmyciouMTQmiX277MzESk67ptYnTb2MZdm9k2UlpE + Yow34Gs9McbVatW2x3Fsj3gD+t/lctl13e7uLoAbkN5NRERERERERERERI+0Tq02AMEQDLoZG96O + EbdZSFvvOmlLKoiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIie6lwBERF3d6+qcHcvrutg + TnNZJ1u3OrYuuJKqLIZ2rUC8ZTFGWLzj6Xd2YQprd1uPBGOfRgpXeEt19M1ThrqghN52z6Rb+/HM + j33/T730ud9+vt5uD+pMJ1JkGEYRmUyCO8YVoooGSxNZhMtyJr/74+/89/f+O987XMhDoy3HMpw5 + syfily5dFBHANbSjrOKq6waoibuYsUz89bEtmSzCChiPGw/aqSTWdWE5LAGEpKt08Gef/eCnvvRx + n7tfCaqwKjAFxBSwXIJbDC5qtcIMAQgOK6Es0Nv89p3bp6tzL771W//p9/+LV33d953FM9NyL6x2 + dsP5XubDYR5Xy75LkGKSIdm1QMzErupcTicTONREq2jrOF3WZXbqWKJ3sXSzuj9Zncl/G57Rv+An + 3vjPf/S7fuJWe1Y8nM1814uU0Xams1odgAtMUbVULeuXwyERY62qEPHiNj3Tv++T9/75Ax8v3dI6 + M69eSxVUgYu0O6FTpBXwP2ln03jcDSAiIiIiIiIiIvpamJmqtgjPWmtL/XR3zmf8HdwAmAggj6gY + 30a9N9Xl/UideSIiOk4tqTqE0Dq79u2fcRxTSrVWd2/dX8uQdvdtt9gucaMm+1W1lBJjbE1195zz + DXjQcRzHcVTVnPMwDH3fb48AEREREREREREREd0AbWWLAMER2hyjPzK9ut3GcCX0moiIiIiIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIiOjJJSIts5a1yq9lUIGYuMi6nLtCxWFiCjOBC8wBsSvlyX3z + q2LYFDAXwC25xdvO3+FVUATRFfIUqGruAgMgUIe0IHCLsNCFlA/HW2Z3LMpD//23/fAdO7e/9yPv + +uuHPjc/NxtjHsrQdZ1JFcOkk9VqLGG0Dgf50s4kfOhzf3h4cOnNr/2xnf0XXPybS3Y4m/Xzg3xg + Q57v9KthESUCKg4IFGYwuJhoYE7pk6qdE9zdr6mJQfSUp6ohyMHiss3KoV5454d+M++sFjgQXcda + Q1BbprIgGKJLUFRYyYAhJSTtClANO2l/fNDvesaLfvA1b3rW3l260Dn2yuDiyYpn8y7IrJu4myMD + FVKA9ii6+TntFFC4QOAC8dZvFPEYQ0whWQ7DWKbadWE2jGO9ONxz2zff9Y/v+oV3/dxfXPy0yP0r + PzQzg2vrUgUuKGLiJq7qGjpZLGqKEAHcLxw+tLcz/09/9Ku3veZ5t0zuDIOImwc1h0NbxshxHxN6 + HFqawEnzFPhkEhERERERERHRzajW2jZa0mettZTCeeK/mwO+zqzeBlgHh/pmrPDoIeThJCI6AVS1 + 67oQgoi0uOi208zMTFUBuLuZba8qpQBol+M43ph2uruqtlTpcRxzzjHG6/2g7en3fd8ORd/3251E + REREREREREREdANs8qodgGyWZbarrp1s5JJJIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + ut5Yqvwr020x2yg6CX1AAFC3pQLEcFVxgKsiSHVTzFxM1bqzZ27zIuIKdxXRk5jS+NgZxFqqNVyA + 4CLqUT1IDVi5ZgnLsGv758Pt33LXq970mre+cP9F4WKvY5r0s4PFWCrm87AarCiqogZYLEs/OPQH + P3PhI7/0vn/7F4ef7G6Xg3y5lHJ255xUqFVYMVlniotDYOoAFB6O+Xg8Ffk61PqERoqeTIx+eGoY + hmEymWiPOhn/6NN/+MXDv76Mi9YVEztyK1uf/AWiripmANB1CNC8Ml9pGCbTYe8tr/nxt7/uZ56d + 7t5dnu/zHlZRc5qF+X63vxOnCeqlWhlLGSG2uVs1RLiIRbF4qiN01SEuAqhrMCgg7hCHFA1+eHjZ + 3fuuK4sqo+6k3ZS7XTl7Vm97+xt++nX3vOGc3TrJ89XlIaUkDnEAMEFVVAVgJshjDRGiyLmIeNWc + p8tP3/+JT3/540u5GKLD1VVcg/0djaWT6GT2Qdc9ToCIiIiIiIiIiOh6SCm5e601xjiOY9d1tdav + MrdxMofnjpEBBgQAhmgIBnFw7JmI6AQqpdRap9MpgForNnP5tda+74dhCCG03rDdXkRapHSMMefc + dV3OOaV0vdvZYq0PDw8nk0kpRUTMrnu/0qK7h2EAsM35NrMQ+M0bIiIiIiIiIiIiohvEcO1osABt + fnY9SysOf5ScayIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiK6MdTdRcS9VqtBdRpnmlOV + 2mKVN3UCFAJvG+uaAVdVFRAkWIgy2ZufsYckacpWRE55EXgxRW1bcF3nebvAVWDTSWfQy8uLEbGu + wplw+95t52ev3v8P7/6FT174cD9P8/k45DJYXRWkHiGhLBAE/dRGLB/wxcNfvHj5vYs3v/btz7rt + hYdfXmIpvSepSCEWMQcAVSCsj+IpDnw94ZhW8EQw4vr0SqE/WB5Mzk7uy/e964O/rTulxmLq6oBD + 24/A3BSAY3RXdRgSkFI/Lsda/Zbp027r7vzBb/+R5+zcPRvPdDYtg8XUlVJmsbfVMJQioqnvooSC + GkIodXBEOABVD0CEJ8AgpzgSQxxpk0XtAlNHuxAsVpf39vfH1bBa5tnOvKodHF7QKLU4agrD/Lvv + fuNz7njuz//n/7uP/UG+oIiGogYo6vreIG61ICUxdwNKHWd7k4sHyzPz+IFPvecZe896Tvdctxi8 + c3MzU34wnxJE5Hg7KP7lQUREREREREREp1LOWURUtZTSdR2AlmR53O064QwCqMl2ZNAVjmgQV3UE + hxwZB3eOQhMRHbfWzU2n05ZaHUIQEXc3s67rWqZ1rXUYhhbw3G42nU5b0nNK6cZkWm974e03M7qu + uwGx1iGEbe/fvhcFfr+BiIiIiIiIiIiI6MbSo5ve1meuFywJIIA6gPU2ERERERERERERERERERER + ERERERERERERERERERER0Q3ACqWP5ApXEQkQrxYRO50GC/BNNfLNAWspy5uf9VWyuU5d3TRoP+t3 + AAkhwJ4aUcG2CfBeZ1obFICIj+Oy5GHWT6RIZ9NY5mExfe7+3T/6PW9/+V2vWv1t1WWadGkY0c/F + BHmFaY+kWI6D96XO6jhf/dcHPvJvf/tffvbCJ9L5WsKQ+lTGHCDikPVDmwkAFVfxda0GIqInyrUU + m+/OHhz+2/s/fu8YL2VdjbW4wNYnfABoQRVwdQEUFsQdZvAcfOzOpdvvuf2bf/YH/ue7Ji86M946 + We1gJSl0Zkgp5WEVI6aTqMGrjcWLuw959HU/ErDOtA7bRzueQ/EkUfdoHtyCW7B1jR0A3bQ/GA49 + 1OlOXObLw3jQ9RIixLXD7Gx/vlvMX7D/jT/xhp9+enpWv5jHmoJFNRVDMIivI0L6lMaVmyFN4NVX + q2U/x6IefvTzH7pv+dcrLKuZuIqrO//aOX38ePOrv4LT/ZkkIiIiIiIiIqKbVkvoVNUY43bPDYjP + PN0EbXYgQAQwN+QMg+UigLiIQwEXVIVxCJqI6ATYZjZ3Xdf+uQ1vHsdRRNoNaq1to90MQN/3beMG + ZFpj8z2tnHOM8eDgIMbYcrWvt23C97YZpZTtQSMiIiIiIiIiIiKi600Ab+siTULsattz9dqJtlpS + T+e2B2cAACAASURBVOqayVpruwwhAGiX167YEZF2SyIiOnbu3vqa9k2ho/3O9lzNLxERERERERER + ERERERERERERERERERERERERERHddER8vfYQqsoV4o9QSoldKGXUAFXxijOzcxiDibZYa3GoG8QA + /Solymv1ILHr+nHMqjHnHKIITv3SzhYRKg7x9b9dzLS6VlEXcZhHUXFVV0UnQ/fs/Rf+g5e9+XV3 + f//ucEt+GMWQ3SMkCTSLOIpgdEhAkXwYD//q4HP/773/6uMP/JGfHZbhEDF6iUmi2jrTuppq7GLU + PCxx+g/pidKqOo/juK0nwSzYx6It5T6ZIaz02KU4PRgWQ/fwBz5576iHo4/TLqlrCMEAM4gjGUKF + V7gFN4zZ4yS6xGHh59MdP/Atb3rzK9525tLTzyyf1i07NfGIrAPUzYr0nrHKGD2aqRepVRQaDcEQ + bB1uDYGJm8BO9/lNDCiQUVAEDkB8XVmnukvQCh+9aIREd4zwLC6KNA4WbNIfzp8//8a3vuqf3L1/ + zyTvlZWnMEmIGCAFqZeqqMX7GF1RK0KEKGoBkuvZ8uvv/iWfWpqkcTV2kgAg8FR2ypiZCMwgsj67 + isixn2ZZ1p+IiIiIiIiIiOhmEsRgAKxmFUVKyDlOpvArQ4VtttifApPARESn3zYuulVmv3jx4nQ6 + BaCqKSV3zzmrqqquVqtjbGfOGZsI7b7vSykppRvwzQwzm0wm4zjGGNueEEJrDBERERERERERERHd + AF5NXAUCDZdLttRBomoCtkPEBric4OVpIQQzizGWUto2AHdv4/AxxrYusdZ67Ms/iIio1lpKwWYi + VVVzziJiZq20RAih3fIkLNsjIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKi48LA2muFENrCeRFR + wIrvz84l9HB9XNXIQwjurqruHoKYmeqpD0MUV/VtvrcBBTBIdTETczEXuGAb+yiuySfLB8vT5Jk/ + +Ir/4ZV3f88Zv/385BxWKKMn6WrRcUDfS4wYV4gR0mEZD/5m9blffM/PfebwYw/GLy9loUmQaycx + iIi4RDlYLVer1d7e3jEeDSJ6ihl9wKT88af/8FK5bwxLRLNapaCUCgCKAARBEkRNUfqu6wAZVj4N + +/u47U2vfuu3PfvV80vnp6u9rvTiAeKtpIxLNV2fKk3MxU3gUEe7VN9mWrsBVSUD9ZSHYJhrNaku + 7gJAXAS+DvC29VNedxjqUFcz1OIiMkmzvs76w/nd51785tf++Ituf8kuzttCVod1NpmnEC9fdHGo + 6zY6pB1EAKZY6qWH631/9tkPlZhTF80sqgzDcHyHgp46Tv1fckRERERERERERPTYObBYLgXoQvLq + UGDeX8wrU1w1WuiccSciOkFEpGVmTCaTxWKRc16tVjnnlFLf9y3QejKZHGMLu64DUGs9ODjAkfLx + 1/txY4yr1aoFabc9ZtbStYmIiIiIiIiIiIjounOIqJkBCrc87S6rL82s1u3amC1xyDU7j904jtgM + a2+X4MYYQwhtoLuUklJqw+AxxuNuLxHRzS6EEGNsE5FtirCVOVDV7exky7dmsQkiIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiIiKio0IIbibiIhAoMm49c4dYD6yX0qtD2uU6RNOwTR71K/cjItUNcMBF + xFHxFClqHoEIaAu0Ns2mGVIcMEELK62CqmYyuuRqeZbmO3J+tjr/fS9+05u+7W3zS2cnq/lUJ0Ou + IU6sqq3iRKfBIBmhVkh+WB74kv7V//br/+tnlh/xs8sVDsShOXqFuyPWbq4hxcPlwIjJJ5eIuLv7 + lbfy0W2ipzATyzpe9ksf+tT7V+HQY1aFFyiCuqiKSKiAFZhBzM3MqtoYd+PZeTn7U//dz37TuVec + W9yxk8+rh6q1anYpAghgWmooVbRKrKJVxAUucFEXPXIeM0iGDpABOuA0x1q7oISSoxW1rKiihmiI + 8HTkpxNPoaZgfbDYhU5Eils7tsNBDZcnz0p3/aNXvOUbb33p3M7s9HuL5aCq04hOQivR0/KxG3GF + axYbwuX3f/x9Cz2QYABEXANO9fG8CZ3M3od/cxAREREREREREd0sHFqtTme7CghcVVAqOr3ca1Z1 + tFlgtGF+IiI6CdrXHWKMOeeu68wMQAghpeTuly5dOjw8TCnlnHPOx9hOMyulhBB2dnYAlFJUW5DJ + 9eXuKaVhGLZ7WtDI9X5cIiIiIiIiIiIiImq8WAgRUKS0mnUPoXbznVO0TqHrulpry66utXZdl1Iq + pdRaY4wtJLVFX9da2wYRER0jd29Tk6WUGKOZhRDGcay1qurRiUIzY7I1ERERERERERERERERERER + ERERERERERERERHRzYwrDR+hZfq2arfiCAi3nXk6RoWLC8Qh65hFg9jVG8CVYGutqICVOgLmbq0I + 7cnMaHwcXNc/gAtMWqS3mTjE2nNzQdvp4hBLsa+jj0vrfWc27H3rnd/xlu/6iTvCc/xy6nU+rsad + nd3g8eDCcm9nWkYoJCbUiV/ChcO9C//6Hf/Hx/72Q8v+Qu1qxhiCaJBhPKx1TCkc67F4Krs62Jro + pmBay3TxqS9+9EsP/41PUcUM5jAVD6qKAFerqA4HVDw46tJ3w5md1bmf+v7/8YW790wu78VhZisY + UNVMrapto5Rlff4URwDWJ9JtnyKAukFah2ImBTA/zf2zAVVQxarCAZcW7iGOFuOtgIqLWhSPWpNY + qrWKeoB4tZTSpJ8hRz/s7+ie++bXvO2Zs+el1SRYGFa5kyBlXbdHDWoQbwEiCsAUNq2fve/TX7j4 + l0tZVeShDJMUj/V40ON2MnuiU1MuioiIiIiIiIiIiJ44VVVAAK+AAZOECQ5mYQgwaXOiCLb+4egh + EdGxq7UCaMnW252tUDuAvu9DCABSSi3o+rjaqaqtTHyttTXjxjSm1tpq07fckSaldAMemoiIiIiI + iIiIiIgASFQzrwBUXvcff9XP7T28OFTtTNr8o6Etvzmpq4lqrSLSglEBjOO4jURt4dZtzHkcx67r + uq47zrYSEREgIiGE6XQ6m81EJKUkIru7u5PJpP0TQAjB3VsFhONuLxERERERERERERERERERERER + ERERERERERERER2Pbab1SUwOPCbtUASBVxPXIN253VuST4HYMqvVoY5NeYB1BClgj8g6NDMNPpbl + aKvqRZNWs6dERXMBZBNuvf1ZX/FIrovDPJ3sS6ylLucyO1tv+cb9b/7Hr/6Z5+x8Q1rNoqcyDpOU + Jl166MHlbD5JQfK46rowql/2C6udi//6Hf/7h+/74GJ2uc5twDiWIaqoeCml1RymJ1ELdOcJgW5C + NeShu/TBT70vh2qiGV4dovBSpFQp5u7Q4BGIKuIRMpOd+fLM27/7p1+QvnF6+exczhYxnQdTbzVk + XLxqdbFQY6i9eIJ34iquAghs+7NNv/bWyYj66e8vHOqIDm29RsvwFpi6qbdnDUDgof1YqQESNZmh + SPUIDzpNO+ny/Gx++o99708+Y/ac3qa7kz0bHCZVi2kJjnC0ZIIYgNGHw3Thj//i/au4KDGbZ57W + Tp3tSyYnqSLTqf9YEhERERERERER0WMkgEAArdUVogpbLhH9bb/7jhzURbJKVQAQh7gIq/sSER23 + EEIrtm5m4zi2L5SYWUu53tZhPzg4OPrPG6/VhW9V40XEzG5wtnT7tk3DaVQiIiIiIiIiIiKiG6dC + g1QAIWAaL3jpdnbg4iJ1swyp3dAFJ3D0VkRUFYCqqmr7ZwihjcPHGMdxVNUWaM3xZyKiY1drBVBK + KaXEGNvM6TiOpRRVbdcCEJFaazvDExERERERERERERERERERERERERERERERERER0c3CHUcCrekR + zIuIt8qxABQ67fbO7J1XVwB6VV3blml9jfWaewtJS8mHh5dE1ys6T/thd4GJm7iLAgpPLYtUXcRb + 3fZtUqkC4giTyezw8DClFCUgy8Sm87x/z21//82vees53Db1WafpwsVLocPufr9YrMYxd12oYw1A + BQ784rB7+Evv/YX3fuZdD6cHZI5Sxi72k9CP41i9GGvEP9keEWvNIhJ0kzAd71v+9Z9/6WPoYq6A + AhExwQE1eFujH4OFMLqVWmS0vdXeW17zY8/fv7tbTPfSORRxRxEzsSpWtZqYC8Q1WIo1qQVA1FUd + 6hbcFAUo6qbtc+YKD4ZgngwBfqpLAah4AIJ4ENfgaN2GwiEVUl0q4IC7wEUBTNLEq6EaYMXLqg6L + YVWKIeu+nj/rT/vx7/8nt3bPKJdsNpkbsoXiYuoaTOFqAhczQQhYZtM9/+jn/+RSechSTSmUPBz3 + AaHH51F7n2P/O+pUfyaJiIiIiIiIiIjocXIXIKiUYjDo7gxTRedDQFHkgFHFOGxIRHRirFarEIKI + hBC6rnP3Vp8dmyBnd8857+zs1Fpb6PWxMLNWI74ViA8hjON4wx49hLBcLtv2tlQ9ERERERERERER + Ed0IAQAqAHVEvaw+VCteDHCoCY7+nECqul12aGbu3ka8SykpJd8sWi6l1FqPffkHERG1yVMRaTOn + 2KzN2+7HZsbwGCdPiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiE4gVW2LNNuqTHXtw+QZT78T + /tiW0vv2vxUw8/HBhx9w9WLZVU7/enxzKS4FgCHAE7xXS/AIQFEVFagQAxTeAVGjpIkeXFxEmQrC + ajWemZ9Z3b94/s7z/9kP/uwz58+1Vdx/2v7FMWdkRFiHXGs0dAW7Xe8mD5aHvqx/+2t/8v/9/ufu + PbCHZrNJXYbhsmsAIhOXrwtGWdNNyLR+5DMfWIRLFsQ8SQgucEGICIIIAeCC0TFUiOJMnL3hnjfe + c+Ylu3ompbSqSw+upmUsVa1qHWMxNbiqpVj6UKO4Kly8FZip7UfhAgPQkrQNydE7ekdncoqzMNQh + HrV2wWKwGBzBTVAEIyRDsmmuYahhrJqrZhcXh+dSJHu0kHQymcSUTCApLpfDntyyN97yI698y2y1 + e7A49ClyQFWoIZi6qClMDYBAoLAu37/64if+6hOWTKMEnPoO+GZzMnuieNwNICIiIiIiIiIiohun + zRnX6qlTN1i1EDvEzgSyHcAUa9PDj3Eq+Yk1aD0TLdjMSYs5IIBv0rXFr9ySw+JEdLOZTCa11haq + 0S5jjG1PC7d295arEUIwM9XjmY4NIWxjrUMIOee20UrJX2/tIGxbcgMekYiIiIjo5uXA5uuh2y+K + hu1VcvRmR8j2G6Xwze+HI2M+m31tB2CG7DADDG6oFWYwgwHiV+5cvvIAlgKiUEVUaFh/bVb1yojT + 0UaKbRum0CvXCo42WAFBGzdTHHnkR7TAH2WnPeIIbZuxfdrhqvZs7qQ992rIBbWiliMHdtOuEJB6 + pA4h4BH/Syi2fk7r5m9/z2AVdUSpyAXmgEG2jdvcUgAVREVMiB1CuOroXdX81i599BfjyBE5+tJd + 9U83VEOpKAXVUQtQ4UfeX1qhipiQeoSEoH7N/cgjDr0bvMIMpaBUFIPZNUfZIba+55CgiqAIAlGH + Hnl12pH82r5sub2bdZtt/V666g2BzTtKrvqVzT0Y1p8IMRRDrqgGMYhvjlK7S13f/sr+zVUakQKC + rh+jPVMViNb1jVRgR1/9ox/iI6/s0bu9quXtWtm2Yf3M1DZPVNevkUGuHI1r7h9f5fOyufGV8wmO + vr+8vbENpaw/OO2GvjkgAmhC7JESUnRd35VvP/Xbe7zqvWQwQ6nrt6gXmF15f7quW9I+L0GhESGg + S64RV17o9jFTjlkQ0VOWA9J6SgUCUg/Nof2NtZ52lG0vvO0tHtfdtzxsbX+Ktb8HXX3TGwo82JE/ + Yx4/MwshtHDrNtLeVuGWUlpIaruNqpZS2uA8EREdo3aWbhOUItKmJttk5fYGbeMY50+JiIiIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiIjoGDHg8VEFCNzNXUWhoRiSp9vP3Ra+GMUjXA0GKS6PKAqzripz + pbqPICOLjg9dfgBPk3EcuzRxuzajUQHdlJ0xwFzWdWUMCqi6XfMrx0oMreKPhyNFDFrZJuiVgk4A + RNxrGWG+s7NTxuLuMaXFYrU/fdpqcfDM/Re8+fU/+Svv/YVPfOlP9s7OxnHRJxRBHrE7icOiLC4M + 8/35YRwq8oXll9/xof/gy/rdL3rD1M/KqN2kX61WnURAxGPwdaWgdbUsQNxM4AKTdTUf8UeW8qFH + EBF3O5lhokSPl8AcrQSWAldqgG+KtJi0Mi1iVTyH4U8/80eYl2IDYAoMI9wxjZAIK1WhLgFAJ/F8 + 2Luze+Hrv+mN4dJu0C7nilo0xBCCqBSMV0rwucjmVKmwTSdwpKhgOzsdLUzYbi8n7OT/uKm6AhCH + bro1dTPxo0/fRNvRNgDVgybtfFWHuhxTSiIJ7u7eaZ9Xq1v3n67T+soXv/beP/9Ph6uLSAVoqeB6 + 1X2axxgW+XDSh4989oPf9nUv72qYx91s9ZFt/MqNv6bSHRHAWGsiIiIiIiIiIqKbi6sIQhQHJCAg + AhE+V0f0KhXqqu6QFkZyvSchHWKj1yhBHVKBgGyDqiqCbYbiW6hPy0lSTowSnTAt2sHsqlmoruuG + YWjb7l5rZcbDE9FymltsRqu6fjS5ue05eu2xaLnarYJ8zjmlZGZy/b/DJSLtHdhK1TPTmoiIiIjo + +jPUlqYMg7YvsW7SatfprXBdf1lxnUlboY5aEZO7mMjFcZx3XXCgmHdaUBXu8JXlTlNClVxxseLg + MibAuMSQ3/n6793NeVJKcJPNV1PbVy3V27oFAwCxNog0BF32/at/49exv4e+w2wOKPZ2TLQACiQH + KkoZYp/cClRXKIoIeIBGX4f6VpiLVsABhXVuqOuEXoNWIBxNpJZHZGBvDggMQLasGhVibibBEcY6 + ptBlILWQ75wBRRBXryKARytYrrBc4aGL//n73rB7+fIcDleIQbIjq8QHu9mn98/90/f+PmY9+n6b + ug0AmmEZCNAE0XUjs6GOGBe49DCG5bu/4zW7q+XOzmQ5LAaVlJKuYGbdtDtEeVDwhve8G32H87ei + 3y2qtSIF1Fy7JMgjYnyUZ72xXA7TyRQOLyZR2/uiruMuMa5qmAQAtVrvhsWAhx5Grr/xXd91q487 + UvLqkqqKz1xQwvBAH7+wd/Ynf+8PMDuHiRaFAwlw+FCta/9D6LAKjXArgopxiZzx8EUM+d5Xv/7M + kBUFcBMBNFYVFMTVhZRe9a7fx2wffYdph4kiTQ2aNxHUilHg1aESH+f/6K5f/Rb22d4zDgQgOtCG + Q8cxxQ6OGmFAgm1im1vsdhU4SsWFFUyAFZbL977qDfuHK5Ox61HLEgA8waNLC/SsgtKFkvMgIpN+ + 7+BSvTidveYPfg9JERMUmHToExQ+mRYEgys8wRSAeQtfHt3b/9dfeZNLewE3jTuSzr5+frCwvrYC + EEg7jO2TkoBgBreWOm/b+PbH/HnpQicoERDAalWJUKnrMWRDHlAyFgscLrFY3Pv6790prlWC1yBL + eBaND3bzz+7c+lPv/X3sofYxtG96QwEEmNVqNaYoGIHYmmWwjDHj0hLLAYvFu773ddO8mPg4CV7G + LBJjmNTqS/HDPrz6nb+NyQ4mc5w7XzpV0eDAOKLTKhhRp0gc3yaip6DNqTyhncU79+C+gOS23Kit + flwvefJ1t/HY2XrWEoL1XUFgEEc0yYoKgaBEU9QAfI3zideOw7fto7MbbT/nO4iITo6WY93WjW9X + jx89Xbs7M62JiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhuQm3hYVtmuF5vyCBboOWcikSBZ/ca + ICGVw3zPs7/hdz46OThEmM7MSqkHcQJ1VIMIxLGuegRAWjqpaifL1XKyO/3LL39+fFY+399qpYio + e7UWWt0ezhWetMVaa4ZkQ3FRg7pHQE1wspKtXbGOr97W1doESYuYBxfAVWHrMGlXgZW6QoADGaqS + rEiUc+PF5V37L3nLK/d/9T0//5n7Phyiu5YsWQKWQ9GAmaayykFRQ/a537/42//4Z7+cZfi+b/qB + tNxZHPpssuM5eImd99HTIhsSEibjOEY1U5hY1W3KtSrsquxYukYppev65XKp2t7e8tUjrtsNtpdt + 59Htm1AIQUSsWojC0+oxEpjCDebeA7ot3mWiDmhAXQ2TLuYxyzQsffH5B//i/uV943QBGUOA5ToR + KGAVVVEV0U1LSeLdcnKLP+/Hfuhf6Go36NQKAqKqutcq1Vv5FxO1BEBdXayGDAAwX5//W3tCa+g6 + xxqGFqcs6zpmJ+vk/7itG9/KHm5SutU3T1y3nw7XdjOoVIHXqqrt7xM1FwcqUh9Nwng4zua7r/vm + N37mzz93/+qL99W/9t6l71eLQZPDkf5/9u782bKruhP8d6299znn3jdkppQaEFgMBgESQiAkoSE1 + oERisKmuNlFR7ageovuX7v+oIro7ujva7XJ1hRtXeWCwEBaDweABY0QZjE3ZGIGkHF6+d4cz7L3W + 6h/2fS9TSGAJI2UKrU8onm7ee9898zn37bP3+kaUjMBxmkrTgmz83rlvnJ3+YY5dlYBNrogCsOel + huumZBxvZulKu/i+9ogIEcxQsx0uuR5dzuuLl1VyzjnnnHPOOeecc+41Sw9bkAMBbFYbvGnT9I/N + ndGX1Tg0RKteI3HXAEBkVmya3fViLJDi5Z8X59xLUvOqmXkcx7Ztj54kIlWtt0BqzDAzT9PUNM1l + nV/3SiCiuq2HYei6LoRQq8m/fJj5aBJ1f/OdzTnnnHPOuVfIC/d522RLg/li33YiGIFZxonaVoBZ + 02h9NTLMEgzLFULYtgnTPqxgMf3GBz5yjSHFQsv96ya9ed0fE52JBKu9ZmEAbTqqEtvF2TGYEo2B + 10367umHn4nhws7ODyj+L3/0BKYcmMKsAwcIITWRIsDEMaMwosEiKB5mLQ7j1HaN1j6xz1vK2l32 + OcmMdTZq7OLRC8YgAMqcipZoIYRY8lQwNaktkIiQVRoOVH9TlKYcpUAE6xXy+ImHT79pPd28Wl+b + SzOORQFSJoGCGT+cD8vQYhwxb/M4pCbVVVKHQSAkWMjDlGYMUQyCccS4+l8/+IE3lPUNi8V7l8P2 + agjLxSBAAxHMmUhtfw8840Wgv7zvgX+85uTH/tPvYSenrd0UEwpCE2FAanLOMSXFc+Mpaw9a2Gw2 + g6GUHFOCZEMAs5kZqEylTYycsVxFBtZrHCw+/S9/7eT5/Xev+6vLiGG1EwHASi+EHPXpWRRuMY7o + BEgMiNVRLhZCMEBKCSCOhCI0TVitINP//sjDNw7j9cv+1v3V7jRGEwBCAJCECWoB+y2+eeqhH813 + z7Xtr3/20wiK41eFWcoMFRCEglkpIbZTliaFl3qsbLLGjQ/T0gHAFMToh342ayEwyYhJgASM+wdt + N0M/QpUgsIJ++K1HPrYzjtDx5DDdvLe+eiqCwWBh01ubFcGIlcAogKghBkhBw71osy/256ce3Jun + fhymGFez9r//7GfQtjRObdsAASGBA4Ye3QzAMEzpcOfnzSJsRq/UDb05KOoYo81hwYdB1zW3VIGL + 8XGbz9lEYF/MgN8cwy/ueBHkhDDK2IXWrABA0SgFRWAZBwfQ8lunP3jDur+272/tp/lUgjFbCchk + QMCPumlNc4wjtCnDEDomcEAddEYhpMAog8bEMMVyCQYWC4j89ulHr++Hk4vlTauDqyNZPwHY7gBD + Py4DgLZ5Vqfv3PfA/mzrh1s7//JzT6TdY+jmUENsYFowRYqXLLRzzv1iOYydhgEWYQFkIN18XbMA + UjZSssOBRj8DPZqUAQZWMIwAAtnzx9I455xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz + zjnnnHPuUgQlJQQjojp4X0FdmO3E41c31xTk1bjmJJxI1XJBStBaPAcMKC4pV25QJQyyfnrvh9LI + uJoaCpqNItciMzXcdBMMXX99MxP2KqnBohfnuTI2XKxFVQNBaVMwB7pJ+FUYgNhwI9mmC8PV7ev+ + x4/9z//uk//bf/7Bny7lQty1QiVGpmKmJaVogcYpc1tCwzksP/dXv5/H4UN3/lczvmq9Xm+nHSO1 + IibabKXRyrIfdmdzkTUApU2tn6BX/vq8IvxYHPVPz7QGEEIopdS3mdkwDLPZ7DUea+2uJMoWBKzg + YAzSWg+sqAQgBJCBA00yYZ7/+ttPCoswyAorszEMZDBSI1BAINZRujDfpZOnb/vYcdzA0sLCJckQ + m+gKAPycAjL6AoVfXrjCjOIXKmriYrL1RT+5tI4evo0MRqBN9EZsYhzXQ2iCWLSp2W5OfPz0v/k/ + f+/fbh/fnXg55cKROKAUKwUAzCwEglqxUVL/3R9868ZfurVkJeIfv2y9oItz+OLe714e/+QF6LLw + WGvnnHPOOeecc84559zlEUwRIqnOUuQALTAUTpw1d9zyj8UF/aSwJOfcZUJERJRzbtv26G56Dbpm + fs7NM48Zfi0gIhExs7qtU0oAXu5M6zqJlFLOGUDTNHUGvHuHc84555xzLydG2DTTMNAcdr1nApnW + LvVGnElBnA5Db8f12FEKTdPrwBwTEIlgMfd96hImwd6AMWNcPPHh09e3vPrR0w/t7qIfWSYu5Vhq + TXIjGgxkEMYYYIS2aNgk5F5EABu3IljnueqOSVkPt7Vb37zpnRKb8aqd93/mP2FnC2kHucXWjgFm + TNQUzQ1TVAN0yuvQztuu0YJACKTCbOBNNq8AhgAYIIACDWrPzAICUyQA9e+huq6UQdxPpWu7IICg + RdtGK3mYpwRD4TACaGIzChfFcsRi+cl7731zC91/5k5YVzSMslJQbIWUYHw0RgMlmsAUSrw1M5Qx + r7vUAVG0yQVtROoikLG6gPP9799z7/VlelinxiYs+y41AphQAlmJpFnFjDFrmyzYHmlH9MTB8oOk + pwAAIABJREFUmW/effrMzs7Dn/okdrexsw2CENaMkNqj5GM+Cieum4EoGyJpbMh0pMgMVdWWIwxo + BHvPIE/opz966IPXLJdzK+8c+60QMGVGatNcswGZqIBAytAZLAEMZFgTlGXK1AWDwHIW61IHg5UL + lAXn+k8+9KFrLly4v1/NyrDLaItGNTYGKdc8bFIARbBb2tedG66Z0p4tvnzr7Xzymrv/8DO42trj + xzoDEDAxpQ5A+hkzrY/SmhHqTrGJcNZmlgpKZKMGZCURK7SdzXC+x97w5Uc/OtvfCyknTHfJGKU0 + pLqcTm7NUPpIAEELK4KwGqmR1AhRIy6kRjEARUlAneZrzhxcvz1rQxymhYTw7XffuQzN2Rg//Pjj + 2NnBbAYl7O4API2565q6k1+yQetBz4ZNZ2hCAQkI0ACLgWGAEQgMqwOHiKCJOAJxE1xtYAWhoOhh + 59Xwoo+XlJoCCmEmQAgN+h5qGCf0K6zXX/rVjzZPP32X6QkiW623myaXMREDMIISgoGhjAwbMXTd + 9hxSJI+hiXUMVBHEBtRy5ilNA0qP/cVXH/nV3bPn7izjDFJW62t3djDkgth0s9WwCtBZF9eDRmuu + bXfP7O1dNdL1++vvvPv271991SNf/AKOHQMSwCyUojdxO+fcz4INMNQRlPVrJwxKMCAYEwkAI4xR + ERX1CnR559g555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn3JWBiGBmZj4OvTLk + gKBsUJAoE4XUbaUTN7/htvPfPduk5YA+BRYxGAhEZoBqjSw1KIEBJYVYE0Fi5y48c24804WdqDtg + UphhE6ANMLiwkoJRP4FqhRquD2GvgnTrn4oBPizRU2vo1NDuUrLMmmSln3fHzvXL/+ZX/rtPPNb8 + 5fe/dmF6Bg1sVGZw4r7kXLA1ZxOaRslxueTp8Sd/nxM+csfHE7bX4/6Uem5BAWqFA0U2g8CYTdlg + 9rwy7u6nMrMXHyZaSgkh1ILbRNS2LYAfq7zt3GVhBK2VzexiMjFZPSsREQI3tUqLYpQ4ffd739Ja + us4YYAW4xlETqEABTVBEWnTvfNN73v2u2+MUMV22pftF9BMDpEUkhGhqTGmW4oUx33TjLXfeeu9n + //Z3jOrVU0WMGaIIARALxKSiCmH95t88+dCbsnAOCHR0bqvZ1c+PG69P/uTsbfeKeSnXoleOx1o7 + 55xzzjnnnHPOOecuDzagCEoOMRrAEWqcZWhDC4AMm0xS8jujzl2JRCTn3HVdvfuhqjXiur5ao6xD + CDlnz7R+jQghAOj7PsZYY61fMdM05ZxTSuM4dl3nmdbOOeecc869fIygYBzlBdZ0Z4JCAyk2IdNq + YIMKmABR62YtBJqnpmsFmVVQFEbJDAc9Dtb/8f4PvmWS7sLZ9wRr1wcCa2SPiKbRtiLlcYyMo753 + BpRNf0gm0xq4a3TYYdOYDcGwFZtxGK7hgDwMi9Uvbe1gudgbFl+///6nZ/NnY/s//NGXUIzaluYz + YXScAmD9gmZN07YKE+Cww64EQMAGJqrdNLUGFdvhaiEGzEAA1MAULmnRIgDYamMpGLJ0bUCg0o9x + 1mA9IraxDDEGjBOKYdV/4oGH3rRc3D0M8/PnWSQysqI9vrW6sCKqIwqgBDYAYEMwrb2KBTBom5LB + 1uOQ2i4RbDAiwXoPq+Xn777nHhM+d24roc84ttucP5iOh8TGMB41B0RBbrpufz2mONsCWz+9Hvmq + sT/eL756/73f29r+9S9+CV0bju3OQ6xdjvkoqhkXm/LIAFGNAExREiIEXBTTiHEAFYzjbz1w6peH + /LZnzt4AaIEAyhIiTCWXPGtP5HGKAAjBKGiMGqAAFCQwNE2ASilTapomYjy313YNlRUW60/d+YGb + 1uWGnLthYCpqUrdC3Wk3/Wmp7sCEyU6mtD44ux35+hjWT/3wS/fed+rrf0ZNAwmIESlhHAYuqZm9 + tKTMo567VPOej8K/FTIhBYOaTsgFSkEYopjWyPr/3v/oW5fTTWeXx3TFmAQTBYiga4CW1ss+Ag2j + CBhkxJtM68OIcQWYQ8nacGLAWBfDwY2784ODgw44AWLiDMshlZ2dJ++779zWztPz+b9+7HGIoI1N + 26CQ5ZHaGY4G/hwGiNImxp5BYshUt7DF5+zwxkddqDdJ4HqYjU0qmx7tmzVpwIs8XmQYU5ohTwBj + vYYIxv43Tz9y7bC+ZnFw49ifKNqVSRVtl/I4tgRGPTS4Hp5kYEyAwOr5ykLbAsh9SW2MERAEGYL0 + GNb/16kPvOHps7cOclW/Tgk5lzRPZb2ua9q0SdEijTAYTHIJZXx9tzuu966JYVyttmX4xKl7fu0L + X8FORBObpjWTOqTKOefcS0UAKwsrLsm0xuF3IRCUUFjr1xFvHXbOOeecc84555xzzjnnnHPOOeec + c84555xzzjnnnHPOOeecc845h5pp7Z6LyEACMKlBQcXAIcjsfW+958t/8fnu2jQAqmpAm1opAioA + AMUlydYATJBSILXR+m99/69Ovu2GtGo7nhUyJd0UYiIFoAy2WkaoVm2KMPBRGvSrnxEDCiOQEQAq + BE0pTeN6PmtXBwfb852DA/n4B/7b7qtbT/zNHwx6kFIwjOtJucU8YRyVMra30no9xS1IM3z2L/+g + jPjQXf9innZVx97WGmSSzAGz2Sz3Qwqkz1uBSr8wK/VlZGaq+iLDRGOMR8nWAIhIVeHJ1u6KUQsA + ApeGWysHBAKMs5gabE5nlz86s/ghtpUMMK6FBOu5mg1kYIUEa2i+o9fcd8vDDeZmdLH+mPt54M06 + 31TIqYUT6/UjxaZohkXKCNL1++OpOx7+xg+++v3lsj1Oa12LIqaLVXXYAAMxBOUfnv37C/25a9Kc + ShteWl71T0zadq+AKzHU2mOtnXPOOeecc84555xzl0tSRZ4wiY0r7GwZQMRsTACUAfAmjujwpjXg + tzGcu3KEEGqM8Xq93traIqK2bUWk3mVvmqYmW9d4YxGpb3a/qOomnqZpNpsBqCHTbduO4/iyTrdG + p6eU6r7XdZ2qet8O55xzzjnnXj4K1EEGDQA7DDCuwwwA0KbHY7uJWIYBiW0qJcVk3FhGE1pogQxY + 72O1eOzeB1+3HO8Gh/XUhVlZrxveCtDFtA6grZ3dabHftLNp7GsabAAA3iQoH2b4GiFTHe0QyBCF + GaCChGiqBGzFWFYLAHHCGxbtdVNZlv1v3f6ep9A9+idfxYmteNXxcT2GWUvz1nKhGFjRE9oAqP54 + V33CUUZyDXHOAB/GXQdAoBMxE3cGGKy+2UA2xlmaoGaWug4ChIh+iTLhYIUhf/nRR3cXF96X+9k4 + JhEGmhitlIYxXVh1CWOe6p/XrIexwTU/mAzJsuaZGQWeijZtp8DUlxkJzp7/7KlH33Jw4R3rvc5y + asGKYx2mg+mq2JQiBZIQIhDYRDGsBwa6mKahD9DUUJfzyZJ31tPuucXjd9xz+utfQb8K3c4MZMk2 + W8RgDN1kHgOqiSGmRgEcoYI+Y7HCuv/0B07vrsZjWu4r00zGLSNRY0LbQiaUCW1nY7Eynq+h6QCC + ohFtBFACMRiAANAxp6YFgL5vm4QLF37vfe99u9Kt66Fd9zGlNcbtFFg2Pa5rh9s6MKbmgis1MTaL + vLedwkS5jWjWePPAj7/vntNf+RKu3UWjsIjYcgwZSEc5zS8Gbaaj2ARCU/0HKZIth72u20nMEMJq + xPmDTz18+iSkWy3uNd3J2mIENLTJRsAQGaXA1OaRragamACzYLkuHtkmK5qBFiIE2DgaFDg+x7ha + 786w7tHMZ9N6HSOXMsYL49t257tPn33D1u5X77j13PbORx9/HDszoKWuNVYBA4iGGuJ+tCCgOi0K + MDCgCnBNFWWA6ormw2Nn81PBWk8LDIv1eSoFeJHHS+CI5RIyYbHClL/wwUd3Fgd3576bxp3ANE7z + rhWlJvBiyDsNtIDscLyPgZWCohGDFbQoNCozE48qzTzCgMUKccRqjfPrxz7wyB3r1etBYVrE1jQD + QFnnGFBAXdpeTBdmaAGskLea2TQNDagMawJEJLXY6pd3PG2fv+PuB7/8FVx9ArGtrWM+1s05534u + jLQO1cHhF1Elq1/GDq9VzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecu5QS + w0xMjMABBLAI8dS+6djbf/nE27+5/FzchQKiiDGZIUABFb4k/JJqcRhQ0VIktfoXf/eVu265t+nb + xmYKMkRAarI1qNimUhKz1WJVtX4UHZWaeZUjGFDLY0EAAAaIiZGVIDSjbr1Yv+6qNz17cPYjd/wr + BPzxk4+t8rn5ydmqX4uh4zTmHCOK5jjHcpq2I/c8PvbkJ6WR07d/NG1Tr8tMY2x4nTWmAmxKKZHV + kNpa/MqL8f7TatT9iw8TLaUQkYjUXzEzL3rsrhTGRgrjes4BwLapBQYrhqASxFTINOl3v/dkpsWm + ThzYNqcLBikMDXE2FI2UZ+950z03nbwNPVTJq8n/vL1gjLQGbnPRECKZrQ7W28eODTYw693vfGDv + T88IVpuqe4AZ1NASSy4pkAbOqitb//2Zvzv5hteZRCM+vBZcUnSPFBdr7inglXmuCB5r7Zxzzjnn + nHPOOeeccxdF1f/j0Q//T1/5E9ra7fuxmbUAYGRmNZqIajTLJQ3c3tbt3JVDVUspMcatrS0A4zi2 + bUtETdOoKoCmaYZh6Lqu5ltf7vl1L68aW940Tc23TilN0/RyZ1oDMLMQQinlKDedmWvQ9cs9aeec + c845517j9CjclwBozbC95HWurxpQyphiUiAwUIB1xthj/9xvfOShN672bz1YXZ9tGoTAopRCLNKH + wDvdzjis+sV+izCOfQpJTLTmJQNh03a0GbBw1E9Ta5ouKQwGZiYzRqBVyVtNgMoOR/SrnbFcpb0M + 5fXH05fuv/vU1/6YgnTtHEOPLlHqMCpSIIIAkQGgABe77dNmFAEDEZusXwFqtnWEErh28jUCGaSu + q5wjwSBshYUxFUzAcgX0v3n69OuX/Y15euuZMycDcUemQhFQSJHQtOtpnAeesnYNROqSgowMUIoA + wxQqjRFztKxNagHYmAkTzjz9yQ//6jufevYNZZlaLhNGQgjgCRPQqEaQEVGKIU+TStPAChLHfjhg + StlKMk4MHbBD0/Fmtr1afuK+B3/ty18EBWx1m/Y626wbAQQIhEAKkbDukRg5I08Y8iceffTG83vv + Wq6PDdKItClCDSFkLSEAClMkIA/aBqiCIxep0chGKPScLpg6TWPTzKEGAopif/m7d9512/7yRlVK + 6cByAscQx6k0NfCSAED4cIcxAEGMoDpjFBGKWCyxA1w9rt9u7eOnHjj9p09gu0GzjdhORUN8aSMK + 6m5Q9xyCXuyiTRDodjdHP2LvAMb/7sGH3rEY3rvu5+vFbkIeM4AUURQ65hiRC1LDEBQoFAqIIaUg + IkeDczZDW+piFrQMJG6KCoMKJkGY0LZ8sF7PAy2L7s4Cioz76xsbrA8Ort2Zn9HxiXvueGpn6998 + 7nPYntNsFmdbBakuTKBNjvvRYhiiAIH4x7O+N+/jTbI1cc20BlgBAjMIVl8yOlxRL+J4WcCG33zk + 4ZP9eEPRG589e22weWTNmYWy2mI9zCOVIlt0uOo3EeYmhyuJjAAFqbExhQHKHAnAMIIyLpz/D/fd + 95aF3dLL8TKFaR0jDaM1hLTF00qLwGCgso1IZADPLQDCsGJ9AbrZVh5W44TdOdJqFcQ+89B9H3ri + i2iuHYy3XuIu5Jxz7lJKAC695igAMq5Xv0YLtOBKHK/hnHPOOeecc84555xzzjnnnHPOOeecc845 + 55xzzjnnnHPOOeecc+4yqBG27rkUgBmIiJnBxKAkjY566rYHn3ziibSLiUhgWQoTUU1Bhdph9SeA + jdCEaFqKgDr5/oXv/XD197vNiTIILAAEBEAJalCC1nI0SjV19SIyNXrBmM9XkbpiNiHTm3VEOk3j + 8d0TBwcHYNpqdvO+nmiuH/Liw+/+r4PGL/71py/sPdPs8FR0fZBnXUNk6ymHhJCwGoZ2ey42fvav + PpNzPnXq3rRLFjSkwKLTlJsQ6yTJNkml9AuSEf5KsI2LzxDRTypW0bbtOI7MrKqqGkIwMz+xuCuH + Uj25XloaTEmFAyuIY1vCVIL8zVPfsm4EKRuEaumvw7cTzJSRuDQ78er7bz0d1l2bkgzFTysvI1JD + jSHnYrVgThM4zVKng81nW3lY3XXTfd/627/8zvLP0SG2mBRqCGAyJiUEZubRctvKt3/w5LtvfG/g + CH2RJdlf7VfeXwRH1yEiXDkJ1x5r7ZxzzjnnnHPOOeecuzyCYUcURdCvZseOCVDMIgUiRgEA2FGQ + tV2aHOScuxIwcw2rnqYppdS2bc0SrgHD9XHXdQCapvGY4deCupWPOli8MlnmqjoMQ4wRh9nqOMzY + ds4555xzzr0cwmEDjdbe9IRNoCAuBhsbHeb4CghIIRog0xTAGEYsh8/ec/8bDs4/iOVMV+2EUdEm + HrJyk3PO86R9tiBD20adNJu0IRUVENhgpAQ0CjLwJWm+tT8emdImQhgFEgwFiDE1FMep1HzqeSDT + KXKbKTx99ulrtsM377j177d3PvaFz+P41bY2ms3BDEMMMKAgAhgPJxRJNw1WBjIEBQjGEIAQAgiw + ALRQhQoYxIcR4AkGGnsywf4F9Bnj+NkPPXrt1L9v//xOKVe1LQUhYLXCnKAFChQiMXTtXLM0wfpp + SgyjupIJFs2SUF2yKYUAjgiAAYuJbIkLZ/749Onbzu3PyzJRQi4FaGdhmqQotrdmZdXHSFMxm0rD + aBLKBAUSlYZhHY0DlQI1tNtpWmYb++OSb3/KPn3vQx/+qy/0KDNsQ7m249UVk4ECxKKNFPQ9nrrw + +IMPXG8I03hbHo6ZzYHESCkthvU2mIzZaCqWAAI4BcpihslAWZkDGUBinEucEAQEgAwhNXMAIMVq + hfXw2D333DmOV7OOw5imcZejTCKQttvSoQcpACEoYICBgQBiIhPtUwQADjg2QzDIMF1lBzc8ffCV + 9z94z+cfw9WMNm0hySV73YtxmHe+GWcDCEgRggEZKfQZ3zv/F7/2cTr7j3cO/TGNMzFWG0dpORYt + 4Bi5FIUVpAjNaoYYm1KmGBJpnrJY3IxrIdschnVH79qk0yijEhAp5Cw7WzvTamHQFBBT2k1Y9FMH + tIF0sgbg0o8Le8cs3sj256dOPZvlI1/5Iq67Ks5msBYW6DCuHgSQglgQBcio+7cGcF3qQAAfNukS + CIrAAAzKCJvThdU4dgpsL/J4+dyHPnj9uL5r/0JrdqLpgCmo7a9siyFiqeXjpjAyMBGLGMFQ86xJ + ASgFIcohgiJME8yAhCiADOsoA849+/l77n6oSBxLmApEmpgg0gQSsbxSI4SIyHE99m1dTEomMkwy + 65AVWjD0KwXHNh6spuMdZFjftbjwhw/e/+iTX292dl/C3uOcc+45tH4VvPg17Ln51VFtKyuyXEGj + NJxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOXT6ePPt8tTYULtYKgpkRIVqS + qdzyxlvfeM3bv5+/rWmkANNMFGudJeNaVIrJuNaAIgMjhCAZo4T9r/3NH7/5XW9veYckkQFggBms + pAzVOk2wEgM1iVkJm7Iwr2Z1/o+qpkfYpubQzs7O2fNn5t2WgVAYyijUpd2Umo+971/HWfj0X/zO + cnmh20miVjImzbu78/2DdYyYbc/6YcwtSKfP/9XjfCwfjHsWtZScEkzAzBCFMRvM1JNnXzwiUn1u + qPVPNY5jCEFEiKhWPy6l1AfOXXZaTz5UDAxEGAjgw6cB5pCUx8W0/6ODf5h4YaS4tEjgYbi1Kpio + zbOb33TbG676Zd7vIkXTl1Zvzb0I/EJ50iqGmKKUHELomm7Vr4rZ9my3bfh9b33/f/mzJ4uuVaAG + ZpCRigZmqClUg2SU7z397d4OWtp54cnW+pCkuDTkwzzv48pSL0xEdHmLJ/nlzTnnnHPOOeecc845 + d3mwaZsLyoTtOaBDljYlroEn2IQREXDUzu6N3M5dUUSEmekQgJSSqtZ/Xvq4vnS559e97Gqnippp + LSKvTB+Lo3j1nHPbtmb2ik3aOeecc8651yxSgMAEhdbmmhfOtDbUqGNQsNVBYy36BYb+9x546F0/ + OvNmKWprCxgFSFAYGH0/dC3GEbMZph55LInQJp5yBp7TvTXYpi8scLERKRisjpYgAEgAUiiTTEOO + ERQQbROIXEyKSQyz1223Yx67Cwdb/fD/PXjq45//EnXXQDOY0MUIK7AaoRg2S6rYLN/hpA0wECEQ + yBhgoAAWaZNnzNBoQAZGwWqJqOiXv/3gg9eNw3x18A5Ct9+f7GCCaTHEiKLYalAKAqH+UZ3zqMRm + OovtjKNaqcHMMDZmgK3Oj0h9WgTRABgO9j714Kl3nDl79Yhu1kz9ZAYOUYUJxqy66gEUsxhqr2Lk + CYkBRikICcM0BiKmEAR5mZuIXLBjulPK3rNnsT6YzY7XQG+wAgqxRrVRQDKmEavlf7z/wTfvr+4r + mg72BNbEIEU4USk25rLDUVVVlcARIGbSolmZY9YSmyAKSM3MFiUVMnCBAeBiiASUAblg3f/7e07d + vVru7u3FTUA1QYXADUcZeoFdbHXcbMoagI5IKKpQKIAJBJigC8i5f+ts6+yzzz5x96mHvvk1NC2l + FBh0FKj5UgQApjCtm4hEuyljb/VnH3jkzfv7c1lGy+AgYpGjGQ2au262GPqW0TSwDCswIHDMZUqh + KTIRByYph4cAG8M2kecAhnHsOJpJQLBCDNZVjmiLTimhHyYGdrbm42oNmNWE5sFOBmixxbPnd7vu + Bg5/cs99d3/ti9jdQdpGbEGzw2XfDKcJAAhls4y1yzLXzHuA60q9pA81b37l4slhcxy9yOPlJtKt + C+OJDpIxDasUSMV2myilpAgZlQlmWs8BBg0cVQ1QpTrFOj8MMARAIEMs2gaGGfb3PvXQg7ctFtcM + OilihABmOpl01FCyseTUxpLLlMu8AQqKAsiRMEsYBlBC00J6SrEds82aJCXvBpTz5183FiwXTZcQ + E8hbLZxz7p+L67cyI4ANRIZGsDsKpgLVn+FK7ZxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 + 55xzzjnnnHPuFxIRXdZ8wCuOciA1AsNYIWJGhZkthUbC9q1vufOpJ38gNqYtBAVyvhjCSRfLTJGh + jCU1ITbUl5Fb+bNv/8mvvuvjLR1ruSVJDCYjEAdDzbdGLfxiDEBJeROPra/qouObBFmoGtfCO7Xw + jRHWQ9/NZ4BFTuMoiTtO3TRNSeZzOnnq7Y9SwOPf+OT5C2e67dkoQ5O6g4P1vAlZZT30FrCa1vNm + RtvliT99zLZzsakIYoQQ6japxRWO9m/1YgsvDxEBUCts55y9yLa7ohjByMguKfRFxgxREzEzHXXa + H84vZG+tJQYArKQGBZhM2UCAERJSIzvvecsdYUpb7fawWrRNo5c3X/cXkvFh6AbX6o1GGpuUxxw4 + kFleTTs7232/solYmve+9a7PPfl7RtPBuEKDGGOZJClHDkWzmBpjKv3Z5dMrO9jh61/CnJB6svXl + dZhjfbnn47l8n3DOOeecc84555xzr0q1ua3+VNVSyuWeI/eSBbNODVJjTNCmBIDAmwAi+lkSYpxz + r5gQwvMjq5k5hHD0mK60uyLuZVNTzKdpIiIzO9o9fo5CCEd51UeP63RLKUc7XozR/J63c84555xz + LysCADINm4znzWADIQyECTADFUCgASXAABbGmfOfufW933jPbXedf+Y6XYIGZs4FHcCCCYaIhhGM + OFKxuAYsQgGYhgAOCAQoIiMGVoUZiAOMCQ2jhVJWpBAiQxVEMINmCQExIhiCwQAzmCAQAmB5TOsy + zyFlXDtMdz397Dduv+tTt78P588jD2CwWaMcBsSCztBoXWRTyZtc3lLAmzhfrVnTBQCLCBADIimo + AOuM5QHO/xAHZ7948y1PvvOWBxb7b7vw7I1jfyL3OxE6wQyBUTQI2kFSoVDAZhZM24CGtCVYyaqH + QzwMBAQFGZMRwOAIIoNFBlY9FgdfuO/BW/b2rlIQQceJiTUkQbBsScAAGBYPY6MJ2QKYFZtVBEGj + aMxU1UCJwlSQ5qmIZllcx/Yf7jmFsweYBEAhgk7IK+w9jR/+3R+866av3HzTf373u24/e+YN6xWW + K0KMCFqMCFaMCIE4Q3NACcjBhFFMASawqRGFUZEJYhKImBJxk002kchCTFzGAlMsDx67/e5T5y6c + WO/HCEiNpjaFKUumrAxiNiRDq9aytlFSFE6ak2bSMRHIEA1REYQDggmbgcfVNZiuWy9+49QDWE3o + Jz0MjX6RGAgAowAFBggjM/oR5y588pY7vnPr+96yf3Y7r5NoUJDmSCVYiRwUGHWUFn1EronMzAwe + taTYjiIW06jCsU3apJKoIKXGoFm1SUSqbaJeS4hJoCASFEAJGmGcrWWEgDysOaAYqA4ZsmCSkHlu + aXvMu8Pwy/vLv73tvj+85f24cAH9EsibgHdmMQEUgjDpDGgBZIIwGepIpE3wNZgBgA3INbnaAIUZ + jDBBQHgpx8u4fXi8JAaUGMEmYw1WmLHJmCeCQZisIAtDiA2bgHo2BAUsgBOIIRQMGHrsH3zp/afe + /ey5Y5OaIRGoIALQ0gIqk0pmRi7FCDGgFCgQCIGghFIQAoICIxIZydQQ8pRD0xaBAScD/c699+Lc + Hsb8zzv/Oufca1S9/tIlwymDIZgZhIjMkIpdM8hnPvgRmAn0p3zUTyIitWH56KazmdWxheM41mfq + S0ffx5xzzl0u9YzdNM3RM8yMw9HgAFS1nsbrjcvLMpPOOeecc84555xzzjnnnHPOOeey49b/AAAg + AElEQVScc84555xzzjnnnHPOOeecuzwOxxuagZlr2VIvkFspWA1CBGOAhQC2QMamRcaAeOrdj27J + dXPeJoWKqB0WkjkcxHn0sFaFJaJikFCsGz/9hd+NO2osgQxqEI4adUDiFJSO4jONYICSgtTIQK/q + Ify6+e9oKYyVGBaUgxIUljVzJGUtZWJmshiG7np70yM3fez0zb9yMt1AJRC4FI2IRKEUCCOkwEAA + TdznZhhkbQRmqCIEqBXUqkQ/Po7W8yj/CaoaQiillivaDE/+6eORLz17HBVGfg06Wg8xRlWtPy/v + LLmjQ97IjAAQoGQgM6gREaCxxT8+8w+9rjXV+i0KFFDBpigZGDCGqr1+58a3XfMOkgAgIfImgNm9 + XI5O4CJjCEakIElNyGMfGUFpxtudbt/6lvdoT4G4CSyyyWSpldiZyQwIWtrx7576jthEZESmWmo0 + QCmllmIAapU5v0ZcQVS1Vsu89BJ02etjvHYvcs4555xzzjnnnHPuVe3ScrTMXBtGL3tzm3tJ2NCY + wQ5vvl561/PH71fUuxve5O2cc1eoel2uvStEpGZLp5Ry/vnENTHXWDgAaJpmmiYAtSPI0XRrXzE8 + t8OHc84555xz7uWhgMKe04YzFISI0TAnYAIgOueICQdLLIcvnHrgvWOZX7gwhxogQAa6WYdhFDUl + MKMh5MkKQFqOn9jJy5WZAjSKNQw1RAYURTUyF1UVCRTUaLKpi02MYRzWBDRtLGMJYBjYCGYEZQMI + RiDU/vgg06ihBu7Os8yLnhgvbDXjJ++5/aNf/xp6ILUoihgxwlR4Hkq/jrOWQ9hkWqdYhxOoWuSw + XkzzrQbggIChYJrYFGXCYvl/f/iRkzJet1rduh52Vusw2CCICToi8iapGWBQBGq/T91EZOPSYRd6 + tMLZyACCAipcw6AjOJIWrHqs87+//b0P5MXJXsxQ436NoAQAm0xrgjCE6qcBBgGDtL7zOUiUkBVt + E6d1DkDcOsaL/Zvnx7C3guyh62IEVheg+tunT7/x3Pnbhv74mLsCAAZWkBATwEa1Hy0DQqrEWv+M + u7RLZf1JBgIBTYqSMxszB2aGKFYD2t0wIQjh3N7/c8/7P7Dsrzm4YMBz+vzT0f/VDtsV2eqQGiXI + YSh7nVzdCkybdkhNDCJM43Rtiu+giDPn8MZtLQgvscelmTKxQWi5grUYekyLP/zIR289d+51qz6g + HAZAa51FsaJSmkCjaepoGkwVxZBIJ2DezlFKSGHIU5eaPI0RKXKKMQzjEBnzhJIlELTYjGGaJ1i0 + qYktKEju2RjQmvGstFnbdSvAIsAMRNMoarBW8rzfmyt+9+5T/+KPv4TMmM3RtcUshCDjFCZF1039 + 0M46hPDcFb+JwK6be1SNoe6JUANHLPrFzmwG4CUdL4GAmpxNYDv6eBUi1B34BRqRCWCYKkMYwgAr + RGGMMiFnHPR/8OBD73z6mdcnqBzugs8btMNHu8pm0x4eVodvZgPVw4cEotupndZjAOLWbjhY3dS1 + WCywtYvupe1CzjnnLsVG9WsCkwEqYCWQURJsT7IzFZgafuxb6otSh+uYWW3crjegQwjTNLVtW5+p + Y+ouDtdxzjl3mdTiEeM4Nk0jIjW+GoCZ1ZN2PVeHEPq+n81ml3t+nXPOOeecc84555xzzjnnnHPO + Oeecc84555xzzjnnnHPOOXd5eHnS5zPUpFIGIAwyBChBKKhkJDn+q/f9q9/66r+dsAyMEGHlBT9G + Y4rL9cSEbosVevbsM/9l/O7fPfPXb9y6OSWWoTQx5XHanu+s+nXTtSqbskqb2SAojH8BysmT1coz + CmViBcFqVaVaZKm+R2FCMENgjpQtLdOJres+fNuvhBB+/09/J80UUYzyahi6LQLbqpd5SFImCQyo + sG5KJBFwVLAKm6noxapNMHp+1rW7iIhs43LPinM/N5twByWEWiZLiQKTUrGinM8fPJMxWYQqQIc1 + WWq1LgUANSDTbW+7/RgdZ6NcpgiCEJgvLYHn/pk2q9KYAYXa5mRtACkpk+phHTMADC592d4+ccsb + 3/1n3/nyVCaFAAggYxatgSxEBCKbaDiz+BHdYJYFxvVEB8CL5FzJrszrkMdaO+ecc84555xzzrlX + q1pAPIQgIrWqODPH6E1eryYEhSkAO8wFuVhmvj4wgPjHQ6+dc85dYerdynqrMsZY06x/XpnWAFS1 + 67ppmlS1ZlrHGEsppRRVrTXr61cCZvZ+Y84555xzzr286GIY8MWAV0KMEKAhiCJ2gFnEhP2z2Dv4 + 3J33vX1K89XQcSM6pC7YKF2A9IMBqZ3ncQ0gRISWJjMAF/YWx5gKoMVaUEAAzNQKNIJFNQaMigxp + U2gtTWUMRpve9hYikykTOCg2IdwQJQWBcZhsDQBiQGYGmFRnMV6bex7kk+9950e/9uc4drXtXDUK + ujmoBChi20kpHAORFpJIvBz7WTuLTCY632kAQAqy4qDHeoXV3uO/8uGTq/UpmYgLlmMXo8BMkACu + Aw0kbIYGEMIlYzjYAIQ6iMDqOq/NYwbWOjJEQWZcCgPMoAAA6wX2F0/c9dDpsTQHB4lhtglsBtGm + UyupAnKYcl3XGhkCpKZrKymMjVQYAKLBCDmgWOk4kPJitX9Vt5POr75x1z23fe1L6OOnPvDIDQhp + ubx91V/fzW2pDQVWA6mwKqGQRUkwZRI67NhspECAMRlIwVAASmasSmi0bqGJiGEmuQRuwDPMdyCK + ccRi+cTdD55ejseGRcNAC5tgtBl8QXUAzWafUEWu7Yw4TCI23uzOm38fJnzX3GQ1g2oAeJrkH38A + JuQxdQnGLykn04gLwNAUEv7+qccefvh1WL157/wOpxLAwgQ2ZPCmJ3GM0YoSkLOZWVK0kTVqZm5C + Gvs1gICQAqxMXWDE2I9rZBBTbLpp6AlICQgYJnTJZkxFbSqjAA1ibYglVdQI6zoohaBkhgJwUA4G + QiQoDCmFE2TvOHv+KzffXk7ecP/nPoPrjtG8lWKx6RAVQDtrRikcIqN2atfNZwtv+kszWIwY4xqz + GSyimO7OGiBDGBkv/nghawEGCpsQ1AhKYuDCpCC2RJBom4xwBmAKCwAJuLCNUcZYwAZOEAMr+vVn + 77z/fQd7J6L1A7pLor4ZNekcZJudM9ilcdp1zwkGC6b1UDKCcP1dUxkbCmq8XC2vao9hf/HZ+x/4 + 4Le/8xL2Huecc4c2Iza1DnYiI6tn3SMMbaW0IviZxmvUsOpSSs20pkM1DLU2Pte26JRSfdvPZ8Gc + c879rMysaZp607A+ICJmHseRiOq5Ouc8m838vO2cc84555xzzjnnnHPOOeecc84555xzzjnnnHPO + Oeecc845d0QJbIeFvo2NFGQGpcikca4n7nzbg59/8tNn1Pb7M6EDLBpprY8E0losiA0KUIABOSsY + scWZ9VOf//of/voHf2m5lK3Z/8/enX9LVl13gv/ufc65Q0S8KRMyE5CgNFiyRtuahUAgQCBUZZVs + l5e9utaqf6D/mf4DqvqnXu7VvdrtktqyhAAJxKB5wLK0PFVpRkAOb4y4wzln7/7hRMR7ICSTKFEm + 0v6sByte5HsRd4p77zvD/m6kFNtJu78/39yY9uPAjvPzFwQQIbC63/xGuKJKUCmVajesEKJSpEgA + UFlpBUVWEnCSIQTXcLs4HHbo9Mfefj9Ev/C9B8/Pf9acchD0vdYBjcJniJJyEhKl9S5bvpuQALwu + vECAUikzZRm0/7ZrM0zUmMtVTsW6LEiHcjJVQkk2ZhbFqNw9e+FnSTM5lAOfFUIoZ3IApHCKmjff + 8YY/qnOTFFlixQH2MXklsbKscq6X5edWp3el8oRIRkD9e+fedtPWLYeH+712kqN33jmXVRLgGZzh + HEbtf/zcj/FWEVLVTEwgUVXnfuEKuy69Z8yLsdocxhhjjDHGGGOMMeZVKcYYQihNos65GGNVVVd7 + ocyvg0lBKI3mQiWG57jbgnGiX8QYY8y1Zj0gI+eccy4X5XU1+V8fEfV9v36sqjlnESkJ1uWuAEC5 + MVh/a4wxxhhjjHlFlJHrVAamAljmAXsgjRDSOpCmjsYOAObD4x/80Ov3ds+ELc2dIoeqGfo+AKSU + oBX5NHQ1yDVt7BdDUsdwHt7TPLSpbi+NeWiqUdk5JyKO1OW4IZklihNOqe1zlXPtOSepKlLVxThU + AKMmgJbD/UVPDL3lE4HcQlqG4yuSjkMDXEf9O8Bf/PCdH3n8CfK+qeqIQP3o2wbknefD/mjSTFxw + WaWuWgZjHAmKLBh6jAn9+Nd3f2zr0sVzeXzTML9+jBRzB2xt+929tO2ZxUE5SXSoFCwAI7FKmYRA + yz+w3CrIGiUbuAwCPbnwJa6byl9kDkgjFt3ffuSudx0cbC0uVcHFmD1QMrJJ1SkpiRKWQb0KUlCZ + 3bF8NUAZ6hQsICCv9zARckYWqdqNMAxdf3C6bjAO3/nIh+djfMfIm/ORJG5wkOGAQaoKSHkLMFgF + SKs46+XSkwJQUmGAl0npso6XdrpcJnKQJEo6JgGA+QK14ujw727/8NufO39DHlLOYHQd6tUGUqwD + rZdhwyWWmFbbTgmZgJIcvh5lSyIlYlwZ5FWSdzJx/Ppm+sBH773vK49j1pbJI5f1ialzwt4uhvHx + ++95ZzyYHe23wJijkssEp88b4CspCZAz2hZ9B88A6Sg4YEmk0+2d3aEftyZHklk0uIozhknTbG9d + 3N2d1k2YTqsYeVw4iTvTkBexgSpQtWHooqsgMa8OMCZBifrODCUoKSCZV3tNWQljiv3e3r+rq5u9 + Pvvs01+49da7vvmY4024FpLGbqymjQLO8eHQT+vGrdaF1ruAAJXKO8loaghhVGkxYBywGJEUQ3rp + nxfAlf1Kz0sXF6DEjcvy6NLl54SWh4IDcsmhZmQgwSVkxbj4b3fceW/Xbc8v1g5okYbV8aAnW6dB + y7lY4k6kqAq4fI6EhHW5GaUcvQTJUGTXbDR9WgxHp5uwowqxwdzGGPNyHN936irZmnU5/UkZWN7S + OCS8rFxrZgZQQk+Jji8xbduWxGsAdV0DUNXyrTHGmKtu3TsZY6zrOqW0Pml770UkhCAilmltjDHG + GGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG/A4qlUvX36oq/Yqf/l1ynGm9KhEjJADGmOp2 + RgvKXfrjD/7Z//6Z/+3U9s5+3CPvBanUCCpT+1mFgDFFVzk47vvoA2aTKuajv//xV972w7e/+w0f + PJqnNmx2fc/eKfj5219QysLQMlT1VY6VpFSIImUl5bKGWjKn15mzEFIgV1UYFj2Rm1TTg4uXNra3 + 73vnx4nowX/4zKX9pydTF1POPdrKpSjEmlm0lGxSBkkppiQAL1/8RDUbtWIIL4kuXe3lMObKWJ8E + SAElBnIpkqYMoRE0nL/0U4EqlsVbSo41rX7ZKQImN2y+5rqNs+kge+/BcHApxquzQr/FSFZ1co4r + 2QnJOshaSUSZy2VD4X0V+0XrNt9849v/5z/+05A6KCioAkmFHITAAmZEzs/s/jxrIiIlISYVVVVm + Eit6dq0SkWvwSmTlOYwxxhhjjDHGGGPMq1IIYRzHqqpSSt57733XdU3TnCw1bq59mRjMoGXF+aL0 + jGIZKnOcQWKMMeaaRUQ5Z7dSnrxSmdbl9cuYMKJlb+h6iFjf903TACi3BOX24Eq9rzHGGGOMMeaF + tATI8kii4AogcBnB6hKmXHKDY/a9SxHPLh7+4Ed+f5Fv2to5vLjbAr7yiD0Agos511WTVMaYJqHW + YVDi2bTtj+YJdBCaS+30J6HeveH6/+XhB+EdkiIEYMTh/G8+9onqcFd9PoN844XdM4k1RwJYNAta + BwqQYVB1y7xmZGERggJOl4Py6Xgwn4By5ZV9hZRl1HYYbxkW3779I3/0wH/HTWdCu5E26gQQkIRD + 0wyIiHkSJk4ZGSDC0GN+hPnRI7fdeXYY3xHjTghVP4Q+BSYFtmsa99KpitMoERJQM2oizppACaq0 + SuTV5cDfvG4Xo2Um9XoHiIIVCsAp2izIGeMBQH/7rg+852CxNRw6AOxlNbyYAIZ4YSWU4GgnbrkF + iACVkietJf65/FnHTpQgXIa3jpg2PGaZd/vtZENi7uJQkd6yz/Mu7rRTX1VOfczJsY4ph2X2JFgR + MgAwMmiZ4FzW0SkAWU6G4OPB0e7EOEsVECk5tJuTAREiIMLe4aPvf//bD3dvCAmIQ4YPvqUsvZZ5 + F5nK+GlexWMLaDmVpSyVEDKV7csMWs5vIQhJYkARJAAUM9CNG6mbCRAq0HrjvCQEUAL6hN3DJz/w + rtcNB81Cg0PKIDgQhDNUnB4fjRkIE0oLTQlVBYlIg44OaWvnB4veNbNnTp/+5Bc+ja0NREX2iEBV + I0dM6hI8jsXwf33s3o3h6LWSd37y9BlBFTDvYjvB4SJNXZnqAsABocxPcSKgLByVMlaB3yXUfOIm + E0kSxxTHScDNSR659cN3PvgwbjqNybRq2xLKHZGbuhIZwX59qijHbtkQuY+uDiBkwFGiccDuAQ76 + L91x5/Uv/fMCVvSrvFJSOKguA8tPjJxmBdSJekB4tTYMsKCNmI1AyuABAlzYfcfevF7sMWTI4AGZ + AYgXsDABL2iYVjBIZJW8zpCMUFLho0MiAKgETiECXyMOiP1e02w3o4xjL1K/vLBVY4wxOJFszctk + a6BMrSFAWZFBSirQl9OpqKoiQkTMXCJRmVlVU0ohBAA5ZwDOORFZN4AbY4y5WlJKAFTVOZdzVtVh + GMo/rc/SzBxjLKdxY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxvxuslrlL6akLwtp + SZVmJRWCqo99qrTxOn3rmT+4/S0ffewfH2g3Ty3QL4Ooy38qyxI+qlkye980nlIahzFRpAaf/cpf + n73h7I0brzvYu7ThTk9mG303OA5aMpiPS7owX4NxjpdJwQIBeFVmJ62CSpdFn1Q9SKAC0lI2QUQ4 + 8Jhj7d2s2uwP+x1/412//+/F6ee/9an5Yndzo+7mPRKcp0HyOg+c1ANpHWErJGw51i+LhVqb3xoE + 4VWGQ1EKsihYVRURFId0eLjYw5SzghkscAIAwii1s6AV980bX/9WVk4p1aElgpIIiwVDXFkKgISA + F4RbCwEqAjBYiWRZYo3VQTPrkX/rTX/4+e/9LTKcg0gGeQDi4KCcQSTkcdgfzIejDVxPpKoZ7HJS + B6gq0XGpnGX6hzKsGtrVdm1eiSzW2hhjjDHGGGOMMca8WpVeYefc0dHRbDZr2/ZqL5G5PEJQKuku + AHjdhi0QAXHpgi3drsvuWEu4NsaYa1oJ/EgpiUjTNH3fX8FXLg9KhXoiSikxM4ByA3B0dDSdTmGD + xowxxhhjjPkNKCNYiRVlECQYoPLkmFArYu+GBY76hz90z5uPho15dyRxo2ZkkTFloG7a2A8EgkpM + Y1v5NA49wNPZz46O/MbWnnfnZ9O7Pvu5t892MJ1ic5K9L0PoHUAb0z959IuIETSimz9y3327h0ez + xXxbs+/GjRpxAJU0Z8osihLqrMhaBlQyA9DlXxkMKcMtU0JOYwU0vkVC6Lrp0/2Xb7/jg9/8MpL4 + 2XUdoBF1BQF5sAvc931TTySCPUHSX33842985pk/2D+aLRYDFEDLvpPkiOGgg45AlcUxOWUKXsc8 + aOcIq6hnYJX3vCIlopfKnA6FlrRmAqtAoXACIgXGEaNgd/cNR0fnFt0o0Xkfh6F2QXIGICwAnIgu + c6SZ5ESL23JU6/JdWZFZGHAl6poBoK4o9hLIBecOFwcKTBsfRHUx7lT10PdZJWsmQlY0AZqWr0a6 + iqkmKEGXu0BYj3OmdRV+vIyXPjHSMlSNpmEhODw8nJw7i6N9ZPzNnffcGsft7ihDCJh6ykMSWjYp + yjK4mV/w9+HxDA0s2xnp5MQMZYKUGSIKFZVAVdYueEqx33CbACB6OanWQEqhH7B38KX3vf+tfZyM + OvHoR1QVxeWEkWWaOHTZUspAGrSqERVdRp6GS+CD2cZPQvjjJ74KVJhOcLodWV1oHRiJIZorigCA + alhwM/uLL3wJ4yFyevTue+fzw62j/QnSmOHdC8YR62rKkDoVEiiv2mwBKEjRD4sAMDCpoQrfHdJC + Hr/n3tu+/ChGwWQT4pLmUHmFevbrg1YAV17HARBXBelGnlQ5DZVPkOGv7v/km58+/86Dw2k3f6mf + F8wrQAmk0NXxWxLB3fNXa30sCwnrMuicBazshBAFB0eQ/MXbbn/d7tEMWoUKgVI/HL8IiSovx4Ev + j5oXGQFMSFxGh6uUA5gUJPAVxgHOkWM/7/cANLWvgo3XNcaYl6ncBa2unau+RV1d08vVgURJQcKX + 35lIRCUGNefsnFNVIiKiEEJKyXuvqt778q9XdtWMMca8DOXMnFKKMZ4cKTSOY1VVfd83TYNVp2Hp + vrxqy2qMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcaYq4qIcE0GB14tBEEpNENwygLO + JEKOERgOKTeh7o+mH3/vn/3wwg+/f/EpzIJSLLP+y+9ziUT1JEBO4shVqpCcg0Z/9PSl//HgVz79 + J3f/5+nsDBL13cAE7zivKj4VrMvKAPoqLyKbiVkBEtJSDkEAZgjAoscVkFgFACtSFu+Db/zRUV+j + mrWn5vvzremZO958f+Dw+a99au/8z6tpPUqnjsSBSkUFYSiTMkhK+QVSCInNob1cRCSi6yrHxrx6 + lcJ660N5Vdstl7OGKgAhjkeLiykPzF5K1TWCK4XXVDIjAw7OD5M33PAWEfiKnSJnRI5wsi7zZa6M + dbFBAiuX6n6yTrZeppKXjc4AkkTvvRsmN23fvFFt7ut575AEpAqm5c8JKBN7TRL393fPbdxCxCLC + TMvqbOZatY61vqZuVO0zb4wxxhhjjDHGGGNelVJKIYRhGIhoNpsBKFVrr/ZymcuTS6D1Mr5mleAC + KJBLAM0105ZqjDHmV1infQBwzm1tbfV9f2UTPrz3pQZ9Sc5W1XLpL286m82IaBiGEjRyBd/XGGOM + McYY80IMAAHw4DLklDKQIR55yvCCYcCl+OgHPnbjMxdO9V3rMas4DTIklIDmToeOJUOho1cg5QS0 + 050Lni+95jVfO33jO77x3bue+iZuPoutCdoAiOM06pggA3IvA061OD3D1hbOnrvzy0++49vffOrG + m384uz5NTx0NCE1wDkrIjMwiBAVIOWT2mVkc1EGdgktetFvlLrc7WwC61FUtiw5nPN+43z32vjvw + 7CG61AITwGVwUg8RjXXTjITYAJ4h8dQPfvz6i/uTvs/QqQ81wZHMNjdylpghwGzaxgxiJM3jOFfq + 64q4hC+ezLRWXo8FVRJhUcqgzMgESQ7JQQhCiOwSVYkZQ8RPzn/jI/edc0N2AwEQp/C95MgUHRIj + MZRAQFA4FXVROIIiIRPAwqxYRU3n1Zcsg7/FpVEDOWgmGmceGx46pDhmRzqMfe00IE8cV4rGQeNx + VPNq3girsihH5swn1vE4gRisIDmO2RYwlLuxJ/LTeqYjBYlwEZeeff0wx/6FiqipyTcYk7pQHShQ + NxkOygoGCas4FYIAECADkTiDVZkVXuBVSo7zuomSFUHgVQhZWRGgTgnKnuBccvXlDbMeFnjmB4+9 + 752vA4fEQWoduWaksayqOIXLTMoleDkSNBARzwdkzPbrU/8yPfPN1//eH37lK3/83e/ixhtx442Y + bUFmFTYcfNcnMDJphow6ZwxcO0jC5jaufy2uf80dT337zd/+zrfa0/PZ9exblwFhVadgpajUKS9A + C1BHFBniBCHDly9BEDSE4NnV3A3wIzCXW9pq57lnH37f7Ti/j6EHa03eKygp4XicdC7nCocBMgLQ + gRsPIHgiRPTDqR88fculRTvEy/i81K4ku2sJgydZTnLCcdvy8niiDB6UByXNDF39mBBHZozAc4sn + P3DP6/b3XxuI8ngUR1nEJPCZfWYFMiE6iU6ERVmUspIQhBSsYGFSJgVTdsisFHJdpeClzDJCGuEc + jcSJ0XieOmBMsVtcxsFjjDHmF8jyKkAA8vE9BhTlvkiSE+hlZ1oXOWcAzjkiYubygIg2NjaIqGma + 8uQwDFdqdYwxxvw6cs7e+7Zt132FJdM6pdQ0Tc5ZVb33KSXLtDbGGGOMMcYYY4wxxhhjjDHGGGOM + McYYY4wxxhhjjDHGGGOMKXhZu0WFBBCf4RTLSjzZzeqZ5HE4nJ+tbgiLjU/c/RdbzVmoLyVlSr2g + E3HU6oJPKnnMTnzlHQI6ym47/cOPv/HQVx/seTiKc3GaVWRdLgZCEF4lOQJUXvxVSggKn4kFrKSA + lmpcBAGEAVZicayeZPnV1LNFN4yDuhAiay+iufLD7Gx83b2//6e3v+W+7XCDso8eoyq74/JNpATw + OnHyZBz4q3gLXg2loPHJZ4he5eHq5nec8gvOpUQkAEiJZf/gvOgI9ctiagApszCwLNMH+E1/3U3b + tyiRr1iSciYlycuScOYKWdbXyy94FnCrczsvy5sRC1gISgKg4VlLm689e3PNQaREIGspxSaAJ8dZ + iUhJL+3tKjKRqmopm6O/mJZc4rRXodrGvIAdFsYYY4wxxhhjjDHmVcl7r6pVVZVW0RgjEXnvr/Zy + XfMICgiwTIzWZa+Awglc6d9dd0nyLzQ4X2HLrtBV1khpOScp7ehutcCANXAbY8y1rlSEJyIRIaK+ + 74moBIFcKara9z2AGGNVVetLPxHFGMsPlBsDux8wxhhjjDHmN6A04FBpvBEAUIJDxHwPB0cPve+O + W57bf2NV1XGBFLtRCKhDYGJRiIgPCGH5i6mqLk5Pf6euvrez8+5HH/mzrzyJ66/H1rbMZtiYoPKl + CcsTl2H8vp1oFTQ4tC0mE1x3HU6d+uTjT/zRE0/+08bpp+tTB36yn5EJJV8WQCGNY6IAACAASURB + VFlYgjCESnLziYFzBJCiCljs7hOorZu+m89qNxwtbhDccmn+8Ec/joMDpLK4cK4CgkNFgAIJSMjI + w+m6nopjoCZHmh2gKouDQxfYMxjI806BlMkzOYAd4phXy7OiTMCLjOuj4+jr0nzHSgBXIltDh8XB + v951903PXQzzbojwoR1EXBWAMh1knem7fGIdpI0yy4SEAFJHWoa3YrlUq1GtADsEKEUA4JwgCYG5 + IcccAhBjCsGNWVzwkjkvV+F4CoSSrI+XVavjiXXU1ayTsmDKUC4/1npKOaZhmMKfXiQc9t+9564z + R/ubLCBJUccegSmlNKt8jGMZYE1KpHAntq0CQlzykAEiZSdghVDJPl/maZcVdwLvwpD7DCxGEEmW + CCSBYhmdLOsA5eM3OH4oyBHzOfb3vnzH7W+a71f7uy5r4DqhZGWCAaZVmDYc1JXNk5J2iX17+nw1 + +6fp9L1PPP7nn/scbjiH2UaeTscmYKOCBwQyaNtUCnBgRa6pYqhkxWyK2qPxeaMdNzextfnxb377 + qcnOd8Ls2Y2dhZsAvuz6kum93kerCUPsxDlxZdw5A5IkD9Iw1YQdx2n30muQX7e799jdH8X581gc + QRMyPDN02f683vcKaNnhjso+ziqAR+JZO3WO9eTnBeXzAu+gjDjvFUiigeEBdhiGE+0M6wV+sSkh + tAoqFxIhESATMjlSBI0Y59+7867XXdi7bhyGo0NPqH2dII1jp2Xy1WrLEJajtxnCJ970+E3KIybA + KZwuf48JUJcSCFVMMmaQR1X59YfRGGN+m524Jr7wPudKIFUc304sZ0DpySmXL6tX0TkHQFVLW7eI + ACht3eVB6ZKu6/rXXQFjjDFXQjldr7sFc85VVQFwzqmqc05EUkre+3JKN8YYY4wxxhhjjDHGGGOM + McYYY4wxxhhjjDHGGGOMMcYYY8zvGkuqfVElNrPUApATm4iZL168OJ22dV0f7S9O1ddfH276xG1/ + Wsfa5xAyuwwq5XoIQkgJXqlBqJwnoB9zVHCLeei7Zv74U1947DsPV1vcY+5birlHyVJV8LImwKpE + DWWQAAz45ZOlYkyp+kJYR2KvQjyvKSfrG9CJqOlSE0HKdj5Z8Grs+9l0mnNWIq5ckjiZzFyuZT/U + Rzsfe9cn7/zD+5u0WaWmQo28rNtAKgBYuWy9Eka7Lh9EUvapqGXQGvM7Y1XQhUsNPVBengGUoeV0 + CiHZ7fYjR5CQ8rKKHZZltQpSPjU5uxFOOfhlaXHHTB5KFgzxCnjxTbpOAyEsK+coQJ7HcQAgEbec + e2OtM+nBDNUMiCpUoUxKDEhGPuj2IicliMCBWUkIym71JgKILqsOwq4XV92LhI5fA+wzb4wxxhhj + jDHGGGNerWgFQAjhai/Oq0MJsVEIIOV/0ATSUepRK+c9s/ai5D0dZ3C8gpyiRMeQIrkcXcwQB3hh + L8umcxBjFeZjgwGMuaaISKkDHmMsvSAlXbhIKZUHJ580v62IaBxHZs45lzTrUk3+yr4FrQaFzefz + EMK6763cBpy8MTDGGGOMMca8UpbJuxmKFKMDNAtSBIlDxNE+zl/40h++602Hh+fyqP2ecwKgcsgA + EJNmBzTKVXI5QhQefKmZfXln691P/f393/wWbjiHrSkaB3ZMAezgHKoK4AAOYA92YAKTr+AciKFA + CNioce7UB77+tW/d8NqfbN+w5ycJoIzgfVJhbgAWlF+OXCJuISV0t3xpRAsQVMa+IVDMNUAxbfbd + mWGBcR9pFxiABADqoRWUScFQhwEVP610qWmjbzK0z8KORTBx6KMgQAjOk4JATdZJAkNXjV3r4aQK + WrbZyfoZLvMK5MTPKAAw2Oe8OXZvOrx4/vYPnOv3p2MKGc7xoFk9pbFvHNUkyGg8KCELKLioyykd + pEgKYShDIEQ+QxVUIqVLirgwwJkQiZJAFMiZQ9UQeMwCBagWuBIxmQBVGqDsG2KXIFAQQx2SQpC9 + 8yGXZsfnreMLAi9XgcsCEhFlBiNv5nzL7nD+to/ePI+bfV/iwVXgQBBlSKXJJfGUkuba+4pCUihA + jpKCAKfilru+pCM7AUfG4JA5C4uucp0BJ1kr59mBqIQ1R+jCoQNEgAzJEAH0xCEEhSQFJCFjcYjz + 57/0rvfdcjDfXMRNQlCRPDhwElruWUIWkEcCCZgZjuCEq3bzWfA/zZq7v/Mkzs5w5hSqFqhcRnDI + BHgggMMyQVoAj1AheDTMDYjhkAEFAhg+YGfjY9/+6vu+9/0nrz+3204EmSCqYAdi9Ari0kaLyKWl + FgpEh8gsy/3BCSTKmlGpNnE8l7tbDi4+dNsHcek5jJfUx3IkKxiAA7wuTxge7Mu/UY4QUJNlhvr0 + bY889INNP298ojzPwoFzxsQjRZDHqOB2ImCo07J1cnBwZW4SrXLQV3Hkv0CXk208gwi9gKo2UaCc + rosH6M6fHXab+W4AAjOLsiQlqBPykhQ+EDKQUbuQMojBDjFDAHLIhAghxwIVZVGngCIRIi0z2dEr + XAgzno1DZj+T4DNjVGsiM8b8llufkhmkTBm6nM66fl5XU0ZfzmsrK7ESQ1hBSqTEpKByWYcvl8Bf + e0LUySkf5TERrfs7rs0JIcYY8zuodAiu/++cWz9fnnTOlcTrK95laYwxxhhjjDHGGGOMMcYYY4wx + xhhjjDHGGGOMMcYYY4wxxphrmiqIVFUVzjmbJP48Wip8EyspODnJLATxIoTcTqo+x8gZNaUxXZ/P + 3fraO+7/o/tn48R3k1qnGuECJYcBqBjoczWKj5J1pBrk0SuGCsNkvKTPPfKdzz321OekXSS/iNoz + g4g0swiYPcCijr0THoWiwIkGQRCwkCpnYRGCgpUEyIRYquNcU8nWrMuwbdbltlU4JdJlaVwBpGTN + li+QOILGsWIilSyJSPvYC8G7BmOYpbN3v/0T97zlj7e7M5O+aZLjDGYoJcmdh0I0j2CP5BAdhAAF + iyfxgMBiSv8tIgghDMNQdpFqxi8tJcGW73nSeiutp29bCeirLhMrAHWkAI2gCICUIRQ4IKurws8O + n9MZM+WQsxMmRXQy+FIIDQz4jHPb54I0bvSUCERwyFlJ3K9+d3N5VtffUoxHSIREKCvlcrEoFxRW + 4WUJviRxbKbhQA608jduvQGHbROalMBOCIkVCiRGdioi7OTp+U/GZhClits0qOcgAlEFeFnekERI + MovYxeIaICKrK9HVXpQT7LJnjDHGGGOMMcYYY8zvoBNNxqQgjK4auJoPEYS24WGMKsLuFe82WGak + CAPIkARVKOlxdo+sQmGMMdcaESEiZo4xhhBSSqpa0oW7rgPgvVfVcRxDCCX92vwWizFWVZVSCiGU + wvEl3PpKcc6VYwyrMvTrb40xxhhjjDG/YRlCgbq+Cz7kmACgCRgH7O3hYPHEbXe9LcmpxWEY56wy + 5uVfhJ4BhXfwDCTJOYeqnVP4UT35jvP/6atP4tQGtrZzO0UTEHg5pJsYzCAGmMBulWkNLAO2wUAW + MI2TiUwb7Mz+8suPve1zn37mtWcvtlVs3WJMDA+oQkrQLwEnM5WBF7Y9lZRlJwDgVDbIne67//uO + O3C4j8UuvI4jMmEcBEAg+JwJALn/+Mijez6M0FGlaQKgzrkxowruMGK3wdOi3G4moVEpI4Dgw7/d + 8kXPD4N0AlIoOIMBNDnvDPl0L77D5oQrJRKICEi8Q0xZEmpPQ4/aUxVcN+bKhSxQgZuFkm0cM0ZA + VHxofvFNy9ZOqszMYBFZjL1CKu/J8UE68lUl0Ky5IYzQo6Z5zqH3NdiBAYdIiAQf6pxG+sUX/+Vr + fTxonSSIbI/xdJ+nMdWS29r3Yw5ELjiqfFRoRsWAaONCHuOQYlU1AI9ZqybwKsybASVklsSaeZWs + uXonLfHLYPbc5cSKRDjvsNjchKsJVA46Wv2GEJRW3yuYSOMYdEQaHrrtw28+6E51uc7wKowEpPWB + pxmscA5KGih4qiSjj0ih/R/j+KMzW/d+9YvYbDCbZteKMlYbRIFEq8jO1ZZ0ZYvJ8hBXAng1ULWu + MG1Qe2xt/MUjX/znWXtxY2PBcAHdCMncTmZjhh5vay3rBUBXI49LxnhZaVIEER2G1yC//mD+wJ13 + IY7UH2HMWDXr0omobwcwGCmBQCABmADyaJtLddWx9IJp26qSD4gJvsJBwl5b/RTpKFQ9O3U+igwa + PYcXOTh/pRThCAzkpH0et+rm1Dhe+vj91dGw01ZeSXX5eXEOw4icUTkaBq0CVcF1Q2yCk4R+RNvC + TWjMCI0nIOdM8NCSnyqgrAylZXC6Z0A1STfhAGhKqRvQNM1lLLoxxrza/LLT82Wdt3/1a5ebNACk + ysKrwGxBuUQpk+Lk7YMxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOeR3n5 + taoQw1q+BJBlzRgSVq7HdtZt3vWme9/7mtu2cU76wBSiapdRtwDgQTX7xgViHwVZQA5dwkLHzZva + ub/wqcf/z4e+9d/nYc9t60hD1gSIZ+eIVVVEVJelx1mZ1fOyqs5xeSglrDMWSa/FmGFW4XVdhfW2 + 1fVyyokvAOCSgb0qpCAkoAwkkFQUXF/V3ez2t91173s+Phlm3NXBsWZ4Qu3YswshECGl42IOQsgM + JVnXZDAvwQtqU1jdbPOqJAShdQEWBUoxcAZIlaCcVBbSDxRVhUFOGGBhWddeK5XZNibbAZUTR8pC + ECjAFlt+5Z24OpRLrdLzLxDHtXQEADOPOamHQE9PzoY8QWZmnLwWZkJevWQni5EGIQDM5e2ITuxH + BVRJFAAs2frquzZL619zt1nGGGOMMcYYY4wxxphXDukydgUAmMEMEJj2A7pJNd3eOhqhWevKA8g5 + vtLLk0+GvoAJRCWPiJ7XtWfdF8Zcg5iZmYloNpsR0WQy8d4TkXNua2ur9Feto46ZrT/it1yJsmbm + cRzLM3VdX8HuZxFZv0VKqes6770dV8YYY4wxxvzmZSARJ0JoK5DknAWaCIBgt3vwD95/00LdfKwc + EpJva2bUlcsZrA4ahoxe4CpfBRfHbr6x8Z0bbrzv75/ChkeVkQYn8m/GPD8PMepaXZWRwYQKmCle + t/mBJz/zrztbP/VhNzhstAdyRJ59S326zJdXOHb17sF7LgwPfPBeZEJOVY0+AS0ngmQNjvPAGDx8 + fUiinia16/u4SKpCHnWOLrbtj65rzt+y+dzQEYJr6gHDkCDp8lq+St42CxIjehGSkmWcCXVAWkgS + reoGkhCTIw2VUzSSNxw3XdIh5opdJgiYwIeHsfZQAQXUk0qJhrgAFCQASMACFpCUtjsgOEAYMgnw + NeYp5Rw3G5LUoYSMB7en6YcTPX9u+xlCdNOsoRvBtU+MGCNf7nhFXb47ACERSCbJBAWPQ6oJqHkR + 06ApAewbUJPAOSshEFgzqwtCfugTSjK0QoDMSIzBS2LxgjojSBmIDSFE5uQwpK4lsECa5rnrb/rA + //MZ8A50AmVSceodGBCFRCABIOQxgUAi6I6wONjZOzyd4aUsvArJ8TBuRagwJCRGZu11Pug8OHZN + dbGZPH3uuju+8jmcCqhrJO/miRPgoA4ACJIgcb19fumWQ1bJQJQMB0waQLA9vfvrX3psw+/PZkhh + yhMVmi+OqgYkIEEQOBWCsiqruOXClwHQKqSAlBjvicO4f3hj5usudtjrMApIUH7y+Xt52dDra6ij + DJeVAOQRmbN4T7xRu77r+pg1OQfuEw1t/cxm++PN5ufTZtzePMophLoOTqW/rBkgpHCAA1dAzdqC + xsPD0zFgN2/4kLtRRKtq0kP6rExoapAytHLOLaIuYg4elImVJr467LA7V3EYF6nyrcNUUQEA5eUx + qsiEkTASvHPD2AcvCzmkPJ9VmAXI8Iq3txtjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhj + jDHGGGOMMcYYY8wVUcXJmf71/+VD/+tbbniPjpVv2lHAjCEiK6LKqDkRAM/CJaDZM1ygS/2lQ/9c + t3Xh8//wN//HF/7rM/EnC3+orVCdU17E1DkWZo5jZiVWJgVBSMWpOIVTkIKUSRnqBbVqm9GK1gp/ + tbfKr4kBVqJSjAikoATKrCmn0RMaaq5rz976jo/c+vaPzvS0jE4VOlDl2m5YdEO/sTVJA+rIdWRS + CGP0KTlhZZ+9JVv/akSkqtdmmKgxv6bnxxcrSERkGAYRAUCkJ+OTWcvPgZRO75xm8iJSPhqqCojF + Wl91jlmjemIAW1tbTWhImQmkWNdSVDouytZ1naoqoew7RQbZfrx2XZvXolf7PZYxxhhjjDHGGGOM + MeZyEAhwAACl8gSD+f4HP/v9D30w7O1fFwCQxESAY6/Ir+ji6DrWGusFY4WWhm7FcQg34fIyh4wx + r7Scc1VV4ziWGOOUUumjEpHSVZlzLh0j3vtxHKuquroLbF5RzKyq5aggIu99jPEK9oyVFwdQerjb + ti2HmSVbG2OMMcYY85sXdWDiCi6nVDU1IMgj+v7zt3/oDXuHZ5oWYxKkpuG+GwKQxlxVfhyT5NzU + k5y6GFPncNDW/3Nz8snHHsPmDI3CAeQBAQEvOfy4DJLth7GpK9IMFkwDSMCbd3/p0UduvfP36rR/ + YXeTXcpxSGgqh3h57V0pDVvktobh8MIFzHs0E/hUeZ8VTHCOoMT1BBLQyf7G9FJ/BCU9tX1hHDDZ + 6TKQ3eGGv+2Bv0LTPHTrf9gZNFDemWyHbo86hMsc8EnKBAgBUC2B4mVDaIiIFbuxX9SAa33sUo/M + PD1w9W5b62QHEF5020PaAM8c2mEAI0UMCtHRgYLzkLR6KyZdbWQCQ/phaAioOfWyADavaw8W3S5x + txVyQAINi0zX3/Dez34azj18359dunR44xiq7oD7NG0qnY/EXuVy2xuZFIoyAUNAy0G0tQOA3OfA + 8FXNbf2TgyNMtxft9jwOp6u6imPV9TzkCtK4SiQBbnnI6HGbJANOlo9LJLMSlKRpnIw5ReyKPnN2 + 851nbgQ3y321+mPXUUyAoFaABK7yGAbkhAsXv3D/f3g7ORkPAqAEVshq+C+rkiKNaBrMewiw0bQ6 + xBxlj/2/TNq7n/gCtie5qRwaZI+K1mvtjttLBbT8mPyyT0uGKnLlqhiH4BhNwNGAndl/+sbXvvTB + D88Xz94gOmNfVTrvpQUDRMvWYCnNyMC6cVagjFWTMgAiNERDf3Szv/6hD993zxOPYJKws+1cEDBI + jpdLATAIKYl3DqoyzrkGJvXuZHqwx22mvHP60pBoOj0SjYTDWfORhz4DJvTy0H33n3XXz/Z3r0t5 + 8tJPDc9D3rkxDlWoQ4J246lmhnHMQAWfhs4T2kkV5+MCIFcfuHBxUsukAuDn/ak+bSVXa5565knT + LXomimkMzXToLwbm8hkkZQVBeLl7cgaQU5pucH8oknFEuMQO1o5hjDHGGGOMMcYYY4wxxhhjjDHG + GGOMMcYYY4wxxhhjjDHGGGOMMcYYY4x5NSDxbqgnqD75ob8Mm/Wj//xAVQ0IUUXYM1RjTikrM3tX + QXOMqXaUBhGgnlHS+SLNn/r5VxaPzv/j7X9+ms7O3IycrwjO1cxICaQeyryuX0uAYlUQCLosDOQE + YHhAcJzg+eqjYAEDEDBUQApASZwmZqWUWKjl5uLFg9nm6Y++9xNJ5KF//v+qzTRiXCx6Pw0q8fBw + MamYkgeQeVRex5paZZuXqoSJlojrq70sxlwB/CJnRlEiQR7ToJqhmZgUSipYh1Msw639zs5pgFQJ + YAdLQb4mMKBCDEcgSGqqdjabadR1BTzS44pwAECyWBytrpKirCoKRyDB8/ep7eBrxLV5AbJYa2OM + McYYY4wxxhhjfpec6KDNgAABTOzRuP3gbt6c4WgOFVUI4F+sL+JKLgutYq0BAE5ZCQRe9hev27Z1 + ucDW2G3MNYWIYowAvPcpJQDMTETlsXPOOVeCrkvU8dVdWvNKG4YhhBBCKN+mlJjZOVeyqH99TdP0 + fT+ZTA4PD0vEtff+2ux7M8YYY4wx5rebA6YUCJBxcCF0ObfIGEbs7b5m7N+w1e5dvDQBVQ00CgEM + BiSOCXDNZGPo54PoZk3nA3//3Jl7H3gQp7fQ1ECCjEPsQ13z5QyOJ4CBSV2RIo/RVR6kKXnvNzDB + T7ZPn/vp+Zu5rp0AGkI7X8zbFx1/+8t59vAkqd8WfPre+z7xyKNwlQ++xFEL6UKyd76pAlh+tjE7 + J7T73DOLduPp68/85ec+i41tDA7MaAks9/zjdzEO6BafuvUD74r1DX7QfFmtXstQY1YVWq4FCwNI + EtvQCHKSXNWEITlgutn+XOhHW+2tjz+KxoGAMT/5wdtft7+bjubbG/7iYZpVXJfdJEKUXBmrCgcw + AQpEhpKwQABUfuiTgMJs8sO97uLWzr9un/6LRx5GyKgdcgBVCAEp3f2Nr+Jw/sT773pj1TT759N8 + bD2GlC6zgYCxXJLEqoBmghJBEQWkICAwuvmw67B/w2v+ua3/9JEHMAk43Pur2+9498bm9vlLbUZO + oxIDcOJYSUi9iAMAkLACpKIMURYQqYDyIoIJzodUz/arFqJgCMHpcurL8vCA5FVwMySCBQdHD952 + 95su7m8mqsipZlI4CIGVSEmFhBUOiD0CUM3q2HWdYlZt7baTj3z9UZzehIBTk30YRFXRhOWGAIQg + NaAlOprWC7L61NDyf1k1sBNoBlyou2HR1g1mM/QdNrc//MSX/t+7P1r/9OezIY+9TF3QjFWoPKAg + BQMgkIKpzH+RVSw3SAFBVK3htnN849MHj33oo7d//UHEOWHTuRKALQJmgDIAJBB5Lwom4hroFtiZ + /OVjD337vbf+6OfP7E5mPz07/c9/91lsbEIIjuEY3iPLPV/7GrT769tvfc8zlzYytB8u6wDyjsaY + KwYDQxrqtkmLfuiPAnzlZ5CUZWyrkPuRCZON6dPqf7C1dfuXv4jaQRhDfvLW22/Z399eHPqM3Hcp + qyomXGG8MKnQS0YJNVcQvFOukwOEIX7a5nkXD6XxuES0e9ONdz7wAHy4vE+AMcYYY4wxxhhjjDHG + GGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGXA1K0IrySDf6N/z5H/yXNrdf/P7fdZt7Y30k + KhyInIiIEjkOJN6N2QdoRDNxQtgbxnqDFrjw9Z89/NNP/cs97/73t7317u2NM+OlcVjE4EIdfBKs + 6kiBsCzsU4pQKSBQJVICKZdqNi+e3/rqsUohZSUAuaR2gzITVZ7SmJjDFJvjYdzZ2Lz33X8yYPjK + v3xRaxa3GCROZu38UsdwLFCCKiAl/hRCyQq4vxSqKvIqPoSMWWMFAFJWrEp9ldMjkaqWWvExRjAJ + MkiwKuVNJ0p6k/LmdFszszAciAgqRIBemaLi5mVSLuUUc84kyFm2N3f4AuOXl2Pv+4WQEJcLA1Qz + wKqruoWAKGh59bmcUo/mlXFtlta3WGtjjDHGGGOMMcYYY37XlD4zlnX8ChE8L2rXHaUZUc4qQNiY + DYdHFb+iXZGldVuXaStKABNBfjHCepVsbYy5dpSOSedcybGu63oYlsFCVVWN45hS8t4DcM71fd80 + zdVcXPMKq+sagKrGGEMIInKlAq2Lvu8BHBwcMDMAZl4fYMYYY4wxxpjfMBICKYeAnFtf42iB/f2H + 7rv/948Oj7phu2qQo/RZgLqpcz8CIAYRxf6w///Zu/Mn27LqTuzftdbe55w7ZOabawBBS41kBJIA + CQmoAqqYCtTdakm0pQ71YLlF22GH7R/6F//mv8D2D3ZER9sRVntoOdTdthzdUmsAah4RggI0MAgk + BlEFPOqNmXnvOWfvvZZ/2Pfel6+oKipLVGW+Yn0i473Mm5k39xnuOZl7WF8tk0l3QYevtpO7Hrgf + J8+gbQvBMkLogsAAwyE6pAwYM0IAK6TpgAwTMYIBc/nHd9/z6E++9WY127sAIKT9WZhYPlwsbtJs + IyRgaumVV3extwQRnT0Dq9m9FCX0hlZAp0782n13//ptd3zo059Bw9iaYns7QeKpBlq7wUppSHKP + gJ9/5LEv3HZnv/x2SzkcZvLuup9Ma76yAmIAiECAppQmLY+D9kCctV9eLL91ZuddD9+LU3PMZ2DG + /njbQ4/ce9vtr27i3uXLp6ZN7keCEiEE5AKqyc0wQA2sAKCgQoxpE9MykTQjN5fC9M9PTu567NGf + mp7EzhY6BbOBx0GDtCJATgjN7ff9wQN3vvsNWyfC1aeg2jLskPP57eDcV9I6G9ZIYwQKEDEkhNmJ + C0o//tEP/9jZHZzaRmBMu3/wqU/iG+cf+dm/ffrK1dOBJhmxaJ1XzVAAVGAEBSmtVrGs59oaDEVR + IhI3y62tX/qd38KpORqkbBIMUBDDAGMQN9ACVlPpe/TL//vd775tf3w1S877CSbgdTi0lgPh06YI + AJjyYugV7bT7duYnmuZ1XYvpBCUShazgSApkoKnHZBM7DcVm7vAq8fpA3nYNoiYQqC9DJ21sp8VU + tcTpDFpwpv3g/fd/+k1v3Nrf70BqrFDerHkBjLDa1wQAst45BtTdtV4JVHR5+dVyqlze/c077/yV + Rx/EvIWwQfW6pG0V5lERGAbNeYiTNqMJ2/Z413zo05/CtMF8C1vbCUId1Z9IABSYTZCu/L0HH/7M + G37m9FNXOmI51DlEzChZEaa0WFha9JMQJxRzSkApOrShycO4ANrp9EuL4clzO+975AGc2sF0ChPs + 9bc99LGPvP22sxE3I9Ol5elWIsnYj1Ewjgdn4KpBAWYowUAY9pdth7FHouZ8O/1Eoddsn0D0WGvn + nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84559yNQaIMaWhTt0Un/s5P/MIt + p8799if+n6fGJ/Zx1SbGEaZQNWgKFoM0KKntmkU/ZsJkFvo+L9PyzE3dz65WPQAAIABJREFU+Utf + +e3HfvMLX/rsO3/irje8+s1tmqS9ITEUCovGmaxWbsGBtM11YjNgpISsUL6RM62vMQYAUoMBUFK1 + VLI2MaYhd82k4eni4nj21Ks+8KZfKGP62Jcfknkeka5eXe7MJ8NezyIEiDGpsqEQlKEEtusCa913 + MrOnhYkSkT1HVKxzNxoiAgoxAC2amFFIzQxU65KtC5UZkwImbTPVgsCBwQSoGTOrKsgzIY6SKQUK + qsqQPJb5dE7E9er1nQfGgD6NRAaYkvL6Qved2clkzAbQy+JmeiM7nrHWnnfunHPOOeecc84559z3 + E9JN9klNHyEDwChZppNlzn1WaYIQdNiHrAZyX6y2rJJ3dBW/YqtgGqXNQ/ULnHPHUc6ZiHLOMUYi + GoahaZr6qXEcRYSZU0oAPNP6+0Q9Jdq2TSmZWc2f/h6KMYpITUwHEEKoJ5hzzjnnnHPuJZZzBhi1 + b6nv0Y8fffsdr/zK104azZuQx34oBQARSk4DbCRQbFLJamVna3Zx6J84deJtf/gxbJ8Z0AAqyz5w + MPBTV3fLIeezKYCADBQBABsLlAkN0IBnCNPbHrj7czzkWTMTatuZ5kP/HREnEwV6RqPlBy7v3v+O + OxGD5R6cS8lQCDAjpDFDAqaTD33643j1WbziNOZTIMbYjIS9nJETiMQY0mC2jcn2Xyyx157IFL57 + I9ZqqDCAoDV8GjXC24ACU8sMaNYlICd2nmxn33rV33zXJz+BEzuYNmAAgmaGnZvf/fjnHj/zg988 + 98qeuQG6ACg2GcnGNTW5FE5FkqAEgynGZWJQX2SYnvnK9NRdf/pp3HQCZ3bQtGMPQ1sQ0bYlYCgY + C6Nr8aoTd3z87idDKGghQQ+daQ2FFtKDvZQ1cFkVy4L9Hvscv6Z84dw5bE2wvVWKJJugOYUwxw/+ + 4O2P/9Gnzp77q+2dQUJUYypEuuoUNUBX55tSfVNQqZHhsxbM8duQrwXCuZlOUp93u1AANWCEFgIQ + kSMVBFUxBQyXr/zIUxe3+/3FYk9hoQlJUAhGIIPoaslH7fyk0Axqqtjaai/1/TdPzt/38MOYb2c0 + GAiDCWM0MJDL6hsNB1Y/GOjgCpzNFGECDJEpDT2ATtrVNxKH2A45Q2I/CtoT5yfzy1uTNJvv6pil + FNFNrrUSEiMJFCADG0QhygQUQmIoIAJERFgpu6fy8APnL+PKLrQvSAQWcD1FwauEazIUIAEsYuAE + w6T70GcexatP4ebTmE3BITZEQD8UhYJQADAQZ0hhf3p6OdnKLIc4fwi7uYRZJ4LUWwjgBhm2n5Yj + UkFSGMqYgen85JPN9Fuv/sH3Pf44Tp7EZAoIENDOsX3LXY9//k2f+exnzt68aOtFZmQGBRAjGIKB + AWMkSUkGpd5omayEAM1IQpcmp766c+YfPf5pnD6D2D7/9jvnnHPOOeecc84555xzzjnnnHPOOeec + c84555xzzjnnnHPOOeecc84559zR0aRL6Wx3uCCEs3zzO1/5nv/8tv/mdfxTN8krgnFfMDKIQabQ + MdSKM0otx6jAosyIZy36vg9zsu3+sxc//q/u/ee/ed//9oUrnxm3Fnthr2/SENMYhiypcCkEg8AI + YAIYmZAJI2EALUEJN3a5ciU70H4LgCjBgGJaLIsIAB2soWmLeb7At+KHfunt/+h1r3hTHKfzdjsl + LJfLOJHC2UjJWDRICWQojMIvbj35lwEi+s5Ya+duUGSgddIEHzip16e5FVjKGUz6HZdOMub1WxNa + UggJAFVlA5ER+cvkiAmzZmMORMSGNkQhZgXbgVKNpCBVBkhTGoy1oJgZEYFrLvl1R35znpB5fvER + e8Y7ER11lryfFs4555xzzjnnnHPOfR9Zx6YAgACyeojRdlcWe9PZvAtBx2yMoRgCXtRxAzYENTxT + mo1d31Tn3DEUQiilbKKFmXkcxzrsISKlFGaOMaaUuq4rpRx1e92Lrp4SAGKMZqaq38NhMBHZ398H + 0DTN5qwL4RDZb84555xzzrnvDUMI0ZLCGArkgsX+zRcv/BCDc9odc2a0LZFADaoaAonIchgn89YM + 4+5+2Z5/fjLDzkmEiUw65IRGShoVOLm9kw/fG2RABgAUAzUtwNA6xxaYTbHdfOsVpxZNXBbDMOjq + aw9hXC6bBgBiwclhuLnvsViQKfIokYUQDFRK0zAkYjIfp904mY9Ni8kETTBD0jRpGQ2AVMYexAiC + rv1bDz98sWlGeQFT+IwNYlDadJ9ZDDBTAzhwiN2Tmb60tfOuB+7Hzg52TiA0AJmRCeNEg+35L937 + wJsffOwL3fTrDYYpWUApaMIzZQYT2NAIwBjbyYXZ5LPB7nzoXsy2xvlJFYGgmUzUVt18y14loGkF + bNoAJybf3ppeCHGRjQ/7dyIpqIBKYSsMAHXDCSgFsRHtJhcm8y+f3rnzgbtx6iSaVpouEBJxOXEG + 85Potn/lYx//yQcf+svt7Sfm3dWG+4BCte+RATJCIcAYxmyrwPZEOJ/x5NbWl06d/DsPP4iuTRy7 + NqiOWHVZaqlJ4PV7s/KYcOHiw+9+zy1XL00t1dNhOV53vtHq7AQIQrLMYzefJ6DfHWxr6y+7gK0O + 0rAJGkEkGFoCDN2Bw6L1iWpL1723dvBn1EdUp20XVvnzq8+UYm1sALTzBqG96577njh15jJbjFJT + sZVgBGMYQWmdR72avM4EwLhGgBshF2g2hQWU2O+9RumeO96L/X3JAwqggNUoa81QU8TVVqhQR5AG + IYHSpLX5NLfRph0i1FCSzVoSSrkshZELTBknTt32u//hqcAjH+710sWwu98XAwFCECPNpY1RGs6U + C4DICN3X1b4833r3vfdivmVbO0rBTMxgApxgnJjg1NkP3Hv/V1/1A185Ec4HpBb9uq+LD3RkG2lh + LYymxVCQpbkyPfGFWff+Rx7EfN7H1g7Zfuecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec + c84555xzzjnnnDsSSpp0iA3FKGysC2uG+Rtu/Zl/8oH/4kdPvPEcXtUtqMmIBDJYMdVcSEdNBaUJ + 1DBRUR7BREMeLw1XFmFvr7v0yJfv+V/+/f/0bx75ja+Xv1i0V/v26tDsDc3eGIbCuRCU1kVaSBmF + UUBp/XaDVywnJVOGktUKQmwQJTambjpZ9PsiEkJY7i4bmUxlK/bTrXzm79/5j3/8ljf330xnptsc + w/6YjaCkADx51jm3sao2RlRgZkW1lFKeM+iByZiZiYQ5MFDzsI88W9cBCBSsFAaJCXMA+OBxqRf/ + zS1ACWqlfoGZgUFr3/nMfnTds/Eaec4555xzzjnnnHPuRrUJSR3Hsb5j5mNoz8VWYSKrPmNSiK4/ + YWhCm8eEUpiICIUOH/JzSGTK/QBVjKPmtOrJNpgdHOXwY+rcsVYvxTXDGOvrsB6Iq6/BwyLPFIvl + XnbqgVbVOvz8Au7Lm8HOrusOPmcpJcaYc65nlwdaO+ecc845d5QUVmpGruDK5X/3nneeizkNYyIu + bTtE7KsVQwDEwGoB1jHGxRAjJOLbzL/88KPYOY0QQwaEQSptACBAe8jpbAwEaKy9Xgyj1UNgZAAT + wbnpLzz4e08pIoAoTHjO+bVPZwQOWIyYNa2MUB23bfw3d9yJKwsoVLX2uLGNsIJiKGgsRHBjEYZC + WiTPGGIJMiAmaamgKBsiMJdvTjDIIaZ3EpStJopDse45IwUpGIuCpsNi0B7txdnJ9z/6CE6dxGRe + KMA6oCkkiZEZmABntnD25Ns++alP3vKDX+luucodgTkrA2QwAoxFWZRrp2LOGIm/3YUvn57c+al7 + cXaCMGs0sCk0AYNQihgChnmngtokYmlB8Y57/8PXT3V921ldSvH8t9cQWEfVzDoKjIkBKmgIzOiN + L1L39a2d9z56D85to50AEQVUwDXx2QKmp7B9Budu/ulP/uEf3Xrz13bOXeBJTw23WwPUAicrYITQ + wUgVomBgL4Qnzt3y0Nb2XZ/6JM6dtiINBBZYoaYAtIxmpTASAAbUcHnxr++86xV7V7eGrKOGaTsm + tCyioHVcdN0iBthARIHl8tBr10Zpr6r84oN3Y7uDCRdAYAFEaIAOkM2BBgA2sNEz7UZSYPXGxGQQ + IKzfGAhMUEU/kAIt4+Tptz/08G7DgswKEWjBqDCBGaZoQxJc1z9LRqSQAjYD1xORoZQ7Kjt7+6+6 + 2v/m2+7ApX30GevvXOpYAGYQEIEGASUit1IQC0UNhCAsCjUCC5qYCUtgESQBOQRYAJCwzednPBym + P4AMknRCbIARpEAytSArY4aOhiZiL+vlrvvGyZPvvucjOLGN2JCBjYiMCBCMgrEFJi3OnHvXJz/5 + I5/+7BdPvOKKnEo2MSUDCq2C0qWGzTMKYxxAxPs0f3K6/e6PP4BzM0SOh2i7c87dkGx907gRVyjV + wY5SSu2Rrv9+5yYQ0WaE2rkXbDO9YRgGACmlI23OqgG1MTjQPOeOuXq7wXps+uC45OZafXDY2jnn + nHPOOeecc84555xzx8emYz/njGO5LriOMqhqXR7FzADqv8/BzDZL7XAst2vDx1Occ84555xzzjnn + nHPOOeecc869nBFhPWovIjfc4vfjhYxZx7GPaCiTCplwn8rJyS3/2fv/2Qdf/5++rn3L/PIJWcZA + CAIjTYIhaIla2IoqGQJBFKwSQqOBF9TvTa6e775+/9d++3/4f/+737j3f/3M1x9dTL+9F84v5PIY + emoYIcIYxmQM1HDrWtYl3/jlyhW0qftOq0pDJoV4KJmaoKSKIi0XHcys5S5f4lfSa/7h7f/kTTe/ + lfaacZFDS8YgZkUpVEZkY0QACXyj754XmaqGEMZxJFpN72Hm4zzP5/jYXEhr7Wifd3ScqSozM7OI + iAgRqRozVDe3R5gZGZg5xhg5CrEVrRdeAC+srrj73qpHwYxquZudnZ2UEvOz/kojIuM41qOPAwUZ + 3PGUc95MSq0X2OPwuvOi/84555xzzjnnnHPuhjSOY9M0AFJKTdPknEMIPkL8XdWcHanhPQYYNmHS + cjBKmq595sUjhnOTCS5dwekzHKONhURKAQfUNfHXGkAvfmucc8799fR9v7OzA6CUUm/NOHwFllrq + hZn7vq+PlFKYuVZLqWnWtSgMnilTxDnnnHPOOfdSELCwGrgoFou/2Q/pwv6kxUJhBoLUTENbRfDC + soqACMuC/RgvTLcx3+lj2xVAFQQQA8qoQcQgHKIjiKABCiCDASggtO5KEvTgRNiSULZP2MKupMVO + IMuH+zulZEza0O8NAQjzGe1efs10ht0F5lNuAzJAqmxC1zrXCLXnjSGs4HWQtqzbSQbmdWJuOeRf + NgR92gYoAcCYsDWBDliEcPHms2/53d9FNy3TWdnkSBvXv6IUyAzjErsO4ab/+LFP4Bvn7/+5v3VT + XsbdSxMWMWUVgBVshMxQUiPe75qvNfKz9/4BTs/QBRRBATiAMyCAEVQAoKy6HQmAQALaeKmNIwcD + Ew6XBDkUzGbcj6ZqqiZAYPQJWfhiO/mrU6ffdc+HceZ0ahpCqLu77utVIwSQiDBHEz54/0O4unjw + fT97arnUS0/dcubccnG1nUyH5aCLsj0/SRiz2JUyXDxx4mfuvvdNJ09ja26T1grYAB0hkYmKaScN + EDJgguVok+WA3f0f3V92l6/sbMVhNy33hq5p0jjyOn9aCWwgMNVgbMskgTnsF90L4Ztb0/9oa4ZW + YAyCERSrc2V94BWr8xoGVmA9tZg3Eeer187B80PXx2F1DgCmiIw0oG2xswUr55t4k2Cn5d093ZlN + oLpcDkGgqUQEtaKkbEwggEnBrKsfYXX+OWBglKg4MfSv3Y24skB3YrUBQMNNsrElhvHqpaEMAxjg + XBtHgIBt1XYiMBBhBmXLhVtCF3FpLPM27x4iFh0AgdhQiOteo9WDsIy2BSmGIE9M49s++vs4ewqT + KSiswrpJASUCwAaMDG47tDHI9Pb7PvYHd7731mk/G/caXbIpmwBMhsKauRQGTMY4O0+Td957H05u + 26RR7+B2zrnjTUTq4sOcc30fgJnFGFNKIYRSyjiOddHyUTfW3djMbDO9oW3bUkqMcTPwcSTtiTGW + Utq2BZBzbprGF+e7Y66UYmZ1AS0AZk4p1ZdSfVxE6lfWZXt+PjvnnHPOOeecc84555xzx8pmaXAp + pfbqH8P+/E1Vx82HqvrclVjrF9ctIqLNZh4rm31eR8brQPmmiqVzzjnnnHPOOeecc84555xzzjnn + 3NOwkYIZYKNahqWQwhrJATm+/Ufe99q/8bpH/uyeBz77kYu7TzZzHinHiD6nZDCCMNQgAIkBqqYK + MwMRiISINI9/+q0//NxXHr9l55Y3vebNP3zzT/zQTa/fu7rf6CRSIAOgZGQIoIxDVi46jmgVKktQ + BqvBwCA1BKwrFBWqX5ZrYZ6+z6dnZ9P+MM0n/+nf/q//+f/3Pz4JudCfZ4GxEoMjB0ZKVnrMupBK + fu4mfJ+r85S8cIR7udrkSrDBjMxqcPsmknxdoO/AK2DzcqhXZyJSY1WoKq+X7bsjUQ9NrZlQ5/gx + 87NNtmRbTcVcfYHawSd5+jODCZ5M756Bx1o755xzzjnnnHPOuRtSLaZciyzXos94znhLHyhaY4Ou + hhYOdhorxBSA0YGslBdZUM1PXUTXQBVQagQGIQyjSsPXHUvCS5Gz7Zxz7q+h67qUUtd1fd+XUp67 + VstzqPd3ACGEWiRlsVjknFW1aZpaP6VmXR+3mjXOOeecc859PzBCXxKJBGIuw4fvvOONly/cNA95 + L7dcYKjZroyivApsbgS5IAjGRs6fvOU99z4ElQiAM9hAVMNvCbAX8Du+AWogDcSFwPURAwi7pQSR + iCni+K0+ndNy684sX9k/1CRZMgTARo0czGx3b//0pOOLlz58+zve/7k/HtrchmDAABVwG0jHokEI + EGMAogDBwAArogEFICBaQWEknSYNT0+p/m5bvN5LbDBAqa5DgDBSQVZcmM5e+8Bv46YziDuawHET + sw0hFS6rbjYWGAOC7RbzyZ2f+kMMi19//3tOLsdpzqEEmGQOmTgLBsE+49fu/f3XTxoEQjsBt3lv + DLMmCxSBAakZzKarfjzmAjUgBAECwIW1sMoh033bgLyvBEwn7WI5GNAQYtddVj5/6tTb7/tt3HwK + 3Apak/XBN0BVSI2QAQKLBGjAiXPoxnc+/kdYXP23v/hzX9u7QlEnHBK6kzef/dLVq1G6RVue3Jp/ + 6KN3Y3IG3SkYk2KVTE0AqUGEArIh59CGXhEbwhL33P72N1y5sN3GK1fTjBEYYy5GzKRsq+jxdbI1 + ADBhLLlFs9c2T57evuPBuxGkSORrsewAFHRt+jcBMIatpoaPAOpuvxadvv66dez0+qQ58KkyIIYi + sR4lCZN3PfDAZ25/a9rb247IKacxTSJA2CvjPG6XMhxsOQOxrJ5KD/QkKyFxaTS9ere/561vf88X + /gTzOAxj23Ulj11oAF0dnhqFzSgBBcbQAK5B18TIhIxACC0w7A5tx0RALjCgnSz7dJhz5xpdRaxj + naqNqQAFuyMuzJu/2Jn91NltdLNEIUr9krrTFGYNJ5AAYX8xziYNmhlulg/82cex2P/1d995Ji2n + SUWDQjLDSJXKEPhyoF+7577XdlsIAc2MwPvDXtdOGbwJmnfOOXd81GLiNRW19j8DCCGYWUqJiGrQ + b+2grqPSzr1g9bxqmmYYBmaOMW7Wjx2JuoBNRFJKqtq27TiOIQQfgnHHmRxY/lrnC20WYW4GKOuQ + Yj3Dj6aVzjnnnHPOOeecc84555x7JnVxUErJzGrq8/HMVK7Dx5tRiZwzgM2A8neqAxMANlnRx3Cj + sB5n2Qyp+Ai4c84555xzzjnnnHPOOeecc845554bGUdtARSCEtfqNwCMFCSlTyf1lR94/S+/8VU/ + /cjn7/2jrz6U9UKwcRpCQSxAYrDoYENOCNFW5aYMZigopii0n6SfzpqvXHnqiUf/8pz84X/5D/7b + M93fiKXBUH9SAJQMILJrZYBeHhQQAswYpDA2QqFatctAhU1hTI2ksSDLrfNXXdz71n/1c//sf/73 + /30/Dnna99Y3U9q9qtuzEEg1KxcBPNb6edksQfbFyO7GZev84lqM7gA2K2bGhiZGqMkzXTgVZlbq + GwAzIyZiKqWYGcgzrY9YjakGtF6mcs5EVIqGZyliZgo2EVCt7s6o983NxY5Qb+X1o6dVrnMvuYN3 + n+NTGcMnlTrnnHPOOeecc865G1XtUTWzuna67/uDNWrdM6p7ZzUacC0rWleDDmRKYBgZBOAXuQ8z + qJ4J7T0/9/PveexhYA5wKQriNnJZhXvYqp2VJ1s759wxVgcsh2EIIdQK8ljXbTns8xCRiOSc67dv + iqSklGKMWFdR2XzonHPOOeeceymJSITSmLHYP9f3s0VaANMALQoDQbAJ1SUAyAWRAcEetV/i9sfb + KbSsc2ZrbPQ6HJegm56r54mAddyabPqyGEZoRQYdJizQ8P77H/zc2942669MD7+9DM6matbMZk2/ + 1y/7s213VhOgQTijDLkPoSVgTH3TdFb7tdYzOfnA1tV/GKCa7Asm4xfS4UXXus14ncBLhgsJzdlb + vz5vX3t2e9kKj2jb+jkFeJWWvNrNQFGUiI5TBs8mMm0xTD706MexXCIVqAABzCABAcKIQBmwM4Mw + KKpK2OKi0E0EcJ2MSAzaJFfXHr4AYzIoayEjVjlkD64CLSMvhwA00y4t+m/34/mbbnrz7/0Obj6H + 6cSsJYJuduWqw7OstxhGjHkHhTWBS0SDX77nbuztgQgF0IDe0HUoCzSKE4TYIu4sl2UyYS0gApHW + Myzl1MgEWJ2pHSn2l1ju35L7M7C9RZoKQhfzfioowtedzkbQegIAamiZwLJP8mcNvXnWwdgQNpnR + q1jr9R7gA92l6z27DhBfPfAdydbPeGbFUKAJqEnkIMN85y8m82bMExsxZgG0gIF5nPZpV5iUNi8u + pQOdxjXrus5lN4ISgpV2sf8D0w5jj36/baaq2oVOSwETSK+1nVch1/UTsl6wVD/NgCa003Z1bjEj + Z0i7lLYcMl7RYLr+FiUTU6yCz3G5IE2mV06d+eX770MIaNv1dOuaZF4/KDAAxQyzaYMEMGzekTXQ + /KGPPYZxidFWrxcBWAGFMJoAVezsgAMMVjBtp3l1/J1zzh07Na/azGKMpZSmaWrwMNbh1qo6jiOA + Ukr9gqNusruBMXPTNDVAGut68eu1ZEegrmuq5z/WKQJH0hLnnr86KFlfPiEEVRWRcRxrtsTBAcTj + GYPhnHPOOeecc84555xzzn0/U1UiijHW3n4zq0uEjkk9vo2D65WIiIhU9dkyrQHUT+Wc64hbTbYe + x/G4rXuqQ5PMvBlSuXLlys7OzlG3yznnnHPOOeecc84555xzzjnnnPueuj4msP6remTreW9sxqQM + EKQYAIKBYCyFVI2YW5mUHG+dveaD77j1x3/sjY/86QN/8sVPJEk5SI6aJSUdNKCZrqoU1dJEtUZT + IRhsCLkJpW1butovFpe505zHxbDoqJNawWpVw4lhucY/H+0u+WsyqgWpFGCGKZQ2paoMILVV4S4o + GUPNYBypWNrL293p0udfveuf/qv7/uVnL35655Xb37xwdWuL9q/mWROn89nV/V3qjnLrbgibdcrO + vVwRkRnDzIya2NVS37BCVC/j15iZWi6lmBVYMRCzkJIBInLc5vV9v1EAzEbIyID2/QJAKQjPUqix + Tg4kEr7uVyE8rUKdgZX0xc4fcd+V2bVX2PF5rXmstXPOOeecc84555y7IZVS6mpwADU7s23b2md6 + 1E071mpmCNXcoGtvCqiR2ToYhQ1sYLy4g+1imOdiF6/CDGRmhaOUhJwh8brUFc+zds6544+ZmbnW + lIkxppQ2cdSHIiI1QQRA27Z93wMws77vu67D+r4/jqMnKzjnnHPOOffSI2hAoX6Jq8O/vuOOt2Wd + Rcm5ZIUxyJQVBCKAlYkAaBSAsD8CZ8/84gOPYD7FNhX0jK5mwDI2XT+6CvA9RPIrGzNhk6QNMAag + ABGYckS/BLWYxfNb3emy3CmD5cNN3SvQ2HTLsd/d39uaRhrTmHq1BtZbXlDo2jDrh/1JO5FGABXl + uqeMUQACpACAUAYBFgCAFEwQ6YPoC+j22gQAG2AgghmMumHWPjrBBx+7F9IKd7EuMDAFCgggzmAA + AiIYmhpfzFwPAxhhDgakh2YwgxjEAEEZxhgHbJ8Ao6zXeiSABdGgtAkyV0ATjEEBLPVpM62ij2HG + imct7PnMckbTENSKom2p9InRydkzn9mJb3zFaVDIWeosSDGQWV20YQRACJC6CoW0zyWECIBEKM6R + Dc0WYMgjQgNjMENn0BGTABIkTKZxKAgRrHW9BzI0hmhFyXiVvdwvsMz/5+23v2NcptTPGBTjsJ8I + mLaTYVjWFGs2KBhERrZaRBIAYD/t4/SrfvXR+7Azh2yHZBapAAnIQAvAQIBQDYHmVXctAeupn6sY + eaqnw2reMAGrDOnNIpz1N/alBGkCWAAyRUsYpx985BMfe/NPn0qXp9uSruxlRQSIUoCVmspOWrPY + DSi82ttcdzahMApBDAalCAy7/9c7b/9PHnsMHLidYNVsrVtg69nNAZDVi4PX8eMI9SJgAAlAdS60 + oVDXIvPV6Xa6dPn5nzxGKAQlq3unHsT1Mp6IyeQb8+2f/oOPYraFrXkpGmquNXGRuo0MMExBqmSM + RBSRa6c5Y+sU+j3sdFCFBhCvLl11W5YZ0ylsdUkjgpgEOtTFzTnn3EunjjuLSF15WBOsq5wzgKZp + xnH0Tmn3PVFDduushlLKpkb/ES6Drz+6NqY2zJOA3TFXpwaFEIgopVQHKGs+RM65DjLWVbL1U34+ + O+ecc84555xzzjnnnHPHR11qNAxDXQ68GSY7bmWjNyUCicjMNiOt/DMwAAAgAElEQVR6z1Y6sI5f + 1OG/nPNm/CKl9JK1+flQ1brzN2u+PNPaOeecc84555xzzjnnnHPOOeecc98NGYGMiVRJAZBBlFua + hih74+UBKc5aDPjh5idf/463XnzLxcf+5KGPf/6Bp/aeKFNdBktJ2CRnhZoaGEpkImiYLAJsV/Zt + jn4ioEaVBgSdTFobFMZsUKJVFR2CQhk3ciCxMUiNal2gWp4JAKsBtWiSBZDCFGRsqJVyhtRvzedj + P9jCTszPEdk/fNev/R/3/osvffPzO5POhjIPBMWQB/KKCM+DraNE69Sgo26Ocy9cLe3G0FXBsfoR + uJZgq9Peuq6DGjGrFQAwqlXaiAimIDIrpSRikJCZ1QpaZgRhlHwUm+XWVoeVADDzchxIGE/LtF5V + mVMYN9IECgCZEq0q0zFWVf9YCXYg1FwJnmx9tI7nDchjrZ1zzjnnnHPOOefcDaku8K7Vw0MIOeea + gnnU7boBrNf3K3g1HAsysJb1wHBVg0le1G5lMXRJZy0gbHlEaJOWJoqV2siaYwSDKphXgx3OOeeO + o+Vy2XVd3/c13qOUUt95AU/FzKUUVY0xLpfLUgozE9FkMgGwt7c3m81w/ArWOOecc8459/2DARTF + 5UuvvnBxJxVkMrDxerIi63q2PNWepVyQgRxOfAvNq1rGPI5lSdLVzinZdPgQ1oG5h2BYR0fXriRi + A9cuQoEhK2KDXFBQprP+qQta7LB/S4TYjmPfsLSC3UUCMG15iwjLPky3rBRtw6ydmQGkuJbZqwoU + sNRNswwUWF1XwKsdSVz+en/ZkNXJwygkAzVPNZMPPvIQZjO0W0LtkK2TdZoxbeKka7Cu1pzllIcm + tPVzhcANSDpATZAAgCNABVCg7SDIjN0hzdpoisAgAxnEYKvVHlpgClidmLgKu2YADKXVuXLIbQSg + knNuW4yj9Vam7Ykv7S1+9U8+hWmHMAsUClDUBGUd3swKxipXeyWEGiit+/046SZKGiexZOVJBzKA + kxpzBKaqpQEjEoAgUECAlLI0HBBy0ShhlU1sI7TH1b0fWSxOAFGaIY/oUxvEVMdh2TZRcwJAxgw6 + eLhzRoZZ6C6g/HBorNnWZZEYyCAErXnOq39Xp41iFfQMgKCrQOvrgqt53aG6fuxADHZ9PMoU9WVa + VGIBFNsngOatH33g87f9TLly+datdrk7qKGjHGT1VGwwUj0wI3n1hOtu3HpklQDTLcuvz4qrS7Rz + cFFiDrVhmsF1MnONyCZiOhhszwoUlAwwOICAXF8oKIteQvdrH/7IF3/8xw53AmF1ylHdkwQDEvHV + oruz7T+fTt9w8iTa1jgKcx5LiAJDqVtKEEN9wSoMMNEBFLHfYzZFgG3PsdoBDKCsX/0MhNjUPT4s + xnbWaDFmgtK13GvnnHPHSS0vDqCGDdd1WVXOOYQwjiMz10zro40fdi8DddQDgKrWGQ5mtikZ/9Kr + 5/km2X2Tt+0xwO44q1OD6qlb5wvVazXW44x1QhERbd53zjnnnHPOOeecc84559wxMY5jCKFt2zo+ + 1bZtSqn26h91064TY6yLm+roQ4zxuQOqa3nBnDOAzXrnF7au6kUVY8w514HvYRgAtG3rQyrOOeec + c84555xzzjnnnHPOOedelmpgbV02ftRtuYEZodR05RqeagqAa+xpyft7+zKhTibDctGEeUeTxVOL + iZ286w1/94433f75Jz7ziS889uff+PxuXlokCgJRSFYeycZkQx4tjdAGHBGFdDSFZiuquR+WLRpg + VVTHqKa30rX8zhuW1shIUjYQamQsMxiA2rUl3mxKNXqZmbkM2iNQoGCDzZqdH9r6kZ9/69//t/f/ + xoXhmwu90u5MFsPemEvTcVb1Ku7PgYhU9XiGiTr3gtWyb9ff7ZgBMp60U4CZg1oBQaG1uFaNPoaZ + mQ5jT5GYuRQjmKJW1FOvOnHkbHX/VQiWyyUzhUhIivV92Q4kdzRNU3/5AVhABQUAPT0H+7pvcUfI + 7DjeizzW2jnnnHPOOeecc87dqIZhaNtWVXPOTdPUld6qN/CY4ktgFRNiAFbhHAIlVrAVVmUomRhQ + M62VQS/i/hQ10rzTtDClwHvDsmu3UINCDgQaKZ4el+Kcc+64OX36dN/3AOqNuNZeYeYXcF+udV5q + wZeD2SEiQkTz+Rzr3wFqkfrv9aY455xzzjnnvivF3tXHPnDXaxeLtreEUKSopobXga1Ue3NWCbuh + w/6IS93OU9M5mgHNAExGcAuQrSfDMkC6Tsg9dHlEBlbzYEUV3K6aSUrGApiBoi0hGsxG2kQFPz8p + DZHJ1EisbQFAR22u9L9127v+3qMfp1Pbyz2dzJkJJWcRBgGkNYgY4AKQQMAwA62mgZLVBqu8sBmF + VoOAV1thhEHC5ba7MNtCswXuUJgZbbBSsnCswb68ytQFDIU4gwmIgYFcJyNbSSQtiEGckA0WkAh1 + tjKDpc+JmrZtYwGElcbEEmEKMBGEVtOaA1B/4iq/m1atDVaCHXYyKxsYKhlZFcmwNZ+eVy5nz4I7 + hCmMhyG3XRDNCARYjbYW8HVdioYAqp+J7QRqqyPFtnpYc0Nhv5gJBZYCSM62tyc782yWOXLTsUJz + ik1jQF/QBSUqKMt73/G211/ei31SoOUAUiUUWGRKY5JrqdMsqgDVMzB0WCRcndLliSBF0igdkAED + GZq630hBdUOYDEyoVU5DfZFkXR2azVzya3nY6913LWd5lb0sChSAVWLJII0TG7UFY7p9cXbi1miL + y1dGw7QjzaYFwlCAgEJIAgBBQTXBet1tK+u0cjGMBadI7a++dfdPv+29X/g8usC8SnvPQAECtKmv + D0HBgZRnRoECKsIwSQQDYkQechSVWYccECQdZp47WQ28v7Z/ABRCLzLOT3xtOv2lR+5DZISpKUZK + oSGoAmDSUttU978xEXotTYwRhu0GlPdLCdw2decgG4oAAQyV+kpf5GUIgeZQ9EyMURC89qtzzh1T + Ncoa6/WHWBccBxBjrI8cjP49wqa6l4EYo5mVUmoKb9M0pZSjXQy/Kam/mXQRYzzC9jj3XdVBQwBm + VsMh6oebNGsANX3BL9rOOeecc84555xzzjnn3HFTB8iaplHVTbLyMVQXN9VM6/phXd/0bOukmLl+ + 8Wb8YvO9x8omeDul1LYtADPzIRXnnHPOOeecc84555xzzjnnnHPOPRslNc4AyJgNYgAUVEBptNxO + W1XDYDtyioqklBvpYiQplHL7hhOnfvIdd/a8/OITn/vjLz/+x3/5+BD2x1CymLGacGiMmXKIeRyv + XLUTkBBakcDgGAVJax2ndUEqsnWBKr5hi5UrsaGWYwIoY1XZQAkKC1wXnRuTMUMBVVJD4Rj6cey6 + bj8t2zYKt+OFvZ8895buXZN/+bv/YufM1pOLr5aoTUvDqOI5tN+NHc8oUef+2g6kOtR/hZnrPDEA + Zqb8TKUtSPf3d8tWEhYtqxIrZmyHqdTnXhRsOHC52l/u10mJ+h2HkQ0ENE1HJFZAREyUi5oZET9L + wocf3yO2LnaEg3ckIjraG5TX+nfOOeecc84555xzNyRVrZnWRFTLK4cQjuEy7+NmPaoYNl3GChar + UdernBnA6rCDsr7YY7Rqo6URpQA8aScF+bpOS2LgkEFDzjnnjsJyuQTAzLW+SfUCMq1rfIiZpZQO + 3tZrfHVKqeY9NE1jZp5p7Zxzzjnn3PdQDSR++tRDuu4BBQQKTWDML13Z6RMgHNp9vRoiKIPsQGzz + WhmAyfRCQz/74d/DtC2w0RBIABiB1rNfYQAI9ELLIxrXtF7e/HQCSMZhaJoGbXOxFZpMKC0P96y0 + WtOQoQ1xHo0ILce5NDeVgjxiTLPtqMBymaddA0vg1Z9CBAhUwQYUQKimXDMAISUYTA+Z8QyrucUE + htq1EHEeOH5zOrnrno+gbdFOIJyRAyBy/eTTgzMX1++UcRCJYAsxaEnMLQgAE4oAgG6+NDYxQRms + KALhUDOtAdKa4k0AgxRYRY0DoFW4NRtESQ7fzRdYCkoBoiAWOj/ii2fm77zvI5hOUzZhaichjylE + hlkxBcdrnaAHNlULWGA5UwggU81qJiEULYEFRSGquirQmQuEQLMJYIEo16UtCg4RhqGUGIQALJdY + Lm/ul2fGkRGJKOuyACE0OZXAFtavoKcfZ4IO4CldCvEDv/8RdFMoklqM67DoGiXNdXeuwshx8GDa + dc/2bDah1vUdMiABDKQBoCJNgbYNY9oh6dfn85vPXzhnmG21+7vDnFdR5U9bqEIGtmvTmlfh4Ov2 + tIw85ltjtyDC7lVMJ0gNdVKYDbDVWaE1K5rpusuOAavkdeJVEnZGbAJsQFEEQhB9QYmPtbW8/jGD + xG9MJnf+7u9gZ47ZtOayE1AsyXrZD60Ow+r7CGhZFBgtNUxmGmNUGIFgDCrr46AwgrFJiaHJGAVQ + M5Z2vT7KOedexlRBDIYJLG5uDkbA+sZhBKq/Gx3uck5G1+6oSgAR119C6iNc1usxaH2zOeyPgKqK + SO2dVtXaU12jrDfhqfXxOip9uGd37oA60lHPpaZpsD61XsCQyvdE/dEiUiddMDMR1UYeSXuce57q + VVpEajB8TZI4OLa4WZ1ZX2JH1EznnHPOOeecc84555xzzj1d7cNPKYUQcs5EZGZHOF72bGqT1kUD + qY5NPEcjVbUudt5sUR2/OIYFcOsISx0Wr2PiR90i55xzzjnnnHPOOeecc84555xzzh1nul7Dv6rg + UmtMKWloKeUlW2wo2mhZM0UKEnLJmkvEtKVt7S1a/xNntl/3ip/4uXf+wjf2vvoX3/jCl5784jcv + PLm/uJqtQPhyWp45ebPRoh26vBApLRuXUpgEq1TVdUEnM9CLXi/9pWRUSzMYQCAlg9HTVsWyFjO2 + 6XQ6DMs44axJE223J8ty/KGt1/7Ke3/1N+7536NM4jSNaQxhlY5pVACIgjWQsYGVoAQ2sPG6WBAb + Ha9JOy+ZYzirx7kXYF19pV43Vi9nW1Vc0XrB7NDFEkuwQrwqBqProloADEbYG3bH+RCtNQOIwUwo + Znro6i3ue8qItJbCIcsY9se9lAY0q9tGLUgo10qrccMdqyiKkhqLFZBtCrxdK424qhzo3DPxmkrO + Oeecc84555xz7oZUy85uis969fDnbZ2DAmaoQhkBFmFRVEgjWwIVZSQDMUItLv8iIQ0EJQVPUESE + GTDDgWgSAUBQAQA28kEM546ROgDfNM0wDPWRTQ2RTfWNGGMNe/Dy9y8zNbdjU5Nlczve1Gc5WDX+ + 2RBRjHEcx/phCKGUsnmGOmYKgJlLKbVISj2L6AVFWDnnnHPOOeeenRqgNRAaCuVVHCABgkKrNGsD + ClhQMF7F4spOMwcGhi3zldlWt9jtI6Nm5oIQAay+FWbIzJeaAV0BgqTJTEIhACgAcZY6rdUYCKuf + e5jf+ldfSwxjFNDm20sBURMmIOD0+EuP/MGnX/OjtzLp4edTmlkgaNLOWE0UwczUltAriGcLohhi + CKpgiZtdSkAwABmrqOKw+cEZiKIg42vpwIdoDAko8GLQWQAxbAy9TL46m75lZ45WIHlQE44FgRTC + m73Emx3L6y5CAksz2Tw5i9QpqHH1eVlPQK5Z2tyupqYKo04+3jRr88Sr/+rs5dUUZjIFGwIsH25j + SXsd2gbRgMxJp7vzm9/+sftx0w5iG0lqbyKaWACBChib7szrTyQOAEAh1CBwltWfsqH+HwLA87jO + MZYalF63HA3WOwMAUhukGDAY9vS33vG+tyRjA/D/s3evT5Jk53nYn/c952RmVfXMLGaxwOJO4mpT + kGVRMuSQqYtlWyQAWqEIKxThD45wBP8ufdPNEfpgyxJAKkhQMknYpmxTpCQS4gUSCQjALnaxO9uX + yss57+sPJyu7em6Ynp2+TT+/6KjJrqnKyktVZnWec95nMgdEA+BjaRFgBTJ36jW1OeUb8053R9Hm + KH4A2EAVWmIMZXmRsFuFvb0mu+6eNSgc4WzW9RwrfroXcPo/e3fVOTcrVwjQwAIyInCv+9v//Fd+ + 68/9mQ/2fenL3S7lfopLIDagQCrALsR6/zX209kFUZCn0scc/tFX/srf+he/hvY+2oMAdWiECSyL + h2BiOmd2y7Joy1w1AQaEusKSoGm3Qc7BBcVFAiRKP9gqQQU+Nr1uvr1e//nX7iMFlzzaFCQJItDu + PirLouhyz7zxJAEmomkvwV4RTlOra8MFkICAFkCo+3H3kSMiehnZWPoQ0nwuyqsgdyd/u6jDEQwA + HCj1lPt8LyACuHoxkSIKQN3EvZ7uHTCBiMLj/FX2/Mfbegm6XnyuV7zr9H4bdL2frdL0PtWWDlVd + 2lZSSldYo9/Mlia85e3NRj26KWqLZG1SXEaP7x+ua7P11S0gERERERERERERERE9zN3X6zWAGqhc + SkkpTdN01cv1sGWI3HL7LI16S4PF/tOvlTpKq2maOp6rhouzHZyIiIiIiIiIiIiIiIiIiF5KS0VT + dy+lsJDp81FXg9WJOrQfooA5AgwqEYLijmAS4IJsEARBgKu5uSBIUtM0tEnW99oPf+EzP22fL+M0 + /OjBj9588wc/fO/NP37vu28/+OHR4RF6W+OunDS6CiGKZ3NR8QBXAea6SL4ktt5I6jbHg7sBCrF5 + dbxWiTpNpa2bHa7Rg5nbOEUVWAmAQK2IW7tKr37x43/xb/5X0//6G3//6OhNXR1ux6nWWfIIeGkt + 6iRNaAExNVPTEsOuS0upVXFwu/JNzSzGOAyDCMxdVc2uXSef66keVEXA4dvXhADqMEEROLRWWzFB + rcIChWFCsU/c/Vg66aamlBggowKpRACuuT7UVN588MMvfDi7pya0U0ZIKjJ5KYDORyd6MfYCyOUJ + x955g6uJuZsGlZxD9CM/fPvozdTCDS4wgSE6VCFBigPBcb97VXJEkIzJSg5NtGy1iA7EAK2140xq + nb5bd/y/bsxMBG4QQa3zv+t0epVfdNidlIiIiIiIiIiI6LYQmO/aI4F62bo2xwa4iqv4aYqISw0k + udjLlybu86soXEVsSU1yoF5kF9heGjcRXRciIiLDMDRNU0pZ+ujUehZN06SUajJx/fWql5deDDMT + kSXTehzHpmmeo3tWLTozjmOtQdN1Xd/3y//Wd06dbX2JF7kORERERET0BFbjV8VQA2/3I4GXqZIx + jH//qz//Xzw4UqSMw1WXjg/7zVp867VvKyAmHtx0Hgigg+h4bw0/Rt5gFWEIUlOvM1AACzWUur7i + eZNrT5d810NSABiC25QltSZA24Umdnc+MB59P55z/nM8s9QZhwB1ILrd94xSkAfxVRFoggDZimio + GywAqIUsxaHLlbiFLTM/F1UtVvLgm5WWyYYRIuGo6f72L30Nq4gyOtZB523wpO7fZ7eBPvp/e0m+ + Z2KVcRreW1Mk526pghohaY/Mbq/vrAecX9fABeMIgWm8+x8lfHbTHTZpNUcemwBzF9ndKz9tDz/p + PSb1OuT+2j0yt7pNAaCIKMxw1H9kMNkOZXn7isFVXWXprbu7wupicrZ/9tblPWnQrWsMuHmGqEMf + /0e2AGc3/v6ueWj9nrTeENttAa3XW0NdwgBAcNB9L+oritcl9n2/TtGmfJqa7Qh7b9cnvXXdLGrs + LXeeP/DeA/RbrOa3vszB1VZgc8742ZnI3nvnzL5Y7pdz58DXz4vtPi8n0/J5+TpWEXlEO39eZO/z + Io++i8/O9aElPPP4+aEqZ4K6GWhNRC+5FILB8lRCBpr2bbOPmBXfP1QCgEsdPPm8bX4CoGZZw2Tv + xCTwOnc2JhIRERERERERERERERERXW+qOo6jiNR85c1mc3x8fNULdYuYWR3DtURZhxCmaUopXe2C + ERERERERERERERERERER0bWlezVzdqP8db9ujONsPOfZGjvqCldYimh9KsVdg2zE768/8ulP/qej + bvOd0vtWir779rvv/vDBK91rkrVMU5R2r2LLHK1tpyWAbir1J24rAMva7SdbqstcdacWBwPEVUxj + iKG3L378z/pfnf7hP/s7/XD4ymvr98YTCXBHLpBs0bRk0ZCKwMQFtQhSjdNmiQaim03cFFoEXgum + nVZiseKmggC5m+4ma0S2hiKCmoAtQMb8DBd78+gNa4r3BiAgwMTVfHfAoaviLu7u5mMZ392+M+q2 + eFFFqaHUEuBiVooXCMRlk+5EiyLumt3CXODdXM7WemOmNT0FP/NERERERERERES3i+zlu+yuHDus + Fn+vSTtQhzj0vCEhRHTLuHvTNOM4llJquLWI5JxDCDWiuMYS18dc9cLSi6GqZlb3dd3vAJZSJs9u + miYRSSmZGYC+70MIusuPcp8bO82MmdZERERERBfNoQZ1wIECFAUiEAGFCwRIgDikIBowON7dfnjE + yn2L47je9P206XBy8piQW3HAVWQ9ovt+3+PuAQ6a3idEFEONefalH6xaFuS586Od66cAY31ugCsK + kGFbKWMTDgUFCEXQx+lYGrkjruJ49h8ArjCBCRwFyIJxnaePvNP/0y/9JZQS1EyQ4aONon6mp6bs + fmrcNxAcYckSnnt2noM4zEpw6WKwyQ4zunsHR+v2rVZxZ4UAbA6AgOIB0HxmuMcNNY0YBxx0Km37 + x9L/lX/xNXQpQU+3oSEYIpCxvH8ukBXMMZq5xzitD4/v3llNsKIwgToUBpg/siACqM/XXQGEBllx + so4IDjMIgqjg8ZHWL5ICYY5pD/VasSig0AC3r37jlw5fvTeqdlAvRdO5/96XIL3lNqY02usGDBPy + 6AFeX9kRoGmXoz1/Ki7MLfy8EBFdFYd4yW1q0DZoy//w61/Hpg2y+0IFqCMaosEE9aT5vl/yzJco + 8d1QWOXwCCIiIiIiIiIiIiIiIiKiay3GWDOtARwfHzNQ+TLFGPu+b9s251qVFGbGXUBERERERERE + REREREREREQvktjpz/7dIqqqqu5eSimlqGoX1+WoaB/WcvChO69/9uNfCBYbaZJ24jIXFhCDmIuZ + mN+yAjEutfKVO2ACm0sqKGrZnoxY9LWD1774E//ZX/8LX/0AXh/fCeKdazCHC0JcNe16yNOQp7r1 + fG8bykWXarquatTrVS8F0Qswp1kDgInrrpqLAeYlAwpPdzb3m9CqQHbF8UyzS56PAIKi5ftv/7HF + PovDJCikZHGGU1wEe1qYtOt+OR11KERcXRIk/OCt75oOphkKuAqCwGo6ucHr7jo4OBBXoM7nSfV3 + 7McsBl2Wh85F1+TEdO6yg0RERERERERERHRjPeE6shjcQr2MLA5DzWYRh16Ly5hEdH0trR3TNNWS + FqWUEMLyABGp6ddXtID0gk3TtBQrqUVkniPTunL3aZqwi8p2dzNr27bv+5xzjHEYhrZtX9iiExER + ERHRkylOQ6YBlHrnLvgWXoMDDQZkw6ivvHNyL8VVWb93cthGWEanqH3eBQLsXVMSG30bivzCP/k6 + jo8wTN3qDkqILtAMKXPvRlN4jBoAwAzPnE0sYlENAERhARYBBMnQEtVQrAt3YUAZcdyv8wCfnm8T + +RxrbeIKIFq5O+Kjd1pYLnnQ2AIIKjmPMXb1MpsDIgrH0n1zzvB1CABTPFe33STB3DwXjeFOKm8e + Hr138IG//Mtfh09Yv2LQseROEyaHOSDwi00OvmgpYCxAtqkJxx9YIRk8a41/PpOLDtN6XRPhafN7 + f1xVFMWijRj7X/3KVz495TyddFGtnL6uiUNMd522AbjMozjqrnBBKTjM01EXsU5om9oPWN0vOolz + edOF0zeGzhPquLN+e7M6eOPteymN0+iWz3tBp5TSrbph24ci947GX/xvfu7nfuffiJgAWiO/RUXh + MJfd8eIi3bbPCxHRVREIoDCFGu4lDNNJHnftjAJAHMG9KFzNoedtf9TdaVQFAodLnbPDpc7cdq2g + wiM5EREREREREREREREREdH1NQxDzrkOPVutVjnnaZrqwKKrXrRbwd1TSsMwLPeEEPYHixERERER + EREREREREREREb18RATXIynwlqs51iEqRM3ULOdsXvK9g1cnm6y3VELXdmUyQH0qQdNSPMABLHnM + fsEFeq4dr5HeAAA1gToEGkWnkhtNw+GQtPvvvvRlL/bL/+8vWjyyEKz00UOwqCUEaBeDjiawXbEx + zNnY/nxFsG4wqZWrGGxNLwsTuJi4yl71MhFzd0GCx66906S1e8EToqpNxzePvntsD9ZyD64RkotZ + cJELrOVGz0AjvBRTSdqkf/+dP7QwmJoLRIO7uGcVkRDUIiSLhLsH98SkFrtTiDiiKL8FXVvX8zzE + WGsiIiIiIiIiIqJb5JFmAwMMbnCo1+QXAIBDHWoKYTkAInq8nDMAdw8hlFLcfSlpISKllJpJXLOK + nzv5mK6bpUxJLVlS68iklGpA9bMTEVWtjWf7TWgPHjxw9/qGqUHpMcZ6+8LWgYiIiIiIHme/96jD + AEjtoVq/sOsu2brYr/7cf//Jtx90aTWWkyAQRZmQAtxq6O+Z2QrQhPLRePTdn/nSW5N1d14diqAE + kdo73mofd3EA6nNnNjvPJSmDTADgEQhi9W+W4ppNSoxNfwINbZZpMx593A5LjdE9z8gEAQxmgqxw + hbgFhzgaDVEdeRviK1MeUkwonjQBuvTcf2g+Z2KYDQCKnL9Tv6qVIoAWlCDtweawSWgb3Ls7Suqn + cZM6mEFckqDc/B6CjhQxZTzQ8Y2YcdAgNbp0n1426aWNjhgBB8qEw6MPHR1/KBeZALHgSQFBcalj + YE7fA753u9zlgu7eK//T1/83aEboHCoF0IuNVXZgArS+KXbbLQtQ7+kaTM23++HT91/p3363a1rk + 4pbP+xLbbZ9EO6Ad7bU+Y7vFnU0IAAwe4XOOdgGwZL1fnNv2eSEiujISQ8LopUy2CuluG1ar5njr + Vh563HMPp5BHTpEusJqn7XCv3ycBQQYCs62JiIiIiIiIiNSnGXAAACAASURBVIiIiIiIiK6ltm0B + mFkIYRzHUgqAh4KW6eKUUuo2b5qmTmBvsBgREREREREREREREREREdHLSp6jyA89r7Ph06d1mFQV + gJcCOFRUo4gAcXiQ27abxjGGFKYopQhCDI2ZAZhLUYnZXFMACrtNydbmgroZHYK5VFdNtkaCOlCm + 5pXu/nB88tf+9Jf74+mbf/QNw4m5Boj17tN4oPdlysFdvQAKhCXb9rZlWle1VvYyDYiI+PPXwyC6 + cjaXWXEEB2AGBJEozVRylPW9O/e/l/+d6Fz2pZZHU4fVaS3vlbd/cPid+6uPYZJQ3Kwwl+JCPFNx + xfkEJw5xLzlrG0cM3/7+HxYdLSAXRMDdxQ0iqipu5kCxV1/5oHgQE3EBBFARFYfP9d5smb8JACgP + e1dqqcl/rZLHWYSPiIiIiIiIiIjoVpLlZj8oyAEzqdXeRd5HcXkieunFGN095zxN02q12v+vvu+7 + rqvTqqqqjCV+aZRSapR1bfeqfbNqxvlzzGqZbtt2GIY6TzPLOdeSKPVtwzcPEREREdFFkyV3VwBZ + UgANDrhCUAAIAgw2rd47/EhIGE8UZdWGqRQBckaoAbWuENtPEhTH+J5/OJaPJwxvvNW2q3HoY0qY + ytKt3YH9p5i4OkzwY28htszBEOARgCILigRsC1baZZOSNMTsGeYIet5rXqKmRUwdReACdwjQT0Uc + SKmUnOIKoyEqSqnb8HTcw37HfdmLtRaFalaUc/bs78vUbe6Mx4fmRTX12fq1IgYUG4E2dQqUYQhN + C0VxhBs+ciAbgmoKaDcbW3coPcwVDgSIzR1wd9vcgYsdceJAAA57+PD3vvKVvzScdOPgCtVQ058d + uiS1u+zGggD2yILlguNxQhdxsEJQd8hlDJbR2uMc2L0bdx2NrRRVwWr9C9/85u/92S+ZYxiHBD3v + 20eAVRuGoXSbzXh8vOp75IKhRxehp8VPL+2y8237vBARXRE1tyCKqKFZHSOnaZxytimLiMv8VdOX + pkk/d+b0bnjpbsTq7neHQFwcssxTzGDhgr8REBERERERERERERERERHR++HutThsHZ7GTOvLl3Ou + A8RQC1yyeDcRERERERERERERERERERFdsBCCmdncb8RFARcFosfGWzckpFJKCKmUAoGKQsyWnOw6 + cYsCrRcPxaAqoCamLu6uRdrQlqyeVUPzsz/9N7t23eNBsbFJAUV1TE3epKGNltSCzBtQTeCwuYrX + LVM7L131UhC9X74LJ66T6iqu4tkF8KIq7iIWYe2HXn39W9/3CNhDb3xXUxOxHE/+8Pvf+txn/7yJ + eZGIYPCCcisPudeIalQtaOzNB99/9+htu1sQYQaBw9wd6m5ublCHerp/93704BCBwlVc4G7ul1Ld + js7tsWciEbnaExRjAIiIiIiIiIiIiG6VR68f+2kxeMDF3cVEnJHWRPTjlFJijDHGWslCRMZxbJqm + 67phGNq2rfnW0zTViGJ6CYQQTk5O1ut10zS1ZEl9A5x3Pu7edV3O2d1LKTXTehxHd2/b1nZUVUTM + bCmVQkREREREF8UAWA2xhhoAuAGKvRhCeIHlDSzkXuEhaJnKVHAQBWXuHVevM5lA67RDGgmDhwIr + SMA4bHsFfGwDgs+d2ssu5rD+qnX6GW7r01xQgAKv4dPJS3BYwaqFDyMgmDx42GasW/iIR3rqP10Q + SCpaHLVyY3AIrE3NlA2IQVuMQIhQuEY5zQuui6jwuRMoakiw7BLEow5Bz9Xf0wUKlOE4ACG1h+Mw + mqRug1yQ2gCt8wpdC/jxOHZt4zh3cuS1okDJXuDTWIbtiGYNREEogApE5kxrCCIQH5Mf/SK5oiji + psHb79wbjzEcmgCKIZdwNmAbtQO3qzpMbb5HoLvQzTZqUUUM2YugPe0cfsF7K0Ad5jARoOaDCwBo + CEBAaNB3JUR3hwYE9WxynuvEBgSRLJiOjw803gH+0Ze//Ld+5ZeRNtC02zmn/1zo6t7CzwsR0VVR + iWYmjuwo4mjXUaOGJnsBEByQeShUNAB2/mGNCpjvzknL000gUPX63RXqgLjO3/Q4pIaIiIiIiIiI + iIiIiIiI6Noppbh7CEFVQwjTNNVps3N16qP3pY4Oq9OlFFW2sBMREREREREREREREREREdGLVrOo + 9yJRa+lakRCCigjE4OruTWg8m7p6cXGJGmEAGDu8M6d6q3itA6bL/Y7iDi0yDHb33v0fvfvOx1/9 + wt/4zz8++LbY0DQhBcHkpdd7uFdGDa7qCsDEXKyIAzCI3rLkWsZa08vD1cUEc8pErccicLGiklAU + kqSkj334k/pdgaE+0gShfgLE4ChqaMZv/cm/+WufPTEt7hokwA3nKj1G78eTDsKuIemgR7//nd8Z + pM9SMiABVgrEa5lHM4N7krRqurubuzIoXNRFENwgqu7nrhtPl+N6nokYa01ERERERERERHRr6Zyw + IwbVrFoLyhetV6TL1S4cEV1/tWiFu8cYazhx0zQ1irgmE9fcYgYSv2RWqxWAvu9r5vRzZFpXNcoa + QK0+UzPRAeSc6zsqxlhro/AtRERERER0cbzG0C6/y5zp6jARX5KtpeYRjhnjpP1JmxK8DDknwUGn + pbeg6rtE6jlXEHCBOLaDr5rVNG5TDCgFjqZFNpgBNj9mfum9YOxl4um3Z8np6wMiyAOg5iZtSCWP + 6xSnIcfltZ7ldhdQLUDYJSYHA6CjT7muJOJuo2EyNLuIbpfdcwXYLVaQZUkFMZ6kWM4bohswFkuK + Mg3rmIbN5vDkGKlFLqGFOBwQMQfatpmA9pyzv1bEoRrNikBijG0dc+KwUjyEAo3BMA+3mGPUl/fw + RTCgADEb+um1o+OD4BowFcQAf+RiqtZYd0AdZV4qq72HxSGOqThUkRqvged24YnKMr+FFcjY5XAv + nyTLRVIncXhLw0dX62CWxzG6nisGPgU56XO3CsNQPHhX8uZ7b2CckOFJZf6k7I+PuWC36fNCRHSF + BICoC0TQIMAc7jmPFgPqdyGZz9fBsBsqeT4uMMBll2ktZgKH7r60OQBxg1l4ntkTERERERERERER + EREREdFlCCGUUkopIgJARMzsetbme4m5e0qpTnPQFhERERERERERERERERERvdxqFwW6Dh7XXcQA + NZ9yzuu2c3czz5YNHoK67QpJubpYnbiypb9Crqh1tURr0S0TKMwhGh3mZrJqNsfv9vcPPnT8YOhw + Z5PuqXoZxjz1IrJp1ifvnbSxEVNgrshgco6aQkR03YnXml5zURcxAGYQVUXzwXsfVgvBUGrBMVfU + UHvARR3wkL/z9p+Mejzq0EiMCIDB/MJrotGTuWCyYnE6kXe+/b3f9ZjHbBMQI3x3YvC6413b2G30 + XhM72Qoc7qICiIiIqro7eMynZ3Mrv2kREREREREREdHNV5se660Zr4c+O8NpW4D6PKUI8u44hRRT + itPkBS4QXEq7O4sOEN1cS4+QelvLWNSs62UixrjcQzfLOI51YhgGANM01V9FpJSy2WxUtdaReXo/ + LdkBEGN86H9rprW710zr5TH18ayNQkRERER0OeZOqAJXjIIBGIERNnc9HxAKMBqOh3/4s1/ZCHwa + rORUE2p7U9TO7TABYOq7uEHAoS0aG6HSTdmzIwpki9YgDhNkQVaIazCoPzas+umLPv+IhWAxFo2m + y6sjICtK9GxZoD55rP3lHM946wITN3EXgxhggEHM1FylKKDqAgTU3p3qS64ixJc0YAVQYAZ3QS5w + UQRFwknUfM6/mh3Q3Z9K7j5NUwgBDkhogCAQQQEMbrCH/wa7gdwsQCFapqmxum6+/LXogM/re7rl + L04AWgPG6es/819/aptXI6wgCtShgOL0nV/J7jqs7CLSbRfq6QVJI6C29+hLIDVUGwBsSRh1oAg0 + NZID0P7Vb/zidw7iGJP6+WNB3VcB/ba0DVAsDeNn4Dg+xlgK1FBTyFWgAvjFX9e/bZ8XIqIrYxCf + 2xUDDEVQECTq7gtV2f28mBdbpswAuLu0bW+9lwklyzg8x0xLKbXJMudc73H3Ugp2V8iX/2LDNBHR + latH7KVtEbuG6aXJsjY+1nZM9kghIiIiIiIiIiIiIrpW3D2EkHNeruEvE7VXWI1b3h+z9iTLGKWH + xrLdaPurvAywquulqqq6PODHFt1W1WUTLRP1WUsTOVvAiYiIiIiIiIiIiIiIiIjopbVrWA8h1Kqn + zLe+GnPVplO104JI2P0I1GMTJpuyZxMvKK7Ink3O9GxQl/qDWxZuLQDm4kYLg1iWXGCuDvVsllIz + 9lNCbIE4FR1zGq1F6lzL0Hcxii/7QgAFIhB207dLjHEYhv2uRhyP/IxExH3u6MVx3Nea6pgnVRUJ + WvSjH/rEStaxQA3iCm8cu2pbriaazZDKv/zd/ys3vSUrAp43L4krXHPOtXhCKQUw96IKVUzIvW7f + zd/7g+/+Vl+2GlNqw5ABQATuUI0iohryCT71oZ+USWWubxhq9bhaeGF+qV3FObomal0jAPXrTv3E + Xflx9dZ9JyAiIiIiIiIiopeDiIzjWK+yqaq7cwT1c1FAoIKcD15/7fhkO2zzetWJqoYwWL7qxSMi + oqtRc6ZrSkfbtqWUlNKSERJCMLOccwjB3Z/S3BVjdPeU0pIIIiK1GIq7xxhrL4Taira0pRERERER + 0VWxORpYfc55BYojARnIGYcnm+Pj6KVoTcd9fAr13Gtx1/tfpdliG1LM8Ni02sRGUaYlWxcAFCbP + kWm9cKireO0obvZoaKI81KX+POpzZc4orjNWh7sdHBwApQDFYBlwxOV1d+uyXLJUaKmbNMEk+DQi + hl/4J//4vPmOS2q4SX0Zm1PJbU6U3O1EhN24gZeDOMJecHVdtV3S+GVxIE/Iwwet3B0HFNS+2cWw + vyBzbOcjA2DstFOvAiKucAX0dB/JBe8w3y2jzy9nu9f0uWOrQAPWmzea2D9X6HQ2SN0qE3rzV7ru + tb7/9S9/FSIZgLiIwxUmfd9fQhXZW/t5ISK6VD7f1m93YTcNQBzqp2dAmQ/I79fypbFrOivFHXkY + Ok0Hqw4PHgAB5281DiHUi9X1mna9WB1CGMexbdt6T4zRzF6CMuhERDediIjIMAxN0yzDngG4ez1o + 10SHEMJ2u+VwWSIiIiIiIiIiIiKia2Waptr8mnOu7bPLOKP9UUXuvtlsnj5eqY5R6rpuGVn8Egwx + roOwsJdmXYdO1+aPWmKybdvaJvL0WS0jv1JKOeeDg4M6t77v60QphS3gRERERERERERERERERERE + 9OKdM3baxEysaP3JLuby5E4gtyzTGgBcxQGouqqjljmyuZaRFUHRWtLBl8cDAg9AVA/wIPNGMxe4 + iAkcUgt2yTzP2+vKA0SJXoxdabMlunguBm7SSEo5fXD9elNWoRY987k8zCIkPR6Pfvvf/aat+kG3 + WxkNznH6l6Zpmr7va/n32qmvlDKW0dIU7+JX/+9/WlYn7box+DCUlACBAyKwAncX11jaj776KfHw + +Bd4ylmV6Kz44x9CRERERERERER0LdWrqzlnVa2jslX1JRh5fuHEYFqvO1sN9RDFnYPvnRx/JoYm + l2nKpRR1tE3jebrqxSUioivg7tM0NU0zDIOq1qbopR5KndhsNsfHx+v1ehiGJyVS55xTSuM4ishm + szk6OnL3emcpJeecczazWnGexVCIiIiIiK6UAVrjqgNgUIW4FcQGBUjAyQDYZthCclZEQzh7HW7u + 2l4jDGsnd1cAxY83q4OT7ZEDx9NQHB3QJuS8/2T4rlvsucOt59d0QzHAJbtCACkQQ1jm6XAUQBzn + eYEayjivz5nI21bw9htvQFGQY4wagAJME5oAQQ3Prk/d/WPm5tLkAg0I6w2Oj7Bq83n+FJqjnQEA + 9c8wFxStod37+8MFFhCW9OIbTeDq8tD4B3EEoMgcSKw4d175czEEg20FQ0GGAo4QEEOw7Opz592i + ACBWd5bAdenUa2LLHqzdu8MuHNPlUrpx2/whr0uxbDOBi8b62YHGKa3HMMXU2DSda5kEgMSAHFRD + saPjw7tdl99+E+PkNRre3beDdG3XddlK1Cd0g34RbufnhYjoatj8hScIDPM3H4GrL79CHAqoLyOh + zskVUsQBOMTqQXvbb1cxSSgoViwjj2g6HJ3g7r1zfTGoFcD3a6ZX2+12tVqVUkII9VJ2LfZdK6oT + EdEVcvemacZxBFAnapehYRhEpB6rp2larVY8bhMRERERERERERERXStN05RSajvsNE2qWscZ1QHC + IYR6/T+EcHx8/JTxwjlndx/Hsbbz1qdf7qpclKXx+uTkZLPZLPf3fd91HYC6pqvVarvdPmU+tQ2l + bu22bY+OjgCYWX16HclV1YbyC1odIiIiIiIiIiIiIiIiIiIium1sV3wJwFwyqNbeeVwitT0SYl1/ + eeihsvfcW5lCrLKr1aBetzAAmNS6RYCYYi6TNaoCsZY8EjdIVkwQgyugp5vWTVHr8Ny6UsA+u+rl + IHr/5qOr6O4g6WIm5hCJwaYsohGaPP3E65///rf/RLQgmLpCzKRmYBugKEgp/PFbf/QHP/jdP3X3 + zwlSkujZwH5lF+GRs6GI1G5+IjJOfe1LKSn0cnw0vfG73/mtMW0HmyAeg+hy8HJxd8DFpM3rn/zw + 5/Qx51lDPW+eFsG7wDWjc7me56Fb952AiIiIiIiIiIheDtM01bHZMcY6shq7MdWPddXLe008FNdR + W2EVqlD8z1//Wlqv4S6Otm1DSttxvJrFJCKiq6aqTdOYWdu2KSUzc3czyzmHEGKMKaXj42MAJycn + T8q0rpZyJ0dHRyKyWq1qW2mdZwihlpipVeYvZ+2IiIiIiOgJTBwJiI4GGoCo6tkQMBnQKPKwmoZg + TwojPHPpSU7vdeSTIOhaXa/bVdKUxDOiIGH+UTn9ET3z6zP9qLgqgqpaUASB7mYS67RCAkRrypWo + 4plvRVVU4AEeoCoqQUVURFKz7lZwOGyy7AKIIcncd1PObiWHAO5eDDFgsmIQrFpMYznnX0Lic/K3 + OgAz8SJnugHqfKuPXAu8wepGcgFk+QWALUHsNXn8wntommGaMPZh3LYBYug6gWOYCsQgVndNETxp + t+6Hc9fk87Nx3Ze2w9R2L1fHmsjyfm0T1uv3Vpvjacp5Ou98DYCEGMIwWdOmVRD0/UdfuQuVBuiH + HoA07aWt6O38vBARXQHBfB4+Hfky313PdOIIDnGYnH98i2ud3UPDHtSxbleW8zQZBCZettu/+/N/ + AxJwzmEbqgqghp7uX6ZerVY18RpAvVRei6GfcwWIiOhCLIP0pmlq27bGWiyHdDOrTZzMtCYiIiIi + IiIiIiIiulbqEOAQgoj0fb9c3jezUso4jm3b4tmq9YlI0zR1aJKIDMPw9PFNN0VddzOrmdY1u7qU + 0jQNdnHUXdc9PdMaQA0Ir20lddDWslVzznWeAKZp4nguIiIiIiIiIiIiIiIiIiIieqHOxic//aG1 + UIH4Uj3IBYCZPK4QjOtjs7Ffbi7zKosbYHOCNepWE9/VDTIxwEynolMOY9FcxEzNxU3M5tvTCjtz + JafrGKl54RhqTS8TAdTPHHIdKPO7vCjczRo0/8kn/jT6VjwBNeHYvVaAEVO3Uix1SVb513/7G313 + PMST4oX9yi5NKSXGGEIoNgGo1don73Fn+j9/7/8Ymu2o2yEPIUgK0TLU4fVY7kWDieta775+72Pi + u9IK89HeALgYHntKpat2Pc9ELM9BREREREREREQ3Ukop5xxjHIahjlQHG4SeiQMGUZxJGVKkiFWb + PYzFYwx9P64bXTXqmduTiOg2qnVhammYUkoteuLutZpJLV+yFDRpmqbWOnlUSqn+V31KjLGWTXH3 + evqu7aYAlrM5ERERERFdPoHBHS7Q2t0UEFgZQ9OgUXN4BMaC4XhTcjTbdUZf+rebyZw1bGo658hq + 7e6eWhwNdnAQp6Och0EB0WSefRlEsHA5E4f4rAuP4hgCAGusBPealeiuBVq0OHyOVHSIm/ocMf1M + t4AhOpAVAKKpQBUGoB+mzYc+gqOpeyVm1QmATk0dA+B2dliFAe5mnSZxONBq2A79po042CzDBp7V + LhvSAXEFas/g3V2ACOa94XrxOc+XoF7F1Hm0ydJZVhS7TOv5Hbt73AV2hS4FJ8M/+Lmf/4vuflI2 + CdsjbyFNTFbGZZDG2aRqXS7Eht2+w/Iut3m/GSBiMt99YYNn5u0FzP8u2wwucIPW35L+j7/0tX// + pZ/RH7xxro3pghjSdho6CRHIwxTXMZ/k9370QxwehVfud02XpxIDUEoeLTbpRa7d45cJuF2fFyKi + K6K2nIEd+6NZDIJgCpnPj0UAqInpeY66y1dGxXISdUCmYStAaoLlktoQpcg7DzAWFOA8Jxl3r5XT + l0hUVXX3nHNKCbta3nXYT71aTkREV6g2O9aMilKKuw/DUP9rOUqr6jRN9TBORERERERERERERETX + Rx2pVAcCd103jmMpZWmuTSkNw1CbAJ4yWKkSkTqy6ejoaLPZPHse9nW2BHvXZutpmlarVf0vVa3N + 1gD6vt+PqX6SuiXrI0spdUQ2gGVcdt3mS7g4ERERERERERERERERERER0QulNZ16F8tsAGou9Vwr + yNWlVuORR/OVaxWpOfe6zuO2RqzWqG/ABLa3neKugg7mOkKSIQUhm0A1iitcHAXIACAKdxPVs1WS + lppHt4SImJmZ3fB+RkRVLXZXf8TEITBRUcmlpJBEPI9D0zWfeO0zrd0Z7ESxFUw109prMS5YE0Lf + b5vU/v73/+3vv/Wtz9/9oox6L9zLpVz1Cr5cfL+f3pkqhSGEceprv0p3G8dhSCfvjD/45r/+5++V + oyGVbqWuNk05CNSkuEMcAhFJ0NfvfmIlr6gFl11lnrNnTEOtunZbz6PX0tIFVATX55TEWGsiIiIi + IiIiIrqRav1ZM1tCMesQa1YSfwY1xGOOS1EAEpAiYnyv7z+duqGUtm23w7ZNgMi5ysoTEdHLoZRS + K5LUlI5aET7GKCJLTZNaMkZEnlImZpqm+sh6jp6mqWkaM8s5931fn14jQ0IIfd93XXeJa0lERERE + RJU5TPa7GjoAhKYxNzOf86nH/h//7M/+hRAbQzBVmx84Z8Tuz06gc3avADoNtt7grT77pilIbgFm + IayL1o7yHgzi4oKsivmJhmcz5yOqDhohts5RPZuaIcJWLlrEXMfoEwBDgmtAUX/W+QMKT0V0DOaC + WGI0RDMXy2nz7ZOjn1ofIKsoROGSRkwNtG6QGr88hy77HLcswNBb7LRru+JjyMWet5NnTeZVl9Nd + 4Ltb2Q3DuOFX9moPWBPossf21+jy+8ea4ej4A8MQxm0jENO1hmI55zFgvuLqu+Dq3ZCY3cL6adx1 + /eDM6+Rm0F0w98WukgNFarb1ErZd36cqUKlR7gE4WKPvT8axwM7fwVQCZHRv29UwbP0kp4h7Bxuk + FttB1q00wacsQUMd0XJZO/E2fF6IiK5MHaOoJqjnw1O+N0wUgMl8uH2uxkcR273WjgKh6fLYh4Sj + vhx88M5BAbLhHF/26qylNjHX69juXqufp5RqUe96eZwt0URE10Q9Muec94McAIzj2DTN0uAoIgAY + w0BEREREREREREREdK3UccHb7bZe5G+a5vj42N1ra+w0TQDqqKVxHOvApcfOZ2nkXdKdSynL/TdX + HS5dGzjMrGmaaZpqoHVt+0gplVLMzN2fsn1qG3fZFRWtmyjGWJ9YSqkvRERERERERERERERERERE + RPTi1dhOeaZR/+KqOFP1xcTOhn3edjZvSVMY3MXhIuoKqKGWDXMIFFlQFDl4UVfUwFPfFb2aS9ED + YoZacN4Bg5yWqL893N3PJoiK7EWEE90QAqjDdvXuFMvnHZBgyBJMzb2U6OFu++rrr3zqsLwFAJKX + amn1SQEy5SziWzn8tX/1Kz/53376oLuTtxly+VXebqNa5aaUEmM0K+M4Nk3UTfrf/79v/Gh641iG + YmgVsOyGlBS5hU/uWQQOV+hPfuxzYerEFXCI7eVnG/ZLOvJQd5349cmy3sNYayIiIiIiIiIiupHq + 8PI65nwZtX7Tx5xfiiWt5LTF1qFIQTyHrhkOD9uDe8dHhzHJVDyx1YCI6FZKKdUyJTHGWgK+lnrB + XuWXWtxEVZcSMI9VU6trsjWAmoHt7rUSTX1MnSczrYmIiIiIroQDBg9ikOCyS2IWjGUKIUkQBYI7 + tvnT7Z3w3T/qNASTGkk4KVy89m0Nu2DeszMPGfKjrP/xbnO42Zx4TM0afdYYBowmFs2SIRbNipOk + JmgKwjk62lkwTAGDxuB2ZxoENkTL0sDW4gpYwBR9crE+JINGQ3jmpEN1RLciGKJnRbCQCtbZXLxf + 4wfrDWILQwA0A43muSf/2b+RasixhqHv27RuG3WgAJCAJj37qi4LtcxXfO/inc9DDOBQ0Xk/3vDx + AiYoAhdEGMSDG9zq1i0CYPdWEfi8rnrBudAGQRy2wc0Ah7qrIkV198kF026pxOfYTquLJ3PWtcwL + bEXC/t6b99cFd/i1+sbDHLJ+OtrEAVMoBjUBJCNp40DS6Jafff7iGPLYdXfyOI3jEAUakAXb7Rb9 + Fnc+0PdFViGlIF7E9nq1X6Bb9HkhIroqDkyAC5o65AkON4G72P752gQuCPX4f86Dv0F19zVT5uGR + ABA0Io+xweGI9RrvjcOrBy2yPfyV9BnUyOqlDvhS+7vrur7vQwhmZmbDMLC0NxHRdVAbMWOMOed6 + 9K4NmjnnrutKKapa/zdGjpsjIiIiIiIiIiIiIrpGUkpmtlqt6lgkEdlsNnUEU9d1wzAso5YAPCmz + eXlASinnXGf1Y8c33Qj7Kd0hBHevY69ijEvlyrpZUko1BfyxahtKjHEYhvr4OhBbVQGEEGoziqoe + Hx9vNptLWj0iIiIiIiIiIiIiIiIiIiK6PVwfn2xdyZLVYAAAIABJREFU7zxN3IT4mZovOt/YnNU6 + Vyc4nZXe7O4h52OyVOixOawUEA8OhYtC67bCXO0BcA0W4Qme4FFgQHEdTUtNsd6FjjuQgdr55DlK + YN14N72XEdFC3YrEpZKL7YoKqgbAzEoTdTQJZfWFz3zxj7712/VIcEogDiu5iY0IpjJ86z/8qz/4 + zr/9L197zb2IcKj+i/X4Gme1cruIhBCGoTezrmv+5I3v/Mt//es4yOLJvEyTaUAMUIhbVFFDdoUV + RNHP/cRPaYmn85dHOl/KmYPerTqTXlv1TPRQdryIXO0Jip95IiIiIiIiIiK6kWoxcVVdhlLXwdV1 + mp6sNhzOvwggc6tsCM1KQxRIf/SgbVIpk+pFB6lAXQCBoijC3NI8F7MX6H4WEq9yExFdppo5rao5 + 56ZpsGvgdPec81IAJee8xF0/1lJOpT69zrBWQkkp1RorZlYfU0vPEBERERHRVZCawTvnzCogCGgN + rsA4WpsUqT35wQ8+mFqfKyHKs2QSGtA03QO1n/61b+JgAwjSBhNQJrQCODyjABahgtolsvg5rgQ5 + 5j6yQWFAHgFHBCTAVnCFGzzDJygQIkRg55q/wQximHvtKgqQDeLQEQd3EQMykCACmEeNgD0yewUM + Zm3XwebrcSpwEZTnvOjlddXFxaG+7LazZO/25aFnpsXglxKOXLmjjAmTpjgNk7ikEDyPxYro6diX + YGd68PojS1ZHyxS1Of56ry/whS7+Ls26pmgrUGr2aH1lAwocwDq28O1UAhAAw3miQTuNJ/1hklZE + asZ4yTho0t/56ld+4Td+s1sf9EApk6pCA8wQLuN6/i3+vBARXZL9FkVxQR3j9MhBtZ4f5Tla/cRs + F48NwGUOt3bLEnSacGelUzZDzuOEaXz86Nanqlena7tzTbAGICJ932PvQjczrYmIronaNcjdY4w1 + 6KI2aNZ0hxBCKaX+b22jvOrlJSIiIiIiIiIiIiKiWR1JBKAOJqqjiup4pb7va+OsmdWr/U+fVYxx + mqamaaZpWlp1nzLE6aao8dXY20TLStVfAajqNE21gftJ81HVmmktIuM4Nk1T57MEZtcZMtOaiIiI + iIiIiIiIiIiIiIhedra7lXMVUaFTZ4Ko9+Oo4WJLFSrfe/zeNADYLrh6ydF0ANCln8cyUwPE1QWA + qtujVQtwWsqgxjmrui3RzjeDGACrsZWeXHMJPSSLpWBRXNVFHMvWkv1Vc0UtZ+SAuMHUAVhwE1eB + AwoP8ARvXOZNBFh9vAImGVADAPNd+abbVvmdmdb0MjHB/EmfJwCIZwsaSilmedO2UzH04bMf+7z+ + nsxFYQRF1MVqKXAxCODBx7wNKf3G7/zqZ/7y51/ffNIGUQv1VeYSa644PVCbST0OY3cgvzmH4hdj + 75x4uu6G/bpzj5w9F/V0pg64w12LKAQB8SC8Y2/9s//na4f21kl+z1KMIUUf3aAOtyKw+RTh8IKI + 7pMf/GQ8buXpx7ZHa+HRlbqeJyPGWhMRERERERER0U1VK88uQ7JZiPYZ6OmEQIBwmmUSYJqzpZi8 + jF6mJOruesFXNYvBQ0TyXnwDh0NEp+xJ5yWEGODOOBGi66pWtajT+zXB63StfJFzTild3TLS80gp + LUHU7i4iTdPU6aXFa5qj7J7WBlbrxQOoYdj7KSDYJYXwDE5EREREdLUEqrtoW93dBUARHK5A06gX + E6DrOjt5e3eZRgWWTE1r13aIAz6PFZCaNg1VFLftsNrg7n3c/wCaFlD0BQ5Egxi8wAFPEIXY83Rz + r8MeROGALTnBMneNq0viBgFEd/c8O5uHTexfnSqAOgQIASGepuGKyN7jdNme9a8e0bnjr0DmGHGF + pvN39DQXGII7VEzh0QBXiC5X+qSmS8qNv6amDnWYzB1oa59bQOB6OirlzEraYzvvvjgG2ZoPQ0wn + njsvbbsa80lSqSVUg0N9zuycb6QIDPv3z28YBwxqrqZ1mV0hcqHLL0ADOFCAEaowAQIiAINlgQOG + EdYge0p3s02KIzlPT3G33EHhExwugCAI0pA/2J9gPEZuY0gxKBxQwWXUkL1FnxcioqsiQES9jAzM + 3wnVRIrocvoTn89wP2YAzONfoI6emr8lOoKJ1S+vAinFQ9Q8ugiCJguO5n2dTPcvdy9Fz3POyz0v + QQ10IqKXQD0aL7e1zXG5B7tWSLAhkoiIiIiIiIiIiIjomnn0Gv4yTHiaJnevv+7HWtfplNISX11b + BHLONbBZVWv2s7vvZzbXe5Z53hTb7Xa1WtXpEMKyxaq6WWqadb2tg79qu3aMsU4s27MO5qpju5Z5 + Aqhj/d5/C/iyOy6zPf1J4xkflXNe1r1OP/0tUdeiznMYhrZt8VyrtoS1n/eJ3J5P8RzjVS9/e55r + 13N7/ljv86P09PczERERERERERERERERvfzca8+B2jSmqu6PjUimH0dsrqMjBo/ip81w6rXWj9Xq + QCaAq4uZZgNqFZ0lmbnmep7ZB3MFIROYnalvsxd3vYtKXZ7oQNHd0wFxNYHOMasPLTb8TCD39SCm + gMlkYTKN20GLTL/8m//LT/2Zz3zq/udC34apbbyNWUqeEGPUoOLjOJpZSG0IIZdS3KHmPrk7VJNo + MIghWBAJGW6Aay6iLrC5YoNCyv/P3r0FS5Jd52H+19o7M6vOZbpnBgBxGZAAiOsAIEAAJAjSECyL + NElRlMIyRV9Cdjis8JMf/eA3vznCEX61IxQhR4ghig5SEk0FxYsEUTQIggAMgABxJQHiRhIDDAeD + mb6cumTm3mv5YVVlV/d0n+nT093nnOn/i4me6jp12bWrKvN07lzrB6q6m1SHuKCgUd9pk/VgqLW2 + bdv3vWzalEWeLPvf35Y4LytOQDIziSx1OiUOmMRW1rbfYlNXAzIUBkFKjfSjqTR7kl/3stdenB0u + xqe07QbY6AWKUrGXkATiBhNXX+rRV5754u98/td/8f3/TbO+eKF96dX10lCbLGY2S6kWiWepWlyi + 8V9OtQHgOj5IydZq0Oh+uO2rY4BhMyfYXPecvSekOGACl2jRg+SaqybM1suVXpCn8eRnn/zYx5/8 + 0DhfIY0uo/vUXlEBOJYCLwWzLmfRN7/6re26nZWu6Dh9I6/fsCtg1+8NH5y36eyqtarC6rVr4ndX + nOoOibHWRERERERERERED5TNgWPfHEg2wRTno9Nh5RQJK/d6Tcg1a/JqqDXBvYwCNfGcG8SCtWAK + GoqD3OnWD0ZE95+7t207DEP0yIil5XEcm6bp+75pmqirj79GnTydFxFZPbWGEZGDg4Ojo6M7eKha + q7s3TSMiR0dHzDgnIiIiIjprdk8zlDgW44CYQDdh11E+IHL9mfsGQGDp+lMTr48qNAgEkJzQdJ7a + Zc4VeGhfUYEUObIFADxvnv7FYDccfLqkuPHa3UNfL4SJK1xxLRDZojbDpwNs51Z8nOJYpU3x4VBM + n9U4xnnfmKGMDwPjor9w4eFy6fK4utLmdiiDJABIdmNap0Yy+u4VuwMWu1Y143pHUZ8n4QBMBFGz + Y9CE7TyKCmrBOEMDceT05Di+POmha3vCCZ5isN01znfPZntlQBlhJXmOk6MdsFM43vti/r4QEZ2a + bXA1cG1Lel29Z/zpsl2fPDnZ7lxEsInNrtj+kgBXoIqLAC7cmhMRERERERERERERERERnUsR1BqN + pIdhiGomM5vyrQG4+/7+/mKx2L2XmUXAc3T02017jWK3yLq+7y/oDkWmdWTc5pyn6Nlb3T5efkpJ + VcdxjPovd1+v1wCmqO+7O8h4zN3E2Vrrbnj2vXPTesZbyTmv1+umadw9hnd8fO/0WlS167r1ej2b + ze4gvjc+w23bxodwGIbZbHbMG8H5PN5J61VPaz5jnPF645qjo6ODg4Nb3Z7zeTvjvP35vOEGMex4 + kHs9VCIiIiIiIiIiIiIiIjqjxACBQEQgxuDVu+BaHGasVG57hgsAM9mGdwLiqmJyrRtA9Fna3F23 + rWls876YTzd+jm2LoW08NmACdZueWTY/MvFrfQkwje9MBVqHyIJFgpWUtGmaS8NTH/nTf/eRb65f + 0r3qXW9+7zve+O6H24dbTe3swFRXq9JVzJoW0PVQSrXUtIq6HlYHB3tlGK2U0at7tBTKKmIuDq9q + VapLZHubwMRFkbDNIwdUN/0fHqxw02mpPZJDTz1AlOiF2Nl2Xvsi6+4W1TWaCiZHU5vHX/u27331 + yaNlnw9n4q6KpOYVmrVfFVFpZnNkuby89OXvfv7jX/vo33j5z1559vLehf1xHMysm82Ori7a1EKy + CwAVhwuA2NQ8aAwy7Whi9wdgMyHTLdQ1rhQXdYeYbTOtHRAxNRVTuGhOOedL8t3vlid+7UO/XB9a + DuijP5147P4Qcy6ppCTj6D4mXTWP/8AP7aeDZMmk1lu9EWdwh0j3IQXm5BhrTURERERERERERKdH + JENgPotG9qoq6puD7Kc9NiI6VtR1l1LatgUQrRYARK14dNCYOokw0/rc2S3XXy6XAI6Ojrqu6/v+ + pI8TCdnjOJZSUkrnqxcMERERERG9QOIYC9QNVkRk3zHGCZcp6j4McPgmBtcTKixBeZY3nVEVv/WB + n/2hy+Ulef/o0jOHaESk1GK6zaTWmyRbP/eveooJypE8KvEVRALiW4gyNvM0Qwak99I18pMf/Q9f + /Ykfbxc4aaw1ERE9cGTzC93xuza/rjSViIiIiIiIiIiIiIiIiIjomqg5igI0M4uAandXVRFJKQ3D + ACCltFgsIuZZRFQ1ypRqrW3bTneMjF4AcfdTfm0n4e6lFAARGRuR3sdkWgOITOJaa62167qjoyNs + 5xDAbg1X5NHelXFGymz8uRuEfHwo8nOddDy3qme81fPWWmez2fTXq1evHh4eHvP4ka3edV080Ww2 + m9KpTzROM2vbNrLJ3X02m0UC8THPC87nrZ20XvW05rNpmuVyube3N+VAHxwcxMbtprfnfD7vOE86 + nwcHB/GKzCylFHc/0ZMSERERERERERERERER0U24ypSW6tf+52IWOaYSzWum22hbFZ6nrNPriJlY + 3FjhEo0IPF2/AKm77XpueIwES171WoCrXrudS8R/OhSbvG3ghoTX02ai8JSk89L4WLOWJq3L/NKV + 9ORVf/bPP/PF3/zMr77+Fa9775ve+5YfeFfOj5Uhtzo3QxV4VodWt6zN/qwdFmuRlJC1EcBGLz0K + ULN3AEyqS4FUgQEqruqNeIpk0wZm4pCCB7IJRJyYdNqjILrfxNMPv/69n/jSx3sUd0sQEUGFOwY3 + aQFJpRQVUZVvX37iw5/+0Dt/+v3zJi37Z3PO4yhlbd3hvtdSbJUsJ+vUkglca8lrwNSSPjjxyWKK + ut1LCTZ7PYWbSXLAoC4wKFwBUYl9pTkig6PEY4jrrN1brdee16vm8tX01//it35pbBbL8Yq25jtp + 2SZQNwBm0JQkFR/lYnrZm1759rqAybEnGtLZczb3RIy1JiIiIiIiIiIiolMiVmsv44jR0A/IGQKI + uENw46LxA7MWQXReNU3T933TNFH1DcDdo4NG1MafryYghJ1WFPv7+9Hv4KSZ1gDGccw5l1KmdbJj + egcQEREREdGLUlYcNA3WPY6WeOihnGEO3c31FY/LBp4SSWeb2SOWXmp5XF45RKPzblgdFUBTVG9c + q9JwARwmSLc4a1Q2ZxZD/BQyrhWwOOLq2/9yg2qtai9VNANXMcMznTy8Bsb7OjYiIjqX5Fql4jH5 + 1iZnq+CTiIiIiIiIiIiIiIiIiIjOiCnFWVWjb6yIREVSXN91Xd/3U3VS0zTjOEbqc621aZrIvQYQ + ydYRgwqg7/uc83kpZRKRpmmmy1M4a7zSm4oXHnPV972ImFlMVMQqx1SM4zg98l0ZZ7xH08PGO3if + 6wd36xlveoOc8xQKrqqRGXx8wnTXdTF7uzN20tcVH+OYk3gTZ7PZMfWVnM/ndaJ61VOczwhRjgxm + Va21xlPfFOfzeZ10PqcA7NjmM9OaiIiIiIiIiIiIiIiI6O5RANgklVqEVZsYBIBt8jaxSd1UMbFI + lFZxuFy77/Up1w7EI+g2f3rqiGM3rFvv3k/dZJvxuTMe9e21LmLXOutsxvwCX/9dpI6h2KzJWTuz + Wm3tefTZaPt2tV7yWWO6/upi8bUPfW5mD7/2tT/xQ2943zsfe3PTJ7eUtc3eacnjOKprJ52qmprB + RhurGJKklOpgAgNMUIESTwrfhIVHzwcBEgwOwKo+cH0gGGtND6ZU2+9/+A0vmz9mpV4ZrqTGUL0M + SA0qkBoIZFyVnFI7a/t+9RfPfuP/+fCv/Wcf+C8OdN4vhkcOX75a9KvFYjbrYtOdYBBRoMIgxcTU + zsd5eneNFHEDFKLwtNmjeY78agfgcMRuzA2WIpRazGWzoxITMaxrwcyXzdVn5Nu/9nu/9NTwzV4X + aZbMK6DqMIGLuUDNHDDDUE0lNdY9/tgPH6aXDb3tZT1Luzt6fmdzT8RYayIiIiIiIiIiIjo1SfXi + 3gx9xbLHHOg2ZbTR117iiLucxUOrRBSl3SISxd4i0nVd/MjMbuhzMY5jBCTTeeHubdtGqX9KKdqa + RA+CEz1OtIkxs+gdYGZd18XlezRyIiIiIiI6U1xggvXlS//qp3/+Fz7yR7BiyKpwd4HAt6dAqkH4 + zwQ68wztUNJyPZNW2rxcHQkw22uX6yElqEH8to5likNxekc9Hdd6CQoQadx9Qafi3uW8hmN/jqtX + LzUo/Pc7ERHdhiinid3LTRvWxu+E8Sc2RatERERERERERERERERERETXSSmVUqJmLYqPohYp0nYj + 3blt22EYzCwuRJxzKWU+n6/X68hejQKoxWKxv78/1budF8MwqGrbtlGvZ2bHZFqHmJkpzzvSrAHk + nPu+j0qupmnubj1XTHU8rJlNgbJ36/Fv6ph6xmPEq46I9JzzMZnBMYFRTBfPdXzc763ECGUrBnD8 + 43A+j3fSetVTmc/pqwdAREopt/ON43zeyh3MZ9xsd2C7D0JEREREREREREREREQPoFhJj6VbnMng + wHNBNkHIimvp0Bb5mgA2adY7tzbUmkdFgSuQDDkuwNVFt80GFLBoOGWAqU2PrA6Ixds1NefZXSw0 + IFmCx6KnucQINo/gArhG1wOXG0d3NtisEfW1FUiCZhmkXC3jMyO081KG5TCssXzoAMXXf/z0Bz/9 + 3Y/uy96PvOW9P/qW971s/li3nLd1bz8fNt6M676KQVHVoEiS3eBuIpFmreoQz9fePhmBYilSroFt + 26EHTayzn80wUaJ7KlmzXx95z+t+/Le/8EQ7T7UWqDcJ7tAWw4AkVRtNIsWHQcd0cPSH3/jd2cPt + z/3oP9jHQ0dXLx80+zJm9CI6d7GqxTECYuLimvyBaycTreQ2+6HY9SBvLwQDAClxlcl0jSeHuCRL + 8LxGb7N1f/jsr3/wlz/35Mf9YKh1BFI8fHIorAAQmEABaIarusx97z1v+PG238+5q8oN2znjft1b + dmdngt11PNeKiIiIiIiIiIiITtPq2Uv/5D/+W//DH38SSSECmItGnjVijVMe0DVOojPO3VW1aZqc + 82q12v3RbDZbr9fDMDRNU0qJgOTTGifdmTgBKxpSRPcTVX3eNijPFS0VoovK1BSAmdZERERERA+U + lLHXdgfrHssee8nb6Yw1AzYHf6Dwbf3A6Z9SR3Rr85TalNa1t36YNal4XS6H+TyVoeqmCAcAxOE7 + f72l3TNK5X59+LdPUwEBUgIE6DJqRaOlL9q1MEdSmc9MrtyfQRER0fnlOxemfVnUporfuDd0uf4+ + REREREREREREREREREREAIBhGNq2jfzRKYw5/jqOI4DICY7UZzOLfn611oODg6Ojo6huU920ZozC + qLiviJyjWNOYhMivfd7U2AiXnQq+4iXnnGN+aq33NNU73rKU0lRCeNJHOOldjqlnvJX4SMQda62L + xWI+n9+qtG33o7JYLHLOXdfdQR1cZK7H88b7eDvvJufzGHdQr3r/5zNEkLmqRqByFFfeCufzeZ1o + PuMGsQ2cdhNEREREREREREREREREdDdslybFHDAxn3KjIdjmT29SqEUdUpGj+4DBNm0I3HYyrRGh + y4BC7LpWBde4i8Rj2nXJ1urILtGtXKfBALBI2r42tulvZ4gAWWCleK2esgtckups1unarG21aUTG + 2g+ArNeyHu27Y3fhdz77V//hU7/7gy9504++/sfe/gPveknzEu3z4cUDFK21qouIQlOtPpbSZAGg + juQqJuIab5yqV3HAqmzTyjeJ19dP8APAb4gSJXowiOW06t7zpvf9h8/8Nvb6VVlC0XbNcj2KwBVJ + pVotpWgW7zDgSF4iv/eF32rb+U+942f3mr1h6C/sXeiXY4G6SE2lyuZcDvEMF33Akq3VNbafhug/ + p9N+SGIjEznWbnF7hwIKqeJIDjcBMOa+fVj/cvmX//YP/+Xnv/Op1eyqKXKTV6ux2ZwsZLtPagKI + aE0y6kv3XvG6R9/Yjvuzbm+9XDd61nZ6dJyzuSc6N+faEhERERERERER0YtQ1pnqq/cP8cwltI+g + ydXNYCJqwG6VNg+HE501kXk8juM4jtECI8rXh2FYr9dd14lI3KxpGnePv9L5klKK9a2maczseW// + XO4+NQuY+ibcTicOIiIiIiJ60ViPSA2aoQcMSQEzRxIFHBYntaMABjQ8BERnna/Xy1qHNuWqVhVW + Mcsoq9oIMFXXADjRh1ng22KYe/4V2HmCaGVaBSkhAdAER5PbaoBneB6Wt9WnkoiIKEiU2jjg1zKt + d3dt4syzJiIiIiIiIiIiIiIiIiKim4tQ1VqrmXVdd/Xq1cPDw1rrbDbr+z4KkSK/OeKux3GMQraj + oyMAIpJSiiKmtm0jAzvq2k71ZZ3MOI5d10UxV9d1fd9Hhvetbl9KSSnlnPu+j5tNEbkAYkJyzqq6 + WCz29/fv1jgj4LZt26grjNzxpmniwr1zTD3jTW8fedIxkzHO4ydhHMf4wNRa45ZmFg9yonEOw5Bz + nmKA48Lxt+d8Hu9E9aqnNZ/xpscTmdnzPiPn83gnnU8ApZTpZk3TPO9Xj4iIiIiIiIiIiIiIiIhO + KMI6bcqvlk0oclwRMdUwoGLmm2sNMEgVGMQA3d5S4Fk8A5I8QVxlN/IzHtCmx8RuyxzXUYGd4Oqb + JlhLRKu6Ku6kle49tV6XJmnuEtq0NvNRfZ0lp64xLwaXLK3CzcfUom29DEc6z7ZXvt7/yVc/+ZnD + Tz/0+Pe/7e3f/843vfzNB+mhfbkgpdXapmKttk2aVTMgJYeapphA1ypwNxNsEsGlCEzdAYG3D1Qn + CBExs7MZJkp0T4lLGmcvfeiVj7/mHZ998mNtxqrCvJjAK7JCVc1qrdBOReuq1jFdnh+Wf/e5X9W8 + /ttv+4VyqVteXs7TQbJaEkxzUYcM6kgmYtnlzG1y7yFXeAZg2z2RIVK9t3vHuCgGxA5RTQCougFI + lqv7mPp1s/je6onf/vSvf+ybv79qL5eMppFxKF2GiQFwmMm2pU8Q84p5OXjna9+7bw/l0lmGuZuI + ctt2fkx7IhGcnZ0SY62JiIiIiIiIiIjo1NSh7O8f1u89jW4OlWomqrpzeBy+CTciorMmmmKoauQW + i4iqDsMQ10+19FEqHxXypz1kOpnItI431MxqrXfQbsDM4oyN+ABEhxR+GIiIiIiIHijqyElyAuoa + vp/cIckBgUINphAYdHONM9qazrS2bSVLX0s1dDMtPZqEVnYPaG4Dqm99kqhff3vEecnbNNB7+A2I + h3a9NhKgxuHXhFQqTKCaDKiKilnq1K/eu+EQEdGLww27NXHgOZnW4lDA5Lj9IxERERERERERERER + ERERPciifCnnHDm7h4eHANx9vV5HQPVugGvUso3jGD/KOZdSItM6UoSbppmSrY+Jaz1r2raN15JS + 6vv+du6iqpFpLSKR4RovttYapWEA3P0uZlpjJzi8aZp4L+LtuItPcVO3qmc8/l5R5xifCgDxCDe9 + ZXxsVDWmLj45d5AZHM8Ss5RSijzgYxLWOZ+3M87br1c9rfkEECOMLVUM9Xmfl/N5jJPO53SD2I/k + zCarREREREREREREREREBBGcl3MGzqyI4kQka4rZdS0DVFzhqttOO+oQkYoWUEgBzLVu+hE8533w + bf+BxgCoiQG605bn+sXTbcMcF7iYC67rWL4J/tw84DRGvfb/s9Le3KFN1wI21r4OBZ5nsnfRHk3j + evSj2vTFkxmqJQA+jusCRYGUKtBmgYfk2XL1k088/dm//Pij6aVvffXb3/WGH3vNoz+45xfq0KL2 + 4i1UxVVcJd4Q3zQ7MihgjpiuDJQqJq76QDb8Yqw1PYDUNWterBbve9vf+Pw3P3VwcX+wxTB62ySR + pl+urY5tozVJrdUcTYNavXSrlY0f/NS/rgv9uXf9/f325ePRWpHEoa7JkksWQFx120jtgaGGBFcX + MwBwbFO91TeddoBNxIaJ+rStdRXAxEoa+maxmD39G7//S5998hOrfNVbB9Kqrw2QsqLABFWB6zv2 + qCqKHsrD7379e1NpM1LfD6nJ8LOys6Pz6+bnrhEREREREREREZ1xsfAT6ZhTeTZXg84dVdRx2BdB + LQBEsyJdd9RStn/zB2tFguhc8K24HNvk3cr5KGWPaOTdCvmpejzuG01DAEy34fb8fnrutEdTg91d + bdzmmLL/6VQtVY3L8QhxefpIqOqtGiu8ENG5BttfCeITtfty7vozEhERERHRbRJHpwrgSl2gdVSI + iRcHUIEKddU49/LmvdCIzhSBwUY3ZEhGKdYkyPVnUrvABCbXHct02f63vUGFiwjGEdt/Jtf7dPhT + AcCRgLQNIq1AATwnZI2XiaqokkZj+CgREd0OqzVBvCIOBwPIOVe/rm1uBF3Hf2dQHAOPdtLYrm48 + t0T5jttVExHRXTetU8cq4e6C4LStjh8RERFMhIRvAAAgAElEQVQREREREREREdG5oKpTBOkUABzh + qdO6wG7qamTxxl1idSDCViN+dRzHeMDpSuysL0x1cKdlenYzm4YUi9RRFfXc9Y7d+Zku3DA/uxmu + MVcxky+kQ/c0kinteHovdkORp3HGGO5dmuwUcD5dPqZULUYlIlP1Io4tNItHnnLBpyq5k4qZwc7M + H5NpvXuvUkrO+X4GsZ+L+dy91zSTt8pgntz/+YzXiJ05OT6DmfN5vJPOJ55TtnxDUfMdDCAucN2Z + iIiIiIiIiIiIiIjovBIxM/fNCQanPZrzzcX8+kzrSDDN2qAIRqjnjEZdYMguqQydjy2QrOro2bKi + FetQW3gnaF0aV6mohuJeUC0bGpdGtBFkiAACE3WIQczEDNXFXMy1pjSqroEBGFRL03gSsVLEEf+d + 5c4GJhjFl2WoWVVTsvR97cv+u5/5R2/Ye8v86qxZ5kZy7tpSXdDup/2uogGyIDu0Qs1F69guV7NL + T+SvfeiJ3/0/fu9/+1//9f/yL/7kl79ev7Q4fHbRPtO3y3Vel86XMo4p1dz2RSXP4Q08iWexLNbA + O/gc3k2R4Q+I6JI9LTHft5M0Xkxk67QHQidWvIjl177sLW9+1TtsXVHRNDKO1XuZ60yAWs1dXDQL + UsFMsF5UmWM4WP7bz/3av/rkP30ifWN5sOi1b5qUiqdemnqYyl41qxjNffpsiEic3/JiPvXCM6CA + Rqa1iwFFUQTmY0GxjCyusJSQxVPsmFSzA0flyB/qvytf/b9+63//7JMfWrffk1y8qtRZIzNRjGaA + lWJpJsUAhxpE4A7rxw7tO7//Pd/XPZZrdq8pJTaLO3fMTGTznoaz8CvrvTr1k4iIiIiIiIiI6J6K + uscoSuy6br1ez2YzrmScR+LIZvB689gWvqVE59BuwnGEQIzjGCXusbmOy4vFYn9/H0DOeapp953V + R7o/UkpTVkcp5c6Sp909Hmfqp1BrvT9NCqJfhpmN4zj9SgCg1jo1SojbTC+TiIiIiIjup1U1Kfbw + foeyAgoAjXRfgQNxcrfyIBCdNxrxnDvXuAC4MdAa2xxrYFPrYtvPuoggNyiliCKrQApqwr0v8xDA + ACALAPjmb7BIl9ft36/dmoiI6HmklMwsq45jbTLQ98vlMrczH8fdHYn4zRcDz4LNS8i5lBKXAbh7 + 0zTjOOaca63DMKSUzkIFCBHRAy5WIaeO7VE63jRNpD6klKYFQRG5n03/iYiIiIiIiIiIiIjoXlDV + vu+jMmgYhqg7M7PdsFJ339/fXywWu/cys6nKyd37vu+6Djvh1qdYZzRVOUXv7FjjOP4u8XLiLuM4 + HhwcHB0duft6vQbg7vfi5QzD0LYtgHEc27aNAsBYfIl3JOZZRFJKpZQpoDcGU2vtuq7v+7s1nmgo + PDXGjVzz3UjgG5x03X934SnWnkTk+Ke4qTgDATtJwNPH71Zuet7CvXZe5hPbWPf4nOecp0/mrZzK + fMZnPjZQMVGz2Sy+oTfF+TzeSecTQNwgbhz1rXewVeS6MxEREREREREREREREdFNmRg2gZkGKFzU + UfrSplms7lUrqhAVd8tJ3AsMKUFFRpTqxcS1iSjrCqlAEXURKERd4G5mdXOmR0opqWbU5MXMoEg5 + N+7w4rWMKQFiWTKAOlhfx5TyvO1qcdzYusd2/jwrVNUFpg6oW01F3/7Kd7/851722a99+Hc/+dvf + Wz6zwGpv3sH8ytFyPm8qxt2w0uhlVGGq1g8l51Vv/V9/6cmPfPEPX/fKN7351W99xxveM8+HRfdT + Myu9NT7rmnYYe4gpKhwmpq7mGUiAAfWsTRER3SMi0jSzOpb3vOl9X/mjT4y5v9p7yo2MKm6xAR0F + cFUzAMlxuI/Vqu+933vo4Pe/9NvPXr70Cx/4h6+48P3rq4v97qCxVEeTlICm7dIwDNieoRfnlUW4 + 9am+6HvKXGARaC0lwq3hmuCpaRNSrbUWT00ySKlDapN7sZSWctS+FJ/55h/983//j9f7T6+7VUmA + WTKFq4lZBgATpIyheAUOGpQB1dBIbnI7W87e+dof3bcLiuxWoOy4fv6czRZGjLUmIiIiIiIiIqJz + KWrRu66LqsLZbBZlk7c6DMdywbNKAEAMbnAVV4gAEJhCmZlCdB5FW424HMHV0ZkiVhOjJjy24ZFp + HVvv2EpHvwa6z6KoPtKgY633Dnaa0ZUgepGklBaLxf3Z88YvA/HUEZc+m82iMQq2y9jTAvaLehmb + iIiIiOjsmrez3jC7soQZ+iUODgCFIwlKxFwDXeTpnsXz64h2OARIDjUgYq0dU9WHCarABAKII4nh + hoKQnUdSANVwdIRHH00Sjwe995nWPuVUG6CWBbKJ294Oy2EJmgx6LYGbiIjoeHUsqclwNDnBBuR8 + 4eGL/V/+VSvp2q4HwPbyWfulL9YpovlvFM0CiO690eW2lNK2bdu20cz3tMdLRPSg2+08HjEA01Lg + 1D89TiWK9uKnM0oiIiIiIiIiIiIiIrobIkI18oCjzWXUCkX1U0qbPphRyjRFLE+h0bXWtm2nO0Zq + LIA7K566u68r5xwFUGa2v7//vIsabdsOw1Brjazoo6MjbOcE1y+g3MX41SjZjvDdGDN2irWnOrIY + FbZtFqdrooTwzmJ3b6ppmli7jzzmyMzeXSS6QUrpROv+UfwY1Wpx41rrnQ3ezOJxpnjvCCS+6Y1v + dd7C3Zq3Wzkv8xlf53EcSylN07h7PNqtEotPaz5j3nLO4zhGCe16vZ4G8Fycz+PdwXyu1+sYJ7al + ylOd6e3jujMRERERERERERERERHRc5lM68g29akR165px9VQoF3XpK4ttS9lDcAAUXFBcataLFlN + taYRuSzGy1eWz15dfu9odWk5XBmGVW9D71WSZm26dnbQHR7uP3yxe3jeHFzoHm6atrGZ1tYKkuc2 + ddrMShmgKibu3mmTmlxrHZZDTtklBuwuBliMXP0MtaJVN6ujoJgiNY0aSjGsDh5tf/DHXnvh0Ys/ + +Buf/NVvD38x1Gel85QxYEwGAA6YALJpH6SOVLO6i6aabNw7utQ/+52nv/W5K5/8nU/+ize96vHH + X//uN7767Xv7j+Yyd8zNSht9kxzJVaxxJHg2gWv/oMVax/kwpz0KovvNxKrVxjOG9PgPvOu1X3nT + 5566nOfuBo1eakBsRcVVHRD0axweJNM6Dkj7WqV87uk/+t6///bf/Y/+yzc98va6tM7mTaOokvN8 + dTTmLgGbNOvpBIxjzvc471wrYBCDVEgBDK4CDOuxSZKbRrMqtKq7iomONiCVq7bSh4bf/cRv/O6n + fkNfunp2vCIKOLKpuDnGIlaQAUvuTatDrbHF8gIXyWmW+vTmV/3Qm1759rTuNHK1vYqIcsN23rif + uRgWtlUiIiIiIiIiIqLzquu6WP7ZreZlfPV55GKbeOtNpnXktxhEsRu1EsfE+Q4TnW0pJTOLgGRs + 23zE2mGUu+eca63Tdrtt2ytXrnRdN5W+H9Ojge66qbQ+OrzE5WPaT9zK1IZARPq+j4/B7ht9j8Sw + p34N0YuhbdvpShGJT9Rd7MlCRERERES3zwV1HIbBXjGf/eZP/vTf/fxnIO6jSxIgjv0AZy/akOgY + yaZzr69dua1pgcnm/OxjqENzY7Vi/wCO2o9p3hSv6T7/u9UAQdrWqwQXVEAVEWvtwm8nERE9v5Rz + 7EuGsbSNoknfeeqvX9e1Nlbg+feMpy6a80Yb7uhpHoHW2IZbm1l0Qo+OwHEImoiITkvUik9NzCMs + IVIoYj16WqC8gx7lRERERERERERERER0pkRxUAQkxxqBiExxqpEW3Pf91Ge2aZpxHKOQLcqaYrUX + QOSSTvVrEbd8qxjX+/O6ALh7VNWpaqx03Oou8ULitfd9H30/44VH4PQUVXt3i7lizt09xhypsdN6 + TbQcjfrBWL5p2zaikeOaiOy9i4OJedjb21sul33f49ii8ggJvv11/5jPqFZbLpd7e3t3/Akxs3ic + aQzHvC/HnLdwT52X+WzbNioWYw7jk3bMQ53WfMbS5HTCSXw1junJy/k83h3MZ2ydYjsZIdzHPP6t + GpTHlo3rzkREREREREREREREREQTEwDqYhGljG3LHQXqMM5mswpf9ouy7psWaSaGUhVVrGKoWtHY + 1fHZrz/xta9+68vffOKrva+W49XBV+6jJzOMRawmNzW3JIZkOVmbvWu9e2T+sof3HnnVo9//mle8 + 9rGXvObi/CFYsh7z5jChBWCjDWZNrYqkmsUTYC4Wg3S1bXsgw1lKts6ecp6tbLlcL1rk3M7MGluk + C+1jj790b+9nDv/lh37p69/97IiFzrQfTYFNs3ZYvB4HDKilNE12YFlW2kh30A5Wn108NTbdl55e + fOk7X9hPjzz+A+/8kbf+xMu6lz00e8jGJtWsltQUgMEdRWHm5me8JcRdFee0TF2X3Z397unB4e5e + kUvb4ODH3vo3/+xbX8HeuCwLgZlW3Wzzg4rbrMNqVdsk7axd9sucs+0PX7n8+X/2+5fe//hP/Sdv + +zkbHip9anW+XIwXLjzc9yv3zbko0Zwc27NTXoxsm2ntm0xrAGJwvXjx4moxHK0WruJZTa1mLxjy + TAYsnvje137rg//yK8/+iT7aP7W+lPdhBckAQFFMTKDw7KJuBS4KSEKcNZOl07Fp1/t/84d/sh3n + dSXSACrJvTq4NTtfbvrVEJFbnNZ0nzDWmoiIiIiIiIiIzqUoII+68SgsjEpIJlaeLwZ1SFGHGqBT + cHW8i745Eg8FZJtyTURnXBSKr9drAG3bTi0zcs5xZbSiaNt2HEd3L6XMZrOUUikl2mcw0/p+ilr9 + qVHIHffaiLYv8YZGg4CU0n0o15/Cs2utMf54CTGAGEx8ovhLAhERERHRaamKR7v5pWcuv+rgAGVw + mDQCAxzJkSVOzITvhgTzl3c6s1xwfaB1XGeCKFBQ3/x3A4krd4pzAGAYMZakjQBJ1FAT7tch0Olb + 5oBDBBADYFADoAbxB6rghIiIXiA3kzggLABwePGCXD0qtarDcG33JqdaOHEr0+HlqPeYeppjZ0Vj + GIZhGBhoTUR0FoiIquacRWQcR1U1s7ZtzayUEs3HU0ruHj9ih3EiIiIiIiIiIiIiovMuSoSiYigO + /scqQNM0fd9HsnIs7MaqwTAMEWtaSpnP5+v1OqqKIp55sVjs7+9HNOxpicDUiOiewqGft31n3GzK + 5440awA5577vu66LObmL6yPxdFGQFU/XdV2UocVgImJ2GrmqRl54FHwBaNu2lHK3OpOKyGw2W6/X + y+UyBhafgWPucqJ1/5RSZP2KyN7eHrY1kndgNpvFuxzvUczerUrbjjlv4Z46L/MZExLPdTvB7ac1 + nwDW63VMTkzUVHF5q9tzPo93ovmMLep047Zt1+v1bDa71YPfqpA29jhcdyYiIiIiIiIiIiIiIiJ6 + DgUqoAJTbFroAL4ua0mS9iSlPMqq977kobZjL/33Lj/1Z1//whf+/FPffuYvqvb5QHpbDzKWbCZQ + aZCyW3KvTXJRCAqAsY6Dr8UVJs/2T819/uXVZ8ufVxvx0odf8sYffMsbX/X4Gx59R+73u9zO9/aS + pXEYU0GTGhvOQXKquGLUdt4UqWPtS7KUMqzrpNF+nJf91z/6ll98/z/89f+3fmvxtaeWT+cDraOJ + R+f2HHHdDtRkrigoEcosI7wMSZo2zZHrd1ffzaoLPH3pa9/6xBd/71Wz1/5P//3/bFf2UTq1Llk2 + MUjxtDKBI52p2O/745jVfKIXK3XNmr16m9vVcnj8Ve9922Of+8yTH80zrc1gUtwBqAIGA7QKNLnC + a3HHkKF16K1J7SPdk1e/+W8+/SvfePLP/t5P/OIPXHi8v3R0+MjhlcVTbdpD3aSHxLkWccLJi/W8 + C4H5tRgNAIBnuF6+epQkt3vtqKXXwdtSmnFZr67sysf/5MOf+OIfLtIz9cLRM/1C5hgKMrJBXYYq + cIGLqatBoHUciwJNi1qRWk21wbp562PvfuPLf9i/q+ripi4uCrcKYSTxeXI290T8DBERERERERER + 0bkU5YVxebFYRDn0Mcemz+bhuQedK0RMUHXbz96nPBUD1DaL1HrdcXkiOttSStELI9qIA1DV6AOC + bel4SikKyKNLRaQgR++DYRimYu/TfikPiuiIEc1EAETflpM+SLzXU5OReMzb6WvwAk2fk5RSPOk0 + /vhVIT5p0Y/mno6EiIiIiIhuxatpqY+IPnHlCNXFbVysmvkcMDga0QoYUIEsU8UC0VnncpNgTvFN + srVcf6UCJtf+CmAsw6x9GLmBZtEERxK5f4nuuj0SO70ENwAQKIzB8kREdFJWqyStjqbNY103VpZD + f3W16lJyIMWy3xn+NS/iUQFE6/Opm7mIRF/pYRhUNfr5xk9Pe8hERA+0WNMspcRq4LStBhDrztF/ + XESmy0REREREREREREREdH5F1GuUBU3hzfHXqGaKqrRY2DWzKCWutR4cHBwdHa1WKwCxFgwgMqHj + vrtFyvdZ1FtNVVExpCi7u+ntc85ThvR0+6jLix6g9yilO5ZaprcgwsVjGLvzGT+KSY5XEQvrXdcd + n5F8UrXW9Xo9TZSZRYr2rUrhdteSbmfdv5QSMzl9SGaz2fSSTyTG6e5R13ZMti5ufd7CHTzviZyX + +YyvduTWN00TYzhmKfC05hPAbDaLhcvICD8+AZrz+bxONJ/xXWvbdqonPf57d6u+E7E147ozERER + ERERERERERER0UQdJipuChF3hQIQhwtS26igyDhiHHxRZI28Hrr1p7/xqS9847Nf/+afD1g2++6P + rtflyuCuc1SFOSBwVIOIuVeDV7iJiIkhAyoKEZEy2mBH7pL2UkJ6wq586yvf+PDnf+9hvPItr37n + W1//+Cseeeyh5uJed5DzrF9Lk2fJpi7ngKtGS/OzltksVooj+14zU0EZbRz65N2BJrXGrtTXHLz5 + v/7Jf/QrH/ynVy+v69Cb2HWdjlxFTB1IqA5xNBnJVarY6NVq0bE51NmsXV1Zmo4d6tPLby/K1ST7 + 8Azr4OpSXMqYRheI6VluB3HXxekuN6wai4iz9xk9ABrJpZTcairNrDzy/nf8zJe//YVqiyrFElA3 + W04FDOqCYfSuVbiV0dtWcu5WZbiyWOQW7QX82VOf+fr//Y2//d5/8P4f+lvD4ujC4QUZXJFtS1VT + SlO78helTX85qIsCUE+Aqmhq1FJd+2Jseszr91ZP/eX3vvb7n/zgty99YyVXZFaWttA5Zl23WPUC + uNi4s7NSM3HNTdOvawJQ1dxE1Ho71MMP/PDP6GLepr3GO3cfapU2nt/O3C6Pbu1s5uawgz8RERER + EREREZ1LU1JmrXV/fx+Amd1ZeTCdLhfUGxJb4lCqwIEKsNaT6HyJHhlRpz3FJEfF+Hw+n0rHp9YV + sbK4t7e3XC7d/eDgYLVanc01lRelSB+Pqv79/f3III/eBCd6nJxz9HmJdz8e515nWgOIvglmFo0b + 4poYwDiO4zhGsnX8NBoT3OshERERERHRLnHMZt1y3XdAB8eiYM+ag31XiAEwMc2CQcwQIbs8IZLO + OkecvbspYZE4sdgBIMm1f03fEHqtft01CogIHFivMT+AA2Yp3fOQzEiyNsAFAESg1w7NGhwiunl5 + hmw3ie4mIiJ6Lk1pLKM0jQGaMlLqDvbSelWLiUAsSlXPbrL1bvvyODY+LVI0TRPXRMS1iLBNLRHR + qUspRSd3d4+W+vHXqas4tkuW3GgTEREREREREREREb0IRClQrdXMuq67evXq4eFhrXU2m/V9v1vC + FnHXUUY0DMPR0RGAWOeNNYW2bSMDO9KXT/FFlVLm8zmAqImeRn7M7VNKOee+76d1bXePrNl4gTln + VV0sFlFwfbdMUeKllLZtYyRmFrm2EXAb0xsDi1fh7imlvu8jNPdulQpOJWPxFseVxxSVR3Xb7a/7 + Tz+KoPS47x0UrU9x6XEewmw2izfoVrc/5ryFe+q8zGd0D8BORerUYeCmTms+Y/MSl1NK8ZKP/15z + Po9x0vkEEEWyUzS7mcW26ETPy3VnIiIiIiIiIiIiIiIioltQOICKa011bL1eplnufeixbA/UtP7J + n/3Jhz/z7781fu0qLllyabyMpbfSdDrbny/Xy03XcYejAMVdoBCPolFzuAFuSAIBUqvurg51F7jX + YoZ1Xvy1XvnuE3/+kW82L5l/3w+97off86Yff/XF18zyQRkGr12yRlzhKq7w7GLAGUpUdbE8y0Pp + axnUtEHbeNu0e1mA9eUkpsskw/yxC2/9+R/5r5754F9fHp5aN2NJcBjExFUAmIoAo3Wtivg4+FAs + JcttbTQPNS1XdbVeq6JpO3hbcy5IjuRoDC0gFRhSHZMAns/Q9Nwnz421JnoQCCA1JbhXa6Ttl/KD + L33bu97wno//1b/rBTUBDjGIwcVMYYLZrFuv+5wltbpYFxvLrMFBK1b98uWjWZp1F4ff/Ng//8yf + fvSnf/zn3/bKdzZHciAXplNTpnPMTvul3xMCqCuAGq3kAEDh2V1So4MNnnqZl0Eu/cV3vvqJP/3o + J778kfXepasY9h9OKqkskGtbFp5MpCkmGBLgUEcybbwAEGQRNJ68yKhm7jOkd77l3a/7vsf96Ta3 + GWLwJKLuVfVM7e7ovGKsNRERERERERERnUtN09RaVTWlFOtAIsJM6xMxQKGRVgIBIBDbZEo7sIlg + ubdBKtG/3iPWevepZJNgdO3qSFy517kuRPSCxXphbJCjo8EwDLGCGLHHU5/xqHiPa5bLZVzT9/1U + 6E73QbQ/mJqh3HFngXiXAahqlOvnnGPvfNfHvCu6DOScp98Boj1B/JIQveyjR4O7M9OaiIiIiOim + pnReAHBsfot3RRyZETjUBAm4s3+q2brvgNRKU9b/7Gf+zn/7Rx9F6r3NIg5TeAT8qqMAgMZw7mm4 + dRz1UkxHm6YXJqjbn8n2aomAXyigfv1sXbuvALBNJjePX72YiYva9ktiagDUVACBAJt/TovDBVWB + Kc1aIklaASRTgaWcx6tX/s+f+un/8WMfw1iR0v384GySrbef83TDodm4hd9ZpvX1pza7QqyoPtvN + 0XZQFQckYXsjHvElInpxMEfTNA6MViGecvOe3/w33/obHzi0oauxO4kdhNzwu+dtc8R6IlBVTFxd + BF4VZp4AiV/D4hZ3tAOLjr2x6GxmcXg5WgZPixpx/fGdpomI6P6IrXTEPMSi8w2nDE3LnbH1PqVh + EhERERERERERERHRXWBmkfqcUhrH8fDwEIC7r9frKFiLBd9YKYhc2Fg7cPcoO4p80ykVdUq2vg91 + T7fStm0sZ0yDj5EfU9KlqpFpHYm2bdvupq7GHd397mZaR5R4PGlkWkcN17Q0E2VcqjpdE/ncsUYz + vXd3cUi11niieNXP+w6eaN1/erSpDO2OuxhHEHjOOR5zqoA75vY3PW/hDp76RM7LfMZnPi5HzPAZ + nM/4IkQ9Y3xTYit0zF04n8e4s/mMdeTp5dzB/HDdmYiIiIiIiIiIiIiIiB4MCti1PuQQcfVrTZ+i + K4xtOuxAN12VNh0DtutoAp3nNZa2P5R05bPf+MwfffpD3/rrb/reeJSfxXwUkbEWJN3LXal++WjZ + NBluAAQWy3EirhCDqSsSxKGyfXqgjiYCFVQzsSIOVdU5vCnrAS1wxe0P/vQ7H/3sh9/y2Nve/cb3 + veN178plP9euKZ1aq9aIQzxd14dg03H95q20TBSA+r3NBV0NR5rzXrNnpZbeBY40jl7bbIB0aQZv + pMfrH3n87/zI3//1j/xKOSxV17Z5Faa+6SevOZe+iKPJaOcoFb25lzFnaVsV8abp1qu+X/tB8xJR + jahKF6uiVeOdzdu3lYgeCI6akph5Ep1hD6N/4F3/6ef/6v9b1GckwwRJDIC4mqgD/VBUpbrXUlOH + BrAKK55Tblvpa6njs3sX9/9y9ZVf+eA/ed3Db/l77/vFl85euTc7lKIY1KXNnsyQRAH4dgtsO1sd + vfUZc/G/6OcWf8a9t01sbvKnialv/ryDkGeLXoLbvOrNAK6bwJsMUkxVYDAXVC2AVbXSrIdm8VeX + vvrxP/3wp//845ft6XTRRx0O9rHsay111iUvbuZdkweMsYP16YWLKbDuB1XJkodasqjW9tH5yz/w + rp9Ky+4wPVTXI8ybZpY0VSuS7rhPJJ2OsxnBwJ5KRERERERERER0XqWU4kJEqJ7uYM6LTfTOdoE2 + ytYh5tKJuIh7Hd0BVYgVR76nwSJicBWHWoqAE+h03FsjTyUjUpQUYi4m9zbTiIhubipT7/u+67px + HJummbpdRI+JKBGfmnpMd4wLu2skU133dOVzr5myIqafRoeOact/dsRLjuF1Xdf3PXZ6BJw1U6eS + mOGcc855GIau6+J9xPYV3eoRpp9G94F4qLjjdIPdHfRdHHw0kYmGAlP7g7hGRKYGKNF9YBrVbDaL + TyzYPoCIiIiI6DgGRMCswXUbeDuIah0VjVZFyq4J1U98wpkBuUnroe538vLecHWJefxqnqbTRhWo + 0IIqsHTPM60Nm0NQasAmX9eBBEdkayPvZPMmjF4GyXMHlsNy1u45tBSIolVIBQSeDDAZC3KOg2/0 + YlVFC2SWGti4MNubAYObiSI7bEwGoKkwwaiAoKmbapmi6BPEpaveGGDlYtO9enkFV67g4UcgCaIw + h5zrQg81eMqtlUFVRzOF5ISjrL/4Bx/B4UVogghcqqDCdBsYf9rDJiKiF0zggHhtBS4NdIaHHnli + /+B15cr+2Efm9M7m/qRlP9PxajFoURgkQQXm7i5oEspgOR3Ac0EFxqmU9PbFYe04ph2Hkae+0tNt + 4npmWhMRnR2xjjwtW8eVu5vrWMs+vQESEREREREREREREdFdoKrTAf+oDwIQ2dXTWsAUax23r7VG + 3HJcKSKRtbxbM9W2bQRjA5gCUEspu5qYm5EAACAASURBVE/3wk2lTFF8FwPYfXx3v6GwLkYOYKpT + i2t2q/amPFps17tjZu56RVsMdRrwtGI+TWMMdbeyLOq/pjveiyK7E1Wu3dm6//TId/xhmD5Iz529 + m7rVeQv3wbmYz93P/HNH8lynMp/TU8TYbqcSlvN5jBcyn9OFOx4n152JiIiIiIiIiIiIiIjOPbGp + SD3nbGYq6u43W0HaDXJ+QKhBIVCMkCIOIKtn82Ybe1wgVWDqFg2aHF7dmiZbWUMkqcN19LLyERfL + X6z+7EN//Dt//OU/WPnR7NG90ceSRvcChyvgdRwroG2DqfWT+La/jm9CPG37lkWydURQ6zZYWwQR + eu2w6sAIFRTgCFfTXi6z8vlnPvmnH/nCBz/9yve97f0/+pb3X0yPjpfH1vfmsj+OBSmZ17k2cO/X + q6ZrU6NHq+X2RBRzATYZrmkzmJt9JDYLh66Y+gP5dUGttymnFo4yFACaFaiOXsRMUNyK9blphtJn + tO9+9d/84sUvf371sSGtdS7j6D4gN+JiFV5dJbVNBayUYkVhCiSYu8CliFl1sW6eh6tr2QR7W009 + IC6e3KQkIO2mtz4I4syi9XqtimrRVRvufp6bPt1vsYhvZinLmUxlpZtzMaS6HoZZ3s/ejIMfdHsX + 9RU//d7//Fc++o/ns9W6FsCa1IxVbLBmb+bjGrLdRlhswYGEAdGCXMxl6UepU6uLL1199iu//cW3 + veZd7378fa99yRsP8yN55Z3N29SJubsZ4GImMIGJiohatW23c7HNqSkiAvOd/oRS3VHN4JrVBHDF + Lf50wLD5E5uMantuizMxF5Hd693dxBymKiLi5uLuJiqirjnnUoq7Q0TUzQzqqg0s1WoANAGC4uuq + o7XDmFZf/tbnPvmVj33lO59/1r877q1r11cFqo5LZLGUYKiSqzsGAK5iaH0TaA1YVVQgOWA+tANU + Gkvteu8n3vlTr2pe3y32tMTO0auPDogkFDvX3eseQLWaCuLsSxHE5tTdTzeenG2ViIiIiIiIiIiI + HhwmAGAVukmrdsSB9dFqaxjHUbWJlUWoKe75qrrAFK6uEWs9ZWj75qdAHEEVONRgZy7PlugB4O5t + 20Z7jq7rYvU9empEUXc0noibTTnWL1w8yxRIfGZrv6d+IrXWvu/n8/lqtTomFvq01FrjLYsGK7XW + nHPf9yISbQjMLKUUjVrW6/WtHuf/Z+/evyTLqvvAf/c+59wbkZFZj37zkBAIkFoCDAKBhABhns0Y + DXhha9keL9nzWPPL/CezZs1aM7PmhxnP2B7NGmvGki1bBoEwsrBoAxIPCSFE07zU0I+iH1WVlRkR + 995zzt7zw75xM6u7q6iEysrqqv1ZuaKiIqMizr0ReaPynrP3d8rwnjKwa60Wbn2s47d3oD2vhVhP + eefDMEyZ3HbLlGxNRF3XWQq7/atjHaRzzjnnnHMvWJsTQJanuzkbA2aohsi5ABHo+q7rUkM6HOFX + HiXEEEAagZSHsxcuohuw2sfsNCiAD9bO3fi1kM933uvZy0/tL0SM3X1KaSGCfg9xQfMIYLVcL+Zz + ESiEoUgRWZD8V49bF6OPsQ8spZ+zLuboOgRopAQd49FBGNc9P89b7OBtXgWx5q3VEtIDtUiKBNyo + NpTTemq78qxnta0AQY8+HAZLySAMIk27BebVeh9bC8xatMkOL7ZgmiF0omtnnXPOXS962TUhCuCI + 1F5q2socVA9N+103BLCCIpcqkguBay25ckyMIGOVqnPOOeecc84555xzzjnnnHPOuVsXM/d9bzVu + wzBYGZGITCnRAFR1sVgsl0uLhbaaI6uAmwKn9/b2dnZ2cs7MfC2BskcdZK01hMDMFkp99WRWS7C2 + Jph9389ms67rcs5TxPVUyVVKmc1m13e0zjnnnHPOOeecc84555xzzjnn3C3puNulvgCRJYASFAQe + u7kylA93mxGyDuZCHNrU9KvlLDW17zI0zFjayov+3//5x//jQ7//xPo70q401aXmK/TjFRq7oF/D + 4PTg8vKHuOyvSqiEygVacuyDdOc0/96fnPvcVz735le/9c33/8qdW/deXK5TalibFNJQM6SENgmq + ZF3M5kMthzKtxyfV429WsNkO3mzUmKLdS0kpBYiiRmJoOwtbv/Rzf/Ohz/9ZmrfL3IeAEAESEZWD + nkGbuO1N1yMiCQpSJrXs1mKbxpaXSUUIFmUdLJb7uDf45mPLeE56FM6dAGECkarWWqXWmMOZrbvv + f8kb77/njX/11BebZp9bDDm3s8X+3jqJYjoakx0hAUAJUAGgxEoFAtAgjBI7bob/9N1PfuV7f3r/ + S1/35le9/VUv+vnTODt0MWgkcOJIIbBSqaVCE4cUouRSS2HmlCKAYRj6vm/blnRsfa8UiIibGFhL + KYAwIIcux5QNhVgy9uYSELbPnef+uI//MRg//oiUOUTiokVUtKqq8th2noWk61bMHEJAGKPcpVQl + iJamiYPm/boMWwgLObf76Lce+frnv/qZC8OTF/onV7Sr86ozrYRSEJUBBg0E6NR/bXPEZhuSivDY + BS4GMHNfJCLQMv303T/7zte9h5dNFDvCQwnCRQGAgefteuduXjfnZ5HHWjvnnHPOOeecc845dzup + AKMSZDo5yAA4UACHnZ3T/cVdIAgkBsTgZ6Gdc1DVnHPTNH3fM7MlBFuKsM17MDMzl1KmXOHr9bzW + g6PrurZtb9plQCJinUFstOv1+vrGe18v1vRkina2fOi2be1G2wTr22KZ0Fea1LLNtDdACGG5XN6Y + l8YyrW0rLOLaUrpzzqdOnbL3nu15++60FSEEazRzOMPbOeecc845dzkGxBapVwAEjiCg1BJDhCIF + aAUonj19R9599EgLzoSwLjUmtAE7El627D7zwANv//IfD4gMEMEaNAYgQAgEXK1j43WgDACECoad + H5uSrEkAmX5nIHCwX4xqwHJAJ//br7z9royPfPR38SIKSIAsFlFENDJD1/163m5n1uYm/f3VXQ/M + FxOWW+nFOt/f293OaBNypkFLQgBVYFzBzIok4xVSMCFWDqIAgmyWC6eIBLAgaQ2IALIiHu8byDLr + w3RND3+DQVA7JcwAo/BRk62FUrvM/XbipNr3qzY0iXnZZcvJBuynDzqenfafFuecc0fDChYwEBQM + 5F7almpRAdJsFuexzz1KPulhOuecc84555xzzjnnnHPOOeecO16llBCCFUaJiNUQWcmbxVdbbZeV + Pk21b1ZtNAxDCGGKwd7Z2bE+mPbIwzBcx+Ijq3KyyiYRWSwWV29DmHM+nGw9lUf1fW+XbdtadVWM + UVVv2oI755xzzjnnnHPOOeecc84555xz7ibhc+vPxWr9phgaABECFEoFBAFAFVRAlRUCqcQVQ+7X + 8xil67a37ihBH91/DIvV7376n/3VD774xP6jaBF4Kw+Doudw1H41Rx+/8CYNGkoAoQQR6vouN2ku + Vf7gq0998Vt/+qbXvOUN97/xjnD3bLmTh1Qb5RC4igySBDWDSSqjEkAgiQwQ8mZlB199DJuY1x/F + lf6trWaBopQSYqxVmenVr/yZu/7qnjUuSd83O5GoiKoQiKCXN463SFTvJf9DjUG5m1daVb0JkrtN + CBiiglBRU+IAybVyTnfNXvKBN33k3MceXePc/rAvCRe75fbpneVy3QYmjSCByuVHGFGSqaGfgFlF + CEp92NG1dF94/AdffuSP7t156Rte/UtveMUv/sSZV87KNoY5hhAktCEggKG5W0fiFIOq1r4TQoph + Nt+utQqJqopIVVFVCFgoEjNAYIZMl9OYwvMszTscX33o1s1xQFVVRSFAtfWHEQmsIQZiFZFaa5Ua + WiaiqqKlqGoAxdAoa0i0n3dz0w1t9+1nvvH5P3nwoce+eknP97RfaNAtCQGJE1cOWVkqEioX4HDn + t4NAa4KMH6AK0qgsGUJVWoq8nu/Uez78q//FrGw1iARREqUgxEqDkE6N79wLyM0Yau2x1s4555xz + zjnnnHPO3UYUFkuiAQIIoAQCA6JSUXV31VOcN01TSye1L/m4U1Sccy8AzNw0zRSBbPnBNs0GIITA + zDlnIiqlWOOM6/K8h+OT7Zb1ej2fz6/Lg19HRGR5yQCu4+Zfd1PYc4wRgMWT930/m82myGfbCuvJ + cpXHsSu24RYaXWtNKR3r+A83hbFNsFztlFLf9/P5fL1eD8Ng+dxTPxpmHobB3qJTfxnnnHPOOefc + YTSuoGcAijFuNgAEUIi2aLR0OTYJHM498YNXxqClHukpAoMItULqcIpwankJ/dBoLdRYinbAWNEA + 2QTdHt8pKRqTrcdn0PHpFAIIAVArV2CFkAJgUAQ1uLT72guX5hd3P//Od/7SZz6FvAVSzLY4Ua4c + AuapFUWIyVep38qYP/DJT3z9l3+pubB7VwAALSAFB0atoPG3aVsoGgQAlKAEUg4gqI456goAZW91 + z+IU1gOkZiAKQrhR7x05NFBDbOOXH1ZUc3VD7rfbVCXbqYV1zTk1XWzGn2sdn5YAgKHiPy3OOeeu + nRXRBAWgrCBoE9H1Oms4pNnu8tLA860z2yDyUkvnnHPOOeecc84555xzzjnnnLu1WW1RrZWZrbUk + EVnllN1uFUZT17+pVMqqjWqtAIjIKtfatlXVWmuM8TpmWk/jtOdt29YGHEK4SgGafcs2xNK7a63W + oNPGaRulqpZv7ZxzzjnnnHPOOeecc84555xzzrlrQUSXN1u5rRGsL7l1nWKQCBgoSgoq1ohJCNaW + ikjms4YzNPC6LtfU7TU/+J3f/7+++uRn8tYynCIlrkMNxBy5qtDxhiszNAIgFGHBOE5IQNpRrXlv + uJDCatXvPfK5b375e5/71df/zTfc85YZnwJF0sjQGAIxEVDHXGiLZRUoWO0BTyYYNMZYaxVVKEEo + aChdaU/PXvvq15/7q+9HJRIALCIcSRiiCAolmd7ZpGBv5nMNNlm2Jz0O5248jcSsWhSF20BF6lq5 + zu6/+/Xvfd0HP/bn/8/Wqe5CKaHBkPcjhzFx2eKrIawAjUddKOxgbw3WBJEhSlUBTQgzSC1PDN95 + 6qtPfPYvPn3v1k++/uW/+Dd++hfu3r4vyazRqEVLJ1vNDoQqBAROgVSrSu5W9hQUmJgijWvwSIEq + BIAuuwTA+nxt03TTYfFyQhBRAMyBxihstsdXJZEqGWWoYGJm5YioWQoxQFJ4IIJEArpe1nv5/MX+ + /EPf+vqXv/WFxy49UrdLXayX9RLPoBARaIFKDsKRUwqpp+7Q8JiUabPC0TbEjuesLGAo+ixnT7X5 + vLSrxQd/5ddf2ry87bZCUaAqs2hS2MpI2TQ+/LHax7kb7Ob8HPJYa+ecc84555xzzjnnbjvPmV7k + yAExyPZid/fivOtZ8labZMiewuOcExFmthDrWqs1vLBmH3ZLrbVpmmEYYozXMdR5ys8GkHNOKd2E + mdbGmp5Mec/DMNjOualYG5QYY9/3bdtavvXp06enO1w9zXpi0d2qaqHj9pawt8exapom52xvRYus + nkK4AazXa7tb3/fYLBCxvi02Novxzjlf3+YyzjnnnHPO3Ro2v8DwphxABPa/fFZUBgIzFFCcOXs3 + 9i5q6a49KpAVsxTWQ23aOfpOeNiSFZY9ljUu0BMqAEiAQHizOv76bt9zEABM3RyVkCEKaQBYvDVD + ION9lMHApeV/ePs7fvbCk4s5719cPvz6t52bt+948BPYyTiV0mwGrQQOxF2fZ2065g1wJ4cYMS1j + 89KdgmUPHWPQA3HlojSuCRZisIVujiuwWS2w2k6bCIBacd98Sx67+Mfv/dA7vvYFBZghfeXmRnUa + nX7cxmR3AGyrsBmAMJTo6EteGVApRSACBdKpnQtVVk0LVkCslilMA1AG2M9AO+ec+5FpxSwhq6yW + q1mYbTdNWq6Ri31CO+ecc84555xzzjnnnHPOOeecu7WFECz4GYcq4EQkpdT3vRUWWdWb3d++a/ec + HiGEICJTIdL1zYq2mjiL3BYRe4qrFHBZSvc0VCKyTGtsSqjsW9dxhM4555xzzjnnnHPOOeecc845 + 55y73WyaRzFUlRiAEJQKlJUEVAGBMkAAEyRxqN2QCzeL5sn1o092j/zLB//pN3e/RmcxaNVKQGUM + gVQVqrghHXkZytAx0FQJAHoRqf18zlnzalXb+fyR7qF/8vGvve6lf/rAm37tp0//rO7xqXQHMe33 + q9lsrtUiRQWwrT7IQKXnTUiF3UWe9/pRPbevDyPkIYcmpRBKKU3T1lrLwK991S98+iufatJMSwZA + tGkgTKoEIeZNNzAGRD3U9IfzUGt3u2JwYCbJuc85pMohtmhbnXdP4533f+CRJ7/x5R/8cXO6SIPV + Rd3eImTZdCHjTZdCGTOuCbCjptpBmCsAHkOWSwZr4BgL1115ei2XHnv4oU9941/dPb/31S+6/zU/ + 9bqfuOtlO4s7VvscaQYAyipKREzEsWX7Od2kWwMQEZIaOOGg/yED4IPh4dkN08YPsvEzAuOwFQq2 + 46eqyOGjgUQmCoghAlGFVUkqiQo1bYdVpk6aXpt+d/3Udx/99l+f+8Y3Hv/Li93Tq7zilsJp6tH3 + 3bqSarfZP0Ah1CgD+mBHfh3HRmrxwcKbTOtxHIe2Yj5HXpfUnXr9S9/yxpe97XS+R5dle7E9DJ1Q + qRQ2W8ebbnGCK39+uZvNzflZ5LHWzjnnnHPOOeecc87dPhgkIDAQME0xEqAQheqj/eqlZ3ba3SWL + QAMH8q7vzrkpGHjqnaGqMcapVUetdRgGZrak5Cnd+cdkrTdUteu62WwGIOccY7zZEqMt4NmGulgs + Sil9319LPvSJEJG2ba3Tiu1JS4nGZofbK3iVGS1LLp820P6t9Vg57pEz8/Suow0AIQSL656GZOOf + zWbL5dLub+8iz7R2zjnnnHPuh2FGsUxrAgQSwCpCKaBkiJyv+fww7DDiNf/SQwoZagJqvw6xYR70 + 0oWP/doH/9anPo2mRcOMMp6kIqsTOK5tm6itPd1k+gpExvWfgOUSH4zeMoqBprlPh3vrarWrdzd4 + cR/u7vOfvf1d33jxPX/vjx8EE/qKxP26m81nx74B7gQxIab9FNarficgZwjQLk51y0uNlXfolGw9 + xlrbquspNl55fO+RoqxX92Hx5HJA34etrSoab1im9bg5AKEeSrgOACkCbPU4WEF6tJ/JGKCAVICw + tTN/dP/S+Z0z7/vkJ8EAqp2TDrAn4DFU2znnnPshxk9TJchBsZBAQQFVURSzhtvUXNjdvefOU+gr + 6omO1znnnHPOOeecc84555xzzjnn3PEbhqFpmhgjgL7v27YVEfurVT/VWonIqt4AxBiHYWjbttY6 + 1YJZhdRUixRCUNVaqz3Oj8/qraYganuWlJKN8Lksk7vWamMopYiIRXdbZZ8N1Yr4brYiO+ecc845 + 55xzzjnnnHPOOeecc+6mRUQ3YXDgSWEFoAJWFuBQFT8VGtstjXe09OjarRAqb8Wny7mn+fv/58f/ + p3P6zXgXLpUsDI6JFTUP9hiqBw12jokQALFOUWPbHIUSFJhvIQ8iBfNtEun3hnWzM3/o6a9853e+ + +Y773/WeX3ggxYgutIttGzsAgjAUKgALgRUnlQmqqtZ3l5m7bghBAwWWeN+pl5xt79ovu1kKgYSg + qiKgMKZ6Y7MTMCa7nsjwXzBs+c3NGSbq3HGruaQUiFPFUFSCShSOSJq3Zgjvf8uHv/8H33t0/XDX + 551tKkMOgH0WKNmRlkkBCAisEBqPPAc/TIIQEDjUSqpBGBqrImfqiiDi/H7/1KPf/M5nvvaHZ9u7 + 7ln85M//1BvvOvXie+++d2dxihC0aJAQOSETBFQDaWDVgBCJEVi1HgRrgHHwicU49A0ApKQ0Hc9l + ajKo1oWdSbUKqWpVAhGIiEgLetUqyhWEQAisTCXKKl9a6qWnd8996/Gvf+uxh566+GjWTuf9Pl2o + W50dVWpVCGIKW03T5U4BIiipEAQoilIR7YNHmdRyuAU0dvK3DyABxhaPVAigSlg19zQv/c/f/uun + yh2NzNsmDuu1BK6s0GoHflJ5Tqa3ewGwzyEi3FSfRx5r7ZxzzjnnnHPOOefcbYPGqJI4ZodsTjUr + AYQmfuTBT3/uLW/++bbZ4lD7fQUC+zykc7e7lNLUj8P6fVhTD/vudN1mQa5jnLO13iCi+XxugdY3 + Z7sN6yRiQdHL5RIAM1uU8kkP7dns5bPr0560l2w2m3Vdx8zWFeUq7VFijOv1GoBto8WZH3emtZnS + qa19jG2LBV3XWqfh2duGiJbLZQjB7jCbzW5A9rZzzjnnnHMvVJvVbAREwhg3qwiIOXcptiAgBTRh + fXZnL3ftanXtsdYmAMSMmvuCn7zr1NNPPI69Czi9HZoYoVCAgtJ4xupYf/GzsgmmzYJ8hm6WohIA + YtDBLUogCAZFd4nLrlRNjMTohv1tlp+4sHoiF1SpYG4TAe1shlIRgq/sv2URQxjbO6tnnt7P2J6F + 3CnWfQOQgglQKFhA0xpfAUAcbC0xTQnqiIG4MoCSlyCJqERBFHzMVS0H700GiAtQAAGCrSUVAGAB + Klus9VHVikA6j7Qqutxfz+48u5sWaFsQQccDBwlAYzQpeyGMc865a1YJQiLEpOOcpwJ9RWzBwO7y + 0l2z7bDff/JX3/e+bzx00oN1zjnnnHPOOeecc84555xzzjl3vKywqNYqIm3b7u3t7ezsWA1R3/dW + 2GUFRyJi+dYxxr7vsSlHsrRpa1LLzCLS972VsF2vQZZS5vP59IwWvH2loi2Tc2ZmG9swDLQx1VVZ + 9LXd00ulnHPOOeecc84555xzzjnnnHPOuSshOtZs5Re0zY6xvM9Ne1rrjoODduUMJVYEntXYL9sL + 33r6K//09/+X1fzJEvOqgBhBGQWlZgWaOQKj74+7mYxYprU1kCJFUFjANTOGPYSAJlLtFKpNZK01 + U5/Opk8+/G//4tEvfuBNH3rjy9/W5FnQBgCoKFVRBGFrIFTH0NbL8OaJAVgWqVr7Krs8Ygy2HNpB + m/Y+toiFYmy0KEViZVSAwAixzF9296sef+yRoqxaLYWbaNxq6+GDcWyAv+2vweFQa1X1BkjuNsEq + VVUqIoUY2qJFRKoMWuqi2er6+pLFqx5489/57c//ppTHGtUqGQwlgYoQM1gApoMjoiVbAyAVhZCi + ASGrqBAFYVKFKpSoaYJqASHHmsuqp72ncOnR4ckvfeWLSdM8bd11+u4X3/OSn7znZfecetFOc+ru + xT2NzhqZc4mUA2qAMhFXIqXLEpwPH3/lcH81ZSEBVRvqmGZN45VcM5EiMAcQkaIWVUUhzsq5UOll + tduff+Lpxx595ntP7p0798zju92FvX6vUA6J0ZCIdHkp84oAImVFCBSEUKjf7wJHIQGEGMpgQmIg + gCx+eoy1FlDBpiudAiJRLdMaBSSsmMsO9dt/+33/8O7mJYvVYgvtuus1BCVVqkAGiKQBCZA3neTc + C4Y+X5z1iadce6y1c84555xzzjnnnHO3EzuvrofOrwuDZIzridTtzNer5XbfESg0ScvVKuSdc7cD + 63Bh6cXW70NEeBOyJCKqSkRT/47r9bylFAD24FOi9vV68Oso5xxCEBFL+LZdcRNmWtdabQfaLp1i + rae2JtN9cs5XaY9i/VBwKL07xmiPeazjZ+ZhGCzTOmxgE8s9dZOxTGtbIGKvSynFuslYVre3a3HO + Oeecc+6ajCvokdIMgGoBQDG+/6O/9xdve8fpnlHqNT6SEgBUQhDJwCyEvfN7L5m1f/iB97/7C1+K + TQQJmBWo43Mee8ztodV6Ys8YwDwmXo/r/CMASAWCVCz7//eB97+1rBWYzZE7zFvq+9XpmO6LATkr + kBUNESA45kxid8KIsbV4qis/sz2bazf0lTgUKZFISUnBCgEdfgezlcIcWv1spSCqSlRFhp0QsOyb + edksPT5+VklBKEDd/OhhGuGzT+0cbX0rA1qRVbdmadnlvW64sH0HZi3CZtN0fEghVPhPjHPOuaNR + ghAYYhUYRdDOsbvC6TmdbtquW55pt86Cn/Nx5pxzzjnnnHPOOeecc84555xz7lYjIpYSHULIOe/s + 7ABQ1a7rrLZIRKzgyG5vmmYYBgBt2/Z9b3VG9lD2LauMs0qx6zXIpmms8+A0mKn06Xnvb3VeVlVn + NVxWIaWqltgNIIQwDENKyYuknHPOOeecc84555xzzjnnnHPOOXd0MiZoEoR4bMGkwNgHxkKdScGw + tjmkFTmn/S9+58F/96V/uTt/ch1XcQatCIoqErimeVPK0PdKhHD8AcHKxa6Mw96swmCheUQtqlUD + s0JrBjMkDBfzU+2Z5qn82G99+p//5Te++p7XffBV9/0chsBKpAywEkFZiG0/PDfZ+gawlTCr1YqZ + m6axpSyJZrlbv+yel3/+kRgQOuktUjYEqmNfoMvaK5zIyF+IrrR6x7lb27xJw1Cy1hhjQFDiEJWq + dnmlFMJ68YaXv+2Z/Wc+8YV/1eszzQx10+lFIRUMcB2PM2Ktw+wILACNrdeoVFXWEAnQoVRAYkj9 + eggBBPRDKSi0gLAOso6BWHhfw/n9x7958avNQ22j8yTNmeb0HVv33Hf2RXefufeOrTt3Fmd2tnZm + zSKl+diyELBWhZuDHgNg5ctvEaUy/V3I8q1FSJSUiJSk1rzqu/39/b3lpdWwfObCufO7T547//iF + /acGrKTpS1wP1GsqOVYJQIKCiwrAMVJhFAEqmKGquShDm6apVSNFQASgWpUgImBbN2iZ1mNznE3S + NtT6wikpQGBWxBrDcvarr33gZ+59TZsXDWLXdZQCpTBQUYCokEZWggZwEfJ2bu468Fhr55xzzjnn + nHPOOeduI3YGnUigm1PMBCiDARCaZs1BAjERwMj1eEOEnHMvBBYJbNnSdktK6Vnx1VN/jeMYgD34 + 9Ow3J1W1biaqGmM8nPx9400RwtqFjwAAIABJREFU4H3ft21rWc5TCDRv2IKVUor1Opn+rV2x6Gt7 + Te1uuHzVhfVJma5f30043DvGeq9YqnrXddaBZXpGG5K1mLHR2i3MbA/S9/0UvH0Txo0755xzzjl3 + U9sk8RKziqBmtPNLIeSj/L4jhMpQgBVJWSvmxHflYW/3PHbPg4HtRSFUQKAN6LgzrTE9/mYjAuyU + GQ+qylCgKiKh9oXbiGHAhd1XP3Nhq68pAQW1gkjRopOcMYADV4SQFAISkNKYze1uRYGR4nI+z/uR + K3pFixASl9xZRY1V5CjYCnhYEcSWCotYsY6iMpRQCTJgzrpYd7/z1vf8nc9/HmcjbkSXUQEYNAZa + 6yZLPgJUKoTADAWCIGhNrEf8gSRCVRCALHPwIOGpkMZYaxqfDvqspG/nnHO3kOt9rtiwktB0fbqK + QKgZi4RSKioasPRDFIEeebai1srMRFRKsfmI6TS1nWkHYN862fP/7hZgExZ2OfXZP+lBoZRik0eH + h3fSg3Luimw2sGmavu/tFpv6nBIg7Lodxv397JxzzjnnnHPOOeecc87dqmyGy65PAc9EZOVd9q0p + 1hqb4qOUUt/3drdpcsG+ZbVUqjrNL0wzyNOE2pUGM00l2zyFPc7h+1su9XTdRmK52hazPd1SSrGg + 7sPp14cr+wBY4dgNYNs1bdS0Q66kaZpSiohYGjc2ed43ZrTOOeecc84555xzzjnnnHPOOefcYTom + Q7KVHDITPMfWkNXj86FLYWWCBFCtysy5ajNrcq2drml7+O7Fh3/3wf/7Sfo+3Y2hhwqYoQWRAa0y + VCUOTIDocScr03g5Jn+KdbNhACQMIFqu59iEHQLpdZhvpzqUQlpOyZ+c+/T3dx9+8yve+v43fih1 + W3OcYW6GKiCKCKRKpIpqKz0iJyLSCiJiElweIn14P147fp79IwCIuNbatq2IkEKrEECVA8/u2rlv + HmerfD42JKwAlarYrMiw0NnLB+WuSEQ2K4igCiLyhOtrNO0r67wRQ1Ctx98xzl03BGiWRCwE1QqA + IBVAqAjMTaur1K7OvOvnP/jkE+f+8gf/aZmf4rbs9lhsowqvutI2s9z3TdNQBVAOXnyyrmLoCEgA + oSJDwQFBGAWtzlAIAJMSl4KiqETWc7Cq1sKFIzrtBtkn5V39wffX3/6LFfOjTMpQImXWuEg7bdra + 3lpsb29vbW2llBJHAPN2C0AIIcaYONmaN5GSSy9Ss9Raa9WSc+5LP5R+d293vV7vr/f7fi1QIhWC + UClhEKpg4R0BFFRAZTzAMqyFWiFRhpCwgAsaMCAQKIAAgXbomW1bmQWESAKAlVBpbEwHksOHa2Lk + ApISY6iDNBwCNbxq/saL3/rAGz98Ru8OOTJj4Bqb1EkGMaCkElRYFaCqkY/ebMedLBGxTyIAqmCG + CE78I+nkO+A455xzzjnnnHPOOeduGDp8zc5OMg7m1CkKAiAgPfFzl865m4R14rB0YWs/kVI63Bfj + WFn/jqnnxU0YFzFlP1vws+2iExykqloHkBhj27a1VoshZ+b1ej2fz7e3tw93V7nK49hD1Vrt0awx + yg0IV9jb29vZ2QkhTI1LiMj6y8xms8PvwKmBy3NNIesWN/LcdjDOOeecc865A2PSLJQw1l7weKMK + KKDkHGNA06JoXWzLpeWP+kwExKAyr/nOfvm5B/7WL3/0YyDC6R1BqVUQZiqg4/+fuwCBBGoLYRnK + IDCT2HYTAIQYMQzo+gff+55XLZd3ttRd0lmwiGIug6Q2qCpk/O24ggJAvsb61kaEWfOPP/GJh17/ + Bkpbsa5CE5b9un2+N+1UQMIQUQACZYaQQgnMFFrNuTZJFut95DVKkgoOxxltPQ7pIFKagAgEIFSA + gq2crowggoCu9nLEd/SgaLba0PVdrTOebW2f/a///acQE+KhghoCyEOtnXPOHQ0rhBAEVp9z8Dmr + VqZDIAGIUflHKrO0c9GqGmO0QGtmDiEMw9C2rd3imdbuupjide3tZO+oE5+bn6bhbHieAexucpaa + 0Pe9TWXWWu1Nq6p20J5iKmx69KTH65xzzjnnnHPOOeecc865G4qZ+763+bhhGGxmQUSmVGkAqrpY + LJbLcTGk1c3Z9dls1nUdNvVNOWdm/qHlVMxsD8LMVpN19SxnK4mKMZZS+r63J7Uiqe3t7QsXLlgy + dIzx8NhuPNuZ1i4552w1a1bz9bz3H4bBRns403oYhhuWw+2cc84555xzzjnnnHPOOeecc865ayBK + VpbP1nqKFQRQQUgxlyHOYhv54v6F+c4sbtVvXPz6b37if1/Pl7Ghi+vVbDt0y5oCiAAZe5wHkUrR + YjsJcrzhyptK6HH01jFLD/oAbBrmCIDKwhFZcykgCmmLJJTHlt988K/3v3fu27/2tl9/xV2vXe92 + s7QdEPtVbmJCHWLi1DRSIbWKEJ9YpxwGuJX5dnOaJDBIAQEITBBSPZwgrnTMgeK3kBOv7nfupIxH + CRaQbI4YWhkVhSul0FJW6Om//+5/9D/+f9/N/Sqn/e227u+h3dL5vFmuujvOnL148eIsJtHIWghQ + GnvCKKEeOj6zPc9BixhLXRaCBAVtPiWUoCTAuCLN+rNtLg8de5VJeb88w4XDJcKlzc1KECViVWUF + MzNHItIqgso05k0LQKwKVMlFy2xrXmspnDEXZSIigVZUDSrWG80+FhWs405Twvg4mzaNBLBGUlZA + SCyperwbASoMCJhVALZ/RWCM9ywguxsU6Ndot5DatNrvF2meV3Ur7bzkzCve/6YP36n3lUsSIMIq + sVZSJVECA6zMygRRMCkrMY7789ddVzfnh5HHWjvnnHPOOeecc845d9tQAAxIJSgkEMPOa9sUggKF + msoAhEWF+GY8pemcu9FseoOZLRiYiKZWFMf6vPZ01uRiPp8Pw4BNyvVNxfZPSqmUYo1OQgg5Z4th + PpHxWJeQvu+ZOaU0tW63pu3L5dI6pFjrkys9jrVHsd0OIOf8QzuqXBellJ2dHetXYu1jbJwxRiKy + LbLNtP18lYeyN6q9KLalUz6Ec84555xz7rkq4bJTQQQC1l2/vWhjTMgVEjDf3h2qHCV3mhUskHF5 + qK3VlErYGep9D3/rMx/44Nu/9FktwzySBlIojj8+bUzx1s0aVwAMBaIiZ1BEw1gOq0UIGAr21+25 + x+7kvFpqCyBEVE3aqHa1q/NTM4DBttafSBVSEbz94q0rMBrGYqsL891u9zS3ZRiYGQ10OFilTVPa + +abKhbXAfqoUSSCKWjQr0jw9PVzSe7aQVogLDnQ4c/pY6EFlTgAICLpZilwrEuVSpG0CFVBptua4 + uHeExyY0Tdpd9acDZhyr6GoQxITZTFkGcAPLtBYApAiAB8E755y7FlNJD+yT69AtgvH/pgpoUAAy + lQRdM+tmbieibR7EWBiqtQgvpYiIzQX80H7lzl2dzX+FEOzdNQzDtfTBPyb23rZsYBuSzQmeyGCc + u3aq2jSNTWXaFZtJ7PveZgZjjDnn+Xzux23nnHPOOeecc84555xz7rZioctt2wKwqiILmbZZMJue + AxBCsAKr6W5T6HXf9zZHvLOzY4nOdvvVs5ltSsJmn0VksVhcveGglZ5NydZWlWYT1hcvXpxquA4/ + 7HXaQ0fWtq1t+5RpfTgg/Flsc2wn2B6otXqmtXPOOeecc84555xzzjnnnHPOuRPkZbPPpYd2CW0u + WRFDM3Rla75dNC+H/cWds0v9hWdWj//bL/7Ww/tfO3XHvFZtFNrVNqACClRC2NT3By2VGigDw7GO + f+w2sFmaoQBIpixVoWIbeJAtCoBADVCqDD1DS6qP1+8/uffEtz75zXe/5kNv/7kHUmmoD4vFVs65 + Si05c2UCk1AIgYmvsl7i6ONnwGJcn+XwLbZWRFgBSqe2TgMgUhm7IGugqLppyauXvabu6uyYMK3t + UVVvgeRuF8qboOgC1LElIJGQauCh5HlYoEoTdmS1/i8/9N/984//r98+/5X2rkQtlutMsSy2ZnvL + S03TbFqsRajQJssZmwNvELBwkE3oMhUhUZq6sSHUMQk7h81ResOSmZme04iNBCSUBKpl8/NLRIRA + sOOjlDreCICgFRo0VOutyMRs36okst9fIgIYzFAmkIpAFAwEHZsxVrASE9ga21gzG1JhFIKMSd4Y + k7yVAPDmWGJR2hASxvjxRICCoQ0riAqwafkoEQym0oRmtRwaRhIk2Z73d//t9//jV556XdxPgfqm + iUPJWQWUxxxtJSBAAcpAgLakUPZM6xcYey8TQRU3Sci19+53zjnnnHPOOeecc+52ogBYIXLohorN + 3JlwEAZUSZREfELNOQdMLeynth193x93pjU2E/wWZjwlRl+9o8eJsDbopRTdKKWcVKY1AGZumkZE + 2rZNKVkTkOmSiKZYaOsScqXHscYo1gPF7jy9BMcqxjhFWQNIKW1vb7dtO5vNbLS1VusjY5EPVxq/ + vVGn922MMcbomdbOOeecc85dEWGTbCubL9SKxaJVAKpgRqkIaR2bcpT/WZOCFGFzKkoxnnFqK14W + ce9TT+L8MnWCUgla83ADykBkMxTIGPFrC2xRkAJaQoQsGsJqF8v1v37nu16xs8XrbsGILe0PhZsE + CoI0NPNVE8GhZjCQ+wwAV/49xd0ClFBjQpPON2175sWdqqpw5K4/dCp1k9n8rH8KQMGKQIqgaBMa + Rt8P957a2drfxbBC7qSWG7IZ458BCAAqUIG+IBBUYhsFFUyoouuOj3gmJvdlBoAZMe4jPA1FO1No + j1DtHLRCN7tjc80555y7JqQHX5tbiPWgQFAIlX+Ueks7dWxn+w+XJc/n86k5uJ1yt17nP952uNtd + ztmmOQCEEHLOTdOcYOZujLFpmpzzNCQiugHzgM79+KaJ45xz27aWjjAd0kXEpko909o555xzzjnn + nHPOOeecu61YJVqtdSqnwmbuoNY6DIPFVE8TDSLSNM0UIz1VhDGzqrZta0VVAK6ezTxNSdi/spqm + q9eX2aycPfhU5CUi06P1fW9XTnCeutZquwibjG0AIQS+AtscM01B9n1/UuN3zjnnnHPOOeecc845 + 55xzzjnn3JVMXWVYx245tepsNsu1roZ12uFL+elwdvjYZ//1lx/53JmfWnRY9nmYxyBrNAmsELAi + ikbotLZBQMfcP+c5zWqEAUBIhKSyCKMyKh/0HygCVcSIEDHkIlLjguIp5NPlwuzJf/Ol3/pnf/A/ + //XyL3FqvT9crOiarZYjUUCIjAARES3XvR/yNfdFYEjYnp9i5oN28aIM2vRe2Ox8S2cmz2j+4TYt + kE96HM7dcAooHU4+tr+QtQQnolpK2a9buvPirZd9+B1/7yU7r2z70/USn0o7LCy5EESRDz3IZWvb + glqmNVjZvlVJxkxrvuwARcoskYVZYV9BEATWn40UjE2UNcYvQLKUQWshqSyVpVDNNAw0VM6Vc425 + xiw8aMiSCqJoo5RUkkiohXKhnKlWrrEJ1BBFUiaBigIE5rGnDY+XTMqbxjYkRGpR2OBDn3pQOmh0 + Y7vXbrGvyhCGjJ9KAggO738FEEniYrHY2x0SsJMa2g/b+fRvfOC/feXZ19SLrGuaN3NiLiIUg6qS + 1qAgZZIIsJKA6ua18JY4LyQiN2MMub+HnHPOOeecc84555y7PcmhPxgQKCAc5aBZuydbO+cAWHhw + 13VN01hfj6nbvsOme8jh/iBEZFHKJ8Kmo6YEaOsJQkQWdA0g52z51nbjVR5qerktDNsuwzEjovl8 + HkKwFAdm7rrOhm3NXKaeMsxca73S4Kdv2VbXWnPOV7m/c84555xzzjDAm7NG0y86ogIltC1S07Wz + SkdfcqawnN/KUllt4WauckftHvzFd+DcEl3RUlIKN+5clALKAOsUa03QZcZQkNeoa2jGxd2X90P3 + 9JMVQNMMvSIga1nnrszmj263v/wHHwVJiqCMWZNAofjvHbc4rmCA3vVH/+HhkrvIHFBLiWFMawbG + RcmsBwuUAdQglbUSC6ItQS4DErDNTD/Yff1S/+Pb34da+cb8BNCh8pwpWLqNYGigHoWhWK4x6JmM + eKRlr8ohJSIqWc4P3e6prb2zpxATUiOIQLSl4QII2AtgnHPOHcXhyhk9VE/JpMzCtCn4UVKhI9cO + qqp14sahc+yqmnO2E+y1Vju9PAWmOvcjSynZLJJNMMUY1+v1Cda8qup6vbbu8zakYRiu3l7fuRNn + 79UpXkJV+763dIrpKM3Mdhg/yYE655xzzjnnnHPOOeecc+6EhBCsJAqAiEyh0Smlvu9DCBbVbFPA + wzBYjVLbthY1LSIxxmkmwh7t6s9o/5CIYoy1VnsKu/F52WS01egRkQ3A5g2n2cO2bUspJ1sMNe0E + 61sKoO97iwx/XrYtAIhIVe0lsNou55xzzjnnnHPOOeecc84555xz7sYjb2/yfOgg0HrMtAaghFIr + xbjXLcNWXNJy2Fp+9muf+rPvfDadDXv5UkXfzki0zlvUDnTQqCoqGkUEGFRA5bLMzuOggEIwfikg + hzJED+61uR4ZWqAFkRATNEIEeYAKaIb+7MUvPPOH/+TT/8MnH/7trnkmzdHXdaGqJNZCKEsutYZ0 + vUtW9aBPgkW3WjI3Dn8BpNCiMTQxMrFO20hCrMzKlmz9rG13V2HLWjzU2t2GdJMGrWAgKNF4PFEk + hLLOIrWZNUMddhZnygW+/95f+Mg7fyPunTlD9+RLugjzAA2kIJkO9UpQsDUxC4K2YFYQFKBSeRji + UIKUAKHLgqsV3IeYmYNwW+wLsXIUPPcrWNC1NZqJoAgEKEOJBKiKIuiKDIKsKMBAGEh76EDaQ3qg + QAu0EheQUkAIVcfjbFWIQJVAIYTEgiAIlW0wjUhbpK01So2SiXrlrnLJUYaIEiAkpCAVkCgXYbEI + 7sqobIMcG7xZVzrhIpvca7vZEkL6dTcLvOCtsFzs5Lv+wXv/q9fc/QbebVpqY4y51lXfUYhtmqlI + 0BCEWaGEuvkCTZ+K7gXj5vwk8g4dzjnnnHPOOeecc87dNghggEDAFEgbsAkQIQAMZVYhHJphds45 + YDabdV1XSgkh3JgJD+tbUUqxthc25U8335oga8lRa7UW/0Q0m81OMPZ7alZieeS11lKKjcqag1i7 + k2t5EXPOs9nM+r8TkTVD0WOGzUtvSSEAptd9auZiGdvTPn9eTdNMu8I6zlgm94+1c51zzjnnnLsl + 6cElAwESgARJQARIUasqEyJjWCPSP/rEx8tRQ6HULlRIhJRVWMFAatB061fu7f/R296JrpIECGTI + OOZfOsdQROVxg8HjubICmickBSmW+1h2f/ju9566ePGu+SIFzt1QgPk8lVoCwsBxf2cbswZTvUGF + AhQa9SV5t7QARjtDQ+dOtzi9NVSdt40US0mfzrQevIlp84YQEgErMQAlxAgKzFXv4Lh9aXXmmQtY + VfSCI8VI/6jGghxsIkEJKBkBAwmBIQVo0OGOXtMRe6KWXEkpJqat9tx2eOCT/wYp2iYFWFGQjL+c + T0/tnHPOHYUV54wFPJuAa1ZYxSYp+Oj/mbQz59Yl3AKt7caU0uHkVOs8fr03yN2ObNYjhLC/v09E + 8/n8BOe/pgHs7+/bO/wmnIxz7lls7ni9Xu/t7R2eZ+z7PufcdZ3dbUqqONHBOuecc84555xzzjnn + nHPuRhuGAUCMkYj6vieiab7ASpMs8drSrO12m1bo+75pGps+thIqC2m2OWVVtRnk52UlTsxsz2Xz + zlepe7LkbCuPsgxsi9+2Mrpaqw3V0rVPtnfhFFBtm28Vale6s+0lK+myvWcvh3POOeecc84555xz + zjnnnHPOOXdSiMiLZ5+D6fJMZQu3bppm2a3SfCYzdLR/bvnY733mt3VnrWEYci+s1FBfIYAqAoF0 + 7F8uBAELibU9vwHjV2K73DTz2SwC0bH/wJifqgiChsYYVlUUoCiyqhLKgPU6a9R0lh9dP/x7f/ov + Pvrnv/XI+qGSupA4S+2GtZLEFIivWwoybfpf8eavP5QKCJjNGyEBwAyodUWwx/BuVz8KL0B2t6fK + pZIIsWgj2ggiA0GRlKIAUph56/TO0089c/f2vXqeXn3n6/7Bf/bfpG77bHNXf6kPgsDjkVZp+iFi + IVZADh3RhMb+MDrdqOOXgiuxEoQPfdcOZXpwQHtWPoY1kyn14KsqlMCRYxM4EkcKiTkyR6bAFIgC + q30kBEVQZRkvmQSqqioEZUIgBAhpASlPYyAVUiEUQmEMoEI6JnkfbM1BwrdYx5vDX9BxX0Eh4/4R + WI853bSpo0I0sGjSNqzntLf4yLt+4/Uvewue4VMyj0zK0tcqyoGT1IoeM0pBmQBAhKSy7W0F+WHt + BeZkl4Zeif+vwjnnnHPOOeecc86524UCBShAsDRrBel4HbBZTS4MbM7Re7K1cw6bhhq11tlsNt04 + BQYfH5tWYWZmXq/XthLoJpz1L6VYi5Ccc4xRRM6fP3+CyRYpJesAYo0/LMTaWoeIiLURsX1r3U+u + 9DhEtFgsrO27xXj0fX8Dxm/BIfZaT7kOqmqdYixcIedsGzIFXT+XNZexFvZt29qG3ID3rXPOOeec + cy9INF5svmRcnVgAQQjU11JIcXob84gGR461hkUPSuVqa/3tnFPXY9GkU2X/dH8BPZAjMjMf7+9T + tInrBgPMBaxAA0QFGuSygvbIawz4zbe988XDcKbPeb1W4YSYCLnLbUQzmy27/mLOaBowQAJWBFTw + FX9LcbcEUnBfIYyz20+cmT1VO2Lk9TCLDNCUbE0AQacV1aQICgaEqFAQghAKsM5SVKE1Nrinbf7F + W9+LZwYc93vIBgfUzZflu2OWMrRDAbSlgKyfeuu7z+zV9ii/SRPQhJRiO2TZD/LdpuJ0i9KxIgIR + gAhEoQjgChQ67hR755xztxolCCEz+oA+IAcIkYKsjKhRSoqkP0qhq509tjPqzDydWt/Z2SGi2Wxm + N96Y8+Tu1lZKSSlZ9/zt7W1sGruf4Hhs2mh7e9va+k+B7s7dzGqtMcb5fD69h4dhaJqmlDKbzWqt + Fvlgc6YnPVjnnHPOOeecc84555xzzt1QTdMAsGTotm339vYsPbptWwAhhCko2sKkF4uFTRmnlIZh + qLWKiKVZA7C5hr7vLYj6Sk9q84D2+NikVl+l7gmbjG17/GEYpibaOWebs7a7WQnV9dgxPwobGDNb + 3ZzNJOpV2d62MauqvRzOOeecc84555xzzjnnnHPOOeecu0lsUp8Zz249LkKVY+CWLnV7XVj/u0// + 7ipdrGmZl+tFIo5Y11wiOkFKzBVRELQARakIF7lRBZ1KsMxQjPmjTDIGdQfhUDkVToXbwm3mtjAX + aYiYQhFUQVGAERvaWbQtuFwEd2lnZ+dSfOrjj/zW//Hgf/+dp75ea2lmSZlCCNZq+OrrQI6+DYei + Ww+u6fSSKImSYMyxZmsKrQoQiBCIbHvp0OOId/K5Zjdnkqhzx01IJGRhVQRoq0jQACCo6qqcnZ9i + hP1uWVFT2+w/felMvGNe7viZF73h7z7wD2e6fcf22byuUAQ78IwhzRCMxzQhDIw+cEWERJL4/7N3 + 50+WXNed2L/n3Hsz8y1V1d1obARIigtIDBeQhEAKEECCO0SQHlLiUCPZEmVrFDNj/zT27475C2xH + OMJ22OFQhD0R45iRqaCojRIwJEEQJMVFEskZcxF3Els3Gl3d9bbMvPcc/3Azs171RnQD3VXdOJ+o + 6H71ltxevsxXN+89X06ekydhKBIhMiIjsfQlDmNiaVz3E/MPI1H3k6Oghx8oQgi5DjwzExzAIsg/ + qpRvpKQpqQhEhDgRJyLt8qQlaUqaomM4guPuhyDQBIm6m8YtyUlyEr1EL4l3I7pZOK8XCwMQFiUh + gBQ+wSf2/WnIJ3aym5OtBO2SvFngBR4QkDAkeJQY0WrjnzzwB2+49Vf0RLipuq6MiO1OSzXY+TBS + VWljicK13ifnhEFJOCU4gQMiqM3vhrnq7F8X0XOw8hzGGGOMMcYYY4wxxryI9I3Ku23ZUOxeciRJ + LNKnrZD2+daXUc56aUENVLvmfe0jVdaWjaw105j9k9MacnHwHA98xWKbc32Q3IcDfamOgyaX2wCQ + k5jX87+vvLZtc7mQGGMu/JFrqeRNt169PYdDX2BS8/kcQK7/PlRjudxEJCdzo3+7U0o5Wz1XjVku + l8OdF5hOCCHHsecY7/ymHMz9xxhjjDHGmAOCu7YXhjKEoV2H9xil8IVAa4kIDlV5fFQ+W5UNu26E + wpltNnzOVpzc4sSK3BVegLJCvWzHlA4vl59457txeoGTx5BqaASkaxc642fvJPf+dI8Peb3dK/oX + 6vBMQV47pdxWJl1nfkIoA5SwbHBi+dqaNhanR6jHPiRNSiAHIqhisTrFk+r0dAOjUZ8KrpISAL93 + 3c+x1GeuziX/qdVNQgj9CATpJ8zXTNfS3JE39+jl4Q1ee7uv/JqSc2BCVf7eX316xVRVgQEkUdLE + 0sdonrlU3ZgRUlDqdksgeHj2UTUmYLl4lQhWDaLu3W/R/9ftwOf+NDx3CihTv+cp+jR7jQwtEaJG + CKFNN4WwKeJEzpkNOvSxXr+tJG1qYmoYSOXon37+s3DAxkYaDhMEgLojzHDH2vqc73Ovw6Je2kpT + bnrOEeMC3fN5GeZykHr2GmPM1YTWb1E+5AuQWAElgUsM4e7kuH4GuWS75yBA+2GZ+ZzCwtQtQPeF + YfeEkv+T3ZPs+ik1X/VQ1dyMnC9JEFG+JpLbmQHkKufGPB/e+1y6Pe9U+bLOBcrfX4HlIaI8jnpY + sH1cHmOeo3y4HnbXlFK+MJqvJzrnRCTGOFxlNsYYY4wxxhhjjDHGGGPMi0e+TOCcCyG0bbuxsQFA + VfP1X1VNKQ0j44hoPp+vj5kacqmHy2fMXJblhS865OFX+YV5CjHGCwzFylcJ87i51Wo1XOMgovxy + 731KaRgY9fw3y6UpimJ85cJ7AAAgAElEQVQ98ztfmrnA8uStlJ82bIG8ZYwxxhhjjDHGGGOMMcYY + Y4wxxhhzoDhxAAtxoq4AU2xa57SOSx6nL33n0R+d+u7SzVs0G5tuOVPvERVwKCrH5GIEa64lE9EH + MGcsfVEq6n76lFBW4t3Q0CGWui+Fw8qszOqdFE4KlyqXqpAqJ0VIhZMiJO/EkzDrWtSpgiHc11En + 7aZD4vMPWvJwqqQKF8iXHAnLRmeLmpQ3qgklni1m0TfLUf392Tf/8NP/y1d/+oXTfCKGVY26jVHA + ZVnmoNMucBoAmNWz+r7cFq/99PKW6TeOUF+ti87VEeU85Rgck6oGX+aqOaQgUnRLAgBiVdsvniVb + mxcz0vXbTIoyhJ2dHdVUluWyWTLzZDRFw0VTjJrJG2698wNv+3Axm2xiWkWmvjtYYkndsb2bbCKf + +l8ZwhBGPjgzAF0Ljc7Hxnwcu9AP9hSIiTGKiApleTFyQfjdNeoxQ0Sz/qEhP1hUVSSJJBJlhcPa + BEmEck0bYG/pM1JGd6piVgZ1ZzBWOAUpO2HKD/WTGurR5ehrykXPiLutAzgFz4uwM/rwvb/15lvv + 3pQbDoXr2kUDShyYGVCGUGxbz66qiqZp+okDwDCva6bw4IvHwTwTWbkZY4wxxhhjjDHGGHPtOJht + cAcHAaG7yd3vA81hRQ0oAXyFrkYqt5qKwhMtkWaQo1g28AWApgAAn4vLe3SZL5YrYsw+ads2hwQ7 + 53I88JVJOM5hxsPtpmlyOfIDJZc4AVAURQ6KzhXS93F5crGPoQLIxsbGsBmHCim/cDrDKTVfqryS + Bd/z9gSQ654Mcx9+PePRYW/MlevzOubSLSEE9BnYOeo732OMMcYYY4zZRV3Gc/9nXgAJeLdlKBAr + 4ABwAQiK8u1f+OwX777njlrLnflC2oo9O8RWHAAwELocwb6T/FoHVh4CBxXSRFCAaDoamzc9eexz + b7/7nY98EpKgh9qGgitAHgDavo/b+l+iXYqzEgChPK8EdoAAEVCAAQe4HFtIIkgOAjCgICcpsXeE + FsBitTMejQXKolgmPNP+/bs+8vJnZpVbJJYUE6ANoiiUIS2mZfVjbj72uYewsQEeQRkqzMQ6bE/J + PWllfbWHHp9dQxyUQHQJjXBMCgYSaWJERnQJHEHSB3bDAVDBpUz8YBFCIijBC4AcSAxAQZLbLx36 + de5c/lV20KQURnAF+zFSo4AyEgkAkrVdrutaDVJoFxpfo++CzApVaiQWoVih9SpHd57G8hnIdNW6 + ETM0qXeS1xECSSBRcgk5rXPYry6ewBEcIRHnjypUGIAokyfyiBGONM5ZF8pJhrXoP9E5axyA65Lh + u+UBoF7aiLGftjoGVdg4EhNkaCZhdowca+2oW5jBkDR/xvIyuihUdylrzIJuBFJQOEWZElIESeo/ + r3mOzsbnGGPM85C/1wgcAYrEaKGRJSl8ZKeUCPAC1mFIzMVNX9eHsCp5yedHUP9ta/0JogoShQAQ + cG5H7r4Wpv5ropcE5PMsA7R2Fli/3JxvE9FQYltVr8z1EXNtW9+LDshli7wYtnubq0jeXYd/15Mn + 8o3hHmb7qm+MMcYYY4wxxhhjjDHGvLjkMUT59tnX40QkjwVzzqWU8nVh51yMMccwrw+hymOXco3L + XN0yX0HOmdNDAPZwYQJ9bPZwG2tDz8qyrOt6uCdnb7dte0Zw9bDwZ18B2RfDYgzD+i6wPHnh87/D + 8Lp9HGdnjDHn89xLUlhvCmOMMcYYY4wxxhhjjDHGmKsXM0ThnFNVx6ya7NoPukJMYIWTAOXatckx + IbFo4X1MLUI80Tz5me/8+cnqmLapDMWybUKFGOEBCERSK8l55GJHrBDIbmkawJEnTW1SMMhBCapg + 8kRORFRBLCCoIlFXh8cpoCBlVk/iSD2Ly+UJHJGQsIqQMiRxRCFRova1ypNACd5DdTeCVIRFIsE5 + Zl0xiD1rIhJRMJQAhmNoEkktETsmJlaR5NOT4+/8X1/5H+89/cD73/qRjcY5XzniRrSVJgQHqESp + fOk01CswM3EjhC60FdTX2xKCoKt+wLlckoIT9Q91dW/yu8I4V+EkBYhEtPHEiKGkjTrNRJUhgqSU + X9W9LqeMs8J29AvLxYqbpmFGEmBv+WtzAX0Jjq53kOrwmTNXB1ZGCgAYCVQLwBAFEpGq8MgrVFJb + cQFFC2GSIE4XPpRb73ndh0ao/vSxP3p29hNM5iuOLaNJIJKSvYMgQgRdXzOKQ20vUlEC92XFhuNj + 5s71yaPz9+lgKHKXD5GhYhkBOGc/EO2Oy+d4pN+ZAch50qD3hH/vTkdAOawarOIEIOSaNyweYCFR + ktZJdz4ikIIULEyCgl2UlIKbNTWXIKBS7+tyq7nhn7zj42/7pXcVi2khI4JEXvnCSfKkzKTQFJgU + skhLrhCRhISVoGt9F62E2tVGpDsBqVLeK8/oTbovrLunMcYYY4wxxhhjjDEvIkN59z4BRLTPQQEJ + aD1t50pcSwvlePvZU4e2DkEFKiiLLl1od4lFwTJE1OCS01qMMZcoV+sYEoLRl+q43PMd8om997PZ + bDqdFkWxv4nR55QLixBR0zTOOSLy3ucg8P1apLwYOWN7Op0OKdHXpLyfFEWR47dzBZm8i+ZeDjkN + Pd9/QMIhjDHGGGOMOXD2NLbwWsPR2Y8zfEBZPrt16NiPn/TSTsqpxFXbSiigzbknnzt+9uGFu41O + AoSAdikTTrfMt+Vns7947wMPfu5hTMow2gIDkBTFFT6uki/dMLWzFqyLNSaAFA454jqvyfDg0NIl + IAeAnWuaJgRHRDwaN5qEUtVGzFcPffijt5/c3mxnRVAEMIgRhJtIgAuLtp0nPRUKjEotAoH7NitB + d1vObsXak7y8t/3r0vplE4QVafd3BSmjv0e7ucjzCT8+oGTP7dxxGdAr03ZIgILKUjVROXmyxQ2N + HgpoWyCc2X1Zz/iF4DT3RQaAmOCZCBolrZJubk24XX35g++/++vfGo1GUNEUW+8StGoTAWBB99/z + fk/7Dt99u7B0WzIlCkHqxCSQpqoA1P1zd3dUJQjt7tM5erxbL0IbQaV/PKYfKd023oDzbu1vcc3P + 0mGb9J+a86wPr914Pq3VSoI+SLXvLK4Y+rgPm/T8S2KMMebCqEuIhnS3BaqkEGIFCyWnL+RB9sxU + bDrrLKFAN5K0S7JW7J4B84lPSPacD40xxhhjjDHGGGOMMcYYY4wxxly7cihpzqUWkWEgUg6ozkPY + VDXfPwxqG7KrAVRVtVqt6rouy3KIso4xXniwUk6wzhOs6zpPJA/1mk6nJ0+eFBFVXc/JNsYYY4wx + xhhjjDHGGGOMMcYYY4y5rJR0rTJOH7NMwkhtXGIjPfbVR7abp9vREi04kfBu5Zn14fl9gmY33n9I + tl6t4qiksuQo0gqUIIo2RZUYAhGQahChCg4KbTjEEKSAFgWXk2Jza/O6w1vXTavNSTlm8s4TIDHG + upmvVss6Ln9+7KfLNF82y6iNUFQX1YmKNLF2nhKhVWEmDg7KbRvL0osmYXVMSbRZqXMogkNUAScG + axQSkLBCCFLVy+LEY9//qyeO/fy/eP+/iNRO3SFtdDSqmlXtPBfeLRaL4EflaNo0K0cCEihrVwWJ + QHuK5RBE4YZtJXRWzYQLvFmAU4GoU08S2AXRBqzCIIgSrxc5Ylmvq2DO7SCEhhqzX1iH47YM1b0U + nGh4wnAnhOCZVTynsP34s/e85j0bk83/85P/M/uyicfHhzxJXNbSpFUBeMW0DMu2Xa9OhjNqBipA + 0L11Yy4QYn1+svbv5dQXuDvX3He3INOwFpJ/dgvd9EjBwHzeTg4Vs0U93SjqtnGpokWxIdd/7F2/ + 98Yb79pMh6UhJoJDZBJigKHMazNVkt3Cg91DxryQDlb2gzHGGGOMMcYYY4wx5kUl1avrR6O43Xzy + 3Q9+5OvfUKdEJI2UngGAAL4i8drGmPNj5hwMDCCnSufY4JTSL3zt85HLfzBzjHE6neZlOGiZ1llV + VTHGGKNzrmmaHMK9XwszJGqHEIaaJpf7zdpHuf5L0zRENJlMZrOZqsYY878ppaIoRCTvOfsbN26M + McYYY8w1ghnVZCeUfjyuTqemnhVVuUpRGgQCqQDxjI7tQ9vO0Hk0Z1AngASkKD2NgEPN6o6nVn95 + 53s+8LWvwUd41BANZY1YjHyU5Nkp0HYdVjmgz3AmgIQAh5hzCz3DD30tqevZySBIAASE5Wrui1AU + BZaKgoiZKQAtdIXjxw4/8eMyzQK39QKe0KhUaNlTE1XQFhuTU1KdHm3BFw2YAEdwtLdvZ98VdX14 + wVr4dvdLAvzF5yiuhzezEuWgYCEoEeDXHparf4gBK/JgDxaGUiIGMYgAON19c6EgyruD8OUPp0xJ + QdF5fu8Xv/zdd72r2H58U1KjfWhzjkhWEJ33j3HSHFHNLOLIlZD5qfloMk7PnMBihsLDsYzHeech + YohAGAQQr+/aZ0Sk/2L9FkvdviEuB1oLA4pQRVEfCE0DaZY7pyJQ5ME2w2CYrld63v55OI1EZkCc + wgnGjGc8P33Tje//7MMoAxQUk8/lVgna9yxHjppGfjPXP6eyd3l3187hEndoVlB+d0BCiC5vMxr2 + E3dxG9EYY8y5EEFBCqbdcTDdIwovYKV8QL4Ch9z+3EJQ5K9qqV+i7isbASQMBsB2EjDGGGOMMcYY + Y4wxxhhjjDHGmBeBPNQohJCTrVNKREREefwagOl0Op/Pm6bJQdTMLCL5mfkJdV3nIVoiwsx5OkVR + 5Oefb755KNOQbB1jzIVxiWh7e1tVh3hs732e8hXYGsYYY4wxxhhjjDHGGGOMMcYYY4x5kROSxKK5 + howw0CYSIqUST2z/6O++/eVYNE5BgZN2fSdy8Sg+q1C49CP8u+I0QDmmmBQtJZCIhoJcYBGJjRbM + gVjFofFuNXJx6urRm1/+ppu3XnLrS259yQ0v25hssQaN0Mgs1FMliESRqKp+NFrG5fb8xDOzp586 + +bOfnvjhT45978kTPy83pinVSg2hkZQ0JWLAYaHJOYAggiJgUhVa0/J0WxRlYo0sSrm+DljhBLnH + yLY++f2d1f/07//1xz/4L145fv2h8oguV5Vyu2irjSmN/Xw1d04lNENBHiGAOP/K4K7KjnZFp5gE + enGZ1uuccxbG/AJStc1pzC+ghJW27JBSe3jj8Pzk7LU33PHf/s5//4ef+t9S5O0TT6UKowk4IdYg + oSYSEZhysnMhcCAFIigOAc8kQ1Wxqz53QoHEQF8oD+j6ImJIuc6lCBWsYIgQysN+EZuqgi6bQ27U + bPuXTm7/2Lt/7/ajd0zajbRSUNKAREgyluR4LX3cXHv0QJ6KDmL8gzHGGGOMMcYYY4wx5kWBxFWj + 1XKxmcojUwfiROqZuGRIl2WS1iN/jDH7JGdaq2oukDEU7LiscocJEckXV3I4ce5OcgXmflFWq1W+ + 0TRNSmkoTbIvCxNCGNLH8z053HpfFubKyGvqnJvNZkRUVdVqtcq7K4Ac7J2fOdwwxhhjjDHGXDpm + FOVv/9mff+eOt0aVwJit6mlVpCiShEmAtN6fUoa+pMqsu38pkWJcICrKAqu6ccB1VNTbp17TbH7y + ne/7yEN/gUOjclS0aAVlAogpT4y7MGvugmgVcEhghpACqlCB9i1KBMCBeC21lkXaalQmuKaWIjAi + Wo9CE+ISTx374gcfvI3aiWs8I9UoHaAOQIpp5IgLd0Lk57584OHPwgVdi9llsHSBxn1wdXf/rt3O + g0Pi40X2rFVCIuQI4ZzUyMqsDGHoWpC1g179mdboR7O49TVZ/2tb96HNUATOEcAIHtPpt50fkZ9y + 0pxUrdwHmqPPXV9bXtoNd1cARM6FOjajonIpxtXql66//hPvftdHv/AFbE3r2JAvHAjSQBTeAzwE + eTOQ+27v/vZccd439gRiE5TdbNWWVUBsMT/9hw+85+0aq+lY5ovdkTD9EBruV1Z3586JAIIH78D/ + x4A7t0YoSzgPVcQI9iAQ7dkIArgh1rq7Y+03YHe4Up9ffinDckicQjUPf+KYw9GVGd2HCARAhrUz + xhhzyQj50MrY/RGQsMLp7vH/Sui+HTEIDlDqLjw6B6iApFtacF5muwppjDHGGGOMMcYYY4wxxhhj + jDHXtjzICMB8Ph+GfeWhankg0mw2y8nTw0NFUTRNk6Osh+zqHEq9PnQrZ1GLnLcrYH5hHo4XYxyy + sVNKeanqui7LEoBlWhtjjDHGGGOMMcYYY4wxxhhjjDHmiiAlJE6AOiEChKEkiVVG7Zf+9nOn0vGi + 4GWCYwCUnkPm4p76QN61bSQghEootk0rbSKgYsJStfEhTSY4csvRV935urvvePVd0zgpY8Vwqqyn + CAJG8OQZrKpIElUAJQdHTI7b06gctsqbXjK67XUvWTU8P9Wc2G5PfPcn3/rJ09//ybEfLNKOL0U4 + tqmuUfsSTQIpPCM2aJumYN6cjldNHAr4aB/LDQIndQXKEZ9enISj//2P/4eP3fe7d7/ivkPjo82p + WIXxfGfhJ8XGockzzz61tbUlTWT1wglKSnkaEGUGK4SUuxIHKrlslF5csaCOFdR9AR3MJFFjDhoB + R6UqlFFWzbKpfJnqcEN42e8++C//6NF/8/OlOyWPxxWSogxwfrycLYsqnw9Y+ip8mut95WKEygBY + u1/zh1Cu5pIv3SqgKzvHfcE0BgRDuTYBIAQlLOoYPJyAlihiuP3oHR9++391c/XqMJs2tXpoKFxi + jUJCFQGM9hrI/zbnczDPRBZrbYwxxhhjjDHGGGOM2TexXkyJijD64bKFSISA2ANIAEHd7jVpKylv + zD7KtTbQF9rIgcGXOyk5pxHnkOYYY1EUedaXdaaXJpcmKYqibdu8kCKyXx0+2rYNITBzLonCzHVd + X7g8ylUthNA0DfryLt775XKJtT4i+Y3Im8LKuxhjjDHGGPMCYIcQMJrQeIvqdtHujEZYLJuSXWIk + wCmYckf6/hV9P8v1th1WLJaYbrrl6RQcWMEjP162N8dF+PnPvvjLb/vVzz2Eo4fCoS1fos29NBVA + 9KR9jzfO/Thj15LEBTFYoDlLUbtMZPICJIABT4CCnKtTG5x3njSCApiB1RJPbX/2V95922I2Wsxy + X86Q+71KigoAnsNy2RxHPP2GN2I6gQ8MygnBabfxStAtKrAbxZsfyH+SiHZPA4GhelERj0JILABI + wApSUB4mogztk6375RAIwFf/cIS83QhgzquZYzK7lOLdaOTheZeXAIwEdYXDxvgjj33he3e9pTlx + TJw6QIhct4RRd99+7noGD5nWBM+uaduCyAOxWRXVWFYLeerYK28CnnwC01eV1ahNcA4oHFS6dHaF + 210OubQVJsABDkI5CJ1IiRvAVSECRVOjaW6dLXjZJG24XwvdnVHOp9duUoJSKRGUEJmjUDPZ+vgX + HsF0Al8mhUOCpvzG5VRR1y+2DlMBzuxefkbIdLfpLuHveiEFAzl3XAmNIzCjD02/FuLfjTHm4MgH + 1XxAJy9KAEi7n3wYJ+2GdF7OxVj7aqQAwxG6L4QkRDIsKWM4G9llSGOMMcYYY4wxxhhjjDHGGGOM + uZallGKMZVlOJpOU0pBsrarL5TIPDctDk5qmCSGklJqmYeaUUlmWdV0DyIOzctw1EeXhWiJygfFu + w2i4/GQiynPHWkXCsixznLZVHzbGGGOMMcYYY4wxxhhjjDHGGGPMlaKJIyv75BVoiJOD+HRs/uQ3 + fvKVWJxmRy5RghCDACj4rKK2QmfepQRSxDYxExiCJFAiMFASyuhlEY64X7rjtnvf+o/uv+XorYht + c6r2wWkUUQWYERhEGpES2DMRMRyzqiYkSIyi6gRK2hALV7w5KQ5vuVtu9M2rb39r+/rZifkT3/7Z + N771D1/52fEfKC+LyXjWbBdOWT0nR3BaSqJ6J83YA4AXQFiJo5OWIQQncEhI4IpWst2O5v/u0f9j + Z3H8/ts/dHjjJmngXKjncxIcPnrdbDYredRtCFIggUTVg3KdKWYCKYPiUBBLIBeVbE1EuRD0Wo8X + EFnZnEuUt+d6sPXBLHZtzAHAVbG1c/r01nSUdIlWSi6bhdx29I2/98B//adf/Pd/99PPxfFO41ZN + Dbi2GI8lKiCgFtTk4xwpIB7w3MUzCyHu60q9kFxfT1DAQmAwKYiEFU67M4MShLoDtnfQGqEpNtOR + N95814fv/+1DuIUX44pHiZQ8hLSNUcCOHenlLf5v9t2eU9GBYbHWxhhjjDHGGGOMMcaYfeOJk4jM + Z9PxGEAAkqSk7EBD+Xjqg1/YSsobsx9y34VcZSN3YhCRy51pjb5ax3K59N7nBchB15d7vheLmdu2 + dc7lcOX9zbRGn+Ksqsw8pI9fq5nWANq2zYVg8u6R88WH/HUiattWRMqybJrGe2/J1sYYY4wxxjxf + DBQBofjBsr6+LEl3SsW4pFWdiJ0SK4nkZGtlKBhyVmwzKwTAGNB5GpWoWyhhNl8A2FS6dbE8yvK3 + 99//4yOHf+Pzj1DZFlBsbfWzFyiDGIASEnU5zpyDpZlzeCGAlKOtz+h+T2hjW/qAPpsXq8anGZ55 + 5tFfeecbVvFQTLWiKig26hwkdm1SxH4ZGy2cbh55zx9/ApMNgAI4oe8qC7i1uXQUeUa6m2zMgOSW + LocIEb7I8QGJgKHbnzIL9RvkzCldS3//dHGTekb2JAtE+9jyK9NyyB5NI6H0q6auphM0zY/gDk02 + y+aUy/tc/1afM7BcAaEu690BUdWXZV3XulpU7G8sC7czf+SjH73/bx5zZeFc1SqUWIgZTDgj01ov + ZaUVIPThnnkwEASI2n8ioHj25K3zxa2bY5xarL80507z7np16exOFaBIXDvfVuMfKd1ejmIxXrQy + CYXUS/YeKgAPvauhIAIhN1hw3+N8bSHxQoaM9u8FC0jy8WL985I3JMm19aExxpj9RWBq2QFgCO/D + AApa/xZICu5PfvnEwkA+t9pATWOMMcYYY4wxxhhjjDHGGGOMeTFwzjnn8rAvAE3T5GFHeahR0zTO + uTw0SUTats3jj3Ih4Lqui6LIcdT50SESe5j4+YbaiUgOyU4pee9jjCISY3TO5WFf+Qne+zxBIhtB + a4wxxhhjjDHGGGOMMcYYY4wxxpjLSRkkQtCuEI6QAA6JVYr4zX/4+g6eWdDpUgrv/Sq2zl3cqHwl + SFLvQxPbNjVF4QoOVAu3BS1H995+/z2ve+Clh17D9YhOU+nLrdGhtgERE5MjT0SsJDElTRKbXG+W + lYXQxUOTAsLOeQ4qjsTripA0KJdFIWU1ctUNt914/x33n1wc/7vvfP3r3/7S07PH26IhokgxUZtc + K06dhwpYwV0+N0M5sYCQCMGhbUEeqyShrKcb6U++8kePP33iP3/fP9ty1+mCtra2Tu9sz08vxtWG + NLnkkEpXT137klQMEBRKSsqAkIoS1utUPXe5IvQlvNCck21MY34x5dWinU626nonOE8apY2HJoee + PfbsDVsv/Z13/7OXfOOGh7/2KfUn/UTnzQo+KgUQCLybL0FgHcqLCZAPg92ZRa7m7nKkXWUzIlZA + KCdbA8pCgr6cmRCUAAUpQlMUbbUp17/3zgfvue3+TblulKZFGLdJnYcwCUDkAjlHaFPkq3n7mF9o + OBMR0cE5K1mstTHGGGOMMcYYY4wxZt+kJI4BcL2cIwmlGFwhu1cc4LGbz2LJ1sbsCyIaQoKHe8qy + rOv6cs9aVUMIbdumlKqqijFe7jleglzNJEdZxxhjjN77pmmKotiX5ckVVfLtYYtdoDzKNSAXhcnJ + 1gByvvhwTwgBQIyxKIqDc33OGGOMMcaYq5eCE6kviwe/8pVv3nfPy3x1ant1mLXy1Mpu11Eod2nO + exJwc6A1CwFgJtGElFBOaWel4y3WhJ1ZUzEwP3WbVKMnF3/55js+8MUvYmuKJaGawAUo5+VQRgsA + CGvZ1UoA8bAY1HfrXO8kF5wnINUrB0VdYzZ/6Ffvf9n26dsWpzdUY2wmRdHEFkAdERitggvXtHHJ + mHt/fDrBqEIZoKAE7zD8ucW5y2y+ubcVawhQHJbNQZAUSXhvnu9FIUAIKTeZ6Z5EXu5yj6+FpF4F + CZ3Z/1ip25jU5TPD5aDny9yA2LYaSgYQyskKUk3KB770N1+7756Xx8WkbWm3I3HKEZ5dt2Nl1r57 + MQRAnVJZVahrqesAMBE0yXJx2IWbl0vs7GA8QuWVfQRxH7mstJ6WTZeypt3LZe02O6DMDbCiaNtH + Hvjg67ZPSxTHOOsv6aFL+HpPcbCyU6nZ/XSj+sBjn0c5auGqwAC4rLSpyQUQEqBDk+/uJ+JcmdZY + +2Cvrebz6YauQGIk6mPgjTHGvLBIwPnoyoCD8yvHAEjzeKLuwKtdlPRlPFvnL4SgPTMZbl7eeRtj + jDHGGGOMMcYYY4wxxhhjjDmQUko5MZqZicg5V9f1eox0SomZRSQPPhKRyWQyn88BhBDyYKX88jxe + KQ+4yyO2LjxoK4dk55zspmmIKM+0bdsQwjDWyTKtjTHGGGOMMcYYY4wxxhhjjDHGGHNlCKB9YZe+ + Qo5T4LRsf/0HX22rJQSiEeIdAwpo97Rz0rP6O6QE5xIRvEflIUsN9cZN1as/+v6P3zJ6xXXhqJ+T + ipTek4R6Lq4oRSUliZKIiBRE5Nj7wud6s1E1KXWdK9SBEZNCWkYkaQC44AOjrpfjsmSazufUzoqb + y82bX/PKd736g9974ntf/P8e/dZPv0bjndFhWqRlbOA8clxoIiSGQhLBKZPAF1yv4qj0sYnekage + q7er68Z/d/LLT3/yyY//2j9/6aFXPX2yuW5yw2JngTqwEkhJhTn25XKSoCsJJUR9mRu+uITw9Y2s + ymzVcl4YuduPFSg25hdiYOSrelbDOypIWNu2TsvZZjmNi4TFxofe9FuvuP41/+8j//bH298/dMPo + RH2CfRQSViYwtJObqlUAACAASURBVIt2JkguMtZXjWMlKIEA0udRgO8AcMLI60V5NbsqZwAAZgjW + 0q+LWEyb6653t3zo/t9+7U1v3ExHtEYRyvl8G94hOGiRNGdaM6XaSUPO2aHqGnYwz0QWa22MMcYY + Y4wxxhhjjNkv6ly+0qxVVUDB7KIkYU6AA7om8z685CC2sBrzIpBScs7lShn5Hma+ApnWwzV+51ye + tfc+L8zlnvVF8d7HGIkopZS3kojsV6Y1AOdc7mqTS6LkfifXcKY1EQ31YnKRlxhjvie/HXVdl2W5 + v1njxhhjjDHGXGMIhKTYnHx/PKqeSbdNSVbaRiUi3s3Z7f7Low6E4ASA5OjhRABIFcGTRG0XWgQs + lyItxiUoYgw0i9WrxuXGcvG5X37LsZtu/M3P/AdEQTkCl3CcJ69dGi7ncRK5+WiIcR7SdwnI3VtB + krOosbPjvMdqhtX8L97xjjc8fvJlogi8qpdVUdSxzX9WjCrfrKIr3LJOVUmniI9tbd7/8F9jc9TN + QwGF2x1ZIbonuLp/Tr801Of4OghB0QpifN6dRllyaiOt5XgriPakaF8DlJDW8im7KOv80BVbBoAL + WrUyCtzFZ3tga/zD8fSG+fZ1qxZd/+lfsERCKJnr1arI7xsRgKRaOQeR4tntP37/+37jsUcRvFJZ + UEgJ7IC8e3cfMka3e1/kgBNa+1cJyjkQvetIqoqdU9en9nCSM6erjDOqpnYp3aDu48Ur738yGt01 + nYAp9Au2jGlUVFDsfjr7D+f69PpDxt6Z8p77nk/VVgWUu/E+/cKsJWf3z7G6sMYYc0nO+sbBDB8W + wQuBIdoN54TSlfpuQpIIbu1kxkD+qjbcsedLq50AjDHGGGOMMcYYY4wxxhhjjDHmmuacE5EcKZ37 + B5ZlmVLKg7C89yKSn4C+Q9d8Ph+enKeQUspPywHYZVnWdR1CaNv2fPPNEdoxRvSZ1qqaB8flf/Nw + OayNCLvs28IYY4wxxhhjjDHGGGOMMcYYY4wxL2JC6PJEc+UZEgCsrCTPNMe/d/y7zdZ2sYG0lLZu + wtillC6QaX02UrgAYqEWDOg8FM3GW15234fu++1D6SWTtFG1jnIidSIosfrYKDnnyMPlBcxlYbRp + V9r15XAERR8PTUQkQoBz7DxJTKo1C4+L0M7rBIyKyZinsU6qWvqN19+w9fpX3fmT7W8/8s1Pf+NH + Xy6oGVfa6kpElZC4KzqTq1SxcqyjZ2rrmAsOs3cUeNEsUpl+MJ//4V/9rx+597feePPbtk9tT6tD + UguRgwIUnQgoCXVJrlAIdSVvmFggrLnYzaUEVOfywkRWov2FcTDDRI05UEhF2uV0MmqUZsudsvKh + rKRuHRFFV/qt5bOr24/c9fv/+MiffeWTX/r+ZzYObyz1NBEAIWWoBwkgQx1CdEX7OP/K+dh7NSdb + Kwkpk8JpV+tGGSCk7jwLJ+zFB2GfXFVP33DDXb/+zt85Orq1PUWEauSL+ezkeHNaywoOSZXbqCIq + YI0lc9zvFTRX3nq31X1hsdbGGGOMMcYYY4wxxpizqOrziwl5zjOCY0BVRKCAKDnW9Via4ZoC4dKu + uRpjnqdcI2PItM7VMVSVmfMVjqIomqZBX57jEmaRO2oAyEU98p3D5ZPcc2J9Yc4nvyTG6L3Hleoi + MGRvi0gIIc/9isVv59nFGPPGL8syL8+w7sP2vFYxc97aMcYhCj3LO21ZlvlXy7Q2xhhjjDHmBUEQ + B8GkAstvfPELX3nD7XUz89QwQApRBnVfy3NArBASQwHW7hFlicyAeHExKZNCQS0qAgjUghWqCIS0 + qg87vC64W5469oW77r7voYexuYnpBGWBsgCYoYoiAlAEBRTkdmcEQKVB8F1UbUotaQBj1eL0Am3z + 6Xfce0tcvq1tq7QUBRp4Ri0NGF5AynWjYKfCBRIaXU3dtzdGdx2awtGeDGkIlKECVgAKzuG9iqG/ + 7Fo2r4hzABSrBo2iVXeRfWo9sPvHN5EvXCsJ3MVaJ0Z+L/pka77ai1wSc5REChdCwwoHMKWU4Bz6 + iGJ3BQMpExACQ0BAyQmBsTn5zUc//5/e8CZQiroMjCRwgSlKVJzROkAKJSZFhIRhkIgqACKIJFLe + Ir7l1A7mOxhzGY6gDQ4QgQYIVCAMAjjvBeEi1zsJ4KBgQnKUhxkBEWAgAe0KAr+aeweJaAS+mzoD + lIcY5fh4AVwAOSyWKAHnfR2bOpQffeSzmE7gvIcoOAHOVxHwFIHEeVJdmPRZjb26e4+oELN03dC7 + JXDpvOVfz0e6RYcImAjQQPkjJHlN0I2ugg5Pvth5GGOMyQ3RTEqICQUT2MG7eXCpO5ZD+6N/HmV0 + UcNWLwUh9tW+ScCMFKP3PBztz5bbmYfW5nz77JEeRHTF2v+NMcZcmHZ/SVGOjliPeRiO1fmh/VxK + Y4wxxhhjjDHGGGOMMcYcGMNVg+GaQk6SHq4y5IDq9fFx+QpyjDFfkhgmlW/XdQ1gyLQe8q1z3PVw + T74G3bbtGdegh+UZrkEfzEzrYZmJqG3bYYzhBeSnrb/wMi6fMcYYY4wxxhhjjDHGGGOMMcYYcx4i + AHUX6EWE2fKAO6Lk2EEJUIF0/SIcvvofv+QP0xzwCaqoqrKVqErr5VhyZnPGzKuVlCMmorZNROiy + pwlNi0mAW1Sj5qZfu+c373ntB4rVRpkKnwBtQQL1KgSQI2Zika4iAUhJFSRQdUTazVmwW6RcoC2z + sDKJiDIrAU6VVeFcKV0vjwQCEUS18qP59uyV5e2vefdrfnz8gUe/+dDf//irJ+V4selOrXaYUVRB + VRuJ4iAipQ9ISD4mSgmQpJ4oBLdqlxuH9Yenv/VvH5t/+K2Lu1/57rjTaHLOkfc+NTFKqiov2gIQ + SCJwn2wNDP9edHWbXAJ6d092BItkfh7y6ONcSTv357GI6+eIiFShCiIiIuTi5Nd43esXNxLvY2xj + Il8U4whJSbzzKaXAHolKHWHlbt18zW+++7982S2v+A9/+yfQeQxJFUICApiVICogRIVK3n+EybNi + LXzi6kQQB03CCYGJ4QmpFRWCeJCDJkiLSqd+Ud48vuV9933grpe/I7QbbhYKck4QYxOqUdMmJVJN + IGWGE3bMLAShMwvYmWvLUNoof3HZ90DrzMpzGGOMMcYYY4wxxhhj9o0SdLiSSl0oypntpgpYU6Yx + B0++yOG9b5omF6RIKT2XyhRnyFHQ4/E4TzMnUl/a8uSr2t57Zs4FL65AmfKUkve+bdthg1yZ+WZ5 + dnnFp9PpQbjydIWllJg5V3jJ9zBzLv5ijDHGGGOMuVwUsV1hUmAyPX3d9U+rqwkuDCnPwziEnBvY + pzkTlCCkAvBuniBBHSk7YZ/YCbNwl34NsGIUcXiVbp0tb9s+/Z/uf+df3/O2//vet+HkMZx8hmY7 + YWdWrJZh0YYGWDU5LZEUKScnAiSgRUs7CyzmaJehXuCZ43jq6U89+MGv3fuO1+/MXn16Z7w9GztQ + gKwNPHEKVrAywJ4KBSfm00X5u597BOMxCoe4yvm3SgIIEHM07rDmeaXXf1HJiY6MJNK2YCDK//OP + f91fTMdaVlBC6UACDm4pcTGbb4xHaOoc05uAhN2hC1d7MUglJMnB5RpjrCXmzc7OEeAgyPHEAtI+ + aPzyr3M3hz6zXIuAyejJ8eaJalIDCHAOTSsgeM9Duyb1EZ6kXYto7jaMvW2kBK5Eb16tPvW2X8Gq + xqpBAhTs8q6U91NWYNXES1h4ZizrlEAJpOS6z6sHEpAi5vNPvv/BUZLUolEUZbF3xRlAIiSGKzFv + ULcYT3kOaFGcCuXT0wqjMYoSDnlPzLpEU4hH8vlz0Tec9AeCXv95IeZFvYq7r4UCuPgMUed93oGC + Q5PEkbplA0kQQX4L+tnL2e3SxhhjnrMcJqoAOxABdQ3Pf/BnfxoZoN1TnoCBtSuDl4uirj3psl6u + 2jYP7fTOQ5lw5oCd4SzsnBMR731ubc7jPVQ1F9r23hNR0zQppRdhO7wxxhw0KaUYI/oIBGbOORAi + kkMm1rMf7LhtjDHGGGOMMcYYY4wxxpgLyJcb8gUFESmKIl9uyJeJ8yWJ9WvH55tOTrDOT6jruqoq + 9InX0+l0tVqJyNnx2AdfXuamaYbkb1XNm+V8z8+bC8Dwwgs83xhjjDHGGGOMMcYYY4wxxhhjjDFX + HhGpEoQdeSVERO+51uU/PPXdU+kkHFSRBFF+weB6ESlLSknqOhEhBO8c51e4BLeoDtNLP3rfx++8 + 6e3j+eYhPVQkT4AQEnEiEmKAWZmUWMFKvFafh86aM59rWbraNQpS6V6inGtHCTgRKZyLdLS6bhy3 + 2ifo1vL2f/rOf/77D/6r+//Rh3BicoRv3OAjumJtxClYEDyaVSup1aSSEIKvqkI1LZYtO8zTijbq + p1Y//MTn/81nvvGpWflsO60bWq2auTotRxVUITSbzYqiIAior44EUWKBl3Ovh7nSbACyMc+BKCfh + FhQBkDDAXdE9EmZ27Cn6eJq34o333fa+f/mR/+72o3ce0SPjOJ5iSo1LqyiCOqFViII9qnFRFF5T + I7F1Smcf6q8iCogCHuTQirYpAuo9Kg+XUClN0ug6vqHc2XzTjff8/oP/6s5b31mtjpTNuIjBCRME + JAqGOmgg9SxwmouiiV6J+jxmnx3MM9ElhkMYY4wxxhhjjDHGGGPM8yR9pXif204Jyl1Fe3dWWXkA + tJt4ZIzZfzlGOsaYQ52ZWURyuY2LkouMLxaLHBcRYyyKYrFYXOx01otc5EsyRVE0TXOx07lYuewI + +g0yLMBw+zLJ4Rne+5RSrsw+RGu/qOR6LkOQeb6nLMv9XSpjjDHGGGOudeJH41mLqS/e+/DDj919 + lz+xHA8P5uTALrsQrHBDyDMBEAazUNcrdTdPFuhua5f4C6fwAobCJ9mqY5W2D8/Sa+eTb77lrcfL + 0Xv+8iGMNuFqxAbc4OZD4IDWo6jm8AA2VCkSnl0htQhLNKdR13/2wIM3N+72+fyoaFjOCxIOWAGq + cNTNEgApA3AqTnklcx+mp4OenmwhjFFUIIX3iZD6GGVH6CNx9/4xqGf8nx9n9g6ndtD65vizTi+i + SCUpRFGMJ0071zaNnBuFoPMl2AGi1GeJD+/F1d8tVQDniZVC4cpRgWYBbCmSg4Oi+wHgEAkJXFzm + YOsup1qHEOQclRne+8gjj9791le3GDVgQuFCk1oWcWvtmTnZWignW3efFNm7uI3GEZVHm/r14/Ef + 3feujz36JUzGiYtWlJkclIG0qn1ZTgofL765NAlGpVOgblsXPJhEkVJbjMDzJRbzI08en0RNQFVV + cbU6o5FWCK0TEKTBZMMvZjE2OoZ7ppUnbj5y36f/BGUJF0Bt2rOBILm9VwUgJZbuU7O2XYcPiQKM + VjSUlQKrVFeuJIBUEC+6nUeiAIAjJWjSkugIMRqFKoYkexIoAL76Py7GGLOfREQdoiAwMBpjtcC4 + TCxAHpHkBLT+nejycQo4dipl4UEhqkrSwKxtS8GBz3HAb5pYFD6nojrnhhrlqpovYeQrF7l8+QXK + lBtjjLkyhtRqAPlqNTMDyBes8/35EqrFWhtjjDHGGGOMMcYYY4wx5sKGGOY8FiylRETrg9Sm0+l8 + Pm+aZhhBdk5t2w7J1nl8XL5OQUTb29uqmi9w5EsbeSTUFVrD5ycPGyzLUlXn8/l0OiWiC1w3Hx6a + zWaTyaQsy7xlrtTyGmOMMcYYY4wxxhhjjDHGGGOMMWe63LVhr0bECoXGxGWZkjYai4KO7zzx1PbP + Y7EqHamoMuBA4kAJijOK5OQKUSmhLF2qIwPBBU2aoojABUz9uJrd9Ovv+YNffsmvjpvNUl27mjOr + MCIRkAs9CUlXIml34gQArAwS7FZS4rzcedaJAkidwnU1bZSQWAFIAph8AhL5RFAiUnVxldqlAuPy + EJTjPL528qsve/Mb3/HaX3v4b/78Gz/7CqrUFhrROEKzwngEZmqSb9okqq2sQBhVKEs330kRMh7F + nfnjf/33n5in2Tvf/KHNcLSK47hasTqkSIobjt747PaJoioTAZQUIvCsefWGYjxm36iqDUA25hdS + QqI8ej8R4DRXCWNAWtEWrXeF96FIqvO0FY5WvvpvPvivH/vmZx796kPHTz+xdbiq3WqWlqNR2WjL + hDbKcqcpHcYlOXGrZesC5Ko9S5NCFUlADqFyIrKqFTUqj6rGaFG4RfXSrZf/2vs/+uZX3kvzEZbe + q3cqoAhKAKBMyoB3SkACtSDpTrjMCraTxbXtYJ6JrKySMcYYY4wxxhhjjDFmv3SBIcixRrwb/uOG + QJb+ogLt+c0Ys/9EpKqq1Wo1FNpYLxT+3DFzvoKSp+O9r+s6R0dc1HTqul4PMx6NRlcg0xpALlMy + XATKCd8Xu/CXYJiFcy7GGEJwzuXSJ5d71gdKzvbORWTyHphSGqK+jTHGGGOMMZcF8Wy2KKZTwGFU + PnH0yE2Q2bMnKiYn6ym73V+ITiDUpfkCIAiUWQkYnswAtPs1IbcUdb1XHSsc4FKsWMsWVZw3rrne + 1d99+/3HybdlORqHx9vFR7/0MIoCLaGoNqsSKmiA08s/f9d/NlrO67AchXhoUb8larmzM2o0AAV7 + VaxaVBvFbNY4BgDWLtMaAEEVMqq2Hl8ttw8feftDn0E1acgHFkBzam9eaAWoa7hi7GnIyinZLAD1 + 96e6dhWjCDjd3HLokDv9zEVt/sq5OJu7nAosqfJu0graBE1YG7hwzfAMhbQJ9XzOkwquApOAGLll + UbpWRYX078jl+4Mwt1sSgBzSCQbyQBnGdPTULdcfxbOHFvNQuza1o7JIdaN7mzT3JlsDeU/PHw0F + gKqcruqZBzZOnn5FYhx7FrdMXMHOc4I2zXJUVD4EJDiHdPFdUknhFEJahZECq6YpiiJ4YpljfvJP + 7v3Ve6tq3M4BoK7P2JcUSHkUEIEVcScSsGw0FNPjRfjuxvjOmw6j9CDEPDAof4Y1f+wBAMIAi9uN + hMf6Hru2sZRy9qlWrnRAUy9G5f/P3p0/W3ZVd4L/rrWHc+7wXs6S0MBgBllmEGYSCKEJgbHAuHDh + KA/d0dU/VI/RHVH9Q/8N1T9U/dARHdFDREV0V3SEo6q7POFqGwkkgcRsl5ENlI0xBQYEknJ4+e5w + ztl7r9U/7Hvve5lKhJ6sVL5Urk8oUvlu3nvuOfuO7+y91reFd3zAPNQCCT6WPMBhPOLZ7nLMYwwJ + koEs+xbQ2gpuY4z5+xGIFoZnDEmjI7Qtnh0Kbf557wOaLnNJBasgC1KJkQcIEcORDoVcgAIqz51u + jNGXUmqn8lJKjLEGWmMdbi0idcahnn+OMV7eYzDGGPO8aq14nZiuwQ/OuWEYnHPOuf3RCFdRJoQx + xhhjjDHGGGOMMcYYY66ITQzzfD6vKdQARISIat3cbDarhUs/s8l1nWWuhV05Z+dcKaUWOtV72dS+ + XV3zF7VorpQynU5Vteu6tm1/2mhsrjCdTmuG9+FsvGiMMcYYY4wxxhhjjDHGGGOMMeZaQLTudE2r + ZkamUtUaXY0iRUlIBt//xx9/W3jpIxURFThHzFz0EpnWG8wopagiBK+quc/OucDOD26UT3zygX/8 + tpveM+2OxCEiJ0ZGZAGESAlUVp1eCAJs1lIIVFYXKpSw7/JVbxgBGFEUUBEIU42yrisUVEFCAjAp + mCAKpxQpEKRAi1DuSBEiN62fjOPWbz9wy1u+97ZHvv4n3z/7V2600FB8HPqhDlKK3LBDl4oCzQiz + 3TJpMXRIOW1tj54998OHv/GHu2X2sbd/ctmNTx09MTu9MwkTD39+dzZqpwllM+R1DJ06a69+xdV1 + L7amxZifSQDAKwkpCAUQ1totkDn4lFKR7Mh58hCmDlBQau56w6/c/rr3fvWvHn/ka388G54aHdta + zuY+orBEwngMzUidArmNnPSqbvrFDi4wp9Kf74pjjBqE4nTBp/iEX44+/L5ffd+b7wv9kfw0U3HH + psdStwuSfd9JVt0KWXjdsjADrLXPG4TtjeoVrfbNuNJ7cTGLtTbGGGOMMcYYY4wxxlxZtA7cYYDq + bLEH9jKuAZBN/htz6Khq3/cANlHKRFQ7bhxoO7XPeL1V7TNeStm0Gn/hal+P2kCEiJbLJYAXl7R9 + UJuWJTX0YrMbl/VOa3JzvdMjR47USy73nR5OmyTv2pj+oM9AY4wxxhhjzAFxFp1Mp2VQEGE6/vWH + Hvrj975v8PGmUsZIXHOcocAqtRfKrPXsz6o+gbH+/zpGVgEhVapFBWAFVIHsIAIIoMSLlEaNL7kM + fTrZ0nh25pSPmsPibL7ex2++9U5Enxada+Oy9D4GX0rs0zvFB0Uvg6ThaGz6eT/2GI1QlkiSQzMp + /bzfHVqCU2CVaV3DFpUgwtjtZsOJk/9hMn3zaAIeReKd5WI0GgGyTmfksg48hjLRxcHSCijADn1X + msaRqwdOyGl+5gwdNEtXwCCQwhFU+93ZNkf0giIECas7v0Re49WKkDIajyM+Xp89ZgOODBLbVRry + JkL85TpeWp+0VNAA7wCfARJsxV//d7//8F3vaRbdiSJHfJP6gZxTUV4/xDUxffUMr8/+1WsEWF+I + ofeAb/loFne++/pHfuX2Lz4CnwG46ZFRaHRIxA5Fc6HQHPC4FY6hQ2LH8K7LpYmBITQ/B05YnLvV + qfvRj5sYyPFQJHqv61+6FZpY6jJnVjQttAcrI4zPutGzx7Z/8//7fWxP6g4V+AL4TQr4Kq+aAbeJ + sRYIQwTM+18xunr7cES9FGY4OEn9KAQUwWx50CTU4EKfh8iURfNSTk5Gssyf/chH73/yK1iFa29i + 7PeSto0xxhxYLiGE1cdyoGU3jJqI6aR+GySAlWudEl/+aoogQF8wZPTJbU0zwMS01xO8lkjtfYnA + +ux6PeUOoCZYr44sZwAxxmEYhmGwQGtjjDkMiIiZvfdElFKqM8IxRhHJOdfKPeecqtZ/urqSIYwx + xhhjjDHGGGOMMcYY83IqpeScm6aZTCallE1FmKoul8sQQkqpThwPw1B/vOR2mLlOUtTpCSKqW8O+ + HrhN09R4bOfcJTdyCKWU6kR5TebOOY9Go+e5PhGNRqOUUgih3iTGWH98eXbYGGOMMcYYY4wxxhhj + jDHGGGOMMT+LQIS8EkFEAHaN69y5v3nqm3CJiVIGUW35kgVKpPJT2oOH4IchA1BVSeLIRx+xbLeG + G+5/2y+/90130jnk5WLsQhYObjToACio1BZPACsxqTjNqy1f1Fnmgp/K+iqOxQk8IMq5QJVzTQkl + BUGhwhCnHMqq2w+UyEenJUt2pDEGVRp6jX4LHd/1ml+57VW/+MjXP/WV7zx2unuqcymMuJSiBaSZ + 1HtyhUsWkEMRNAwdMF8ONOaz9KPPfON3+/PzT979G6dLv3XiyHKnU5oUoegiylBbZ62GHcDFjanM + lXE4k0SNOXwYCoAA5Zo/TQVwAi8C+MDOAaKpOOLoXNBAXQplHN3Re2698Y03vftL33jkye894fUn + SWaIkgmlgIAYuC6xu8LH9/fDyiyj1CX28djYQ1OeS8iT43z9u19z171v/+Wj7no6G6ObwrFr/KI7 + 7biOJAOO6npDAKqggtpcUL0SBKKrlGtYsvUr2OH8JLJYa2OMMcYYY4wxxhhjzBVTO8fLOr6FAFen + V3XdUZ4umEJWSxYx5tCoHTScc/tDHV7cpkopNRu7qtERB20vXm9CRMMw1DYfm029uL16gTbx3sxc + W5PUJumXO2G6jn89ZABN0/R9v3k4rin1uaeqm2fR1dXhxRhjjDHGmKuLAkWdAzwXAGgblO2PPPa5 + L99/7/GnfzwuWJcNrDOtgXVcrEC5JlsLAQS3+n2NFRBCzbTGKuIaDCEFUBhUGAJE75l90TwCtBum + BEfdYtlt+zB0XSCCSvQO3TIPpQC1CSIHdAkngkOmlPppvVSgAIOW/aLxgSR5x1IEBIVAeRO7nZh3 + o3t6q/2Hjz6CYyfgGYrpaEuRaxy1g9dN0QMA2hfWjdXJLIEImAD2ThUcA/IcqYcPxyZbvPvsQR4B + zloYxM5By27Bye2tUviPP/zgR/7yy4BsBn9Tx3C1n0xLBQrAcyhlcnqJxCAvUAVo/8lDXgUUX97S + DcWmRKTUpy7qE5zhHbbHDzz0yBfvuON6r7o7T9BADEplX5R1Vff6ksuFiyYmIAkXnHDanT7zu3fc + +YmvfhHb2+iWaEYUItIA39KLOOFBAhFCgXM55+C9A7DsoB7PnP7sgx9/w7M/HgFEPC8yZkLJtTaH + pK6EBukqqXrRYewcit+l8L2jk7s/9xBOTjM5zwBEwahPPwVEwMJQBRHxvpO9q7cAqZHwz+FAHixl + CN6hAH1CMzpgrDVLKY0LqaQCtA2W8+UIPB4EmrHK1V5ds56dvupfMMYYcwWpOOJlL7Hh0MYicFkL + AwQWiEIJSqvZwMtaVOEL/s8P/fJ/9qUnMA1DN+N2u5ZGoX6a6eqb0uprkgIAg2ruNTPXVuO0lnP2 + 3g/DwMy1VffLcP7fGGPM86sVqnVOsM4P1vdqAMxcSqkThbWW1SYNjTHGGGOMMcYYY4wxxhjzPJxz + tRCsFqDVAqU6B8HMwzA45+qMg4j8tExrACISQiilbKrkRKSWtnnvSyn1CjXp+Sqadw4h1MGp4xBC + qBPo9UCeqx54jLEOWimFmS3T2hhjjDHGGGOMMcYYY4wxxhhjzBW0maNX1atjtv7yUxSoc85JKZ5d + 8jTTc0+d/duce0gBwXtPSpIFHj64nAou1SWglKKKJgYUAOS9T0MJHb//jQ986K2/IqfzkTAFo0vd + uN3q8wAwawmldpcCauseBtZdk2TVpuriP/djhUCcZqVVHwOA90VGr9qcswoL194yRZFScY7aEIcy + dIuZ42bcjiGI2O52FkdH13/8zn906xt/4Ym//MyTf/fvd2fnKKbouUgahgGBvHdZymSE5QwEtONx + P0hGopiEjZLmngAAIABJREFU5l//j48jp3/wwV8funTdkVfn89qOt5ZdYh+ECpAAgNahsOZKIyIR + OZxhosYcKqwQeIIw8t5bsjIIRYVABAEElEU5pZwGnYy3lsPgXONDfO0k3HL3je9+9vYvf+vRP/2r + z0tJGktPKWseUikEVfirvBMAO/jkOHs382URj/sj77n1rg/c/sAJd+PJ5sbuTCmdjKZtktIv5nHk + S0lCYCUoQxmrFnaCdc9GWX/okQos0/qVbvNJRESqekg+mCzW2hhjjDHGGGOMMcYYc2WwAkoCVmIF + Q5nkOcEh63Brm3c15rCp3SVyzjHGnHMNk64BzwdSW1TknNu27bpu02T8oNth5q7r2rbd2tqqDTIA + PE+7kJdQjLHve+997bLx8rRH3+RqxBhTSn3fM/M1mGm9v0dMPXzLtDbGGGOMMeZyWy0D9QV9B0wR + Rziy9STydYFPdSDFJtS2EjDADNT0ZyWUdWg0CQCpJ382vwcWBrQuplxFEIKgBAZmy7wVuN5HX7QA + YEhOk+C1lAz0uSjgnWtClK7ntu26RcseWYuC2YlqyhIBAlzwTU6qeRAMIoFXu6G8Dtwu3Dt3euru + +PQf4OhRjBsVQOAAEIMK1rvvGFLPY+kq2XoPoYYfp6KNJwFUivceIUC65Xx2wJheeHiBpFxC48fI + s/O7reMjE0C01oyAAIeyrzDjqq6oCRFKmHVC6F/jTzx2z4P3/PUTadx4OF8PjFAArUsh9TIfLQlU + UE9mrtOpi4NzjNwBwGT69NbRc0//ZMI6cs186L0H6SUWB68LnvZKbAAogRt0PWKBj64b+uNF3n3e + P3rH/fc+/ihOHBXpdDyi6AgivI6OfuH7rwAKnCqheEcAzRecHc50D73vgdu6c8eA6NDnYdS0qe+C + g9SwTwIgTrmOMCsaAjhK4WeCf+9XPo3jY8Qtzw7ogcJgBpzWe0zQTBQVXF/O+14gqnXbzx1pwBE7 + gNihKBYdXEDXHzS2vEAY3hFUgYIIRHajLBDZH0C/Vzh1Vb9ajDHmCnIud51vpqOGE6CAYyAEuVSZ + 6OXmVbYKMAjmw+j4pIdkaCSH9TcHWb3xC7D6GzHX0o5af4h9hR8hhHpJjbi288/GGHMYOOfqbLKq + buYH6+Wbv9cZW3vTNsYYY4wxxhhjjDHGGGPM8yul1MkFZq4zwn3f74+drsHML6SVbUqJmWtF2zAM + teyrXl7DoevVrqJM64qI6gjUmZcY4/NceRN3XYPA66i+HHtpjDHGGGOMMcYYY4wxxhhjjDHGmBeM + iERycCQCpqao7PRPn+t/AiQPKkzEjCQgOE9Fy/488Av+LqqK2prYe69Cmsubbrn1w+/8pWZntDWZ + LmaLcTselsOsLNkxlJ3AgYCaMS5KnIgBKAkpK4GUdd3Vhy7VTIghhK72iWJaJYMKPPZ1NiAVAcQJ + K4RAzMQkRZZpcOS32lZE0nLmHGUtzksqoovwluved+O7b/35V/3ZH/75v/5J970cJbYx81xISCED + Zj3aNhSRs8MiOBCRJgrwpVl8/btf2P293d/+6H9xdnlu6k/0Q/G+VRSoAoRVhyldt7uxPutX3iFJ + DzXmkKs9xJQ8IEIOtYcYEINLqU99JgIRsSOKLjSYL863MRYk9MNW9Jq237T1thvf/Zq73vKRx7/+ + yJ99+yspnG+2kWQnU2onQbp00BZ8h4dQLnQ+NqPYb50Kt7zn9g+897Z7r5/e3M8QJZ47t5xMR2CZ + y+7IR3QDEqOOofK6dZwqiZAobT5qicQ7BakHpH5WXtGjNNcci7U2xhhjjDHGGGOMMeZatD5Xz8+9 + CEpK+368nEjBNdt6dde8N1dMe3u411zeGHNobBaO1EYbzNz3PREddGK+Xj/G2HVd/VFVawuPg2rb + FsAwDCGEGmhd045fxKZeuLr/TdNsfnx52qNvmpvU8ceLygJ/BRCR+nDvf9DrM/NK75oxxhhjjDGv + TARIAgcAitiiOABomn/y5S99/h3vaHPeHlJTwLrvTA4JINC6gpKhUk/7CC7Os13FMO/Pl10XFtSl + lROPkkQBX+NxyRGV4NGl3EbvUiZHIiDivls2Li66xZhCvX1WDY5zzo6YiCFZUmLmVKQJLmvZnA0T + IDMrKDGfbpvvH5/cvj2Bcz3DMbwCvSAATgBeRSHqKiIRm8RuCFDAGQwHLwA77ofSRicEBYiBUsax + OeAjIAIwEXvu+hw9pjH2g2z1A5YDRj1Qg8e5jm797VohhE2C8ipOfDXk6xHW9ZDvt75w/3k53vsH + gEh0b5uiq39wdTtKKIBXoEhThPFifkPvBjQRrQdryHl+irYgeYp6dx5g2TxNNAPQOtoX7PbeSQZ9 + zoUH/F2aN09IWj9Dcz1mDgiKRn/1849+7t3v4hmPZnk7bqcyQx3/PQSgjhXtC7QuxEIiA5pIJakT + MOCl3LTsczn3b+/74K898RgfGaN0PRNRQ8QiYL5E+c0lKUB1j5kA4ZIDMaA4t/ijD3z4rYtydHc+ + aaCEnEVKP/JOSikMAKwgBdNe/Kcoz1JZjI786Pj2m6cTjCfIAVIj7MVdcHZ5b8w3p53ri321JXCp + T5p9tykQIgJIcyEQQkA//G8fffCBcqCzxBIoSEkCRA/JYEBkGJWCLqFLvvFKABjEm3t/4a8XWAq2 + McZsiPhmXKspmdbvl0U2pQoMqd8DCoN03/e9y8CpNHlASYgjKOfSBz+Bu2imUdYfR5sjEOdcnaGo + nbVrJ/Gc8yY8tV5u55+NMeYwqO/SdS6YiFJKRLR/XngzZ13fva/QbhpjjDHGGGOMMcYYY4wx5rCr + 6cu1SmtTI1ZKqZMR3nsRqVcA8Dx1c3XmIueMdanXpsSs/um9r3MZdW76aqkC2+xqTbYWEe/98++8 + quaca8J3Ha6r6HivTfuf0/Scy+lSV7pgBd2BltPtW+940WuJAKhABYrVf9BL7NwlX4IE1IblqMtz + 6xJR3uzqelnpC7e3pGR/Mf4lRwMXjdVzXXyol1jdaowxxhhjjDHGGGOMMcYYY4y5TGy++rkYIOJS + CjkAApJhWO7IuUVZutYpVErKmmqjICLtB3iP2jwKilWrcBIA3jvJRVIupbjQlEGOuxs+/I6PbuPI + sXg89clRWPZDMwpDlyJ5KLGClJVEACXURkwgAKzgzUSx7jUk37QlZ0BIWUgYQrV5lTIgUF53Ad5M + LbOSAFxIABTNkoTIeedIaRgGBkUfhDJDCoknh+zyWTqCG+645d5bbrnlD5/4N9/4/tcLOnKDckfk + HYMoqHCRnj2cd6UUFMDJ4Dpq0nfOfut3Hv6/PvGB3x5NRiV5grLWpgaeNDP2utn89Anw/fPIAkAI + DLGe7C+55y4BIiK99KIEY65xFyx0UYCUQaI5MSSE4L3v05BSSlKIqB2FnIfgODjfd0kHHcejjd9q + 4tHf+uAb77vjwS/+5WN//p2vYog5DDktyZNwvuCOaP8Ko+f4WS/TixraCF1qW5fYyEXLeKTuyf6b + sALwpAxlVgKYhd2AN91027veeNdtr3rnSboldFt6Oo4pJklbk6OpLNiT5rLsF1tbW0OfFCzEm7tb + R1nXYxchZtX6AccKgGWVbG1emQ6a4/DysJ5KxhhjjDHGGGOMMeaV43lqmw/n6bmXnUAFxGVf8a+r + GTAKIkKfRqEVdZ1oQyAHLS8wFeXFIwgpETIIyuva4QuqeIUgvA7jMcYcKrWhRk16wIt6sxWRzXY2 + HSv2h1sPwxBj3LQIqVdm5ove82uHixqwnVKqTcxf2kzrGpxcG4t473POl1yI8BLeY7WJzdj8ZdPO + Y397lNq0/Rr8vNs8ypvDf3mSxY0xxhhjjLlmhQAA0AjlVZs3P4bKB77whUceeOCW7//gJimtQ0mr + lZM1zJgUABPIiSPV2pRNwEpEChYAChIQXF3PuQlOFjjC5lv+KqdZ4AmSSgAgaAAdMgEsygByCWAt + eQQWLTUq2xFLLkRaWAvEASROhT1IS/FAAUJA7uHD1jxLbsKclz/YHv/K57+EI8cRmxqGqAQKgApK + PSAAUIUSCMhaQM4BXgUYwAkQgo8IGbGJblikdhyWSGMSOJahP+ivkQRRhRbEWlVRBg+/nfr/5+77 + Pvn4Y5gAFOAbFdRoYeE0lG7kRgAwCAKDRFQgyi5AuJ6UE6CePHTrJYW6ilwWRln9Vblkcd5DgVzv + IJEPfeqa4BQ515N42TnX9gXkkQEvBYt0bBCfB9DPXhZ8EU+QDE8opXjkEXVYnKc+wPviUFCzn4GS + 4QcQ9fA9ygTk1mHSRcG0WsUrkH1nRmvbwoMnWyugcLTK7V7FhyeCazBRRL37z778qffd9/YybC+T + gyOI805zKRDvW4gOMjAxqPC6YqcQls4BrlHBoESUixKjiDjpTqbyi8/Io3e8594vfBbHJj42Q4gB + IIUARVOgQEDqhxAjgJLFBVYFqQqUmbMUYkqSnXcBIJXQ9yDFzuLx93747WfOHSm7DZMkBRAdoCpZ + hZyA2UE0eUAUxFCGKFLBbPvIn0/HDz7xOJqjpXhHgEhdDs1AAQrBEah4iKBuTBm6er2AuCC5VYNG + ZoAKQBleCyBwAJyCXYQm5AVmy9d0y1EZDvRoqSYCmCGySvUm0CgN//aeB37ticew7ckD0Q+CSICi + 4ACvF4F4eDtlbYwxAMPV7w8CsJfNJ21w2nKZ1a9LTlBYMgOAL3CX7Uw2qXjqgAE8BsLYeUUBOeXN + 3OheLevmjH49q7xpxr35+/4E63q5ZVobY8zhsZmqxr556v1v15sZZ2OMMcYYY4wxxhhjjDHGmJ9m + M5uwKQqrydOb6q1aUFZL1QA0TdP3fa0s22xBRGrZ16b07Lnb35Q7XUUZz5s8b6zr/l7ITTaHv78I + zhxCm8jnTT13XVG5vlywWWNZc6brOjxPm4pNv+qezRDAox9SbAIBgIgImAgkpTjnVr25C+BWHcm7 + lGPwCohCFQ0DpaBkZEERKGEY4BjYnwO97vG92lqCc1DAMYjADALquo7goIDnri6nBIpgxKD1AtIi + WD2dBUQY+hIbN/R9bBtAsiTHJLmQg5Kvi54ZDCme3EXrYJVQIAR22FsiW/vJ0r4O6yskdch11Tzf + ZjMPo67r2rYFICLMfMlyZmOMMcYYY4wxxhhjjDHGGHNVqDNzdVrf0cG73ryCFYouFlUOfuiX8Zj/ + 4Xd/ItEnQtLimQEhhgNKgferOVBXQOqhvjCK60DQVFjgHaWEvuSxHLv/Db/65ul7Yj/qc68knlgI + JUlgp6ogFWxCrGt4tcjeI/M88c2ryM91qqrT2o+KAOULppUvvgkAsHLtPqSqikKutmhXKJQCi4oi + rIJD2afJ6+m2/+ru/+GJv3n4T5781A+6jifocwZzE1y/7BxpcCHnDIX3NEjyzuUm5fHOnz39+O5n + z/3Gff/JqydvavrC2pYB3gURJiJ2rku98+SkDrGsJugJrIwaFgtgPeksJEoiCmuw+9ISkRDCcrlk + RhElIlWo6mXuwX/V278oyHu/r77bBu6VTGn/eymEarq1AGDyRaWkBJD3tS8hsmQwsghA7BsFI4OK + G2FLdsotzRtuftfrfuktH//OD779F9968ls//vPdoz+e4ZxoJiI4gLQotPb2Wy1CAgFEcIoCsCNR + FQFWK4ZIRHXzPrnq57Z+L1V1XrV2PFMA6xVGTKqqUr8n1DUhvN5/AaBMgBKtb6VovOsXxSs3fqq9 + 04GuO/Gqn3/VbQ+8+cGjdHLkJy5HDJ5FPStRDiQlDwyGiIOHQzckEANglfXY1v8LKwuEtK6Uqh+Q + Utvn6aU/4MwrRF2VJCJ1meUhiRWwtkrGGGOMMcYYY4wxxlxj1nM96/8LAKoFuCGcPbNDPoziGLLI + aVXze/nVtCIosUIYqNMTWAUCrWecjTGvXKW2JwAAtG3bdR0RLZfL0WgUYwQgIt77GnFdJ1qGYSCi + EEKdenHO1VpxIto0CnkJEVFtQRJjXC6XtTtJrUt/ae/ouWrR+3w+n0wmWFfF1zL42gClZoHjRcWK + v2LUR6cOiHU8McYYY4wx5mVRW8VBGaSMZoSjR+976E+efP/9ZTab7ZwfAUQQoP565mhTVsAMgbAQ + 6YXf3Msqs3ov07qiS/2uQ3pBGqL+rF8CWAFgvVITChYwgwkgKXUZahoQ2Hdp2Ybtc+PRj7Ym9zz8 + 77C1jWak4HoPAjgISMGu74cm+HWsMRTF0WYRKAO+tvEDHOCdsihGowDICAWpx7A8OgxBDvYLrBKE + 1sUeWu8pTVL/c+cXOHcerqDdLsKD+qDEDAZF1w6DxODhGbW1HgGOIVRPEZJCCanvRk2E7DtpWIca + supPyM4FP/TqPXFkaAacKscwBjKAXDoHihxRED2GgrFLGPrPfeLXXjPvJsGXYThwmYSSCyEPg2cu + 0tHZZ/74Q7/8kc99FlvE4yN94eigGeQ8UIqUQVLjg4NABFCwc8RDKjE43ev/p/vTrQ+wL/XhpDo6 + QlQffAYA50FIMYYI6PCxxx/9wtvvp2V3jGTsOaUSPFHWIXfRN05I95oXbgZ6b8hZIVQ7NwIk49Jf + NxtaT59+390ffuxhd92pEQ/9sjSTVhUMX18jNdMaBCX0Q/beO6Z1gicYHNgDPMzPR3boM3bO/N69 + H7pzPhwbzjokkNAFLyWCcghhp1uciD4NOUSAUArOC+YnTvx1M37wS5/HyCMErqnvtWQGq2qDmvnt + QCAGU991TRjXIasx6R4OYAbLZmDXd520RAolgR3gMihjOT9x5uw4/z1eL6uL8jin1+52ODeDUxzd + zsI9vJcDv17aJlK9hjHGXPPWn2ay6rerq67GJJ7UgUotpXyZTtqSMhWgAAwwqRJEaf9H/77KVnpR + 3wmMMcYYY4wxxhhjjDHGGGOMMca8otW6pFqgJCIxxmEY6uV939cKpk3cdb3JdDo9e/ZsLXPz3u+v + lTPm0KrLFi9aN1EXU/D+f6LVEse8nLvpFEAPgajkHH3cXLtpQp8G55xnYua+iHcOUIhCBQKoIAuV + As8jBfIAEBSAQBSSMQyA+69/8R16fnZs3OZlTyrkqS4FBMDKpGAlUjDTfLEbx5OkghjE+3nR/+Mv + noQKQoOc0Y5GzMhALggBpUcMAGvXucmkJCkqMUZVxNYtl/1o1KjqMPRNEwA4zykn72mQ7DgQhJhF + pPY6v3DEVsuINz/XdYZKe0Hae4N7qTE3h0fOuW3bWshc28USkRWrGmOMMcYYY4wxxhhjjDHGmFcU + ZYYwOGtRFCIVybNunkkL14lOqZ2aNrOgm+4qrIC6ovUCKQXHtkfPnl2OxpF4ckRPvu/We8bdUVK3 + SeLkCztHPbePFF+qtdSlIq5l7099brOXAwR/7rtDrj/s3wcS7yRKprt/4ZduuuXVn/rT3/36333V + tQlRusXMe9+EOAxd12lo4aNLfc4lx8bN+/mR40eeWnz7X37qf/lvf+2fItzoZu3R9lS/6GNoCzCf + z0fbE5UMkXUzdlkdFYGVV7PM5vK7lrs3G/P3UROX936+6N2YZN13hqC87vpCTjn4MOQhDwyWE/FV + x2469ebrbt/RBz//3T/5m2f/6qmf/KDXpbgyaMc++xH1uS+66ldIBC1ICmbkpLpOvFaBrt80S42s + BurCHSIiZSXps7KD88REAEQkFaCo9wDATACpqmrWAoG6EAAQEyCqSqJOmcTzMhylSSjtFh9/4+tu + e8utv3jjyZvH5eh4tj2RLZddbVNPVG8o2PtkWWdsXzBSF39m8XM+1yzQ+hpxCD+PLNbaGGOMMcYY + Y4wxxphrDq/PW3P9QwUA+h4iJ2+4If3t90vKjuH5EnO9xhjz0mLmOuu2CaKu3T36vh+NRjln7z0A + 732Nc65NPUopNe66bgGAc05EANTt1IrxuvGXZD9VtWmavu/7vgews7NTt/+SbPx5bJKza6Y1gKZp + iMh7z8zDMNTRqId/CCeiLrfaAmY0GtWscaxjv6/0fhljjDHGGPNKttdgjTEAACIAR5hGyPQ7Qm3i + 60LLkrVkH/2uRAYa6jwEBCURhZIIAisIwqoEKYxCAEB0QV71C9olWgXOrpvWCQGsIF1FTm96ibGC + a2c8QFkgNXi3EQGiDCkFn2lAo7tnir7/4cdx0/WIDXBhNzICFCIlti0UfS++ZQKgRbJG1wBQZkGj + aKiGTwtI4BhZsvc95RkW579y7wNvmu+OSj7QkRZCHSsGIHWsyiQvb97Fl+6/771f/SyEEOpDQshQ + 8ezBAUMBOxChCDEIuXgOIECQk/iWfYwoGexXTQJXDzKwFwbtl0ldQwMgQF+WEz8KQO7BzjvnR9RA + V2nGJNpgwHKO+fzIM89s9YuuT2MPLQcrn0hQ0VQAH8VlPZIXb//Jzh+9686PfvMLqaTGHQe8RDgF + SuMI2wwUQATESD28wvnoHbRGRK/OjNZrvYjfojcx7dBVTPLeRgi5kHeB2hEmdOeXPvuVu+9pdheh + S+KxyDqOwYGGoY8MUUCYVtsQp2UkZVWyQ8wKhtRN1+EaE/Oif9vg/v37PvqLjz6E5nxzy/UgouRA + HoCUwtEVKewcefZgAFlKzrlxjScnXXbRA+I44KkfYUhfu//+O3Z3jgxdYFmdUVAIONcgUlXWIn3Z + BpUhB48yIAMM5OMnHx+3v/XVL2I0xngMToBb5NLE4IAX/nrRXLwbASj1RLRDXdFKQEPIAxpXX70F + uvzMA/e9LaU2H7LXi4sHefoYY8wrFu3NPa4qNwVwJEqiJARB/cRUZhFArc+rMcYYY4wxxhhjjDHG + GGOMMcaYwyznrKohhBpoWkqpsaa1Tk1Va9A1M2/6UZ47d05Va5R1LYjbFIUZc1jJ3gpbXbV1BbBa + b7m5hETr4g/KPGkAGbJ4H4kR42pV8ZCG6PwwDD62AFIW75kcD4qRcyjAYg5i9B2IIAklo5T/8W1v + leXiSBNyt/Qu9uxG7Xj+7NnXT6ZNET59OrBTLUVLXQ0IACBSsDJBWGQ6He/sPNuM2tlun1TjaPw/ + 3XKTa9p53/nxeNZ1sR31KYHdP/vGX6AJGADvyROG8y545xzQC7GC/MgPUgK7phn1fe9cyEnadlQS + Gudr6rYQyLmCDIDBdWUirVeTXoAAYLNKZlPRT6sb1uWi9v5wJT1/UnWtVKV1R+P6WfAy7Zkxxhhj + jDHGGGOMMcYYY4wxl58oMVgkETEzsuTd2Y5IxqpVwE+/Ye0Ds549cw5933sPzcyF3/mW95w8dgOf + 51WDnqtT4ZyDkKN01r16/Ob//N4bHnvytQ9//fd3Fk+Pt4M4XXQdwNtH26xpkQffQnqQ6Inx+Myz + O1vjEVj++e/8s3/y8f/mrafeMzu9s9VspTTXQFvH293zs3EzVojUNlykWDUvEoHUZOv1bLIAIOXn + f0TMi1Dnf6/BBs7GXAl1kQxyziB478mpcmGOU+dGmPzG7f9lJ93TO099+++++a3vP/l3Z7+7m89l + 6XyTl2U+aEJgYhZVFSL2hOQItIrXVtVCBKrtB7WuL1p3UlNRIDSNCIacRIQU7OCccx65L8wgKJHU + cGvvWZm6PsExiyMNlMnnGGTUlvH1W6+67TW3v/m1bz85vmGkkxFtxWWrmQgERl1VSET71xNewUE3 + V4vD+UlksdbGGGOMMcYYY4wxxlxjVgkuFxa8iqAZIe/85OzZ1zUxd+edczIUsqpYY8xlJiK1kUeN + Z64pxQBCCM65+XzunCOinHPbtpuU69rUo5RSE51DCHW6rt58k3s9DMNLuKt939fte+8nk4mqbvbn + 8qlHWu+0lOK9r/eYc8b6eLEO8978eO2ox7tcLjfrQizT2hhjjDHGmMtqE327Wse5d5KJszrfTj7x + 5S9/+s57RrNFPvfUySb0fWJXv6UT1vnT620JCLxudodV+i34Ra2yq5nWQthbVrq2P0SZFFAwoZAA + vI7BZgDLod+ahGGRJODZNn5/0rzl1PXJtcHFukHehD0TQBCt/4dvWYG+7yaNc351NKpQQGkT6whm + QOGpYHeGbvaH93zoTU/9cHvfVl8EAYjAkChpq8u3JP9v3nfnr3/tCb+NLe0RtiCeHaNgKEBEAYaM + kScHR8FBkboSWucbXsWV18W6YNnLL2eoAAxyq0W4WGUbj3xkaL/MbeNXD+EA1BziYQkuGJY4s/MH + d91zx5COe48okstBy06aJhbNbdRFJwE4Rkg7Z94oUzz1TLzhBIIHteqieF9HGApkgQM0IzqwE5WU + ECPXR3/1GBLciy3a0NXYAxAGS32IGYv54LdigXgKGBH88jtHJ+2CmoiYuW0dUpoXTAIkrQZXa09D + gLR4QX0xKMn6mVn3FUooUvzQnSrcKv/Ffb/0vaPjjz3yR/Ae8SgoIhIHAgk7V7I4x6oghrBrIpEk + ZOJlh95hMUPJv/exj79mZ+cN53eO9gspyLoK6lawwgkEpMoiChL4NkB0MeRxgMT2R8p/3ba/9dUv + 48g2FPAOKEPqYhzvH6AX8nqB8/VNhBSbNwJB3XeMPZCA2S7aDqd3rpsttvvOyWF7vRhjjFlb9zIu + e2+QKiRCuimaVIKrHxP2FmqMMcYYY4wxxhhjjDHGGGOMMeYQ29SLzefzTZppbTfpnMs510xrEWma + ZhgGEallXwD6vm+aBuuiMGMOK1kveOXNgtELrFcwAlzq0lKOgAho5GOB9stlaMdaCnkKkZeL2agd + QTOSODBmffQe3RIhYEhQ/OO33LpF1PRdyN1UIfPZzYGjStwdRo4WXbcLuG64vgmh73ToBJgS9aoM + FF4tMqxrTkiJoUlRdnZbwM266yL3g9J8sUUoXX99G3fOnL0hhuHMGfasSv/i9a9/NjjZnu6mlBz/ + 73/5dfiAXDAaOwJ8ABzY1QWMsWkAaB2ZumSWCC4QiqLw/sGqoySrwGpFpkuEVe+/hC+IDDeHT61N + LqWIyKak93D2kDXGGGOMMcYYY4wxxhhjjDEvHNHfp8HPK5aqKoO9E5HZ7Dwgq446BCGwoPYdUgIr + aNWsowwiAAAgAElEQVRrRZTqJQyI99idyda0KUs/1aN3/ML782yATK/qBRNKKCxpKFvxaB4GdMNH + b/8Hr7vx5v/30f/773a+V5rUxChMi26pLORQFE1kybJzdnHq1HR3PkuSZYR/9el/+Zt3l1848dYu + QTN5boaha7zLeXC8lx+utGqApGChwkqA1GnldVsGS7Z+6enKld4PY15hdP1+pfs/B1aRz55YIFK0 + lFJQVJWVadZs+cmkPXLjz7/2rtvvO5/Pfuep//BXP/rWt3/41zM5vyzzlLKSZBJVUFHhHpo1q6BG + XYNIQcKeiKAEVRWsVvJAkaQ4OO8jAFKoKoqKaBMiK0QgqZQsAOBCoDDRKXVM6ho3OrV93Wuu/7nX + 3/zzrzp6043bN8vCxSGOdBp1RL3TQUWVRsgodWEhEdnbijmQw/mEsVhrY4wxxhhjjDHGGGOuJeuz + lIz1fHD9iQUETKZhe0sWC0eEUshqYo0xlx8z10YepZSmafq+x76s662trZwzEW3qwOutRATA1tZW + 3/e6bzlASqluZLPZes2XSg1RrmnZOecQwku48edRs71VlZnrkRJR27Zd1wHw3uecX/IY76tCTfJm + 5vokISIRsbYvxhhjjDHGXFYFQoBTEAkAhdT4XE8TyALH6MNffeJzb7/z9qM37Zz74ZZnLj3ATlUJ + olCs4gwdSm2EpwApWMEFQhekUL9wNdNaahUE1rUQa0rAOt9a4Wp8sJJkNAA7CCgFAZWkinMx/MXJ + Uw9+/nH4ceAWUgC+sHkcA0LsOkkejSP0UkZNgAy6WNJ0S+EYzIraoC4TCoFUGx2wPI/TZx77wIfe + ubN7wyRy32s+wGGSwgEk8JuI8bpjJN5ha3buHTP3tXd88F2PPoRRixMOsYF4gGN0AzBoid71w2wS + m2HZxfGExm6ZET20KErywUNlfYz7jlcB4SQaIxUgADrM2wjk5NupQjNRn8pWdAAUPYWEnfN49tzX + Hvjoe3eHY3nZ5WWfERnNQR5WUnT9MJ6Oh9mirQ/CyIVluXnov3vHR350dOv9n30Y22OaTCk0A7ms + 8CnHyKCUu4UPragOUIpxABzBK6/KNIhrsvWLbwtIAgWt0qkBwngaMzBfpOl47DjhVdf95iOfefTd + d5w8fz4udk8GPxRMIiBgjyQQIlaQCte0dwUpmCBAZiiBBU7rsw0akFV9zMPimePLnVPdySff9J6n + 2+aBJ74IB5yawoVUwHHihaEgQDM0QpBcHjBbYnDYXT585/uv65bviijnnhVRNKRFnQMKK1whLrzK + tM4EUjCw7NLEIQAo8QfZ/+mrr/vkE5/DaNLPu+boMQhSLjE0q7FUQA/yeplsgzzXQhoFWBJEoYTi + s2BQDAOe3fnTj3z8DaKSh3CQx+uyv178y3ReyBhjrgK6/pOgQAEIAhIlAQlUZV+dL6tNQBpjjDHG + GGOMMcYYY4wxxhhjjDnUSik556ZpJpNJKWWTbK2q9fK+72v5Wy1tw74Og03T1Go459yVPAZjnt+q + Q7YCsloxSlitHaW6nG91Yc20VnABinADEIkfko8ByEkHyhR8GI3HKAW9IBWUjJSQ+n/6pjdS0WOT + sSyHW4nGnofFPDIFIh+C5tT6kHI6n7XxGAFNG8+dnx8Bxt6plEE0BiwLCkE3i4QVBFUAjvyo7XeX + 01E8uxxaT56dpOwdSSlH25hSGjM54lyy68tEY//MWXJ+mfL//Pq3LXLOPixAnQv/4ht/CU9oPRzQ + NplI4H3g5RyjEaQgQzkQ4ByIdH/m9/ovhAIp0LoitA7ehW8BvBp24NI54ubl9dNKUEsp4/HYOVff + w7338/l8Mpm8vHtnjDHGGGOMMcYYY4wxxhhjXgpEULXe2pckxEKoo0MEsM67BZwI59WU8epqUGJA + SFeTnEoilAEmZYALSWiQc446ffPNt18Xr2+6iWYBX8UtWUiZdRydShkClUjb/dnlG5p3/ncfe/3v + f/l3vva3Xzifz8Tjse8kK5rohy4nldaBRnz6zGzr6KTv+87Nf7j77X/1yP/66/f+p289+e62bAWE + 0iVBN96a5q7suzugtsQhgdaZcQYJ1VBYZZDwi+rHZZ6Hqm66VavaLL4xLyEGaj81cO1lV1u0iSgR + SIjhEB3rppf4oEvNROpC2TqG8Tuvv/GdN99XfN7tdp46+8MfPv29p07/8JnzT585d/rc/BlstR06 + EXEQciAm4iIktaG9siqBmIjqej8pkkUzVnkcjuFIHavXBQHBwxOC5+jYN6Gd0PS26267buuGG6+/ + 8dTx66ejoyxOe9JM7W7rKDiQppKld8Q8YhYMogrUTGus1xCSffkwL8wmUuFQsVhrY4wxxhhjjDHG + GGOuJeu54QsyrUmgQBrg+Gw3zPvOBVeG4hwO3ylNY8wrU51v6/s+xlibfZRSANS/194fF8U2j8fj + 5XK5+bFORjrn6jziSz6B55yru6SqXdfFGF+eOcKcs/e+Zlq3bauqNcRaVevhT6fT+XyOfd1Prikp + pRplDaCmjA/D0Lbtld4vY4wxxhhjrgH1FNPqFyMBMRSI4+zVc7z7c5959P0f+IUTp/rTzxxncQKA + VVlptZh7kzmtAJR1vcF15vCLsUqzvjDTuraxq+GJTjZ3uc4iJlGIUGIgeuz0GI5N/6Ydf/iRRzCe + wjcQgLieUiNc0IGuriV1hFwQnGNkLHvyHt2MFCgeSiDvHfuaMNwP6BYY5g89+PG3nF2cms8yRIF4 + 8MN0Cujq0KDrCMmCRvLrm+bpp05/8/0f+u726KMPfQqTETSgaUAUY4gocNSIYDFEH9EtXDstHp2i + cTUCuD42wuB9jwSDAAcReMBncNeRZ+wuAEF5lto2EkWOmAOpUDoP5H997/1vnC1fvzOLs/MOCNFR + Kk100pefdmiX1IIwX0bPJQsRFvMCYFz0dTtd3Fk89q47ztz8qk/87u/hyFaMIToPBTJAgyeg7zlL + O9paLudhdGFHuYtaCr5gfPGNpC6kzgLH0ITpuFEgiQueMJ7e+7nHH7nrnteFST79zPHIkkUVg8LX + dniUlQBRXQe6k14YKb5/lxXzRX+s9aH4fufHN4yOn9ldfvk97zp/46lvpd3//pGHwmgK6pE9lAEQ + i6ceLiP16NLv3PXBn+vL7fPlKcbszNlxG0jLopcIQGp89uaO9x58Zkyj15RT8GdH29/b2v7k449j + e4IwbqYs3cDOhRAB7oa+jQ1WJTEv7PXiPJZzgKGutr0ESRMKkDEIugGz7g8+9OCrd2fHz5xunXgc + OPb+8r5ebPW4McY81978o9QyVUBruVH9MxQmiAVbG2OMMcYYY4wxxhhjjDHGGGOMOcxqoOmmg+0w + DLW6jZlFpO/72omyXl5KYWbvfSlFREII3nsAmzBsYw4jWteb0+pHXa8dZAC0tz6uriwsgAKO4QD0 + giIgwtDF6CFA36MULAf05Z9/4hPf+9Y3tyUdK8OtgKY87jj3vYo4dp5ZlXaHIToP+HNdOhGi9/7c + chGYu/Pz4465qKoWQQKorPaqZnDL3kpHXmSRvjSxWXQpOPY+LLu+YQfIPJX/n717DZItu+rE/l9r + 733Oycyquq9+SUhySwg9EMPwkEBImhESCDSMQTMYYyYMwziCsGPCE56Y8Bc7wu+YCIcdeD55MGHH + 2EEMOCYwhG2QeUg8hYQQQgiwPIwBGYTeUnffe6sqM885e6+1/GGfPJV1u1vq2+rbVa1ev+64tyoz + 6+TOzHMyb5299vo3WQxYBSq5EHDApGNegZDH66By+/YRWJBHUJ/iP/7Krzpuwumi+bGP/BHymAjo + Fhh50SwwCLchMQmgagw+O6r3nr1akLxXN1mrYwg2jX2qrnSXxtyg/A7MvNlsAOScU0qqulqtRKSm + XDvnnHPOOeecc84555xzzjn3ZUMVRMEIZsaMXIbaImCa7Nxr8ELGZGBTAoxgpAawMoyNVIBgFEr8 + hq98bbtdteiIon0JLaQuHBvbaIEZhlIsIazilY6uLOzwe1//QzeuPvSeP/7lzz72ycVRJ1HGPkcG + KUZBTMRKp+ttTGyx6Mo+P37mn/3Cj//Qt+FNX/XWk8/dPlxcDU13crJuQwcwTxPKQcnIdG/SXuc/ + GT7d/MyrHZ6fnz2cnbsoUx0dEYMUULWcRaExUOBIIZiYGUU1DBBI5LZrV9eu3Pfyw1fKq4QaLTau + 5fhjj/75yXjr+Pj49vHN26e3T9Ynm+1xP26VzEgFphCtRzcpoDFwrUMi4kSpTctFs0zcPfjCB7tm + dbS8enR07crB9aOjawfLw8NwEG6FpE2whJFoGwGO3ASK41CYGWQwBptFZBWBEEdGqMWEc7UhM/s7 + jHsq5v1kv9C07ksXNCLAY62dc84555xzzjnnnHMAIIKuQZ/pcBlud9QLM7Ig+sSlc+4eq+u6azBz + Smk/uLpOwtWmHm3b1qtquDUR1cXhAEIIqlrn7UQkpZRzFpF5s8/UOCsRqanJzDyOY9PcbQDZ3akP + H7uHCaCUklKqTU/M7PT0FLunpSZe39PxXDY1brw+8Jr23XVd3/eebO2cc84559w9xajpsByD7mri + FMJgRCFwwouvf+tH3vfrr/2W19y4NhzfXBoMCmMgkBrobOEBWc0XDgoIK4iT6l0m1zIMbDol6eJc + prUwZBdrXa+q8dqwFlCErAQQFIiK4crqPXH1fR/8MFZLHKxq8f9ea77dV9NfzMQExAACbLMmA24f + wwqKIBOIESIYMEVf3vutf/PqehOxfY2VZn0zchRGiKSD3G1SL+pyAwMbYAyaHjsR+s36WtPp5z59 + /3D/n37DWx4Ndutw+fZfeidShAm6hDwitggRxFgdyPYEi0MQCoAQY42fNCNSnlv/EQD0xVJDwYBi + MMJJj/UppEdUnCq6DiXgpr7zrX/jxU2HRz/9zRgX43phpYvQAhulDSn3+a4LFsnEgGKhW5Z+s+yW + UB3HPkJvcLpu/LGP/Omfv/6tn8v5U8H+9nt/CyGiiyg9GsYw4vAIMiyaFqIIDOhZH8a77xdK+wWX + Zz+uAFPAkNFFaAYTKEQACAU3brzlg7/7k29849c2zeLm7dV4miGLti1jTmYgVYIyYGHX6zAzEBUA + eBd0zYxoCMBqEYZtMS4EaP9IMnx1s3z0zx95uIl/9rrXD8VOc+GQOCQzM0ggkOYFIWr55lwWRbkv + AyMCNJaituxaDAXEZgogmMJMABhYERQByH0x0PHi6LeX3ff+9ntwcN1iUhOTMXa7TpaEkFoBQk24 + 33+GvtDxcgpVFIUFIEIBKDgjD+9989uurDdL0q8vwxKIyWQokYPZJTpeGDjXodI5557nDHVtZAAA + VRigDGWD7v1jzDnnnHPOOeecc84555xzzjnnnLv8RKQ2CmRmIgohDMMw9wpUVeapvq4uLjOzGoA6 + 38Yzrd0lVws7ANoVi7LusqsJYCDadDsCAiEQsk1ljQgRIWJ7itjgeANWrE/+o6/5uvtDoydbHYev + bBbLmGTctIQYcLrZHhJi4I0Iqxp4BRqkHKTFDUo5j2PWA17GyNtxGyUY7FjyEaXDlE7HDRPLVLrM + AMimQ+tq0/VjH0IcTRdhse23LaiLaTP2N7oOpON2HMUIaBijWkTIkI5Sb3kR274Mi5hQxgWhG8dV + CeM4/GcveljapsTw3/zRH6JpISPaCAGhiRZADAA0Z1bX50sFakAAR0wVlLTfot12/+NpFq+6e+HJ + 3qLnd+8Yo5k9O4uInXPOOeecc84555xzzjnnnHuW1cREIgKsNrwVyQZ5sglNNlDt2YI6Yaps0yyu + KmJori7ue/jay5flQHs0FMRg9FxNtiboIoRh2FJoF8tDqGgpGDRad9S88Lu/4YceuPqiX/jgz37q + 5P+j5SiaDQiRN4OmIMuD9uSxYblYbIcRzLkd0DQ//b5/fnx6+9u++rv6YUs9B26ASEYAozb8oQKw + EZQAQGk3O+/uGTMPtnbuHmFMndMUQG32BaBpkoiIoKgCQOAUGuaY+9w0LZFlHQBt2gTS2nmehCOa + ZNGEbSQRaeXag6t/raxGXIORMhMFEJmyrrfrYkVVxYqoGqS2cINwDG0TU5NSjDESk4GUTJSMIzOD + 2dhGw5bMKIUIMFtQATTCGBIAXsSFqhoUIWbNeexDCF23kGxQfytxT9Pl/BzyWGvnnHPOOeecc845 + 5543bFoxO08S18wRBigEiGLR/eA7f/5PvvEbhzySoklschlPazrnvpwQ0Xq9rkUt9ZIaVMzMc4pz + CKHv+3pVnVmsC8Jtz/yDT7bZL1EppXYhAVAjpWOMz9py9BACEdUB1EYn9fIa50xEOWcier5lWgOY + dxJmzjmragjBM62dc84555y7d6ZY391ZpgAYFDCQIvDUdq2JhReRy1t+/Vfe/da3PsiL+/rhypZa + ORc4TTa1dVMAUCEogwxKCHf/m1w93cWGOyJvFTCazoApEFDrXJkAgwYDEcywDfh8u/jYwbXve//v + YrHC4TKHYHNjPjs7n8ZnW9aAwIBkcBRKDdabH337dz18evtGP7TCCuojC4EgN/ry6pvj1TwqaW/D + 4Wo1bjalWBT6Ugr4yIBdTWsAQBwTjWP/ULcYbz9yAHlxd/Tp/uQP3/At2xSlbY7HYXF4dHzrmG/c + /xeR/8Gv/0Y8OjSI1Z8GFSCdf0orAzhSFgQGzNAPP/7Wb3vBzUfvo1JknZONq9X2sc3LcfCNW2s/ + 88nrCKAtuBQBGhQgAExESAY5163vizEDpzBmkX6kuOiHwpYbboqOrZnefuxhle6Rzz0Y+CVt/OM3 + vfF2c7AONEjfLLre8BiHzx9d+Q9/9d0gns6L7s6OnnUVvCvT/lAPAQXAUK2JyVARDgEQQKAAL5Yw + IHU/+Du/g+Pj933TGx7meMOk3xw3ux+vmwRBjRlKANmdh0C9YVaglxhQBM2Stxs9bDGebB5ugK2M + x0MTqJhZ4KEoRbAhGUVYrbBWhgBNR5utHSyash0TkfZDBlqqWd9CU/Q7wxAERuiZtqnZNgd/tlh+ + 7wc/gKtHACHwrpGlFhkiFiAw4ewczFM7Xv7J27/rK09uXxlGQwMLrBFQ8HB1GL7mZH1l3FK0oagQ + mjYOZsQMNdzN/nPuaXymjxeBnKW/O+ec2735kyES5Ilu4osnnXPOOeecc84555xzzjnnnHPOPSeE + EFS1Luaqa9PathWRurCrZlrXcOumaWqgdV10FmOsq9vqLT3Z2l1aCt4LseapCne6CgCEwHNyswKE + xAUAMtDLdIvTk3/4Ta+j9e0bZC/c9IvxNJo0FK1s+yGvIm2KtaBriccsRfQgpEFKgXRNZ2Me88gU + DGhDyjLKaA1CQYmIB0hqtB43c7NuMrZdpnW9SMcxwSD5MKQ8bpchFcmbsWdANB+PsmQ0kXPWQZEY + UASwghLCtgwAxLYMyLhdEFJBsHYQs6LZ8N++7BW3FvFW4h/7l/83mhZsACN1AFlDuZbF7upl5hHW + FPDz2dUK8PTtfKG/MVwCX2DdcV2um9JUUt00jb+fO+ecc84555xzzjnnnHPOfRkgoksZHXhBjA3C + TCBVVWJT1f1JNLtzfqy2bqqdcaZZUgKKYLVK483ywhe++Hp3fzruGKGUQvG5Pb+Wh37ZLftiJ8en + TdNwYBi6eBDNhtubb3nZW64dXHvnB376//3sH6XDONh2LJIaqGCzGa5eXW02G8QkrEI6Wv+offaX + PvzzJnjza962CtfSGHftGAgIbAZEQBXEsLNk69oqZ5cm7u41IjL4e4Rzz6i9t69hGIiIOTKzgQGY + mqmtmm4cR1WNKTCHMmQ1CSHAYNkYTJQANuGGwkFgG4TJjAComUjtB8d2GK6LmZEozNiIyAhEgWNj + RjDQAAwaiDD1WAPUzIyJiIiNASiQtRipIpAxUNuskZlILsZkBgMocIydmQw5R0Qzq5WEtdrwgp5r + 95zksdbOOeecc84555xzzrkLtXdOu56t1GlBMYeaPMSGELIpM4ggquyrY51zT26eLaursomoZgxX + IlIXbNepNewSiOefDSGUUur0Sf2zpjXX5h13bGr/i3rj+QbzF/XaupH9zT6Z2iikDvuOSOz6xfzj + d2yHiGJ89mZY5kxrALUBynxVzbG+nFNQz466FwGIMdbdDMB+1wDnnHPOOefcM8wAZRAsQIFgXH9Z + AQTgufNaREJY4QUPvO3D70e//rm/9tZveGT4ik3OsgkBW0GXQEJgGkRH08MuQTVnSU+WgvgFzS3q + 9jOtBeAANRCBFZA6PpBxjc6tgdYoMOBWu/rwjRe8473vw+EKi6YnroX+BMEueNpoDrBVAAEMEBli + AEwhiowHNvkrb58+tNlGISMUZiE1llbKyoQYyoiE9bhGCFEjqwJydzG9j3uku6/ZCoQMgdcyIGmj + 0Hx8v+JaYSESxMKsJz0sPlZulaMV+hFLSVGZAgAGERimwC65fJfnrQQFKNRQ5wF5uLE5ffk2X++P + AwYjyTc3Qbkt21a0YVWTugQlELSAGQoezSgg6t3FlnP9fZ9D5pAZRHFZgmkJYCHJAQgYbMvAqqBd + b26cZiNSknJrrTE92nR/phHbAU1AbEABhP1gZL6r9oCGs9UHdPZ3TbZuEof5Sat7S92ZU8LhIbru + jR/50P/++je99nhzA4yoY0FsSMyQEQI4ac65jSTFwnS+hQCM0JajqkZCYTUCRZRBW4aNSICNDGgC + TIwJEO1oums2U4AAJZByBPJWDwLrdowEgxkQCYoyAt2iLdshIASwiIqJpMVnkLcP3vfH3H3fe96H + wxUYaCOZgiAgBseYYAqjoOcaLz6V4+X+7fDS4+MHN1u1BERYAACSRvtOemOFIgUkQMeyQIA8rRjy + e3i8eP2tc85N6qpUmmcfiZkVxlqMmERNBW1kE6GUNA+XcB2OiNQ1QqWUev6/zm6EEIZhaNsWQL1q + nvJwzjl3UercaNM0wzDUS5i5BkvM88jzFLC3F3fOOeecc84555xzzjnn3NMzTw3Pcw11Sdf87XyD + uohp/nZe+eWTFO4yY0BBAMlU4we2qfbDCEIYa3kkwcrYcoQpILCCUbAe/odvffvmLz8eyF6mmdUY + AkADcQGsBMECyEKR4igGASEKsBUzBCPdlBFTkW4B0NtArEGhEAIEI2ozdMCgOh1JOtdmgkCmvLtU + NBOjWAYjAAr0RVJABrLodEeGCAI4m2JqbotsACMaACSClaEDtJRE3BZ0p7hG+O9e/pqbojdj+qd/ + +icwQdfBGBREzRiRzAA1SYgQYDC0BAUiw1DvWolxVpB7l2Wr7iKY2f7qVJ90ds4555xzzjnnnHPO + Oeece66a1hui9sW1Oo///G3dek4IIZdCxPPzISLEX2Re7HFZ1wCgoySsXvbil8ex0QEpBYokT6OB + 1GXCMY0lM9C1UYhqx1uBBmirMd/svvrotVffdP+7PvR//M5H34VDHUPPAQEQ1XW/bg5SP45GSBSH + YbM4WN7afvadH/6Zra6/46/860fxPhTpwmrsx2XbkWEcRyJuumaUkbHrsGxsu6lz727wzBKRlNIw + DDXfFgBAZurT+V/Yfu/rqUu2mdkTvjE4t99Sz7Arrpv2IjOACQRokU1gBAYMJsxIRC0UWiOxSY0U + EKUMqICZkxrDADIQQAQQYCq161uof013a9i9j05vpFO/+lokxQxjRf2KAAihcFBS3m3bTMkAVgAE + rc3ryIwNRgDBVJh47s+PvXtx7ouaUxXMjJmfSp7Cs8Db6jnnnHPOOeecc8459/xiZ3ORCkDBAAIA + YkRCjOJzlc65p6AmN9Qo6JoPrapN06hqKaVtWyIahiHG2LbtMJzFVNRvzexi50iYuZRSW5zPmdYp + JWauozWzml2Rc74jTPpZVp+6GON+7Ler5kzrcRzrTpVzrhEjzjnnnHPOuXuqRjEHAMZGQtNlAO/i + kEOLJaNL6Bff874PvPuvvqEfTq7H9lqi0PemZGZ9sbblReLT00zAchn7TUlPq055P7a2VjrHhnIx + EZCiTUGKpNVhWZ/EJvXjEBWxxWh8m5r1lWt/sli94zffi8MrgCClXYl5ZhCg2K3BmC62uTHernTV + ADWUcjSO9237+7dbVgYoM4zMWMnQ6PRT80jZwOC7zPFmTKnQoqyYgroBg5Hq1OzuLLU5AEGAomxQ + EhgbEUzY+JGugRRYgbVnv/ESQLuTg3OEM51dACqAQIfDPFwdt/f122BCgEGnV4Gmctt9BsjTLT+3 + XeX/1EGxtlKc/j+7o2BYFAA5yAnAQCkgcMtij7YN8ghrwQoiwVl/wKfZHLA+RtS1OAoDkdZkawEz + zfnfZz0Iy6KNXYD2f/v97/mVb/prr4g30vEjDxytNsdrBloGTAwSIsZiiUkIqsZAIG6b1TCsE8K0 + Lej5JVL1QdS71LozBJpeO5uGyNOIDbFJNmYBxBATSkZ7kMpJ7hLKdlAgBoIgG5+m9EiXbt7/4Bt+ + 7v98zY2HsDpASmgidIQYYuBaxl0fJO3t2YSnerwM4/W+v3+7hQ1KJBRrnjqjEGkdfz20Q60Gv6sA + +OnJuZfHC57eDuScc19u5nfSQIAyFCAQM8BN250WOzjsoJrXY6KgZfiiy1kvRJ0CqPMCNdCamUMI + 4zi2bVsv8Uxr55y7JOpS52EYmqYRERGZl3rWN+36Xh1C2G63i8XiosfrnHPOOeecc84555xzzjnn + 3KVDQDQU0RR5OwxdSCFMBcBECNBtGdqYSHMTGcMIGcEZ280//LpvvvLo6cvjqu1vBgQmFlMhLcHI + FAQz6F49iRKxzQWGpgQjGOmdozEYne9oOxVATtdXvFetqudvOd/Y9qted5fzVMxoIFXS/Z7Khv1K + RQSomkbDgkExbW/dWqVulfQ/ePFLygM3fuz3P0QhNW0DIgQ2hhIaCkBQgBcEQ8kSC6FlFRNSCiy7 + wdRhBK89dM4555xzzjnnnHPOOeecc85dKINgyk0kZoYxM89Ng3G+lROMYWwEzDO2BkCnaFBOUbhK + cxgAACAASURBVNvrqxtUuOFGa0OX5zKrvXpIgRqnWpspGQwiEjmmjHHUl1x76b/55h964L773vXh + n7d0s5dtSgmtCOfjdV6ssF0DKMs2gIt0corHfv0jvzhutm9/7TtuHHxFHvq4iLdPbx+0B23bjuM4 + DsKBpXYXOptVv9tmO+6L29/VnXMXh4wgZKACi2TKxrCpJxxbAGxXfXRW6qM0J9BP/cTqpuaNTr0C + bb5Gcb5fG6Y2bbS7lmFTfzybkqt5t319/BZ4r/NdDcf+Ep8F5y4bj7V2zjnnnHPOOeecc+55ZM60 + pjr7u5euAgZCQIhS54nNJ9icc18IEYlISinGuN1u64XjONbp+ZoMrapmRkQppZxzzZAehqF+cbGx + 1qpaH8VyudxsNnWQ4zjWa2tid85ZVS8207qOs2ma+tzWcOv6BF7UkC6bxWLR9z12Lexrt3ovE3HO + Oeecc+5eISBMzebmakoFMUC13JN4L6U5hZDQHcC2b/uDD/+LN7zxr4re+sQnHghNkMyBlmxlUBFt + AQAstgywu0p5vjN5GUYQhgLjYE3CqmlkzFTQcjpdn3QUtpTRYeyBED5b6BP33feG977/pYdX0C1g + hLaFIWhpA0SHwHHXp26+M61f0H78c/2zaFc0mrGBoEJsBCNEAe2WZAQCEaIBELan0ZaODEkBYRgr + CGRIhloXq1A2ZqOozKYBUEAIxiADG4ddUnWwvVhoOl92S5A573l3VSDNYEANRlRAhTAGDMGEbHrO + AQQD2R3rUgBAmQGKpmS7qt+nxghK04CDaTRmq6+HGoOBVLPU55cAAOX6KOrLFi0EZJCAtT60eYcJ + 2D83+tScb33I55KtAahAC5gIgXbbNy7DQF0LEJYrmH37h97zE294w6v5mm36a027kGy7nSoLmjaJ + 2KYUA466LvdbHjYtN6rGBghAxGYgrenaZLrbRWkOj64J5IBR7QVJU6Y1SIZRmoAUWYqOIGrs9kk+ + ZBQFAhrGmEtCexP2yH3X/vz66h3v/kUcXkFcoGkBEpUwhWYbTS88IwCkmNbD8FM/XlqVGosOUjCU + RYGgCcqsLaCgPL9EdZ0P3c3+c8+PF1IYe3dJ55zD4z9Rra7w4dNxTIFlLONQFqkFcJrlqAsyyl39 + k+Beq2HVpZSaaU07NQy1zhGUUlQ1pVRvdtFDds655zszq/On2JtIZeY6SV3fq3POi8XC37edc845 + 55xzzjnnnHPOOeecewIGMkoKFBw2rZRSSxJNRgqBoIcRyBsYYxxQGOP2v3jNK1bbzcODLGN7fPyZ + ZbvYDNvELKy1Vo8BIQidrWQHcv1rrv3jx7XcPqsspcc1kd2rVa5bOCs42fWTxf4GjQGQ7XWsNcIU + I20BhQAB2CC0F4Ztu6LZ6YeYMRVArod8mLggB8VKtP/4Z/7JS161UfpU7n/sUx/DMlKXAkcVyaqS + mq2UwxDRMAJBYaOmRZzLovVcGLf3tHXOOeecc84555xzzjnnnHPOXZi6pt7MAAvMZhZCIg1PMGsL + AFA6P3trwJy7rNRYe/+Vh8zAMeRciOg53oyFjRhgJQWK8TB1mEEAIhC6Lto4bB47vXL96re+4m/d + 6F70zt/7qc+OH9/0m3iUTvt+dQQtWLaggkB6uhECDpfN7Vufed9Hf2mw4+99ww8jL64tbyyvtWU7 + cu1wwAQDiIECcO25xHfdbMc9JTYBqB4OFz0g575csN3ZL/3cAXbWLlABFtYxqhGCalBmLcGYjUm5 + dvlisNbPH1VhAMqsNN3F3N2s3m8NpuZp87vyoekdlHS6Mdl0IdVubqi97ObbB6s95cCmBAWMoQCU + MEVuGwMM8K72SB5XDOXcU3Wx6QxPxntzOOecc84555xzzjn3fHEWt1FPoZ+LHwJA4AAOdQITuLuM + Gefc802tQRERAHekPs+hwrVFeM65hkaram0dnnOOMZZSLjCemZnr+DebTQhBROpETh3Yer1u27aU + UhugX8gI53HOPdnnp8szrWdzqnrdwWoe+QXGkDvnnHPOOfdlrwZa33HSaAr3JQNQoAKu/ygnwAzb + Act2hYPwA7/zu/j859/33d8dNqer45vLsQ+CFFEKmgQIhkHiXfZpMwIMSmfR07UUVBlNhBaUYSyK + BEVskiradjtuLDEdNB9TevQF97/pN34LD9wnyy6vS7cIMJSM1LJobyaoCdT7Md77sY37kdqmUI01 + e7jG6EIBJkNQJmitQSVFIJzvv/c0fsXjKaQYd4ZS16LZMHcErM0B92+wu0elKbzaaLd6geYxsQJc + LyfAFDi/WoTUqO4LMLACMFUCCFQzlm2quSUwpoaDFpRo2oPuQt1OUJAh1Hvce0BBYbsmf2xMtUR4 + 97QEKwGFUACtT0O9+1BfzTlf+S6TrfdDtKfRkMLAU2y21jsycCAQNLZhyH1IESEiRbQHP/y7H8Ct + za++8a8/nMuNsW+366TGQGScDJnBBwer7Xo99tvElNXC7mVjMNVU771o7ToQpWnf2z0aw+MOVTK0 + ESLgQUdADU3TLDByQimwgIExpHgTTf+ir/jan//Zr112uH4d7WJEEGhCCAjrcbtsWjq7EwAoYNp1 + n+S6/8w3+ALHi4CNjXb74fRTWtfwENSMgSys+JJOVt/D48U559x5u0+A+iZJYRtin2IcxwhYKcR8 + uGg227ENl+s9tM4C1BkN2lsQtVgs6mlnAG3bAjCzi50ycM45N5sX6eWc68Tu/KYdY1TVOnvomdbO + Oeecc84555xzzjnnnHPOPRmREmKyMYcmAaKaORGGNTji5BShwZD//W98LY/5qF+/eNw0vbYMlf4Y + GPJ2ddCN254MjF0dL8EQbarXE1CtMZ2K9LQWCtpU+otdA242KMF2F97h8dWD8832b7+feD0PZb6S + IPM15+7FpjJUNgjVEk0YGKQkOIhUipohZrlB3FJarx+7j5bX2uY//apX/iWVn/jYR6HGi2UbYw9p + QhRojIxiYApNQEFIACBTQc2c6613WTHtnHPOOeecc84555xzzjnnnHPPpNpROBAxsypSbG0ggMn0 + zhxlUhjP7YemOV8ApERApo66q6urtCaFgAHSs9Dr56zaYssYIK3PCRuHwNvtlpapWx6UrQw39ah7 + 8HUvefPhQfrZ9/zUZ4ZPng7Hh208PS6LDhCYoYh1CURQ6+MBjsvn3/fRXxs29v3f8XdPBAd0hUrc + bMtBeziOGYEBKPFegyaduz+5Z9blDBN17suZ3VEqU7uKce0ZpyDaNXCjXVc13cVVA8xKIEbtJgcA + NH9a7Xqd8Rxozbv7mlKxTY3AUEC1fgLuDQsASOoGCcy267y3y7SekcHAbKwgAgPgKRvbuadjP2Lg + 8nwqeXsO55xzzjnnnHPOOeccasBIIUSKqHkwde70uT8N7Jy7R2p4sJnVVuBmNo5jSsnMQgg555QS + EY3j2DQNAFXNOdevQwillDmt+ULUtuY1BbnGVOSc68DmWZy5y/kc1H0h6nM4jmMNEa992D3Zuiql + LBaL7XY7juMwDDVZxDnnnHPOOXfv1GhX2cUDA4CBjGuUrQAjAGgAx10C9rKtlZkNVg269Mbf+pWf + /s63vdjywyd8Q2VQVeSoCVpaMqRoY3nawzOCEZShAAlMEEJMTAA/VrZHV649evt21yz6xcEnu+Wf + LLsfeO+v4coSizDCwiqKoWytXZBAlZmwGM2eLHXRMKU4T9G2PP2hoMx1eQCi1kJVGIICu7palRrV + jMet4nhKD7FGZiuDaVp4AADBak9A3RXvshIMCBpQu/5B91v0Cdd2gCxApGnTNTi5vsQKBD7Lfg4E + 1HsEw9gIQpDp9CEFZTCkttxTAJgeo4GAoADpXjO+u1B/IGBKFMaun+BU+2tQQiEGKIIDiFT2qpcJ + xqj7p7GCCRzA/KXlERP2HgkBZ5nIGgBDXWEzXR4JgDaJx7xtUoPV0h45ocVV3L/8tj/8vZ943Td9 + zaJ5idL9in446ZrDwxC2m41s8jK1wzgQoUkh57GOWhWPGzwBEIaS2vRCT7nL9QU1mpbIwACwFTWA + OLZEQnHdb68uD7ab0xiwIdwM+NTh8i/vf+DvvPtdaFdYHSKmrWQOCIDkIaauaw4AhQkMCABhrFny + UIbGaVe/05MfL0Hqa0pTILrsoscBJpgQFQbqHn7Xu8+zcLx4trVzzgHzm2F949x97BDzehlvb+mF + 3aHeOlEzmKoqhQsc6RMzM1Wlac3tNHdgZqWUlBKAenI+hKCqIVy+B+Ccc88zpRQAdVZaRMxsGIZ6 + 1fwuzcx1wvrCRumcc84555xzzjnnnHPOOefcJWaETOBFgoFChGUwRtl2IYGAzYCe/stXv3qV5dUB + fRkDcgJb0NJgHNEwQsBw2gNYAACEGAArE2AwYC7CO6u4rMnTuqsvxS7WmgAyjFyv2qsBnW6v88/m + 2mm2Xrt/05qkfZYYPV1F099iUNmrC7RdMWG999qB3YiNoAQjkHEUJeHBZNUEGYVNsw2LEG7LGmP/ + QI73d6v//KGXr1eLH/3Ih9FRt1q2MYpoDAmJdSwcE8SgFGiqg8VZWa4XHzrnnHPOOeecc84555xz + zjnnLgypME09x1WNjJftAhvmEIXOugSzQaFG54JIyQBjC2qkDLJii7hqqNWA7bhtQjIVXFz/3mcS + KWCwCENQYkMMJTXaS58ppfZaUwiDNGPzyitf//ff8eA/+6V/+rHTPy2ROW7HjcSWLNmY0QRERumz + MbDkLfUf/uQHhl8cfuBv/uBmc/t681DC8mRzvGgPRPd7USmAuVWOewYRkZntB4henjBR557z9vMs + 7syxnnvHTbcJiigtdr28QGqkhQAq8xZo9ycZ1142APN0yO41gQNgzLs7pTkNu46BpvZrSrW/mNre + UHdvvbUsiRXg3YeYTts2tlpvNNVBBatfmO7a4jn3NFzOTx+PtXbOOeecc84555xz7vni3ESkTetx + p4W7BgBCiLt53yn75NkfpXPuuSnnPCcKq+rcIrxpmuPj48PDQyJKKYkIM9eI6AvMtAZARDUZmplr + 6/OmaU5OTpqm6fu+bVszE5GU0na7XSwWFzjUOf87hNC27WazucDBXELb7bZ+UV+1EMIcpu6cc845 + 55y7F8gQpjzXmhG7qxw1BgFTtO3u27ngsmMQEBdY0ff/xq/idPiNb/+O6yebpu+vqslmfRTbsfQ8 + lrvKCSSD7XrV7V/IBBO0HEy0gDLQLld/sb2tN44e7Y7+YtDv+uV3f8NDN3B1IU0yIIByATHaBQGa + xyE2LcAG2QstBkynsO76UOt1BAOYFWRkAHiuPaWp/BQACU1DA5GSzZW1d0mBPBW32nS+T3fPABmM + AFIy2K4j3y6gF7sscjaosOru1WHwfG4Q4HpWsFbhGkDTKUWeXhdhCMMYFlB/kJQNBCYDgWpHv93W + jAgMnYqJn06MN9h2o99lWk/bsGlgMBIGzpdC1jhnNgbYiFH/R+C5YHgeyd3WBNv0Q4FqgjWYQPWp + mMK/68sy3ZEBwyhtwyl1owmKNjfugwLUoml++MO/j88/+u63vO2hPj+wOvz0Y49ElPuWB/3meDGi + jSxFQxADiBTGNSLeYDoPmwTGSmoEqWnQT/Ak152NAVUgEkRFwICumqNPb9Z8cCApfhb2yPXDt/3q + u954sMLBEdrDjXIECClACRpSU5M+pSDWrDg+O0II4Vw3STyV44VgDSwBGTadkSYYSLXmc2MEyVS3 + rfXd5q4OnHt7vCj4zsJ555x7HptnG8H1nwEIzH/jl3/hD1//uu7myQPLA9tsRxUGhQTky9Wbl4hq + DKqIhBDMjIjqpEYpJcZoZjHGeu1FD9Y55xzqO3MpJee8P41bpwj7vu+6DkCdkq6/xVzYWJ1zzjnn + nHPOOeecc84555y7rBQwEyuaQkApCOg4YVvQ53/vJS97SQ73D/kQxCiPYLi6ao/X5fqqOdmOBhwu + MPZg4KChMhoAwVxTp1PCNE1R02S7AsLddWfurHi8M9O61uXytIFaQjkVlJ4rSaXH1YWeb197BzLA + QMbzXSpAprZ7AAzE1EnOBAxFOkIkGtSyCAEL0gRs1rde2l157Pj4P37FV50cLf/7j/w/lCwGwrBG + t0DkXnNKKdheljVAxEZPr4TYOeecc84555xzzjnnnHPOOeeeYWSAKhEdHBzhJu9CPcG2a9NCAHTq + /UIgAxsrGChKYANJaEJHRmApyG0Mki/yET0TFAADAq3BqGTMBjKMJXddN4hs+xK5RIoB6NKyQXO6 + 3vzI3/oH/9uv/uSH/uy3F1evxEZ62SjnECEZokgMizRAucujrf/4cx/6H3/21t/7nh/p6aBdddBQ + IHQ+DpxrsyrvDn8PmFltSe2ceyaR7qpkHt9SZq7QmW8JMg71bwKRWW1bRwpMveoYCgMBPHUn49oo + jAw4K0faBVSTqjFI2djO6ormI93qzRiqU3s03hvZuXYEUzYH8fxgaj/Bszht2t27VwG5L0GNtSai + S5Vv7bHWzjnnnHPOOeecc849vxDquX2FgWjvkhoJc4l6yDvnLrUa7RBjHMcxhNA0zZzoMDcHH4ah + bdvFYkFEtY14nSaZp0xijDVS+tlXx9B1Xd/3qloHVsfZdV19CLWkY7FY1KsuZJyqWjOtU0o555pp + fYHP2yXUdV3Oue5XzFz3uoselHPOOeecc1++DBAQI3EBDEYgGLECQREMLTNDIQJS4ShANHCCIauK + lpwMuHYNy/Fbf/e9GMrPvPU7H751/Aqk7eaRxSJJziZ4GuHHFRlCrfY0mIGBAuXQjmTrhk6uLj9+ + 1H3PO3/5q689hJTABG51KLFtArSJAqs5t6GLKwj6UdpFOlc0Og+Mp7Re2eXfMgFUo5QRTOcaVWMl + gxKM2IjUQOCgCEYEeVoPUusaDxAKBUNkgwHBisFol5ZNUDbGVKmru8EAUDVWUiWdFlHUcGZCOKv7 + VQ4s08UaGFPksNXUcoKEKJEtoK5/AEDKAGvQGmrJOlXxEhTIAQCSINzlK0uGYFyTyDDHEtekTAtz + gKaRAmacp1UhColqhggysCIAARa4hnbPlcI0hz0/ZbvTqjXSWxn1WQpAMMAYhsAIc6C7wQghtdM9 + UaIEyRpAoAgAV1scHLztX/0B1uufesObXnblBde3/c3N+gWrw2F9ElTri1QIDcCmBBjV2msERe35 + aKyMaWdScFDarRFSY4PtdhjWmr1tjCyWIqPQaUife+Chzy8Xt5H+jV/5FRw1OOgQEwy2GZaLxfS0 + K2sRa1jZoiEGnnenUO/ZCDbF2eu5p2t6jp/4eAFYQ7BEIsZqBBiCKTAYs5AGmx4jDGSB7qhNfyru + 9fHinHNuorv5RxRGARgIgdDwZhGX6OSxE4W1qckYc8YljIauExwhhDoXwMx1FWKdRAghqKqq+vln + 55y7JEQkxljnTOu7d52MLqV0XScizFyvjdHXzTnnnHPOOeecc84555xzzjl3JzJ0aqAw6IhESIyx + YFt+5GWveEmhr9PF0nIMtpZNBO5fhVvr4b7QyNpqgWSfObCSYDtamlaoK0iFASDo+aXqU8w1YDBA + aVfIaSy7ukAyBFU+3+mVbL/Es7aHPatFBM6lYt9RoCqPWwxKhrAbQC0QZONdwaUGQKGsUEJQGDDa + OEKP2uU49rdNk9mqS7nPCwbMNjowUPR2O+rLuXnsU5v/6kWv+ngu/9NffhTLBBMNJBzWKIcUG+Cs + ZDhMD4Z9Qb9zzjnnnHPOOeecc84555xz7oIQFAZmJoOqRY6HB1eIAlAnUZ+gtUudLSYDGTO4Bl2b + WaDAxgGhUOYIQQMoLmNHgbtBBUAwUA09NRYCiEuBjUSWlnWJq+Zex0EsCr3wyksfvf2Zf+uN/+71 + g6/4tY/8cjm4rdG2WxwcxNiksc9ZYWXqhNSnk9TRp25/9H/5mR//d77773OMq3RNxpEpAZhztae5 + 7dpvyD1zLluAqHNfTsgAUturi1G6s1GXkk4h1RAJu3hpUoIGA84fnue7EU5h0nZWYjQFWp9tea9I + p3ZJo71bGkHPNji90dLuazaAlEyNALAajBhnZUg6fwEAJGw0Z2M79zTsfxhdnohrb8/hnHPOOeec + c84559zzFgM8xb8QYTrT/2yfsjQCTbPRChRGmq4g2LmluX6C3rlLZ+4PPn8LoGZdAxCRmu6QUqpJ + D3Pcdc2HmHOIL2Tw9X5PTk7qaHPOKSUA9eHUa4moDlVVa2L3hYyzTibtd12/wJjtS6i+dnOqen0d + nXPOOeecc/cQAbbXSe18OHMA5sUJBjWwEhQCgJgSN6YQMB0s+OCQhv77fus3cfvkd978tgXp8iDk + 9a0j8EEui4yk9fzQHEO8y5qlqcozKNcueMDeOS1jUsrM24O0LZp0iYODP9ftowfxu37zl76+7XD9 + IXCLplUxDpy4yVlSIkhGIFiYq1q7NqiAw66UFbtCWaL9C6Zbc0TbfuJgmUyujK2RYZeDSwYjLhQU + bMRBOaoS9O7Seaf7E9AIGCwZ0sjREIIymzKMUAA9q8OtCxKmb+t9qRErwmNd+6nVAZoFKEy5xXfc + z/T3+RHa/Ei7T64OGuBzXUPIIIVFgGEBxkZQUmUFLCqUtDAAjoqgT+OX2bmM2KZ2hAwYBY114Yew + 5qCAJrVggAUjCIspEpqbqfvEwQptC35GSyUN4Jqf/rhX0fa+IJiBd2ddS7EUiRPDgAJbxBOxplu1 + LVOX/u0PfRDrLfrhXd/5nR9fb6621w6t2OZkGdAG2Hj2Kk3B3neWXKMWcyti2MU/k6oxKcQYRsiM + 3HSnghxDXBzdPh1uLZdvfu9voElor6DpcNRsNC9TghpFQykIEaog5pjElIhFpgPl7LgwhQbUmG3e + vWZ4KsfLYbL02aE1zsoZUJAGZQMLK6ABBTChAGuCJDIGlbs4cO7x8eKnZpxzrqL9Obzdv9imD4QQ + Bm42220HS92q79cckZ54HeszJqoejRk5w84vz6Td98bYb4JcP1hDAFDnLGqCNQAi6vsee6s+PNPa + OecuiTqZWyemzUxE6iRvnYMOIYhIvXaeoXbOOeecc84555xzzjnnnHPuy53uCm5xZ8tUgk2x0LUf + a/3fMGzaNiIPyII+/6OXv/pVQt3JyZWQRjndQo8WzWYc+7UcRVhRAxbcjCasQSRHWMtBVB6/Lt1q + p9c7LqSaab2rPSSeB82ktF+cXC+cH87+0neaCv3Y5ptMTWm/sFBvQjwN7Kxr7bQNPut9CwUMWMRm + GAaFLpsmSzntcwRUYUAiIKEfdRUR+/EhoO/7jsJ/8spX/eN/+QewNnZNSDGimZqM01RWCgOIeVdl + Y4CCzx7pHTW9hr0qF5/3dM4555xzzjnnnHPOOeecc849M4xgpoEigc2MOR50q2ikClCNIK1TzGCr + 87z1x+YNKBlAUAUzqxIRQRA5qAkRiPQ5nPRJqmRsoJprajy1oQFCaqC1+wxyHhAkJFK1LMq9XaGH + 2uHwHa/7gaPVtZ/7/X/BsG6lp5vTAdo0nVohosQoMiDiVI9T035i+Ng//4X/+bvf9P2vvP+vNLok + AVmod4r6BFPt7MVThiuZkRoZKdigDDJVOt8154l6SbnHuyM3lIjsWW/L79yzhLS+jxlLCYNwAenZ + m4XFoDGVlvUZar1u/BTfhZTA08fNVB5DNpXXsJHS9BlU/5x/aH8D2K8aIoPR/n9KxlDbK9kBzGoS + xxMe7qRkuisg0jtqdQxMUKPd/QB1+/f4846xCwsHUEIe01pZ6vu+1vIpTVFSym3QaE+hhspdHhee + YP2EPNbaOeecc84555xzzj1XiQgz1zbiHvD5FNHZX1xPSU9zBcSopwu1sAFaM1Pv+TloNVCIGdDI + 0C3jaJ6/MyAD2J28P1tN7Zy7TOZMa+xFQddv93Oga9LD3DF8vqrmRteUiPqWXt/V54iIGGMppW6T + mUX2s9LOqRupQxrHcb6j+iN1gzWg2sxU9Y5PjSfLQq5DvahM631zpjX2nmSH3Ws3v0bemN4555xz + zrl76yy3kKdvwWG+YJdYCwYIAXPHtwDUqEAQIRIMUENILa4kpPT6P/g9SIHKj3/nW1+4efQlm+2L + bpYbElRGReGmzXlodv3jhDAEAGhBUadtToHLlMgCLJ7G5qPXF48ZXR0Wp137He/9v3AlgSNAaFYI + AcYcp0LTlAIAhG5qDbdXJMq7jN7dWbW5XvaO3z0CYocr6e9+6IPIGSq7m+0it2m/tx4ev1bjbijq + yg3is9fDdvf1Rbapu9jh8G0pIgXEBAr1Ee4eGfZOG+4eJe2uYoAS4sHf+9AHUDJUYHvhlftn756w + bvfpPOK9ZRP7qyb2Yyppt2m74/YEjm9OAbFBDIQ7Ti/e/e+PtKuZpum7cHZ+9fzGp0TnvcMCCHE3 + BAIiCFjVQVGLFHHYYnkEwXd88PcQDP3mf33zW168WN64fesFQMCAugPyribc2GB139+rujbjbFpv + ZZGjUNzImBPbsnmE4iOH930aYVy1P/iLv4AmoYlIAd0CCKAIxjIkGMAy1VHP+wwQiA2gOD0+nhtc + 7nah+qjv4nj5/fdjNGgB6Vme9FlV+ryohwHee8Xvaje6h8cL37FDOefc8xrPf6SzDr8MWyh1RH1g + knFIMaoU5idoW/wMWubyFadbnKxxn/YGhjZEgNZFsfNoCcUAmf7pc9aneH/JR/2aiEop8yV+ft45 + 5y6D+m48/7k/+1y/8KlD55xzzjnnnHPOOeecc865Z8TdruOuszMiUudrSilPtmrPfVFPsKr6C1Rc + kBqmFrTTVJnyWdVl0AGFwcGUEad6PR7RCI7XUPpHr3j1gwWvyiVu1w1UZKsMEE7HkYBAkAKpMcym + DEAKkwEYVeooa471Ey5K3x81214z2b3sakDtcUWY+vhgbOAsFhuYO9U+lVoUO1eaOI15dy+6v/06 + KiU1KYEQACljLTEhOxuVFjQMCIRgANnJQnDUL3/0Za98tAn/9Z/8K2pjuzRwREjgqXRlMQaFFAAA + IABJREFU0+dll1SMgoJMQAIQOADBzp4sq2W9tVUuzS+kz37ec/PS5roGWVVDCF4y5JxzzjnnnHPO + Oeecc84591xHRDCYmc/6oE6GQjlApEC5bZbr/uSB6/dRySmqqQqTgJIiBFKyoucSO42KEggICjAL + YZO3FAJr5JI4obCyPZfXdhrXmNU6O600zRIzAFMQZPreYEyiTKydDeOwwGolV+LN+O0vfftR6n7m + t3/ysfFz7SplErTFhmJKgSIZYKCITRzQbT9y+4+27x/+zl///9m71yBJsus+7P9z7r2ZVdU9sw/s + G+9dgHhJIECABAiFwCdI2LKpkESLIUSQ0gcrwrQtRVgWHQrZpCyFbH+wv9ohK/QBYdFmSBalMCXK + hGHKNCFSAAWSAAiABAkTIJ4LLHZne2a6qjLvvef4w82qrp7Xzj5munvm/4vamursrKzs6sqq3rzn + nv+Pv+78G/q638l+ySIhdpLWZVXikFKwAhOYVpdStUAgrgqIWVV420OPcAXMpJzUM3dWtA7YOWcR + 2Ka/hLuzkdDNa3VB7q5BnSm6J6q9bFuY8dQJzlsQsooIxKqX6mao88XiK8/+0R9e/OyhPqudQb0U + m3f7Fw+Gb3/1Ox8Jr+rrHqaqHnM5trWb5XoUq7y547VeInpUwOOK3WqgqVeYGdQBa70KW5HPdcIy + dvbQ4Gow3Vxvuplddb1bzrSzWYNtkjsAwI8XJk0B28C0/eM/5q2hLlHMkUvXp7EMdbb811/6l/Xc + aCVJ0BpWQOjz+VfMX/vG2Vvi0JfNi4HOkG210vPSghi2UQ4v4f4w1pqIiIiIiIiIiM6knHObzNym + N29nBt4g8ZSu4LuBL7JpMY8qU/rLrewov6EhOpBrcTcoUEegd4eE7cDC9K8w15rojqaqrbfFdklK + qZRSSpnNZuv1+jm3YGZ7e3uHh4ct07rdq31GmJmZtQ+O7ccHERERERERvUA76cfHFuzekhYWeFQ9 + 2jKtj044CSCaobGHdBHVsVwjpP/o//0IxgMsVx9+1w89WDCbp6EsR8udxXtNF9miWxWsEkzQVQRD + ccsByz6WmEp2lVmx8My8e9+vfAipx3qGPuHB3mdREK7Mab4y5FivWnj1D6hXLmz3FUUf0fc39ySe + MlfnMV/zPFxbFBWxB87mT4oXfYJRrvPV1Zu9wTO5WTr1joQiKEJCO2d7bq+Wddjf+8CvfwzLAcvD + X/3h9/fDMtZRA8xKF7pYfLG2VBHVbNOOcTs3oopmEen7S0POGnX/oYt1HGP4wV/6MGbn0M8xi+gD + gmDWVdG1+UzD1A/RALFtu8YrssCv9UrZHDVX/7x3+vHCc9VERLscaHMcBBaO/lCMQHBRTM12RR3B + cUvn80X3e0v96I/8yLs/8TsqCAgV1UrpYoJb+zMVUKC2Bshs+EtERERERERERERERERERHRNL2Ae + t6qO49h1HYDtDbo9BFC4QSo0iB5VZyjMEDUejqv9bi4AKjBmYEAe/8rb3nH+8uoVh8NiWC5gCahA + EZi2NqwQR3AFYJvk6W3rVhxlRW8e6iYmpu+s8xxNVG9xR/lNlPV1HkV34q53f67t+tuFrX+uGO6f + xXG1VIQwxP/6VU8MDz/83/3Gr+PcPnorxWQ2r8B8nlChOhVZy1Ep6aYU0zeZ1jjqPcyCxdvG3fu+ + d3dVBRBCWK1W8/n8pPeLiIiIiIiIiIiIiIiI6KUkAdUsShSJuXpK6YH9B+YyW9W1JGgUkaDZSqmu + SCrVTXwaLbU2cOyoAgdcPWMcyrq3e4OL2e3oZ37L7cSU7vw81xhlbuGmVhzuDheXmfV74dHvfO2f + nO/v/eNf+4dfPfyizIf1cowRDoy5zlKoVseK2GHUobtv/gdP/+4Hf+nvf+AH/uJbH357HWLUvbwc + PaCfz8qwHoaaNKKN4O88MhwQU6AI4BCL4nK92Fe6wgsIECU6W1qbd1FXVYhUy7kMB+tnf/7/+blL + 3VMSq4mVocy6c9H23fR9r3kloNtqmSr+Attr3WTM8/VWa8t9qsrZvb7JberONa53fQ32nA/U9mlz + fZs61bg73FOMUWUEvnnhyf/7N//518uXUzxfUde4bNnuj6/8vte//1WPv3qme7dnr+il8nw/jFrp + 5mw2a6VNrYyzlXS+hHvFWGsiIiIiIiIiIjqTtqGkIYTDw8MYY9/3zLQ+c2rNIfVBpVfBWFG1ZZoA + CACTrInuBm12N4DW2KLddvf1et1GRNqs71qrqnZdV0q55nZE5PDwMKW0Xq9VtXXNMDN3DyGM49i2 + 1h6ulBIjh0iIiIiIiIhOkgPVTUTdagqKxQxDxv55+B765ft+73OwAqyQDJ4x1H/03h96cFn3R1OU + VUQODpghVdl/Uv0v/saHMVO4wAQxQQNChCeMASmuLTsSgOBI7O1Gp5hVB6BxhlJwfoaFw8p7f/u3 + kRTIqAMCUAtW9X95z/secoivg9euIriKSxFdRT1M4emgf/mXP4R+hpAgAVC4ICYEgwqiohTrehdd + 1TILXWiB1lONq8IhU7I1kz6JiOjsqcN6uLxEqV4hAXBPIdZag145DUOhgPKPQyIiIiIiIiIiIiIi + IiIioqs933ncXde1KGt3N7Ou61oe9u3a3zvNNeoZblzi4BAIJBYgA1ER3WCAi4gGw/lurwK1rIJX + 5OEnX/HEyxFevh7PQWY1n+vmh+Nhn+JQSt10IVdHMFUoYGx9fQPqUMWFVdnrkoreI6nLdvjlJ//u + m9/+X/3eJ5ERzy8yVhHRi0oItSAkBTT40Vz61vNdZFu4aVXUoYET7W8BkWs/qao6DIOItDnIOef5 + fM75yERERERERERERERERGfa9caG7moSrLpoAsS8iOiDe4+eSy+7VA+KoFYL4i7BADN0MXqtJgAU + brvh1gAMtfj60vLieTykqjlXDeG25X2eBuraeTCY6zrDs2WspevvfcOD3/lj7138k1/92a8sf39x + /3h5PAwd1DUPdRZDzbWP3cHBiIR7Hrj3K8/84T/8yD/4s9/1gbc+/M77JYXUSV9WZR1LnKVzo1W1 + IBbUVLwNMltrCnRsKF8cMAggNx8De/dyZ7Y13clEZEq2BlRVobXW5bBcYjXoClpDEDNf2zpZ3Du3 + 53LF24ko1Pj5eaJMLMQuj+vqdTWaiSDJ5WGZdczjgYagfTXzgqUkS4uurgqUFV53spZpfXBwUGuN + MYrIrajPZI0UERERERERERGdSe7eTpwB2NvbawvNjEPFZ0voOsuDCkIuWGdkhw3Y69vY59Ev824a + jSa6O7UhkG3EdePu4zjO5/NhGFrPi3Ecb+Z9vtbaMq3bBt2967r2rRCCu3MOORERERER0W1zg9M6 + Fe6oXehyHlJQzFJdDdjfw2wWCpDXmO+NddUF4HD1Yx/9KJYFY4UZokEBMUgH38c8YW8cFzFAgXiI + EtHNIWIKteo1zvsCOOACA9gikU6tEDznjNB7jBBIgmkyzAFklAgohs4r4vonPv5vsS7QAVZQAVeY + QhVRkCL6iC7ADYs9SDBTFYU7bIUow5jD3sIQAA0IZo7d8y0CQH0zf4Un3ImI6GxRx958Me86BE0B + JY99imYWQoDvjjkK4BxYJiIiIiIiIiIiIiIiIiIiup4XMI+71tpWaN0SQwjbKX50a7luelYDgAEV + iK1XtYi07OTiESPGFcbVf/66t7wx+/lh3WkwWytwOB4mweVcoHBBu4v67i9Pr2iITbvE477YMOYY + g1udGfY0XTq4+Lfe8IZvzOPf+/3fTVGRZhIiICFqxVQKPTUTn74whbYDzIGy2TiTrV9y12tPvn3L + ijGaWZunzPnIREREREREREREREREZxenk1+LurtqhIkYROCj7S3ufeyex588+KrpyircPURIUDEz + M8DUYdCWbH00fikm4i71wsWnXn7P64Ao2YJGv8tClUOBCMxHSRJjyAW+1nl//5sf+o6f+KHFB3/p + f3zy4AuzeV6NY9dZdvQuUmHF7z0/P7y0WuaLe48s/uhrf/hPfu1/s++2d73qexfx/OXV2KPfn9+3 + Xg4aRTyqq7iqTyP3JlPE+PEnm8P6z20b93vSO0J0C6mqmXmr9VKVJrh1uc7G6jmFGBbJB1uvxlxH + AOrt3V2BVsRCJy+XlaGEPo5jQegiUvWSFgFFqmRJJmbD+nD0wyp5sDEqS1zOkhfwSbRer7c5C6pa + a32pd+pGXUOJiIiIiIiIiIhOLxGJMbZJ0TlnADeZdUqnSh5HjRoUfbWf/ZEfxeUVUtoMgFpoZzA5 + xkd0R2vv4SGENgoyDENbUkoRkb7vAfR93zInbjxS0mKw29YAqOp6vd52yqi1llIAiEhbjYiIiIiI + iG4LO1bvLtNVdU8aBFoBTf0qZwQN+3O3WscCAF1XzTQs1pjVvXtx/hweuAeP3I/HHsBDj+CBR3Df + Y7jnIbxsHzNgcU4xC6UPJSzQC0wAiCEh9BLHcQZTGM8z0elmMItih+VygVnAOo8JkHXtKvYRe8SE + eZE59u9DSrj/Xtz7EF72GB58DA8+gocfwIP34777cP4c+hmqYHYOUKvVvUIwShljNyCh288QQEsp + XQidbg5LnYKsqyADL329KhER0a0nDsl5eeECDAEQNwCquhNoveECVw5EEhERERERERERERERERER + XdPzncft7qqqqm0dM2sbuW07fJfwqy4AIEBQFwUQgA6IwFQUOFSMBVJQl7h4+ade+6a/8/DrXvvM + 4QPDMmEZ7FCQC3KKUgSt53UwBEM0iAOAAQXTt+iaxDVb6ZF6oDM3KxJLxmoRx0cOLj7+1MWffvUb + cWnEOGB1ET625r9H1dUKiAVYhIsb3ADUzQz7VofNCpfbo72PtduqmnNWZbNWIiIiIiIiIiIiIiKi + M48j11ewihg6MwcQo3r2MMxe/9hb1GcaUoyQgOLFVVzCWCsEEMCnOfsm8KNBZPOQn3z6q4imqkAU + j3dVJKIACk+AVeRi0JBS1+ust767PH/N/Imf/LP/2aPy2n51/pzuDyvMFrIaymxvL9cM2GwRLy6H + 1Xh5fn/6Or70cx/94Ee//q8u6NfV635cLNdLD94CxdW6YCG4ik+Js+0XAWDTS2EncZxuyN1bWQvR + ncrdW5J1u93ScyVglPXYjWvJl8tqqMsiI7RqAGC7UdbqKq56dZ8Wuq0MMFHTKC5m8Oy2HFdDXVkc + Rzscsa46Fl1XDJLQ9T3ri86W5/tJtA20HsexxTSo6gvIxr4xHvZERERERERERHRWDcPQTounlLYL + /TpObjfpRlLSmk0doZT5KgMB4wA5mkstU5QKEd2xUko555ZabWZ936eUSiktmnq9Xrcxktb5ojXC + uKYWg51SauMxLcF6NpsBaFtw9xhjG3TZjsEQERERERHRrXYs1Hr3tM908kfWdahA6hfVkXONGroU + gVLLqJoGq0DMSGOalfmi7C3GvcW4N6t7M+zNsNdhEcc+VcRQA9YZxQMQEByotUwPLoBbhDkGBQvK + 6bRytdUooe/ivAIFedFHH4YuBQFg8FKHMRtClZRnC8x7X8zqfFYWs3FvNu4tyt7C92aYzzDrsbcH + EThUQwhSUE3EEVc5CzQhhtZ6EphKWwUQuKIIKhsgEhHR2SWWx+HRhx5EyV7blAyDay3l2CRMU2Dz + gcePPSIiIiIiIiIiIiIiIiIiomt5XvO4zUxE2rQ+M1PV9XrN5uC3j7dm2QiwCAvb5UFgGeslLh78 + jccff+0wPjrmRyQoyvmUKixEKYJV9dEQAtQhjuDT7G4DsqC2Kka6vpn2xUuAwKwLSBFwyJj3vD5a + /eGLy59+7Rv+3IOPwQRjwTCGVq/SfmetBfxxAYhTPDlrW15613sfG4Yh57xer9tq7R2M3cyJiIiI + iIiIiIiIiIjOLhFmWl9DG98HICJRkTTFoX/8sTeh9GV0UcQEM7RGwXrUF1yniwNQuIqIaUUYv/LN + L2bJBSVIUBe5+8Y4VTXGHhbyaDVbKWNdj7HoPu693x79Kz/2U6+7563h0qK35EUsocYK4NLBILD7 + zsec82jreB4H4an/9cP/4ONf+tfpPjsYnw77UpO7GFwBEVdx6DTcbHC4wI6at28abdENbYN+T3pH + iG6hbeStqmIT5Z6tFilIpnNIh6oF6hJFEwMgTqkYA4BxXA85u0BjSIsuzZLraAqNSPOY5uJaxzoO + JZ/0/tLz83w/icZxBJBz7rquZTcAL/0funw7ICIiIiIiIiKiM6lFn+act7mnLaNUruOk95eurWYT + BQI6SL9cITtCgOLY9Gb+9ojuaGaWUlLVcRxVtZTS8qfbsMpsNmvv833f11prrdd7n2/r795YrVYA + VDWEUGuNMZZS2qBL645BREREREREt5FBNid8BHAklTysAcxCj5Z2LRpTslIBDF7QdxlImlBzB+9g + cdO9bVpfp1ZuXRdDySLArEcKMNRS1vAaOw+xmiFEFA+ucyRhyRydYjpflKIVAVCrnoeVREVdIx8C + g0bvuxSg1RC7CEAMwREABRyoQG5HhxvgqBWQUnKuxSARsQfuDfPOEAtkXfoY4XCvLqiCIsiATX0t + EZ5zd4mIiE4fdcz62aULz6IUUZScAZhbSBHQY8OOzj8LiYiIiIiIiIiIiIiIiIiIruv5zuMOIYzj + uJ0YuF6vZ7NZ65FKL4RfmWZ81YKj5VMFYYEYxBWmsHYHQ1nh8Nm/9sQT//2b3nz/wcX+0sVZiBds + KAnfyPkAuKS+UkiPONNSWlGiKlSgBlRBUSsK41zv62tFmJdQJUSJkivWa5xL6AUCVIx7Yo/m+m7s + /RevfjMOl6gZFYqpMNoFU4y4y7YLvBhCRTQAqAwVv126riultPeuNtO5lKLKKiMiIiIiIiIiIiIi + IqIzif3Ir0dFzAwiqlLG3GsKtX/w3GMP7D+ipcsZANxhFaIuMvUGV4d6MGlDnNMgsnstMn7tqT9a + +2qwASJ32yx+B3IdqyPKfKb7ncyTpq6X2FvXheHian88/7Ly6E/88E++/t637eUHUHpf6NPrdQrh + nnnnxUotMHQpmRULpZxf/tNf/9lf/MTP15ctnylP1S7XkF0LAHUVV8GUbO2y7cClbbCZI/s3yd2v + CBNlfgHdqdqrfdO8vVYvuZoLQgIE1XKp4zAMV9zLBC5mwnKVE5ZzdneR0HUzxJDdqmO5HkRNFDlj + vS6lZBMTjSmlk95furXarzil1LIVzGybxfASurv+jCMiIiIiIiIiojtGG+lpc6GHYZjNZgBe8tNn + dKtJhAGlQq2e04hapoijzUDe9BvluB7Rnc7M2nzvGKOZAWgR1y3Kuq3TAqqvt4WUUvsUcPdaawhh + Pp8DaHcPIeSc2+zx9ii34YciIiIiIiKiraPTdtvTPmaLfhanZOrpO7W6huACSbMCdUiAzrTXGqb+ + ejt92QyAoFXXAQpRl3beMMzjrENSaHHV2JkJQoIpTK/d0o/oNBCUCklox8VCu67bw5ARAvoeogUy + bI4mAeoUYY02/UcAgSlggGg0B2ICQkxzDTNAzRwFMGAEAI0RpcA9BLGdpoct0DoagkF4vBAR0RlU + hvViMUOXAMSUqlVRLVZbc2ff/um5xYFIIiIiIiIiIiIiIiIiIiKiqzzfedxthmBrhKqqbf0bzAek + l5Ls1OM2bigF4wrr5V9/29seO7h037OXHo0SYWMdUwqDwxNmCy2OGJEz6mhBw5WtKcWmC12fia1r + 3uu6jLIuPotYKMqI6rAAE1ge9s33D5cPXlz+l2/+dizXGFaSDW4OM5gBgEIipMVaK3wq6wybXy/d + HiEEdw8hmNnulGciIiIiIiIiIiIiIiI669i3vFFHEHUrHszVx/UQERWhl/nrHnvjPellXiGKKFDA + 4MW2YckKbHOUJ9WryfjM5W+ucGnA2rSeyA91sqSLFe6lerGaS625uFX4MAz37J3vSkrr+cP66I// + O3/5na/+nm55r+Q0m8EFxTKAINJ1Yb0eaykIdZDLF+Mz/9enfuGXPvkLft9w0Z8Z41A1+7YNkAOy + aZsAwHUTJW4AfPdbRHS3aj3YzazVPLRqrhBC3/dmKCPMIAJVTRpCUMBsegOx9h5ifCc5aeqq0CBR + kERjKWUoOcSYUhgLVBEVURBjDCGYec5340fwmfZ8/zTNOe+WdLb2m4y1JiIiIiIiIiIiAjbTodvJ + 8b7vdxfSiyQiELkNT6YJDIBCBO6utcJtmmUrgGyn3Lbd4pgo0Wnk7m3oog1SttubRLGjUY0bTNtu + 7+TtuqVNb3tVtFGx7ZfP+b7UVmj32i7c3k4p7T4KERERERERnQBpJ38MMBUVRwDi5qJACFIEI1AA + ByIkGJBb4q5iSt41hwEWYHCLIQJaYhgFOWhRgQoMYUQsSEAFJMpRwz6eYqLTygEkONA75gZtp0e7 + BTzBIpAqgkELoAo4grZ+kQVeAkqH0gEBUGiFWkhVtKq0WuMAdCJTxWjcHAtJoe6bY0phCRYcUoAC + sEqZiIheNNmMOd7OYdygUkqBtGlTCBoqXDRs/xJ12XwUbv44rLW26zam0K6v3mcRaWsSEdGJu+Y4 + dbN9r2ZvcSIiIiIiIiIiIiIiohfj+c7jbmtyovet4K3CcLq904nSpyIIg68xloBcHEBRwFYYL+PC + t/72a5549bcu3DfkVG00HxRj8gFVDX2BrmxWkbJ2roroLrWVIE6PaOqIhthqGun6PNpgY4WrAgVu + qAITVAdcA0KuI2KdaXnkwqX/5jVvxMEKyyXySpDFi8Ja5eZgcGkFLlOidXBLVnhc3TbbeqcQQpuM + 3N7ciIiIiIiIiIiIiIiI6CwyQ0qpzUDkcPaONu2+VMuLrkep7q6u73rjd6+/MZxLyR2lIIQg4ttm + TQK7aiM+m8dVWZeQP/v/fRLzcV0OJd11LcTbiHqVLFpCdFF3g5tC4lg8iCYPssTL+1f8++/483/i + VT+4uHyfXI6u4h3MYOZmFiNERBwiHmd+MPvWv/jEz//8R35O7ivrdGnUlUavcHcPgrFAVQCYqALi + UN/8dji4/1zcPYSwbZ3d3hkYe/+8bAfW+bydZu23o6oi4u5mBqgVV0AdaoBBEQDUMZuZBpiVFoBd + LLsY1BlufbIs2yzOh3URl+I1Ji3FigGAKmpFlGjZxUKQqBIZSXy21FpFxMy2rZme801192/aruvc + /SWva+JriIiIiIiIiIiIiE6OSxvzFJhM86pbhgqwmfbsx2dcE9EpUWttY/Db/hQ5522wdK3V3UWk + rcNp20RERERERDSd3rmiStUAh+xecJRAHXzTl+3YuaGWXH1ddfsoO1MhXFAFVXiWiU41mfLapy+g + U041Ni/dTTi7Aa2BYVumcIUpHOJHJ2G2h446QjuUZLNBBdQgmAI/YQoTmMDQpqnwtCwREb1ETm4e + mrdxSIfiWHNnGI5lWgMIIZhZjLGU0m4DcPc2SznGKCLjOLaxjxP4UYiIaMf1xqnNrAVahxDamjcz + c4+IiIiIiIiIiIiIiIjobBHIcrUEIEApJQ9jHkaBiMa1D5ocsLi6jJz/4ze/6b9929sfWq7uHXNv + pkBwDYbgR5fomkyjaTQNJuoCwNFqbg0CAZIh+JX1v3QF9Sn52wUVqFMHYFWL2go7xYHa13w+Dw+u + Vj/9+ON/7Y+9FcOAw0P1FoGN7AiK6jvlo6hlvRQBrmwQT0RERERERERERERERET0ori0mffqUBcT + 10cWj77+4Td2ZYERfQoAzGqM23vYbicaBcxwuC6zc33t68d+5yOyl+M5XZXlXTi+aWIu1aS6VABA + hHciqRZ30Rg6zQEH+ur54z/yjh999yve+8r5E+PlWh3ay1imkGBViHitPto6x9UlvfDpr/3WL3zk + Hy3DM9jLh/WweomxM4MIRMS3Q8li0gal6ea4Oycg090pWIguEQiAmLTu8bXWmBQwVy9eipcQQlt+ + 0vt7t+v7+Wo1dHEODV2vh6sDE6hGAPD2+a1iSSyo61XtHYleiPjcqxARERERERERERHdQgL3NoAN + McAFgDAxhei023YDB1BKiTG27GozU9Vaa1shxujutdYYOSRBRERERER0d2ohuzuTDbZnfnbTBTeC + WxBzAaBTrO82ptcRZNqiQqdNKgDE7RYEA1AFXTI4qtj2ATarhM0uEZ0ughIwAA4VIA4IFTEAQdDO + wsQp1trgFWLQAOhRBvbRdtr6m4Num04tcFEDVI5Wb80Pj00GEttsQlmoTEREL9KJzOUzgctNTLeR + 6RMyj2PXdS0VNYTQJla10Y2Wk1pK6bqu6zoOdhARnQbXG6dWVbPpf27aaDVjrYmIiIiIiIiIiIiI + iOjOU2qZzWYCjOPYpW5a6hBBJwHIGAashp96/RtesVqeL6Wz2jKTg2ly6x2wba9xBVSAgCnN2oC6 + rbgQqE+B1sF3ltNV2hOFTSL4Oqq4RpPkU9H0qO5AZyYwAAF4COHgmWf++ssf/x/+8HMIEalWTyn2 + JSMEDK1+cz10fYizHlYQuhvvAxERERERERERERERERHRTVLAxAG4wKEmMCkCnNd73/1t7/nqb/5u + mKfYhVVZS4A5AJggwASmrtUBLdiMN4+eoeNXL37pq89+4RUh7vX3Wob6XdPfSUwBoJqYKwAVS2oB + iCnEsaxWNqSocK2r2tfw8viKD3znj//jf4M8rJ4pX19LTguUPE2PDUEgMEOnoUb/8qXPH/zeszHJ + O97+HXFfpEM1q4KUkLMhQh3iEIc6TIDW2J0za28C5x/TXUhdYRIsOrK4mLt7FcFyWLp4rjlqcHez + KiltOpPRSQqiXoGk7sVkvHj4LWj1bfs4BySqR/UIV+W7/1lzRSuMU9IZg4c9ERERERERERERnSDV + zZnSosDUYt6Bo17zds37EdFJc3czK6W0XuFmFkIYx1FVx3Hsug5AzhmAiDDmgYiIiIiI6O4kUwQ1 + rixUk8311U3uvAJZUAUZqNCKWKDW4nyB7b+b1beZ1Zvs3tZWDwKIBSDABQYUQRH6LElcAAAgAElE + QVSeaqJTzgFv7SLN4UDZ/aYC4kAFXCABqtCd4+jowLDNpQIVkjeXarB2gPixrUKhLS3e23aCIQCR + xwsREb1kbtvcCRM4pimXcMXVn5M767b/Wl51KSWlVGvtui6lVEppIdaqKiLjOAKotbYbRER0gq43 + Tl1rVdU2Qt2YmQib6xMREREREREREREREdEdJYQQRN29Sx3cx8MlzFFrV0qXczg8xOXLf+uJ17/i + 8uErQ9fnCqAK1KGwAEQgAApUaIHWo3rC9q+rAzCXqYDQOOB2E1ryd4sA39KpqlltWseCmzpcISj7 + lveXBy8f6s9821vw7CVk6xQoY5+gimEsCnR9DxcIKsc9iYiIiIiIiIiIiIiIiOilItaGhsUFrlXE + BC4eTMIqvP2Jd96fHk3jvFYBoKq17t7RgClHufWV6rq4HG1tI/byv/nMrwxysYbBtV7/4e9A4g4x + lykm3AWAiqOUIiIuqObdfLGYnwslhFV4tHvlj/6JD7z9ke++Jz/YyzxnxKgAvEJcEKbx+5AEi7yK + z/zKb/6fH/vsrx6MT8tMi9XtYwLTL0XRrjdtuOi5uJ+S8FCi200dXk180wRG4eoHl58Zfcg2SIDG + 4AKYmYH95E9cKWW2mJdi1Uvxy09f/JpJNey8gbmIBXiQo6ZzdGb4qfw04mFPREREREREREREJ0NN + TURdodUEWYGwM/15M8dWr91onohOmIioaoxRRHLOqmpmXde1TOtxHN09pdS6ireVT3qXiYiIiIiI + 6ATIVAEPQH1T8ihoNfFT1uBm0eaGaGvm1tZWcQkGmG8iq7WFZAsAbQG9UQDABa3uXtuWTQGIGkQA + Dbf4JyV60RToAW9dCBMAaHCDA6Itnl0FAgV0AGrrLymmwBTZvjmt6oBtZpkEKGSacxIAnzbTHu7o + LGwrM28HnQMmptAgPDdLREQvAXc/iU+Uo4cMOyOP1j4Cd9RaRSSEYGYAdoOrSykA2qhHG/645XtN + RETP5Xrj1C3rus3cCyG4e/sWx6mJiIiIiIiIiIiIiIjoTqKQnHMQlRBg3s0XADAMEMFq9T//ye9f + fe5zTyTJ43gwjrM+jdWTWzJLAIACVKCIjgoXE0dwBFOZSipMAIW1YkITqKNu6hLpBnxzLcCsTMsq + YGKAB4MALkcx4dHH+0PUFOzS6mfe+Na/89nfwf0WZ/1yuLjo989LwCpjlkaxWq0LyafKTyIiIiIi + IiIiIiIiIiKil0ZwhceiMDUXBNNk3ctmD7/jifd86DPPDOkpmasbAgCfxkQVxdBt5+u7I+eiSYrZ + YXn2k3/wse/9Y++b+7lZ65pz9xAT16mHuitcBVVRa62hS532uZQMjy7QkkJYXVo/vPfyv/Cuv7Qn + 5375i79oC+S6ikGlSqqhSM7ig40iguSSZJWf+fVP/6s6k9EHC64qtXpKaG0RBEVcZeopNA30042d + yiBRottBRAC4wAEPCO65jk8+/SQSJEnxEhDa3HxxFVdxxiSfpGJ5EefrcXCF9PlLT37edTSxcKyK + KAIKKZBy1NGRzoLT+VHE1xARERERERERERGdGHUA0jKMih4/YXnshKpxxjPRaVNrBVBKKaXEGM0s + xjiOo6qO45hSMrNaq7uHENgrnIiIiIiI6C7lOxfgaE7CdpkAMtXkby4BCO2WI1RIhpRNozcBAgDY + 9qJTSDYgED/a3s7ZJK1QIMAFNbDskk4xhUQgwSM8KmIAYIAb3K5oR7g5qqYDYXq9H1upHS7BEYAA + CfCAzaF15eMCApUpM74dQMqKciIievFOagbFFY8qvrkcjUYa4IBhE48KQFVVtX0ZQogxAtiOfbRM + 69M5J4SI6K5yvXHqUoqqtu8CEJFaK8epiYiIiIiIiIiIiIiI6M6TQtQQkAtKhQPDCA1YL//G617n + v/cHj5nPhtUMeHCxZ2NWmPhUL1GBCoyiRdQFgEEMMNPiYkDZFCUeNbk2QRXO8H4uO0+QOhSmMBcz + MZcKWIBNv4h2Aay41HJpeXDO64PFf/qPfzuWA1bDIgSsl/CKmEotKsk1OPuFEhERERERERERERER + EdFLShyhRnUpiqJtic7CvF7Cu9/8PQ/MHgMiFONoSSM8uhxN19RNiycxWAVC9E5ql59ePvmJz/zb + MBeXu6lpjSs8OIJ4EIsCCMx1NB1DL9UGVBPAK4aSD8tqhGnRNPQvs8f+1Dv/3Pe+6f2L1X2zPK9r + i6LZqhlUoaqmboaxjvGe+s3VNw/WzwwYc60hBBHY9jluvwm0r5XNtW4GY63priUxQMUVVWGCIrlI + /tbBU6uyDLOQ6zjWEkTFNUq0bIy4PVkasBpXIakEG/3SV7/xeZMCrSZwmdrNtbIiFwcq7qrP37Nv + +1HU8uavvn0ieMwTERERERERERHRiRHXlqHigrqNtfZjM3iPzqFytI/oNAkhiIiIuHspBZsxDzMD + 0JqGN9sViIiIiIiI6C7luxm8ajup1A5zWPtma5bnUCDCIxABdURHBKJ4hMXgMSCGlrwrCoGgBGR4 + gRVUC9ZyrwEAAg8ogtKSrT2y7J5OMwdGoMh0vFSgQBHaWVODlwCTaQ4JEtADCZgOh83BMh0viMFj + cA2u4op2kdYp8ejhpuPSN7GeBplCsBGxcygRERG9MO64Ogf6dsye0KNZEtthx83nXQu33hl2tDaQ + 0fbTzNzdzGqtpZSUUlsuIqWUWuuJT/8gIqLrjVNvl2MTfR0C/7eGiIiIiIiIiIiIiIiI7jTbIgfE + iJQwDOg6HF7+mTd/2+LZC2GOA6lLdCvAhhodi2KdWQEGYISOokXVxJLZrKKrCD6FWLtMl0Z3Kj6M + 5RI3JzjEUQVZraq5WnALsPYUBiA4giMa5oL5LATAe6u2ipcu/c3H34QLA565jGrIh0iGGAEkRLk9 + FTdEREREREREREREREREdHcwMUDEAzxWRVEDRF2lqObw2LlXv/Mt7+nSrLiJ4djk/Z0BZXWoIgQp + 1atAZ4ZZ/finP/aVb3z5LushrhW9+VwtRUNwU2STXDW75FIGtToTmaU421tg0dekIaToaVyVmZ3/ + M+/4C3/6j/9of+n8+f6eHHMO1QRROhFRhSiqYG1jWEAXkGAuKA5RzTaFi6tvx/fVGUZ5c66ZJEp0 + FzD3WlE9wAUGmJqFejgefvFLXyheJIh7BcRNosZamJF8osQ0hSEvPWjV+vkvfHo5PFM1V/i2vguy + /cAtpuUu+/w9867syHQ68C8JIiIiIiIiIiKiu86x2GgHAHENwM4owW07c9ge84oBPNkukLYzDBwi + OpXayEfrBi4iOedtx/DWHKE1EwcQYzzZXSUiIiIiIqKTdP3ibducHjpWvjqdsJrueqwX23RiS3cm + PGzyCdsNt7B9UDna/nPuCdGJOzoWBO3KgLpJcD9aRQywljzdFsvu/B/sBFbvJMp7S8t+zkPAAYdA + W5o2jxgiInpBbPrQ6fqLqc8qAMQddlsmLPnmE0yra4EA0KOOy63/MrZfQaEAzKyNaLh7rbUlW7v7 + crnMObfYVFUNIbT8VCIiOlnXHKeutbY0a+xM4bPb8+lDRERERERERERERERE9NLaqQD0qXbQZLqI + qAJAdbghKC4++5+8/W3nLlx4dS95XMmsy/CZLsY69pBWSFEFWVE3qdUKBJsilqeiCtm5vnJnXsgs + 77av11y4vUyP7McuN7nmCyBXPdbudl5MdLcLbKeS0zbPs/jUQxybef3bBxkcy3UNggSU1foexaPF + /u5rH/+fvv+HcXmJ4PC111GA4EDdLQi9ukbUHKhA3V2BiIiIiIiIiIiIiIiIiOg69NgQsG/GUiXn + urc4X9fde976vffFh9I4W6SZVhc3wExg02ikttsAzF20KPLlpescF+ypj3zql4e0LiHXkE0rAHEV + j+Kt74CZWFUzMWutAKAm7bIZIBa74jIt3owh3/Yn7DkptqPuO8O17h5j7LpYa12tVrWUCh9LMfhY + qnjoy+L8+ND3vP79P/KdPypPd2nsFIii7p6ruyEEqCID6LBeZ1Hv+jiOxcxiPBpFboPUNj06u7gT + 0XV5qWIuDghcYQqJsDB+9o9+Z4nLmImk4C6WLaKLHl5Yic7mjYjXV19fg1znAgAuLqhhPYZLn/j9 + 3/beNJSp4WIrr4KYmImZnsIPRzqT+GcEERERERERERGdVa357G4vWrajfW4OByq0tnOD7ckzoAKm + gEFhHmBwfxETcG+SmGs1KQAUIcI3mS0R0ONhLNrWv+W7RETPX2sO3t6N23V7N24R17s3iIiIiIiI + 6K5zvExSpjBqveKyWb6J0d2sH4AEpG3l/s52Nv+rqZjWCtCAoFAVIGzWVyABcbuEOb10iunmBd9e + qLp98U/J1pujZLq9vdMVx8dV5ck6HTWb6S/Tfa+7/nSMqLC+lIiInq+pW67BDEGxt//Ve+67jKSh + CwqzCohbuNV7EbSvbgiDSb5ihpQLRiC3L0zQ5r7uBKMCUNXt7RjjdoyjLY8x3ur9JyKim3T1ODWO + v127e/uSiIiIiIiIiIiIiIiIXoDdqYLDMOwuvKYrJn3Ti+JAASoAGGCo1v61ipLR2opHQc5YXvyb + b3zdE09/4x7zmr03l2EdpBZfAyjwAtQWZe1QmMCimbq5oGLbc3zzz/Hfnvp0L32ebcFby2zfdBZv + lYkmrbRk25FcARXXAI1AnOaWQ3zqRF5Ei2i7FwDxqWi4VQVfq23uthhZ7apLi5cOOLro5uGw2bdN + B3S1518/6WIGM8AFusnM1k0quW2m8tftnH6BC3pHWNV7g85r7YZLj9T1/DOf/MXvex8uHWD5bArV + HLVC9Wgjjp2A61alY1ZhGTa9ZI4lYNPz04ahSykAzIxvaERERERERERERERERGdaG+1p0wzNjK1x + J94aMGkJtYYcvXTVgrs6oOKIwWf78sCfevufP3/4YJ97qzlFg2BdMALa9UVlzFFCXwQISAIx7yLW + Nh4snv71r/zqp77+iQMc6HlczhddZZbmsaZYk7qaeAm1XVxMXMWDI5ioQ13gYiYVqJAsqIIq7gpX + N4W3yylLtjZFVmSX6mJtZFs9qSd3hepQKoKmlLxabyFCkGSwMUpMuZOD8Gh6zXtf9/4/884P3Ds8 + NB9mw1DDTE1tLIAFq4DAHCHAq3ktMcJE3QDAp+cIWVEV4gh+qp6c00hEhmFo84/dnZORb1J7C902 + 4nB3vqmeOQJESAeVAnEgwAQVYw75t77w8W/WJ9cx1xjXQ170532oUWLSJADcVURF4A4Tcd00KjN4 + dVSITZed6h1err4UtwpvF3cBVBGiRFSLogHipaKWKEgqWgU1qqrFg2+uv/CJL3/qkq8ifCZQB4BW + nQQpQJ0+Idlg8UxpFUo4nuZw4tVK/EQkIiIiIiIiIqIzycxUdXdStKpyBOi57SRDy+4/RzNUzQQu + t+2ZPDpDGgyAwbXtlW9HJsCT4UREREREREREZ9axoNxr5OfKNda6xspHX19pp2pTtK0gx7cQdrN7 + iU6rK17txw+K7ev8pl/JNz6obnJ9IiKim7c7LcINKujSf/rP/wVmcy8F1VLQ0PVrr7d8R9yTBFhx + Wwvgbd7TZozUAT9d81SJiIiIiIiIiIiIiIiIiIhOozaDu4W89n2/Xq+xaZ54Tao6jqOIuLuZtfXb + 3emFEJTlFGFcbBzLUMcBIggJhrqqyIZh9Vff8qb7n73wYC5dhTqCI3hLoTYXa9nSuzUdU0x1620q + 8NtQNLhJWVaHuLa8Z0zx1QaYw3Yzta/YYRwvbLxhZPM2+tl05wIAYo6pl/fuFloK9TY5u12/gBhv + bPd8W/TpUwPZ7fIrLnV6UA2AGqKVvtpetUeg3/rdT3/wB9+Py2vkHLzEiHGcGoZ6C67edgY4eoLs + lPVtP6tCCK2FBQBVbd1jtz1kiYiIiIiIiIiIiIiIiO4M4upA1WJSgiE4xAWAaFyvMrKkPH/LY9/x + 3d/2fX5J5jof16aKrpcQ42pcmtX5fD4MQxt8lc3waFUMaVj2l/6PX/vf13sXnzr8ZlqkqFgdLi2b + mEyZ1ACOj29ux5ExtSQHALg6ppBOQwCmwVdrKZ6ny3a0GgA26dvTZTtCrY5gCKYGVLEQpIvJM9bP + 5nvlsXe9/gd+4K1/en984Lzury4OKWrfq0FEtZUOyLaD1kThEa4uMN0+N7b7ZNJNOvEMUaIT4FCb + 3r0tDEN/8Rd/7Z8dxgtjvBzPp2U5NCDGmMtQLLtXFxNxURV1qJiZubuLQwVBEFRVJW7eCXl9zWtL + KYQgIUhUiDi8mlmrr2vXMcYQUoXXWotUjyYLW8YLH/7ov1zHtXXuMC/b0iE1UcAVrbFOBNGLxpcR + ERERERERERGdSbXWFmutqiJSaw0htOuT3rXTzVUE0vJPNrOOpwxrQZtn6wITE9jxDCAiIiIiIiIi + IiIiIiIiouvY9sxto4/BkCJUJKVVHuaiKGWeOq/51u2COsayTnWOAckC2twbBwLQpqi2mawcAiUi + IiIiIiIiIiIiIiIiIrohVR2Goe/7Nnd7NpuN49h13fWa+ZpZ13WllBiju89ms/V6PZvNbvNu3yEE + 7tB9KYJ1LfOQVF0g8AgAGVEMTz/1t9/5jlc+c+GcpLFWeaFhzLeCusICjhVoeHAEGABza53ITVAC + XCCOsNl3EwgAR2yxz1AA4uaCvFP1ITu50TcuAwkwdxSFSYvWbtufupy7TDPr23LdafB9qzO/TeA+ + RWsLkBwADgLGCv/UFz74Q//BX/q1D+tecYmhgxiCYGzh1YIoQAUENaBCA6zfbNYFEJbGvECtcwU2 + jSxSSnwfIyIiIiIiIiIiIiIiojtPGycF1GVKwmxflrGc21s8c3ipn/cL7H3/d73vi1/6zJcv/0F/ + rhTUXExRAxyoghwV4gpTAJAim1YDFoavDZ/72Q/9vf/w3/urcih1PfZhXpHjfD6W6ojqEFMBggFS + 4Bpg3sa7pyHhAFdAAa1oS1DFMe021E/L4PgLIxIAjJ67CF3UYlVr/7LFa971+n/Xpf/Qx3/Ou5WV + WjpcOrT9vVkpY2sUvx0lh8Ogsm0wL9ZGvXdHwOkGtqUvIsJMa7p7OFDVqgCuYratwIHkqhc/99Xf + +K3Pv/atr/4u12Fv//7VSqVa7KFwdTGpcC3uYmJiXexMIOYGNxM39wJITcGBetI/6OlVxgxAJCgE + EBFp7XmizmqtBS4iFW6AqnqnB/Xpw3rh/2fvzoNlu67zsH9r7b3P6e47PIx84CCSokgQA0mApCkz + 1mTKtibHKmuwlYrjqFKuKE7ikp1Yke2S5KRiJXZcsqMoKtsl2UoiqWI7gxVbKkuURGqMhoiSSJAi + AFIcAIokQALvvTv0cM7Ze638sU/3vW8ACEC8792L9/3qsdmvbw+nT993DqrXXuv7zMWPfvDxD8iW + 9FIMCA2shwvEoLB6alBXddksiKIz4XSegPg7REREREREREREZ1JKKedcm6IB1DTrEII/gxu9vaeL + YuzpBVCALIAcDZp3scJ2VSIiIiIiIiIiIiIiIiJ6buok37FRVAGBdytY6fIwDN20bUouppZzPukt + aSTuxAaLjIMexUXcaherAD7WScftZEmUiIiIiIiIiIiIiIiIiIjombVtWxu0h2HY3CjPQFXdPcYI + QFXNbDKZsL/7BRJ4UzyYwychOmSx7NwDHBgAz1gefOeDD9x24cIdfda+UzuFQ0kViA5dx0/LeqWG + KRAcwY+GYLqgKKzO4QawXn6igKxzpk3qdF1kRda6BmT9BL7+s3ltv+yPAOIKV4O6qIm6oP7Z3P8o + 01oAOdlM683btE14tmv960Gxc1vbW7D9D34Yewsse/EcBBCD1HnwKEcDgDVDrYZ/u8Lq7HKUy3YG + PQ8iAqDv+xBCvT6ZTErhwGUiIiIiIiIiIiIiIqIzrFa0b/RWnCZigJv4Otwati6/BhWY70xn/d5y + hq1due3rvuQbb413oQtaNCF69jaGNmHovI01RDOqJ7VmU6Q07eRc/4E/+I13/da/6Sd7uV15zB59 + KHXOgKpF8RBM1KFuiqwowT24i9fabgSiIRgCEMbCr6wrw2J2xj/PUkqMMZsthpVHCW1TBqz2yvn2 + 1V/2+q/64/d91S24sx1CPsTWVLvcCSCb0vu6hl7Lx+JHIxNOtMz9YsK8ArqZlbpEBwpvxBqxqK4m + ee6Xymzxr979459efrjf3n/aP+27g898wFAc2S0XH4oZHEFDSIOVUkpxEQRVjTGmlFJKz5QPQlUT + Jym0UaNqUI0iwd2L2VBy78OA3COXUEy9Rz4s++F220+f/fGf+l8OcWkpK0uyGGB19RXqCR0YTw3M + tD57/FSeiuKN3gAiIiIiIiIiIqIXYhiG+iV127bDMKhq7Q98pjqxmV3nLTylZH1RK7FSe1QRFJtu + 39oEazJWK4mIiIiIiIiIiIiIiIiInp0DBtX1HGJpIlLIVlwUiuvY7WvD3v6//Lqv/5Zf/RWYIdW5 + v3XqMEK9B6DQsWbK/kwiIiIiIiIiIiIiIiIiIqKruHudpBxCCCEAaJrG3Z+pj1tESinHU67rk3A+ + +AvgMIcPpYuqQWL2OJluA7AuKxyXLvw3b7z/ZZcuhmLTINM4XXbLwb2cmj1tgGkBTN0BuFhwwA3r + ROdQo6YNQcaBqibmMqYxX/0+xgHlNdlaIA6H2hh+vU63FgPq/O71zNb1w2uKtkMLUBReXwsQR3CI + Q4H64iaou/H6ttirqQ0GcbTAcn7Y6rQ1+7tv/OK/9cj7IPA2iiYg1HUvGShAqHsSKIDVv9rlb5te + kL7vm6YB0HWdqqaUwrjjiYiIiIiIiIiIiIiIiF4kjsdCO1TWNdYYY7dcSdBZmHhfVrl98NV/7KkH + nvw3D/3zvfmF7Z1bFr7IeZmmUgYvBUCu9VW4atkyLa6rEuyw27/zrjt+7nf/ZdPkP/XAn/ODKIsU + esQYxOAIEIUbkMVRC8AugAtE4QoEQCGAq4vVHG5IBgxSxFXXxeIzyiynlCBpGAaDh6BBZIYWc7kl + 3vr1X/znI/wXH/6Z7dneEKQbVhJcMdbTx9RSAVD3zFgor0VwJls/R+6XZYmezmBRos87ExT1rBYs + iqu6AArJLjlthf3FxWbS/tC/+sF3vPnrvuItX31x9ak2zFrfjtaqClzGQ40DEswdgEiBj2kX7gVA + Cir89/SM1IcA17qgzkNdVeUmZdUvJrM2NnEofV96BA+NSps/tv/wP/kX/1B3ynx+uOiXqY3bO2Gx + LEnGlBGBybGFUtz3Z8vm7CMifsWZ6cZhrDUREREREREREZ1JKaWcc4wx5xxCqO3Nqsr46ufkqi8n + DWPPLeoVZ6Y1ERERERERERERERERET1XBngdm1vLjili2TWzrTif98s+CjxbbCc+dCe4EWISmq2U + ZsuMxYC2R5sAuJh4ADD25kBtHXFNREREREREREREREREREREVxORGMcphfP5PMbYtm3t5r6mpmn6 + vq8DFkspIYR6eb2290VFAIW3QQUhl1w8xCi2sgBgsf+dD77h/MWL52N0DPvFS1mcusHUYnV9hsPW + SzVQ5Kh13QFAARWvudYublfP194MuhUf85tFEHx8vGN86vVkAQVM6y3rFxgfPq4SMUDhVtbXBbbJ + tD7OT3jO69F+WL+wuBaBiM1EV8W8gaz66cVLf+e+B77nw+/V2MAFKiII0OOTFAIgqAPMTYSZ1p8H + TdPUY1fbtgC6rmuaRoR7loiIiIiIiIiIiIiI6OwRYb7jc6QOA1DKEFPsu2FrZ+vi4cVzO7cNi+Ht + 937lxfz0Lz70zmGva7fiQQaKh4gCBAOQ1SKgBYCLCxwICYf9hTiLP/ven4ztztte9eXn73i17RVz + V4tWE6yhtciLdeXUxmBmhcPEAIXUtM6C9aV6DXJON25ffR6oqhncNcQpAqxk8dwGzV2eoG3tzj/x + lm+cD8Pvfuo3/uCpx6a7ss65VHj0caflTV1+DJl1dQDCyfyf2/Ho0JokemO3h+g6Mhe41AEs2ByE + TdBZsYB2IvP5wS++92d+9/d+982vfdvrXnbvF9zyRZOwFUMSEXdxdzNzk6aNAAQBYuLrdF7zAapH + z8zLKy6hsRkPQeoiYlqKZ8PQ7qa5HXR5KY22bVgNq48//tiHPvmBX/vwu7rJwf7i0uTWmZt3tuqy + ty2sh3pdH+X1QxRXuPIscLb4GA9/2aqkG35iYqw1ERERERERERGdVTXBujZF169imWn9OfnxjlQB + MPbxOmAwhYtbNITa0MsWSyIiIiIiIiIiIiIiIiJ6ZrWieLwlovbTDH1uZtMQwnzod5pQ+hKC5m4V + 9GRrkDZ0mmLbDXCBRsDMTSWOW+kIcmyDWQ8lIiIiIiIiIiIiIiIiIiK6lmEYUkoASilbW1sAzKyG + VV/z/n3fxxj7vm+aJoRQr1zXLX5xEfOaZBx1EgRlWaI6Lj39X73mNa+NKm77fb/bNLtaxMpQxgHf + p4Q6gh8NH48GB/owXgfQa70uCjUokCOOwqrHeaswBYD1QhNHcJgBAgeKmK9jq4+1w9s41VMAGVOx + 1SGAuNWZ6HATrw+xek9b50wbAB8zok9UzdLGej8EA4CicGBRTAIudUsRPadN//SFv3XPG/7u770P + 24qkCC5AcxTYDTEEQRYUaFQDoJfnXtPzMgxDCMHMVFVEzKxtWw4xJyIiIiIiIiIiIiIiOtOuCAsk + 1BTrq/aKCQRF3Gdb7eF8f9ZOh1UOQXcmd73j/m8YFv6ej7xr2e81TVjlIhEiUtzDWEzL0N7V6pyB + EDAM1suAWfcTv/njS9//std97W77kkm3q5BQczhFgOiSIcXEXAyugBsAqSXRAqDmWIt7zbQWwKF2 + 4kXdkxVVu8EAxNSqaPYlfFWGoVUo4uGe7G6/4s98yX+0/AXxp3R/+NQQl0VhrkAAIpAhfS2LA4BD + HA6t+dbMNH0uWAWmm5Y4BIBkCHC0/kQdKLBVLpNZOlzsDXn57g984lff3xIwXKYAACAASURBVG6H + na3pzu7uLTvb587tnNvZOTebbcfQNKFVjVFSCClUEInqlurrrKMweHl0Ke4CM8ullOLZrAx935c+ + W3/wxMXeu4P53pMXn7hw4bOHy8Oh9D1Wh2F/st3kg/7Sog+TxqAoxQekegbYLH+is+l0nowYa01E + RERERERERGeSuzdN4+61J7A2B97ojTpr1AAEqK6TrStxBDtdDcxEREREREREREREREREdGoJUGuO + G03TwA7m83kbouccUshDibFxG056Y2LQVgR9D7HiFmrnqqybfQDA5NiEXyIiIiIiIiIiIiIiIiIi + IrpCSqmUoqohBHevDd3PlGldmVnTNKWUEELTNJtgbHpBFAIgohSREMWwd+k7HnzgZcMw6612hfd5 + MHMAqjhVUy43sc1lnS09jlL19Xh0ARwON1jd8M0M13Wm9fgMMi5KUWAcgA4fQ6/tskDrcUmIX9Ud + XwTBsR5rbuutuYyth31fN+OblfG6AuZwUSQUsxAQBZr722KU/YPvvPvev//7H8FMIWG9QEePr4SR + 41MCAL3GW6TLPMtUihjjMAxmVu+Tc46R81qJiIiIiIiIiIiIiIjOquN1Ifery4k3JVeIqcOgdY+4 + 1GqsSRIzO1wczGazbC4eQ1Fbyu2Tl3/N275hubj43sd/fZpS8UNzz+ZBYLVCCTO1TRGzFLgjzsLB + ai818Wd/5yf39g7+9Fu/CWLe78QSgsXxYQKXdcV2rNsaasJqjWcWHwvQruJa07ChZ3tQQMkeRV2D + lTL0fVBvYgowtezu0ZtugXO3vOwb3/GtP/Vr8pu//7M+HSxmdTWoYx1LK+MOUx/L6C4qDmes9edy + OmNEia4LVUcwAHCFeb0GF3ULW7OdbrWa98tmGjqfa4O++GF5Kg6NXJDyGYepSFCJgEpRkSBeY0HU + 3cUcEEECZ7k8I3Pr3Q1ioirqIp7dzAYEN8keDAGGUjxbNI0yYFgtVkhIEdksSvRcmiQYfL02yCAG + MRcDj//0+cBlUkREREREREREdCbVVkAZK4n8nvp58HVNvdTGVK8FXxOBAWEoQZNDzBGuS1K4jL3I + RHQmuXuMMedc/6qqVwyneJbubiIiIiIiIiIiIiIiOvsMrgBENjU/HW9XDSFEVc+QXKIqynDChUGX + ALMMOJJCfNNtXCsZcTMCWQyidSZyHcMtIptBtO5uZiGEruvatsV6Rq2ZsTZNRHRj1Xbxpmm6rqu3 + qGodKV5/VK/Xw3gNV7iRm0tERERERERERERERHSWhRDqFRF5LmUXMzv+KGbBvnCuKAUhAfDeRDPm + B9/z+rtf3XWNlcEtOETg7q7ICgBxHF99KrhgcEzaSdetBJi2adUNbQYEBrijAQazAosplDw0TVoN + CAF1CUopVhy3b+1cnB9MoZfnXNcAbGuBbFBB75g1suy9A9qInKFBzCAijtruahLUytAkXQ3mAhHU + X1LLiDjab3Voe03LDn7ik17t6I2ZAo0jA50bBMmgblkMXm7rNbn89dfc/Q8++mHEOO4GB0SzeQiC + K3Os68h3rm95gWq7dF0gVBcU3egtIiIiIiIiIiIiIiIioheoZteGEEopKQT38rkecbMQV8dYi60p + yC4wB2BQhEnorAcUGuEavMmr4fy5V3/9l/6l6W/d9tufeHdOy4XnNEEu8JBKMcBjDKUUK2hbuMAd + ZcgpqRU5KAfvefyXH3/io3/xq7/tzvYLzpXz0rv6kN16hwZR1ybE1WqVUuuAKiwXN1cd66FwAaK7 + wgPE4OVMh3cqkgvEsyHHIAo3M0Bd1CEIiJq6w3L79vmvffM3ieOXf/+nfXrQTqd97pd5MZmlXGCO + IBDfPOcmoVaZbP3sQgjL5VIVZuNCFxF59qjrTQszgFLKzTl3orZsu49Lg8a/3uitoudFHeoSDKbw + emQFIICbIuZVHzwiqmHIIQNAhFoUywAQRL0ABegBrZ/9+BsgVp/cpB6CbsibOxOsrksC5Iq95ICJ + A3AxEyDCBZAi6zARrzHWJlEjsqn7OC4HcHGMC7LojKnDjuqZaMNv9CfJFZ9EREREREREREQ3nfFr + ZsCAYAAQgxZg2c23m+QCg8Rmijw4WHEnomsbhgHrgRS1vl7zrUMIqhpjdPdaa+/7vmmaG729RERE + RERERERERER0Ao41RGwa72T9o6NGtOvYeuQCU7viFY/aOHy9zQIDdD1Qu1Y6aqC1qoYQ+r5v27be + cnP2FhIRnUK1PN11XdM0pZRSSh0j7u71oF2P1bWrfDqd3ujtJSIiIiIiIiIiIiIiInr+BEipDAgC + UcFy/jfuv+/83qVbNOTjgywFLnURhDpO1VhqFUjuSgAmk/apVReA3aglmwGqiKIZ1gd0SXspq2GY + tJPlkCVEU2nOTbP5JxeLc3eef2KxkDF52sXFpc5k9RSxXC63d2aLxaJNjWmeTaYHy3mM2oToQy79 + sLs1zf2A4iIiKS2GoQC3bE+Wy1UekARJrhx1boCLAmZQPbFd6oLiKDqutDFAAAGCI9TB+nX4LxDM + oht6edUk/ccvf+UPf+YxTIGuc0TZ2hZIqXfDZQt4RhwTTERERERERERERERERETX5CoAxGqleZNs + XWr/fS01OtQNCIC2aBYX5q+88/Vf9bZvHvLh+z/1G6HdWw1ddvGIQdyLw2zaas62nGPSigtqULBp + ztpfzENfhn/6kz/4lW/6mrd/4Ttmeg4riW0bNOWcpUjX5elkdxiGMpiJp9SKeik96pQAARwuAlGg + JqmeqhL582XigFgA4ApAoSbIBQZ38aCQPtu+v2z7NV/zlm/qm+HXPvQLxTsJ1jZw780RIzzXyQlj + 5VlrLDnAqOHn69kzREXEzGrb8mQyiTGWUuqECqKzRYBgCA44TGDrhSXiUJi4Agb3IjBBUQAIkkXX + x1tX8aOJK7rOtD52zLExlZmu6Yo9M+43BcYj+Sbrep04Pu5bAeBQqBhCPYOPD/b1/d3FIAbnSJyz + 5IYnWF8TY62JiIiIiIiIiIhuInLs+2bb9Kk6RCGCGCMMFuMStu2xmKnolS25REQAgJRSHRRe863b + tu26TlVrcX0YhpxzSsnd691YcSciIiIiIiIiIiIietGqzTACX7fEAAoXdYhfY3DuiRpbpBSbplms + +3KObd76zoagyDnXTGtZq2GotcCRczazlFK92/V9N0REdKVag+77HkC9IiKq2nWdiNRj9TAM0+mU + x20iIiIiIiIiIiIiIiI6iwqQgSYBiwX6/rtee/fuhafv2pmtDhZjl6bA1x3jwSMg4jg9Y7vFLUIM + ZRrTvO/6iMmknc/7gBBS0w1dbxbTzjyVgwjb3cra7K1a351s3XnH9/z8z6CsMJugUXQdZhOgvlNH + GUeVA4ABsUG3QozoO6hhGP7Svfeei9qsVjPoua2tJy7OdwIaRZ97QNpm0vfd4mAF4LZmsuxXdU2L + j2nW4+V1YAJTBaBu6mPKdQAUmJSjVS013NoBQYl7F1+3vf197/iq73jXz2IWkdJhN2/aLdTptn55 + rDUHBBMRERERERERERERERGtibB+dgUdQzRhEFPAfFMqFYdCMgAIzOqdJYS0G3f2nzi4Y/euf/8r + /8ovPfS6dz/0E0/MPzE9pysMTTMR8dIvF4c+C7h1GlaDOszEANcACEq2w3xwePjov/6tCx/79Ife + ft87vujOB31pZbW31Ww1YXexWJnGJkzNTBV56F0KQgCKC0zckWttVx0K09MYBPnciLkUcQCiNUQW + ANQRY9sMJZsPUI/BbLC0mL6keeVXv/XP7x8sP/Sp91jInfkg8AIEhTUA4CbIEHPUYvFpWTlwmm2S + REXkc6aKuntKqbYt47SmkBI9R+oqHsVzXY9iAq1DWCSrZEBtk7LshvoTMawTrLVOkgHgcTxvWNwE + KZsYkNV5FLq2GvjtghpWPe43t6MZOJvT8eYwI0fXbR1xretgcl+vd6Izyt1P4TmFszmIiIiIiIiI + iIhuJj5Ok7+auqTQQg6fzqtXNk1fTDZfbRMRXcXd66Dw2Wy2WCy6rgMgIk3TiEjXdSklAGYGgJnW + REREREREREREREQvTrW50Y/VFdcNM/CxnUb8eg/MLetKp0IAhatuunFk/FM3UxUAaujp8bbk6bR2 + vSqAtm0BuHv9KxER3XCbDr1hGNq2zTlvDtoxRjNLKZkZM62JiIiIiIiIiIiIiIjoLKqrE6QApf9P + XvUFb3Sca9PqYOGAroec1vmk4ioQnL5+8InGpQ0HeSiClJpVtsFl59wtqy4vitx650s+dunCQUg/ + 8KHfgxeECTCDRMQAZExniCiKMpttUp4FCHU2K2AIBShAnKVgCFtTlIxc/tnHPg4zlAG5x3zx3375 + lz05X0SzFpAirUlqog7llqbd7y7NkDIGhw5qtl4wIo7gJg6Xkx36anVUvAP1AxUEA4BQM7bXb9nr + GhixbQEOD1cfeRwX9tDeIilP22ZZ+klojobbQjmgnIiIiIiIiIiIiIiIiOhqTLa+yibF2l2ggHnw + MW7TAIXUsqkLDK6lN1XsTrZKLmVVvvzer3vZXa/4P37hRz918Jg2h2jMpENBGxE8LQ8HaaJCHGbm + KggBAnWx1KaD/ulf+f2ff+QPHnnb3X/yj973pS+9/SW26g6XB+321qJbBcul863ZDFARFy8mUpOt + HWrILiiAljNeGJXsArEEQI5CTGW5yhqDe86lT1FTbNCLd+G2cy/7i1/3bf/r/33w8YvvjbvDXjek + Bp4VkMuGPKwzrTeZqXRN7s8vSDTGOAzD5oGqGkJwdx5Y6AxzBeyymAqBKdQNMIgCCo+QfMVCFJN6 + H4WY1+OPWF3oIq6+WdRE11JTqDfqnlXAoeuzso0x1nLsMXJ0plh/QAYHXCFm0PUMHQAOuXz4D51u + pzDTGoy1JiIiIiIiIiIiuok4xpny9TvoeqMChrzs47QJEKR0caJ7s9gsygyN23ADt5eITjMRmUwm + q9VqsViIiIiklLquK6UAaJoGwDAMNdyaiIiIiIiIiIiIiIhezI4FRQPANVsn5No3f543xOvrK1zh + R72AsimPymWznB1uxUREVWskqqq6e8651jhq4SOEYGYhhJN/B0RE9GxyzgDcPYRQSnH3ruvqjzZH + aVVlqZqIiIiIiIiIiIiIiIjOLnG0AOZ733HPvffA5WDuAQWYxIBcju7nEUBwB+ykY5ifFxcc2hCi + RFWYphwXQ8ZLzn9w/yDOti9N2h956NcxnQIBIlCFONSgBeIQIK8se2gnAeVonmsdoQ4YXCAKFUcp + CBFwuEZpI5oEMwwZItjB337fI4gJAgz5P33DvbtdbpfDbU1bun4bOwVmQK/eB5gagFQsOpJDgewo + JzlpN2wCrS8fKV4AX48HLUARFAWAUvqdmMKlC//gzW//6x9/P0oJW3EaktalMjLOsWWyNRERERER + EREREREREdEVGD17NR/7/g2SBXCoejCPQB0a0MNVYBgTNCESgqLrlmmi55rdg9XiC7ff9pe//ov+ + n1/+0Y9eeu+l5WM+gWtjWbMHkQjzECyLmLkVqCF6dqDLpqHBTvmsP/GuR//P937kl9/yqj/21nv+ + nTt3XqERh9bP0vbW9s7ycAkr06bxUmoBVNwgBtF1tufZzuysNd1wxW2iXlybFKTp+z5rCaqCPI1N + yLHs9d/6p7/tx37qH33k0kNbE+mLDzAXhxQgX5aBSs/BJtZaROo1EfFnGIaRc94kW9fjSSmFcyfo + LHLAxIqYC+Dj5JV68DAZV5yM61lcgyvQuGQ/WoiikOPB1bn+n8D8WPQyk62fkcMRAYgArioAzAGM + i74MODqY110qpgC03kHqDjerh6xxgI/Wc6L40UdAZ4VvzkanCWOtiYiIiIiIiIiIbiZev6RWbAa2 + C6CIbQPDkPsE+cZ3v/MDX/GV8dLBTCY3enOJ6PQqpaxWq5RSraybWdd1MUYzM7O+780spVSr76y4 + ExERERERERERERG9KI0dEsebW+TKWGsXQCAwE9Hr0lIxdjode606DnhswqndUONV0RCw7h50dxER + kU2Nw91Z6SAiOj3qkTnnPAzDdDrd3N73fdM0q9VqMplg3RluZqpnezwBERERERERERERERER3Yws + Y7VEv9o5OEzzRQSsYLtpur4fp3PXuaTjuoh8w7bzGZigiCwRSpP2Vv1se2vf/O/8znswmcAFkwaT + CDdoQp8hgjZAinuG+DCUpm0U6jmLBNQR865Qg6jAFGIwFEshpggAnVkMCqAfrElRYnTzoGIhagpw + oOR//Oij6Htk/JXX3X17aLbNtVvsTKd5WAIqjmAWbJ02fcLR0LqeJFsELpD1R+k11loAH6ucDqsT + 5Tug9eG8zHx/+Ve/6P7/6eMfQrEQbLxnzbSWdbY1EREREREREREREREREQEAGGn9TFwA8ZpdXXeS + ugDRYEAEMkTVUe8QEBRQDbkzjbaVdqehaW32rV/1bb/+wXf+5iPv/PThY31CThikDFICsjpUXIKY + OXx8GWmQvc/FovTezp9cHb77957+/x7+1de98r63velLXv3S1+3PD1x7izJrJqUMANS1bmwBAuBn + P7HToI4EwAUGW3fAqrjNJk3xDEEIQYChZB+6tt2KS70l7M51+A//zH/+Qz/x/Y8dfESnK5GlSG9i + m4kKJnAw0/Rze74xopvJ2+5uZiGEEAL7l+mMKupFaz6F1iBkwFzGRSzj8cMhMHED4PXkcA22DmMe + D0KuRwNe1GHCy6suj63qqYcPeYZDUd3ndXWYOEzH3Ov6POIwqXdRuMB1s9CIzpZTmGkNxloTERER + ERERERHddLxOjUcdu+4CEaAzqKbUwFbA8BkMr7nj/PDUhcjyOxE9gxCCqg7D0DRN3/f1xlKKu9dc + h1oXEZFhGFJKN3JbiYiIiIiIiIiIiIjoBPhVY3yvaHY53qFUFO5uuB7J1uNL+LFkawMEItfY5hpZ + HUKoGaiqamYAJpPJarWqXYVm1nVd27YnvulERPS5lFJijDHGnHM9etdM65zzZDIppahq/WmM7Jsj + IiIiIiIiIiIiIiKiM2gYcLj/X999dztYM926VcJqvmdDb4CP0cUxGAIMsCLj8NPTwxCH2FyELxBv + edPr/4t3/ls0CSkhJISU+y5qgmixgunEga5fTENQbQHAsyMBuipl2jbj2o+jpGcVQQBCsKFfuHvT + tk2AwYqXlILDagu9w7UV9+JumgTaoBFY+cEnP4JhQM7/5RsfaPb2XxLi9pCnZVzxYsCgMJzs/gyO + CBRHVhRBdEBQAAD10+wBQJsCcUSHi6Up+oxSFgnNyw+ab3/1m37gY7+HnQixzWjaDNTBw4Hh1kRE + RERERERERERERETMtH5mNoZhGsQBUwcc5gqPKgYxCMQz4OoQtxjy4eG83drVpl12q9INgmXwYSdv + f+3933TfSx/4pYd+9rce/7WnyxNlt9cm28rFIYagCglFiqmLYHBLAY0KhlLgPlnmdtnjsw9d+OTv + /OTPveKO1z74mre//mUPvPLW1x5cPNxtblUXMRUby8QusDHb2q4aGHB2eIQnwEyWqoM51CMcCrgN + fbcISWNQiVFEOnQiZctCn2M7uatbyH/w7/7Vf/7z/+yDT/7W9Pa0yivVcU8Y1AGXM7tbrq/nlSQ6 + DIOI1J5lVXV3EWGmNZ1FJpbVTCFu4hoMgLqowQwwMSjUIUAwC94DKAB8PGtcPk7Gxlkum1PtJtPa + TkGA9Om8VAB5s5eOdp4f24UCkzHuusZa+zpufJze4+PiMReY6zqhXAVQwBynaQUZfQ6MtSYiIiIi + IiIiIqIbTcaLTQWgdqhKUhSgOAyYbXs7efqzl14OwQl/C10LEmGzaTb+b/2qx0qhXApAdPqUUkop + AEIItaxeb69Dw+v1mgPBieFERERERERERERERC8+Y2fLFbfWacIGqB406TA1jefGTRx+wmN/AXHA + oZAMGQDUJpzjbTzrrR07BQUIIQBw9xpoXTOtRWS1WtUrtRWEmdZERKdEbfZ29xiju5dSanm6lq1D + CKWU+lMzY2c4ERERERERERERERERnQbH+6bHCeHHbvV19zcsYxiwPPyu17zmCzT08NVieVizigVR + 0QMG3fRlO2BSh3e/kCUZmzby9fzZ8UmCj9vqAhM4EBzi6wGplz9DfSNF4qDShdgHXYWwiO1//4H3 + IwZMFZMIBWLrCAPUp1vRpWRDCgUYkNtmBsuAwqRpYjFAMWknXW9t0isbzI9NxW3a1uGllBAiJBhM + AYebAyJWLAbVEBzFY5QYcu5TFJSE1fIfPvowuv4773nTrav+XFcmOQcUII/v+tj+tGMboL4eHfuH + bnuX9aXUfYtxVwMoCnUz0bDehm6FoBBgqnLrsMSQ0BesBrQC1bpWx4C6B8bRAX/YDSQiIiIiIiIi + IiIiIiKiFyuH1AHgIlCrffditTq6qTzruixaSm7bNoS0WK5cMJtM87CMmspQfM9fMb37m778pW/a + /yO/9IF3vu+x98x1r5mFnFfiLg4XHzOoA0RQDMgeBKIobr0jxX7Z9ZNZ/PT80Sd/4/FfXPzCd/1n + /91seodnqKu4qpsB8ACBymUF3DPrqO/VBeZj8b4JYk1smtQNfV71ISURKTCEgOI+l3Pt7W2c/dkv + +wvduxaPXXx/2EIR+OYzcxVY/dBckENRtU1kpssVCwOOT4AnAOspGVep4yZijJvm5WEYUkrXffuI + Pp/U7ehY5OvFOb4ex7I+sAjgsr6fXzla5tr5FQ7BGI/NyysvHb5Orb6aAO7jgiXTcTXReLheH51q + Qvb4IR4f9uMKFwjnKtAfFjMkiIiIiIiIiIjoTKqTZ81MRESEganPyaYw5uue5+OlsgCoIAdY60Oc + pKjD0uWqUsHnjwmyAoA41BHc4HXjzKB1i2o9z483aRPRaVKTHgBsMq0BbDKtN3iIJiIiIiIiIiIi + IiJ6EXIINAAuKMfb9GobTC5PbO3eOeS7ytD0nRtiUD/J9kaDQhrT6KGHLKC3QRS+7iFU1KTrBvFo + K44VTDdtmZvrtRK9ueV4NYSIiG6UejTeXF5ds97cwkxrIiIiIiIiIiIiIiIiOg28RkSPqcMejy9W + MADaARrQAFgtcLj3t++953yx2OUIiEIccAwOd6hAYXUhRHEDoP5CMq3rFqiPqdguRyspgo/jKQs0 + A1ngggZiuYgjpNgNGQJViCM6sqORNA/NZ1zm27ufieFHHnkYUREVCqiODexQAVJtFReEpF7juhEV + EI3wsY88jDHNaJtnqfdpaib1jcQQACig6z70IApYCHEd8QyDApbCDFZDs6dwQ1v+/sc/juXqr933 + wG2rbme52EWMpQRkSRiKd4ad6Wy+XGQgNSgDJkAAHGqOIoDYenTv89j5BuS6HT7+8WMfhziaYvUd + rdv8VV1QigJAF4Dpsv/e+9/w3e9/P0Q9qag6kDFIHSbsEI6pJSIiIiIiIiIiIiIiopuciJsDUNWa + SnujN+j0MAXgBgnwWmoWEzgKpKt3AMyhYwpyTdAUDEMXVQ0Y+l5disFcmiZZcT1o7995yz1fev+j + r3jkNz/8K7/9xK8s2j3ARGCagxsEMGjt+w8wg5mJaFKH6QBMp5O9/f3bt0TzSoNpbnMXG1H1MYHb + VIvCxFxM/HmXyE8PhRk6AOoKJGCdSy3WF4OEPmcRjSHCECUYcIhFszXBqjSrEIade3Ye+Pe+7Nv+ + 95/7oU+vPriMe5KQ2nB4UGK0pg2roUC1qJUIDY5cdxoc6mImFlzVxxvhdXXACc6fP4UkhkW3UoUZ + 3CyImq8HTVzr92pz9Ng0L3PIdo2EcDc/CtulM0GDqzjEYTKGItdDvQBhnVotDsPRJJajA8SzfNjH + ki/qWcN4efUloFL3/DMuNLLjexIQ5KOfHXuIOOqnBCmQ7AIXMTm758abVE3Y2Uw3OiX/sXqzn+GI + iIiIiIiIiOiMUtWu69q2NbNhGJqmyTmHEMxurjLY83I0m71eO54ULeMdZCwo1puv/860y64f+xL1 + 2CYTERERERERERERERER0enggFyrrGgZ08k3//RP/+Jb33pXajFk8QIRnGwfhfTFYRL6JTzDSy4e + Va5RZfR16dFZgyQiIiIiIiIiIiIiIiIiIqLrpwABBlcY0Be06oYAIGdk+543PnBufzEB4rHVGJsx + wOv5o3atG58HP5ZsXQSynjRc52Xbpv18bZWLAFHQlwxBStL1HgVZsITuTZoLkvZ2dv7H9z+E6RSq + mKQrnwLA5Ws06vVwrZ89t6Ucl73AVQ/R45djS70cXRZRRRRRpOb7P/g+OL77gQdW82W77JriYcg7 + SbPZ3nLRqM6asFwNjW6GxkLXaeVFACA8n4/ABaVu1lWPuvyWo98AlRQ1ua9cYMC5FA4+8+TfuO8N + /8NHPyQxAmpARMieVeDmx3YrEREREREREREREREREdEVDAD8qOTq442XzQzwo3uv55e7ac1ghgII + QUopMG0xk7k3On3wjrff/dJ7vrR8+W8++ivv++Dv7C0uNltAkwdfFfTLvkhACBBVcSlZPKNAssoS + pdlCP6zMDw9WB1PBZGvblwuDQcwBiAHqkgHH2S6Imm72s19W9farb3Q1MRP0XoLKRNtuGPJh/KJz + 93zzH/8L/9cv/8hT/vjF5VM5l9tu257P58t5mUxksAhYCRmAOIKpuIrXQvemKu01zhwvaMnBmXbN + 3FB5xpBZoheP+o9d1r/rJtgc9uWqfwD2vMawXP7w+lheXuMS47qs57o0ygGYHTvzYp0+rnAcfYIG + V7hCmM9Cf1iMtSYiIiIiIiIiorOqbdu+75um2WRal1Ju9EbR86COaAJAXeFSRCEKEdT2XTkaJS9j + g67p2DlMRERERERERERERERERKfAunpXJxobEMYan9UhvpPZVFdW4DEGtxPvgWlCmELODYoOMNEA + AFZcVeBQMavjoZWdhURERERERERERERERERERHQ9yHqotiMW2AB4QCMDUQAAIABJREFUTa12xVQL + kBS6WKJfouuwv9xOrfbDFRPDP+98PTJVfAxprpnWReDjvFoDEB3uSE10d4nilvsOuffb2tlh1++F + 5jNNfHK7+eEPPYKUoALJCBHXiMa+YcQR3AC4alkvdAl1zUtMvprLbTP0q+99+D0w+cv33n++b84v + hqHv2+iNlmxWepsAEzSdWwYCTGA1yrpXOCBywpO+fSjmnaMVhICuG+7avXXoe3Q9oqK1zhE1zbTp + Vou2nRzllhMRERERERERERERERER/SFdFpN5LAzbvZQM1aZp3EvfrwDM9NwXlgdf98Bbv+GNe488 + /r7feORXH/3UQ50YtqVNXUaxDDOTAoUkbTWqNHHeH2ZA0YWJYCb9MOzPL82CJLNxKjmiuAWHnXRx + 9pRRV0UrJtlziEVgbsNMmnvO3/Nnv/Rb/sXP/1gnVuJq7/DQBNMtDJ03llwMntUhWWJJsaRgUSyo + 63omBOqqgRv89m6QmmwtAvd6nfV1IiK63ty9no9OFcZaExERERERERHRmVRKEZGmaQDknGOM7h5C + OIXfwdGzCLV2uancHS/hsaJHREREREREREREREREdJrJWNS7Ro02RSyWKLmzfLhcbMUwlEGAcJIl + QHWHeJnPXzLbxWJAKQBKKSGEuol1SHRtXQ0ntx1EREREREREREREREREREREGw64QQCpE6JhdTa0 + oABdzrOoCIZSvvsND+y6yFDkhNvla6D18WHf4irHJlb7en2HjmPB7XCwMMCASRt7k092fb+9e2HS + /r2HP4BpxCS5DQKDKMQgpyjWGjD4ZtK61i2re9gNmE57WJgiTBOG/E8+/AhW/r13v2lHlrnb2241 + AEkgQGdDHd05PgMggLpafYmT3P4MzFJSSV3XzdJ0WC0v7l+Yzba+/VWv+oFPPI4mtjEFFxjaZoYh + I52enU9EREREREREREREREREL04iEjRlz33fhxBSas3MOmzhVrvkUwn33fnF977qwQNcePRTD33w + Ew89+vEP9Lo06YtmiJkAxdwsl8HdmwSNyV2KWkEfQmsuBRYc4opanLWocvMlMZtoikV8yFmDxwLM + sxW57/ybv+VPhh/9uR9elosHw6LdQXaIQABxDQYViGmwqBbFVYGr6vinqrJ/nbifziBRIiK6uVzz + VCQiN/YUxVhrIiIiIiIiIiI6k0IIZla/XIsxAui6zt3Nbr7K4tmmQG1uVnWF135s3Yy/NyDI5n7M + uSYiIiIiIiIiIiIiIiI6jXzdtuiA1KpeEKSoTSpwV8kZbQxmpifZQGGlv31ra/XUpf/367/xS37n + twUuIZQhhxCx7sN0oNRYa2cBkoiIiIiIiIiIiIiIiIiIiE6awQ2uCACQAIUiwIFsmMWIvMTh3t98 + 3X0v7XLMriYnupzBBHXtRhEFIA4Fgit8M6/aDOtGbwGAMtgOEFWL6bLDftM8cfv0+x59GCrY3YEG + h0tI8II8nLrFGDK2qQsQLh++KYoM7byIhAliTAlqiOW7H37or9133+3n7ugPLu52aGNAVGQIYHAX + FCA4ggNFvT71SSZbb7ex64chhAHoVqvdybbYqqjdF7e+/ZWv/oGn/sAsh7iFlSEqAueLEhERERER + EREREREREdHnjdckaa/15KPCaCkWY2wk5jzknFNKKSWP7oCYTzCd6pb3eea33n77K//o+T918MDF + P7j42Ic/8dDHnvzQZ+afXvq+ySDRY2iGkrA8UIuSNXoIIaQmWl9MVByKAEuAAiYwl3Ki9dnTprhF + CSGglEFgEaKWzoVzq3m59/wf+XN/ov/f/u0/vu322+b9hRygCrcCAAKTukgBEHOBASZ1hYACtv5E + b9pka5zsygwiIqJnVRN2bniO9RW47IyIiIiIiIiIiM6q+l2bquacY4yTyYSZ1meVAIA4UP+Mt6jB + 6kx55Tx5IiIiIiIiIiIiIiIiolPsinKewTUoFvN2OtE2DfNF00QvJ17PFfgwn98e0pPLjFwAK1Zi + SmNrqkOFlUciIiIiIiIiIiIiIiIiIiK6YYIDggwYEBTlYD9o/va3vOULh2GyWEzSVi6mJ764QV0g + 40hMrc3dOg4BB4AAuMNkHF8tgEbdz9ZL2m/aJyfp+x/+IHa2vGkXEHVpBJKLDUNsE9xP2dhrrWPW + BZCaL14JXFAKpiEZULyIpBCA1OGcfP/HHsXQfccb7i95Li4JLsgJCpjVZ6tx4IAD5vCT/MiWXXZg + yGV3tpMXy8PVYRZ4nxvp75pMsFilnS24YaI30/B2IiIiIiIiIiIiIiIiIrqRRKSUogEpNWLW970b + RBFidAdMxIIgtLrbwvJgW+3td5z/gje+/M1d2DvIl57ce/yTn33ss3tPP/70Z+fL/f35J1tMd+Ql + OAguUopFyHpSOSBmqPHMpyj68frQFIdSUFw1RoEVF0OT2mLIc3nw5V+8/xWX/vWv/Vgz21qWuU5T + seySi0IFRa2oFTGT7Fpcsku0cRdK3Zc32w71GmpNRER0Q53OkxFjrYmIiIiIiIiI6Ezq+75pGhEZ + hiGlVJOtT+d3cPTsHOKy7nLe3Chj26wABQAQDHAGXBMRERERERERERERERGdUleW8kSwvbW3v/8y + s1rJLcXCic5dFlONjcMh6DooDBARM1MZRxLL8cHJrDwSERERERERERERERERERHRiVMAEBVHEADw + dfe05a5pAi5cfMn+4WSxaAHNWa/H1GgTh7gqsBlUXQAIxBFqAjQgPoZbG3DgWN5y7vdX3f/8yY9C + gO1daBLDDJA6S7y4tjMoiluQUxRrXYAMCLRxwAADBPVNmiG4xaIogAY4EIHUQnq0EfvD9z386Lff + /2bt89bFS7sxDt4LEAwmGIKKozVTwE44TToDt+9uXdqf94t51BRFm5CH3hovt5r9zdff9/c+/CHs + xN5i4wE4ZaniRERERERERERERERERPQiIAYAflSMbNu267q+yyGEEEITkoQQoiwOD7dnM0mx63P2 + IlGgoZRsA7xXyGwa2u1w/mXbr31wNvTIeTsO6A4Pnpzv7c+fKrf6yyd2bhIm1q8gGVJMDG4uWEcw + y/HNeHEzgYb/n717i5EtO+/D/v9/a+29q6q7z2VmOBzeh+JleIEuFEXFVgQFspJItuOHGHlKEMQI + EgOJLNiIESe2A0FxYiqSYUBWLkacp+QlQZ6EBL7AcYxItmMBQmwymiGHFw3JiByRwxnOzDmnu6r2 + Xuv78rB2VfeZOTOcW5/uM+f/Y7Omeld11dq7qncd9LfW9/dpmlJ02c3YIzDWLRxh3QNXHnz6+eOf + +NC/VKaTv/s7v5EPl+t6q+RNNRTCDMmjpFrTVFItqWSrgCU3whiwmJ/ifuvp33pfkIhAINR1QkRE + 7r7LGamjWGsREREREREREbkn9X1fa00pdV3XIq4BRASpItC9ZP9H0yAqT0t4c5T17fcRERERERER + ERERERERkUuIu0zrlyZbl7JcLrtxTW5KKTkZznlZhftEpPBx0V1HhMMTYLZbmBrArkP06dBFRERE + REREREREREREREREztUu5rnNU2gZyLmtpL554xd/6FPvPFkvAqtsN8t2ZcvRa5zzlAYCXThhgAcw + 0dwcLeXaT5OtA5gMJ4vFM+HP1PK3nv4mFj2GBawrFSlAYhqLGVKfEdhGJObL1/XZAH/5mMxAGrYV + Oc1x10ABkPtaNsO1B7DxX3/yK1iv//KjH0hWU0EXqIYAKkGgAnbOmdYAsuHGjeMeMNjEmOqYK/oE + VNh2/XDOf+6xj/3a7z3ZH12Zx3LJjr6IiIiIiIiIiIiIiIiIvP1sNhsz6/s+ItwdYMB9G4er1TRN + dRxz32dgqmOUyNaRBnYMoMDGiAgLZPP1etv1i6v5wK9Mthq6OIwp1221ZIABEXQwnGjFdot00bt+ + N/lUw4x97nxbtlPp+75fdgBq8Ob3brzj8B1rv/mTj/30erP9+//sb+crC+83aDMUWl+HaMV/d7Zo + cDhhYTz/SreIiIjcWxRrLSIiIiIiIiIi96qU5gpiy7QGoEzrew5TmsqUPcGsZMICxlIKckZbM7tv + K6/XVkREREREREREREREROQSChiBfUEvgFa6jUDOpZRSSjKjByLsfFOtEYaAp8jVJ1gQNBJAAdia + QceZwiMBoNaaUmqXpZR2nWTcnsBNst3nfHdAREReg3aKJunuZhYR+ylD+3N1u+kiRykiIiIiIiIi + IiIiIvK285JK+qvTou9TRAQCiDqmnCvNW6b1ZsS0/YUf+uGPjluO3g9WYShefTzX4VjAiRQtV9sd + KIAbJnNkq5MPIDyWAIAJOB6Gp66s/sbnP4fDA6QBkYEuACQ4YEA35ABGIIiES/fC29xw0+YLc+B0 + 3XrQuNjNBgkE2y2pzweIjASsgGx/9amv/OIPf+KRm4XjtElIHTh5BL3P4+jJcN5TYgzoAKBsA0yg + wx1ODMnSyclDCEwF01SQ0c2p5PIGtCrzvu5cSum67qIHJSIiIiIiIiIiIiIiIq/fvAhxLgBFxKUr + ZN6j6AAQBpweWwBk2t9h446UDIjqBBYBBlEdsEA4EWAgOa2aMfwAycYRZQt09IwweAIswh0ZBCLN + Bd+WxHzOxdnLxkAApWxoxj5PiPAA3BhDgp+MXd9dX77rpz7xs17zP/jn/xsOx7TYAG7GBY5WOJqO + PXlKDt7h0Pnu2N4vUkrb7ZaEz3MHiDseGHmZ3S/76Ywgkvfb76OIyFullGJm7g6g9Th6eaeju+/+ + +jeBiIiIiIiIiIiIXCo1JgARXkrZekEiiJQz21rmCjjYSp4ETItoRURERERERERERERERC4dtqzo + V10cQZx7A9/dCHZPw7YyFQAcqG0QAfhtQ00puXvOuWVatyUfEdF1XUTknEmO41hrvfDlHyIiUmst + pWCXgmBm0zS1fOtaK4DWWxy7xXsXOFQRERERERERERERERGRUwYEUjbAS1QD0hSogeP1u042uHHy + jkW6sfUXtuWoHwDH6ZSH8xnOrpLWniZ2V0lGYOiXDvji4Dh1J8vh2133N574Iq4/gNSjH9APYdhW + jP7yWRiXcTk4gQQkIIBKVFrcNj4v8AJUoHK+M8ESFkR0iAzAcO3or3zuc88uh/Uypx6J8Ar32IxT + 3/etafv5sTCDAQjAAgScCCAZSvEV4nBz8mc//klsttm8nutQ3u7MbBzHVncex1GZ1iIiIiIiIiIi + IiIiIm8DVKL1m/R66qFOC1rQHAbAEJy/nChEMTgYTrihEkEzT+a91cFqT+8QBnq0/Gx4zA8LAHH/ + hS1aWIrWm71WK9VqMVQkh9FrLdPQ9Tm68iIfWbzvpx77Iz/9sZ+9Nr7zcPPgte0DR5uHu5sHeLF7 + sHvo0A5zzRbGMIQ5T+cJiIiIiDT5ogcgIiIiIiIiIiIi9y/3SNnMUtenYdljewy77lESMwLzF4CE + QlRYf8lWMouIiIiIiIiIiIiIiIjIqVbgu72kZzF/MRB3qdoXzu+/ijLg01j6vm+pqCmlFomac46I + lpNaSun7vu/7WmvOWn8hInLB9qnVAEopOWczA2Bm7vOZv9aaUlKstYiIiIiIiIiIiIiIiFwe4xh9 + z3EaU0eySyiowHr7Sx/86LvLdlikZzd1OZgh3dhuh7s1qrK70uKcO4ef1CXMUa07+PY4rVfD9Q+/ + /5f/3v+BxXXfhi27sYwlh4FM1sNaiLUDBvStOkfsNlwmDhBOtMjngCU4Ae57oAMGJLSF7Uam1tm+ + FsCRFx3Gigcf/MWnvvyfPPbR99444daXGX3fb07GPBI4xykxDDMY4XU3KyfFHMINB4HUsRvrO26c + 4OYaOeXlwaU7/veIvu/Hcez7PiLcvc0XOlukFhEREREREREREREREbkPObEvQdpcF3bgznHXFvDI + 7QcCxeFg2G7hv7MGzMGgAQZYgNWyB8B+roDCAQQrUEB3xq6i27KtDeDrStq+pxEwz6SXtK3mEaBb + CmutG7ouTb6tiD4v0tS9Z/iBn/vhf72f8hovjuZMRk9pmw/9an0+VhhSTYDNgeFEy7YO+v1zPAHE + DCQicPcaYIiIiJwxfxrdvuWiBrOntkoiIiIiIiIiIiJyYXJmhPvo23A7OUReAhE0wBGYa8YBBBxo + NWQt/RQRERERERERERERERGRO7KAc16TioChLYg1IxwAbF4lyzl7u/WfjYiu62qtrS/tNE3YhVu7 + +ziOAGqt7Q4Xs2MiIgJgtzyvJVjnnN09pTSOY0oppTRNU9d17Z7u3hKvRURERERERERERERERC5c + 3zMCfddPZdPljFqwrX/mQx/5QXIo46ZgWHBb3NxXBvdzHw8DICrhnDs0M5Adi26xnmqx4Xkvzy6X + v/qVJ7DI6AaE2TKBSMyGGDFF8ZyXBmPAiLmROFv78rYDl7FaZ7vB7fO4CU9AgmOeS9Kyo20qATJn + oABELBe+3aTVwa88/vhnP/kjj3Tb6dY6lfEoHZzU9XkPuw3Md23a25YAKrAc8jSWoz6zxF/54U/9 + 4lNPpK2jPwDVZfQVvUoT2Fqru5NsadYpJZWeRURERERERERERERE3gbIVmGTN2ZfL3tNxezdvX2u + bxIRdvotPOaMak8wwJxxe615DrEG48yTnn8d/XIKo5uZAx4MZzUUemKATLQYY/JgMivH1SK/d/WB + f+Mn/80pbUa6o3bdkDzZCQ67a7E2hAUIRgCgB3bH+D5zGXJDRUTkPvfyDyOSF/4JpTlSIiIiIiIi + IiIiclEiIqYCG+xq7t9ZMm5sUKaKOt/eusnbfh2wiIiIiIiIiIiIiIiIiFxuPHOFp+107xoLBBkw + hKUzY5mv22mmNYFaK8kWjApgHMeWaQ2glFJrbfGo4zj2fa9MaxGRC9e6hy+Xy9VqRbLrOpJHR0eL + xaJ9CyClFBFm5neh37+IiIiIiIiIiIiIiIjIa9AaTnphlxYc1zg+wfH6vT75+jjTlr35FFNBBez8 + E3QZMACBYpgSiiGAzpFhJ9OmIN1M6ea1K7/6td9Dl7Fa4uAgFimIzUlJkbJjNfEgDbZvQl73mdZA + XMoinQGEAQno9nNIYAhrTcBZjdVQDQEQI2CZltqORTnesIL9IoYVDo/+0pe/sH7v+1b58AD5uJ4g + d3G+02M84K1laQDFUIkUyIFuSM9uyxTwsXSlrE7WP/+RxzAW1Pp9HlLupBWazYwkgFZxbtdFRERE + REREREREREREBDA/2z2ADs4FYsIJZwBwcHSOzhL0IAKpMBV2gS6QEInBFMiO3muOKdLa09pt6zbF + 6ddc9LRI5p15Zz6YD+Yd4v6KXNzVo43BFJ6iAhWsERwnz91gXd5sNp2lo8VhueXLzZUr4zuu1odX + 00PdyUG3OTiwq9sbY/JkYbsuC9ayw4OXssR/niLiJaGhocx7ERG5617+eXQZ3F//xhIRERERERER + EZFLxR0BIKfe/eC5NWoGUgCBM/VNAwwGZP1BU0RERERERERERERERORSIUAEb1+rR+xXoAJ3M9ma + vH3JBtsIAimQ0MqQqEQ9/QG2dtD7prRmllLKOQPIOY/jaGYt0PoSLggREbnf1FoBlFJKKTlnd2/n + 6lKKmdVdc3aStdbzb/gvIiIiIiIiIiIiIiIi8prUChIeCesJkVDxlz72oSPfLvu8Di8T4Fx0eZFS + KV7OfzyEAeYwn79tEyyQu8Pnie+u8n/x5P8Lc1y7Ft3BhM4NIBarDAPGCusRRuZ5vgjPfu0Xh186 + vP1rxxC7MRMwCyJ8JIrB67RGqnnVwxDEC1vH6hry4uf/0f9d3vf+Z2FheSpb4Bw7fQfhcAcqzW+f + ojNu6ruPVovUAzxcLW1966Fbx1ivUe67zuOvS7wCdydZSgHg7ma22WwUay0iIiIiIiIiIiIiIvL2 + oLrPGxf22pOk21G21lw8cLYgG8iIDGQLY5gFGDA4w+cfmgvNxnAGLGDB03rufB9cznr0OQm6W3GC + kZPn5GbhxhEsQQS7aQTdVosFGeO0yexyLLFdYNMt4iD7ilMyz8t+ifkVOes+OpJ7lzNGVERE7jeX + 88PofvyXgYiIiIiIiIiIiFwSuUc/YH08+Xb7gVj8Xz/1R7EtI2rFbk2woRoKkIEcUP1fRERERERE + RERERERE5FIJwFt33jNNfwPz9bjbydZo4daMfcdgA/ZDRAAVKHPCNcxsv/LQ3VuD2lprKaXrura9 + 9auttWq5sojIhUspkSQZEa2ZeDs577djF32dUrrYoYqIiIiIiIiIiIiIiIjs5QQAlUC/xCb+rYff + dehb1JN12ViXkXIEbSqpht+NUpcRlmApkALZkR0EJth3Mb1wffnZr34eS+DBKyUNU0ldIAW8TqWW + yb0uhprs5sm4C4j2FpAd9ApUWIVdvqabPn8FbvtqDDBEQjHbwiZgYYyTm4CnPpc6jr4ep00Crvc9 + 3NBdx+GVf+f/+Ud/+ptfupGmpd2N9e9BLzRHNjcGiiGIHtjePNnWCehfODnpIh7N6S9+6KPwev4j + uofxFaSUxnHMObcpQ5vNZrFYtAK0iIiIiIiIiIiIiIiI3GO0Kvwt90rJ1nTQz94tuSVnCmsxzCnM + vH2RYQhzWsCcVpkcyXzIdfdVhly75F1yY5BhDGOAcMKBcto14P7g9GK10lPt+jL0JXUOYgpObpH7 + oU70KS2HVfh0Y/2CrRDGCkzFHWY5tTKoewE8zGMfbR0GkK85sPztZJ8kSnW7FxGRC3LHWOsL72uU + L/bpRURERERERERE5AJcmnrZZoO+x2KATwnbWw8cHcCsRwYQAGGtrbxhtzY4LtHgRURERERERERE + RERERO5zLTzaWhEvHADoc6K0GXK3Tp3zglYzcpdefVphdM4x140BcPeUUgu3dvcWdN2irFtIaruP + mZVSctYSDBGRC9bO0imlWivJaZpInu0kvl/C187eFzRMERERERERERERERERuW+08hRPv+PZ7QAI + ElPxlA3rLdabj1+5euXk+a7CDSUKix30i3E8gbsDRMTrWUztnJ80OazNjdg9v595nOQGeBBBeABA + CtDROQBMyDf77rnD1V/98hPICQdHa6QKHGR4AWxKiQErYa0ytzpceMDYnsgdsN1y8HtxIXhw7n0+ + jz9iWK7axBLrmFqCt0cUMqFmJHbggHRgjz323a/+3mpC787weecDwfl1AZACIFpv8DcY+M3bXkoL + VMKJntgGButP3Ps+95X1xeOHDpfYjugmZAYzbntFHEDA5o1n3qICwN37vm8laZKLxQJ3I2ZeRERE + RERERERERERE5HJrqdWvOf/YCcDa/Vud1AgEAXgLa4x9r/HWqMAAYxBh4K7+Pd+El1fP9xvaHXcB + xa+cdf16k5vZKuC7Ebz5B3zTggCMTtCBaFHiNaLWOvRLj7K+dWzZDq4crMdN9kXuc61ea41Shy47 + iuU+ijvbPgVPS/u8+7tz8dqbkziTKGr3VVy63Nd2s1kYAMzC2ls/zOd+LI7k2XxINQO0M6cI3vl0 + 8dLzJUP/u/P/AAQdYdhdOtrnl7db3cp8H0TQAXdz7OY1oc3OCidBgEFrB5/+ah+CIq+HeiqJiIiI + iIiIiMi9at+FtvWo7bruYsdzb9r9Lfp2bbFlRIDnug6VPcMqAmBU2Fj8RVQfduOZF+titzr2Hl3K + LPL2dXqueNn2lv2AXUvxixidiIiIiIiIiIiIiIicrwAcjv3K0HAgYMkJRyAn5MPn88GWL0ScMFsd + q5131SB3Pk2VtfoIVqBMxbqcdkMEwnNr0ctcAds1n23ljJZ+2q6fTbBu25VpLSJyebQc631Vum08 + e7qOCGVai4iIiIiIiIiIiIiIyLk507b7zArL1kXUgLmbaAC0FnKckhETuP6zH//IJ9y7Tc3AJgPA + Aohx08EcIL2Ev65CVwDFjIEOngEPxC7TOuYhWQoYjEANFIMnQ4RVXxEVcLPj1P1Bv/jsV7+K1QH6 + rgB9e3SCnRMJAGH5zLyP3RwQ47zLp/W5y7emdDe0VxgZd73S53xu5v3LevoTRnZAi6muFWnAkH/h + t377F374U+995ruP1GJlWwIZ6JK9UL1LaWQ1gI4Uc+i3A4DF62wmG4AF2rvO2lydgAUmwIDJp0z4 + VAEkIpXyZz7y4f/mqa9itfBs7SeMYGuDC0wwAH3cHrJ9+V6zu+/sxCERERERERERERERERG5t81r + D9F1XUQg4k75yPKaWOzqm/srL2tmfjZb2mn76xbALjwVoO3vxwDnl2SO8oSBFfB9v/T2OLFrTL4b + DDKt1uruMMuJYXQvpRTLCUDrh+wEADNLIBjwuUny2Wrg/vptfZWNYeTucerup0hGqWZGkgZ4uDtg + JM82eH/1jG2fj9udb901ZD+zv2E293OwoFdrNzDQIeYicsUWBJM5HAWZCRa1TsawIJBj8pT64s75 + 8O8mJITvXp/7S0ppM26Z0JYpk/Pb5qLHdc9o59WLHoW8IXTGnJG822D0wWDVyhRbGjoiNhhKdy3e + VW6k6wfvuHJw5frVB65cubIclst+uRxWfd937EiaWUqJtDZBKiKIDgDDgq7Ll18Wm0rUWrx6Gacy + 1alEqTF++9lvbcvxC8fPP3vj27dObkzcMEWkacJx6TEZNhWrw+7W8XbRL6ftuicMSDUnJrAClRFt + 1pPOZfeQsyE77n7HoIe7T22VRERERERERETknlRKyTlvt9thGIC5QlxrVSfx1+P1LXk9P3QEAI5g + hQcBtLon3GHp9H4XNUAREREREREREREREREReSm+dMlpzFsBA32qNvT/9t/9O1/69I9OpabE1FuU + 811EUacJQD/0y6HDyTEOD7u8rIHUBtrWykao8igiIiIiIiIiIiIiIiIiIiJvpdhnWZ/B00uLwPYE + 4/Z6LePNW9dymkpt0xkM3sHm/tycE6nffPfoIILzQzHmOR61bfTJPa6kPNaCZC/k9J3l8NkvfgGL + JbquzgMGgaDPWc+39wq/nZ3Z0XtVG/y8qj3uECweQIQzQDOcRNVjAAAgAElEQVSkhEBMhUfX/uvP + ff7P/8AH063xgdQddRw347b6QU5TIIWBHkTgtHHsG26Wb2feX+3tEfO4W9z1rK/x0Djh1jGWffGx + t0W71zSOXZ/3/dSDrTu8iIiIiIiIiIiIiIiIiMhr8Zo6mbeSdxAM25XQHWzVTSNwp3X+Dpiz4ExV + dJcADQbmkiudASdq0HI2oNa6LVNEWGY39KWUFrO6C4Zky7KeA1eZyPadAxZRvWVwMwFnt/u0mYK0 + WSLnWOy+72ut7gFUkkzWKsuxH+cc5jpfB1q+9Xw7dnVep732tvAM29WCfVdrtjOxpfPjBM6WuU8D + xef77+9z5kfuT07QGbF/he4wN0Dk7YztxAcABpC7E0L4chi2mzUdDy/f8QAe+Rcf+2OffN+PHnZH + iTkzAxY14LQwAyOYQCKRxPyb1QJ5DYq1fuVLdKe5xTUCQKU7i33YR6yrTehLwfbpZ3//iScf/8rX + H39u+61b2xeX/WR9Pbm5BZByLuM89YiRiIyozt2nrdxTLkOI9csp40dERERERERERO5JOedpmoZh + iIjj4+PDw0OSyrQWEREREREREREREREREblrGDYvHbVdaDTMAIOz7zGNWHZ5scwvArnbTGNHvvm2 + y68iLfq6GTebTaw7oEPJ5WSdri6jrfWkIeZFrC2T+57upywiIiIiIiIiIiIiIiIiIiIXiXOa9Z7t + NmM/O6HFISNYCzb885/49PuZO9oNrzQAMN9FKe9irZ3Ir6fRKIHsYMCBshvUZCiGAFKgq54BAyrc + DU4sAaNtalmDdXXlGyn++lcex9EhYChI6XRPNLPiLDODBwCUAg8OA8YRq+Gvf+3L/+knP5m/d+vm + 5vhqz2mMVOoADDAHtgkjkWzut47wdJ6TZ7Lj6hh/4Uc/86tf+d3h6FoZa84J29oNfYED1qO9I1EN + CS99D4uIiIiIiIiIiIiIiIiIvAkGGAPc52vSd8HOjjDQADe4zxHYsEiA7xKg534FqTUumHOjHXBn + DSsAtjUxEklmkh2BqL6dvE99RKAy5qhIa3mr1UswAJiRNAARjKBZ3sVaz0HXEUTl4fIIBVNM4e3n + 4FHcfc3Sgq6D8IiIINuAo+2dRQaMQYvk802+Cx8FMMdgG/z7pimfRmGLiLx1AhaABZwAEebhEwCL + MTY4rFcW9cpP/sjP/exn/kR878A2/Sr38IiKiMhIBA3zWZRx9jwW4QEgpQQ6YaHLl10CiLUjrH08 + 9WGwFgruLFixThjH49E5fXh4+KOf/sz0h0+e+Nbv/MZv/a9/8L1v5FV58Kg7mW6dvHhzdWCY9nnk + BBJgQXd6fL8PF7lUFGstIiIiIiIiIiLyVmp/cau1Hh4eRsRms1ksFq1YKCIiIiIiIiIiIiIiIiIi + 5yvmyyAcSDQAFQCQwlAqhoSEk3HT2TDVYtlQz3dZxWYzLpY9p7JE+h/+yB/993/7d/LB4X4BawLA + tp6VSSs5RURERERERERERERERERE5E3aTz6Il4ZAt+bUDiSAUbA+xnE9/M6L9O3R4fLmdExHdrSE + Y5/nNrgTQbyu2RUWrQU2gqhAClRDMVSDORjogQRUeCVan1SvILx2w42+f7pPv/7FJ3DtsMIS0txz + O1obbW9dxAHTNIumeE00dhmlwoihx+YEff6vnvjdP/e+D370ysMn33vuMNWVdXWaAh6wlmZdAaMD + IFtP9PMaYXZfbLfXpxVOJgxTzgs4kA2xa8PQ3mqcX2f1ZhARERERERERERERERGRt4pFK0gSMLCF + VQMA6K2qPhcs6YZAOFqqJ+aWBTsO2K6o6gg4kRxhcFi/GMrkpRQAZpbMYCRtM40kM41MNGs/Wjjl + pRXCwgvColYEqleExehEAsPYglgTyEgnJ8cpMpJZIkkgiGSGxBRtsD4XWsPDI1Jq4zbA5j0kAAT9 + JXV2i1aGR+wPi9x1EeHuZ7NEL2ewqMhbL9ppCggzOIgg3EoK7wzY4Eo89Cd/5k99/F0/VL97OIxX + D4bD8eRmRCVA5GQdSQajwkicSVC2+f9eywTA4K7Ll10CGKwHDGHwiABLa31jdVNz1/d5saKPPnmt + nNjX1Scf+Reu/PGH/pe//z89u/3mzee+nZZxtECZ/HS2Gg2IQAoCCLDFZsu94XJ++ijWWkRERERE + RERE7knTNPV9DyDnDKCUslwuL3pQIiIiIiIiIiIiIiIiIiL3k7ZKggigzP2MkQAEvEYsUurzFE6y + lNr1574AZkHUzZgWnY3jgxvHuqIvNWWk0/tMAHaDVM9lERERERERERERERERERERed1aD9Czsw7a + 9TPzKHz3HcJRtij1s4994lF2wyId33qxZkRgcKRAnR/iDfatZszNTyvgBGP31IEUyD5PmihAJQww + R09sgre6/turxa9/7cs4OEB089yKBHi5fU+VaT0LIOc8NxVNNp6c9Mslug5IyPnX/uAbP/+Rxz6M + tKo1EcdzmjgYKbk53YEEZ5xjpjUAAw7AkxeP/+Mf+fG/9uQXsJpq16UwBNK+d21LNwccbvN3IiIi + IiIiIiIiIiIiIiJvAWvx1ESLowbn+ugcNA1gv41AwMIcBhaG75Otg15bQnSYhSU3wFhTENupsMuL + fgl6KaXWiSSJ5cHgUWqt1ScAIGmouZ7gZLSxVUizWZDZzAEDagQj2qUDiUy1W62uJWeEuxevjhae + nQywWmspDqDPfd/34Sx1jLqbGgBUAoigg85wMCzafpqFcRfdzVeOHT2beK3063MScZolejlTRUXO + S5CeQQNKO8MEHQEruGpXfuIj//InHvixo/WDNi5XdlhuTovuANYmEbUkZsKJCJBnf3dIAiBTZwY4 + d61cdHn2EvDJx5Y8bQSDwfZxYDnBvfo2mGyw5Eju7iWt/KH3dN2f+uP/4X/3P/9KiRfG6dg6TA5a + +3htr2kCDPDg3OxH7hXul/FTXrHWIiIiIiIiIiJyT+q6LiLcPaVUa+26bhxHM2sp1yIiIiIiIiIi + IiIiIiIicu5uT4ZubZFbZ2Qb+gLH+rhbDPWFF3OyqH7OOdIRRErYrqd+md9hA443uHaINDfhBYC5 + K6+IiIiIiIiIiIiIiIiIiIjIW2DfbXuXYg3sZlDM7UKrYzv+ux943x/2I683SoUDnYGB1oO6wNDu + SVjgTc6u2Lf5toDF3K/UgclQDNlhwCZwo+++c7j4tc9/DquDY3QsWGaAIBzWxm4AQTu7X/e56pVk + oo3j2Hd9f7ACAOsA1LGm1eK/feLxX/nIj3Qn62e3Nw6ICQjAwqJ1aGcwPMX5HlGGG3ANybaOG2v0 + EZ2N47bvlvN7a9dDvqVuO9xgCi4XERERERERERERERERkXPTVvfbS3oTWFiLbg62W7ELfg4Ajnmr + 0w3GgIVVwtJUfFPCQQ9z5IhUw+KWb8NKdCg+rcvm+PjmjVs3j7e3jsvNrW+naRrHcZqmUkqtNSJS + SiRzzl3X9X0/DEPf94MND/bvPFpcOTo4WhwsMnNU0GmeYuJiuVyw9xI+bdbbLZwR7FMHwNm+a8nc + Pu9kELsMb593US5YnAm15u3RvCL3AQOQ3JwW5g4YDGG2rUfpgX/1U3/sqr/bNouMxfrG+vBwNZVb + YbAAgAgYkSyd/cU5vdLOeu67cx50+ZLLID21WTtBECksDAi6kzSAzBFRI8KdZqvuqEystENu/7Wf + /JO/8Zv/fW/j8ydTv0TZfaw4PSEjMgDQEYq1vpdczg8gZfyIiIiIiIiIiMi9iqSZtWRrAH3fX/SI + RERERERERERERERERETuJ63RbSAR9SXboxoqlsuxli3KEslSilLOdzgEiAIscl6UCZb2azz3K1zb + es9odxYRERERERERERERERERERF5vXZTDgLw/cwEAm77m1oudAJQKjbbd5Zq463raflcvbUaOsDL + WBMQsGIIAhUWIJBeZ8vKODNnw2K+YMDgvc/RxQWYEgB0jkpsF6tnFv0vP/7PcP0q0GFE7uHtqTm3 + muV+TzW/YidZOlmfrJarvu9LKfCIiG7op4ANK6Bg5V+3mFgf7LttnaL1Wt+/QwK9g0Dh+SZbT/AF + cPL8d3/phz71S9/6gmHMwzAHrQPVACA5AJjdPuFHREREREREREREREREROTNIWIfawru6pS7qGcL + IAyAhTNQzQoRhLkZDEEGWgyo0Z1eDYAz3IBqFqyeR7ctEqzzYuMLx899+7lvfefmM08/9/svbl94 + 9uYz3zv53no6LixIMAPqHGUMgGfEzjxS0swQ5u4Wlpj6vLy2uPrg1YcfufruB5YPvPcdH3ggP3ht + eCCnnrQUXbbBItepIpITu0xrIFoId94dBQTdzYMOILnx9oJx637g8wGzdn8AaJehNOxzoV4Tch8i + 3AAGDdmjgHBk8+FKPvrpH/0T/frANjzo+nGzvXqYN9PNlCMY7RRKtHBmB1nD9495NprXqEjcV2FB + Oh2ARzDQPvDMwsymaXIPM0spMbGG+zSlOEjT8J53Perp+L3XH316+yXUqetQtggSDJzv/CM5X/t/ + mey3nM2Mvyj6HRYRERERERERkXtSRLS/tbVka3fPOfOVa0EX/pc4EREREREREREREREREZG3lTPl + 2ZZsTex63RpiqpYNm9HI5bC0MpVxSnauy/s41iBwcJhe3JzgKBCOMgId4QZDGOCJ86rOUONlERER + EREREREREREREREReRO4Cy3eNZo+nY4wZ1pXxzT9Rx/75KNdx+14w6dFTnWcamBJ80AligFAJhBI + DvD1NR11IgjGHFQ8Xz8TSF13ScYAKnGShm/m7rNf/jKuLJH7aYxVR981xG67FHOTSqu7fdMUCwAe + vlwu0Y5Pntt4VocZPBDVcl78zScf/w8ee2wZtJtTnpu119bbNwUIGGDRNp0PIgeibq6hH8ctTibr + EnIEgsa5hXzbBzgAQj3QRUREREREREREREREROStNadZW8xp1nNJPYgwwFpu9XxHtiBneKAFPjPm + qnkQwVqtuFW3EfCatlve+u6L3/nGN7/++9/6+h88//SN9ffWcTzZxhcxcjtxU9JUB/eECtAxgMnt + 5eGRd+xXHxZ1HgOs8vlt/41vf2n45qLz3qb+wA6uLh541wPvfvTdH3r0PY9eu3I9Yej6pXlP7xg5 + eTLPFm6xy66mx66sr/74l4SiCuQ+FgxYwAGEBUEYvevrQ5/52M8cHV9lYfFN36fNeCv3qdYKgKTt + ppZ4eAsHOXM6PXtedYRmodyZE+7mMAAGJxh0hjsA95RSzgwa3MdSSHbWcSxHy8MXn3vuwesPf/qT + P/G133zy6KHhxfU22mOggAUYWjq2jvw953J+GCnWWkRERERERERE7kntb9b7ZGsz/cH0nkV6hCXU + iJTSRY9GRERERERERERERERERF6zs8nW8ATs2zSTAQS63ANWitcpJ57rqgonLMOJuq1d349RkAId + Hd7tRxpGIBEVCCABXquZkSyltI7DEeHuKaXtdjsMA4B2k7urMC0icrHa8ry+77fbbdtiZu5Ost3U + rrfTeFsWe5HDFRERERERERERERERuZfVWtuy33Ec+74H8Cr1l1ZSb5f7+vv+ytvPaTAwYbtc66Zs + Nnm1ABB1pBmmwDhdG0u3WQfdCfdqwABEeMAccxdvJwikAAIOxOupdFXOKdoGq2EgUjgDBhvhfbap + eEdW5nXHZ4b+s19/CssDwFBS1zGI1GZ0mANu4PxgZ2Kt71vtdZgPD0+PxH4KTLI5shrFkA3XrvzN + p578iz/wkURbuXeBEr7IrDUc3qVurJPjfBFwgIlR1r/6r/zcX/jN/xMr9xyx+/1Nux2gMsvvZH/2 + 02QhERERERERERERERGRexKJ3Zr2fbmHVKrw3TGXQwkPtmRrm5OtI5llC3P3iHDSgCieu1wjgrVy + KigAjJaYUZ2Ep1rS+qS/+czmD770zSe+8q0nfu/pLzrHGsW9VDhW1ekwD7rTgw6DEeZIAIFUw6LO + o/t+7wF3kHMRnxbBbTXbpnEbZot8s3z3O/WbX3vuC//kmX+Az9nVo2sPXXn4kx/8kUcf/vB7r36w + nw66k+WSq479OJVuyJMXR6UZkMLJyIlW62g2vzMZFhHhjAhLZw7fmUOpguVbK6W02WzM4A4g9suT + 5bVTGf1eRYcXRkmWEY454MOjpB/7xM/48UGqQzZaF2O9ZT09JnKeVHLm1yRgCETc4XxKgOB5T4q5 + V1lki85hgBsccNAYDgB0wHczeaxrv2JewajTOPTDjeMbn/jQj177nb/zrZNv2AoVLaClGipRX+VJ + 5TLbz7ds316SD6O351xPERERERERERERERERERERERERERERERG5C+ZmzQ7QEy0AwJETagGwPVkj + YDl5recdL+ogIkAEUHedd097Scd8JyTE/F+0LrQRkXNugdZmllIax3EYhrZFmdYiIpcESZLb7bbv + +1pr3X2yREQ7abdzdUppvV4vl8uLHq+IiIiIiIiIiIiIiMi9ah9lPU1T3/ctoPpViv6tTFNK6fu+ + 1d9Jvl0zrZu4Qxiwoda8WLg7LbpkmCrG6d977GMfK6V3DyIAi9NHqEQQydFuutNjvkEMACjwERhI + A+DdxnBzWH4NFcsDDAPKPHmC8NZatrXFZhiIfaa1fB8BlILJ0fUw3Cr18GD1y1/4wl/72Mc5HnPj + BwNPNrUnu5xvlmlJq3G+PXxzh5MJ7tuD5eF3n/oGjicY4tAqDK39aGDuIxw457k895LWPT+l1GYT + AWgdzM97vpOIiIiIiIiIiIiIiIicK5LfP81Y3kJzpqkzEPtSW9Asl8npnlKylNw9DH0/jOMEOJP3 + nYVh8lJRa0KkelJuPf3Ct5785he+8Puf/+aLXz+xW1yWutgUTvBSERZwRgIigT7HUWNXMeeuQO+E + xWu6bE7L+gTopVXSMZUUCTYmixJjqSfl5o1b33vqn351GJcPH7374+//wR989NPvvvb+Ax7akG+s + x8WwyimXjbvXzCFZdvflcih1LGVCmNGJlFIiWX26Ky/P/S4iLkl0qMjdRwYIxBRe2ywheu2QP/ju + Tx7kd8bogcmZHJXIAQPS2QkTASi1+k1whncBwN3czk4UCwDmbI1xjJg/PS3VCW7oei6qXX3o2vue + ufHMFsVJEEYgis0h2QYYQi1x5M16O0/3FBERERERERERERERERERERERERERERGRc+MBOCzBQcdu + had7jaiWExMWB6t662YNTxnhp8s4z0OwPX84rSRH8tu6QZ+9ZBu9J1rrvt260DYtDLXWmlIqpbh7 + 13Xtbuc4ehEReQ0iou/7cRwBtCskzWy73ZJs5+ppmpbLpc7bIiIiIiIiIiIiIiIib1jf962T79ly + +atnu7p7SmlffN8HY7/9BOZuoO0bEqc9QVMqmzEtO8IxblED0/hQYKiFu7kKFmCg0HzXhDSHx66V + NuKNJFtb6zpL+JlZGUGUZIdDtznedui2gWNPz105+B+/8AS6oRpSajtTYBFsO0XCUK1dVCAB9taF + bd8zXrbDp11k77DdQcDobK1kV1jfwGLxLcQyOvg2NnG0TDfWNZdigOccZTy/sQdwY8JygakAm80B + gc0GV5e5hZbv37q2eyvTdqHm9zszc5/7L7dZQy3W+mJHJSIiIiIiIiIiIiIiIm/Yq5a45bycyT2d + y+QWQBidneVqUdzpTrJE2WzXOZshwkvd1MgV/bTmzRt8/h//7m899exXvv7cU7fKDebgMhgI9wiH + GaNPdAKEGxgOeLWXvuLmdJgH0TK2v+8lAHuFzNYALWBMCILsk3mJk3G9LIsp4unN15/+8jf+3uP/ + +9Xl9U899mOf+egf+sA7fmB9c+pOlkM67HJfilcvTDjZbgNOMmdLSO4+1enV52OIiLwlok1u8dom + iiSCgaPl6gMPf3CYVlFuhsHNJ7OOC3datFN6mzjhTuxmnZw9URowt3Vx+u03ySkLGLftXG9tjtgd + Tvx2upEOq1FLwBL7jkfveedHnrz5OGK9m8FUQQcK4Agi0t3ZEXmrtMmZFz2Kl1JvDhERERERERER + ERERERERERERERERERERecuYdYCNKD38uJR0cDhtbiHOfR0Mw+ZmwWfW8BABJNDmpa8xN5UGYDQA + L+++vVwu3d3MAAzDACAi2rciInLh9iv0pmkahqGUsj9p55zdves6d1emtYiIiIiIiIiIiIiIyJvR + AqojopVdNpvN2czXlyCZc661xs7bNdO6aXHAZ2ZB2Ny2tSIv+iCAgAW2459+9IPvKSVHNcyZ063X + a+U8sYGB5ABQbX7kN8B2PxacnzkIJ6biJ2V7vRvGCTUvx4PFr/72b2MxwBDYBRsDraVsAGz7tJsf + YfdnpvUbUCtyFwxvE1IWK3Dza1/+6n/+7vd/aHU4bm59b12PFsk9ao2TaezP85g6kRM2WyDQm3vU + //LH/9B/9uQ/R5/63NX2gtIDThrqbjrN/eSVesK6e0ppmqau6/ZbNF9IRERERERERERERETknqa0 + 4Atwmmnd/tsqblZrJQyG1JFkWKG7RQDb1FlY2fr6xF/8/ef/v3/6xX/821/6J3GtHPPFMU/5AAyW + sXaOnPriEeSuyumJrQjvrQTv3D8jLAxAsNXq8VouATjniFbfPcVutwKAo7obSWYyW6q0Luq0nlAi + G5bpOV//wy/+7d/8/D/80PWP/PhHf+JTH/rMVfPNSepssMil1n5I0cYaMZYRFUbmNNRa35rjL69q + N6vloschchECIOd5QSTMkIlHrj+8st63btU5pMJgzmAO301yCkc7N+5/cc5+tMZ+XoUCrV8VvX3U + xJl+OH7mUMZuwpYTFhYsFTWiMsBqqS4feeC9cNJjP2WN4ZzDrQGYXoJ7y/6jqE3RvNjB7Kk9h4iI + iIiIiIiIiIiIiIiIiIiIiIiIiIiIvG5tYcRpm2aeuYEEEsymRf/8iy/U6g8drOpmfZ6jsRQMGnFm + 0abjpc1lCXDeGAivTrK13jYzM4uIUkprUNvWf6aUWuPacxy8iIi8BqUUABGRUmqhCNvttt20P0ub + 2dk+4yIiIiIiIiIiIiIiIvIG1FpJtgbfpZSc8zAMrbx+x/u7e+uuGBH79OvtdjsMw90c9l3j++jn + AGAkos2VyAAwlW1vDi+49eJ7h/4RWhpPuGs+ajAHgu1BnLvo6Ag430h7UXvltpbLZZ6menMaY3H0 + HOwvP/E4rg/oOgRSzKNp/+HcldIAawHbCbhzO9r72CscBkPfAWB1K5Fy9oAlYjm854c+/fwTT5gl + er21qQnosiWeex/SAGrgkdXi1slmslrGDZgxOsJTMphXeAUSkAg47rdk61eaApRSKqW0U1lKaX82 + U7K1iIiIiIiIiIiIiIiIyGu3S9ckALaE6TDAumRjmf5/9u41RrLtug/7f6219zlV1d0z915SIvWg + RJkiQ9FR6IiCLTsWIglIgkD+YjgwAiRAACeBBQf5ICCIP+SLEMBALDhxBEOKE1mOhNjWI7ZByZIY + yxYJSzL1ICnxea/4lMjLl3gf8+ruqnPO3mvlw66qrpk7M3fuzPR0z/T/h0F1dXV11amuqnMGtfZa + /1prMqnqk69EPOdUVqMqXpi+8vt//Hu/8+nf/PzVzw6zIb1JjsbDWt0rfETurJ9pcV/W0TeJiy2y + 3G+usstO9dMdIettaHXaVz3dpo36JicbJxGkoYqIKOEAVCGCIhjLMncQsVpEiot5nZWVLT9ZPvzc + 7334Vz76xu/+U3/2z731e7/t8lv78bJOOkwrFxhEYdJOoDXKxaranqndWOt2TkTOTaIo0WlxwBFh + QNvdBaIioX/jM2+MYZJpMssQLTE5oBAPb3ul2N05yck+d7tgSQKAhHBxxd14y7Be/zHbcUVdtkfJ + E7GOu1YJETEN1epS7On910lx7avuzPhpadku6mirW5hs/diI3aPRucFYayIiIiIiIiIiIiIiIiIi + IiIiIiIiIiIiem1iO6Y5sOkt3cy5XRX0ScQR8nL2w0V+pqTxeGWip9cGs+56ChGFBsy1DY2W1n+6 + 7ZWS9Unrt4IZgFprG0fbpnLnnNtU7ohIKbWfntJmExHRvWt75lLKNE3z+Xx7+TiOXdetVqvZbAag + 5StwvDgREREREREREREREdF9a1XyVoVJKZVSzKzWeqfrt+u08+1qtdYnONN6PVEytufWqybgcMBS + BgZM41/7rnd9+/WjNJUMuJz8OgAJ6M0jqVvu9WbG62tbXCE7CdRAm1jqGijLgiTe5T8pw+HrL2OR + kboSkqRNED/ZnPWjgFagbleDBCAOwTb+mm5DUIqLiCVRCOCiCu1xPP43v/zLf/Mtf+rrovv6g4Tj + G7UC8ABc7hZG/hA4euDq8SoB1tm0Ovob3/ldP/Hsc9D1M9me24rNmNsLNh39TjNhSymqul0mJCJc + MkRERERERERERERERPRYkwtWCDtXfFtmDtVQAFFq3+cSsZyOA3W2n2rUq6uvxbx+8Nn3/95zv/3l + 5RdWixvDpeMjX03H6Ht0nanLNJXlsqpC7Kbadav7iSB8fQZoOdbrZO1QBwBXgSPu+XS9+bcRAREk + oAIRiIAGpEON9itRaqkFmsUWem21XDzTXStf/tfP/sofPPfBd77pXe962/e8+evflusiSw8XVBdA + oeJRppo0n8LzQLfajREVkXMYKUp0ehwJojUcgFdoGKbudYuvS44sYtqVqFN1MYVPCQiRNrEFcF2v + fbp19yibpVNt4VNbEsPT252qwyGxyQlX3C7TGuJtTZcGFKqigMFDa7fIewoxCQmXUHVgZ5lZCHwn + a5zOv/N5AGKsNRERERERERERERERERERERERERERERERvWYBdcBa55GhQAEYIF1CIEdCyn/pvb/6 + ke/7fnzh6pv6/RiXpzwJVyUcAWlb5oDDgLreQACoUMBt099RvZqZmbUMVFV1dwCz2Wy1WpmZu7v7 + MAxP6rhtIqLHS601pbTNThCRlqZQSpnNZrVWVW0/TeSUFigAACAASURBVIl9c0RERERERERERERE + RPevFcrdvZTSyjGthn7bK29/qqoA2plWx3m0W30W4iQVuAbMUAFMI0QWiNdbvjR5gZfAqAiohQqQ + 3EPWWddteqkBEVqhLv5aQ6S3Qy6lDcsOAFCgA5YFR334G575Ox//fSwyQk3bogmX9puhEIWg7qyv + UKyzsSGxE3p9UbS/p7zyotsSJEshmFCAmiASGstJFgfopr/9/Of+1re9rSyPUfG6rvvqOPadeDnF + saRtGc88dyjjYaB42c+zo8MVRkfnEN0+Oj35QgCwTbMG0AKtmWlNRERERERERERERET0WIrAJuRY + RETkPMYGPqFcEKJoxesAQhXrEM9xWs37ecqSEJF98qOvXfnaJ1/4+K985N1fK18dyjIvTDqvjk7S + YmGr1VA9XCBmnbqIiIdPkfTWe0QLnL5lU8LXyaEth/XeTwGJdRBp04qw2xRtEfTQiAgXaIxj1IBq + pA5J1SJKiTLWvT7VYRprpEV9cfzie7/45Q9dff+b9t7yn73rr70hf/NsNq+OMoxJc2c55xz14T8d + dFvnM0mU6PRpQKpkdVdNEo6SdFjs2VO9mEaB9A4RU0hFOU6aKtQFAoQ4AL159cxmOZGvvwIeqpt8 + a56+4tRdgNiu1FkfzDZ/wPUiMkRAvC3uETeRHAFzEVhSM6mCgLQDhsgmEjsEsf6PDz02bnswEpGz + PUhxPAcREREREREREdEF4lCFQ3ynR1rXXceAtMshVRRnVXC/+ZNvdsISERERERERERERERERnVsn + I26B2C3uVUBRh2KaYfoy8OZnnp5evprkdAuAEg4AoslxaVpiXKEuWjU0WoMPgJurkG3+bES0QOs2 + gFtEVqsVdlo+mGlNRHROtCCEiEgpRUSttWUhmFlEmFmttf10m5pAREREREREREREREREr5W7t0xr + EWmZ1imlWu84RLldB5ssWFXd1nGeVL4+acHPDiQHWgZunVYGw3J1eZh8dXSSBB4KgYtbQAGP7YoL + +HYxg9w+OPxVyXrdhjt0vXWAA6XL12ez//kDv4vZDDkDaRxL122vo+upszv93br9juNO700NQFBr + zaYAIBDLgKEP1O5a6i7J9DTqOE4JgIfGem77bjd/1ZM47XYF7Kx1eU0UupzGBAQwn3XXD4+/aX+O + 1RJ7CZIACNTaFdv98YkGAOzu4rYjYll3JiIiIiIiIiIiIiIiItpQFwCucCCAFhiuABAa7UctzboV + vtcRneurLPb3D8frNVa2X69OLzz76Y996OMffO7FT9y4/PJ0aUiiUxm9hEEUWoborHOprfdfIeJA + iEIQ64J4BER25gYEgJMs6p0yqL+mU90UbnWnnisBUyklPLCuHwYQDmhngSyOmCas3FNCNkAkSpVA + aKnqtZfi5bBevXrlypf/2Yvf8+98/3f/u+96w1NvTKmPqqWa1BCxm/7Y4q2GLCcP7jZk+6PtYoNg + ffNVMNaanhi7L2XF7d/+7TrrfWO4eG3vAFPtNJunbH1IFBQpJkmzdfChREkW8PWORSLutILFpR0A + HFCIt6DltuiFp7unADTUb14Yto6vPjkGhaKlhLRLDPCoADxJSpEVFrFe6SMIwKt43Sz/ocfL9mB0 + 5lHWuxhrTUREREREREREdIEE4FBDgbSx8ieZ1gigBAIQnUQqqijilkbkU922u9zP9gNVfjROdG7U + WlNKbdKEmbl7ROScp2naVkGmaco5g23bRERERERERERERERPIgEMALw1N0loAkIgcCQFoLOElSHt + TZJX4/KS2J1bJh/KBrVOJ60hszJ9y/L6v/n+/+A//PgfAAuF+WYGtMHbnOhb7LZ5tPMi0koh7RIR + ViuJiM5e2xtvT81s93IA20tYpCYiIiIiIiIiIiIiIrpvrdSyLbik9CoTC8dxxM0FmgtRrFEgKlAg + qe4MdeygGMe/+e3v+Maj5f6sG1Yj1osWvC1dCIEHYmcKdrtkZ6D3a2OiNbxLNpTaZsr2KRWvrnbF + 0qdKxcHroHs+Vu09ZQd0M9z7ZAWFbu5654Ldh3qB3GaByN3XjCgA9JYBry00umsDxhPMf/QP//B/ + esvbkshyHGcZtcDaOPXW6g9FCyAHhgQAqaILGFxa6/9rXK4SggoHUEJN4DeWr1PH0dd+5Dvf/CNf + +GJIL9AYIyWBonrdFlipafuuttOLiAuxKyMiIiIiIiIiIiIiInryiIRHOMys1ppUIyobxe+PwEOA + SN5K3OKOqigSqtgURkN9M2cgA9MwdYtZFQxlgqi7B3SK5ZAH2V9+6qVn3/OBdz/35Y+WBfzrpoql + VK+ABNQQ2xDWAMI35bpweUXdukV63nLhbhb1fT1ev92vKVC9pWoDQIVvbt09gCkCMMAUcLhDIiqg + CgccFaKSIMBSDl98w+d//rM/+Ztf+rXve+cP/Pm3/sWn+6+345J1llO/Wo45Z9GIqHAXFEA1BEi+ + Ht7uLlA4gJYdq9E22AMBuItqm6VAd6Cq0zSpwv1kpsT5yRM9/1ozt4ic6uAQulctI3nzlpfNGTMb + x9Gyelv5YFoRErWPWmqpQE65jpNM3qW5y7iyqp3kogi1sboorFtBVW6+o5ttF7T4Zvccd7gmbenJ + /vnkD7WNvYa4R1vGY5CaUj06vnpp/7K6jlOa5UvVxQMeyBZ9xrAsltOUJKqbM7/jnLrTtKJaq6pG + hPs5etcw1pqIiIiIiIiIiOhiubXcc/K9rz/xF+DuIdNERICZtaJ73/fDMOSca63TNG0vwWZc+DAM + fd+f7dYSEREREREREREREdGpCEDgcEBbc4xgkx8tqEASBSweVedCrPtPJbk/NQyXpgSv234ebbVQ + P2lSVTbmEBERERERERERERERERER0X0TB6LNta5tSHd1RGCYLi/LU1WOp1GAvE2K3smxvsWDdHbX + 8Ap4qUkwiUSEpny4KmNKV/v+5/74c6gOQLOtxmXfJQHWUcw7d3qH0dz0KuIkDly3y1HW/fsqMMM8 + fzXLpcG/ebbv9bBNw/ZNlvn2TywB7PT9y+ZmfSf+/F74yYtNETCUXKHAvC6hLnCEpiSogGCaBrVO + OJKUiIiIiIiIiIiIiIiInlC3zRGk10AcAQkEEIJY55Wqr2cLaEtR3ZQ0PcRrCbMUJSYvIZAsVWua + x+H48tgf/frv/fL7fv899fIyvRHXli+HIcW6Wvqqbhs4/Wi8yl3H7UcW7PzWetyB23g9Xpq9cfHy + jS/80u/87Kf/6Nnvf+d/9B3f+E5MMaymxXx/WK4W/WycPGVT1TLWzXwEBeCiO0morgFAW7K1BEKg + 4YA98MN9kkUEQ6zpibHeIwPYybQG4O7QEGk7byBU1NsB0YECwVjUPWvvE2pEUZ8UKsjedmhaBSHw + 7UqnuNsKIr6jHpq4eYmQSd/Np1KK66rGUoYCCRMBintWaIJaL5qz9L12oy8ZLPK4aEeic3hI4hoy + IiIiIiIiIiKiC0RuPh+7l8p6ynsrFd9jNZeILqxaaymlnTGzWquIqKq7l1K6rtte3vd9O3/Wm0xE + RERERERERERERI+KbKYtBxCwR1t81FbutDafVxAqogrWQImIiIiIiIiIiIiIiIiIiOhB6UnksCMU + UEBNEMDSsQBwPPzQt73tnf1iGl6edSmiRjnFJQsusJRSxGqqGqgSoXpltZwdXH4xp//l4x+DGWZz + uEM0555h1Q/XSfN+AHIyQRyOMkxpZlD9qU995O99x5+9/uLnFZj3qBNaHLoI1F02r6jkJyHZ2ERf + x2tPtr7NtgHmihoYxlI1zbpa3ZL2s1mgcqQtEREREREREREREREREd2WBDQAiSoFglbPDGREjgBc + EYA4pIRWFw8Bupy1P7xxuOgXXUpH47Ed+FX/k09e/dC/+M3/9/nrz+99w/zw+HC6strf0+OVW7dT + Zn3SqWtftC7HlCe5XD76td/49L/86F94+w/8wHf94JuefuvRyze+/tLrr718LVmn0oXqhGPRkPCA + OhSxCZqFh4Sj5Vi3dQvrZGu6Fy1FVETOW54o0UMRES3Eup3ZBFqnmhbFBpiNJbIBvqhDJ2nm0JCT + HYiGh8BD72+xCj0ckZZHNaX9EiUt+uyiYZ4xSiClaSgJ0EAtWgabhhhXFZ3iAh1RHxvtDXiPzvyo + xGWFREREREREREREF4Vsa4xYJ1rLpp21feO67k9tY+VZhiSiuzCzUkpEmFk7bQUSVe26rl0BgIi4 + OzOtiYiIiIiIiIiIiIieYAoo/KZRuNvzATjM23TdgJxiD4wLqnptzbCAhCIUgZO+CQccEIU4e3GI + iIiIiIiIiIiIiIiIiIjo/lhbGdHWJIQhDAEBTIGomOq3pDxev56QImI5nfq4yeVYitdOUicZoVDt + +72vDNOXRDFfIHWYRuQIAURXExdNPFSBTUi1+7qdv61XQepziNYE7HfPH1+TtC8Cr+u86qooiklR + AQAWMIcFNCCxvtUqD6Hf39tY88Bf/zPfhVJT10Fg3Xo5zVidU4iJiIiIiIiIiIiIiIiI6A5U2hjz + CNnOCghDZER2kRCEFNcJUkI8oBVyNE1ptkCfrw/XYm+4hq/84m/9o3/8a//nV+ML46XDF8av+qx2 + eync9/qzfXSPmgZ6TX2Cx7TCEZ4ay9NHH/rSb/39f/Z3fuu59+hTy5eWX54ddNLpchhvXF/N5pcQ + GgIXh3hsZjU4AEiIuCgARKv/tueDkZR3ExG3hIaeeYYo0WlYx1rXTax1gbvXWktdqbhEVUgpY61V + wiEOKW0gjAQAFWZanwNdv1DrSvhqPF6Vw4Kh1AEBCc+CDLFIKXInNutT1zPT+rHRjjvn8+CTznoD + iIiIiIiIiIiI6JHatEm3/lgAcMAEAdRWKA638BBUWedbExHdVkoJgLuv65QRtdZ2Ya0VgKqqKoBp + mnLOZ7qxRERERERERERERER0CgSASmtuOaktahutKwDC4aoughCc+hjcqhBxuKz7YwGIAtIytcHq + JxERERERERERERERERERET0YAXS9JiEQAiQEoL6eDH3j+v/49u/4hivX98Vy0sNpOcuGUk91k2Yd + liO6JFNxh5ciR11c35v/X5/5DGZzJIHZalpZN5OwLnOG9cMWABCCABJgm4vHGhOKqC68/ujn/vBv + v/UdBzdSVx3iAVQFAA2oIAICJEdIW+aCWGdatyfrAcbOCgBUgYboy1dQKjoHFOFTGbXrsrH5l4iI + iIiIiIiIiIiIiJ5YbV4ugIjYnKXXJkQRDq0aQFhAEUlCHYCMIQVSIcWhEgmwWuAR6WD20vIFXDp8 + /vqz//R9P/Pi9MVr+eVJS2Q3jRpTKchAVkG9SCMAxF1WpQAKm6WIODo+XE6HK7ny7g/89Jde/vR/ + +j1/5ejG8YG9Ick8WZ6W1TQ5CuCAt/ovAoA6VAMIOKDw9YV8kd+DVwRbEz1pRAQi7YWuqh7V3RNq + L8uuemdHVZAjSdHe+qzVMAgmwQh0AADdpFcwJvkMOWQaymCpuE1ZfdGVeUIFtAqQ+hrj4IhBytLr + jeoZyiVhj5lzeDRirDUREREREREREdFFFQDQao3trK+/wjbFAhfouftUk4jOhVJKSmkYhr7vAbQz + LcR6tVrNZrPtGWZaExERERERERERERFdIKEQb8OYt1N6N8nSp3zPQEhAAjBps6PbKGlp3ZgA1rN6 + iYiIiIiIiIiIiIiIiIiIiO6bBCCOEIQC69UIgtoDyPmpafym+Wx1vDyalp1aLdXuemsPSAPjiHmH + GigIg+r84EtSf/QPn0Pfo8vwAkHuuooQAHWby00PU+vON2A921ehIgm5omAxw+rG57W8fbY/rQ4N + EHENuMAFVWF1/bvbaaW+GQJwsujlNZM2QiAEDpjj9SoYB0BxsA9Ftu7a8eH+Yv8BHzgRERERERER + ERERERHROSTSBm2fJFvTfQigCgRAaKuCtrhTB0IcElUnoLQrqGeHdZ0Vm66Ur9WD67/zqV/7Vx94 + 9xV8eWlH6FEEqioSCKSMCBwOkfN6NvpF4AIHpEMtGI6KJuS5pTnG6ejqNP76c7/0ua987q/+x/9V + mnf9WGd6SYpWGAKQEVI3UxMyoAhzQCUk3AUa69qyXKA/5/2InVBr2eT+Ej15JNRRAaiIVxFgL18+ + mJ4GCkpCkaTZV3LJXjddqekN2VwVgHjLqAhhOMWZ0xoSESmlyauv6nA47q8uT8MqvOusS4JuQp49 + vcBBVFeTGg+wyIgeufN5/GGsNRERERERERER0UW3zrLefhPQeBRj5YnosZZSAtD3fa1VRPq+H8ex + 6zoAs9ms1mpm7UzOmcnWRERERERERERERERPrFcUFgPqcLR2hXCEOjQEm6m9j4wAgKJCA3CBrRtw + HHBbbw17coiIiIiIiIiIiIiIiIiIiOi1CACOANrA7m1sMBzHxxjKfJiuj8cLzeZwdxE96eM+BQLs + KUTtxdXUqxzk/eePl9e/7ilkQ05VYZKmspSUCkqHpFwr8dAJsJmJj9C2JKUKKjDWsm8KKC7PfuLz + n/qRb3zHN+lC/Rju61cQgLasJda3hNbuv1lic99Pl2xOHAioBQ6G8t99+1t//EtfwVQgjk73F/tj + GWdpdr93QkRERERERERERERERERPMhcEFAJzCGCeAEE41KtMoZNr0QiEWZjVLKIlxmVcOUxf+tV/ + +/Mf/PxvjIvDl5erg6e0VClH1Urk3NUyuiHPkAwxPdIBBGcrgEkQAjV0ZlKtjlGlQGOVx73X+WeX + H/7xd3/xL//F//Jd3/q99XDo6iXTxWYmQgECcERGmKMD4DKqAOKOUAaa3htGWdMT405v+IgQEWyy + 22utpvmZ2Tf+1T//w1Ne1Qh3zzn5GAss3vzGb++HZ1LprSYAkOLirjWgDtHgXuVsONTdVPfrqmjk + A03dbPaff+/fKLMxvEMo6jCWUlS/6dK3zvWZmBKknvVW06s758cgxloTERERERERERFdVHIyNF6A + 2C1ChLZkaz3XH28S0VlqwdXDMPR97+7u3nWdu6tqKSWl1GqWKaVt3DURERERERERERERET1p7qWe + qFYkxem3kwogcVPbqgsgKAAAA0IhbYMDkAvU4EpEREREREREREREREREREQPjTiixVSnFmbsggpY + ODx++B1/+m1eL8NGL/Ocj6cpWYp6irHWAMJRVnV/JkONK8NhHDzzY899AntzJKuAieeUK6JDJ3FT + gzk9BDvd+oqTtTTt69yS1AJVWEIary36S1NcDukCHidx5wHUTbL1rTf/YM3+bcVOCMyxKOMbFgsc + L/FURlKvNUy6xP5fIiIiIiIiIiIiIiIiejIJC6MPS4s1DQUgESFV4IICFA20YqlDRb3YcJRvvOzP + v/t9//fHnv+34/7RKrB4BoejG9DNDNXctbPe1adpirhwAYohiIAHkhgsRa0hCVqQ4vpUulzgX/nn + 7/vpF//0177vz/zgM3tdORZzcwkFJARQhAZUQ10cgIsrELKuP3Oc/Ks655GiRA/O3c1MRCwsHOFu + OT/Vv/7f/8a/YLM01dHhedbXcYoJKXIqnbmtfxca4iEAHGFn+jguNvHwmM1m5bh22qXa11q/841/ + Dn3U2otoYNSMUapNyaZUSkHm/3voQTHHnoiIiIiIiIiIHkvTNAFw91LK9hJVft716gKA3PSHks2p + RqtAhouYZVScdl+yiCBCBe5QVffT7comoofIzAD0fQ9AVdseuJ2mlACISDvDTGsiIiIiIiIiIiIi + oovBd78IqtcJAlg6RGjXTaff32cBdZhohdc6prSeHx1ABSagtvpnmwscQKDWCqDW2mof7VRe0aYs + Iu2aRER05iKiNY23dSa7DeTbfTWXoJxn7Slrq79u2/9fa21LwrY/3T6zt1x/++32VUFERERERERE + RERERA9Fq7a4e/sEftvNfSdd17U24YtSr5HAulYFFxxPFQCq4/j46dVxZ3qIKoapTAbBKf8pNJAA + BeoQYljOZl+Jiq5HygEYgHAEDGKAKSOtH7IAQjzELVTaMHdBCATIgRTA6F5qgcHy3332E1fm3Qjt + NCWHVXhF16UJCEPdrHLZUocE9P7rYLG9OYvYC8i1axBgtUKEmpX6Km9tIiIiIiIiIiIiIiIiosfS + ptus9Yyz9ey+aUDgArggBC6o6q4jZDJBRqe1x5QR5iijHk6La58+/uBP/asf+8gX3h/7o2Z0CdMK + 5kCoh7gixD1EqqSq2SEX6cmRNgwhoNAaPsJrEldxyDSiz1AFujrMj9/7iV/5hff/w+frs3V21eUo + pBQHorOYGZIUhxRFaRVmb7ctCHmil2o8DCml5XJpJtisbGGowb0TkQjknNnSe16EIjYvYHFs9gBi + cNSIEBF3T5a9eHKZe+qOsRjTYkrpsPZjmnvXu5pDo61YiRB1aECD+bZnSsPNhmm6lmxAjBGrDrrw + /W44mI8Hs+FgPl5Oy0U3zLqaUKZkfEueU+1tiJ3DzfaSc4hveyIiIiIiIiIieiy10oW7p5TGcQQY + ivzqbvpQWdbh1toakgFUN1FAkfMSMZbKtmQiIiIiIiIiIiIiIiIiuifSkqIRAgABAUJN4YFhmZ55 + 5nAcc2enugkaiAoJKXVKewcViFIwFYXLJsn6lcHUZtbqzqWUdh5ARLSSdEpJRMZxrLWys46I6Mxt + 047bLAlVnaapde61gASz9bFGRLjfPrfa05dz3p7fJmEsl8taq5m1y0VkmqZSyrZRsz3Rq9Wqfbs9 + ExHtR9uoDCIiIiIiIiIiIiIiehCqOgyDqkbEOI7bqrrcwTiO7eP9Vq9xdzNrDeBPplqhGgEIHJhn + MzgOj/76t7z50jiOwzAA1ncecDyaSb6SRVQwAi/39r999lMQhSTAtc2vDmAndJkeLl9PCQfQWvjh + gAJaUVcTuk5TN4wF/Qx9emneDV2CpQIYMDOdplICLqhtHny7mc2E4AeYFrp+4YW0W3Pz8vQs/ddv + eQsEcA/3bPn+b56IiIiIiIiIiIiIiIjo8dEq2nQfNKDhAKp4sVKshEQIMLkUw2R9mju8zsZx//rv + ff59P/ven/zUyx+e9sZiZTnAKzqRpAlIDnVoCCCucHOYq16kTlANmKM95JZC7ViXiWdZ4VImDDVK + Hq/ZSx/44m/99K/9+B9d+wj2jycdretF+6kqAEsimCATpAAOqDOJkojuSgLmklySa3ZNNZmbuokn + xToV29fjYhRhgDDi9iyJK6pigtSQElJdApGtZvPePFvtU+27klM1CdySQELnUFtD2IZgnNs5GHzP + ExERERERERHRY6kNpU0puXvXdW2G+F2c9faeRwFEaz0OoAJiCEWZoDHMcpWkuT/rbSQiIiIiIiIi + IiIiIiKi801unXccgEESFAFkw9NPPX98Y1kd9dTrttnMa6TcleOjru98nCDIXu+Qp63TOGKTimpm + re6cUjKzVpIupeScu64DkFI67e0nIqK7M7OU0m4Qcks7VtXtUImWaswZE+dcrdXd3R3ANE0ppfbE + zefz3Z7MdqY96e3bdjju+/Wipvl83m5HVduNbAOwiYiIiIiIiIiIiIjoAfV9P46jqnZd1zKt79LN + vf2g3t3b1Wqtrdr+ZDJr0cUubZlEsajQ9Ob53tOaLKXF3v6V48GB3kzCX/0GH4ADI2KIEGCw9OUE + zBVdh5OE5QAAVwSAEii7Kcz0gNoQXwXiFUtoILCcvU61+l43xxiY5Z947qPHfX5pWs1tZlDU0Ip5 + r8cTQhC4KdlaHmitjQPuAgQkoHANyLB6Y9dhNaI42mZzrC0RERERERERERERERER3YEAyd3CAQ8t + xWqxWtQd0qd9TNlqb5anNFyNr37ihd/+xd/9mS9Oz5XLKz3o3RYiZkji8KGoQ0NbVVUwCoqK60Ur + XgsgrbC8eeDiGm6OOriWlKUX7auZHNiN2dXPXv/YL7zvHzz3wgeH7miJWiSXYi7wGKBL6BIyhSCg + AXWGW9+DVwYWMLyAngwtbyLEQ27ar2po+xfQqlpUi6KKVhFHcqQqWsVd3MVD3CES2v6d1WOhRgLS + FqdpFCtFvSiKalGvgioIqEQ2z4gcuMNYHTpPtkecc3vo4dueiIiIiIiIiIgeS2brT0hVtdbaptae + 24/hzolbOmEr3LFNtxa0eb59j1k+nqXjUjBVsHJARERERERERERERERERPds2+EkWE/HhZQfet/7 + Fk899UjuX3JOq2kU02EYD/oeY8g4Jbis5wg7xAGFKIDcdbXWll3dBmrnnEsptdaUUgtJHccRQK21 + nSEiojMUEe5eSimlpJTc3czGcWwZCdM0ba/p7ky2PreGYTAzVW0R1Dnno6MjM1utVtgEV7cntGVg + bH9xHMeWgdGe3PaMb0PNmWhORERERERERERERPQQ1VrdveVSt9IMgO0n/K9UStn+busBN7NhGM5q + +09bABHS5jhGLRIV04Rh6Kfp+vESwI2jQxPMZzbVemuD90PfmDai2tISWhYHP/XZT2HWQ3fvVW6e + Ocl+/IesLUpxoLbvd//A7prNUorJ0XXICYarFt53x7UoUkb2wDj6wUHXMq01gAcYEqon9+4AEAlI + Cli72Rp704gacBdN7Y5YYCMiIiIiIiIiIiIiIiKiu5AA4C7h4i5wAYCpVmjkRbq6fGmVr37iqx/6 + mV/9P/6kfkEWFQlHw/GqFNHskd1hpoAL3Dxkt6IqF6tg6YIQxDrculh4+yeAhoiY5ezAjfF4kBEz + mfrhj2988h/9fz/5+RufLfPVUlZpL0E91IEC1O10BwkFVLkc4B4wxYAurIBWgbdEZNGAhkhI2zXt + 5mGLABLgLuVsaYiGIrQKqnoxbyuLXGpIgTgA8YRIIRrCMJHz65XTD87tkYgvIyIiIiIiIiIieiy1 + qabuDsDM3N3d487OenvPC4lWqPUAfLcrVgQOhEAU5v/Fe/5Ff+kSP4YmIiIiIiIiIiIiIiIiole1 + LjtKQNbnLYAARNAlzHt0dm25Gqvf5UYeilUp4ybHNQAAIABJREFUCJ31fUTtFJ0HDkcsHdUN6ODr + YMxNIbR6FZEWjApgHMdtJGoLt845t8u7rmvTuomI6AyJiJnN5/PFYiEiOWcROTg4mM1m7VsAZhYR + qtr27XQO9X3fDrillLaya29vD8BsNmvPWguo7rpuN746ItolLQkjInLOLVGj3ayZmdluZgYRERER + EREREREREd237QfyEdEyrYdhiAi/AxFprd8iEhHtQ/6+78/2UZwa9QqHABjH6C1hKrhy9b//zn9P + qj8zm+eUAui7/mhVVWB26htUEEuRo3721VCEIWVIYN1fr5AE0Z1R4BdpKPgjEJv86LaEZv0FjvaX + 3swQz6lUeCi6/ovD8dWsWMwHlEA9yHMElkcjAI2bQshD3OV+6p4a63sPCELaGPQCJEM+Pvrh73g7 + oAiMwyB8PRAREREREREREREREdGT7pVpgvRaaAgUYeHmMIe5AXp9eZgvpxenL4+XDz/4x+//uX/9 + 04f9ldgryxFRUFFDS82y9DLCkA1aDKOhmEMjBVAN1dYh2RdHFVQBAAFSlK6W5Go15dTXKCOWNQ/I + 7upwXRWfLk2He1d+4hf+10+++OE4OLxeX1zFEXKEtNvQzcD5FkDLefKvYjevgHsGetzJPawBktD2 + D1CXFoqMEAQ0IAEFBHCX2lbAaEADGqrB/cmZCgUUYe15roKWO67higKZIJPAFS6hDr0pcITOpXbs + aYeeczsHg297IiIiIiIiIiJ6LLn7OI6qOgzDNE2q2hqe5Q7OenvPkzj5etPHlqaAwAR7PXo7rNMY + HPNKRERERERERERERERERK/CW5Pj+jy89T61Zr4A+g5ZPaUu9zjlzqVZN6tlOh6GigBQl8f/+D/5 + SyiAh6GIA+Fto0IQAhFrY7VVdVt0NrM2kjul1KrSLdB63Z5IRERnp6Udl1JKKSkld2/76lKKqraf + AhCRWmvbw9P5lHNuGRi7K7vcXVWnaTIzd28h1qo6n89FZLFYtIO1mY3j2H7LzNottMN0RNgjyIUg + IiIiIiIiIiIiIroYtgHVpRQAs9nsLuMUI6KU0uo17RP+cRwf3bY+cmoZosNYZ52gFgRg+dI0Abgx + DKvV8dOzPQkFoAmrU27XdkEgBsH12d6PPfdJzPcgCtPQADSgFQg5mWXLrvuHL9Byztdjw7d/YgFy + cq/uXqGSkqjC/Z988Y9e0nrotUIBGacpp2S2Lpu1WOt2vgoC9z/GPQQhCuj6ljNUsRcxGyYUB7Tr + 02axDxEREREREREREREREdETiGPJH1AAVeGiAMy1q9pVM1cAi6f7K/6CP33825/59V98/89d1Rfq + wbTSSIZ5P++SwqprQQIShmlCK31KgayXE1zEUmUAoW0+vAYEMMCiCEpgKlEcVQxmUJWsuZt1xzZd + 0avHi6v/8J//75954fd9drXa4AhHh+gRraGyJZtCOA7hHmxjrbffnt22ED1qgvWOYifuGpDQtksW + 537k/HCoQwMJoRKbbxwpqqJaVEWRAOBVvXKswmPl3B56+DoiIiIiIiIiIqLHkoh0XVdK6fs+51xr + FRF3jzs46+09T+KmZOvGAwF4AArHhA6DqeXZfbe5EhEREREREREREREREdHFcZuWUQ9UD/gAgUgV + 3GW89cMyjCuznHPqum5yyDR98/wA145QXBBwRwWACtQWv63YFpRbudnda62llBa3CaBN6G4l6dPe + fiIiurvdDOMWn3BLtjE20dfMNj7PWohFe6a2R1sza8/jpUuX2rfTNO3t7UVEu+YwDO0K7r6/v9/y + MEQk59yefR6siYiIiIiIiIiIiIgeonEc26fx0zSllFpp5k5N3M3ux/UR0XXdWT+IU+ThHug7CwSg + uHaEabKjw6F63lssJB+vjlbjskt9KejzqW+Pino3+2p1dDNIB8gY04TSVkcEsEm2dkA3/+jhESBg + DgtUQdnUrErUEIdIqBZggqMCKSFK2Z9f99LN9gUSqF3K4xS4OdM6ZP3vgYRik7U9VhwNODB7w2If + onUchSnnRERERERERERERERERHRnLphUi0LCUrXZZLMp5aoKX8nhOL/+bz75nl/63Z97Wb+SX2fX + x6k6xMWHOi69TgifRKeUEQKX9akbXIsrUBNq12qaF4YiEqKDpzYl3gWugDq0ZoMKoqKMmFYxjauK + 6dBx3E/l4AjPXP9/funHnr/6bH8gw1giZh5zRAeowgVF4dtyM90J0wroiRJ6l12o3LxDkIB5C0X2 + 5EgOi/YvNFzCBS4REi362uV2U2ToUdpZNaQWml37kvqifUFfPLlbVIsCKa7FtTBP5LGwXV151hty + e+msN4CIiIiIiIiIiOh+iMg4jq2leRiGvu+nacr59Pt6nwCyPrmpoqCIgBgCKmkGWU613Hb4PBER + ERERERERERERERHRKwhi/RWA11BJEIipI5DFVcY6ZbVT7SvtNXktglguy6JPe7P9q197AX2PKPAO + sd5M34xnFqC6m1nrP3R3VW2ztkspLTsTQLu8lJISWzCIiM5Y20ubWQtFmKapBSTsXqGdaXvvM9pM + upuu68Zx3N/fn6YJQFvxFRHtaV2tVtvrHB0dqaq7t29LKdvE63bldnk7ZLdEDVXdfT0QERERERER + EREREdH96bqu1mpmOedtQ3er1Nz2+q0006rq2/L6E1xnN9HwCrE6FYVgPvsfvuFb35RMK4blSiL2 + bRamx+PxvNfl4OkBxoa2kaMa2Cx2cLTw4wCAKnDRUdL1sH/wuc8gJ8CBbBIVoYBvkq0B2La7PDjK + +qESALpdOeOAAQq4CABVrcDoY6+dCCCGxcHf+9gn/tZbvvPG1aMDRId8uFouTMQDgbpzqxII0dfa + 8q87g0/bWHhsXgP7MxlWw/L6yyiDzfcQ6nAT1lWJiIiIiIiIiIiIiIiILgxxAAi9pWh8u3xFBSAB + QKu4CgLtt9x1KPOj93/yvf/yD979kn5FDmJZh9RDQ2SKiJoTulmavKxGKErr9QysA1hlfX8JgISu + Nwm7hcuTIqnevGWvHtspm5r4fUVGaqzL6a/87deQGHrne5dYPyAX1fYwBRCEIwThQMisS4BXr9Vj + f787OhpNlyLjEa7+/Ht/Kr4vvf3rvzuOqniCVAAuodstDl0PfBDf+dNtn0pAfH3x5pVwz4/qSSMi + 5zZYlOg0aHu/h0ECcARcKsLX616gtywnansyF7/lFuSW/YY4QkMc6/2khwTEQxxw3+zx4xW7UIm2 + hxdAZR3RLRK6PRxo+MldbK3vXXGyqqotplpfJ6A7q60eY7c8CgltR6hoq5ICgMbJUjDsjNKhc+S2 + Sy7P7dHnyVzrSUREREREREREF0FrgQbQ9z02E07p1W0+vTRsqrhy00/CM2qfVeCTMtmaiO6sVUS2 + p+5uZtvJ4NuAB1XlrHAiIiIiIiIiIiIioidSrDt71KBwhzhEAagJaut31KQDqgeqJEP4/fV/3uv2 + oAYiAabAGFNCfqqHVkQgAFGotkac7aRmMwMgmznC2/O7k7Xb5U/qrG16ZLZ1tLuMej8r201iDDA9 + LlpucWvY27bt7e6uI6IVrG/Ze29DFy6aUkrLfsZONf8hHtp29x7t/DRN29VcEVFKad++cgfYwq0j + YjeOehzH7a3tfru9Wjttl7fzpZR2/XYXOeflcgmgBWC3Iz42r4Htwz+H+2QiIiIiIiIiIiIionNi + ++n6trxylw/VW6XglvL6E1xn91KT2TTVnDJ8wrh8Ksve9TEFAC2aj6LmadUDZbyfTGtpgdSCkPVQ + Vw/V0FBxj6QRjr0kxyUAjJKv2d61+R5U0Hm1NGBcIBmszRQvJ+OyN43jLI88RLIZC96GyW4GxAqw + jYtWYKGdri9VyAEkjkt9JulUpi6JFPQeHqhIKxUgei8akHZ7gdeUbL2dWCvQDhXwIgiBCeoYmiT3 + 9Yfe8W1//9lP4dLr3NKtY4mfdPc+GZaVRCIiIiIiIiIiIiIiosdaBFJKcW6TA8+EeCsgC1xDZScU + swWXnhSXAQm1ALykPh1O02SazNVrSjKk40986QO/9vvvfkm/pK+rR6uqChREBASBqoYyFQvMFVEB + pFb+djg2Wc6qIwAJGMwFCI3YPF0i1rZFTqqZGnCBtVDszbMqIlUiAqrriQIQyCYoGptY7lf+JW5p + UBURETFECiAiYlOjFYiss0Ul4AIRBCCCCERARNvvAt62v22wmtQaGmg/dkdEhAIoIh5QCOruBgkk + IFAFolTADVBBOZr6At2TQ6/d6+tnDz/1s7/xU//tD17+Bvn2vfwU4FPUCcVC99I8Rg9bb3ncFImq + EqKxfoZdHPBY/4X9QiVbm9k4jmZSa7Rm2NbESveuVdJF7jc6nh6ekLu9em8KsAcArW3OikBQVKa2 + KmWz80+IttcRABrqgKquxiUyLGtBsTBEqquSQk1S27mEBACE1ghkAaqjeqqhxW2asKpSNEWNUsJr + ONoCM00ZqrWIi3hnkTRmEp3ULoonSVFdVSWZeyllFEVKGiG11qyi0pXixUNMW8y1wC0C8BCt4oEU + AsAf62Trk1RvIICq3h5UW37ksrkWoO7YxpbTObMdZSAi7n7ODz1P7HJPIiIiIiIiIiIieqXYLcSe + NLA6oJBoPxbvEKYRgnrBulCJ6LVpOdYi0uZft8J8G1ExDEPf96vVajabTdPE2fdERERERERERERE + RE+eQGscvakKsK5Ctlm9AQAWQLjGIy09SgAhgLr4SZ+V6HYj1nnct+tBJTolqtpyVUXk8PBwsVhs + LzmT7Wl37e7Hx8f7+/vbS85kY4hOSUqpFaxbsHHf99hEIF80OWd3b2/z1WoVEQ8xRmI3ITti3cmf + c96OkGjfysZpjwHJOddap2na29sbx7GtbTg+Pu66zsxyzi1yu20e1zMQEREREREREREREdF9UBO4 + mygCGAeY2jD23lZN+CgIQW4rJQIQfU2ZxLe/x1BARDQQLWl3VSIBrphExr2Dv/uJj2Mm07Ry2zek + aSxZO9ycV8ym8dPyan9kAWx7TnRaldwtfLG4cXijz+lomvaSluICDcikCtTeIesxwXK/Ba2Wo11a + cc6BqSCphkLqdLlObeGPcJkAERERERERERERERER0YXj2xom0KaSO1pC6joUcz1DQANwoDqA1OVh + GEJW1k+f+don/ul7/8kXV5/1Z0opcEdngKMzrDs4Y30rIS1ytMVLw2Kdog1xiMv/z96dxVqWnfdh + /3/fWnvvM9x7q6uryG6ySYpkixRnTaYmSoFm0bJkxwYsIIBhWAiSBwNBgDwkL85DkACGkycbyAAk + toPYcizZIGRL1kQNLVNsMSLFURybzbnZZHeruuree87Ze6+1vi8P65xdt6qLra5SV93bVf8fCqfO + dPdeZ59T+xTut9b3d7hLsZqrWkREIlRVNZSc3WGGANRVgGZwR4bDUevmUqvyrsHh2QWQmiK9jcYW + 9ShFnxvbvAuivhprXa+Iedg+17Zp33AHBFZKEvWgAjerjRQEIrWtgZvX5++Co4GSXBWuMHE3F4eq + hKA5Z9t2YrBdbwa9Gifu9R6DbO+bx5l4rw5TDIpmnr5y6Qv/73v/2S/+5N8HcrQZXNu2E0Me7bqC + tQMC1FL0iff65Mfgnltf6TunPRCiU2ACQBUOqKFoPdtAHXV2igLq4uLbrOsx9efOnetLv+5XTdMA + mvO4mC8lC8zdUTRnSUWLhVw055At2JDXly4/9cTTX/36M1+5dPR0b+unLn2jwIrnbACgGlQ1QM7N + l/uzvYOD+8/tXTi/vHDhvlc8cP5V952/PyeN1qTR10NupZnPFuoYhgGQtmlysrGs23bWtbFkhzhM + AXMIROsMLYHB9SWdaf1cDhS5cZ8cBlqffVO49XTzFAfzPBhrTUREREREREREREREtyKEkHNOKc3n + 83pPzbSuadYA6mXTNCd7WBMRERERERERERER0d1BtotdzKC+a8sr0woY2d1wgSMagt32dY0GNSlB + 4HUJKEx36zan8dW1Hc5mzXTHTQuNSik1RromrZ7WeGqmtaru7e1NedvXrYYieqkrpdSCNQARqYHW + qmr2l40NeGmp8d61aj8FWr+I/95jjO6ecw4hqOo0PWDq7CAiU3r0HVhmWbPMgbpEGc/tLlFDvt19 + OhPe7iEREREREREREREREdFdKEAhSBkS/u5b3vZ2yNV+z2ICd0EtUFzbFfoF8WkSBhAc8O0kh7Gk + IOrqIp4zBBgLwqy7lEc0gpLi3mIEDKXTUNOQT8yYOPkXnaZm0WLd/8MPfeB/fvvb02ZsgTHbbiqL + q5vAsH3vTF1v9l3bFsYE4ii7j2UwtE075izFtfj+IqIAOYW244eCiIiIiIiIiIiIiIiI6F7hamKK + 4FNVEoZaZBQzqSnW0HqXmEGbRbNerxftfLPqu3lTFvHzh3/2K3/8L57KX2vva0OzPB6OmmhN0PVg + AgSrwahWFGW7fVOYGgIQdos7TVDHoNrV6rujuHgBUi7ukAhRQGEFViCCqNCoZibbZFa4CUyiB0WA + iSKIq7p4gZgrgno7s3mweF18NYAY47TE0t3NzMwyvJfsQURcFYA5iiOZ5LaFI5sVRwYKYC4OQNUB + hYirQMXdraC4tW1wd/di7hIARTbPYw66za42Adym9wVT6wYxCFxqIjjyuMkZGtACNqALe7onj1/+ + 5L9+3//9d3/2v5ivL57TCzbmsU/trCtq8BpVi+cuozW5bi1lmHZ9TzmzYaJEt5uiANidHqSelet5 + 30Vtm3INrQ/CQ4yXrlxS13m3QEHOWRXZh3EsbYwQJN+keUqL/lJ+6pvrJz772Kef+OZXv/rEl1f9 + YZxruwjJh/WwikspKAZ3d7iKBBFRhCfHsbVGey1PFiRvdXauO7ffHrzhoTd/+yu+4+EH33QwP4+N + lyRisxn2VGPJWWSQBhkrSa4I4hEei7QpADCBBbe2GGDlbgu2ppekl1b/FmZIEBERERERERERERHR + Tcs5N01Tm1PX3t+TruuGYej7vuu6Oi2DmdZERERERERERERERHcju2bxhABiCq1rmLz21q2rWV3F + NZi4KOQOJYn6trmzbddz1kjLO7Nvohup8a51pWtKyczatlXV01r7WiN+h2FQ1ToMBrvS3acmx9dQ + 56Zp2rYdx/Fey7QGUIO9c871CIzj2Lbti7gGsgZaN00z3VN3YWYhhNlsBsDMuq4bxzGEcN0cgxdd + jDHnPJvN+r6PMdZ5CzXgvMZvxxjrGa9ev62DISIiIiIiIiIiIiKiu5GZFQ0hF4+q2AzLlGehmXcy + DskAdTcBHPXyRs2in3frsp3eIIAC4qg9Vg2I0BB1TKMBrSKoRG+OU/7Hn/8zdIr5vEABUVeEBqkg + Bkxh2ye9lLpl3oUcEClYLDeLmV05fnXb5JyzeS1cBRSZepfDpj7yt7IjqZvYfoSGNBowk7AU5FSw + 7rGnlhFYMSMiIiIiIiIiIiIiIqK7jkgtzLE4+lxaa4gnlzebGMQBbC9dIAYHBMerfrlYaJFZDEd+ + 9Oz45L/7wK88dvzJ5j6Y5zy4jIhBtIgAqtEdcDUo3Ha1aUNNTzZAtnsIDncItPhoAMQ0QBVB4BFe + HxUAojG6ibvARPoQszcSVRqYoCCYBG+ixIvnLs6b5d5s/2C+v7fYX8739hf7XTPfbw8CQjwhhKBa + 47GlfkJOxFrLRpDcUh76ft336/VwvB6Okw3PXv7mZlgdHT97fHxlPaxzGQ2lBoFn5IIChUd4NIMJ + Shoz1CHuDi2or84AE6gZBOqA11YMu9quGGDbQOta6t3Gbxd1b0M3DhhTns2aEtNHvvmB/Q8c/ML3 + /73Lz/r94XzXLnsryVKrsgumdew6O5hspx9s20O4Qu7Rzgu+ddrjIDoFdk2LGI/TqabOS3IBYOIw + dd1OXAlRYhPb6DGlNO9mCHZ5dbi/vxzKymJK3fD19RMf/dgHP/ylD3392a94kxCL7HleDhsbc8kS + IPvo6w5PfMnUYWjX9Z6KZzdDh94O13j6maH52mOP//6Hf/18eMU7Xve93/vGH3jVxYeXciCpaaw1 + gzRoNIhvp1SllBHURYuISWisnloLAHUrwgYadOac5e8hTiIjIiIiIiIiIiIiIqKbVjs7i0jtfy0i + bdvmnEsp7h5C6Lqu3l8bhRMRERERERERERER0V3ItwuGDAgC7Foq10euttR1hSugwO2NsZz267s1 + ruqlLmmFnByS3bBpM9HtVvNTQwg11XVKuT4V7i4idTwnh3da4yG6TWqCck1cHsex6zp3H8fxtMd1 + p8UY3T2lBKCGW9crL9bGaweHuv0QQo3NbpomhND3PQARGYYBu3fktqrTGOpgcs6qWuc21FHVE+90 + DuSpj4iIiIiIiIiIiIiIboGGMI5jaGdIGWN+aD7fPHPpGGgAF4WY1q7QjlqONZ9mMrwgRQBBtG0D + 1m0vV8DgZgZFEEiU48FFiu+dQxehtWW3ZOQGAQ7UWvC20/dunsS2ZzVnTZyaOn0ltC36zVNWHp53 + Y0pQaUJjySCmbvVN380nuOlM69qEeJuGLlc/QovQFrjnJEDr6b//wR/+Hx/7bIjNi/XSiIiIiIiI + iIiIiIiIiOilQHE12nSbeuzboFGTbZ1SxWuR2rSJxWDJUtik9tJvf/A9f/rVP+peEQ43x16wQNc1 + reWUijUKwHIwuAogpm3Z7U7NBUVRAHEEgzrUoohJ49ndHVa2rQjU4Y42xpSKJQiki8ugc5SAPrws + vvygObj/4OLF+y++7L6LF+9/2YWDC8tuP3gTPUZvJLtlQYEiiLjMgolts4zNMeLk4u4p+Hy78NDE + 0cFVRCwU7JkvzcQcSV5jEhCCu2JM/eHh4TOXnn72+NI3Lz15pb/8zPHTl1ZPrTZXhrgusUcDjUAU + qJZSckYZESVoIwXZFGoIhmC1A4MVWAnmgrKbWqCOUKI4QvAQNA2mjXQx9mlclSN3dOe7Rz79mw8s + X/5Tb/754dl+HrriY2wiLCkcgMEcWmcvwK0mWwMQVwD1PYLYGc3VvJ1qnKhITU93ACJyVgNGiV48 + YrsPusCji8LFRB22jZyWvJ2rImaAunqyxWyZcx7L2LZx7Ifi+eDc3pX09LDYPPbsp/7oA498+quf + GmSlUVPcSJvGsi4ZEhBm0gY1s2zXBFrrieterMBdIBEhwBXZPJVxpo4uXErfeN+Tv/u+Lzxyfv7y + 73nbO3/gbT/4QPdQuexzWS50aWstyaQNbau9FxeDRHWtpziHQdxuZrIW0R1w3f86ziD2XCAiIiIi + IiIiIiIiols0FUKaphmGod4spUx9qN1dVcdxfLHaYRMRERERERERERER0RklgJ/oeixuEAABCtEi + IasK7I71RXZxgTWeYRlAudqR+aa7/RK9KMwsxjgMQ9d1AEopNU96qrjdYXWl0zSMOjAzU2X7crp7 + 1E94/bSnlGq28R2IVT5rQgg555roXGv3IYQp0v5Foao55xofHkIws6ZpphRtM6vp0XX+wIu43xuq + ud2lFFU1MwDuHkKou67HoUZfN03DTGsiIiIiIiIiIiIiIroFOQ1t2yS34IZShm8+fbFpO0fO2QBx + c4FvJyrcdItUxzUZ2Cd/XCDrkvdm6u7rwefzxZHpJcuwEfOFAYB2aIMossMdUTF1pv7LvGB6URkQ + VLCY/a+f+uQ/fPXrDbLKpUNqJCgQfNvDF/jLvm1WU7QFcAjQl1GBFroQtVxwvIG7laSBydZERERE + RERERERERER01xIRnNHowFOh6moAxLCtTRt2B6imgapDatHaAcFisXflyrPzLqZ2/aEv/sf/77Pv + bV6GZ4fjJiKqRlUxH0cLKkHDZszawsSCQ2HiNVbZtrmp9a0QFIUboGZiqUACYkDwFqURU7GgOcpG + lmg7nc+b5fn9i694+Wte/crXPHjwyof2vq2xVlylwAtgoqPGIYpr9EahwSJM1EURTL0fNypFRAEX + URHU62a+fYXw3WpvEQQvWu8UEReIiEhNPvZkyd2h2A/h/g6vecDGBzb+1pS17219pb/8jctf/fJT + j3/1qS89dfSNy+tLAzZZctti1jUGlFJS2shUnvVtprVL7cpwdaqAOsRisCiwfjPO5gEShjF3jYZG + LMAUPYZ2WX73I//+9Q+8/q37379Z9Ro0itbqsAvqG73dpjhcTAy4Pty6fhLuHTXf/LRHQXQ6FAbA + EFywy7RWeE283j5HMPWNEUEYx9HMIGaCuBRDuYKnv7T53Ps/+sgHv/KBI7msSxvzYNnbNhTfoEUb + xAWleBodgCpq+4pgu+0DCjFoNhcVFXVHzlYAEYjgOCUvKejQzeZh1jyRjp789Fce+dTvfN9r3/nj + 3/mTL+tetb6yfmD2ilnbHq2OYwgQiHtAFte6/aI13Jro9NXOBifvcffaAOEMYtsFIiIiIiIiIiIi + IiK6FSJS86pLKeM4hhBKKTHGnPNsNsOuFT4zrYmIiIiIiIiIiIiI7gnXrFo0cVfZ5WWK9FGHEDrL + t3vpj7govAaWqltXCqzAsVtiuV3mJNitMyW6U2q6ao2OLqVMFbTTXfvatm1KKYTATGu6K9Uk47Zt + a6Zy0zQ551raPu2h3VGllBoyHWNMKdX6fj0mL8r266bcPaU0ndzqnTnnnLOZ1UxxEZmipm+fKTnb + zGrE9fSmxxhjjHUYdaoD5zMQEREREREREREREdEtiE3j8ICMkv+bN7/l9U3X9EOyDAF2/aYBNSDi + 1isj6lDfzrPYbjLoftcdrQcBLsznT23644OD/+Vzn8b+cpVS03QKEXd3SBBAIHDApjkTnClxRrhB + FDA0rc+6NKRF0zYayphQG5Sj/g2HmZxIub6FXZ14x7s4H/PoMPc8R6tjDy8acPIzQkRERERERERE + RERERHQ3qWHFANxdWC3dUVytZNeS4jbQ1EW313UqU26ON+2iXYXLTwyPved9/8/R7BmPUkY0EJhs + 8sYdCIghCEL0gtIoDJJNoW4GwCGO4HCta2gaAAAgAElEQVTEGrRsmnOEiwEQQB05o6RRE2a+t8B9 + c5z/9ofe8poLr3v4lW948ODBmUYpppA2NMNxcBFFEBF1CIJKgABW06jVVKCAi4sD6MLSvQDqXtzF + rbgLYCphVyo1QOEmEiA5NMWRAHV3mLi5Q9w9hEZM3MSK5gSoqjazGPp0OWpzoPefm1149QOv/56X + /3C2IUl66vgbX3jysU999eNfu/Kly4eXNrK2NscYvJgCcHXRLNuwWN8VbIMB0FCieKi141kDEYnz + ZhzzxtchKIAgAvXe8qp5+l/9zv/59//GA68+/+Z0VMpQuqBwE4fLboaA1/d2+44aTJ0FYqJ7Uz33 + BwDbTGsYZHtirtOK1OspScVhbk3TxBhX/eEqr9r98LXVF//o44984LH3PZOfXOmVuAyxUZcsKhLd + HRBkczNYARQhSAihpAyo15379sK3XzlQVfegxQSuqqrazmLOOZU8WK8xaYvs1vfPPvqVSx/4xCPv + /t6//jPv/JtHR1d80+3vnx+GQdUhRTwBgEcXyYiACmy3VyJ6QRhrTUREREREREREREREN83d3b3r + umEYpnsA5JwBjOOYUmqaRkRqK/ymaU5zuEREREREREREREREdNucXLboAGCACSSiNkdWqB618ajV + MGjw25Yk6qpTZ2jAHNF9ljNKxonOzFovTjNHmO51dTVd3/ez2UxETivWui7Fr8M4lQEQ3Rk1tLjm + N9e04xDCKY/pjhORlFINlsbumLyI2d4xxlLK3t5eSglACMHM3D3nXFOlVTWEUB+9Mye9EIK712EA + KKXUOO0as10DzusZ2N2F/UiIiIiIiIiIiIiIiOjmCSAuSPl+0WazERHfNcUWR82TFkh95i2EScvu + TxE4EB0GL2LH63IwC1r8cLOZz89/rYloIlQQo0IDIBBLBW0wh8hzUrWZbH3aZJpp081R7DDn+1Q1 + xE2/aTTArb7vBhjU5Fa6656MwTZB2N0c8gjAgQK0IgezGdIG1kAEwq7lRERERERERERERERERPeE + mm6sgIntIk4FgLiqQ1yvbx0Qkdvxkn39l977f61nl8OBXTrC/l6wHk2IWUqICEGHTdac23ZmBS4w + UcBq+VMNAMRUgAB1RxGFGIBg6FIbxhCsmYe9l51/6Ntf+eY3vuY7X33+4VnZ72zZlbYpMYwW3OAF + riHEDFHfZnPXdYIF23WC2U1q4V7N3dXVx7qkuj4ZgIqgprruircKwF3cDWLF+u0WJEAgonAREbMc + NMYY3cXMzAzFDR5DCw+SgngUU0WAiAc7WDzwmje+4V1v+7Er9ueff/ozn3j8o1988vOHq2ddk0kp + IZuWomPRbbJ4fWuCQbzWfH078SCG1TrrLEsTYRCFZRFzVyyW2KzXT+evv+f9//oXfvQXL85eFcbG + zBVaX5Q6zKFiJtvQbwAubjAFguvVe+8lp7W0n+jUmSi2TWDgNcd6O83J1CEAXACFx/q90DRhyOtV + Ss1BSLp+/+ce/cNP/u4Xr3y2b1bhPixi049j3yNGaCObXJoGZjCDAKERAFaQU44asfu+UTEA5nVX + BndzDQiiGgA38eLrfiUB2qhET0ilAAHtAkfjlb0L+7/90X//qcc+/VPf89e/67U/tCoNRCIgDgUg + ZpKBBlBOkKKz7Mx+EzHWmoiIiIiIiIiIXpLMTLUW/NhalIjoFNRzb+36XZnZ9JCZ1RzrepZmpjUR + ERERERERERER0d1JgN3i1Xrddgs3AaC4QDQoQvzp9/7Gp971rnkZuvFbbevFGI5IgOZcYiuaTVKa + pxEpT02aFSa4Zm1lzbmsSZ819LSmYIYQhmHoug5AfWgqUhPdspMfoRomfYrLjequT2Za8xNOZ1/9 + 3LZtOwxDvadmFU8J8fV6PY3XanXOuWbJ1+d3XTf97A2J1P4CVmviU/Lx9IS/cAt3Rtd1KaVami+l + TPX6ekCapqkZ0vWmu9cvsumFTN9xN6sememAi0gpJYQQY4wx1vvrjur1Oqlg26PhDp70ptDuehym + kdeBpZRq0vbJZOv6QqajdA/GnxMRERERERERERERXWf6xX797foLWSRYn3byB2/j+E6VA9YPIQTk + 3KxXreW2ma/KJtae1wJx+G4+xc2SXa/VmkZcY63FzaHZLAZ4McnSAeuCp8XRBYTYIU4tYLUJELic + aEB+cuh47r10RwUoHC4QjVf63EM7l4jr6lPq2LYvt2uTqv9C9ZPnAlyfii2hadZpsxdiKcVSDwXG + Hh2XABMREREREREREREREdFdRATu7hC9WrYWuQfTe29AYAqIo4gprOzKi+KqrjBpQjMMQwihbZtV + vwmzOHqf2tWv/eGvPOlf7Nu+H3BuqaUviuilQLWuH9QIURTPDlFRQWNeXEzEi7gVNGri5g64aJIg + DSR04+Lg8t7DF9/0pje89bWvefPFg1e2uq+lkU0IEoNDzQ3JxUwgDrhqaRqIuPq2HuomCGJwmJiI + 1PsdgKCIiehUvH++T0D9pIirtzd8tgjci5dSnxu0PsVhEYjqAa7iCigc8KDAvGlaXza+uHDxwe97 + +bsur559+vCp93/k0a9efvyZ1VfHxUoWIbllQxPVRwTIrNE0pqa1IY8OdRX3EudwgXkGNJsGseDu + GeKwiCH0H/vGH7/uy6/74df93H64MIvz1eXjc+fOj5veHRJDCE0pI6QAcLnVqQx3C1UdhkEE02rX + acEs/YXcXQSqul1EfNrjoZtVo59te3rzeloALLiVcZh3i1IKPOaCbrHo+3UQc822GK7Mjn/1j3/5 + fZ/5g1VzGeez+WBmodfW1RUGS3A0KA4BVAHHbh26hhB2M8kMQIEBEMBlOytG3Ipvv5tUVIAYBYCX + YgIVmMDr+T7Kpf7o3P3l8c0nvvT7j//IWz757u/9WxdmryhD20jsh7GZadM042DqrnJTc22Ibpep + cUHOuXbAqP0fTntcN8ZYayIiIiIiIiIieklS1dpLVESOj48Xi8V0z2kPjYiIiIiIiIiIiIiIiIjo + HrGNsa68Nm7eNVa++mAQzOJhpy/f3ObQXHM0bUgbmI+Gg0V7lPNv/dzPv/vDHxdAT/Zk9u2NWmKu + eZ81ibPmXI7j2HVdvYeZ1kREZ0QNVB6GoW3bUkoppTaVcPd60q7n6hDCZrOZz+fjOLZtC6DOKco5 + i8jznM/HcTx37lzf99gtDqwLBWOMOed6Wfdet1wzm++8xWKxXq8BTPHV1bR4fhiGGs49vdiUUgih + Tq8C0HXdLXy11QM+BVpjF49dr+ecge1ydNzBBOsXbhqS7jplYHfQpigOft0TEREREREREREREVW1 + OFJrAQBqWHUpJcYbty6sXRfr79trQafrurqROzruO0QdHtoGm/6/fMub32qlAYa06WJXSqoPT40n + b61kUlu11q7qJjBBcBis3htV4L7E/rMS/ulHP4JZA9GAq31nIdspHDewewKdGq89er0ENGP+37/4 + xX/0hu/YrIc5gN0Hp06/sVt6m2omOgDza97ous112pzrZsdDv5BoOf3iO97+zx/7PNz5kSAiIiIi + IiIiIiIiIiK6R4gDMHUrAoE7pGZaiwd1LSPm3WIzrMcizaI9HK609+ujn3v/p7/5scv+jHWQgtJb + gFrNSDZ1tRpQagpDhkNCTKmYedM1VrIZlosujyltrAthrz2wXse1Xzz3yjd+21t+5q+++z65fzG/ + L4allOhjEAsi4tkgJm4Q120Eq2KXU77LtK511Xr95KWfyG+2m6q9Kq7tb78Lzz5xWV+viQNQ9WBQ + 1EBroJZpxRE8aoKmEDxIRoy6lJfdt3jFG9/9tifXX/v4Fz/4wccefeLKl+fzhA7DOEZIDLLuN90s + XDnOoUGcW0rQ3XDUYVB1ra9x0YXj4xJnSD5gGX7/I7/5+gfe8m2LzgZrl/PVZj1rZ1IkpZQtN02T + 3V0McEjtAWEGwLmgkuie4QqpUboKMd/OTTJ1RNHZfLFZ9W07S6XEphlSnyUnrMqi/3P5xv/2S//4 + ifT4sLfaYAVBBNQgrlqnM9VzCqCGero2QOrpxdWnM/C1p+IpF3264lInR+FkHrU4gsMFJuiTd3ty + lNbtvu7thUc+9x++9s2v/afv+ttvevC7NhuZ3zffrPpsZdZ0/eHYzufZ4ZwPQ2fSGezDUN2Vcz2J + iIiIiIiIiOju5+61I2opZW9vD4CZMdOaiIiIiIiIiIiIiIiIiOhUOGDb1UYG2XVodhgQVNHoqgn5 + Ni9s7JG7jAJEQQOsjlf3339gR4costuznVxdaQbVbTPuWoOuahjqlIFqZk3T3L3ttomIXkrcfcqT + rldqUnUNcq7n6pTSfD7POU/50/Vn68yi54lzbtv2mWeeWS6XAMZxXC6XNci5BjbXy7qFpmlOK9Ma + wJRpXYOZF4vF4eFhCGF6aTWk+WRiRNM0NdBaROqVW5hnVX/E3et+Syk10zrGqKrjOIYQ6renmZ3N + 5ZT101IHWUpp29bMarL1lHJdJ6H1fT+bzU57vEREREREREREREREp6bWXLquc/fVarW3t1eLAs/z + /Hrl+Ph4uVx2XVcLFndqvHeaIGB9jJLvN2/NA9BoHHMOAjikdmudgqlvYfuOcOIHfdd4u22bzSat + si0RjpCeTYJzex6C1B6v9Y8CcjVXu3Znte3du82xZ+vpUihERDCb43A1xHjQFEl9bafu24bscNl2 + 15Wb/BSdfHtPVkZDCHNpipcm6pjzop3Lao2+R5iDvcqJiIiIiIiIiIiIiIiI7hVTPdkBiNcAUVVT + cXXUnGuMPoiLLNNX119532ff+43V19MSjSAqvEB0201AoTAVWFHkABNYQWzyLMaUMryoCMT7VQoI + e7M9W4f+cnjt+Tf+0A/96Ftf+53nm5fFcRGt1Ww2Wsm9uwSJKiGggccpmhqoCdOWQl3aqc95OVvi + mLKlb56a6NV2BDJt3HabM8AUMDEFDOpQeKzPU4G4A9AaGOtQF/HoSWBNKxLaNpfVg+1DF9/yqu9/ + 009/6ssfe/QTf/Clpz+tc+Q4FC1xMd/kQebY258dHvWhQV3XGjPEoogCBjET5ORRII5i7pKe7p98 + 75/+2i/82IVz9kC0Di6pJLEQYwtYSglRn3usTrzAe4VvnfY4iE6FRwh2Z9QMTNOcwupovVjsSdBN + WsW2HYaV7CHF1VeOP/9/vOefrBdXVt1la1JwiCEWFQdgplYULhBHNDRll2YNYBtOrbadxbI927hs + 57Xsvn1gAq+Xu4fqBgHIbiviUEAVIYR+zOvefHYl3BcfW//pL/3Rn/8nb/vpH3zrj3t219CgyZuy + v5inNCByghSdRWf5e4g9lYiIiIiIiIiI6CWpNhOvv3dLKZlZ27aq+q1+E3dmf0NHRERERERERERE + RERERHQXsOtaMZ9c4CMKCWNQv82rfhqN0naxeEqlidoVO758+LJXvQa7NUvXDa+mmtbm2iJXH5/P + 51MyaNd1ANz9W2WgEhHRHTbNAqpRCjnn6aQdY6yZ02ZWT+9t206RCTXi+nnO56vVqmZa18DjYRhU + tX5BTBHaIYSab31wcHB4eHj7X+4NxBjdPaWE3dHo+15V63GYjoaI1G+xeihCCFPId00Bv9mvtlJK + zrnruikTuh6cekCapqlDqrHZ082zow6pDq+UcjKbfDab9X1fSlHVmt7NTGsiIiIiIiIiIiIiolqG + KKXs7e25e9/3s9nsZG39uifXJ+zt7eWcaznjzo73znGgWIntDFcuN0fHIReHjJZr/+jpAAlQU4rV + by5JWv25naThouLWb9KilRYyJt+4vuYdbwVQECN0m2m923e9Gk5swYDAZq1ngSCnAiA2AcWxWG6a + tj9aHzTdUDbYZpArAHHzv0QG+TRLZ/e3WkFCGpC7IBIw9JsHD14OC7B7rlM5ERERERERERERERER + 0b3thlHQMLOmDZu00U4Scp83cjA+8uhvfPHSp+MyeBusFAGihuJuagCCAVD1WCSbmCu6DuMIWI4K + VVcLbq3kIKlp9dzDD7z5+970rrc+9I5zeiFsmrDpyiiiURVBirRQNwAioSSDYxdfrYDB1QUuxcVk + NydhWw/d1Ud1SlS9WkA32/78C7qE626rDterFVsHYBAFFG6AwqFQeNzuXRywerUIRNzdBQoRuFjK + gCAi976YHZSije39yOt+8rtf+z0f+eL7H/3MI1+4/Lks41F/3MzDcjF/6unV3h5qjDUc4hosumYT + MwEcfbJ5F5IVVSklz8/tf/hLH3jd4w//xJv+xury4d7sYFj1rXchNkAzDCmE3bt+z88cODmh5S6e + 3EJ0I6puJgC2Z1EFAOn7fjZbGHy9OpyfXx6nK30cwrz86Vce/Ve/+U/1Pu/l2EMuo8/noV8XiAEK + MQfUYAoAatvtieNEYxlTmNXzq9Q47RuPzEV9N+/KZQq0thq8Xc06OT7OsUXXyXpjs26Mc/nCn39y + 9Ynjo3L8I2/+2fsXLy+b0jTdmAcNN94R0am47uvmzH77MNaaiIiIiIiIiIhequqq5hBC7ZQ6pVwT + EREREREREREREREREdGdsKvQ+q4hsmxXLhlEoLbtkGwKV3WF38ZkaBMUw6ZfzesYzNq9xcEwyGa9 + 7d0sNXq7rrhUF8BhVmquZw0BVVV3zznXANSafBlCMLMacklERKeoxie7e50s5O7DMNSHprO0qk45 + 1qWUEMLJTOsaY1yjnZ+rZlq7e817NrOcc705ZUjXMYQQTivTGkDO+WTAtrvXDOYY4/TaV6vVfD4H + UF9LnVtVH1qv14vF4hb2W6dpYZf/LSJ1vpaI1FjoOoac83Soz5SUUh1YHWTOeT6f930vIvWDVA9p + jHEYhpoITkRERERERERERER0z6q/VwcQYwRQf6/+PM8Xkfl8XksV9Ufatp0qF3efoAH9BmM6V3Lb + hJyzQM0t1BBroMiu3zVggptdAK+1xysAQBxStyCYB0jx4+LSRtvf/8Vf/hdoujoZQgSQ7byNUn9w + 13kcuw7eBQiqNz0aerGFNgjgKUsMiGH50CtnKQ2Hl+qcGxc11zoDx4Fbe79829sc4tvPJACHLxfL + 1fpKaGIa0/5itl4NGAqe7x83EREREREREREREREREd1VavnYnhssKiYqLpZtbKIWGWWWP/P1j/3J + 5x/ZxMtdt0jJIL25W/QhWRQIADE1NQS4qvcwxBrDHACgJI/irXVhWL7llX/lux7+we/8tr+yHy7Y + sWOEalCEtmmtuBd3wLwYABhQJGzTT00ULi61U4ECJq4y5VhvX5XW29tYa99Fq+o201pe8OUu4Xo6 + XnpyP6ip264q22psrAmxgCmKogiK1nBrc3fx0moIDi8m5g6Zzw5KKWo2kwZrNB6+/5U//fbX/dAf + P/6H7/3wr3l4chgPN5b3F9AccrIQHQ61FhBxq8mxLpAWvZegUAjQHq+P9s7P3vuhX/2OB9/+0Ozb + N+l4PpvFojmPXjBrY/ayTcl2vRo567oNmr1nuDtTDOiedW3g9PY+QNumE9X1sNJFXPvhKl5p98P7 + PvN7v/z+fx4fLH9+6UpstNHYBkvr3LQoBnFTg7gCqmZFreh0hqx72Z5b7MT16/ZcdlcdKAJAvQZj + W72EwMShbgK45FS8UTQeY26gQ0qW26G5gKdWX/7Nj/xbuPzE235uv7s/DQhBspkII3rp9J1Mz6nX + z/I30W3sCUVERERERERERHT7mFltIVpv1mbiAORbOL2REhERERERERERERERERHdva5dK6Hb9aHb + hZs2NUN2jeW2F27b+ayLjQjCPA6G8WgdSpmHcH2HX4ELDIAghKCqpZQaaA2gpn5el5zKTGsiorMg + xujum83m6OjITxiGIaVUY5UB1JlCZhZCqOHKfd9PuQvfKtO6SimJSJ2JVJ/s7qWUaZJSjFFEahjD + KVLVOqQacV1KMbNhGKavsOVyOX211S8yVRWRlFLNtF6tVje705xzjfeumdbTSOqb4u57e3v10J3Z + tZTTAdnb25uGPR2c+v8BAF3XndmXQERERERERERERER0ZzRNU6skAEopTdOM41jLEDeUcx7HsWma + 6Ufc/W7NtAawWg2Izf/wXd99n4bDlKSNLghhmhahuzxpu4VM64kDDgRHMNRG0iUjOlrFyvLXbYML + B5CoiMDVNttlN5UjoPb6Bhyy29r2mXR6HChAckhUAIjyD373t47WqzYEoE5oCY4AQNzEr8mlvrkd + CVxQtyDbXZexH5rQHPbJBVJMr6yRnUnnRERERERERERERERERPcaF9Ry9smIU40ylhQ6JNlk7VNY + /+GHfu8Yl8Iyj2NvCUHnGsMoZuHqD5psrwdDKCgFXdOMG6DHxe5iOFxcsIf+sx/9e3/nJ/7z73/o + hw76i82V2Z6fu6+7fxbmMPeS4Rlu4lAElRhCE0IUn6IVzcUBczGIiWtwqQHX6gpXqZnWruo3TmOU + m7kUmCCL23P+1PKriisg4oKpEguHlG0+9C4luq7BdPdSsruJQIMEyLAexEIrTfTY5mbue/v2snPp + gR987Y//13/7v/3uB7/vfLm4tGWTuzxII50WVVMAkOJyNSZWmpgcLppGa0II0QZdXS5P/8cP/UFc + IMnam+JIdQh1vaQ6ZKoOe40JvxfLxbueEqc9DqLTIA7dnratnjnhMcRutR6a+UzneiVfkoP0J4/9 + 4e/8ya+O88NL6enSjBK8CbGJsWtiSUD97rj6j6ieklEERZB1e6Uoim6nr1z3Bzhxs27CoW5h+ycH + N4Gpw7H9tjKoJXQhhBKH9eAZbasA1gk2z5vm6Pf+9Nd//6O/cbl8c2x7nQd7ToI30Vkw/ffgtAdy + Y4yCJyIiIiIiIiKilyRVNbOu68yslDI1nD2zv4kjIiIiIiIiIiIiIiIiIrrb1J7HAgPsZKY1ACBL + fRAwIKMpGuz2DufK5vhgscibhE1uAI0AkNP43GeW6UopIYQQQl37USvRAGazWd/3IQQzq0GhXdfd + 3tETEdELUEqJMcYYc8717D2OY9u2OefZbFZjieujMcaUUp1TNJvNAKSUpoe+1fZrQkOMcbPZzOfz + GhfdNE0NXQgh1LSGYRhE5LTmKbVte3R0FEJIKU0DG8cxhACgvkZVHcexvvDpmdPzASyXy5vd73Tc + RKR+e47jWA9XjaY4Pj6uwxvHsQ7jxXrJL4rpIzGOYx1qfSE1qzvG2HVdzrn+36DGop/2kImIiIiI + iIiIiIiITpOI1Bp6/Z35tJT7hqY6Qv01u6rKXd0AeLnssBrs8BjjcGGxWG3WxdEpdBcgDagguwAO + k5uOJa6NpA2AQBwByALAznXtlWHsWunND97warQK8+gost2lAQXmNdN6u4ntgAzqQBCIM9n6NBnQ + A239oOSE2CBGNCFtBt92fleDBiTgVgOtAZu6zwu09k4HWjTQsMn9QacS5Hg9Xmj2/sHbv+t/+toX + XrSXR0RERERERERERERERERnWM0HRS0p3uhxQ/KQsg6jrD7+uY984Wuf0Yu5xFKGUaWBt4g65uO2 + hWSow6EQFB0AxBIBjJ4P1+nC4oIfxvLE8uff+bM//c53h3W3LOdCiWoBap43m5yhGhvN1ouIiopI + zaW2AkAVjQkAUwFgJiYwBcRizU+FGFynGGmITQ0ErkmY/hZZ19+K4OrPbquu2y3coFFCUSvBd40W + AEgwDcDuZhCYmdk2B1vcbdF2IpINOZsIosZWBHm2nL3yoCx/8Yf/qw9/+QO//uh7DuUpm6+LDY3N + AJQwZDVx+DaVFskyIkpRQNzLYhmfPuof2G8//fmPfuaNn3jDg98xprWVdhmjIpRcNEiR3UvcDu9q + cPhNHaKXNHeflgaf4jJhotM2/atXQI7Ww3x/38L47OppOfDPPfFn//a9/3LVXLaQ3DE/16zXQ6MQ + hyUEgZbt6bHottOMmLqoi109J++mP524cvVsrK4GuBpg4nXClQFQ2MnBuW4zrQHAY7Qur3PsynzR + DMMwrBEbLGOAibRybM/+9sf+HcR+/O1/rWxsr7vP89WvBaIz5cx++zDWmoiIiIiIiIiIXtpUVVX7 + vp/NZqwDveSYIEC3C6sdgEEyUCAAtEAFCLtW+ERERERERERERERERER0ttTy7K6WZwCgcMADRAoA + eAuBGzzA4/TUW2jZ/ELszRbr9XoWVdzEAUcxxKapA/XawFm2Q677r9233b02466Z1iLS9z1OrEVk + pjUR0RmhqgBqlLK7l1JqgkIIwd1DCDVfOcZY46jrT9V15vXm8yQo1HN+fcJ8Pq+brd8UKSV3n8Ib + 6uVtf7XfQk3yri+wDsbd63Gor7S+/Nls5u71CfW110t3H8fxFr7a6hGox6fGZtcDXuOra461iKSU + ROSsZVoDODm8epRyznWcdcA1YKN+DJhpTURERERERERERET3uFoXADAV02OMz59U7e719+21eHFy + I2fcNH9Brr1xzf24OkdCHBgSclq07bJYv94o0DbBytQLVX339BNB1zdNATgEKK7iBsHRMO438XDM + cn7/v/sPv4WmhWidD1FONHWVacwn9q3TE14C78ldrlYxyziGtkHOCHGDgGZWvAegUgQGhwLmuz7p + N08cLlCHybZBsIj3edWFmFL2EbMgKDnmBJjv2qxfMwnIFbg6AH5wiIiIiIiIiIiIiIiIiO4CLgZA + PRrMtIij1gpN3LwExSaNZZ57rN738d9Ls9VQxpIQG8BlTEMMwRNCC0hNyK6F6FphjOK6pzNBa8+0 + b3zgbX/1Z/7mt7/8TfFwNvOZFkWx4qmu02xmQUyKu6oCVtdGFjigKuoSdhVunUqfJ9NSFbCaNr1L + tq61cZPrF37eXKj17iDtyu21McGNF5PWjuouUyzrtuRauyiUUmKMItHE3As0QMTNzHKBi4TYxuKS + SoZ5ExR9bG3ZoP2B1/3Yg/e/8tcffc/nnv6EzEpxK2E0MZdt9XYbEOtoovR93lssS06Xjsb9A1w5 + vBzavd/90G88/Ldeixya0KWUDD1gWXQAACAASURBVDG2je1Sv3dDVb/69t07tunju5vGSjjdS65J + lQYASD2ftG2bLB2WS+G+8vjhZ//NH/zLlV7GLMWoo6Mf03wZ+r7AMGvU8jZOwuXq9Kopwdp2e1Gv + 51C7ZteueuKKbf8JWj3j6jTXBds0a5PtHwfELbp0XTuWTUqp7SS6jMUsu1kJKrrQAUfv++TvLRfn + fuwdP/vs05f2dT9YxIkxmOT68qd/+UXMBcFu6buC6Jac5SQdxloTEREREREREdFLlerV3/POZjOc + 7d/E0XOZoJhLEADazvthgzJCV8li1HMFmgEFggEOhFrB5S/3ic6WEELt+ywiZlb7O6tq2fVfyDk3 + TVNKYcdnIiIiIiIiIiIiIqK7jU9rh65dr+c61fXGsuqkhSVIu5GmINq26/Ju6U9tm7v9yZPrHh2A + 32R765zH0MTixQXBBQ4PnqwASYACABHWB40KtQI5Ub44WW6eYk2nSM6XSq9tIqK7Xj0bT5dTJXo6 + S0/3nJxZNIUx43njip/nVB/jdhWemdUs51t+CTelBkVMWcv1RdW91+v1chr5ydhpXHuIJiLyF2Za + D8NQn1NDoOvLrxuvmdn1/lLKNLb6pXnGp2+dHN7J4O0acT1FlXOSAxERERERERERERHRyaJMTap+ + IT8y/Sq+Xn+p1NltO6MAbb3ttemq1aBorUucr/mBgrxCTkHjcRn3EIE8ohRHAOpUCBO4qLiFmkst + N5ds7YLg2zaRBRG7Nq8CrFIeEHpdIp5Dt49S4CauYTdEPbkWO1xz9foXQqdBgQik7G3XIo1oGiRb + xeVKsuQcPYtk3X5cdJdsfROdxF22YdgCEwccvv2EmyM1orCsNfncHT6qNAgpoRMoDBEQQfEUVGGA + aBHY9vMzDeOuXe/f931tW2Fmda20qr5UTmVERERERERERERERER0kgjcry4MpC0xk6IeQulE4LIC + IB7gasHErRG1Yt7aox/9o68Mjw2LQwkQIEuBDCFGz3k/whIMkAgYrHjwJpcUmhzLbLY53xzv/dg7 + f+rHv/cnm2EZrnQHs/uG4wFBPQKAwwsgBnWpkdQuUgOba/sCE/P6Dl6t1Nn0aK2fGm5chVf/y1Yz + HQqxbVr2825NAcDMAxCmoNY6YQAwiVJQtn0Y6oQKd4gVccAccDdxFVWRYDCzEjS6oRuXD++/9e/8 + 8IUPfvwDj3zst4/PPZVaC9oVG0f3ttUyWtciZmDwrkVfNi4a5igDmhbP6lOfPf74Z5745FsP3iFp + vjdbfHM9dDGEVARQOCD1HXdN916sNWKMfd/X80PtZrGb66LPORr33MF5fiJSD1UIQVXdCuegvOQU + t/l8vh7WlvIsNiIiWsygoofjUbxgX8tf/Ge/80+e9SfbZTBYslSnjKWhBAECUrma/2wAvH4KDLKd + k6Q3niBl00O7AGwDauTEdlKU1sktU+sZgdc5MFfnXFmRtTtUoYpsAkCCmhgU5mlIeTHbP+ov/+of + /5v9Bw/eeP/bu+NFuwmzeRg2YxsX2dLoq27WyIBgKg5TG6K7QBzB9Kbm5xC9QPVbpqY2uPsdblVx + s+7aOWFERERERERERER09rVdm4u5Sx42M2n25y2uPNvojQpSYg5jMY/o7Mg510zrpmkAmFnbtrVG + Uvs71zbWTdP0fc92z0REREREREREREREdzMxva6Q57CCgtKFDpIx9Iihj23e9bkWv+7pcKAoisKv + WWJ6c8MQuHrdGAxaRK2u/JSSzQF48aABcMvT8lAiIqK/WF0cWGvidbngHaiDT5nW2IVGmNkUvXz7 + 1Exrd69F/5pjPQxDHUONf56yK854jvULVEpx97ZtscsC7/v+tAdFRERERERERERERER3jk8Nr/3k + DThgtWPqyfvdAPtHP/+z/WbTxWUAEjCmkz21xQUGBf5/9u7sx7LsOhP7t9be+5w7REQNWaRIlSgO + zUHiIFHURM0ttaRWk91uqbvdbrvdsA30gx/8ZsD/g/uh/wEDBoyGYRtwG2g/aIAkUIIGUBJJFYsi + xaFMFsniVENWZkbEvfecvfdaftj33riRWVWqzMqIjMj4friIvOO5O86NOCcRe6/1bbu2vuERClyg + ipC6QeNNBybzIoAIrKwXR5y+AKdu3K+R0BskgAJ9lHEckSLcIPHfff4LLw5jlVMflUHvbWrQN52C + t82CN6txbNspeH2BKQryGOA1QxUiGMZBVd3LyQbfwPd7iZRSJpPJOI5Y9+N2EWGmNRERERERERER + ERER0SXFeZ5XZCdfVV134wtFPVs28dD79eWLn/nSXx7rrRwH3321jIoijlARA8YRGlGKB5GD6QFW + Yjflmrz13/yjf/urH/lYt5g/4o8exEeGm0NEB2+F/14FtkmnXnNdX9otADAX20xxvsLE6dlOYraR + vJ6EbFd11TvGf+dly6TlXt9G2+ykuMbSzerBk9N3/vQ7f/Ef/8y/SKt5Z9PxuER0e7PpMFjfSx4g + fhL/is3OrIIyHY/jzU988ve0rxpxtDju5v1Qy+5OVNM2m2zMcD2F7Sfo4bdaDqraz6YuoZRSazUb + C1bdQbhpz//HT/yft+TFoRuWvvCwid09fSxrB7E7jmMmvn3k5LLegGxfaL5z2d2+QTcXGHR73FRH + cIjftgxLdw/RtcIF3TSubFHSUGfL//13/9fny3PWj9Lh6Oho0s/yaqi1TvYmOQ/rLWxGft92LtHl + Fx/0AIiIiIiIiIiIiOjqOlyN0y4ECzCrViVXdHu4NWCOqBDZ/Glf1oXfypJpogsjxujuMcacM4C+ + 74ehzcmh67pxHM2sJV5PJpPVajWZTB7kcImIiIiIiIiIiIiI6Cy0SEsHZDOX16qDKqCICA5bDcNk + bwrUWxFVcXsA9qZgqW6aO4sjOPSO6Ou/eywO9fXGTVCCedu+FsSK4MGllozggMpm2ERERK9HmyLH + JmrazM4hznkba92irEMItdZziNNumdYiklJy91JKCOGxxx6LMarqOI7bkZzPfjhr0+l0uVwC2EaG + 55y5yIGIiIiIiIiIiIiI6OrQTdLw6b7XCiDsZlpjZ5nBMH7rK8+8XUMeFj28A8JMl6Ohrp+17qb6 + BlppG1B23rAKFAiGleU82/v3f/M0bDSfQAFVrn+4XNqslAQ1Nw0KHxFD3JthtZTWq1egck4rW4ID + FkJFCKgFVRH7viLrJs9ZgPBwde9+7aTqNgHantPmQ5lsTUREREREREREREREdHlxrudVyQhAXL1N + iku1Ic9mk2wr6/LfPPPpb7/4bHgMS6sSFLA2Cd5yStUAKEbMkt68Vfb3BYbFjdUsX/vAD3z4Yx/9 + Z08evDOMSUaNk4mP7kWms+kiLzZvbYBuc60vfYHiXXEFDAib66cfdHETc1SUbtI/+Za3Td80XaSb + v//075j6Kh95xDRgXHgfg3s1hQDRoWZtotkFKqHW4RvPP/OFrz/942/9pQANXtWqC7xNRjtMq7oA + 9jBNBL9O7r5bFfsQVMgSvX5B45iHGOGo2S1GDRIqfFmPLY2f+PTvfuGbnx3mNUyixlos+10l3ArC + Jve62f3t2h5uNknX7bZuu8q0K5s+M+tnu2A3dtp0J057Z+uiiAnjkFWllGXU8OL1lz/x57/z5o9+ + f+zCxGZlqJLgUjxDPLbtt60FRzsMOP+/QOfowp59ruB/DIiIiIiIiIiIiOii2J/1pdRcxnazLob/ + 7R/9FpBQHY7gEFvXgttVm2MmuvBaMXYpJcYIYBiGEEK73npYxxhbx+daK9s9ExERERERERERERE9 + hOTUFTmpDQIU6utCojTZQ87owr/5g98p+gph1bq5xzfh1vVeC37EIe4nVU7i4uirYTXGMeN4CLED + YKiqQOUcJBERvV45ZxFpCdPT6fR8mlm0GXkz67oOQK11+/WsicgwDO1KSklElstlKWUcx5TSdiTu + nlI6h/GcqeVyOZlMRKSUAiCl1HY4ERERERERERERERFdHWHdNBpAS6VuX3bWQmysp2pUdDkkd4Vk + eEjhcGHbaRyFq0NgAEzuZW2CAS4wQRVU2KabNyowAktVBEWKKnA47BW6XdOF5YAqoIghok07dgE2 + Hnk1aPD1ehyDmuy05j0z0YDlgMWIAUFQKxyo7UddgE3u+1Vo3htCAFBrzTm3Aup2DxERERERERER + EREREV0yFzUp8CLQ9kUckiFVvSVbF0jxmlMICzu+Ua5/6gt/FubFtbbIUYe2hgC6iSw1aNDp8rA8 + etBFpMX18fHuzT907cO/9XP/+h2PvSetukmdzuPBcDSgyny6t1wu2wC2n41hG2x91WhbVrC+suHu + Yq7QIBFFx1WxrDM5+MUf+Y2P//RvzfIjj8RHy606jV2ACFKV2D6XZIiG4HDRKlrMQxd9uvzTz/7B + Kq6ylpKXSdcLGNpbAWZyHpWqF9PpYGuiK0QUMUaFr8ZllRG9VMjoRQ/Gp579sz/9/B/KfnWxKMlF + 7i7TGlBr/V4QDMGgLWBis+CkPbRlYu3iYi4GMVeDGGC7UdbiJyu4Tg5g2yOna9tsVMCQKzS4x7qy + ozf/wGN/8dk/+asv/0meHFtwM4udQGoZLUqnrg41qEsbqukmZvue9y3R6+cX+DzE3wEiIiIiIiIi + IiJ6YBaLwR2pS24lpNTB40uHWG06yNf19IHL9ioRXRTb5tStrTMAM9ter7W2ym0AIYRxHB/UOImI + iIiIiIiIiIiI6Oy4wE8yrU1g8PW0npu5A9AKxf4ck4heisLFTdxaBStc3MVd3RVum0xrF5jcW0dc + b6NSIBpSRTQ8urL/++c+jusZSJZXA7xAUAqEsdZERPS6lFJalnMppeu65XJpZrPZ7KzfN6VUShGR + FibdeqafW5liWxLQsqtDCKoqItPptC0MiDEC6LquLQy41CaTyWq1cvfd+PDt+gciIiIiIiIiIiIi + InroCRBwqndqo9v7fbPAYJ1RbVis3tRPpiEIbN51x7lOe3Td+lWyE5Ltd59sbQIXMwEcDuRgRS0a + YoUmLX3IfY8QUXMGDI6gVyJz+OGyGooBYy0ORS6Y9UMXAW2NfbFZk+MCO+NO7sHwP/zET8MVAmSk + hAzLMENonUrFodiusVG//P1L9VXUWmezWQghpSQiMcbj42MR/nYRERERERERERERERHRQ8QVLuow + zZDc8pVNzKVOuv7o+FaOyy9952+fu/lM7pZDWYYQNlnIup35bu0FzCyFrpfZ8np+6/xt7732of/m + N/77H5y8J78oM9ub+J7mkLyP2pnZbl2k75b4X8VkawXURA2nkq0V4i7qmkKK2qlFlKhjf1Ce+Nl3 + /fI//bn/It6avWXv+1a3xmk/GWtxxBbpus2OdagjugmSH/mNr9/4yldf/MphvaXBIxxiLtCWLCsF + Uq7kzj8VJrqdDua8MF0NVvMYowIiIjpBxrgcrQa/bt/8/c/8P7f664d+C8G91iFb7KLd3W+GqsVQ + u1A7tS7ULtQYagxVY9WWdR0rdH2oQgAgZsFMzdVczNVqMFOrwWpYJ177+hVaRX1zAoKruiqgvl4z + NQzoe1Sgm2rx4Wi8Hg/KJ5767W/cfOYoH3azaS4r99rFXr2DqwmqqEEFCG01GA8DdDZe8RTDWGsi + IiIiIiIiIiKi2wXBdBLLmCWGIQ/7/fSgKkaDGRzrlvcCA5yp1kQXzDasurV1jjG2CRJVbb2tQwjt + ntbX+4EOloiIiIiIiIiIiIiI7j8HDG0uDziVQW3IWVJANYM7dAmgC0hxDDBBbRdtjZgBQBzSCiZ9 + 3Zz3HockcF2PSh3BEBx9wWPLEcuMcdSuM1hBRYq4t+BsIiK6esysfY0xjuPYZsAXi8VZv2/OOcbo + 7jHGWmuLW26DOVPbROdxHFugdXtfd18ul+6+t7fXBnNhaybvymq1alfaKggzawHeD3RQRERERERE + RERERER0vraTHjtrCQSbdtp+8qza/gnRbh35cqnwF8fRASjGsb3KBAaYbHqe3tuESuvEapsLXAEd + ipWg3zg8RIrokwCQOJZ8j981PQjt52uSosNTSAYgBSQ9ggG6u3jGz6WHuLphsUQ15IK0vk8RfLeT + /DmM4xzZq1DVNgucc25Pm8/nbWKUiIiIiIiIiIiIiIiILimm1b6SFlloJiYOBVzcxKKGXFc+r5/8 + 4p+u0vEKy9SrmelO3udJ6qeYWen7/tb3Fo/pWz/01h//V7/63+3Xx7th9sTkzZrTeGv0ASl07l5r + jV26cxxX9LNxXV/W2rywqSrMa61eXFwVMUiK3vmR7tu1n3333//YT/yWX497sr88WqaJQgxQdcDX + TRvaKgVVHcqqTsoqHf/hX/9+d01VzattOkOsI2Fxj2sZHgYPR2Es0T0QdYGVbFGDiueykkmwmf3B + X/2/Xz/6fJ2tsuQUpOaCgNHuer2ECaquO8ms1zut39jWC7JkE1LdOswA6utz0va5LutL29T6Irq9 + 30+fPNqmVGEGCVjl0SOWdalzf2H13Cc+9dvhACs7qsgxRjGx6nayHVXXYLo9FN/TfiX6u7VTT/t/ + qbtf2DMRY62JiIiIiIiIiIjowVBHr7BcYqdHpfZdsmF4rJugAG6Qk7/j+3pS4YL+mZXoampF2u5+ + dHTk7ovFopTSFovcvHmzzYuklMZxjDGeQ1ttIiIiIiIiIiIiIiK6AAxiEKBPAFLUDjKUvMplgMJK + Ua2CoutL3akXUkc078zXVUOm91bv0AKzd7cMcQsVwRAVQIJ2CFWQ3a5qtSsREd2drutyziml1rK8 + fY0xnsNbt0jpUkrLWhaRds+ZarP8qhpCaOnO7q6qMcZWLdnWCbTdcj774ayJSAhh+72klLbZ3kRE + RERERERERERE9PBzbNo3A1gnW99e0iyAbJ7h+Pe//rEDoBccTPenQFC4hOqnnt4mdXy3Sevro75u + 5FpFHdp6ubrAoO5YFf8Pz30TArgLfChjiD0LsC8Zg5sFSLEy1owUEeV/+cLTDijWmdbnRoFZjKgZ + MVpBKQiAwLWtqtlW+G8yth+CtTbyKty9zYfGGNsM6TiOIYQHPV4iIiIiIiIiIiIiIiK6F9tA622C + 4AMdzsVikBYLqjBxczEXFKthkr7+4jPPfO9vy6RYgnSh1nU4qalVbZHMbUbbqmR1PKJPvP/aj/2r + n/+388Nr+7I/LsfxeNQaDuaPziazYRjMLHZpOa7au7QY0ZOJR7+i+YkKU2yjpluLBhN1AczMi3uF + V0iVLnSy1L3Fm375h//Jz77v1/rxYH9+UMooKIJiiCZaBFUgsOAWHLUizHXZDZ/75mdujN+rNgYI + sM2C3bl+9fb/RQ4TJTprIg6YVwTEOpiIoK9fef4Lf/qlPxr3Fsuy6CdoB6VuEsbifjdrRKpajiXH + sV1KGEsoJZQarOpOHLWsLwoEQ2yXimDri+z8grrAxVysqlWx25Zgiau4tjVeKaAUiGDIkIh+qofj + oRzUzz776W+8/KWb5aWQNGnKQxERF9hmGczOyNg/n87PhT0TXbn/FhAREREREREREdHF4RXqGIvt + TaNZraX4kJHHdbW32rbi9R6b1hPRmVFVdzezlFJrVC0iOWcArat1KUVEWhvrc2irTURERERERERE + RERE569NAJyq/ZH1NJ/XAgcMs5hmaTpCMJls6ypcYKd7N4tvCo38HvvzmqC28k2Bi5is+0yboISK + MCIIHBHBgQp4POc+wEREdImllMystSw3M5xLuaCIjOPYuma0OfrzKZhvmdbt+12tVtvBlFLcvWU/ + txUC7c6zHs85UNVaaymlfcTjOD7oERERERERERERERER0QMiaIsKbrvz5CsAw41vfyuVEuG3locV + 0Cg3F3U+T6dftknBvodka7QmrQqotKBrh0MgQWd7qBmTDiqw3MWu2u3jpYvOEYNWq1G7LvSAIAIx + uKhCBRDfXGBnv7rFSsmtT7ompICaS4CcCnrHHUHvl5m/OgBtGrTN0nZdd2HbyBIRERERERERERER + ERHdKwUUHuG6SQ9VB6oip/xXX/zkKh2VmD1iscoxSpu7BODQ3YjTpIIhvOPg3f/85/+r/cUT++Vx + lDhJXYo9XMdxLFZTHz14sbGbpJ2uAiIOdVVXva1TwVUghnWc6kmgNWBmJiIxxhSjqgaEgKCuUQKy + ptV8b3ji1z7yT3/yPb8w3CzBIDCIuaCKVmnzu6ZubiX1uLmyMWWbL//iC3+SMUoMLg44XLH9HK9e + pjXRlSbr44wGqETPIYRw6C/88dO/s5ovj1DSFFbg1URCrTWEu36HdcsXaS1f4K3ji6wfWvP1RQyh + ri+t20w73ehmzQxwckVhOwcs2zmQAlA1qRV9j1KQEiTgaLAwlcNyZPPV7/3Ff8L+AEWtBkBVTcyx + XZOjLgrcY5cboocM/2dARERERERERESXVWsR27QOqq0+kO6L89mZIqgAFKtcAAtBKwwpngRZB6BV + XwNy9WaZiS44VW151SmldtBI6aTtQutkfdudRERERERERERERET00GhddMPp4pxWQwSBpAggCKIj + Ah0EJurSpV4qyoiuS7nCbD0nuN5mqzLabOpuVYEp4CKuausZR4fUWBEqFOYCBPXgvq6zrLW2ry3G + sn29c7ZURNoziYjogdv2E78zXnp7rN5dVnS/tGBpEWnveA7nhfZG22+2nZ7u75Ke2/qztzvbSgB3 + DyFsQ6zbLo0xllJijO1VXddtn9+057f7dxcSbBcYNCGEVzzbti2c/xqw7ad528e6/UFit3oiIiIi + IiIiIiIiooefAIIKVMBPEn3XD7mslzEEAG4h55mIuwVAgbH4NCKv8n0cjvq6l3fwdck1gBLTzVow + 32udvTuNtZagd99Hlh4gR5sNi9sPTgQhIEgBUpwWAEDXdaNZgJz1PJUJNDmiIVQTmGGaYnDrELDu + Td+aqW9W8jzU82buvlsT3SaIH+B4iIiIiIiIiIiIiIiI6B6J1Opo6ZVmYN/yHarqLlYFEBFXmDtc + w8JWOQ6ffeZTNa20C6VCFe4eVSzDM4IqgGKoDhFojo+HN/2Xv/bfPpneMV8ezOzAcs3tcfWqtUgp + UqrWqqV4cTEXFxcA6ipXN1PZTgda+2Y+1kTcrNRaFaJwmIvIMAyzyTxZF5bp8fD9//gX/uXb9t/d + 1ZllaMRgpSgQOgmxjOiTKlw1mGLl+Vhf/vQX/6ykMtRSUU0AKFxbtra7uF+534sQwnK5DEGwmRHe + Vg3Ta9uUPCOE0Epxud8uHWmLnYKY2SweuOPL3/urz33rzw7jwjp4RgeoeUBw6D0U6kcgAmpAgRii + IDokQyqkCqrAVZBUOkFSmSTdlzr30qtN1Hu1qK4t4lortCBUJEcvmhyou8nTtvmqgLqLALUAAgeq + IURUcyTkbvX5737qay9/fsTSTfrpZCiji0FM3cRhiAYV7OZkE91PZuburc3COlpe9cL2L7qy/zkj + IiIiIiIiIqKHhJnlnCeTCTiNcWltpy+9dZnXNqt6UuMtm4rrKzfPSURERERERERERERERHQ52M41 + rbutbB3BEQCYism4HKaxf2Q+yascAtI0rQqqwuVk3lDvdeJXHOKiruqy6SotgNu4AgpgEAE0SOxF + IiBACMHMWkhnu45Nj1p3b8ma4zjWWjkfTUT0wNVaSynYtJBQ1ZxzS1xuxXshrDu/s4z89SiltNPc + Nn/a3dsebpnWZtbOjy3EOoTQHi2lpJRSSsMwxBjb2bNFWbfQ63Ec26u6rnv55ZfbB7dYLNy9nVIX + i0V7VWsK3z647VuISIvHVtUH2C6kvbW7b3cRuD6NiIiIiIiIiIiIiOihJOsCZt9J7G0tGs0BRS51 + /QTLwSuKddWDm8AcMAF2ljpstyB+72XR7bXqUBd4Ww4BF12I1vkeQkAIZqhWuxCtGOuvL6n2Y+ZQ + iEK0qN4oxxPtgsvxsJqG2MWUBHKWM1TqKOMAcXgxgSpgCBLtjvapbN9LREREREREREREREREdPmp + VbhJiwJtk9GKUAU+9ae//vRKb5UwlFJE0IKnK9wM88lEiuXBZrPOHNG6Sd7/Jz/7m092b0uLacwB + 2ftu6iYmVrW4lqqlajEpVW2TaQ0A4iquCohd2fDEbX6qn/7qpx81AKmfDqusDqkqR3E/X/uXv/Jf + H9i1WehWK/R7ycSGVYXHyUSGZQFQi7sASWoab9UXnn3+a95tOznoJtkaLdH5CkZYskr0fnmA9b90 + L1wDZLVaaVSIDWMuMv7ll/54Obme41gF4ogGQO9tHZIAVqCOXtEr1CEF4hI1dHESNUGDVc3Vx2K5 + +lDL8bAavXpQqFZFcRvNHAghqEIVIqgVdWVS0EcINqu8BOKb46SrQR3R28GtnbpcW7OZjGGRrv/Z + 5/6gdDWjlDJqaIdaa1HWLnBRAMIjA9EV/D8BERERERERERE9HMxMVYdhUNWU0jiO7X55FQ92tPTq + 5KTaGzBB1vXkJmAVWBe8+s6TiIiIiIiIiIiIiIiIiOgi2Gnn7Hc8ctLa1gBDdMARJXXdbByG5dGq + jykIDpe520tFkRW2k2xtgrstdlJHcERDMAmmgLatRcO1lDBm1CIBi1IcwAAU1FXBJhU1hNCCNmOM + IYSWk9piO1tOZ8vXJCKiByiEEGNsC4FavrKq4nT4ccu35mKh1yPGmHPu+97dj46OAGzzpN297cP5 + fF5rnc1m7UTZzold19Va29mzfRCTyaQt32qfxTAMpRQzG4ZhPp+3O9sbtY+svYuZLZfLFp6NnXVf + 7Z6WTf4AGwSEEFS1lNJ13XYY9Y72/URERERERERERERE9NC4M7VXBA5IUgOs5ugu5qhF3WSzbmI3 + x3qzHfPtxvyORRWvQ1vwEICwudmWLNwU/5//+rNYDRAR1aDBak2B/SQvoc1PxebDE6ha16Uwq24F + Pk1drfXGOEY968/X96dTLA4RIICNDgOKqYZXGi8RERERERERERERERHRZcJKwzu14sF1VaaYe3UT + g+fp+Kkv/+VKlx68VigQgIzSFwAAIABJREFURasjF+ztdXm5ihANGIYxqvqi/+h7/sFH3/XL/XI6 + 9T5GeCy1VmhLEnUT99MXcQFEXPVklv3OWfqHn8L09HKDlr166gK4mIsBMANUclghlP041xvxR77v + o3//Ax+X1WQ+jYtFBiyIiqu7Q6EONwseNESLuJFf+Nyzfz12K1dX3/11UFdxvXK/IK1WlMnWdDWF + EAAxQRVHl5994cuf/8Zf58myqgEItR2VDTBA1e9yvYojGLTAq3gRVKAoipphGIZciwHoXDuEiaM3 + 9ObzarMx98PQLVdxGDtDD++wtDoAVYGkGmGC2g6Gu8TWS73ENpkWm0s7urkGweiDTYenn/30d28+ + 552ZFFXIzhqxzVagjMCgs3Hn/0UfbP+E18ZliEREREREREREdCm1nqF937ebIawLI/1VPLiR0uvV + ZpRN1n+29NvLv/nHTCIiIiIiIiIiIiIiIqKLaDO1ZwDadF8r3XHZFO6syyptkQcAnfbTNKmrUkb0 + XViNuQpM4adrMfzui37UoY5gAJAVWVEVgI3LQ/gIhTu6GK3VJY019LHW2rKrW1RnSqmUUmuNMbZy + 3BbSWWttV4iI6AFy9xZ4XEqJMZpZCGEcx1qrquact880M/abeD3aqqpa697enrsvl0t3L6W0BPGU + 0vHxMYDFYtHinFv+9DiOZjafz9tGRGS1WrUdnnO+efNmy71uz2+n2u0zd993m2O9WCzcvT3ztuc8 + QLXW1WqVUmo3W0p3C+QmIiIiIiIiIiIiIqKHz0nf7M0FQC51rAWQIS9DEEAwZJgHN+yWQruKr1uj + 2s6qCfX1pvQNzHuIQxwOy2pycABxzOellvZ2CmHg8KV0uj+7QyG6cDusZeW1S8lFC/yRIO5n28k9 + OMKwQkxwc7gGQd7+SCnWvwv2SrHvRERERERERERERERERHT5bMv6ABNb9wOoWl4qL37t+pdrGkUQ + AK0QEXeIQFXzAIVMkviIMO794GPv+9UPf7w73g9DlySOPkqHoWTFpks8ADFsspnFBUDLtN5Wfrpc + vYnI10ryllfKVLVaHEFdq0ZXhL7OyvP6Sx/8jfd934+G5bQTQUXfdailZMQIkSCmKmJWRTD66kvf + /dyhvQh18d2GDvbASzgfFDudjntl98MbwQruS6pCum4yjGMNY5kd/cXf/vEqHJfgAKT9Hri6wMXW + N+8yFSJEdaBUN0gIKXS9pi6EpDGEEFTV3auVsdZcbazm4sVszJazGyABRZANHgCBCaoZNGinopor + sFlGtV6ItTmJuGyTqdtztD0ziJrDJp4n419++ZN1MiJVhbWN7K78Ur+j3w3RfXXbuebCnnqYBENE + RERERERERJdVjLH1M805l1LcnZMZl077Q32w1lle4WInn6GG7VQqP1giIiIiIiIiIiIiIiKiC2jT + ufaEq7i2QoXaJgTbDTeI+3x6qH5sBdDUTQIAdzO4rNvf2huaGXQBxB3iVS0HGyKqoASzviIVjIMC + YlBxKNAHq1VEWjAqgHEct5GoLYazJVmO49h1Xdd1b2RwRET0xolICGE6nc5mMxFJKYnI/v7+ZDJp + NwGEENxdVW8rLKc75Zzb2a1FNZdSptNpOzO2mznn7XKsruu2Z8wQAoDj4+MWKO7uIYRhGNqen06n + QOtY4W1T2yjonPN2C7XWcRzbJzWbzVoSeVsJ1vc9gL7vH3hN5mQyAbBYLEREVfu+b3HdRERERERE + RERERET0sGrLHAJaMDW6FGKIuQ7TlJAzViu4/uv3/LD6urOpCwwKqLiKt3tag9f1BtXvMdPagQoH + EKHRUQSriFtWIQlBEONqWJWcRfWsY4/p/hNgtzluoyhdGCIm871Fzstx1cUYQihn/PGqG24cowpy + FW8ragRRl8Ny8xRT1voTERERERERERERERHRpcWO5bcRURGBFHdzNxGJogjlmRe+cFOuV62o6ARS + IQ4RxKiHh6t+T3MtYmEeDvbztV/78Mff2r9Tjyez/iC7LW258kHCOkl0nc/s2joPiIs4gmkwFVe4 + Qsw2lwua63jm5PaLK1wNatCWCN4ysGOMpRQLMPHFYjHv9ma2v5+vfeyj/3l3+Ojj6S0oKlYdOXRa + CtwlqgY42mRzwvcW3/jGrWdcqiBt3rwqHBc4VvPs+NrJzQc6HKLzpLUIJBSr1o/fXH7ls9/6C51F + qwiO4HDEorGKmRjEZJt1/fq4YIw+RJQES5a1jpaHOhbLgiAiMKvZPCMYJlGnUUOWznSqYao6EY0u + wWAGVWhSBCmGodYKsRglKPz2IbmaSYGYbyKuxSGw4KZuXgzAUBxTffrrn3q5fLd4hrm6KlDVqjqk + KE6lYhOdtVPnoQuGvwZERERERERERHQpuXtrZgogpdTamJqZv4oHPV56Za0rvezUsqoDroAKEFrh + d+MKKP+kSURERERERERERERERHRRtEk+McemXtQUVeGQdXtl1Pa0VjapeEHH69Flb+8wL+uYe03B + NL5KOdNd1TjtjsplG2TqLp6qHYwVY0UIpRoUEIHCS24RlQBUVVXbzRDCNoOzxW22yE/OOxMRPXAt + UbiUUkppEcvtWF1KUdVt3nBLR25HeHoNKSV3b/ut1ppSajuzxYcDaGfG9rU91F64u6vb0qxSSkrJ + zGqtq9UKm3NrznmbYG1mKaXt5xJC6LrOzLafXUu8VtXFYgFgGIY2yHPeLbvGcay1zmaz7XjYxISI + iIiIiIiIiIiI6GElmzJmwSZt2AFgLKsYFHAERYgY81yjAFVQFVXWtc/qUNf2UpN1AfU9s00JtgEK + BWCCEnzsekwnKCOAST9pMymcv7iUpCWn794j/+6pz1gXvnt8OJ3NHJJrybkYzjZTOhme3Hvkf3r/ + j8BV3ABUg0O7yXz7vsIKfyIiIiIiIiIiIiIiIrqcOJ16GxO4u8HdiyOLiEoUcdPx888+NfZHhuqO + CASHVwsCmIvCo5SAPIouJx98y4/+2Nt+Khz1vcxzscFrtz/PtQBQiLqqi7rI5hIc6iqup9uLm4u7 + eAtvvrpazrfHU/vG11dMDFJE3A1ACCHknKdhrsfd+x7/0M+//x8sny9TmZqPBR5CsApxFRFFdYMI + tJNFfPkr33q6oq7XQqCFv5p7dffNCokrhHkF9wWPrpeSh+oaulC78alvfvJWfHGQlQiiIThssxrK + W2yE426PD6uVW0UQCRJDjSGHvk7m9kha9rPVwUF54vH65sfzmx5ZvWl+6/HpjUf3jq89snrzI6sn + ZsePxluzeNT343RuMzsEVhIsKMQNZuZeXUwccOg2ll5gAhe4GKRsVmyZ+nrplgCTFMaKw/H4pfLi + 1178cvEhhBQc4usXKqrADGonGya6n3aPltvrF/Y8FB/0AIiIiIiIiIiIiO6FiLROpiGEo6Oj2WzW + Opy2nqp0KZi0am0Eg5q3aVPxneko35lM5SwVERERERERERERERER0UUj1uKrpTVSdsABQxBUgQEV + iK21rTiiffyPfvtzv/pr+du33nZwIIuhlkFM+hSyVQDBoZv6n3vm0rK0PRnUDcBe1ne8OPzeT/zK + P/zKV0PUESg2zCVKFwBtxR7bCeht7UfL5mwP7QZ8EhHRAxRCaNV6LUcZm+K9bQYzgLZ8iAft16lF + VptZ22Nd17X7281aawucbuHW7h5jLKVsH/VN+b67bzfSvrZA6xalEEJoW2hba2/anrP7du7ePkRV + bcnle3t7R0dH57tLTsQYu65z93EcY4x93+ecH2zMNhERERERERERERERnan1aoWWNuyAAI4udg5z + r5Jra3k6UQXMBI71CodgreWkK06yik3WqyCAu1sI0TquthcqYBCHKswEL+UR5ug6AVa5TFL0WlV1 + PVq6FGT9I9K6g28SoxUAAlbAtb5brJZQiSlhHCNQznI4aii3DifTGZajTmfDsnazMKz7mGvYjFYE + ituCuImIiIiIiIiIiIiIiIjoMlIgO0YRAzpA3co4Lr/63S8OuvBaI2AVSbEoHrsoufS9HtWakoZh + Mpdrv/TBX90fHu184i6DFQSZp6nk4l4hEIhaNEA3edUCqCsAMYWYi7VMa5MCqPrVqgY9WUWwzq5W + 2zZgXzMTbNcLZFvG1ElJ6hq7sFwubbDZZHr4cv31D/9nT33pUzftu4vu2B055y4mqxIUblCBGkxQ + 0tGXn/tcfe+ACohBi0o1uCBc1FTNM7TtJiGCK/jt09Wmql3xHDp/afXCU1//TNkbV2WICForgKKl + tiJvX7dquSvieKxPXoGsaiGWFHLXed9h9oPf9/b9yaMHk0cn3XzSTfowVdXilutYLB8tD68fvfTy + 4fO3VjduLW8e2639vYNVWeQ6hCgInq14rarrsQkMu2uxTq7YelmLbBKqBSISFGOupVt84dmnPvzh + n9ICc1XAUU0tWDvaqks7FN/1N050V1rHBsZaExERERERERER3U/bRqjuvre31+5kR9q7dduMZfv7 + u8t5Vi7b7ijUIfD1n/7XtdvnPyQiIiIiIiIiIiIiIiIiugsC6HrWT9cTewI96fAMEQCCoOjTt/Lw + /oP58sZxLWU22bPVAgWqAvFNN+d1r2WXe2yGawLAkgGAQVPFNQtvizMUF8BqTiEBQDVsgjxb1YeZ + qWrL1GyZnS1cs93f8jXf8N4iIqI3pB2lQwi1VhHJOW/DkrdPaFfa0fsBDfNy2I2RNjMzizGKSDsP + mhmAlFIppe1tAC1NvJ00t4HWbWshhHaubA+llNrn0vKt22exDbRuL2xvN45ji9Nub4FNNnn7BNvY + znfHrG2j09swAKSU+HNFRERERERERERERPRwct3tbbomqFYRpJhNNEAVdUTN0U9ShuVkqcP9t27D + CnWYOBzyf3zpK5hNUGtQm6QIQDWwBvtyUsBOTTuJwtX73hYLd48pjMMYFboNWT+zcSTg2t4BYoJ7 + Pw2r4hr1dB+C1hCA02RERERERERERERERER0mQjnUu+gDhcXERcRU1dx1MGOl37rxuLFMh2TIohY + 9phQB3QQUTleWTwAVnFa9n/oLR/84bd+UG9EFak1z+d7R8Pyxo1b01mqtSU2rycWpU3E79zjelup + 4NWbglx3XJdtpvUrPUl3c1WDwGru49SrH64Wj+zvLVfHXnyGuUyf+Icf+dh//NR/QC+avCyQYnCU + lpYZFQKp1S3g2y8/N+oyyzIhhnWzdzmblQ6XwE6ytVzYYFGis2AV6GzU4+ePnnvu+rPDfHB196q+ + m+hsrU2M7vxy2Knz6eaQLhCHOsRVPcYa+uMpRu3T5K1PPPn3nnzPO5589/c98pb9yWMyaK+z5H3N + 0KohJEWoZggwyYZioXooi3r84tH3Xjp64Ytf/Ztnn//aCze+XeIoU1M/NuSQNPv6fXeHJL5ZU+MA + oA7I+lGpyGNJXYLqarj11e98sf5UXg2rJMnWAd4GBlnTubvIpx72VCIiIiIiIiIioktp2x5UOD98 + lxytQBpyeupQIAYXd1HALYYgdoY1rmh/34eLuIlCxVEd1icBDK6QTRE4dNOvXvlhE10crYv0MAx9 + 37cW0q2X9DbUwd1rrTHGbQdqIiIiIiIiIiIiIiJ66KgA6eTWyQNhHXfdphwVAKSHzmuc6a1b+ygi + wLAUia3IR9yATcGQeBXARaB6F0VArVO0B2C9KYeLGAApuQ6whAHTLgHm7qIRghACNvPO28RNALsJ + 1u1+ZloTEV0cLS/5tljl3cO1uzN7+O9kZiGE7eKr3TOdmW0L8t295Yi3h9qObQ+VUu7cwnbPt5Ns + Sjv/U9j5ULav2q4oaDHb7e1azPY2eHs7mLYyYXc85+DO/xUQEREREREREREREV0FtVZVbX+l3/7R + /jW0v6K3wkMApZTdaYILrU03ucINigp1QXQAqACgUTsrru15vlRZCky9tUA1BXa6Pdu2vbTutFK9 + K+ow1xRCtjzr4jCWAsxSrLVDnCAoRAETM0A3Cy3ewLdPD0iAAjAUgwMhQBH7wbW6qUCrK8TtHPqY + mmq4tTiESivl7+PpnydZL9156H/KtpOSbba0zUu+nqMfERERERERERERERERXTg7sbUPdiAXkDrM + zCSkmLzI0pb2aP7is5+rMga4upqbKkZD12mt1eEhAhl97ufHj338J34zLHorUpNpCGW16kRDF61U + AcTFxQynE5PFbusX4FDAdN0V4GqV7PmpKGvDbdHWvrurFLBgycQHW7giTOIiDwgaHGLBhtnPvPfn + /+gzvzv6raN6HKPmUjSYwVxRHWruqiZYqj39zad+6V1v8RsZNXlMtdaIsO7IcJWo6jAMqjBD+95b + 1eqDHtclICLtyNrKis2qXK3f3UtPHEFtUY/HdPiFr3/Wo1U3CXDAoOoaK0SLqzngonCNVkLUZTZz + hE7MXF00plIMKmhn2IJUUm/T+fDIT/7gL7znyQ+88wffOZvsSRXxGCzJYRBXdRHXzhVQLQAQIchA + e38xkzqXR5+IT+Zr5cce/7nal+de/vqn//bPP//sZ26W52s4XpUBAXEvjXVV24+iQCFSXF3F4VAT + uJiJtfVaEcHNcslJYWIvL64/+9JX3vvIno8aLMQaIAK4i9x2JCa6j1pkQ1uA1E43McZSyoMe1ytj + WyUiIiIiIiIiIqKr4pVnCB2Qk/lDl3Xo9fmMKBigZlBfj8DETyb+H/7yVqLLrLWW6Pu+1ioiqrqN + r44xtt4T7UrXdTnnS9OBgoiIiIiIiIiIiIiI7pK8wjUIEE49S4EA9I4U3YLB1gVGinWmdWvs/MZm + K10hpu4QiMNb0rUAgMlmeOt7lNORRER0xYUQSikxxtVqFUJouRStAn8+nx8fH2+f2TKkW5h0CGGx + WGzLJu/jeLYtQlp95jY/u606aAOLMY7jWGu9yBWbREREREREREREREQPgW1JYJsd2Ca8tlmDV7Rb + Y7i9cmnsrFaogANBIIBKyDXXGqdRcDxAgTrsxRDcsQmu3sRYn9A3WqmtcIhIJzgcSy+YBT0cixwc + QILLujAcMDggXP9wOTkEgEDhBlt/qBJN2kIaiJgAgBrgcrYdtG39lif3yGaQm8h2lyvQwNfd+753 + 9zZTGUJYLpfT6fRBj4uIiIiIiIiIiIiIiIjofhK4qrrE4ogQTZ519a2XvgGUTS2+1jZH6Qoxc6Sk + Y7ZY+vd+/w9d698USpe6VLyaWHQEX8eI6iag+s4581eYRb9iadav6VVnhMVVHBCDVBMIogEQiGvn + mKF3ffRH3/Xjzz37/6VHQx2970K2ctsqAodWtW/f+MZKjmbhQKqYi0PdXVz8Si458KuV5U3UmCMj + VIvj177zzCoP6C1GHVcWFAaoKwx105jFoBJ0ubQ01dR1i2HlAkQZxyFo7HTig8qoc91/YvamH3n3 + h37+A7+yf/jmg/B4jF1ZmVeICDzUWpMmYJ18sXvoNyhg2mIp1kdC7QVx3r/48ktvDemf/+J7f/mn + f/2vv/znT3/xL79z9K2S5Hvf+056VGLvJsgDpHoHWa/UEqijChybVjNQE4sKByClYvj29W++8/Ef + 1tAFC+IKwMWModZ0xvzynHUYa01ERERERERERERERHet9bYehqHvewDbKwBWq9VkMtleYaY1ERER + EREREREREREBgAFV+qJqCtnkTDsABAe2LXFbU15/9frL13C6ja/46fKhaDUhsKKIiIhoo0VQtCn+ + nHMLllbV4+PjlkuRc+66rtbq7iIiIuM4llJayPR9Xw/g7ttIjBDCOI4tAKONE0C7x8yYaU1ERERE + REREREREdKa2UwAhhOPj4xhj3/evkWnddV2Lsm5/7W/zCyGE8xrvG3O6R7OihQwDDqs5xRiCoALT + CY5vQdLw0svBzvZb6/rJzWGxr5hFLDNKNQ2SxaFoWccBLdBaW+rwlewyfZltmsE3u53dbfNZ3uPK + GXod2qzonVR1GAYRaQXUOefpdNqun/MIiYiIiIiIiIiIiIiIiM6OmUkARGqtEaIxVinf/NY3IA6Y + CXQ7d9nmNA0KVVNk/Oj7P9TFzgcXCfDtlKZLm2rfdAmg+8ilTSlX9ZO1AS5WISKm0B/9wEf+8Nnf + XrmaH71iQrbAgPLcc1/PP7aE7qtr8SIibqIiV/Djui1e9BKljRK9QSLiaoerw+e+95wmOEQBVQDm + AgNc1utVHHBBiV0OqxTD8bjKhthjqDaZAcsSl6U7fuwdj73/Fz/86+97+we6CM3hYPaYDGFcZXdX + jaqqdvthxjY9YRyoai4m7gILDriqGxAPry8eO3hzjfXw1q03TSe/8ZG3f/DJn/mbrz71V1/8ZHGF + H91c3Vwa9h9RW3pA9JzRtgZUVQBqUIfDRUSD1wwXKV6++s2vffS9BbDbWtOYGFzZiobOh7tf2FMP + 10gREREREREREREREdFda2XYfd+bmYi0K2YWY5xMJq0/RQu6Tikx2ZqIiIiIiIiIiIiIiACgaqy6 + 7s0r8J3a1Nv6xYpD30jT5Z0Kjk3tq0FtVHStuTMREdGV5+4hBDNbrVYxxvl8rqq1VlXFphS/7/th + GNrzzWw3dlpVY4xmpvepULctLWj5FiGElqi9HaqIqGq7P8bIWGsiIiIiIiIiIiIiojPl7rXWVkU4 + n8/bna2W8NVeUmttT9j+tf8+ziOcudPfVsB64UEXE4BagGIhKkL4Hz/8Ez8wOwiHx2c3FgOGPM5i + HEsJAX2HxYgRmmPAptOrAyKAwwHjQojLbOdHTwGsF9NsOBPLz8CrdYbdHrLaNGhKqRVNn+/oiIiI + iIiIiIiIiIiIiM6UAWIGKNxdgkJ9VRbXb77o880zttOUYgBCQB2918msO3j3u97nAxSh1gqBAi64 + isHI58XX2awQhwDqBqC2z0i91pqX9W1PvP1d3//DX3zpqSCx1nxq/YNgHXQt/sLL3xnKkcg1E6tm + bWkHgJZIfs7f1wPkfpHjRInOkIsZ3CQ/+9wzgy26SVr4yop3MbRO8m2NlzgUqIKqNtZx/7HZyy8t + ug79TJdLm/YYbuIRmX5///Zf/8nf/Mn3/HJaHtgi9H2qZczZFaaqqtqOWK+x2MwEVeCiIrX1hFGY + Iahj1s2Ho1wFkzSvx11eDG/t3vPkR971ob/3Y//pj/+vL7302ccfn764+m4ezDIQclAAqAoXwE0c + 6iquBodABGYQERd77nvPFWQX36y2ahHeAgBi8Ct0MKRz1lol7N58gIN5DZdkrScRERERERERERER + EV0wpRR33/aVEJFteXYIIYQgIi3rmpnWREREREREREREREQEV7iKK1wNqAITczGTU7U9/z979/cj + SXblh/17zr0RkVlV/WNnhuQMySW5/OUlqQUp7S4kLXZXlLQrryQDaxmwBXilBQRIAiTDgJ4FyPCj + /wo/2Y82/CYYhgwDhiEberNkAwYELyQvRXJJzkxPV2VmRNx7vn64mdHVPdPN6Zmqrqyu7wc5NVnZ + UVlRkZERibj3nO+SdW1cEqk/LmMrfLVnHtlXw3qtKAS4r65UTZGIiNx1rTP7arXKOc/zvARd933f + 4qvHcWwj/u7e4ivcvcVRmFmLmr6qlem6bpomAC2yOue83W7bL13Wdp7ntsClpgkiIiIiIiIiIiIi + InL1WrVgu1Dfrs9P0/SCTOtWadjGEQBERHuSV7bCnxL3Mdb7TqWOw/fBOpeUkHqHASAeb7rNnK55 + xkGJ4u6dYztjN+Hk3skuofQdbL+qoR6St9myvx3+54C3na86cJg2A0DzW16lyxXT7j7P8xUOhoqI + iIiIiIiIiIiIiIgcCXcnK0mY0Vkxv3/x/sjtIf94X6nPQyJy553XNNTVN77w9furh4ld5xlhTgcd + Fq05AKj6/WtBGAAnnJcTq4MZNPPo1vbwV7/5523TDZ73SxxaNIQtfRfKLs4/2L5Pr9iHazqOOFbz + Wi1/9S2a1iJyJSondPy//+hf2cDKwrCYCYCGpfFLm7JCC1pJvf/00WZ9ZqtVjikGwM+Hz9g7v/X1 + //Dv/7V//Ntf/6v9z1b35/W9ejL9LJ3EW4Oddt5lywkJlVFKq1tvZwda0KLNmaEF4GCHGBAD2O0n + zwBA1JiH3J3mVc/VUFcn9d5qOkuPhnf6L/693//P/sZv/OH0x91DfK6fh37ADBRH8X1zmjbvy9im + 4jgtAJAwpyU82rx7Pj0KCxgvn7BoOnnJdbl8rmnNGUge7flXM6VEREREREREREREROSl1VpzzmZW + a21f21hIRLQ2062vdKviXnpMi4iIiIiIiIiIiIjI3WWA++yJti9kiBcW+vmnKsMwPP3TDiBK/3N+ + p4iIyB3SQqnbEH+7HxEtuLrlUrSx/pZU0bh7y5xuX9vPXu0qAUgpnZ+fm9l6vW4rNs9zm6XQ9z2A + YRg0D0FERERERERERERE5LqN49gu3XddtzzI54gIM2sjCBHh7rvd7rb0/+WhtXabaJCWrs4EECnn + ljccdUTEWyenGLfO621m6nCSFXBgvc4/fLzZefqv/sW/wDNbtPWTvdZVkav2pC2uXfofARjMq/kh + s9wNCNNMl6v3vOPYOI7zPO92u7ZYO4JFqHOxiIiIiIiIiIiIiIiIvFbcvY2FmRkNE+cf/ewHTKWN + ZB4CRw8LM6LQI2GXvvv175VN6a2LZ2r7lAZ6ncIQcAMSwxlGwIIWJWYz6/2EF+kbb3/7jPetmANO + AH6504IBsMpUfvzeD4pVIPaP3ZJJHVfrw2GiR5stKnK1wqJ6ja7+8U/+v5rLrmzdPXtmIQ3VW7Rz + GJflsdnE/fs5Z3/0bllFPpvvv1W//Lf+4j/8j37973w2vpo/ODnzB2VXOfP+6gFLjkCtdZ7LPO8D + rd1fNK3JmY3ZmYx+OPEwLFJGcCplhzplWme+QjdYj42fxsM/84u/+Y/+k3/8sHwub1aYbFhbcRQH + DUb4YT4OgbAgEQEzwCyMk40/fv9HtIJLZzo8Wf7qN7sIPuqEe7SnHk1EFBERERERERERERGRl5ZS + arHWp6enrZd013UZT47rAAAgAElEQVRmllK6f/9+uxMRKaVxHFNKN72+IiIiIiIiIiIiIiJy0xzo + 00Xvs5sTRiQCCN+3hg7CaWaEffL6C9L2LX33RUT7p7McgTn6UtORFneIiIi8ai1You/78/PznHNr + yL7caQXDbbh/iZE2s5ZynXOe57nv+8uh159+fbquawkZZ2dnbR1KKWbWdV1L3Z6mqc1DuKpfKiIi + IiIiIiIiIiIiHykihmGY57kNFux2u77vAdhzpJSmaco5t5aLu91utVq18YXbxXDo0t2+KRWIuda5 + hvcdEjBNZ8ivoIFjKaXVZe525XSVSzegy7AwPJttLbfQpajk1hKeDgC+75Br+1bj8kr1fV9Kaccu + kjnnUsqLOyyLiIiIiIiIiIiIiIiI3DrB0gKtA6RZWPnhe39cfEvfD/HzSZ0+AKCiY7/m6S+9/Q2b + E+tT2YzR0rDleoSBcBqcMLTE1gBAQ0UJlszsU/6F/NmvfPabmO1ySubleHJYqWn8wc/+beEENzMj + 7VO0dLjdjjZMVOS6Ra6PNu+9t/vZ7JMlmNM9A/v2LHx6yooTqw6pYPdBfefB4I9XX+q//Y9+/7/4 + tQe/c/+9z9/bfSbVdQ2iT/2DLobpfHov59ylrs9D9i55l7wjudShx74VTITtp83k6n31HJ7oiX44 + KHGOnXUlrSLSOGNTsS3Ylrp98ODBox+dv91/5dsP/8zf/b3//Ov3vtPNp9tzVkM1gNnDU8CJ6qWm + 0jrakDADydkmDuXf/Pjf0HTikht2tGciTZMSEREREREREREREZGXVms1MwAttbqUEhFd17VHlmUA + DMMQobE6EREREREREREREZE7zx29f9DnYg7Al8jpK0bC+XStBA05gLFiV1BxaPGsOlkREbnTcs7T + NAE4OztrEdfu3u4ASCm1du0Adrsdybbwer1uswK6rpvnuc0TuKr1Idn3fful8zybWc653W9fu66r + tap3vIiIiIiIiIiIiIjIdWvFgy3TehzH1WqFF7ZTjIh2kd/M3L0t3378Vlr+0C6Tkbvk2SsCFvN2 + l3Edsx2ecCB76lIuM7oOQcxhY0oIwODY39r/lXL9GnGYzelSY3EAALHPupZXIKVEMqUUEaWUnLPq + o0VEREREREREREREROQ1U2uFBYk2FsaER5v3qs9tZHIZrzzc8ZS6bMPD4Y03Vm+edGdRmLxbBq6X + 5U1RiNfjyRbmU9MDUjICFtnrsJrPvvH5byXm9KEpBC0SG4jZtj/74E8Cxfex1vt5D3bH+i08+cM1 + EC93DI0zxh8/+nfbej5jl3orpbBU0nB5asqTeGmsvJvPy4Ouq++nX3n71/7W7/69rwy/fG/38H69 + t4oVi4UndunR9vx8++69+/08j6RFRK21lNLa1z+vJNyJxHK4HXq/WNDCs00scx2Z6RkVhV7ykHfb + 8a033i7vl/yo+9bD7/7Hv/2Hn1999RT3U+TWu8booIOggxZAmO1neRFRWaIrf/Loh+EViEvzw0Su + 1zOzLo820xr6LCciIiIiIiIiIrdX65SKQ35q614qn4bBrI08us/z/GoawEbsi9tJurtqO0VuixZl + 3d6/Syfrdii2w8h813XtcXWUFhERERERERERERERJGCd//Cf/dPJE5BSIJEZMBL21CghDWGfqidv + wMKs1U/RYnZ08P/mL/9V7IgK8Emh4TLMsaR4kqy14jASvfyThjLlU2q7UEQ8s8vdrLYaJJfVu+k1 + EnmR9vbp+355pA1GL4PU7S3WylyPuaLveLSNuRyaIqJtzJxzOxvmnJdJWcuWH4ah3bnCTOvGDp55 + 8mVyQluZZT2vSs655WfjQztVKWXfnoPEcVeKioiIiIiIiIiIiIhcoXapvF02X4YGXnB9/pkL7LeL + PQmKflZENfdC7BuclunsZF1w7SXtTrBWB4xwIGClX6HrABhi36uah5vcNrx8b3nTGOB450tfLpU5 + JTOrYA2YynNfoWWwMqXUBhBVHy0iIiIiIiIiIiIiInL7HEauyX1fXJK3dDj7OrQRMJJ9P1RGRX00 + vl/SjEO88TM1/rWERfrlr3yH2+xz7tMwz/NhHK0NaAeoYbXrEvs+DN42chjaHRrMLIIdepvyt77y + HUwJgcNr4XhqQkFEmn/0/g/yYLt5Sim1qsk7+L5IKY3jmJKR+3LRO7gRPplLieCmjXYb0cI6/r8/ + +NfowhJK1JwdgLu7wwyWUYF2xoyCztznWNdhtXvjK/e++wd/5R98IX8lfYB1mMUmsGH24nkXRJe6 + lY/lwhMYBrpbTu72bFF2LCcaALAC35qfm+1gI6zCgkAYKgzmNA+ygnALeKk2hUW13tIpVumD4Zv3 + v/ef/sV/8E7+WrfLK3j2NE7F/GSOXApSPvzhRHaQCJQ6lJ88/rGlpasGjZbMIuKZpjciV2j5INp2 + vGOOYtHnORERERERERERuZVI9n3f2isPw1Br7bqutVj9SDe9viIiIiIiIiIiIiIiIiIid5sbBsOQ + J3fAjUiEI5wwPomy5qGEjS9dy7YfFw4YDa1gaXnaxHgwVowFT0cJp5Ra9GnOeQm0TilN0zQMQ3sk + 5xwRalMrn5K7j+Po7iSnaco5l1LaHngjUkqllJzzNE0kl9W76e0k8iJt7x3Hse/7lBIO5eIk20Hb + 3dvuvd1uVRT9cbTJVxFRa708z6qU0vd913XTNKWUWlL4Da5naxmfUlqita9wPlg7IC/7T0SklNrh + uv3r5epQ7VciIiIiIiIiIiIiIq8re6Y1twEGS2lX5zY+UMoEzx88ev+0Wz/VZfXqRWUhww0Aegct + vT8XdD0MYABPp1mrjP6WWl64/Z7ncP8n/8N/l1b9XGswemA1dFN53s+LiIiIiIiIiIiIiIiIiLy0 + VjfnMAABVo/H06PZRlj4pdFno7cxTTNzpLcffn4dJzmy0Z8p7tt/Q1e49XW4/KJ8eHYASaetsLrX + PXxw8gvJVg44wUtNGwyAofi0q5tdnWBWGQDMaayv4m84MkorkDvKovj0/ubd6rUd7mkIC7KSYEEp + MINnc/eUYNViVx+kB6fzW3/we3/3bHprNd87G06meVPTXL1WA2hGtzBj2EtPpiJspk/0KSyA1iLG + wUQkwGluTKBZJMBBz92qBmqMDuumVTo//erpd37/z/3Nz6XP8zEx29nZ/VKZ+iH3qMvqBCzgABIm + Gx9PH1TU1lWjHQ0Y+/4bV7etRW4rfZITEREREREREZFbaenyPI7jPM8ppaW16Ee66fUVERERERER + EREREREREbnTaKie0VnxpwdwLZYFeGU1Dg44YAABhiERZ3PBRES0xwC2iMpSipmRXMaXt9tt3/ct + wrOUMs+zu7fgT5FPYxiGaZrcve/7lml9OUf2Fau1tmTrvu/dvUW53/QWEvn5SPZ9P01TrXU5VqeU + xnFsB20A8zyv12sdtz+OFhedc24x4Zfzradpmue5nSXb8eoG17OU0s7a1xFYvhyQI6LVIbftUEqZ + pglArbUlW6tbgYiIiIiIiIiIiIjI6+rZ4QcDDARm1pw6AIh5MAd5errezrvrXp+E1JvnbNuCOVCI + ueuRDBYfnWKtQYzbZ+me64CDgAMJGIZw67q8QxhsnudVrz4JIiIiIiIiIiIiIiIiIi9DVWAvdKip + T6CTDNRHm/fCJmPYsuUuBVSTtLAvvPXFXDtjZhjgh8jkCIuwl40ylZdhBAJ8stmthY7v2zIQwYx8 + 1t176/7bXvKT1+5wpwVjV6vbstmMGzOLCgCtwcKr/nOOw539w+UuC4uS5h+//6NApSGAiDAjSScc + sICZRcQ818yEmQ9XD+q5/c3f+dtfXH3tId7sot9O2zjBtqtjjjAYkAN9oK+5q71dPndcns1kAYtD + E5j9LWBzitk5O6p5NQ90ZEf0Ftmis8jO/S1FMiZWkIxEdHT3tMtv8jO/9s6f/yvf/g/uT2+u7HSa + pjFGpsq6P/QBIPefCyxhwu6D8VGJcgguMacbHe0mcs2WJglHexrS20BERERERERERG6l1uU5IoZh + 6LqutQ1tXz/STa+viIiIiIiIiIiIiIiIiMidFvAZDs+xD5w+PPwcxieJ1x+XIQw0BxDPZGczuqiI + pyJOW3RlS/S8nJG5Xq+XYMs2JE2yfSvyibXA1L7vAZRS2o6XUvIb0iJsc84t+rfNwbjZ2FqRj2mZ + CDTP8zAMLW94OaRHRJtK1N5l8gJL8vdyp23GJdmaZDtW4OkT5SvWXs127CqldF0HYFmxT6/lo7f7 + 7c6yO/V9P89z+10pJTOb5/mqfq+IiIiIiIiIiIiIiBwdBgAYDg244ZYCmOcpWwId27mUMoO85pET + g82MeebZYAakYYWTE7DNtohloQ/Fccut8FGTYdqrOc9g2dV5M5cHeVVAM5SiPgkiIiIiIiIiIiIi + IiIin9Aht1L2DEgwoxtSBACUKBfjB8zAIf/48gilEYUB+Fv3P4s5eXWnw/dxyHFpeF2uRxj3XRMI + CwOBFsJqBN0sIxBerUN+6/7bnN3oQLR81rAn0bLVseO42W5b+aSZOYO8c3XlSiuQO4vGidufnv/E + nEbAEEA7nkdB55bdzKwEKpG962LF8+G3v/e73/nFX8HGY8uyA1JfLBVH8YBVI1MgV/dIFuYv8d5y + wIlMpMPXRDiQjC1tGk4D0A53Tnc6Zrq7JZ9Z6UzudmH5cf/b3/6dX//qb/EDt5I8YY4RgBki4EgJ + baqO0Vls2tWL7fbCCQQBmCWS+qggr9Ixn4nUnkNERERERERERG6l1o52aaXamofq4q+IiIiIiIiI + iIiIiIiIyNEyGOiAP1XPuv/GWkllChjhFqB/4v7LhBueVFEmwoDqAY99I+B9+SZrVDNbIlHdneQS + mdmyLVNKEXGF8ZlyN7UdqdUXtbTdcRxJRrxkfPsVcfdxHFerVc55qXrSfi5HrqUvt6zlFr08jmP7 + p2Xvdfd5ntthXF6spYC7ezsoXVxcrFartiXb8arWmnNuU7PaNK0bsZymSfZ933aDJYj605umqeu6 + JczbbN9Tg+Rut1utVgBKKTnnaZr6vr+q3ysiIiIiIiIiIiIiIseDTxKig/AAlqFTB9wcNfDoHJZW + OfXuldc7zku0kWVcjEzAxTj+1//H/46hh5X9EnaYbHGt6yHX45lXjcC+QcKQwX4KOuAJXhCh11hE + RERERERERERERERErpKZwQxwMgCf53nmaBmYYITth88dhDMAmGG1Wp30Z7gwowFw9/qkkJ9tTNOB + O5eQfP2cCIv9XQMtAnDC6TXgDqSwiGAY7Y2HnzP2wG75abRXkPs88xlls9vizIFqZmTACARwY9Wj + N2KJE23FpEebLSpytcLiomwuxg9wEgDajk+nBRDwMMAjiif0bgB8Xn2u/9r3v/vXbceVGyKQ+pTv + j9OG3QyrsOJwhxsdgFnU/XSmS7Oq7Mn9Q+h1O+B4GMju8IjbPsQaTsDYQreXVjRt+kxOlmAjMdU6 + eMlmZO3mzsubf+l7f/3//Nf/asj9I/yksHiyWlpqtRkAwoJMqI7IsdlsuKK18GwzwNuBQTN05JU5 + 2lPP3fpAICIiIiIiIiIir42lM2nrHl5rLaUo01pERERERERERERERERE5Dg5PMMRnsIB0FAdYQgD + 21eAQFz1qK+3AlqgpIJcn+r2a9ZyOmutLSwTgJl1XfdMcqqyfuVKtOpWM2s72Gq1uqlMawARsWS1 + Lit2Uysj8jG1FPbtdvv48WNeMo7jPM+73b7Yvu3MN/j+ukXa4Wiapog4PT1tp8JSiru7e9d1JFvo + 9Q3a7XYtVHt5Zdfr9RU+f4vNbsnZAEi2VG8zW6/XZnZ+ft4+Ceg4KSIiIiIiIiIiIiJyFwSeJEZX + ViByygigO/kn3/+dOu7m6x+HMlgBxoL1iaeU06rHagACFq3fa2ssHThkHmsQ47Zx7PczAgGnAQZ0 + HbYXw3qVct6MOwMqMXTuR9rIVEREREREREREREREROR4tVKwVhHWqmhveIWOhplZ0AiS7r7bbeiE + P3fYOef88MEbCamzwWxfZ9e2Jw00UCGI18kJJwLebjQYzWhOszAa6eGoyfzNh5/LtjLspxC0Hg7t + OUAwgRYXuy0As2RmS03lnXKoS77p9RC5AfH+43crKloPFoMZYDRD547qFmSBJdA5baee69/9s3/j + rHzuxB7GbJ5T3/fzbu6sS+EpYKiwGhbhoDmRwZc5pNCNGchGN8KIRDpoqIYC299oQYBwwrM5SwXd + U2KO4iNZ13nANr9z9uW//Kv/Ps5zqp7N5pmRwPZbsO8VQyISLMVu3CDMAqSZmROOpOOCXJN9tPph + B2sfoo52f7tzHwtEREREREREROT10Lqmtiaq0zSllOyFbnp9RURERERERERERERERETuNCMSgQon + YD47ii/FkMChcnVZ+FOO8vqlp04EwDERKeCt+gitvXOtFUAbcXb3Zej53r17ZrZardqD4zh+utUR + wTRNbTeb5znnvESn3yAApZSc8zzP7m5m0zTd9HYS+TlqrTnn9XpdSmm78TRNfd+XUlarVa2VZHuL + 3cFy+pdVa+37HkDf97XW5eiU0pPiWzOrtd5s247VarX89vZCb7fbq319a63DMNRaU0rDMLTJZss+ + dnZ2ZmbjOHZd147eIiIiIiIiIiIiIiLy+uGlO3H4Plmay4SpoAIlNj/9WSJOVt11r4znlICUsdnG + WMsUFW51mUth++ztdlOm9WsgABpKLTg7SzlvSxlyRyAbxvHaY9RFRERERERERERERERE5O4wegQA + M5q7b8adZw8CTw0+O+DLtw8ePCglutS3SsMA4km94X5A08JNaYjXwcIQgNOetE9wwpAigqz0AoQT + 908eGLqnY2Xbj+wfCWAcxxLR2ilExB0sw11K7EXuGhreffRTy0Rr52KgIcAKZO+swD2TIFAKEPZL + b3/ju1/+s/f5i5tHw2r15m6aianD1Me8KuhqMqJ6KWmevRRLxTrapWOKBex5M168/ZcYOfa3jnNi + SRjdRscMq7BKY1hU83aLQNSaU8qd02qxmKzMqF3KNuE3v/cXPje83Y8nqQ6FYIYnkESEw8yMhgqE + 7ZNN9sEldB0T5JVZ4nKOdq+7e58LRERERERERETktTDPc2v3XEpp/VUjQu1oX8yWr3Qcyqpp+0Jr + Awxhy3LUQLCIiIiIiIiIiIiIiIiIXC0HE2D7ekgiWtUkANAZhnDu863rS7ddtroPxg5nGOHhraWz + k46gF/ih8Onw5CklACTbcHPsy3Btt9u1O60aZBiGT/mXi7TUWABd103TlHPGjZYbtV+dc56mqes6 + XAq4FTlm7XDdsqtxab9tScwppYhoee3tkC4vkFJqIc2llK7ruq4bx7FtWADtkNWSnnGpTvLVa7na + LWQ657zb7bquu8LXNyL6vh/HMaVUax3HsW2WnLOZzfMMgGTf98uOJyIiIiIiIiIiIiIiryfzpXEq + DCAMWOUVsoPEOA5An7uL3XzdK1LqxMPQWErJ3VFKyj2Ql+pvU5717WRwf85rl1KHqBcXF4P7XKLz + VIjh+lPUAQ8YLIh4ahKDfcQ9ERERERERERERERERkdvi5krijhrZyucJD/OYpl0yrwVhIGBEIoxh + bKOHzoLT/rSMkVK28IiAhfkytHhoYN7iS6l+5lfKwp4pRT9sYXevYGHUfRt57/OAypaDDQsnUsDo + BKqjEgB2dVsxm9FhJWiWXvmfdPOW6v4bLJsVuQnxaPMuc6kgYQ4k7KeJRJQ5Ss7ZMsLhtDfzO7/6 + 1T/XTyd1g9P+XhmZUgLCvDImI5zwlnNhQWPswy0+7pq0r4YwBCwcgf1tWeCpGSw0ADAzc8+GOpdS + mHLngxdOMdaH3Rv99vTXv/lbq3pqxVYr1LpvF1PJakByM3gFKgtqJFZnBYlKMpk5kh/ZKaxtnGe2 + bRiM2ZiN/mTy2ItCxEVewnG9B0RERERERERERD6m1lLZ3ZdWoVfbrvR1ZQQI0EGvQAEmoAJAgAWM + fcY1HMxtsVexVhrAExEREREREREREREREXnd0VAM8GRpiIie1rvD8lgJmBMdmYNGhGF2FH+pyiVU + w+ypmCWUjvMSlw2gBobePCaULYB5BuC1PDW+fDlduN1vCZof/leRT6xFwwJY0qNvcLh8+dXLyiyr + J3LM2q67fF32WzNrD6aU2myi1uVfXqxtq2X+1TAMOGzMtm2PIcW5lQ23NYmIlFKLmr5C7Yxfa227 + jbsv89DaLDU7uNrfKyIiIiIiIiIiIiJytNooebtgPo7j5Qc/Ulvy9o6tG8LggBOegAQsBdcE4YBV + DD6XXaCm6x8xcAs3lBkJjiCioksBAgnoCDiQWjPxZU3lNnHAWzJ5S7luQ5uEwYbOkxEFNgWz4VW0 + T2CCdUgxgwEYMc8VrScBYr+2zWvdBrfWisPQYUTc3gOaiIiIiIiIiIiIiIjIXWcGgGw3Dfo8jR40 + eIazYq5ppM9tGLxV9zs9V88RjlIdhPe2TiWvupNarNaacy5lZ8+GLRe+viOJN4sWAIEwooWYAgyr + hcVTrpFgvaWe1U+7oUcmWuR4OEtXkSvCS3UACHDiBfopOEZETqu5OO9YhGXOeRzHVioaEapEfilt + c6WUSGrTHQf/GCm0vr+lePfih8W34QzzmJEsRQUNc9R+jfPxIhwpu80nX7Jf/o0vfr8vntMMFkbJ + loNWjDVbSRGt0D86r53RHNUxPxVN3eItLt/2lgTr2Mdl7AOuPcwDFkiBjuiABJjTDeEMQyk2hwVK + 7aNLPC0lV05IpTOfP4iz+vaf/tpvrLHu6XWDTFg1ByzlSDaxAlgTXcnn48XoY01kwsQ5d84a6cg+ + LxiidzeyWp1qMbrRkTxoMaUcq8E6K+FETlZRKsprPKXnVmvnGjOLCDOrtaaUll5Gx0ZHdhERERER + ERERkbuHaNcGudQq76/fs13Kh4EGQJ1YRUREREREREREREREROTKBADnT7yMp6tNcDcGa3TdUA8j + k5+61McAD0NYG/40Gqojn9j5TzfvMKE4IroOANIR5HSKiIjIz7UUt5uZu7dyzSt8/q7rlids7enP + z89VUS8iIiIiIiIiIiIid1xr7d1CXodh2O12AMyeW3fs7tM0mRnJiGjLtx+/RQgEWtYwALRen9G6 + NRoOPVX5isqvDU4HfN9cei+3CnFVgL9m7NJr6nQHwtCm09h1t82lu+dpmoAomNogWRsss/0+/3Ga + IL8OUkpL13J3bw1kj7aNrIiIiIiIiIiIiIiIiMgnwMuDzVbJCgTCzLxV5RuRCEfAAHiYW1ifB1Q3 + 0sxJZvd9U3P6paHOAO/K2OIrRounN2vAgq2BvCUw14Ah9alfxpcNcCIRiYfG8wYAFSVsIqqZPQm7 + vUsUdS93Fsnd/Lj4WFAJd8LoZsk8eY9q+4NBrcil/5Uv/eoDvul0oDjDD+8bXrqB7ofbpbDqj+mp + JS89rbfb5TBsJ5wBtOMejTDCmQEPIxDJvLdhZaf3uje+9M5XU+TOrYO11Q6AhjAA8ECi01g9wgC3 + ttptyWufovNyfNyOHTowD8Np5x1rDZTK0q26QB3nTY2p1rmU4vSc+5teYfn5XjD98kjcrc8EIiIi + IiIiIiIid9x+5Phw3dKADCQcruE7YKgADGGtsvrjDwOIiIiIiIiIiIiIiIiIiLwIK9D59//Xf/r/ + pG09yet7a6uRwWo++74cCIATXSBfqm76OBLRVTgRhuJo4dazozjGHd85SV/46fQ//uZfw24urRH1 + brqmP1NERESuUCnFzJbci5TS1SZbz/M8z3O7f3JyAuDs7Gwcx6t6fhERERERERERERGR28jdx3Hs + uq5dol+tVtM0AeBz1Fr7vm9X9dvyu93uCq/ni8h1sYgo99YrBE/gBtCQkm02G9yxAHWS7u7utVaS + Xdftdruc802vl4iIiIiIiIiIiIiIiHxyZnb8CYKv2OUNEhFm9uKgX5Kr1aot7O4kzQwB47PRh0tu + qFy1y5t63y7eCASTucNY4e5d173oOQiSqMHaWi2EHVmC6yujZGt5jbxEknRYXOw2EXU5C5A0wgAQ + pcAIixQjelt/65vfOsI5I21t9ykbCCOcCcgkgUDUVbf6+i99K+bsSBF83vaxw3HACIBkPcIkDqdn + nvbpfoxdnVKdyRphczqpJW9HvyjDmO6ltOpgnXOFKYOa4nILtDfg0Z6JFGstIiIiIiIiIiJyV7SL + 6DTAAIMBCUiA0dFusIoWax37m4iIiIiIiIiIiIiIiIjIVTCgT0Ay9Nx85sHOgXEsiDLPNITvy4do + MMKI9PLDlSmQAkbQntxADCvbbuq9i/K52RHoWp1S31/tHygiIiLXIec8TVPLvTCzYRhw1eWa7TkB + XFxcjONYSlkeERERERERERERERG5s4ZhaBfk53leHrTnaK2rW/6ru0fEarU62vaLInKZOcfdBiVQ + KxDTVACcrE9AgEBrUNAW/VBj+tdJ6xvbhibb/dVqVWu96fUSERERERERERERERGRl6Sh6hdpLcfb + VyeZUyLpT8WXBvZhnwGAZNd1JBlmZggaUlvO6PtIVLlGDlhcDpq0ANDyyN0Mh3jylLrD8m2xp57F + DIBffnPQ8FKZuK8H7j314OWsd5HXFcntuIGTTnOGISKWd4QHkqXM1NXu7Te/8Nk33i5TXRKkj4MD + 7cjV4jMKLMAMJsBZo87Fkb7yxW9YXTkzP+rY5of3vvHJfbSEbyOPKZIjAMupVNJAzt7F8AAfxI82 + w08f9z86X/9oc/LuZnj3kf1s6+forNYjWnl5sY84Dx0NRaOLiIiIiIiIiIjcPd6uL7u1EeLD1WYC + FSACxqW8VERERERERERERERERETkarRGr+vhJyhvl/JGtXU3IKVdHQP2pAWumX3S4UoncoCG4vtv + ExE7nhp8dWrTiDJjWqMj/KhqqEREROSj1Vr7vgcQEQA2m42ZtftXwszGcUwplVIiokV0kFQnAhER + EREREREREbbCDmsAACAASURBVBG5y0jWWnPOKaWUEoC+719w/dzMaq2XU66x7/ip6+0ix26q4/rk + HkqgEqy572og5qnLed8T+KbX8JWZpqkNTY7j6O5d17UDoIiIiIiIiIiIiIiIiNw6ZoAprfYj2L7x + uCdYkEMajOZEGADQIi4Ff7Y7z4QvGuB0szuWh3wj6LAAHQBhl1Oo/RDLykMLB3zoldpHtNr+ixGO + y20cgua4ey5vpaMNFhW5OoH9rh7b7cWS3GzWZnY5QDOkDIORqefw73352xkdwvxYjxC0w8GQyQC3 + RAdZEfjswy/cX7018VHnQLS4DWB/igMAIzrPZomktVR7IiyMhmP6yECL2k1T7NLaiTJyO+O9f/Yv + //u6nsFkzrCaokvTvV9681t/6ou/lru+nSzk2HzkZ9GjPfso1lpERERERERERORuCWCpnmwDknuG + ALgfZ4QTYOCorqOLiIiIiIiIiIiIiIiIyG1mDsAxltVwtlrtUh3LPM4zPKcEXI6y5icbqDQakALV + 90Od3moyHbUgto+Hs3tIQIfCGWH5aOuoRERE5CClRHK3263XazNr+dNX+PwtUaPWSrJlbESE2tOL + iIiIiIiIiIiIyB1nZjnvuxReXFzknIdh8OcPsvd9P00TyZaHnVJqX1/V+orIJxbZ3GrZx1p3RoAs + XZ9RY2lMEG0GzuveeKDv+3bsGoYBwDiOfd8r6kBEREREREREREREREReJ2Fg7Kv6E1Of+wQDHOAS + mfxMS/J5nmlhzpaBSu5jlB0IOmxJVW7jinIdnnpJnCCRzEgazd3niKlONOA5aeMkEsw9u2ejEwTh + bscarHld2swW7DN9b3ptRK5Hq5t+5sEAt+Ou9jVafLPDSHMYzQkzq4U+M9fh65//RozWeXcT6/7z + hcEJWDU6kNu5yJysFRG93Xvz3uffPf8jMzzzFjfuj6Q551sxFaRajJhyHkpMdT5/f/sn/9v/9T9f + dI8KI3VeEVbSPb4d37Jv/uJ3UuqjhivZ+ri1HW85Ex0h7UAiIiIiIiIiIiJ3he2btsdTg4vt0qUD + 5i3T2gBEwD56AFJERERERERERERERERE5JMjYKf+aPKxoIbD16nLYbm6t0KgVi5pqP5pyjDM2J4N + AErAu+TJH+8eoyuTF6Rsno60zkNEREQuaZWZ6/W63W+Z1ldeMFxrbc95tZnZIiIiIiIiIiIiIiK3 + 1DzP7U6t9fT0dBiGiHhBTPU0TTnnaZrMLKU0TZMyrUVui5RtSP5ffv8voURst3Mdc3K0RvYEntf1 + /LUzz3NEREQboIyIFm4tIiIiIiIiIiIiIiIit9qtiK58lcwYqC2POrn3eTC6LUGYBgABsN2xoHM7 + bmCkM6KawYJu1noCAIdFgXh+prJ8cvQlZZIGGAHCSNJhrCDp7pUxlZGHlvI0xOHWOOFmyTzBABzi + rO/i63W0YaIiV8Ev59KaWVhU1IoKxFQnOJdIXRocBgSJCLJGh37A6Wfvv2PVAcOxZiSH7VM2jMkj + 1UoaE8iKGNNnfuHzUYB4Kta6dZ4B3Wmd99m8ZQubGd0AHFskRxgqAU9zDffsfW/rPPXzdDJO98bx + bLc73WxPtpv8eMqj9R5BRRIfs2dOPUd7JtI+JCIiIiIiIiIicofsU6sBLkOGy0MGAA50AOJwkV1E + RERERERERERERERE5IrUIOiY8wlOeu+NDATIFEi8NEZJr8bAkzrJj48WrfR1GQgFkTqfSw3Ufp1h + s4MVlTCVVIiIiBw/M2utQ9qdnDOuuplIrdXdI6I9c0pJ4dYiIiIiIiIiIiIicsd1XVdrJZlSIhkR + ZlZrfcGPRETf922Zvu+XYGwROXK11t3FRX18gQofupQ6ACBBvJZTa+w5+r4fhqHruqV1bClFIQci + IiIiIiIiIiIiIiLyWiIJmMFT6hLSC+IV6RzLRKeZtckDLQcUeBJo/WRhDa9dHyMQy+vkQHstSJqx + os51qs+JqW5x2AkpW06WW4r50WZqish1CGOgHiq22wEEJCMYBjNky0O3PrWze/3DwVd2ZJnWfuhI + QwMNsIAF6IC3s5InMzPM6Y17bwFOAoawJycmPyRbp5TMnId2NvsT2vEdEt3yuj+1Oefoo6RxisIY + bZpsurDdhZUpzTWX8OpIfNG0PpGP67je9iIiIiIiIiIiInK9+GRkkUDg0MrdUYE4DDGiMFsuHz0K + edVrxP31+mUc9FX8VhG5Iu7u/uxYQ9/3y32S6vUsIiIiIiIiIiIiIiIACHgyGFC9TiSBZKmzKQoP + has0AN5Kg+Llyx1oEYbZUQ0pkAIAqmM7Rrda7ercZ8M05joO8DrPBrSG2rXWlBKA9vXDHWl/bntu + ERF5ZZbpJS2E+PJUk+VY3f5Jbp1pmtqdcRwBLHEXyytLstbadd0ne4lTSstZfjnpL0002vyH9ngL + zxa5LS4fFUVERERERERERG7ccum+Xez98PV8uS2W6+pm5u4/N9u1vdbtSjt0sV3k9nBi1eXp8WNE + gEBrhe7euhDYXepY2gqi27ihuy8HNBEREREREREREREREbmNSLRKNE1auKwV6JFkDVacrc8cycJa + XOjlBND2iFl9dP6uZ4MTFkRNKV2uY7o744k3wuh22H/3Sa7tfiAhAXD3ytL19mjziFZweOHwdMo4 + aQw7XZ/Vqbp78o6oYXeuHi2ltNvtlm9beakOET9XmzXUNlQbSW8h9ze8WneeIQxh+5QJX47HZmnf + tsUtIszR9Xm324WhGs1gbDu/k9UM7YgeEZj5lbe/lqbOI8XxtVc5nG7Ybk44YPTU5Wma3OFE9j5Z + 13krIQe5D/DuuhyBPvVGP1uf7Tbbvu/dvdZK0tzjyI4DTu+R63ldx+C7dOIPcpw4h+QrMBsSDCl1 + NebksIkDBj+yJHJpWmeMNhmpNUw45v5F2odERERERERERETuDAJw8OkHbJ9pTSDBp+0IOizn1CXX + sJCIPFdrFV1K2Ww2PGiTdTabTavcrrW6e855aTwtIiIiIiIiIiIiIiJ3WQWw2WC1ivsPzsu8Kwyw + HzqghVq36qFD2RQAvETlT6u4euoHLAgDre+7mMuQHeMWc2BGbHZ91wNodbM551LKUkNLspXj5pzN + bJqmVox0JRtBREQ+sTZIjUP9s7vP82xmEdGK95au4iojv41I9n3fXuJhGJb46t1ut8Rm9H3fpit8 + gudvz7nsGK1mXjHAcku1d8Fut2tzddpH1lbVLCIiIiIiIiIicuPaFd3dbjcMAw6Xs3TdXkTkmBng + PDT+3XPgLiZbi4iIiIiIiIiIiIiIiLzObF9Kn2AJKSEZfdWdOByX4zCfdCUPWpxvPiicC6tnA1BY + ln92zQd5RQgElmYMNBym6ADwhO28ebx9n6lyyb22/dcwgHDLCJwMa6M7nSSVSSzy+osWdE8yWJ7u + 3+IA9snQCXRzh9HPuns9B8AtHeNUET80lrmMZFtbh3llh2xcEr7bAogIBmplRAzD4J5JOB3YdyQ4 + tph2Q6BGZ0iMzpwlQAuQ5PLn0yJsNhRHpP1LLfKp5JteAREREREREREREXnF2oV32KXa0opwOKOu + V6eYAvDz3cyUWcOh5q0i8hHMzMzmeR6GobXHxSHH+pkmudM09X1/Q6spIiIiIiIiIiIiIiJHpIL5 + 4T2Ux38U45dO132utZRxnAfPy+hCq5A04mWLfghUJw252qEYE2FwYp7mde5rKevd+N/+hd/7g3/+ + z/3h2VgDUYaub6moKaXWTj3nTLLlpJZS+r7v+77WmrPqL0REbtiSWg2glJJzbsPT7r6EE9daWwSy + 4jFunXb+7ft+HEd377qOpLuvVqt5ntsy7YWOiPV6vd1uX+r5x3EEYGZd103TRFI7idxeKaXdbrda + rVpAe/vU2ubt3PSqiYiIiIiIiIiIwMymaVqtVgBqrV3XjePYIq5FROQ4OQ+x1gs7tKonfOmHe/gX + EREREREREREREREREbmlaCAJJDMYkeD3T+//eJvca4XjQ63Iq9f3zt+d6xSYkQbWIAlzqDjv1bBw + +qVXxQEDHCDgQKUFjXOMP37/h+H1sMyzr6PDInB2co8BErB9Z+lX94ccBxWWyuuqRRrzQ3nPh7sR + ETTQYPyI43eQMKDG/fWDFH1UpOOr1Dde/gMN+786SCKhgu5OFrN9q/xl/Ukw4AYEO+/Ww0neZYSR + OHQkaD97RH8wLQp3OTsiPFnUOXyuPhWfQMIiAFqhT5FG2EwvmtBzixzVznaZ2iqJiIiIiIiIiIjc + GfZUNekyuhhAYThj5QlBZEfgsWOXc+bkSrUWkY9Sa53nebVatSGQiGgR1+1fW5R1Sqm1nL7RNRUR + ERERERERERERkWMRCDhxuvo7/8v/9C//9Hd2pZQJ98+GuqnPLOkAWsPcl7GPxAacCIAGBwEbUkZE + WqUB9pkCnE/odvnhPU+otZLsuq7W2vd9C9TEIdw6IqZpAlBrbQtcxWYQEZFPqJWLt2DjnHNEpJSm + aUopteHpruvakhGhbNdbx937vo+INvegvdAt2brv+67r5nkupZjZMAwvm2ndnr897TRNXddtNhsA + l3cbkVuEZMu0bjt2++zq7newk4iIiIiIiIiIiByzeZ5bt0dlWouI3CLe2v62RuhwtE7AfLb3sYiI + iIiIiIiIiIiIiIjcTvtYXyMsYJHv33/IzX6AEEAYEoFWsG9RiYvpfFe3gRoIGAgC1ZChCM1r1tom + AAF4tIHb5Z/MWnEZGQVTXucfvfvvqs+xz7YFDGFLuwaPgCOdru9buCORZpYChNnLtnS47Y42TFTk + CpnxcGcf3FxrXQLvn86HBgECjoiI0/7UIkVEGOxY3ytsh0XAGbCoqMk9IuAGVkZFi7LG/jRl7WDo + ySKdnJx13WBjioCjxVqD5BEW5waCOdV5zpYjVTrZsaLSYXRYDSCMYbV6hAWh1grH6PKudQhc59Ge + ibQPiYiIiIiIiIiI3CG0NlrggLexYkM4ojd3Y50DMDiQfX7z4ftDKsd3JV1EjkRKabVaAdhsNmbm + 7sMw1FqnaWqZ1i3joXV/rvXZLAoREREREREREREREbmDOhg8YZUx5Dr084x1j7odQY+l0hUOeop9 + vevHZ4AHcsAJIxxh2BdK0aww6lgTSx53MEe/MiJqNbMWjApgmqaWaQ2glFJrbSMdbexDmdYiIjfO + zFJK6/X65OTEzLquM7N79+6tVqv2LYCUUst2bcd2uUXaS9Yyemut7t4yekspOEw86Pue5G63azMW + Xvb5IyLn/P+zd6c/kiTpmdif5zVz98ijqvqc+yApHpollgtKAqQVBGlBgF9EaKWPwgL7RYAgQP+H + pD9BWGh1QFhIWICCIFAgqSVB7mpAErzE4fBYzgznnp6Znp4+qiqPcDez99UHi4iMquqe6erOqsyq + fH4IWEZGxuER4eEe6Wb2Pjnnu3fv5pyxHdUg8szpM5b75yUi+up9DafNi4iIiIiIiIjIzRQRvXd1 + GIaUUj/Se23L8ImISC/h22igIawXItj8gdtiwCpILyIiIiIiIiIiIiIiIvJc6FOQGAAsGuB88c5L + dABEGB4Y32EAnDWs3T15u1lraDBsIlLlKfHtaSvMYWTyBpJOr1HTKt54+/uN5eEbc5uF3TCmcTUd + Akayh9pG3Lh+4F2Y6G4qnlZmuQkcUb0Aexv5MLqBcMKJBgQDwJgODENDwMKv3xaC8eBuis3Zgj2Z + 2wAH67KcAR6E4yK9uwdXM3h8eGwweP/sG5n6FRqu16bAYW7WyAoWhg+2sEWCWyAMyIgBMTrZmBpz + Y77qRZYf5aF9zbXd9Wg1EhERERERERERuSm2k0aRtr8TAEF4qXXMY0oGoBL5YPrlX/+//uzf/reO + Eg6URSsi78bda60556OjIwDzPE/TRHIcx15pehzHXki6Jz1c9fKKiIiIiIiIiIiIiMjVI7DUMtqA + cCcOD3Oc12R41z7JhycU/Ti2nbbhBAgLWABAI+ZWgTgYME3DOA6oC8KNcLJnAfbgzM1MJLLXVc85 + L8tiZr2no//1w70AIiLyofRg41oryZzzblsNwMxaayklACR35+UZ0qOsAbh7Sqm1FhE554ODA5J9 + KEJ/uwGs1+vHvf8ei74fnVJKyTlr/y7Pot1Gbz8DfhzH3WdERERERERERETkakXEPM+11j71LGcV + uxMRudY2lXy5F15NNBh6XYJQqLWIiIiIiIiIiIiIiIg8Y0j0aWTkLtdXNiLCmCzoQQu+dOfFhybZ + xd5M/yAi4dvf/eZP/eznvDULhNEdmsD5VDgYCBrQehUFwIkUQFiNmi15tGC7e/LOvbO33CoYCAP9 + gW7eMDiOD28NKSUkInkAYYHGG9YZvIu1FrkRGAiQDK99kj4BCyA2WRUbBjpImBlpCamR1y31vi/5 + Nmmb2IRYA3QSDUHQ3ZHb+fqeRyVBbNK5idRnqVvw6OjI+5MP22z/jA++HNcFmSIYEe5uA+f1GnQG + UgBuQyBZYhAwREIYAFzPZyKPuLZ7IrvqBRAREREREREREZGnp+GRivDhQKxyDm9o7iUqgGxYzuzV + F4vpEKKIvLse4WBmy7JExDRNpRQz6wXESykAVqsVgHEc+68iIiIiIiIiIiIiInKTESDCAggDss91 + SDkc0WCAAQwwtuc/0EPscqwbDds7BJCOjnzKpWHdlnfmE0wGIhp6lHWf7+HufT5Pa63WOgxDv7xH + XLfWlHkpInLlUkokSUZErRWbihIXl2Mbfa1M62dR3/nWWvtQhN3b2vfL/To55375B7j/fud9d9+H + MQzD0FcYkWdRSmn3SVmWJeesTGsREREREREREbkmUkruPk3T0dHR7jCs5peJiFxzbTNehwQQCKCp + ur+IiIiIiIiIiIiIiIg8F3bTDwVA2MVLwTCzfPvWC8k2M/jeNcc0LL72ja8GHRYNDXBLej2fIgbg + gCOInmAKc5K0Xg8hpfSNb35tqetgfa/7SCndOr5t3My97TUWbubn4tqGiYp8APbjVucAguhzqy8u + 2pR12b8juMHManGSMEb4dc1I3o/cdsDD6O5kb9v5+n5z3+R5RwAwMwB9o3fr1h2EkSnB4Oih12b5 + uuX5WoABVp9gVlsKX87uWy05MDQfG4bGsVl2JLfkllylFZ4ZuzJH19D1+hiIiIiIiIiIiIjIE9X7 + CmL/wGAYQA/PlmC0gbUBreKFF944P/eb2LEoIu9La22X5dBHIQzD0DvwSPbzu96RXYFpERERERER + ERERERG5yQzMeYIB5MFqdXpvPSUwZcCdHkQzON3pH2gGxn7v5mZ+VJ+PVOZzL9UyAEyHCdZA0ACg + 9270WR+9+6P3cZydnZVSduGaKaWenyoiIldrN8ceAMlSCsnW2i4VY9dPfTG9Vp4R/d00s1rrOI4A + 3L1PFe5hvX133FrrFz6u3SRPM+v3UGvNOV/mcxB5ikop/ZPSWhvHURs9ERERERERERG5PlprvUZk + RKSUeles5pfJs+3HzbbWdGx5LIxeNhgAevXxBrTtWBfn5qC/xaZT7NH1iz9yYM2P+Ctjc9pxIoBm + u6E28XBXHDen2Pym+qUiIiIiIiIiIiIiIiIiz6wwC4YTgDPcnOSt8YXJD5JnAIxNSGoQTgQRhoby + 2g+/26zF0BzNEb3fMAUYxjDs9ZurA/0Sxd6ragEDLMzCAAIBc2f1XDn5t773zWa1mQf94oYB64mn + Ycbx4OCIkRmpx1YSMPDHZuKKyHV2scmlxwObX7MAoteN94hwtO1YFQDYjBOJHnqNCLAB4FJLRUQ0 + 97qNuL0ebRiQsdkWPvD0LZwepDW4Jz+v54FK608ygm4JJBPSEOOt1TEAMySjBejGyD0e++K1eVLt + u6FfnPb0p9YQZgZ6Q1mvz1gj9WuxBhvgFpacKWDwHz2aSK5Kz254iGKtRURERERERERELlM/4tZb + d1cB8feDQAISgJ5svTlUbYhMDgHr3ZFHCaABA8djpyq3isi7Synt0qx3F/ZQh935d+0yERERERER + ERERERGRGyqAGgCqARPndTnAgHTcKoqhWhRjI0pqNTUnEfzxlaH3ONGYAkwRgwfDAuYkGLn5gVly + LktFOwPPUUslYi8YFUBPuOznc867no5+uWIvRUSujx5ivT98CA9uriPigyUfy9PRWouInsLb255o + 0nOsd+/pNE37Sb278PLdmcd9UAA9GDul1FrLOffH6n8qpeAaTwQVecju22n/Qrv5sPCRk4iIiIiI + iIiIyIfx6BGn93f0ieRuchnJ3YwzkWcYAT5Qhnv/FA/+uneLi/zgRy+/OD30wdLR3edbbFKsAYA9 + 09orvPUKuXQnatrUGk6BtO0u219zbLNqGWAOc1g/30vGGx5Ott6tbJvrba/QM62rmdM8EXQgdrcd + gAQ0ejOvcO+38r0ixyJPy7Isu/P7ncgiIiIi8l52wyx3X6X2v1OJiMhT4O59WPI8z3hwiPJu0HJ3 + VUsoIiIi8jwLIBAOBszM3UlGRPSQZj4QFXzTMpgJ5BjMGSjN6jqVBfipF37m1nwnx0E0jGa9W5Ap + BdHMLcG5nMTdr/3gb4ud2Qqn85LSZAFzZLfk5rRG822mslyiRjSi562am4WllszNEWnkut33vF5w + +rXXvnLWzm0ytxpWHT3CPGfPY7OxGgo+9bHPltmSrVpzMgYCtVzx03vqzGyeC4nNbDyVzn5/9v97 + JXtSsmbjXgd9DIgH++Z3c2IAHgQYYWRrzXKaS2mGRjiNAYancMAQSAZUZFg417GsYz0Oljax93aN + Tj4gBsAcABvYAobI5jFa8tqYLI7sO++8llf0Bkc0IJKXWgC3ANf+yZc+CXqLBq+Dp+QHVsfYxno/ + hWfBi2FoTjgj+gkI0H1zQhALnFNaR2mprXH65ttvWplyW5UU66GcT2UeZkdCjEAFC7QLvpb6AcC+ + 5eybzf1aCteNynOIiIiIiIiIiMgzadff04uZ7irSvpcrXdhrpB+n3v7W+xgA4qI7ncEAwhHZoUoB + IiIiIiIiIiIiIiIiInJ5LAE4r+fwguPDshrvLSeJAxjbGTIEA4iABQzxeFMeHATM4uHivFOygbaU + OBqnj60m3HsHafAPEogpIiIiH9Z6vU4p9UQTACRrrT1nul8yDEMpZT/y5FLknHt0SkqplNLb/qee + p7KLVzk9Pb2sBxURERERERERERERkedQH9OwPeHBCGF7t0nt+9HX0Yuo75VKV0jwTUSA/Z13A7hp + gQAfWYti1z6yqvgjZx64cFuFP96jzy22f/JelfTRMGw44A3NL27wfp6eyGWKiHEcl2XZRTNe9RKJ + iIiIPAP6kDyS4zi6O4DdGREReQr6f6+9naYJAMlSSq01IoZh6Jcsy9KHUl/t0oqIiIjIjeNhvXOS + HjmINMWtjx9/2hrJqNUZAOGOoJMoDc46896Xv/OXGFER0zTVuuzfZbx3p6Rciv7yWpj18gt091rq + cnAwtpi/8YMvv3H63XyQltr23wjG9gTkGO4cvjrYCpF7vqYFEpTqLPLM2w78gL9HqvGj2+cgDA66 + 96zlhgwwDMD99X1Pzd33xq74NWmJ/aWqhDNgYQyDh1lugdNyPrclopGgIwEJMCAFhpYmP3jp8OXk + uQd7gw4wCNCBui168+TafbtaOpu3h0Fst/P9SY1pPDtbt/DheJzT+quvfXlaHbrTiZLgBqcHMmDg + LpZbngHXOTcnX/UCiIiIiIiIiIiIfEAR4e59/HRKaVmWXb61iIiIiIiIiIiIiIiIiIhcN22e02o6 + zhOG8Vvt7AUuP3Xn1Xb3bnKAm5q8HgjQCU9IjsfKtX70ygE4EK2Z2ZRsXtf29dfhhyg+ri7pWYmI + iMjjWK1WPcHa3Vtr4zjmnGutOed5nsdxbK3dvn0blz0tM+fcH7cXg3P3Hpvt7hHRlwSAux8dHfW/ + XtZDi1yN9/HpUdkRERERERERERF5L/HADxF5GAO7QQopAPi7VIDdXHWTab2r4h2bezAAdnEr37tn + HcB9rhGRL6rVps1PQwAt0GxVMDUrpBMtQIOHBcG4WGE8elC6x8W9AnFRiXg/zbr12/bLAfThNNvV + EgAD2T2FA4FwwPfH4NhDewOtnPJ09Voitdben7ssSz9zbSv8ioiIiFwTuyFwpZRhGEopOWcNihMR + eWpIcpsNt16vx3HcpVl3JycnR0dH0zT1DfUVLaaIiIiI3FCOYLKAwyNlcyd9+sRHP/NX3/mTlNkK + wpDMijfaJhHZU5uHu1/6zl/8UvmVw8ZVYp+97zSwAk5YcjQdfLh0YT1mtTFAT26Al+wAklupbiPz + in/6tT+5a2+1VB6+NRFES9VanrD66J2PZuv/gAQYLRqMN63X7RpniYo8cbvRIwC8j1Tpw0sCyYAG + N3/7/g9Ths/BSEF/tzzmK0MYAEMjPMWmQA3hBnNH4hDB09P7y7KOlJPBSkqW2OCtWaRcpwPceuX4 + Y6kODAatEcHWrDZrgDvCUJ/c8jsyAAtDHyG2rbGzG6XjDwxIY9R0Z7hd7fz+/MOz6d5Xf/iV+XBd + UQEkhwMWuW/Er9GbJM84ZfyIiIiIiIiIiMgzqQ/CSykBSCmVUvr0MxERERERERERERERERERuZ7S + amrLkqYMw3/2L3/rC//ef3D3/umtbVHm3qZAs11xZ3/cOunvOnUyjBEBS8dp+Mlh+p1f/pVf+uM/ + xe2DD/VkRERE5APp2dIAzCyl1KuQ90uGYSDZc6974PQlPm5Pzq619iJxu9oD/aFTSvM8T9N0iY8o + IiIiIiIiIiIiIiLPq/0Q6x5xzfB3/SsACzgeCAPene23sV2jKqM3QAC+SzffXYTt72EWRgBhwU1c + +iOh6Xsx6rsz8eD5h870QvLx4DCcAAgGUngKT+6IzUCdIPYfof9mmyV87KcscomGYZjneRgGJTKK + iIiI/Gi9MhuAPl5uGIY+ZE6hPSIiTwfJPkZ6WZbVarW7PCLW6/VqtTo+Pu5jm7VlFhEREXmi+HBH + m2z6D1IoIQAAIABJREFUK2mBQERYgC0C6RMf/Qn/RstEWD8xAkZ6hCWAUYf1D85f++p3v/rzr/5i + W+pkq0oPc4YbwHBuE5JNX3IvFcMCAFtsyygEK4CUh7bwfD6/n+5/8Vt/Fnf8dFmPh4gHxh14Iwww + 8CjdfuXoI3lJEQ0ASfeA3bgu4MudtSryDDEgBRgIumPT426AhyPAhObuqG+ffD9sjjhEZD7JjOfH + ZQGwf359O1hrs8MJWHMwM4i7J28vURoNMMAs0BpiM87GDvLt4/GFtGTAHIBFYOmZ1gAsnJGe0PIH + 0Qf87I8W226PHh7/EERqhprGgQvLnM/+6ptfPE33ztrbdhj91UjRE7I333UcCDpCQymuHZKPHgC8 + tjsjxVqLiIiIiIiIiMgzaRiGZVnGcexj8nLO5+fnq9WK6i4WEREREREREREREREREbmGiGiexrEs + 64GGMd89SMtZs5TCG4A+6alfd1OW9/GnQV7U7Q2/mL1jrDW8VBY/rHllCw6GPglTHcwiIiJPWUop + IkiWUoZh6BeaWa/dRnIXet3DrS+rWFt/3J5sPU1Tj83u90+yX9iXYX/BRJ4xN6yMiIiIiIiIiIiI + PDnvpyNVh6PkxnKi0oLGgAXITbHUvbKgD9SdZGDcfmB6EW8H9kt5O7e/c1PDVIMZnmMEEgD4RQ41 + EbAGtBTTgGYImPf1KXqqtG9uGeD2Zn2EDHf52NxcGT27upepfSToelvn/GKMzjbWOlI44L0EcF8F + U78fIsFjt/TQaBt5qnoc4y4MjOQ0TVe9UCIiIiLPgF6WrY+FI5lSaq2ZmcJTRUSejj5oubW2K5i5 + LEutNaV0cHDQt885ZwDjOGrosoiIiMgTRVLlyh8SdKNFBCMYSJ6D/Pgrn8qRajiMzgg0JljQPYJw + Yp3XJ+3eF778h5/71N+NklvzMAQRZARywGLTIf5Qj7l8WGGgA2TA6QCCwUDUljLa1P7q63/2/bPX + 2itnraEBaXv4xwknDF4MueIjxx+/xTvWyG33r/f+4BsmIiL2+tJ1uExukuRIgUYEvSEzYHALBEFa + gVcuJ8tb5+3+AV8CkgWuzyadgQCCHn3cTVjAHAaCZGUDU8l84/SHPpSWuIDZwtkqIxgDktPv3HqV + vuoDX5p50AMGVhAWYCR7YrHQDg9rzfqIMfZtD8MAWFy8yNtBY07DYT64d+9Nf3WZh9N/+ee/tRye + Lz6PY7YZCFjAwvr4s+BepR259qLviq4lxVqLiIiIiIiIiMizqncJp5ROTk6Oj48PDg6ueolERERE + REREREREREREROQ9RWK4D+OItsBbHByW+nafourcTJIMEoheRffxJs6EOQFeTLYEfVOO1zmYedTB + UpR6NCRYPY/5gFO6tCcnIiIi78suRrqXaetV2FprPVW6p033Am0AemHNS3nc1hqAnpk9z3NKycx6 + iHV/IGxLog/D0BfyUh5XRERERERERERERESeUw6Y09O2Mjffo9qkAQRse5v4EWW8N9Wo5bm3l2m9 + u6ivFT2sGgDcua37HnBYX8cMjoBvV6pNNdvYrGB4MLWacVG1dreK2nYJjPDYrJ+btTf6Pfh+7DoC + YE/N9oCpD02esogws571dX5+ftWLIyIiIvIs6UPgImIYhj5Mrg/Pu+rlEhG5EVJKtVYzW5YFQCmF + 5DiO8zz3kdKttZRSa63/23vVyysiIiIiN0tEOBoJCxgSmOB8+c7HXjp6+bXlzcjRiGgYs3lzI1oD + JnjCnNZf/t5fvrX+7qcOf3a513LqfYdp2wkJhilW89IFAVhy22ZaO4NEuNdY+flw+gd//ftxUM/r + +eoItWJbPMH6lRsBApF/6iM/vSpHcAs0MziaM2jGdnXP7Spc2yRRkSeNYQwwAKJvGXouNIHUdw1E + S/Ws3n3z/usvDD+BMGdgOwTlytsgGO7cXhCGMLAHXSMyG4vb/Ma9b/pwFlYCDUQLNEMEWrgjPvbK + J1lTatl6dZoA6ECYWwoDrI/PeSLLDwBt+9sD/GLX6bEZORZh3rDmQbM77ff+5F+9dvLt8+m+HXAu + NQespcbWbxtQpvUzY9dxc213Roq1FhERERERERGRZ1IvbDrP8zRNx8fHACKitdYLjIqIiIiIiIiI + iIiIiIiIyLUSQIANnsDkATvwlkg2XyKxXwHcTLnJDjw6HefHPkQv64s+3zIAshfhrS0NI3NCStEq + cyC1xl7m93EfRERERC5Bn3I5juP5+fnLL7+8Xq97knREpJR6BTeSl5Vp3R0eHp6dnQEws55y3Wug + r9frHqqNbbK1Mq3lGbW/4r6fOc3XdN6ziIiIiIiIiIhcO+/VqaoYJLmhLJDhET1jeNtuo4ixPfr6 + 0DFY314YcAeCj3yEep5xXJyX51IAvSp4rwsMNwAkhj6OJZAcCU6YwQlYIMIMabtSbIuQR6AnXm+T + rbccdMD3ErIvtuN9Xb24LoHo9W1369yDa24AtG0ZX9vGYYs8Pb0HuZRSSsk5t9Z6R3PvUxYRERGR + 99KjUvv53TC83SUiIvKktdZWq9V6vQZwdHR0enoKYBiGXZ3MlJK7m5kGLYuIiIg8Ufq29a7CWL1l + C1pYM9hQm6/ywU9+/Kdf//Y3ljSDCCLIKJhGBGGBZmi5vTN/7w/+5nd/5e9+fBpuA2FhCFgAYdiE + d7rmK10up1tY8kRas4WI5MnCPfvaTv/467//tXtfwlGLgO31B1vA6bugU8bwMx/73LisrBEALTwc + ZA9DtZv0nl3bJFGRp8AAC/SNPAIOAyoAOIy0HNF8wdnrd7/zk6/+YjMHPeiMHil9xa3FbsQMNuHQ + dLCC0dDykKs70smb73w17O0gSDjRHBgQDa0Fwj7+kU9bHc1TcgPZc7uTI/sAoJq39KSeLwAGc0uG + vtO0B4dlurM/r+gvews/5Xo+OPmrb37hd77wL/xomcNXCb7AIpkZ0UAA4dQwzmvt0aN/13lPpAJM + IiIiIiIiIiLyTMo59/lm/ehbKYWkMq1FRERERERERERERERERK4zs3S6XiNnYPDGaZoC3ufYOOF9 + 4iph8PQhJmLs5lgGgeCYhlIWkufz2lMsdYbPU39AERERebr6cK9hGEiSvHPnzvn5ef+TmfVC5P0K + PXP6sh7XzHqmdc7Z3VNKvR4cydVqBYBkv+SyHlFERERERERERERERJ5PRApkxxCewhOceznUu0zr + PhCiEY2o/QTUfomh0aOXkKYDMDh2mdby/LMHeqR67dpeGDQcdIdjcwKAoAPR46cd4QgAAQJ0chuq + 3mOyE2AM4JHK49xmWu+f76nYi9liuZqBtn3EvSE1m/sxwl1l6OWpM7NdylettV+iTGsRERGRH6sP + w+uD4volfcDelS6UiMjNMs9zP3N6eppS6v/P9k1xrbX/t9uvcJ2TbERERESeA7t/h/W9q3MCQETQ + AkBUs2peI7X86Y/81BRHFiklCxKw1pCNOeALIuDJl+n881/8ndfn7/i0ICx5SmEEAEMY4BbQAYhL + 1N+v3vYgcgsmNwBtLHfxg9/+8988H++t6+mYOZ/vZb7upZwyLNfpEy98JtfJkIAgo38iSOVXitwg + +wOTfLuxtkDfQ5rRU6tcv3P6w2JzTa3nJffiLVfe9oFY+3xzuTua5QgrzuXs5O1wmPdBOGDAyASY + g2Gv3HnVYrDdy0EnKugMcBM1/QSfRQobHMkthSW35EgOBhh9jFAFG1iDBSw1n58evP21k7/+1d/9 + Z/fw5km7n1YoC1bZLIzRn4THxdaeCG3Sr6+Hvohe2++lWodERERERERERORZxS0AwzBc9eI8LzT0 + XETeN3fv1ZxLKb0jpJSy+2ufG/zQhSIiIiIiIiIiIiIicmP1krgRPqwmwGCrpTCMvq2TW21zaptO + y8cOlUwBbkvrxoM9nwaWpU7TUOHJAnNZRU1Aa613c+y6NiKil/HaVZDpf1LIpYjI9dHLLPZRQ7u6 + En1D3TfaJLXdfpp2r3bfh3a70QL7u9RdwfFhGPr7uNsF95s/NNjgEt/H3V31h2it9aWNiF3G9qPL + s1uSaztBVOQhD88ZJkAwGYj+6dvkWtA0UlBERERERERERH6UvZhUywTdegYSibw9IzdP/Dj7V36O + s7IYSEDeBgMTICLgFR6gkyWhZNSEmlAylgFrw9owZ9QBbaRnNEMB9pOtGW7oJ8S2Yqw8lwgkmG0K + u2+ypgMgCKIaFgBGJPOAJUSC5xqpIlXY5kSrYAMaECSAcFRH3d0hiF5wd5dm7cCQEIAZAhgGrANh + mId8Qvq4Qmw27w93N0QPt37+K5f2NKldp+d+16Fcof29TERoMICIiIjI+7cbGI/t16qrXR4RkRtl + f6vbWuv/z/aRnDlnMyul9EHvz/GhVBEREZEr546cc/+nWN+79piZuRfAyRyRhmyo8fOf+XvD+ijH + 4M3pdMfBimUOVCTHkFJp6znN6/HkN/7w//Dj0xY1xZgc9CAZxmieNWPpskUQALMBEcUHH+p54YB5 + vP8HX/n8W/G9e/7OdDAmT+bIgAUAlBljzu7wiikOP/3KT96ZXhx9ogct+n8ipN3ArrdaK7kZkrHb + LGj78D5FBAkz0z+zz6wH3zX6ZihIwMzmEszEFF9+7Us4qmvcJzy5XZOThSGs0RrRiEYLmsOcFtnm + 5jAuS33jB2+NGFI7sDnnaoMjlWHCCiUd5INXX341IpzNbXEubsVTcbZgBSoDT/ApBJIju+VmgzOB + CWTA4JZgKWg1rCDVSKXi/H68+Tt/+X//L7/9T75++rftcIkBpWDKhkLzTfx2S9W5SbamDv9fV7td + rZn1QS8ppWu7/81XvQAiIiIiIiIiIiIiIvLs2Q5BYCllGIZSSs55GAYA5+fnBwcHfexOKWUcx16W + +qoXWURERERERERERERErlpDohkBD8xlfPHls7ffenkcotZGC9IZFmDAifSY02Ys4ICBIIJAwAkH + QLRak6U02LIsMNi8xhJYB8ZIKQOIiN610Ts1UkrLskzT1C/JOauzQ0TkmujznPfTiEspPR15/wrY + FhyXp2C/qEd/L1prPbi670mnaaq11lpv375tZj3lupeA7zMwcdWh0X0h+1z6cRx7pZL+lQB7Aeqn + p6dHR0dXuJwiH1i408ybA1iN07zMJBEwMAgG1KpVq1atWrVq1apVq1atWrVq1aoNBjehqggQQGyL + WPo2/WgYx1IWtIZkuK5l9USeDo/N56V/cJxBMOVUSnUgfPMJcgKBIHJOiHD31hARFjBDNkSAAeuf + un5f8rzjNh360QLPPZyah9N8ejamcZ7PbxOtgrbdVgN94xuIZqhAA8PCeoepE0ACPdCv6AS2VcsJ + TJZ/sF7GbACW8MFyOs4nHmdI64PDeyASQSAChDdE6osEwLaP/Jwzs2VZxnEEsDsjIiIiIiIiInJZ + emINyT5WWccfREREROTpszCHgeZECgeAMCCS2wvTK595+adPzt6BrYdxqmU2o2UkG0/PZ6KNg4Fx + d3n7G+986Qvf+MN/5+Vf4oJlXcdxLNFqrceraX12zlGRiJfGAr3688l8/9bh0bAeW2m3Xzz+QXnt + 63e/9Pt/869eP/3e7Y8drs/mtrRbB6v1vGYCicPDvCwtJcbCkQc/+zN/x9rESMHmdAu07Uzcq32C + T9/VTmUVuVoXaz9h4bstQASWVqeJ5/MSwR/c/e477QevvnAwn9/vCcrXhIU185oqgNYyw9zc6S0K + M9fL/Ob56wvLupaWSMuJjqitREWbLN258wJWLdKyzNUC1bylBjjDWk0W1mhPbnCMwb2VzUgcJpJB + OD0YS505BMznev7WvTe//b1vf+Mbf/vNt7729ur1N/2N9JLH1MqMTCTkTRwyHQSwybSWZ8L+Duja + 7oz0HU5ERERERERERERERB6bmfXCzeM4LsvS4xx2SQ+llF2mda01Z/VHiIiIiIiIiIiIiIjceAFy + W5M3D3jh9tfP7n8WDa30wrwOBGiBFLCI2NRsfgwWhvB2kW3a7wRpmsq8HoqZYxzTncD/9O/+g//i + //sz3JpwgN6X0SM5u/Pz84ODg9ZaSqnW6u7DMKjLQ0TkyrXW+iQ9kn0T3X9tre3SkXexyvtZ1/Kk + 7eLGdznQu3ek71sBjONYSiGZc+5V2FJK/SbufoXTL3POrbUett0Xuy9zX6rWWq8W5+5HR0d9XMRV + LarI+/Fec9BTSgF6a2WZDQhvq2FcyhKxy6dQq1atWrVq1apVq1atWrVq1apVq3YXax0AdmUsh2EA + UGpxL72WZcq5LcvTOOAlci0FAUMNIGAGd9QAEak5U+oRwqAjzDZFwFFrSyBhZEREAhlBsLVw9jrU + AHZlo8F3f2R5TnC/Z2zvTU9OhJ3U9uI01WKHOMrRAot5BANAI0hWWjVbEto0rBFzRLXktNYDqIMZ + q4ce0QAG6MHh0MxKqxERRkdgNa4D58MwH6wAghnE+fnZwcHho0v+fKdb9+nS4zhGhLuP46h+ZxER + ERERERG5XDlnkn1MdUSklCKiR1xf9aKJiIiIyA1iwd5DHUSgR2M6g4fx4s9/5hf/5s+/OOTBwyzQ + mhNwR7KczYt7S0sb8w/m1/7fL/72z/yDv9NKeen2qyf3TsejkfT1ehmmVXWHUjYvjUWN4WA4azWG + hhlmuBfvnIzv/Prnf+37y3cw+FzmFg0JUWPgUL0QoFl4paWMcSpHn/vULyQfAnA64GAwAN7E/0Su + bZKoyFNhgCGcAYRvxjjBVqth3WYzS6nllO4v7/zRv/78i+OXLOH6jBNhILmBXlMDkFtCWGMOgtZS + 4un5/MPltfPppGY0lCFPZV7MjAwYma1N9c+//afT2fEQA1BrLs1aAAxmNwtzPtGU6GCmo9VaSyml + lKXOc50b2jv33zk5u/vW/XdOzu6WmC0DFvM0368ndoA8WGtugdHIyPACIuhBBLdjkIKBm7hJf1b0 + Sgv7ru3OSDWVRERERERERERERETksfXCzcuyLMsCoNbae0d6uDX26onnnPtE7qtdYBERERERERER + ERERuS6ao1Yg/svf/e1v//t/H9//PmNTpJcBC9gHnX/B2E684V7Z38D5vF7BAOSMNrfbR+On0oTZ + cQsAelj1/jyQg4ODXWjlNE0AVCNGROQ66BXDd8W8zKzWOo6ju9dad/XEe4UvxQ8/NT0Bevdql1KG + YeiDCty9h530AQYAIqLWCmAYhlIKgNba/q9PX1+evs7snk5rrX9DSCnN89y/D4g8Qx76Qk2zuhQC + yZIB2VKppZZCoG861apVq1atWrVq1apVq1atWrVq1ap9qO2HmHqNxlrK5ogTYZbcW5uXlHOrSraW + m6v2j4cBBpoNvTBomHswQIR5ImBI/ZM0IBFkH9iAFuj9EmFA2iTJI7AJt+53/PChXnluxPbN3S9Y + SgRAI/Lw+mA14aXjF5b7p9M4eRuACDpgjWikGxazJeFLb949A06BBWjbO7a9UTO7lShtLxmBn/vo + J6dp+id//MeYZ6wmLDNWE8xAYjWCBNPhwXA2z8fTdLGQ3F/YZ9uPqAzbWnN3kr33Wf3OIiIiIiIi + InK5+rhlAMfHxz3ZGoAOPoiIiIhcut13LXlXBCzMQcDcHFEBt7BYj5/71C8cfvF2wTyXmlLy4i3Q + 4CTNLJpHxLDCcnr+1df/9e9+4df/4d//z3/49lu3j++syz3CVtOhF3/mOxSvmURbluX2C7feeOP1 + jx59dK5n9+2tP/ra7/3p1/9w+qSNPpyclIMDpGzzaRnzQIMH5nkZ89hqG3nwyvTRjx9/2tbZzYPe + 08wNYPSiDtcls/bpcPdHNw8R8ez3hIv8GAE0ohHApqIL4QxzoNVSG1prY8ZS3MvZ7/zxbyQflliH + VYSBfuUtgeQGeM0F8NwyIjVMQXjMQ8ruaNP8Ds5tRHikVDkgGKQ3x/11+cb5195+45/XezbZGKwl + n7s1R7KwbZUbf3LL7+YODzpJMsJIMujOgLUWtaLhVkNC0Fu04hgPUQrm4gAGA8NqrSknj9Y33QxY + mDZez5Ze2ujaflNVrLWIiIiIiIiIiIiIiDy2XiUcQM65D5U2M5K7cs8ppd5H0mtVX+3SioiIiIiI + iIiIiIjI1SOwCZw2jBMyUU/fOTv5eEPmZvYjthP+fG821OM9SDxQ3DkFEBingzbPiVEqhozzu3eP + D15Cq2jRWuszaXsVWjPrcZs9g7MHbfYatbu0VBERuSoR0cuIA8g59033siy9h3qXpqza4k9Zf7V7 + mjiAYRhI9jeovy8AetvHFbj7arVar9fYDjnYhV5foZ5jvR9xHRF9FMQ0TX296uvY1S6nyPtx8SV6 + Ox99SHlpC4DmLQD39p/+x/9Jog1jummVR0RERERERERE5EdgANxUywsS237blMdf/dVfdZgjIhoN + 0RzRrnJZRa5aBki4ozQAbik1oLaWbex9VA6kXj8UDAAcKwJRCSSkhGwgLaovm4O62wrJge34Cnle + bY/eBy9+66HUDSD9n37ry6gL5ozhAFZgRMtAj0Hf3gMJw6cOj9dAeTAmm3vB2dh2A/RY6wFw4A/+ + 9qswojXcuQ0CHnBHKxgHmMHRWpRaDqfpgcUOe+6LeEdE79Dsv/Zu0N2vIiIiIiIiIiIfXkop5zzP + 88nJSc5ZaYsiIiIiTw3JPixCGDA3t0BkB4wNbICbZ2v2yduf/uyrP/0Xb71th82jDIO1xZmw1OIN + ORvo3goszeP557/yW5/49Kf+3if/w7P5zHLE0oZhuH96kidNyb9METEMw727b60OxxPeLQenX7n7 + 17/2R79qL/rd+R0SBxMiEIg05mWZ86GV6gkYUooauQ6f+4lfuG0vAQyG0w1OtwCBXS/wDZpf1mco + k9B/Y3IDNYPDtqMCd8NALKJNU4oEBgPZzO6tf5gG89SCsVcp5ipbBhA56DXPQM1u9NGxchhszoQv + qFbyEWrCvMZS6irBW0SKPFjOMMbJ/GZ6cZqrh7WWTp0eseqbBAtPYbwYuXXpLazHZ0dEtIgIeHhz + erSAbQb3EHAHAEtYCiyQiEwmjHUprZbVNESr/a0ijGEWhr55D5VWuNb2DwP2ndE1pFhrERERERER + ERERERF5bH0ydkqp13Sepmme5/6nXm+61ppzBpBSWq/Xq9XqKhdXRERERERERERERESugTC4IxGA + Y8jIKZLlESjAZcwG3hT8jYfPr+fzaZyWZR4PrZ37wa2J4VhmcBPA2bMqe3olyWEYek9HROSc+18/ + 7MKJiMiH1rfSPa+6b6hba+M4AnD3/bzhnrKsCuNPh5nt7ytba2ZWa00p9begx0WnlFprvfja+fk5 + gOPj49PTUzw4D/NK7MY5YDv+ocdv94UHsEvs1nolz5K9VbUnx+eUvdXBMj3+u//mv/3oR15JKsgj + IiIiIiIiIiIXYr+n1cF+Pojzs/n/+Y3ffPvevQADkYfBHa0p1lpuNBKEOd0DbsZsbqkElmDPHjaA + 4b0NYoaDzBgIz+7mzTySR4IBjgdbxjUtWymXhohtXXDbtn2ratMR0JACqzuAlTQFzGCbyrl07JWg + fQ0X3QFkRsSm3428yLjuAggwYpVHHE67v85LnaYB7qiGnBCBnOoyT9Pk29s91Dn2HFTAfa/eSXfv + k6Zzzj3TWpOjRURERERERORytdb6gOo+3H2aJiVbi4iIiDw5iq19LwQs2CIFw1kBBJE85ZYZx5/7 + yV/4i9f/bEC5v+DoKNe6NBabUoQX9whYgDlazPfxzv/5e//81X/4mY8Onz3i4dCGe/furQ4Pq5er + forPlTwOZycnx7dulXR2lu59b/7mP/ut//lufitWrc4YM4Yhn881EENmCzoRgXEcy1wZlmL8+c/+ + wlQPATQ62XpnNWMw0J+LLuDHsp8k2v8d0/9lckM4LWBuYC/DQth2uGBpwdoG2slpO5iGNepwuCCi + Ak5YXIsWMEQ4veYKwt0Raw8CaHE+GGwEgAgwMGYO2RJaKWCgNafj3IvXkvOpjSNYw9wJ+DqQyWqx + zZN+Us/C+pgfGgEkknAijCBtkzQOr75Jwc5EmTEOsEgoFgkpDQ1l9iUZYEAgebZI7EOJGMADw4rk + +tjf0fTz13a/o1hrERERERERERERERF5bLVWkrXWnuswz3Ov8gxgWZaUkpmVUoZh0LRtERERERER + EREREREBEEABmsXk9IDDRiII+KYwetqbdmH9Bo/DuZmfY9ykWfc7cQJDPvM6ZKzPfJwwRzljwWoA + N4HWu9xNM+tzEVer1Xq9Tim5u7vP8zxN0+W8ECIi8kG11iKib7R72HAvLO7uu8l7PX742s7le171 + l73vVXv6eA+x7n/tZ1prR0dHp6enPY88Ik5OTrCNlO7R11e1/H20Qx/qMM/z8fHxyclJr1M/TVNf + /v4clWkt19x7bfv65662akD1asAwDPQAwx73a7eIiIiIiIiIiDy/GAA82CsbBoAgHXjxhdtnJ/cS + YMbiUUvJtABdB5fkBqsOh0dOnIZKO/M2w2M1VqARQTgBJAAW5oSbmdkYZKlpXnLjAezQjKWmgLkZ + gDADHGbbERDyvIqL1gEQBiAHcgBEDeZ8hGWAmQG9C837eBik/fvpdU5JmJFo8Oh367Fdf/ZXo4AB + pa4BxFI4DmVepmkMwGk2WS1tGFIpbZqmALxVpGybwTjPlffq8kspLcsyjmPvbu6To3sf6NNdQBER + ERERERF5bqWU+pGHPgC+taZkaxERERG5EgwAGShhDQAiAZY818V+5tM/98JfvHKP3zFiLgsMi2Na + MSrqjBwYM5v5up4vY6C9/b//i//xH//yf8Xlsy/wDnCeEutebLB8aL4s6zt37pyfnazT+s3pjX/6 + a//9m/x+OVqW+fzgIEW0eamZQGDdlmFKtbSUAJh7yUifePWTn375s1aSh4VVwBH7YdYGtCt8ek+f + a/2UG8wJhyUY4GnvozCO2dEiImfkPHiUZrHUHp0MB4JX3yLMwL3NFwD0cTNjgjkSYcTZOWCYEBEH + cVbSAAAgAElEQVQtDAQGMCIlsrDYChGI5gAYyA60EUhOgBVP9ll4H29JoBdCCGySxd0DgBmMTBHu + IGmOwxSpGevQKgLgSMsoBTAYgYCFmRuDzs07pVDrZ8W1PRioWGsREREREREREZEbZXNUeTfbsheI + d0YCN79we9peZe/m7zJL0wmEbe/9sbrldrOqY3tme9Cb3g+CA2abxfEHriAiV62Xu805l1JImtmy + LH14dC9ObWY92VrTtkVEREREREREREREZMsHWADMucFhGTa2CjMa3Dfdjub05AE+do3mMAceLu0c + gHsbh4GOxtYMC9BSgc2gp5QQQESitfA+EZHker3Gtv4vAGVai4hcB7vI6ogg6e7DMESE2WY8ya5v + ev9CedLcvb/auymUPQe651v3t6nnRp+engLo8dU9x5pkH3VwhZnWAPoIh1prHwjR87ZJrlarvrKZ + 2e5pilxnFzEnFwMEgd3nzlLzloAxjednZ/mlF+ntOQuiEBERERERERGRD4N0gAECsE05RZI4Ozsb + x7Gtl+qeLLk3D7+mRfVEPjR75NftcVdDHt+eplX43aGa2VLdc/Kc3zo5+da8vA3gfF2Atqvour2T + ACqQAQIZmIBXgE8cTK/cvhVzGcLNN1VKNxhEDSeY+69vrCYkHdB93jzwjm6n2kdDzgdAwKyvN+5I + 9uDNtmvLpnsu4C1se4eZXHrtWz5w//03M0aZOQyAD1N2r7AUxpPSDofksemTra3mlPdu/bwlW78r + d++Z1r2jc7VaYdtJLSIiIiIiIiJyKXpltt14eADzPOv4g4iIiMgT1b93yYNabF4VAs4AYAwQyWL1 + kaPPfubFf+Nv7n7vcMLpGtOKlqKU2haMA1Y2lHWpRB5srvOST/72zb/8tc//b//oP/qvz9vq8PbB + vbN7w6ar0QBY7Gqh7xct94ChVzXHRXe50yzgfLADfXOtC9dtyAq3z3H7qj5cnv3hJwBg71nz4Ztc + PHunAc7R7tW7sZpP+Nb/+pv/w/frt+bVScN6GOCtlQYCwzhF82Upla05Dg+G5Wy9Sod5Hv/Nj//c + neFFW6cwa4QhOzzY3/GwG5ZpLXKzObYRErsNTU9cbqWmgfMcq9WwXp+nEa0gJUQAsdm4XXlL9FTo + hwZZOQEztAICeYABYzIjl6UByASZ6tJAA2ADl2VX1wbc5mMkIGBOf6LPgttFN5CI3WCecbTWHI5A + MIwMBhjG4i0wkjbYEq2Uwuw5I3yz2Nj+NCf29rly/SnWWkRERERERERE5InYDctT5dAfa3eQkgHA + AQNR4AEkBJF6D2YMoPn2+g/2e8befRAI+//Zu9do2bKrPuz/Oddae1edc8+9t1/qbkmWEBISL0nA + COZlpAYToZgQlODgkAEZwcnAH5w4/uoxGPkQf0kGeRCHIQgmJIEkjochwzYQR8YYmZcAGYEaJCGh + F90tob79uo9zTtXea60582FV7Vvnvu/tVp86ff6/Ie2uU6eq7j67du2q2mvN+TeBAzaN0LveZbJ1 + AKqg9aUV8fV5dbG8XuEARBhqC7lGO/tPRFuitaJuk6SxHg5peQ9NjBEs2yYiIiIiIiIiIiIiIkCA + DiilaIxD8VlUVC1DDWnmJQuqajXAEYHgUu62SngjGFvgLg4XmADiPTQvx9n8zDjuX8nwM736IXyB + 1sbXAYdsVH24uwCQUMpqwNS9QkSu7V9NRETHYGok0WYKbfaVmMamOYloS7T5AzlnbKRchxDaZIN2 + 5TTl4BiZ2TTVYQrYbusGoGVaty5yx7aKRHdAAG1BKbJ5ZdtvDSLFKwTVsaxjUhGrYPgQERERERER + ERFtaG0Sr46cCkTc1mfdC2CAmTmwTuC9q2JSoi2nuCa4VwCoAAIBBDFC9Wc+/VnUgnZuVdr//ZEz + e7YRZX20ceiKrxOyW7L1nwLPPPfcNGPhOleruVc/hoCUFGHz2ukSu7CfOKuEaaDtY6urBPAWZa4A + EIAABWZ65F5HLwHr3WU6Itdp7M2vvZkBZi5df/WRRAQiwJkUAIisHjyFGzcpfWXvbNcPQNNJ1IZ3 + 2/M4vRqmwV+i48ZZB3fnmtcy3aFpis5xr8hWm7IksfHuz53tnvETVMNd6MXjkf/ecLvdAx78Xzx+ + z5psborNzxgagtUaUyo5t3MOZqspytNHtemOtdau6/b397uuMzN3b9Ot2beNiIiI6EW6Ov1h+urE + 0xiAi9VwtZ5IPKpb8CIuonvLw3zuzCOPfdW7Pv0rHxzm0IQaXTME0A5wLGvWoMEjCoKXqoeyJx98 + 6tf6D/bf/c3ff9YeDX0XJIhJq/PP1YNI1KAQWBWHi7m6waq0rgJXu587dD3sLgAUDkAcusreNgEc + qKrb84VEXKcY0em0bKvtavGo6x+nXyqwauquDoGpr4JVVbXWGkPI5mOuse9CSGMZXG2YL59Y/vEv + /MbP/tGVD8WzXpbLLkQpxQQeUAXLUoNpkg5mEutQiguCyZnh7Dd+yTfMaociiAmOqhWwitZ/fljN + fDhNSailFFVYhcjqKHHrb7gxxqnmNOecUiqlpJRehlXdNiLiDneklLYvX57uSPAiMHGz9chlO1KF + AJh3EVZyjOqOKDeZAnWczCRDTDZmW7Vsi1qhAQYsDRpQ3NSgAav4DC8SFe4QlOKqgBtgtiq+LZD2 + owHroOsvtvVxp21jqwZABSZQmAvEYXCoCJAxAFjlrzikvVkKAFQxC9m1ChCM55G21OaIZDvjt80n + VxlrTUREREREREREJ9KUZi0i4zi2gZxbzHHf2jN0L7PVaIEYfHVxfQbe1m0tj3BoXW9U9XV5swNo + XeArpI3ybsRfu68KZ2+/XI8Tq7sD1tZEV/8y7Mj6cOCfiIiIiIiIiIiIiIiI6GSzYbnsZzMH+iji + wJDl3LnnLl46FySsR3QNCiCsRhXvmbi4Cdpwp5rNUl8W+wHh3NnzL1y5dH83R86bXdZNfD2MuVW1 + VURERNtuCnue5m61Hmotu3qq0s85txlfLR+6dWQzs/arzdr+LdHWsJWGqmpb55xz3/e3vS/RMVKg + XnfNZrPqdfN+NrAmIiIiIiIiIqLbk1XS9UYHYQGcA6p0KqlCFTECR0YK3P3CnTWanPpRri7Md1/s + KrHy+oS7wRO4ukqny3f+JN/JkXk9RnAHa0J0Yt0w0m9qTnI99iG5tWu2GzfXHbrh/rZqqkI3N80/ + aXvaOg2Q7shm6/MWKcGY4VubQiWxEW5E94zbkF6MFgELHvnvUgjBr7p6PY//tza9Y7rzg9ldWCXm + rF+q7Uq+YCfto1eM0cxqXX3GaKHUEGmzo9t8acCmQOvr5Zzn83kpRVXHcRSREIKZTZ+TiYiIiOiu + 8EvmrblsfDZ1EW9Jmm5i89nuweHlNzz4ptfd94ZPHu4v/BCGKUS6TRppecwAxM1hS8H9j+x84BPv + v7x/8J9+z982242jaI1dTKpSaokxRNXlsEwaXMwEgLigJXgCUF/NS5HV/9VbirVDAZeNHuW+Soze + Hr4u0bIjJVyrvwuAybUhqb4Ok8X6uWg/j8uhn8/2Dw9T3+3t7V4+2K/DEOayDIfPLD/zs//svU+V + T84f0QvPHdy/248HQx+hrVG8ADAXbd3ec/adnS6Po+T0Va9/28M7r/VD6UM3GiwAEIMaoDABBOY4 + XUmod3t8KKW0glkAKaVaa0qJ39foJFJfdWFpP262Wjl6Q3uZop3vgRRcmzxtAOAbf4MAm3kZ65vZ + kU4yG/cSe9mGcW+9YU2uWZq6AmZ6dVKQOKZw6yKwVTB5gau4OquGT46t/bDKWGsiIiIiIiIiIjqR + aq0xRgDu3nVdzjmltJrGR3dBA2DTSfOrp9pXqdJVUpauDfGKuDoEpusBXAGmE9rh6ontNsvy9st2 + frwIPLSqGK2qrUk9HN1GAa0DwnE6IiIiIiIiIiIiIiIiohOum81yLepdUECATp+U5cMP7XWXD+YV + aNU1G9Wt98wFLdO6KAAEEfEaNalh//nnHt3bi5fyP3nHu77no590gaxvv5lp7XgJVoOIiOiUqLWG + EFpxfuuSaGatPWLOuS1ns9kwDK1deGvK1rqttV8tl8vj/ROu19plhhBKKe1vERFmWhMRERERERER + ERERERERbS23qoKjwX6tv0ULYLv29lsWfLB1VLzl4zbT5mJa4q2JHIn6a0tus9vyowl/17yW6dZU + VnklWPdAN+Pmu5VV3yQBNt4deHCjF+mGRy3h0ex2puP/9BJUhaqWwviNW7F1n73N7SYitXKHu5Up + KWR6I1AVEamV+9utbH5OE6CFdm1+UzjlRODuJWcAAoQgIpJLbdOqW1fM+Xy+WCywka1+vRaH1mYv + d13XJmMzI42IiIiIXhbqYu4KgftQDUEwi3tf+1Xv+OSvf3K388NxoVAApoZ1WmgLFk1Jc7Wg8twL + V87vPvDpz//J//S//zf/yb/zN8+mt4Tc2XIpYglexkWRoH1aoDoEUHENpsEtWoHUVuyPVYm/2jr0 + 2gWbjebFdb3GL9/WuRNVS+uePjVTl43w7bTxpVNcW0q3i5lYVUc7M2kCaOrikPPOuTMHi/3lweGZ + M2fLWGu3+Nzzj//0L/29/Z0L3i+/8IV6/8MpH5gEbXmsCgRvaeOjCyCQCis+w54fpG/82scEMzOt + DogpXFGAaWUD/HRlWuPuk0RDCK28tJQSYwwhjOPYdd0XZ+2IiIiOE2OtiYiIiIiIiIjoRIoxDsOQ + UmqDOiml5XLJTOvb0iMXFW0SJFTggK4nKRsccAS3I3dyAxyugK2iqQE5Ogzn0/jpHSzVpf0D4quh + 19UjADCBQ9q1AocWAKtVJSIiIiIiIiIiIiIiIqKTx6GjjaoxAHCgGrrue37lfb/3F/+NeUBf4Qr4 + vXdvtKvFnkf/XYGJjKUEQzc/s7eUgysHrzqz+4BFmLUbVGzUim5UvhIREdFtmVkIoeVVYx1WHWM0 + MzNLKZlZrXW5XLYbtLL/ruvGcWyPsFwuQwjbNvWrZW+XUlJKbZ1zzmwPR0RERERERERERERERES0 + tdwhso6y3lhe052+Zf6J+JYNU2+dzZRhEaiuNibj627tmmD1KTeXibm3FgJUJYSgqlNEBN3WtMXa + Rpu2W4tRpJvp+75tuilasm09M8aa3sre3t4Nr+cLtmmvu809qm2Z3d3dY16z7WZmItJiXAG0Y1qM + MaV03Ku21TanMm6+EXC73Vo7zrddTlVbElV7OzjuVdtq0yTh9vKMMQJwd37emIzj2A5c7u7uL7zw + wlNPfe4f/N8/334rIovFok2cvkV22vQ5ZDabXblype2cL8faExEREZ0C/Mx/QwrY6r/a+pDD4Zrz + mOOszwv/qjd8/W/+4a8/nT9esBDVqq303loBvrRAZY1ltNRr2knLYfBkz45/9n/90k99/zv/xiOz + 18SgVr1PM6lxyEWgcDdt/6q5AG4CqKmJiax6khug4nBYuw3g6w7qU1S0bVWytdg67ttxdMXa5ZbG + PbVwF4eIVbl6lUOqqLoaQopxsb+fZqGGcijPj2n5h5/4nff9/s/n+bOX83M+w30PhiuXc484TzMv + h6vHnP4jANB1kAE6pC956M1f8siX1xf6bKIwAYCiqG29TFQ9igNiq93hdDCzuxrqanWvrWa2fUFm + pjUREd256z+LuvvWDkoy1pqIiIiIiIiIiE6kWmvf9+3y4eHhzs7ObDY73lU6YWQ1YBzWFVDrAGkA + gBvMgltXS7LcrpuGRQW6kV999FHbAPOdUoVERygwIFQE07Aeyb4agL0648rplURERERERERERERE + REQnW9So0Dx6hEhQiCIG2zlTxoONW9lLMjioDhcoYI5SbXfWLw6Gutifz3bPZC2Hw3w2b303K6xO + edrrkUppNbHw9fCn4t4Tt4mIiF7JVNXMVLXWGkLIObt7rVVVU0o5r6YexRhLKS2+esq07vvezHLO + 25ZpjY2/q/UacPfp8nGvGhERERERERERERERERER3cBP/dR7H3jg/hCiuwFiVttlMxeBiKqKaogx + qAZVEdF1Swsub7BsW09EQ9CUuhDaJDpXDce+btu8bHuXaghBQ4htTxOBeTnul8jJcM3EDM7TuLVa + 65Seu5mSeIvERALQpjZNIcRtRtA125Cud7PXI1+nTa217ULTHsX96g5NG6ppecNbm+iwJdq2mn6c + YtS5v93aFDwPYPN1uoVTWLdKC1lpL88WoN72QL5Om5TSOI4iYma11vl8nlI6PFheOdj/5+/7F+NY + RDwEHcfx1ge3lFIpRUSGYZjNZm23HMeRYWlEREREL4YI2vckkanjNgGAuDoAMXE4YKLiqmJA6XoZ + c97p91zwzV/9Hf/0tz49m6VRBDC4AupiU/vxxbLsne0vXhn6DqEPC1/OOv/EMx/86f/vufe886+9 + 9Y3fkC8FG9NOPDtTy4fWpVjVXUeTKmKmWnweXMRr8NXH5Snfenr67LqTT1uUaQ0Y1GXzRwBQ6NXL + Nzh5VtQBExcY4KIONWgZ6iyms935y8vn/P7x2fq5X/rgLzz+2d/dr09LV+M5jAGHhzUquq4/PFj0 + ERCITyHlq22VoKGGbrHz7e/8K7o4k3TPETyKWxWYohgAaXHmm/c+Le72+2wIoZTS7tjOKpRSYmTu + JxER3bVpTG1rT+bz7Y2IiIiIiIiIiE6kduptGIa+73d2dmqtnBh6WxtJ1BuN4K39SlumtQtkPZQo + DoGHqU97u8s0UurXDIoqYBC/8xbzDgCucPWr48HWVlQdsl5Jhwg7xBMRERERERERERERERGdbAIE + oFiNXagZUYAhA525Atryp9c3tVW89N0ME6pfWzQpDjWIoJ/Fg8OhSxI1LJcHCnRpfiZEmAvKqnx1 + Yzx1c50b55AlERHRTbRGddOPKSUza11fW7l+06Z7TU3WWr71MAwAppTrrdLaE7Rl+3Omzo9ERERE + RERERERERERERLSF3vjGL33Nax8NmhxVEEodU+zNC1whBldRFwRRV4kQq8Xb9VzecNm2j5togEoU + 9Xa9VRz7um3zsmSb9jSBijocELtRcAVdqyUkHr3muNblZFCdYkwNG2mdnOFyazFqS9EF4G7Tljvu + 9dp2N3s9mvGFCgCqCrQQ65aYi82XJ92Mu4to27vatjIzd8aB34aqtkP9ekP5ehtyu93K+g1yFQs+ + pYO3OaJ0M6oqIu4A3KxgHXTNBK8m50FVUoruPgyW81DKmEv57//b/+77P/8DH/7wh0Uk54rbvUJz + zgBadnhLSnN3ZloTERERvXg8UXYL6rpKjHZ1gTlUsgbV6tG7OJ756td//Ycef98T+wc1lDI1M3fF + OtZ6Zyb7+8NshuJ1lMPY45nD5x96+OyFK5/5++/7H9/x9n/rO7/he3a7+MKl58+k813XWfHgxVxd + 3ASAuqAqggW72s/cxOFi6quQ5nDdR+mt+/br2jaOHF2z1rvd2uZa74oKE29Z1GqAQs21nVDa2ZuN + dblfL4UHyx8//aF/+tv/6NNXPjKm/di79hgrhoIuQkKfcxaRq90PfNUnwQXiaoOlIb7p4a/8yr/w + NXGYS06xS6VaFFO4OVTMAJdgourAKUu2NrNrvp+1r2A3u30LPlBVVS2lqGqM0d15Ip6IiO7c5hvH + dGp6C/GcLxERERERERERnUiqmnPu+97MSikppRBCjHGzFyrdhK2Wotf0gneByfqkobSwafFrYqo3 + Bkjl6ojj6lGujbq+9XoIqsAMYd0g3sRKMARDsCruMIEGAIYAQFgAQkRERERERERERERERHRitU5J + uY6dhiSLxTBPHWrSMUbTYAhtAPJFFF9ou68AWI10tprVelDmEUXcBHEWg6nncVwcoBZUD8EqZDV4 + ulFMO+Vcb2k5CBER0dZotZRTX7/Wrs7dQwjL5TLGGGNcLBaz2QxA13Wter/dRlW3MNMa68ZwOec2 + J+3w8HBnZ6ctj3vViIiIiIiIiIiIiIiIiIjoxvoYALhDBOKSgpTiGgQQ91VWopdavAAmErCKYeDy + Bss+de5AUPfqXr1UQN2rakRL6OTyRst5nwBzF8Dc3a26G2AiAjlFsRD3RrCeArrR1PsY12f7uZtc + 3WzT1uN2uwO+mh+sgK+mOB/vCp0AN41pYT8oAIBbwebGWO1YUMbb3JK5wQyAiAS5mjrM/erWWrQw + 1rvc9PIU5Ya7tfWxfhUKvr50mrK77oG4b3zaaFfBReD1uFZpq0QVszous4ikoCEEEYkx1iI/8d4f + /6Ef+qGPfvQTKu1bqmO1491ASqmU4mvtcfihjoiIiOglsXmykV/TV1zXH/JtdeLaAqAiYcxj180P + lmW2c+ZseOBbvuqxF37v88WeFZirObTFHbpHcRtHm89RgDLC1LVDUjyXL8cd3T0v7/v4L/zphY/+ + u+/4gS975GsuP/PCnpyLkFgVtXegKKpaDRmASxRflfW3Nugt0FrbiffVdVcbpJvY9nxWVleDXnOO + bP090wG42jQ64GJqSKatv7u6wkO0CKCGcqVePIgvPNt/7rce/9Xf/si/PNSLuudwjAYxOOAVqZtB + wsHyYGc3Wa06bQiLLuqAuErB2fDAX3rrY7O819luzeIzqV7CaoVhmHYAmFzTev6Vz+zuzgP0fX/1 + 3ItIK4xVPW2bjYiI7tHJOsvHWGsiIiIiIiIiIjqRzCylBEBVVbUN7TDT+g4YYEezrAGsfrLVdQoo + RHNAVTc1TP3fj1pPnm93vPpArTX8bZd+NAb76I9tPY+Gam+sKhERERERERERERERERGdPOap60bB + Ycm78x5mMI2zM9jfb+WXYd2i5SUZFVw9piMEuGBZoDMfxhqBPoY+BZSCbBJU2+Cn36AnnW+MsF5b + VEpERESAiJhZm75Vaw0hTPnWmyHQLdO61jqOY8656zpVbXWYLTf6mFb/pszMzNq6qeru7q67M9Oa + iIiIiIiIiIiIiIiIiGhriVcAtWYzi7EN+5ZaaxubbuPaIi6iANxbyuRmFgKXR5aljABklbXgIgK4 + avBVjASXN17WmrEOY257nSoAdTD27/auCc09QQ2+j1fbbi0BEdxud2DaYiLSYkiwsQGJ7s36k8bV + oKxjXZ0TI4Rww23FDXhrbbttHvmnI9txr9q2u2YTCRPt7sA0SRhHP+XSpH2WaB8qaq1mphLN9MEH + H/yJn/iJ97znPZcv77cvprd4jeacWzQagBhjrVVE2sTsl+0PISIiIqJTSYHiYiaqgIvCFeZRtGab + y5mv+7Jv+t3H/9WVckl9FMDFDEWhMAWQkhzuV3SY72AcsBgxjyiKLHY4vHDm3OxT+x/7n//J//DY + 29712FvfHWvphllX+mAJHsXR/udiVQtcBYbWZ2AdAn0kfNhXq2s3aAZwvEyh16+UAWjx22IuBsCk + faWCCYKreOvE7jUMJeShWyz7ix968vd+9Y9++dPPf7z2S9MRhhjFg5TqXjHr+zLWWsf5vMt5VF03 + k5/+cVe1tIvdR/fe8BWve1taznz0eT9f5EVcbTsRRIXVVTN5W2/U0+u2X3KHYZgqYUMIOeeUEr+v + ERHRi7G1J/MZa01ERERERERERCdSm8PXxMjTXPdqassuZgAQhpxjCoAgyCIIktdDV0U2dL3mpQkQ + UwLcSjFBnM8XhwsNiKlbLsZeAaht9Ha3VV61iIpVy7V2IYrKkHOXggKjVezEsl8qqsboVmCGagHm + UIM5VKbBWc5lPWmmQdZxHLuuw8Yk+Ds33WV6EI7dbpUQQpsD3Z4pd2+zqzcLHjgTnYiIiIiIiIiI + iIiIAMAVwGJczLo5AJhgtvuChLIx/rt2LzWQ0sYoZXV/meo4DAakgFKqBJgjW4VXZMcwQmPqotT2 + CCIiBoe7wisAaWOVUtw7DnkQER23aWC6Lc2szR+YuqS10eoYo5npDd5f6Iti2tTXTOe4PgS63SCl + 1J4+Vd1MxW7PHYAp5brNSfhir/8t1FqnJnFERERERERERERERKfKXdWHttP+bVlKmU74swaciE6c + 6ejHQecTylEhFpO61xDFvIQo63YVjs1UAdm22IOtI6tXwJHt5GDfjzsyBf9h2oLOQ8rtXde+m3vb + bbTkkfV24yTXO9ZmBmO19db7nXCXoxfPNz9q0B3wo58tuAHvkLU6h80jPz/a3plrdyxutzuwSjqb + LoPb7Qhffa4I8PbaVBXFanK1v/rVj/7cz/3sX/2r/36tpUs6jiYKM7QItCmcvpmmK0/zqNuU+GmW + 9ebMebZ0IyIiIrq1Vnu4+rQmgvW4j+M469S2z0ZItBgslKwxzM2yOtTFSjgcu+967Pt+/Jf/69CP + hjxknDkXL18eVaKa1II+oSrqCFFEgVWIanVLs7AYc9f7YXfhl//w5z7y1Ace+5q/8tbXfFM3nJv7 + XqwJpcK1966gjr60WIMHEWkflMUBqMNVQhuwM0M1CxJDFJRtqvUTs7IQgWrY+KCu2ope1c2seBWR + GIKIGMzcgwrMzUpNeZwvntenL9Q///l/9n9eWPz55fEFzEqKIbtWN3M3V0FQVS8SICGqWVGFCEpB + CICoBni1PI5nujN6afbuf/N75ranOeyk2ZAHCRXigAK6Hulpz3u9/rz8K941SaJTbfKtt8T05KaU + cF0h7SnhV0cjVrvQbbcbERFN8yrbj+3M3tY2LuBcTyIiIiIiIiIiotNiY4hHAPXNWckqZrWKzlIC + gFwRuyspPZfmcQ9IYTHmZanxwd0auoPlgJhcJcS0GJbz8+cWi0WMMd2XLBcA6jA5soyiB8vF3s6u + CSyXsZa9nd3FONTifUp5cSDn5MzZ+z6zGJ7euw/dLrQHokAUEBigLqv1phNkalWQc+66rjUguIe5 + sO0upZSu63LOKaUQwvTgdIzaU9P6R7t7Sinn3K4Rkc1O4mw/QUREREREREREREREAKBSS9nt5hU4 + OFyc7RM6/ULEm6P61AvO/UUODFYBABeIQ30Vbt0uqMDW46bB8DPf+Z1//Xc+ANi4WEQgaOkktD8A + ACAASURBVMxmIurmXUxeclQt8LGMEpO4s+MfEdGxa924RKSUEkKY5g/UWmOMLYG4/ZaD1NusPUFm + NrVjazMQWtBFm3IAIIQw9WV7+YlI13XDMLTOF33fTzveca0SEREREREREREREdHL5m7rQ9vp9FYH + GmNspYUcryGiE6QNX7Zh6HbNVCh9vCtGd4HPFREREREREW0F1YBhOezs7LzpTW9673vf+8M//MM5 + G9bRXzlnVW2TqGOMt5gv3XVd6/E4ZVpznjwRERHRnXDmrN6OOBwCgcBcgNZFvDUCh0KKoHaaznev + KrPx6978zb/5qX8Z9+Tsbnn+0jifI1TxLPAAAF7EoOthGgFUVTVmDItSpcs+s088//gzv3Xh9x/4 + 0F96+3d86UNfEZbdbrfb19liv6hqnMUKM7N23yBRVNwgKqvyQxWNAo9mVrMFhW7TMzybp1ozarUK + dxcoUAFNKS3GQRV7u2fc/eDgoHidzWapT8txkbGUnTrOlp95/uO/9pH3feBjvzF7IB7W/SI5qjoU + gMiqYcKaCQxuCjOBSBStosFqsWIAzu/sDM8t3vEV735k93XJ5sGDwMVNYaoBFa3HuwngBnHA0C6f + Jjw+EBHRsdvaNyOediQiIiIiIiIiIjq9XCACCNwK4FEEwGIxzGc7qOWZbv7ETJ/FchFlf0fK+bPf + /4v/GFawtwuNb7n/EQN6wHApAa3JvAGtx/w1yzYobZeufPi5L2A5YNZjzEg7wByusAwvGMY37eyg + myEG9FKA0DKt3SBVVkWECujxbjS6c13XteH/lNI0EfbeanfdvU29TSmZWWsc/FKvL92dWuvUWro1 + km4/qqqZTe2nRURVOQ2aiIiIiIiIiIiIiIjaiF9IscADZG9njmXGudkP/uavfvRtb6sKE6gjtFJY + ubc2o2YKFzhQRIIjmocpx9qhBltf7sz6ZcZY0aObdX2IY7Wdbnd/PNAYxpITUMzCLMbYuXtUjlQS + ER2/Njydc57P5+2arutarMJyuZzNZtiITFYeurdVm0UwzSUwsymSvM06aA3iW4Z0rfVYVtLdh2EI + IZgZgGEY5vM5M62JiIiIiIiIiIiI6JS4h/rQFqzSigpFZArGJiI6EdpIZbtcaw0htFHL410rInrF + 4VQWIiIiIqJTwXKZ92lxcKXv+2/7tsf+7t/9r/7O3/kvu07H0WKQ1HWLxdD3fa21FAMUuHGMXGu7 + 5+5tDnPr7ZZzTim9vH8QEREREb2yiMEVAFwhKg7AAFWJcECyOGBjzao6Oxsf/pa3fufH/uzjl8vT + i8WlnQ7jgG5W64iAOTyowaRsnv/20QBPGlxr8KTzWEJ9rjxz6dn3/8mvffDLX/P2b3nrt7/l4a+r + i7NS01x3ylij9KoKkzpWMVHVVtZXvJhVF5MYJEAFiIDdSw+CL57Dg6WoJ00xhijRXcysVs9DmcUe + wOLyUsT7bjYTKTVfzhfTeSy6S39y4fHf/oP3f+yJxw/L5dmDYVweRCBqJ0DxVlMpMBc3wBQKQfvu + IIAaqsNdIAZAHLOgYRgfnr36m77yWx/sH5XDICKAuWfxlo2t1p4nBwQt7hqnbzh0GhTe5O731tuC + iIjolYQxEkRERERERERERKeIAQpt44UmUKyKnkRFVuPJmM17v3Igqfvbv/prOCgwQQD6iCiwgvv2 + IJ5j/ORuZ8MIV5ioo4MYzGA3HNhVRa1QBe5/oJYSYgRQa/AgpaC3XkIb5SyYx1EAQIB4ZFzTHfeU + h0zHqjUgaKHUAJbL5WZN7x1S1dZ7OsY4PeC9xWPTS6jNco4xikjOWVVLKVO78HEcW39wd6+1tsbT + x73KRERERERERERERER03FZDgb5YLndnc8xSySXO0hi0CgBUQQBaZeSLYQIXVCAIXNCKLRXAetBR + HWq4r5+jOgSA5FoAXYwLQbBapVPNbu5lLAqMtZpjlliCQUR0nFpbrjZ54Jqo49afq11ujcU5SL21 + puiL6cI0maQ9d21myDEGWk9Utc15aHvdcrk8PDzc2dk53rUiIiIiIiIiIiIiInp53FV9aDudXmv1 + NWZaE9F2ullStZmFEDZzocyM484nzOlru08nifN4QkRERER0irSWayklERnH8Xu/93s/97nP//iP + /+Rs1i2XY10OAMZxdHdAb3HeNaU0tXdT1XZag5nWRERERHeF7YtvxCCAB3UxD0BLTS7WqvBdQ0iG + arVaVZX+deff8s63f9cvfeD/6M51CGOY4+CK7aSAavAITwDESqvoB5C6YKVGhWjK2d1HTRrnMtTl + kJf/+qlf/9iTH3vD2a/8xi9/7G2v/zqV+1Kc22illCix6zpAbSjDYpjNZlGDpxZubV5NpDVUF2Br + zrq7zuc7ZubutVjxjLbXCVIfs2WDYyauyL40eO6GsT/8vc9+8AMf/1d/+tzHLsuzNQ0abRwwC31E + NEG14jBXF5WNAQZbNZNfd2Iwg2ioXoKiAzqLuKzv+MZvf/Xe61PeLSVH9YpR1APUs0Pg61eD+joc + fMo4PzXuti86ERHRi9E+i7apmNPlm01eOnbsqURERERERERERHSqKGBwQBSAAUEAMQdqraqr8V/Z + 3UU+hM4wD4CiZHQdgkIVqgCkmB0UCEThXh0ogAiK37iYyioAOBSIkABIKSZRCkyijb5wryklpOgw + dY0CmQb4BIAUKIAA5VyAE6Q19m0nylsn4r7v7yHeWET6vsdGO+PWn7rFKtNxac9CG4yPMbba7CnT + urWcaMXbfKaIiIiIiIiIiIiIiGjFMeYxdHE+64chxz7VNI/x0DZLgtfVjwZV3G1ZoKtDXAJQW0Ul + RORIZao6AIgjODRnLA/hZ1GzACF2i1IcDoUXK44+aS42lnEeO6xSuYmI6Ni0OQMiUkppF7quK6WY + 2VRJbmYpJbYX32bTHIP2hB4cHMxms9YgXkRCCO4+m82GYcCx9gho8x/ajtR2OQDnz58fx/G4VomI + iIiIiIiIiIiI6GVzt/WhrUczAHefUliGYWjFoURE2+NmVc8hhFJKO5S1Uct2NOPQ88njitb1Ylu7 + ANPpxqQEIiIiIqJTQQSljH3f7e/vz2Y7pZS/9bf+82eeufAP/+H/EwJKRd+nYcgAUtflm09OznkV + iRdjzDmHEMys1spkayIiIqI7tFm/7u4sFAdaIb8DBnF4UEuQCsmQ6u7mQUSCxKAQEXgQl93x/m/+ + ssee/PynPvTkrwvG0EEEouat4TgA6KrnuQCwGOOyFOQwT52rlTKWkoujCPpZGMdS87OfvvL4k7/9 + qd/4o195+5d8/de/8Vt2cbab9cXioh5qCX3sZ7PZsL+MMWpAAAIUIubVq2HLuhyP2UVURBDgXkXd + RCT65eFi2ulHH5bjQehD7PXPn/7zjzz5+D//4188DBdNMnqfacxeDBailjIYslisZiISNJjUNt4l + QFX4epghOMQ1iEIEIuIuJeKw+4pH3v6tb/mO+bDnGSJaxWFVIUFidYfAZFUmqQ71VZv30zaixlhr + IiI6doy1JiIiIiIiIiIioi0xJVvDARcIbLChD73lKogSUQ0hdUjVimmX4H27PQCrUEVUTaFTxZiX + AvSzbjmMACA3HYps8yZzsRjVgRB1uRy7WRxt7FRdZOEDUGaSVpnW7V+U1XoCLPg8eVpZb0s4jjGW + UkIItdbb3vGGpkzrKTKZjlfrSTG1b44x1lq7rjOzKdm6TX1uAdjt6SMiIiIiIiIiIiIiotPLYWPt + ui6jFtisTwZUAzIAiAOyypx+kYKjAlNB6g0f0gUAggGpRxnRzyuQy+gIcdYVzyhjDBizSUAfOwFg + HLQkItoKsm4mkVIahqGNXLfZCG3wOuecUpqmGdAWKqW0qQUxxt3d3RYa3eYYtKfv4sWLs9nszJkz + BwcHx7WS4zi2HamVhs7n88ViMeVbExERERERERERERG9st1tfWi7TbvcblZrZaY1EW2hm3WGLaW0 + oed2ABSR6TKdIMY4CiIiIiIiItoay+XS3UUkhOASfuRHfuTppy+8//2/CWAYcjuneotMa2ycd3X3 + vu8PDg76vm9t34iIiIjoDrXeuVuaHHhcxODTp8oIByRDioZgFW7R4OJt2MWkhrCQc+nBd3/Tez71 + hU88OyxzWO7NsRg9ygiJ7aG8NTwHAORSRBCiVFRVi/MQBYPVKDA4FDXlhV/crxcvXrzw+Q9/9jd+ + /1+8+bVf/favfPsbXvvGvXRfHUIeFqHE2Zlds1KKo1oQTRpEo1txf0kaErxU1B2thXpFNlSzUkOu + Ntpu3cfz1pf9cOmTT3ziQ3/8B0994YlDvWwPLPftko+mVaPDpUJgsCpQWJAioi4QcdjVNHYXVIHi + aji7OswqgtcBUtKePPTtX/Oeh8IbNe8GS6UbDbUUTxKiJfFaUADz1tPBFdBVFwY5XTHPLdZ6yrwX + ka3NFiUiolceETGz9ma0hdibg4iIiIiIiIiI6BS5ZojMAAEUiBoBC7GHYzmguO3OdSxD6HqDicC9 + BkQrHqLC4RmoNlZLsR/LsBxG7YLlCsc0hLxJRPK4jDHGILWUGOMwDPO+R8Zcd2AGrXPpgRBca0Zo + Q9vaUrchPJV5Yg3D0Pe9mbV+xK1zwd2eMW81wDHG1s6467r2sF+kdaY7VGt19xCCiLRW4GYWQmgz + nkMIbTp1axTOXuFERERERERERERERARAY4C7i7Qw61ixA6CmVDX4qmJ1qgxW3yisvGPi0FaHKQCg + drVXy7VNS12tZrgh9ghqgIZYq5dxgBpS8LECUIjVIh5VgXtaJSIiegmJSItPqLWO49jiE1oogru3 + semU0mKxmM/nx72ydGO11q7rAHRdl3Outbb06PY8ppTcfTabAdjf359SzI9FzrnlppvZYrHAzXvc + ExERERERERERERG98txVfej021Zg2C60YZ2Xd62JiO7RlGYNoAVaM9P6RHI1URNA1K/trkF0vGxj + SUREREREr2QKqKqVKqL33XffOJblchm72Xw+/7Ef+7Ef/MEffPyPPob1WQhtJ11v8hW2nXettQKo + tc7n83b5eKdYExEREdFJ52jJ1gYEeFrXzldRV6DKrFQArR8AxCzWNMPuI7uv/8vf8N3/+Hf/QdQr + Y768zgovACB6pADfkKKI2FiWxSAOVYjADWW0PgWNyDmnvRBCubj/xDI+84ULn/iNz/3i+dkDX/rI + W7789W9786Nf/fB9rx4PljM5k7oeOdSi5iIGR7yuw/ox62KqbsVHDyaxImaPQ9GDp57/s89c+NOP + fvbxz1741OXyfE1VzhuClZJVoTN1ryPcHSIIbRMBVRyobjBzdURAoQY41AFDaQ0PAuDitRaNIq5n + u4f+4lu+48tf9S24dL4rvSOLiIm11gteRREFxbX6KtRcxQFMfRhO0fgFS0SJiIhuhjESRERERERE + REREp8h6hHc11qvrwUOB5Fo7BQx9jySaYSH2BuQypJiCRkA0AoA7JKKgtExrEZGglisUqDf+d909 + BCmliHiMmvPQ98mKqwgMMKBL4gUqcIQAOKBtddfN6v3IH0Angpm1ngUi0noWxBjbjNi70jKtW+MD + d58etnU3oOPSirHdvcVXm1lrLT1FWbffTonXfL6IiIiIiIiIiIiIiGiDB0AFyIBJ1jiE0FmFw2Ua + HLzHAshWBhs2Kgqniy5XY7Ojl4dqxuVLeOBVQMxWIGE0iAKA29iLAMEF5lCHF5PE8Q4iouPURqj7 + vh+GYboGgIgMw9BGrttyPp+38etjXV+6sRBCm1dQSkkpYZ2KEWNsTzE2msUf4/M4BVq3GRE5Z6wn + SxARERERERERERERveLdbX1ouw3WWbCqWmtlpjURnSCbh7iplTnro4mIiIiIiIjoHuSc+9SZy+XL + l2OMs9msuGnQ3d2dn/zJn/y+7/u+pz73dMl5iqy+GRFpN1DVNtE6tBhsIiIiIroLbdzHjnS19tOY + 5nuUYtUTfKrCl1JK0NgGx9yDqoi4iPQ6q8W7vPsNb3nnx5/86J9c+NelW4QwTg+lrta2pKwWtbiJ + h4C+k1rdDCrBDbuzbhzHnGs/09HqONZ+B1fKIp5F8vGFcfz9p5754yf/8Hx66Hz3wFd8ydtf+9Dr + /8JDb9jbPadVxaK6KkKoCDZ1VYe0Z9Nl9bMrjrYwX/+F7U++7fJqLwIA3todiBkA8fajiwFmAsBd + bB+jRLfgoy9f2H/2iT/79J8+8Ymnnv/sc4dPj+Ewh8Pcj3V3rKEWgTpCgQAOM4XIalWLQQTucHdV + uMMBUXTaeS6Q9veat1buCjGLotXQeR9l55GdL33s675rtjyXxpkAGbnWiogQgliotV475rkKtz6N + GGtNp5oLoC4AbNWPxWECF7hALAJYJ0ZsutkxE360/lv48rqttsVusqGu2Z5VTBzqwPopUYds3FvR + Dubx+vvSltvaNyPGWhMRERERERER0YnUOpZOWaqsBrwTMkVFrzOtp4sKDSHBgQCRFnetAAKQ4hxA + aykPEQASAIfDch0Bc4eXCgHqTc+GA1jPgVQAMXarkGwHHIgKQFtoth55kKtrynPiJ1B7YU4vzxZv + fM/a3UWkdSvmq35LTM2j2zPSfpye62t+S0REREREREREREREp5qsajkTpEMHL4AiKrr4bN9dnM3P + l1EPxyCeAuBudtcxln6D21+tmLpaTgoAOFOG11y88P5/+92PPf6HI5KKFRTXGIDODaKQ2IZA26MK + yy+IiI5be18Yx6nfAVpPrqlyr41NhxCYab3l2ryCaXZB3/ftwjQtpGkZ0i0wo13f4qVv28HtJeHu + 07/SMq2x7mU/TVfjnkZEREREREREREREr1R3Wx/aRnA2CwlZVEhEJ1E7drWDnrvzUHbyiKkb3NS3 + twswnW48qhARERERnQpBtVQHfH2SoQbAS+5T/8B9Z3/2f/tf3/Pvfe/BlYXVKlAVbfFRXgFAVdsk + +RhjrRVozTZdVUIIpRTAavU2Zx5Aa8jJ8xhERERERylgLSQ4dcG9AhB1N35kAlyvdvmWClm0S/BO + Be4Cz6tcaHMADjmoy5S6NHR7svf9f/k//PGff+rJxaVuLwEYx6ySQgjLPIii62Uc3QMgUMAN1RyA + Qt1ERMfs0BCC12oQzCJQEQCpKKgSKnbiYOMFv/Tc+MQnP/oHwbvddP6Bc6969KFXv+bh1z/68Ksf + PvPoufH+rvTi4i7qKq7Bo7i6q7o6TFzVAag4XFAFJus//HZLBdRFIS4OoDpci4lDa0Y2yUWyR5dg + GbnU8cAvP3Hpk5+/9OSTn3vi6Wf+/PLiUkE2tarZd6tpNTEXM1RURAEc6hFQN3Mp7bvA6hkAIFBf + NUQQwIDBRx9w7tzsSs4VNTu6iDFj3mkdylxTOOjPySM/8K6/sbt8AIuSkhcboBCXkFffRyx5CzAX + C4LQdoNVYjdw2sLdW8i3VWD9fWp94ZhX7KQQWRcCu7s7YwNOFIV37p1LQctLBsRRoKOahyiYlUU+ + s9OV8dCCqCrM2+EUgEtwwFwcCBrQTkcAvnEMCYA632pvzMQ0iIuLwx1SsT78SHFTVYOZAwEhqLmX + 4imFcVH3uohSS7HZrM85FzcRmLQQcVNXseDCzb4F2hvrdS+B9kYTY1drbW83IST7ordJuEfsq0RE + RERERERERCeSiIzj2HUdAFX11RgG3YZs/GdjwEflyK+n/978TPTqFhuDjve2+eVGA08ciiIiIiIi + IiIiIiIiIiJ6RZqGAh1wBwyiiPqu9/2/H/m2b/Xn9x/diT6am7m7Kl7yQWDdeMBk9f5sewcHKGZm + UT1BK1otpsF9GldVAGIQY09JIiKil1/LjW4B0mbWdd1mtPmxrE+t1d1zzm32GphvTURERERERERE + RERERES0BZRNR4iIiIiIiGjbXM2Kw5Url86dO/e61732Z3767//Qf/zXDxcjYOZwNwgA1RDcLKWU + cy3FpvaOqmpWWty1SHD3YRj6vgcwNeGstYYQjuEPJCIiIjoRjhSJtwu2sTxl9eNXAxdttQWORDBe + F3IsbuIJnZV5zPP/4F3/0f/yS3/vysGzgy52zuzm7IfL5dlzZw4Wl/f3fW9Pl2X1CIKrHcvbf10A + gbi6mKx/pb76dVUApYZ25SKGWOoi14NL+09/5tLH/OMqpn2dv273Sx+YP/jQAw899ODDD973qr3d + vTOzsynMfHRFUFeFigNQdbg7tDvy16/7F0x1i9csk4YKd3eoi1YXr1oqymAHV5ZXnrn4haeff/rC + xaefvfjMxYvPX8zPydlxkP1s2WCYu6u7WEX1jXLD9seqKaCAwnW9T9o1t2lR3G3Z7O11V64c+kyD + eIhqYiYoxXZjZ5f0vL7qPe/8a7vl/A5256kr46IGd7HNZNkpwfq6uNnTFWjdMMeATrMWB1Fl9bYn + DrgK1MWWYwkY77v//JVnL8za+YY65e4a1odxFQcUXuGAtB/FBatkeG83Vi5vtMSQHbJ6FhQIou2d + qA998aKuqm7udVxFHrt718lyKL1iNkvjOJp5iMG8bj6ndCL4OsZ88yPHFmKsNRERERERERERnVSq + CqCUoqqqKiKq2ubbERERERERERERERERERHRFnIgA0EQ2g8CJGAvfi6WV91/dvH85c4QXOD4YlfQ + qCPEtCOKw+Xs7HmYQaBAriV0gAB+dSWrwIHAqh4iIqKXXSnF3VNKLTq61ioiLeL6WNYnhKCqLdN6 + SrOutcbIUk0iIiIiIiIiIiIiIiIiIiIiIiIiIiLaDEq8KsZoZiLytre97Ud/9Ef/5n/2XwgEsJRS + KUWDuFd31FoBizGWYq3BZousrrV23eoR3H0cx5Zj3fpwvux/IxERERGdCgLrg5Y6VEVM8368/80P + nX33177nl3/nH8UzuHJ4gF7imfDCxcvn7ztjy/2x2vTZVK8mNpqtQj0V3pYRDhNbhX3K1czU1b8K + LMaiDoVFNRVIULFQ5OAT44clB70k+KQAmkI3Tzt9mt1/7sEudvN+Z2++d2Z3dz6fz7t5krQb5orQ + qgJDWF1oH7Pdva6VUswso1wZDg7z4cHBwf7hlf39/SuLS/uH+8vxYJEPM8aCYlKhFUl0R0R8uThQ + RYwxhGCo5ubwm7ckMBdAbP23Y4r3nqK424ZYb0fbH8Y0V0i4srR+N1ix1AEZvuzDYvexb/zur3nd + N3XL1MGWPkBV+eXglm5Yl+rubCNBp4CpF8WoLaZa4A6gc0C0zHegtezvX9jdRQSyI1eEAFdIu6Ub + ABEESC3rdjCC1cJgQIwwBdwhXN5gGTs1d7iri7haFVSDOYK3N4cYA8QqTFU0xctX8t5eZzZW4HDI + cOztzIZhEBc1rahABAokizOZZXvd8KwdY62JiIiIiIiIiIheSjnn1qs0xphzdvcQQptmd9yrRkRE + REREREREREREREREN7Iu6HMAEAhcIKIYljbrDp69mDJ2d2b5cBkEEv5/9u40WJLsug/7/5x7b2Yt + 73X39CyYGSyDHYN9IQEQIASSoA0MQRKiREIUTQiASStEUQgrQpQpfxC/2I6QqJAQMhQOhBmyEHaQ + ivAX2doISUGbtmVRFmWZNChZXGCGEKCxz9b9lsrMe87xh1tV/XqZnp6efv1eT/9/kZFdL6te1q3l + 3arOvPf8FfWYJ89Uiwj0CTFinFB6ydp1OVDXc0Muu3893sYQERHRtWzjovf397cx0ieVaQ3AzFar + 1Ww2az+6+zRNfd+fVHuIiIiIiIiIiIiIiIiIiIiIiIiIiIjo9FHAEeuIPgBd10WEu4voY4899ud/ + 5s/91b/2aYHUaQrAzFQvzV2KiFLKNE1mBsDMSinjOLVrW7J1i7sGMAxDS9G77Y+RiIiIiF74JABz + KIC0m85c/NYT73/DB5964lu/+sVf3r03fe3ihflOXZwrFw/2urkMQ2QFNpnW28xmFSDcxRE5BIgc + wCb9uYbisln9gQC6WQoLmFcbNaqEqmgk+CxCHaFmFqbieFpysvylr/2eIimSqqYWtxqaXJJJcsUm + V3Jbvr59017f4SZd0tStRBUzWEu/FgkX9850BherYg4LmMNdoIGdnYUiRYRFrV5DHIqkqfql/R/l + 6/8grB9va406AG3J1utnDADcRV3dBMMw7Sy6ENk/wLmzql50tfz2137Xd7/1+/vVstRwWHWk3Hkc + DRSnK0Wc2ixRouMlgMAFLoGQ1gepRHGpBweY7aDAx0OUJWyFknSR58OBZcmqCqhbwAVAkgyoBkRE + RBTS/qYiYtsxcn2ttZjDERJQ1axFRGDVo6pqjQniUhFiEWawkDjXL6dhdTigzDDfKYdD3Z8GFShU + IgMKUYiJTNuXle4IcYo/ihhrTUREREREREREd6RSSq015zwMw7Yq6Gk+EkdERERERERERERERERE + dFcLAC6hpU2GEbQplimA2e5S53L45K4mTAFAZ2V1OPV6zPNmUhpjQhpqiVw6hI5TlZLbdNQMQFsC + N1jWhYiI6KSYWa217/vlcmlm22TrE9QyrQ8ODhaLhYj0fb8tCUdERERERERERERERERERERERERE + RER0ySbZuo2FzjmXUvb2Dj71qZ/+1re+8bf+218EMJ/3h4dDhOec3dc3NrOu68ZxzDnXOtZa5/P5 + arVqA6pbsvX+/v5yudxW4yQiIiIiusVC64iUu9BpXK2ylnvLfav9ve97949+6+CJ3/jar505o3vm + /axOh5AxsrSEZlwxA7DlWyvgUgUa4oiEyCEOccDRNh4xVlNAEiQBHoCFhwGHI0SRElKGJo2QWutY + o1/0cHOIe5gHWli1IvdJNpGrrXZ9W29nKcpGa+Lo1lpSI9rvuAfE4UCCKqCCCBFkgQCrcaWhAEIj + xEMDgasyrddh1S44+jDXT0tAQgFoKKAuALxFXCtcu1TdNCPEV6t6z1K7ujM+rW946J0fed+Pzcfd + RexM474kKX2efMqWtndHV2tx5iJgjgHdhRShse6fA3BkiIbg3DmsVvAJD5+fHT6+bvJNWwAAIABJ + REFUWsjSp1kaF685//rdfO7s7rkzZ86c2Tm7u3t2Z7Y76+YJSSGqOYlmVYG2LjSphKDlK3N9xRqQ + EjOvXr2aTdWn0YdhOhji8JtPfcNyvbh6+ivf+vJXv/kHFw6emmwYZdxb7XU7vcwODgwOR5cP9qaz + iy5WAUkIARKkAhVgj3aHObVhOoy1JiIiIiIiIiKiO9I0TaUUd2+j6FphUJYHJSIiIiIiIiIiIiIi + IiI6vQJo01AFgF6aZrHyeuBn5zvp4HCchi5pWAvBPnqjW2+0MWMJ8zzso1+aJOmyHAmxDsAAadnb + AchVk2iJiIjomKWUUkoR0Qq0jeN44snW4zimlBaLBYBhGPq+P/GkbSIiIiIiIiIiIiIiIiIiIiIi + IiIiIjodWoact9i+S1tVa605dxcuXDh37vzBwcHP/oX/5PHHH/+7/+DzwzAAiECtFYBqjg0AZraz + s7O3t3d4eNj2s83haxemaRKRnBk9Q0RERES3Xipd9SmLmpt6muV5Heq52UPf/94//vivPPXvDn9r + vth78sk4cwa2AiIDDnhskpVl+5VYIOtka5eoAQ31y24QCnG079ACOEIBwAOO0AAE7lguUR1mqAaF + qyAXjQyLcV3LQKGiIgGoSBz6ENqyq9dbRBLgqjnCImSz9nXEpEIEqgAgISqSVUWSTVMoIoAIBCQA + hQCpKFwiQhQhEIhFuOEZyuQ7sI44bTMSL8+0xvZ/ECEuAReMo/V90iIHe7VTzLDc/6q/9SXveeyd + f/ThxSPj18c0U0dfcj6sB5zl+KyOJome2lRRouMS6zovJghoiIo4EMM+OkXnWH3TduPhV7/0TW94 + 7dtf/sBr7tOHO58XLSIJLuGiDoSGqQbUVCEiKW169/B6GgKkT+ca0OQKlxAXCUmwElYm0/G192LA + amWH8XrLcx3qwe9/6fd/98v/9l//wW9++ckvdvel6O3xi9bNbb6j1WpCAdCOPoV4iF/vRaeTtj18 + t728PeJ3CvHYIhERERERERER3ZFafPX2AFz7kZnWRERERERERERERERERESn13ZihcCO/IRuDuRh + ZTHVrvQ2DaP5fFFsqMfXFhekruvN/7v3fejj//yfwcb9EqXMEpBNAUeCbW/NSZxEREQnxMxaaLSq + ikhKaRiGE0y2zjl3XRcR4zjmnPu+n6aplHIijSEiIiIiIiIiIiIiIiIiIiIiIiIiIqLTrgXUCVJK + Kcls1g3DoXtdLOb/+X/xn331G1//9V//vwTr5Dx3RBiAnMs0TV2Xx3Hc29sDWlpUatHXXde1gdYR + wcHMRERERHRMQtRTtqGmCTPpPOrh/oXF4uzjTzz50ntf/4kP/fRn/95fetq/ivLkNKDXYlVcHdD1 + d2Ao4Iq6nQq4TbaGjJvwRN8mWUcLeAYAlyQtdzhi/WuqyIG6ggBFIAIXICTc3ZES9OjdICLcgNyV + ALbx1e4W4YBHTJuga4ioqAhUJFQc4ev79QhEnTwCJYtbtPoI7X4k2lMUAfdwd8imnSmJ+9HESt88 + Gy1Vdm2Taa0acLkUaA3ApT0PmlSGwSDoZziTZ8M37JHFWz7y7h9/9IG3jN8aF32/GvZKXopkG7zr + yyYem67NnfmvdDfTdf404ALAVSdBnQE64Ezc+5L7X/uhd//ow+dfs8hnMERnmiLBFIA44CImgHap + hweg4oFQ92jdteYSAgG4vnrt4lUnh136EHCNEFhfR+/6+UzvHQ+HelB39L633Pey1z347W969dv+ + zv/6S7//xL9evmheF9PKB8DNsAliaZ86dEc6tbHW/A5BRERERERERER3JFV1923FUgDuznNCRERE + RERERERERERERESnXwCxnWOagCR7y/lUsgFwBDCf96uD6bibMdlUxvrQ5NgboLkvs830VsB1M0F0 + M+9CGG5NRER0AlJKAESk1VyLiL7vzeyk2tPKwImIqrZxa6UUjlsjIiIiIiIiIiIiIiIiIiIiIiIi + IiKi66u1tpqZZtZ1Xa11sZh95jP/5ete9ypVuKONSm7ZNrVWEZmmqZXczDlHRBvMnFIax7ENZm7X + nto4HCIiIiK6w/nhuJ+75DUUCUApZbVa7S7O5cP+/vTSTz72p8/bi7th3nmKEW4GIMRD4KquCFEX + NcX2C6sENCBwwSioEi6xjoiW7YT+UK8RAQVUIYIQmGE1QQLaIqUdqICFBopK1HCPiGhZrYaI8Aif + pmmaJrPJ3QFX1ZQk55yzppRU2zfq9j29mlm4m8EMEeuA6pREFeYRARGoimpSFUDdYQgXlyyqgCKi + fbF/lu/nAqi3TGusM63FQ9ySu8ISQmCKEE+qKaHLmEk++Pr0ovzIj3/oJ19+5rXxlO/oXMO1JION + 4zhLyxI9yyFc3xX/dWoTV0+qMUQnYjsdOsQhNYXvaCkH6cXLV3/kvT/+6P3vPldfhseX8/Gezma5 + apoiTZIsd8hd6jotXg3mMAcg4SKRRVNazwE3cH2NtSNCECqiCkkIBSRJn2XRyVLHGVZdV3cXcX5m + 52bTueV0/rUvett/+If/9Ntf/t69r3keUy/ZBiTZvIZiQJtrryESoowkviOc8g+dfNINICIiIiIi + IiIiuklHB9JtfyQiottpHMeu69pld992xe2yu4tIrbWUcnJtJCIiIiIiIiIiIiKiU0MA8RA1AGjl + VoAC3DP7wV/5+7/99vfEfjabsmZMNQn0mAuq9Cl3YfM6ICa4pdbAEJgjy8E0dKXPUJtqKtnC06me + HkJEdLdok8ZLKdM0tTWAnNez5NrZahE5egqb7nTbl3I7VCylFBGqGhEppRNJud6+68Cha0RERERE + RERERET0gnN0hmCbJHj0wPjVWv6Ku7dD920P7QIREdHtxBAvIiIiIiIiOmXaMGMHEBE55+1Ad/cK + QEQffOiBv/E3PvPJT37yK1/5JoC+T8NgiBBBxDZtCmbWDtu2yymlaZq2W7a2h3NrrarKcc5ERER0 + dxKRiBDZTEMTiYgWl0zPiYunDjWGTrKbi3QWgRzmQ4q8wO5rzr7tE//+n/lv/uF/tTd9a9+eysUn + OBSAmRugopKQBOKw5Fe/CL79wnopCToUAoVGOAKyzu8EgJQgDmAdkt2mG7oCCGQE2hIhCAAJAqT1 + bgMAIrbx2nJkfVQ4RCAABNZ+RYDN8Ie2F4S18G1kRPu2j2j7uiKtUq46cyWBkLajlmmtLdMaRYfR + BUgdYOs2TANSiiI51Vqmcn//yB9538ff9NA7xsejkwyYSQAGcdGU6xyTIgFSr/1yEtB6hvY/re3/ + p0SE5xhvkKqoanvb83m74xgMqu4eAska7oCrw1flwdnLfugP/QePnHlz3junh90y51jtS0qXerGA + INofT0brJQ1o3WgYarvMYxDPyFWiXJ48LQAQGgLffHZEbD4MLcte/6L5y//od33iW09c+PLB78Vi + 1AwzQBwwwSQIRBfIAXWGWp9W2yOBrfzFCRZGuBF8FxERERERERERERER0c2IiK7rxnFsZ0HaLN9W + MXwYhjagWURKKcMwnHBbiYiIiIiIiIiIiIjoxIlDfTNzFAlIgMJNgHnCsuwHUukldy4I9+POtNaA + jeMs5zpdhExAmFVxqABdGm3sSwHMbEo5u3sSzr8gIjp57Rw0gGmaWh2utrHWCiAizCwimGl9NzCz + baLGdiPjMYiIiIiIiIiIiIiIbglVHYZBVSNiHMecc601pSTPYBxHEcHmWH3LtB7H8aQfBxERERER + EREREdHt54A/+602N56m6ZGXv/TTn/70uXNLAMNgpVwaFK2qLaa6jZPfbm/J1u3A7Gw2axcuXryY + c56mycxyzhxUT0RERETPmwMWYqEe0JAcSC3AWTyylXSxezg98tN/5M8u9s/P/GzGvEDqBBF0XQ7x + EEfCOBm2cc4BCWhAttnSAQAKaLgGdLNFXBHarkEoWm5nKEJlux1t4ybpOrar9Vqf6xJQV431Pa6D + snH5IpfWN0ECGtrW2DTVwrsZUFAdNbBaYRqwu5zb6J33/bAse7t/4kN/6u2PvLdeiEVeAIj10+eK + SSI2zxVdTzCHme5WAYSEw0QgAncHPAHZUjcsv+ftj71451Vlf9ENszlmaTV2gWySPK+XUPWkse65 + Q9oSIbG90O5o3YFzfflaAEA15MjSni8HXGHYLAITWArMfVlWO+fx4g+8/cNn5XyppYvWyzvEIe13 + FRAXHM3GptPv1H4Y8WsEERERERERERERERE9Z2bWKoN3XdcKTLR6E6UUM5vP5znnNgB6HMe+70+6 + vUREREREREREREREdPLaRM0UyG2aTEAAA1bIyGVn58wwrlbVVm4VouXYYykV6rDaGXwPGkVUgXBc + HA/RdRaWIJPV0SYVjane5NRSIiK6dSKiRVkDMLO+71tSAoAWllBKaRELRyt20QtSG6UwDEObutny + ztubgYiIiIiIiIiIiIiInr++78dxVNWu61qmtZnFM8g5m1k7R9NuZmZd1530gyAiIiIiIiIiIiI6 + QX4jEdd9Thr+7nd+21/9K395NksApskAIEIAdz8adaOqLaxaRMzMzAAMw5BSiojd3d1hGEopbaz1 + OI7H9tCIiIiI6K6ggMAFPqVpzNVEHUW8k8guroreuwe6Bx/Sl/2ZH/lzu/WBuZ1dpJ1sqEOE1aTh + 7pNNqUsh6lBE3iyb3GgALfJznfrZAj79SM60bpYMbBeVuLSsb+DruOjt9psoDiCR26KetTUV2xRt + XL1uLb/Wfq7c7nLFfw0upVC7YphgChFYRQJ2F2WW8t6Th+f6M7KnZ8cX/8cf/Yuv2n3DfLWMlUWY + i7sE4C0gXOEQD06vfDZXTz0WkVMbL0p0K4m7eEVIgoi4u4Sm0GT9/fOXvuPV33mPnM+Tpmno05h1 + L8sKUERpi0dxdI4u0AWyIzvUkUySiZiIQyRUPGtkrq9eI9S0Tmk6uoRMoQN0gIwqg8qQsFnCsmUd + 5gu7912vft/rHnhdd5hjRAJcwqUC0M3nXUDZ/59yd8oHDWOtiYiIiIiIiIiIiIjo+WqVwdu5+VZ4 + otbahj53XXennDUhIiIiIiIiIiIiIqLjE9sqLLFZoAmuQAVQcXFvv9Nuvlx0s76VVjnuJqmq1npv + a1I1Fa3DJIp5Pwc8iwpQuj7lgghRzr8gIjotWpktEam1RoS755xbqvEwDLPZDECt9aSbScerZZzn + nNuP0zTt7OzwdSciIiIiIiIiIiIiuiXMzN1bLnWttR2QTynpMzh6iL7FpaSUhmE4qfYTERERERER + ERERnZwbSrPeunjx4mKxGIbh/e9//8/93M+18dGqUFlPZdrOsWq5U+3gbUS0g7FtID0AVY2Ivu8j + oh2zbcd4iYiIiIiej+SqgRB3NVsHMydE0pzMLEvyA19MZx/IL/mzH/sL95WXjd+Is2nnbJn7YdiI + Wa9dl6fJAkBoIF9Ktr6WTbI1rvxSvY7B1nWhAmmLtwsAXNxlHR0d4turXODrGzz7GljvLQCIO4D1 + frY7XK83LXy+fNP++Q5WK9QJsw6YMO3VbP0ZPeNP4eH5K37iBz/1YHnNGb839mNnttjetbZUb0+A + hpjrGFeGZ9Nl3J1FsumuJQkRSAogJJAgYUi1e9urv2Me521f59ohRo/9VGr1AWh9b0IkIAECtD5L + EQporDNwtS0BBdS5vtb6yMfWeoGYq20+00zggprCNwsiJEfOQz+rO+96/ftmsYMJqth+8EmosEO7 + A7XiGCfdimu79pczIiIiIiIiIiIiIiKi62ijmVukRCsX3vd9u8rdt6Ocm2maOLiZiIiIiIiIiIiI + iOguJ4C2qaQOBLAJic6QHRREP58vV09cwDB5HXa6bhotHXOQtLstJzz0tf1ffd9j3/OFf4M85VkZ + xqlAc8nQybyaJBW1QFKFPPs+iYjo+LQQawCHh4fz+TwiVqvVfD4HYGat9tb2zDVPUr/giUgppb0r + RERE9vb2Ukrbqm1ERERERERERERERHTTUkru3k7NtEzrYRiuU1GxHatvF9qhewDbEzdERERERERE + RERE9Ex2dhcX955eLHamyX70ox/98pe+9At/83MRAFwVkPXRWhGBCjxUdBxHVTWzvu+HYQDg7jnn + 7aHdNqy6VYojIiIiIrppEqrRIpQrxCGCUA0F1Gu4IwqGw2HZL1XvG0Z88rGf+tuf/+zjw1cu7D+9 + XGj0djCuRvOuB8y39QXi8jn7cfUU/lgPTvCrrgr16yQ3X3b7cEVLqIYL4sbWkHpFw65uAzaPZJsn + urnQNt9QVmUIfB3d7SGIir4gKmSUZZpLzdiX3pePnH/FR77rjz+yfPO8nh0vDsv5sivd/oWnu26G + 2DylkQC1NLYn6Ebu/a51apNEiW4Dkc1RAkcSCCA197L7tkffq8MyeVbxMvfqw+STJG0dVOtVNNad + oQAIqABtS+i203MBnrl/Jgkc/Zho9Wvax4duPh/bjxLrDGxoyiHDgbzu4TfcN3/owJ9c6SoCJtAA + IqeAhEP80kcsnTJtIOX2chuQ2danEN9FRERERERERERERET0nLUhzvP5fHd3Vy537tw5EZmmCUCt + lZnWRERERERERERERETUyPYfBXQzxTQAy3D90ri3Oj93FQAC6Y471BpQTaX6faH3WMCBroSj74rm + hLECcESS5G6pzcoiIqIT1abtuXuLsh7HcT6fu/v+/n5KSVVVFYCZ1VqfZV9053N3d2+vtaq2mmun + dhonEREREREREREREdEdZxtQ3Y7Gz2az69T2jYhaq5m1X1TVcRxvX1uJiIiIiIiIiIiITg/x5xrj + lFI6PDzMWVOSn/mZn/nwhx8TQQTaQdk2kP6KkdJt4zAMXde14fS11nZQV1VFJKXUjtzessdFRERE + RHcrjSSRxTOAEAcqUPtS6lDNZb57djX4eBD35xe9bPGqj//gp166+/p+PLeIs4dPDdMKOwsJg0SL + O/Vo80TFQzwELutA1MvSowUQuK5/BfD2Nfv6mdbXETe8vqwN64cPrKsjQAMaSAEJaFyKtb7RZsh6 + 2T7Ybb2FgwPMimqgHkaxmQ55Gbuvf/jNH/vgn3owvfKs3p9rX/JMJF24sFe0aEBDESmimGQTAOYy + MVP2+trQl5YxyumodHeJ1o1BHAiIQAIS6ezO/efmD3exW1J3OBygxJT8sAJl4QIXd62u1dcd+HpZ + BzPHpQXAurteX+D6yvWRp0s1FNi+KOpICEGkQGprE5l0qhiT6iItOt99+N5XZpnXChOEaKBIJA0k + 2PpTcpMvTqdZG5N5aj+A8kk3gIiIiIiIiIiIiIiI7jwRkVKapmmappyzmUVE13XjOK5Wq77vt8Og + SyltoPNJN5mIiIiIiIiIiIiIiE5aAEAkGGCAAN32qln+8L/4lf/9O9755nk5q8lXhwFXPebzCykN + XlOnBzI9+sC9vwN0UjxMgdJ3+9MKGTB4jXE1dX1hsjUR0clqucXu3k5A55ynaSqlLJdLd2+x1i0s + IWdOmnvhExERmc1mZmZmItIGLZx0u4iIiIiIiIiIiIiIXgjGcey6TkTa6Zhaa875Wcspmlk7odMm + G96WlhIRERERERERERGdSkfD5FpY0TMYDlcpJaiY2TRZ180+85nPfPObP/abv/mFYZjaSOn1biIg + 4u7L5XJ/fx9AKWU7glpVWy6Oqrr7MAw5Zw6tJyIiIqJbIlkfAtMKqZ4GDa0ruefs+YuHQ9Jy6Lac + 9WUVwwW85EWv+vgf/tQ/+rX/4X/7wj/aPXvOl6un9g67GcIAOKQCCjjUsY4WBkQ9PK5Itt5GPkur + UdC+YGtL1z7yfduPZksrtkGhWN/Rc0z61O3ejoRPb0Nb231pKEJbu1w8rixBcOn7vx/5f8FVN/Oj + t5zPMB66GvqyqPs2q4u3PfptP/CdH73n4BVn8r0HF/bNbHd5blwN826uiloroIZiAohBqkjVCEZS + Xt+pTRIlug3cJIUoRNwlITyKlPvueRB1pt5Dq8GGMNNieWGyMN2HTEDrVB2AXO/4hq+vC0Cc6yvX + gKyfyc3HQeDoZ86k68+vVmXHtI56mEVtTElVpvLi+1/+61+XcHgCoBoJkQWmqALTYKY13QLXO4JJ + RERERERERERERER0TW3UsqqKSK21bRnHUVUBtNHMrWIFAHee1iIiIiIiIiIiIiIiorU29TM2y3pe + gwiKDLvLQzNbHQY8lXLcLYlp6JJM46iqAUB1ChOoQw6GFdq8IIcAXX/sjSEiohsxTVPf9y3Bejab + 9X3fso1TSm3jfD5vEQsn3VI6dq3mGjZ55xExjiPLrhERERERERERERER3RJd15kZNpko7Qj8dWr7 + timE7Wa11naypk08JCIiIiIiIiIiIqLryLlTzV3XDcMwn8+naRiG1S/8wn/9kpc8PJ/3ACKiDZlG + BCJEZH9//+iY+Xatu0dE13Ut2brvexZ/IyIiIqJbwQHVEAmR0BblDKmqulqt2jiBUkq42ORn8lk8 + 3e9O937fe374h7/3T+zE/cMTONvPfFwHQkMcqFfnTF8V+Xxky2VDFVzgEjiyqG4WQFvg9GYL9HoJ + rM9E19UPAuqXlnZ3OJJpfeXTJEf3sF63Bug20PTKR+cSgEAAq8CEnXKmjLMzcv+H3/sjH/2eT5yZ + XjSvZ+pFn+fZcrk8ODhwQXUfx7oJ81ZAjzx7nFr7LK4e+sL5yHTniiMdpD5DHm27gYu7wMIdGpD2 + dyDuSfI9u/d2uYen8LSYn6m1OqLr56uxXvprkVYhBiEecs1DDetMawmse+ljWANo3a8EUnhypLjm + LSPBUsTxteRm1keerG0H7oLtgtCAAjBpBXk0S9eXRfVptVp1aefecw9auHYCQOGCgNSqbgJAr5s4 + TrdFKG74VZBnHod5slimgYiIiIiIiIiI7kgRISItUXUYhr7vtxtPumlERHeLdiZ+u24X3F1E2uVS + SuuZ1+OhiYiIiIiIiIiIiIjobraegKEJUMDgABw5tXO8tcJnKWbD+HRa9n5waNOk15jReSuJQAMz + k5l3DiDlkDp5xXraqseIzURR8Fw0EdHtNE1TKeXoFjO7IrH4iiCEdp56mqb243YQUTudXWs9usO2 + /+2go3Ecu647hsdBx6uNSTAzEVFVAC1gA0DOeZuZgevGbFzH0V9vO9xeVWttSeqtDRy3RkRERERE + REREREQvPNtZgdvTKNc5GN4O1Lf19pzOFSd3iIhOgxs/dcgzgERERERERER0k557klC4mHtfZtM0 + pCQJ2uXZL/3SLz722GMWtU5uZn3fD8MEIMQhl42fb4OoBRDINFaBKlQlDasBgXFaj5bfjp+/erg+ + ERER0QtMRAgQgZxzRDDq96YF4KIthToEGu4QRUFLcZaQGMORgQiPDA85G4vDPcmz9N7XfPDc7n3/ + 5P/8e7/9td9Y3DOfcAgAgggAmiIJxL0iwcwhEIE6aqDVMba4Ijl1nQANcRwNVY1tWKiGwOEhcL+U + iv1cX/zt3q7YruHtKhMHfFOA4FJAd4h6XB5oLQBcQ2Vzewc8kmoWD/ea1EUAgQbg6HO2i/7yc6/8 + 4Nt/6E0Pv3Px5Pnel3BIcTN3R5cUiJCQBFvvbxJAokWPdzf1iO8u7X9P7aTx5ZW0T7Zdd4aj59Aj + AhF8v52sbX+lAcgm+BmXOkAHIN4CqUMcKaSLyQMZrUuZVvWes+c9KjRQU6jnlMNrxEFRlQDiaFX5 + dceo1/h7WV91rH9JLq13hVxKhoZunodYd8mhcIEjFJLi2mHfJ+fyUPArnklvD6eFlLtmW8QQpcyr + TFOt95y9fxSbECmQw0TMdToss8PUISStP4CuGTpOJ6N9R1BVsyqSRMSqu/tpDms4ZX8wRERERERE + REREN6ad8mkngfq+X61W4MxAIiIiIiIiIiIiIiIiIqJT7dIUhgxPQLSJSQKkDsghuVvOL+wfatHj + n4gRoQBCPWSoHYBaj87S0VM3RYmI6G7RimSN42hmLZRaRHZ2dm5iV13XtcjhUspqtWqxxO5eSmmF + vQDUWruuu7nYYzpBquruAFJK7XJ7HXPOItJe6/bSt43Pdf+tZEn7dQAtJHtdxwTIOW8bAI5bIyIi + IiIiIiIiIiIiIiIiIiIiIiIiopuzjcG+FG7kgJ89t/s//t2/oyrmkZIMw/Csg6L7vs8pJ00eDqB0 + eRpry7Q+PDw8mmnd8rCJiIiIiJ5VqwYQ4m0avoYiFHEpplmjLYj282Cldukgzw523vbi7/ixD/xH + H3jDD+Qnz+zY+boPm5BLinCzCYA7YNBACmQRJE1JRMTCsb6jfOQLM9AqABxdLsWCOuCtnaEVUkMc + Up9rxmeIH13abgH3y2cQusC1ZXsDsg6RDUGIbm7gfunXIUByJFcJdDk5bDU5RCSpV6Qqu74jj8++ + /ZH3//gH/+RbX/zunfH8TtxjeyHRnvzL0k9jW6UBVVHb849IiHTp6aIb0GaGcn4x3bla5+NyKeZ5 + y2Wbb916IZcweKhJciRHCuRIRVTDBQ5k8aTrLs0grgENPbKsO94T4bLu91yA0JDW5a63m8AFJq35 + CZER6U6L6HUN364lPDtSeMQUYdlzZ11GSoGuSmcpOwThoi4C6NVvALrt9JpvuaO1CEops9mslLLd + eNo853IMREREREREREREp4GqDsPQ972ZpZRms9k4jtepMMvKoUREREREREREREREREREJywAgQGA + J6iEisABDUgCzDznqdYicIfY8c4SWs8dFaiGxnQvMAs3gwkC3m7gR88/x3qyKxERHbdSSosQTikB + aCnFq9XqJnY1jmOb4DdN03ZYkapGREppmiZ37/t+HMd2L7fyYdAxq7W2umxt2JiqXrhwYXd3d1th + bWdnZ39/v70HbqLsWq21xauLyHK53Nvbi4haa621RWi3cWtmpqp88xARERERERERERERERERERER + EREREdHN22Zaby50XX7ggQc+97nPfeITnxxWBqDW2nXdOF57aL1AVsP2Ku1IIjfUAAAgAElEQVS7 + XjW5G4CIKKXgyBjplnVNREREdDcQkXX+Lx2/EK8pSilzdDJ41PLK2asXb/zhV9//hr//T//7Mt/d + wzdXw4H0MMdk42yWYyUqGVFrODRCER7uSOKAypHYx1BIQI6Eqm6jpltNAr/UDACQ7YYbf/3lynIC + l6odxOaqI7nRIe6KaIGy66BpDwHWkdgIQALqSKHiWcUXHfYOD7Rgfk7H6phijp1+XJzzB/7Yhz/2 + tkfe7Rdzvz9L6A7r0/1uMXMmVd9CpzZJlOimtDDjG3pXJ0cx9FNoCAATdJL6yJ2UFC4REg5pXa2f + wtIqGnDxdiEEts7t9ti2NBRQRA6ItG4zJsgd+ycvFbnCRyASNIXOUJZ16cOBmiZRx6GiuCUJbUnY + N/hOoNtm/eYM1aQqYmZWTUREktVT+mLxCwcREREREREREd2p+r5v1WaPVh2VZ3ByzSQiIiIiIiIi + IiIiIiIioktaaDRCEespDREGOOazp8aphs/nJarfhvO8VWAKUZ1pernkXaADciAB2qaICCAugASn + KxMR3VY551JKSwsWkYi4ic+Flnk8TVOtFevyExjH0d0jwt1LKX3ft4hiVc6zu8O0l2wYhlZS7fDw + sO/7aZpEZD6fi8je3l5LRr/pLxXtF1NKe3t7293mnLuum6ap7TylJCI3EZtNRERERERERERERERE + REREREREREREdJkjeUu11pz17W9/68///F/WBACqeKZM6yZpUlEVFcgwDiqqmgC4extd39bMtCYi + IiKi4xMZq2kFt5mUvMr9weJls9e89xXf+yc/8ucfPf9ts/1z87orgyRB6rB3UKGhqlBxhxkiIApt + udThEIc44NuI1QAc2haErrOkL68E0NKv23JzNNbLZQ+tbROEaFtcjs5LXbdWttmighCEwBUmbuoQ + 3z+oixk0UA+983k3ntm1h97y4Ps+9SN/8fXn352+ubs4uGfmZ7QKrNYYb/IB0DNgrDW9ILWk5+sL + AXTTQwkQiIiQpKreflccLc46VELXydCnh7jCFdZ6Wpf2kNcVa4Bo3W8ITGGbXvpkm/x8hMClulqo + RRgQWVSRJBTrTyK4KKCAuuizvgHopLSiFhGhqiklVVXVdoDuFDqlzSIiIiIiIiIiIrq+iDCznHNK + qVUI7bru5irYEhERERERERERERERERHRsVvPZYLAFUBo26ZwyQLP6PqLOadcfG8vzRbD6qA7zvO/ + 0e47EOLZ/eVnzpx7+gkDKpAA28Zar2cmOiAIBc9IExEdvzYEqFHVWmvXdeP4nKff11pzzrXWiABQ + SmkJ2dshRmbWpv8BcHcmW99Z2ovY9337cT6fY/PmOTw8LKVsE83HcWw/Pqf9l1Lau67tJOd8eHjY + 7mK1Ws1mM2zeY+M4srIbERERERERERERERERERERERERERER3Qy5dqxaKcksSsrf/30f/oM/+P8+ + /df+eoSr6jPFsDnC3drMJxWNaDfzNore3UVkO2y+pekcy8MhIiIiOk1Yq/z2UySHRYSGdtpl7e1Q + Dlb10fve8eAHHv4Xv/vaX/3C57968f+NM5P1pnObrIY6WiwroAEBVMStZUo70GoTQAIhqKqbHFMA + l039PxpivU2k9mf4vn1tAW01EI5kxK7DUwWIdW6oH7nXdK3kbIkWDQtgnWxdE4AqgZ25jgc+U+2w + HJ/MDy1f+V1v/YH3PPrdO+N5Pci7aQ6N/b0LpZPd+e7BsAK/t99SjLWmF55tdxdyWTe42dr6zDDR + VZH9XtSQIR7hLqKYslSFq5unFEBoQut2W493ev5kQlABBzSQFb7+bIAKvCVbh5jDQ9RTOKDheq0u + +o7ggEt2tE+UEHXP7slqqqGhCkMkdYgGsqEzSSlwml6vu9C1n/yc8zRNZpFz1pzdfap1tVrd5sbd + IMZaExERERERERHRHUlEcl4f3drf3885931/nbFxrTotERERERERERERERERERGdrIRo6dYIAC5t + 4tDhvnTpk7/yT37nzW+ZKrq66mc7MRw+U2WWW0IVZnAPGceHd84sgKeOXr2dUMqzzUREt1ermQWg + lc1qscGtltZz2k+LxE4ptR22cOK2n1qriKSUtmW5zIxlue4s7aXcFhYZhiGllHPOOavqOI4ppZZc + 7u7PNdMawDRNLVi97WSapq7rWjW3FqG9t7e3XC7B4iZERERERERERERERERERERERERERER0q43j + mHOOsJTTT/7kT3zjG9/4xV/8227Xm2klIiXlWqvHekC+QiVpC8Outeac3d3MSim363EQERERnQwR + sB75iYiIlIoiPLzWWj1ymp/rzg4XpxnOfvejH370Fa//X/7vz/+r3/+1C6snpRsNY02uqkmyuAVC + AtHSrY8ESLdoUgdC3OQaCdbSIl1dsUm9lkCIa+hzSraW0M0FhPi2AQFAfB1xfenGkID6up0hjsuT + tjXWaasQOJCgq4u+m3fqU74s93342x97zxu/93x5sR4sY09mZb5ajYCfvefcOK0uXtzrF3N35xv5 + FtpMXmb/QHcFiXWX1PrJELiESBgEAY9k0TKT3aVCUrTSKqEaCojjsh7vpImGAnBkiGziuiEBBSQc + gGmohMFdKo4Eft+ZVCIQCeGBCIEJTNQRru4pDBDUBBd4Chd2aqeYqgIaEa3cQUqpzPrT+YIx1pqI + iIiIiIiIiO5I0zS1wXBm1sqDunsrRXrSTSMiIiIiIiIiIiIiIiIioisF4AJt854CIUCbMioRXmV3 + F8MB4Dqf96tqY43VfpJjjBeVQDjgSIC43V/yHABggG5mhzLQmojoRKhq13UAWqB1K57VQqmfkxZZ + 7e4RkXM2sxZlLSIt7nocx67r2mWW5brjtEzr7Siyvu8BmNn2rdKiytsb4Kbvor2L2pi0cRwBtDeM + iOzs7AAYhqHv+/YuvSWPi4iIiIiIiIiIiIiIiIiIiIiIiIiIiO42cVXS3mI2W61WkopZnc+6//Rn + f/YbX/vaP/7H//MzjY0upUzTNNYppRRms1m3Wo0ANCQiuq47ODhoEW4cPE9EREREx6dN6KvimnPp + 0jRNo+91eZFNdnCm7o8vm7/pR77joTc/8o5//lv/0299+TeW53DR9nyqKalIMh8MgCJ0HacqgeRt + nUN8TC4tvHpDLsuRduD5lQiQI1NZZRNSvU3XBo5+cU+BYhlQkWrqIet8a90kXiOgoYjsogJkT/2Q + l/Xet73uO/7Qm/+9R869TvayP4EsJjm5Dj5DrfbUcFBEczdrs2Kfx4OhK10x21REbnr+KdEdIxQS + Ekg1uopsUIE7ikl2TQENUxhgm75OLvV6p0dkeOciAWzztiWgEvB136zhDghc18dYjrFezXHTQHJR + EUSevIZIuIZnjxIyQQwBRWS33sYuDruYmbSMbzoZ7W/msk+UUADjOOacRbTF6KjqMNULFy6cRBuf + HWslEBERERERERHRHamU0gqPppQiolUpZaY1EREREREREREREREREdGp1SYIpQA2MzYTHAERnaax + zGZYLvarT+MkQC591Ok4myNSIwPIpVM5V9IMAFCBdPmEUgCAQjgjkYjoNmnT7FvsNICby7Ru+2lV + t9oeuq5rIdkt6jil1DKtVfVoOjLdKVq9gFJKS55uwdKq2gaS5Zzd3d3b2+kmigtsf6Xtv93Fdift + DdPqu7Xc9Fv+AImIiIiIiIiIiIiIiIiIiIiIiIiIiOiuNQxD3/cHq7Hv+2EYljvzn/8rf+nrX/+J + 3/jNf3PN20/TJCKllHEcBVitxpzVzFuJTjPr+75drrWmlBiPR0RERHcDERGR5xVyTM/RFLXrOguZ + rAYUCe51nPYFnWoptlg9vSrlzLc99L7X3PeqL37rd/7B//EPvzl8Y394Wrpq3eSokgwJfvRVa+HQ + AEKz+7pYwbN9n22hp1cVDbih35JYX9CACyTWeZUS0Fhvbz9uYlPben1vLttkUU3eqfXJevVSav+u + 173rnY++5zUPvFH2ung8LWSZcwnYFNVsMEhkiKiFI0WCMnT51uLzSXcZlQhZ912qLmJAICOZh3rW + UAmFuKtDKgIhm8IqoZBTFfmhjoJQFw+pIeHiGogAFMl10yE7BBKOO/yzv30EaSCgQHWZXKqGigsE + 7TUVSPaWTV4hFWCdhNOo1a9oNQq6rozj+Nu/87u//MufP+l2XRvLJRARERERERER0Z0qpdQurE8P + ExERERERERERERERERHRKeZAutZmdy3dzOAp5VGTlTRLs2l1kI/zRLAG2tlmHy2lvAM/065I6h4R + gTZTKRQBiHh15QwMIqJbKiIiQlUBmFkbCzRNU7u2FcwCcHOZ1jgyvbztoYVkA3D35XJ58eLFvu9b + XDE2cwJPoW2qd3s4LbP5pBt1WrSnor2CLVi6JZS3LS3T+qarDLQ3Z/t1EbnifdjeMHwtiIiIiIiI + iIiIiIiIiIiIiIiIiIiI6BZoKX1yKXQvpVRrzQqbhi4Vn8auy3/rc3/zj330x774xX+Xs9bqAaiq + SPI2GyrWw+bbEOrJHIE2ptrdW5R1RLSh12hzujbj+ds9crw6ERERvWBsZ5VFBL/f3DYuHp0OqBop + SRZ3CdEkIY4YKyzQ5VyKn9Gnx/N4yTvO3P/GH3rXv/q9f/nr//affunp37s4PVHL4GIRSIowqK3z + q12AZAIUK2riMBcPQUiIIBTVEQoRiCAEEev3wHN99UMRsQ6ljoDIJsHaAUADEgoPDRWRUBkxeZKE + lJCSJxc3seqQgvEAM8Gu7ur+Yjmdecer3vWdb/vA/bsvzbVLF5BcNGf3WltBA8kKh04qHqghCqQI + EQm9s7NZTxczU4UbAIiIu7cLTLu+ERFRSufumlokBJ+1E3Z15xDiCE0pDcNQSnHxaRrni9k4rtws + IBYokjwkp2Ij6hSqGkCIhahDAQRU2jGKU0Y1e4hjEq2DH+ROR7MsGZ7dNCHBRUSyaLWqKnf0OzSg + rslCHdUzkKroJLCMcBgMpcP4lC9q1+miImXRAP8oTyMzyzkPdSq5d8iTT1/4qZ/6qZvYT9d1tdZ2 + ALAV5WjH+m5ta1lUiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjt1m6pIAenSKlKZk0KGOCwvf3Rn2 + D/YP9u7VEuHX3M+tIpIBaBaxir29e4EeQHhAc+lqHSRnuEyTpySOUzn1iojoTiYiLS04pbQuuZVz + 13W34X6naer7fhuqvVqtZrPZcd/vc9UCrdvzY2allPYsndoE7lOilNLmZLaaa7PZbLVaqeo2KP3G + tZIEfd8Pw9AubAu3ERERERERERERERERERERERERERERER2fiACgqhHRhr7nnOfz9NnPfvZjH/v4 + 17/+TQDz+fzw8BDAjWRRm1lKaTsiOiLMTFXbSP62hZnWRERERPR8hCjCQ9ZT8CSggAMSMEEgEC6h + GpK81+iSzeGH73nV+9/4yjf+9le/8C9/95/9P1/5wsX6ZPz/7N17rCTZfR/27+93zqmqvvfOzO4O + 308pih4UJeoRx1QsSxQcx4glIAYECzGQyIYCAaQCGZIR7B98WIbyh+IokizZJmWZUoBIQYKECGLE + cBJLSaiYpABLimxZb5GiKC7FXS65MztzH91V55zfL3+c7pqe5+4M72tmvp9t9Pb07a6uqu5bVbf6 + /H7fHZvKFLoQYzRxbyHWbl6AWjpJqmoOwETUxMwhEXC4w1r+tEBF5tzi+1gEg4iss9DVYet4bAeC + tAVylQAVr5Zr9V7NzdzEVczdNcW4G3sbS6+DrLqn9FXf+JZv+ua3fdtr996YX9T+6FKwYJohFbCq + Xt1aWrY4ggUXmJiLuYiLibGe8Tg586vpkde2RKoiLehYzUxELvWvuDS9LsoC02SlxNB1YW8RL/t+ + 35U+WGj9YEwAWNWqft7OD1ix0QSqRYJ3cHgRcc8TpEX8wmtVBdzEEUQrHu4mMWYWVEPoTJDzVA79 + Un2lL6vEnH2UMSxyuCyvjeOFvAoLiX7u3jICAHePsRt6bSfinn766RdffPH+ex5gmqYWYr2daT1N + 0/G25mCsNRERERERERERERERERERERERERERERERnbgAGAyigIoDDgggOJxWfbeziAPS8NlpvGz1 + y5+4bC++eNKNUErNURLEFim+ejE80e6VCJVSMkQ8ly7tpE6reQysvyAiOhExxnEc+75vwcOqer9t + Au5XS4lumcetA9cwDOewA1cpRUT6vl+tViGEnPO1a9eYaf2SWllmC7Fuaw/AA2Rai0ibTsu0nuOx + j32GiYiIiIiIiIiIiIiIiIiIiIiIiIiI6LF1U6jaVt7SOoZK3czc3MyShqT6pje98YMf/Jnv+q7v + Gse6Wi771BXzO4+X9vV0AKhqGx3ddd00TbXWGGNKqdY657q1MfbnbVw9ERERET1ExFU9ARBUoECq + AerRIMHhUEgxUQBV1DxU1Qxghb1w+Zte921/9o3f/Nlrz/z67//L3/jjX70aXtgv1w5t30LRABER + hJhEe4w1T+ZmCBExSnXkjAiIiriqA65i4i7mFRHAyy9ZValBJADmKnAzNcBc3Aym7l6tQILFAOnE + qisCHFDRLopJPip+2AXb3V0uvvaNb/umb/zmL33tVwy6l7Mtr49DvBBXUFMgVdWqBqlADVaDi1gM + NbkkEXedTKqLKVLLmqVj4X6HYGv31vaC6BFhZq0a2t0DxEtV4DXDG9/zH/3XcSeoTY5cBcV0OvLX + XX5jPEqpqqC4WJHqAmDycxYIbWKaJngVz8iWakXG0O0YtKxMQxRRSUlVSl1NlmsFHuaScIH1mmqt + ZXI37KUnvvrJf+f7v/29/eVU89GE0ZPCNBzqJb18KV6WHB3V5WRbNNBLavuS7f2MxPTi/vXFsBtC + +Kl/8A8++iu/UgtSCjnfX+uDGGMppTXiaHuydpbv+OYdYKw1ERERERERERERERERERERERERERER + ERGdNAEAU6BCFZBWhyHu0NT1Uyk7IQL6nf/3L/32n/vz1w8O92AnXf0Xu4VNk3stq2LXXvgS4BLw + XPUCC0mlusGmPLmg1Foq+o4lGERExynn3Hpj9X0PwN1PJ7O5lAKgNdsKIbTivXMYVxxjdPfVaiUi + Lep7GIaznqmHQNd1LREcwDiOIYRWonm/ydYt9VxVW23nNE0t35qIiIiIiIiIiIiIiIiIiIiIiIiI + iIjopLUR0W0ws2+y10RcFF/91W95//v/4fd+7/eFIOalVgsh3W28tIiEENoo+jYuPYTQplZKiTGG + ENrt9sjTWj4iIiIiegSpAx4hBqmQdX6wIcAVUIEBplJN4TC4uiB4ChIlix0V1fSm/itf9Q1v+PPf + 8Bd+57O/9W/+5F/99jO/dZSv62AZU85jQUF0jYi9AqjVRrMQsNiLh4dF3YNUhQQIIAqBqrvZy25Z + oI4Qgpu4q5ViYmYGhQlSgjvcoT3UxdzdXQQ5e5JBPNiLVke93L/my1/3lV/+yq98+1e8fafs7srF + AXuCUKMVNzFRmKBCAChgcIG4C8wRAIeKQ2EwFa3nLVb2EdD+JiJ6VChgm+sbWtW8uwPt/wbIhe7i + bn0q1eh1CakleBXxRZTD2NUY3Ex0DkV2cYPp+doE2VQnEU9BE/oAr9k0q5unlEwx5hFwdTUvGoOI + uJ2r+b9v03QkIl0a4NohTEflVfF1utIgtXitihi7sBCdgtUoIv7Sk6Qz4O47OztW/aMf/egHPvCB + dvbuAXZG7cxeE0KotYYQxnFsbTqOC3sqERERERERERERERERERERERERERERERHRSbNNTRQMCHLj + Xge6GMvRFKFI3eGQ6jKrBsf9xU/erzyNqUswdFafMHtdQFfRozpQs0WgT90y2yrbwEBrIqITMIdY + L5fLxWIx98Y6aTFGEck5AxCR1u3rHJqzmQGoaq1VRKZp6rrubGfsnGt1mAD6vh/HsdZ6v4HWs67r + pmmKMdZazaz9k+ufiIiIiIiIiIiIiIiIiIiIiIiIiIiIjs+dY5ZE3N3FRSBBzd3h1VyHxXD9+sE7 + 3vGOH/mR//J97/uhWj2FWGreTOcOcVYt+UZEUkpmZmYi4u7zePVjT8EhIiIiOifW9YNMejwt4kgG + AKaoAVUBV4Gqh2AqEAhcioXqghpGsdDVTidxLR4FKFY92e5TYeftr3jFn33dOw6/6fonPv8Hv/bx + X/ndP/3Xh7I/PBGW+WA1LafJui7EEDzXklHGsrcT3MzMvbpbAaBBBKH6fSVHWimr9rEJwTW0dGxz + IE+oFSLok4hLzg4gxm7odnylO/WJNz/xZd/4pX/ma97w9U8Ol2XSXvrYJ89+sFyKa592kne1FAtA + mCAQIBpcFKaAwlEFHrLA1AEg1ASgKj+/x8nM3CHSQsq9/WV01jNFdCLcveVbm5u7i0BSKXbkthT1 + 6mKIfZ88OyzDASkQhytExFX91tMLZy7F3sy8SC6AR3df5iks0gpLVx/7EmNUjzBZxD4fTfowp1q7 + mPbiXkddiXm1am67Oz3EYg3ZfZwcU4VFc6s6iYo6lNuz80dVV6vVweHyPe977zjWtlOv9b7fqrkn + xvY5vWM/m8fOSkREREREREREREREREREREREREREREREdBpkk2wNwVzFJIAbUtehTrBqi53VC9fN + TE6yTMgEFjBZSVaTI9TyZU899eTnrywAl7j00olOeUKIKakBAhec09xTIqKHVCklxghgsViYmaoC + CCE8cAjxy3/dFns85x/PN86VeVW4e7tRSmGm8ksKIYzjqKrTNAFon7HWfO1+JzVNk4i0Jm7t88n1 + T0RERERERERERERERERERERERERERKej5aupqoi2PCqIrw6PYlRR/67v+qt/9Ed/9PM//9/nXG5+ + 3q3RU/Ow+XmsfghBVXPObVR/3/ftxjywn4iIiIjoQYiJjO2GWjAJLoCrCaAmcIWZQF0dEIc6giTL + pQIeIRrNKkxiCYNcsjEn23vbU5e+9t//+oNw9RPP/v4ffOZ3/+RPP3ll9cL+0b6MnnqtWgsmV5uu + r0wcinWDAoGZuxS/n/YAJvAWMQ2HAwXu8Ap3vbx7abKKLKEErcGr9N1iN11482u/9Cve9Na3vP5r + Lukr9HrSsVsc7Q1dd3S0Kigaw85wASY2uSL03TDWQ8DFEQBA2iG/QQCHmKEAcEEwhUdvXRjkfCXL + PtQeoM6U6KHT8m7bKQUREQ8ONzMEBdD3vQZMkNVYcq5lNe2FwTbbGXEBWqY1bj+9cIbU1aq5uVlQ + AfogyZ557jNxoctwWEKuXqIlP9SL4clF96ZsuddjTvw9ZbXARV09JLHqVg21WjavVSUOqYMEEXW1 + lZRSSy/prGeZ7kxEnn766WeffQ4OEcQYcr7vZhqt3UStVVVbxPU0Tcfe94Cx1kRERERERERERERE + REREREREREREREREdAockOBwoAoABEAh3n4CoFbEdFRrFZhaOMkYaQcshcNVfsUiJPFrR3ZhD28A + /hhy5KWPgmIAoD6iJPdOAhhrTUR0rFpZbFNK6fteVU8607ppleeLxWKaJjM7h5nWANqqaOHWKaWc + c4zxfCZwnzd938/NBUopItKqr+/X7u7u4eFhu306n0wiIiIiIiIiIiIiIiIiIiIiIiIiIiJ6/MxJ + 0ga0UisIVBy3JNiJCKTu7l44OloFTe9+97ufffZz//z//CUzu8OAaQGAncXO0dERgMVisVqt3D2E + YGbu/sQTT1y9erXW6u4crE5ERESPDBF5oGIyOgYutYYlAPhCPKlHuJoWl1rC1BoMiKu6RNOuKlxd + zaMogkh0c5i4Bmg4HFfD0PVhR0bBWC4Nl1+/+6Vv/7ffUd5arpfDF64+/8lnP/lHn/nDz155Zj9f + WemyH2rWqWCskl2KiSHAcd+RrGFQGMxMLASLESn6kLxffXra61/5qr1Xv+ria1/71Bve8Ko3vf5V + b7h84amwilpDuQp3pDCELpnJwWrsYhfjDqrlVXa3GKPBD8f9LigEcNn8FaCACeBi69YGAnOYQtd/ + C5yXTNlHA2Ot6THh7utwazMVEaiZuHeliCYv04iYBF2QndgLcgFwy9ZGHH7O2qtEBGiQqCNWL+Lq + n1751H/7iz+zH59fLvYnHHm1i37h4vjqf+/f+ta//O/+lZ10ETC4vvR0zyWxGBCqe6lepdRaQkju + HVQSFNVKCdVFS5EOsReIotrW+SU6Rz74wQ9+9KMfKwUA3FHrgxyntlYJ87k7dz/2TGsw1pqIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiE6BQwQKhwAqMBjWN6EBdZlDPyD1n0/pKxc9xvHkZ6g+eWFY7q96 + waUBL4xH3/7Wr/vvPvwRXFy4mkiASlEtsCQopcR4/EUdRESPM1XFpoiuFc6ZWYyxtLK8E9NCjvu+ + H8exzYaZtZk5V7bDmEspqlpKiZH1gC9hbiswDMNqtZrf3wdoN9Dat2ErJ1tEtuPYiYiIiIiIiIiI + iIiIiIiIiIiIiIiIiL5oLXno1gHPIgIRAGZmZiIikBjj4eEhANEIlx/7sR+9fv1dH/vYr+Au4Y1t + UPQwDMvlEkDXddM0tUHRBwcHwzDknNvY9XM4qJ6IiIjoi8E6sPu3PiA0mQ9NTW/OBDWB+i1P2TxY + DDAXByAOuG491gA3NTUFAFd1VVMHzKsp1OHu4qoaAa21dl1Xiol5Qh9sofuILkO8uBxXQ/eKpy69 + 7ksuveUvvu0vlzRdHT//hf3PPfOFP7m2evEL1597/sXnrx9eGctoqKJwrzcv4+1lhjctoLsINGpa + dIsn9p66fOmVly+8+kJ38Y2vePPlvVdd6i/H2usUOhm0BnzBd7ADgwV48GK1VHPxGKO7lLEAiJoA + mDtQQ4xwuznTGoBBADEFbDMzvm7FcMva3iJbC3Lre3Tru3aHZ89PvffjHjnugG8tP93qHnW4848e + t0/NeWZb1xveNsvaCqtbhbWqWhWRoIoUQ60OJBXxCneb46sNgrYBv8MOHFcAACAASURBVGn654IA + YlLdDdWjxD70KbwYn702PFd3x1EsOrRkz3kZrnZ9SFNXy3qP0zaYDgjsDlnd5zb62lUEIiZIkBpi + N04SRV211qISY1BzN1R1qBsQznqO6RYK4Nd/7Tf+/k+9vxrgUEXrdvAAfQ/a73JrNDF3nDj21hNs + Y0FERERERERERA+l7WaybNhKRHQm/C4jmLexmzMRERERERERERERETUONai2Kj+HuAVRQA0onoOE + OCRk4BWv/Gu//P/+9ld/1eul1QWe1HcNAk/FgxZBkNit8jKm1d7VZzBeRR2k61qNlQARKkAMzLQm + Ijpm8xfK2zdOOtMam7jocRxbB66U0vlMtnZ3EWlFiW0Oj/0r+Hni0zS19mRd122XMoYQsPUG1VpD + CG1dtQfXWgGEENqz1r3SznSowPw+ttjyNksPkGmNzbiIGOM4jrXWlNJJvAtERERERERERERERA+L + lzxJvl1y2L7pOOE5IiI6TqvVahgGbHpZ1FpVlZuyh8vLKX4nIiIiIiIiOn/slhstY8mxLqtyd0BF + FYAbRCTAQwjiZmZ7O/2P//iPfs/3fM/v/N7HAaQYcq4QaAhWbvylvFpNLXFwmiasT+Gug7BV1d23 + zwG3ceNtsD1P9hIREdFDZ/6+wB2t5E3hqsqvEZp2bOdQALZ1oKcOQA3rCn8Xg5i4AyauLoaWTS3r + 6OUWnCwtDVQMMLhD4J42E8tABaAwg4mJWppfzsTWCddic3KzS3sRBDisBrRESDdxBExwwCAKs2gp + SPSVhal/tey9cveNX7X3jS5m6lB3r9M0HSwPluPhlYPni495w6zMxYaqGkIIIYUQuiYsLi0uL/rd + vcVejBEmZgiuQSJM1KIcibqKq7jCRYNmr1AYADcHwiY9Vb3lw8JwU662yXYd6+ZPAKxzVddptL6+ + 3qI3PUXspmhhMbgK4ICJufg6Ens9dd1+XXWIQwFxc4HJ45VR3EpE21ZiThUVEfdzFN97lm7548+1 + fT4E1qVuypPAgkgQKV42f7zSGbprvD1g64+3qgPVIOLwKSrGnEUVVkUUmLTtC2S91dlsee4U/3ym + 2vYNLoIkpXbSaa5RVinlWrxLYupHdVosxhKXecLONAQ3iPl6W2gmpmibx3m96ToF3CPQVsJ9Ocnt + hqCGDEAANwsS64QIEUd2k6hFijgQDeKoFnG+miQ8Em5fpbe941ufmZLLMAy5lhi6UgqgLuHKlSvf + //1/M4SUS95M08wN9zzVFmMspbS9VdtVzQe3rYvCHMpz7Ok8DPshIiIiIiIiIqKHkqq2gW5m1k6o + iUg70XbWs0ZERERERERERERERERERHfg8/+8lfqsqzhExGAGNUFIvfRDUZUEn05wZtRRDZZLny6s + 8oSIJxfda7RiOoRbFQRZ1921Gk42YCEiepS06OXVajW32TpvmdZNqzZsDdMBhBCOtynYXHU/Z1q7 + e4yx1jqO487OThuXFUJoP20p121+2oPbPXMo+Dyma87GfnjN1Z6llK1WJo9ViwYiIiIiIiIiIiIi + IiKix0UpZRiG9sVo+66wfa181vNFRERERERERI+PlxuG1IZ8u3vOWUSWy+m1r3v1T/+j9/+n3/03 + Pv3pZ3OpAPphGFerFoa9SWe7dfopxVJKrTXGmHNNKbijVotR2yjxdm5ERA4PD3d3d49tQYmIiIjo + XLDb8hrXYdUmBqg4XAwQ2cRX+ya70QXiN56y4Ztwx7BJV70RHdpirnHTo+8YIGpb11sPFtuOhm6J + 2nAAAXUdlS0ic0Rxq3a8rDUP05c/6a6TGdzrjYmLu2FTVarty8EQUkCXDyx5pysF4O7z94Z3Ky2s + ty2F3rMG8SULFNvT7zWRG6/YbmxWrCvkRjT4jTvv7DFNcfbzFtV7nm19eFR0yhMAVRGRcRxTCg5/ + vELRHwU3/eLP5wseol8LFyhgHtVqNIVkoIiLm3o0DW5uFmrQ3i1A3AVwGBRQuLVg7JuWV6RtPOcd + 37q9zMu6Vhdr+8eTuAbMsJ6x9abeAbgJAChsvV8WaztlZ7L1meq6VGuptcKLu7ibhPi+9/3tq1ev + lXLrPldE7rEBbVE7IYRSSmv10IYznewCALhTlDcREREREREREdFDwMxSSuM4qmrXdWY2TRMzrYmI + iIiIiIiIiIiIiIiIHgI31zWZF0Xre4JSDNCQuml12+OOlQlkwGRY5WlIcdGFgy9Mw1hQKsQKUHVd + f+WAAfWlJkhERA+L1pugRTKbWaugnqbprOfrVi0W2sxap7DW9eB426ZP09Q6L5RSuq4rpbTphxB2 + dnZyzqoaQhjHsdU6rlar9uDtPmXb2dvunlJarVYPe6Y1NgnWIpJSwuZj0xaciIiIiIiIiIiIiIiI + iB5SchcpJRFpX4zOjenv1pueiIiIiIiIiOhsubuZmVnXdX3fHx4evvnNb/7p93/gwm7fxptPqxGO + Cxcvutl2muC2aSoiEkKotXZd5w4RxKhtBPs0TfOA9t3d3TaamoiIiOihM5fj8XufLQYYZD5QvHG4 + KA5xqGswCRbUYrB4I9p2nW89Z1rj5kNNgevdQ5RP3Jxm3Y6WAcQYh35hk9QpoQzqOzcutqO+I7Zo + 16iD5S4vQ15a3w2qOk9EVe+RaX2K7nhgry2AUvxGUwaxKNYBUT2KRbltCibmYiZW1UzszBfslJ2D + t/KhZN5+HZBSatXZIjenzROdDXWBiJiZou0E4O4SJctUQs1qOVhRKRKKpIJFwcJ8x3xh6A29IZqo + QQ1wgYk69D6ucVLXbX+qgMJ0swHXG5ey3pWLAXB5mLLJH1W51mFnx01a44UY48/+7M/+4i/+P3Om + dTu6aLfvsTPa2dkBkFJqmTvu3k7cnfwSAIy1JiIiIiIiIiKih1Q749b3PYCjo6MQwqmdUyMiIiIi + IiIiIiIiIiIiogdjuENWdZTo8Bg1Z09RkfOkQfvOTrhyphRIgAbJVpdH9ZV73cXR/8l/8B+i1ttf + mdUXRESPjNZEQERaqHPOue/7czj0qIVMt/FRc+Vhu3Es3L3rOncfx7GV6sYYWw+y9rqtx5mq7u3t + tb7tFy9eNLM5srrWik1rj2maWiE6gGEY2o8eanNW9+HhITYLy3ZsRERERERERERERERERI+kEAKA + WmvOuX0z2+4hIiIiIiIiIjpvWsBejLHrutVq1YaCr1arr/qqr/q5n/s5AKmTGBXA/vXrkLtWZ6mu + o3HcfRgG1dDGSqtq6+05juMpLRIRERHRCZiPg+TuR0SPu61ka3WTdUZmDV6De3APBnEoMOdV602p + 1bY1nfWtdbj1WbglhbqUknOephKk77CT0Ecbog2h9lq67Uu0Lvmiw04nQ9ShVjezNrX24XH3c5mF + LJtrmRshiK8DUNUhm/DydkO3UrFNrGWgPoYxqGZ2S6ro+YgtfwiEIGao1aZp6vueK41OX/vMzbuc + FkQNQCWaubuLq5mNeeWxFClVi6mZ2OapurnW9d5qs8Nq6dEA1Nf7xJd9jRO6vss6MMhNgdZ0fqjq + /v7+3t7eNE0xxl/91V//u3/3x/o+iCAECSHcOJy456Hp0dGRiLRuD9i0mDg18TRfjIiIiIiIiIiI + 6LiEEMZxTCmJyM7ODoDVanXWM0VERERERERERERERERERC9FNlU/m/9PdepDJ0BKUorFrltqOgiy + qNr5CdbS5IK9vW61slprp/CD6XLQN+4qcum81dBqm98AAMZsayKiR4O7hxBqra2BV0rJ3XPO5zDZ + uu/71WrVdd00TQBEZI6U/uLNLRXm5OwYY5u+qrYu7dM0ichc8ejuu7u7bZjWds35NE1t7bWE7JTS + I9DhvVWHzuskpYSbl5qIiIiIiIiIiIiIiIiIHjrta+Lb1Vp3dnZCCO27zhjj4eHh7u7u6c4dERER + EREREdFLizHmnMdx3N3dnaYp59z3KYQwTeWtb33rT/zEf/P000+XXGPUWt19roe6tUSrhViLiIis + Vkdd14UQ3Ku7tzHkfd/XWkMIOec2mpqIiIiIHgm6OTjcCqCWCgek6iYtGUB0OMTlppLGW5OtbyQz + nnEZvpmp6hxr3QSoW9gskwPQtjhi7gKHQNxcNoshAoVBbxw8z5M6q3x0u+1lb7wFYreGiIsJ1gGu + Lcd683QFoFBbv/U3lvBx657AEtEv0mq10hiLWyml67pa61nPET1eTNpWyzbp1GtiQF3v1o7GZZGx + T+brLagLtjaY0hKpIW172O5sGwa53/zgk92eeMveBgBoC7GWdred9EvTbe7S+OiWvbD7NJWuGz7z + 2ef+5g/8QNfpOFYAtbpjvbUUEYH43fdGKaWcMzZHIJsJn9JxyON2VEBERERERERERI+INtBtLhrM + OQ/D8Ai0QyUiIiIiIiIiIiIiIiIievTJTbUMXRiKFQBuiFGh+vw0HYRYT7isYq+Xw4MpBlGIGZJ2 + Ka/6WmEVUgBzmALBgflCRESPhGEYALR66dVqJSLnMNM6xrharVq2NDZze4w18+M4AmiVjQBSSq1f + AwAzM7P2iimlNkYrhFBKaaurPbjv+5Z4PRef932fUhrH8RGo7W8h4jlnMwNQa21vx1nPFxERERER + ERERERERERE9OLsLVT06OsLmK1Qz293dZQ9uIiIiIiIiIjqHWh/OUkrOebFYiMhqtTo4OIgxLnb6 + 7/iOb3/Xu94FoBRro74Bu2P6TkoBwDAMbbz0NE27u7si6/HSMUYAbfh0SukRGB9OREREj6eziiJ+ + eMwHinLjHimAiZv6fK8CEFfZfqgY5M6HmmdiO9AagIisU67dxdoF4i7uCqjrOhDVbOsBLlvHve5e + azUzETmndYW3ZVoDUG9ZrwYYxNoN2aRj6+bKZX25PTb70db+/OGW4QHU6jFqSml3d7cWd+c6pNNm + grZl801atQGAOqqIiKs4HDhcHhzVQx8qpIibAApTN4UFt2AQILipQx3q6x8p5nte9gUneAFEbtnI + A5uWN3c8RcNfyTPW2i+4ewjhve997/PPX52mW4+RREREzOwe59lyztvHMwBqrae224qn8zJERERE + RERERETHa/4mT0SmaUopza1UiYiIiIiIiIiIiIiIiIjoHJLtW61kqNV7eu20g0MUZqbAd37kX/zh + t3zbxSnjxL4FVgfMdwNqLu61GxZQ3S+TpYo0FWRABQiusM2ss5CHiOiRoKqHh4chhBBCrXUYhpxz + Sums5+tWLTG6aY0PjrcXWN/3ANpkVTXG2AryzawNzRKRWmsL1Z7FGEWkdXJ395TSNE0hhNbnvdVS + 9n3/CLQta83rW/koABEZhqHWek47UBARERERERERERERERHRy3C3Tq/u3n4UY2xfoU7T1HXd6c4d + EREREREREdFLm6ZpsVjs7Oy0AechhCF1qnq0XKaUUkj/xd/6W1e+8MKHPvShfPNQ8FvkXFsk9nzP + 4eEhAFVdrVZ937fenvNo6pNdKiIiIiI6LQ5AIOv6PwXgCO1+gRlUAYECAo+AmpiLrR/v2vJBTVqo + Zku2tZavvA7glLMJum6HrK2wcau80YLEtrTrO93brEv7irA9UXw+4i01t6nN8djN2ZVMantrAGxC + xHXrGgB8Ey4uALwDzMSACtiNp3gQKFxVNtGw6wneHpv6KGtVtHRXN33M77CuQgif//znL1y4AI1T + NVbb0lkTA9xdIeIA1N2vHb344nhlr3syoWs7ObiKK6C6DsRWiN3YQorbjU3l/WwST363sN4zid3+ + Wptk+XmDro/X1vw03N/+okJUdBzzBz/4wY985KPtTlU49MbxxsvYDYmIqpZSSimtC0QIoZQS42lE + TvNTRERERERERERED6X5ez5377pu/tbwbs50ZomIiIiIiIiIiIiIiIiIaOO2NiZBksPN1kWeCAkh + Xg06hRMueaiAIzgW3eJotVwtl0/tDXV1iNUYS46wG98022nUFBER0elosc1m1sr5AKSUWk7zubLd + +cvMpmk63l5gre4xxthasbemZi2dujUgK6Xopoi13dl1XSmlrasWgy0iXdf1fZ9SmsdolVIegbZl + qro98ExVc87MtCYiIiIiIiIiIiIiIiJ6qN27JUXOuXWlB9B1HftUEBEREREREdE5NAzDwcFBS7UB + oKoiknNuZzPMLOf8wz/8w9/6rd9677HPLRrH3UVknhQAERmGod1oA+9PY6mIiIiI6DS5birnBQhA + BKIhVolVpIoWlSKxSDIEF7RkawB6I+9wE3QNbBXh3xq3fJrMzMzaF3yq2o6TAZhP7QIp7eLIjizq + olW0QopodWTzqdo4PzeEEEJoZYat4vIMFuqlyzQ3a1sMYg5UkYrkiFWCIziiI8KDuqpF9SAedJ3w + ykN9erkEoqKl2HI5/s7v/K67xxgFgRGodBZMfL352kQ7QwMUoo4Kv768/uJ45cD3TQ2uc4MbdbSM + 6znT2mQ9LRc41KEucDkn1+qy2ev6vPuVm6/l5p/SWRKRcRx/7/d+7+/9vX9YCkQQgpjdGKfUDlTa + g+/Rr2A+6ogxunuLuD6dTGvwk0RERERERERERA+7uQXq3ESViIiIiIiIiIiIiIiIiIjOpVuLG9u/ + FRCHhjBNSxFBUAw717u+yAknR3qrkzXkaSFdEh3H8cni/+uf+0t47hDLyYCVbQovKisziYiOX+uB + 1WrwTqIheAtdnv8ZY2xjjVp3re2Sv5TS3SbSZq+lSreZPLXaPwAioqrjOG6HT9+vW9Zwq3tsq2Je + /3PHsXbdKh7nbgvzSpin2eZkroecV07r2tAes1qt2o32o2majvddLqXMK2R7Gbfnoa3Ae0+n7/t2 + I6U0v7nz2pinvB3dTURERERERERERERERESPmPad4PY/514W9JDiN7xERERERET0SGr5YS0RR1Vr + rWamqlEgVs2LqAP+Ez/x49/wDV8HAIKuXw+oTmk9hD7GOI8Vn/NyzApgLTC7DcO+JXQHQM65PaU5 + xeUmIiKix9d81FFrnfOG7/0UEZkPVdpREw9dZiY3qu3UFR7hwTyZx+paQ1jBMQyj4MhRUvQkU1nF + PlYvq2lqx4o3ahXl5UQvnwbZwOZ4FYCor7O4FS7eLu2fhjrfY7B2vwSBbNJStw56z8n3htvJpbXW + GDu0X5CgLpJtKlInoEgcDdrvFNEscInVFJ7Eg1gMpuKij+svRK11/gC3b4TbJuKs5+vMtN+aVjx7 + j/Vg8JBie/w73/nOZ575jJm3St5WrZxzjjHmnNtfqae4BPQQmDen7VRD25XjTl/ov9Sphps/oq4A + XFCrdTFFDeM4aZSwEz76rz4cLqh2/VSkugCoXjxkxOJh2lyKS60KUzUNNYQqqGpVbPu6SL3lnlO6 + FoPqmKfY9S5IKblLrS7rrGuB6/oy7+foVMznytrhZa11Z2ennS472D/6vu/7zwF0XXRHqR7v0pqg + bSfv2N+gvUQpZX6JdhrwNJaNHyMiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjppsl0Kc1vlpld4nro+ + AYZpRNddS7GcVgWgAwa4QBxD9dfkilJbFVMKqA4IEFh/QUR0/MZxBCAiq9VqLkk9rom3OuppmlqX + imEYSinu3vd9i2cWkVprKWVOX74jVV2tVl3XtfDm9qxTSLZuq6KVHfZ9v1wuSyn3iN++m7lqEZuo + 5rnodxiG9kIhhAcuFG/TjDG2edt0NLOcc5t+zllEpmnquu4Y399SSsspN7PtjmytrUC7M4TQKkLv + sd76vh/HsRXe55zbu9z3/Rxh3urq24PPSfsJIiIiIiIiIiIiIiIiIiIiIiIiIiIiom1tULSqppRC + CLu7ux/4wAe+5EteD2CabGdnEEHO60HjpZR7T62lFYpIG1DdBlq7exuY3caHi8hLToeIiIjoiyci + y+USQIyx1trqxbquO+v5emi5mqhvCuVavLELPFrtqg3TgVy9Wp5b9tfr3nLsDkcdLdbluNSkO7sD + ADNEubm+ch0Fbae6IA9ONs0Oznu14M3h09urV0NI7dcBQWvNuebYhbQbbDHl3aOxu351enYfL2LH + Shy1h4mjLbCrOsRVXMXxuOVb3zEZ9DGMvW+L3HKs3b3FUbda7Ltpddlmdng4/sAP/ACAcRxzziml + Wuvu7m6r+W1OZSHooRFCaB+2VtTfCufv+HvXTkTcc2KqMMj6uSYAEKMsl2MpZWdnEZJeOfjCMy/8 + 8ac//8nDcX+xO0iAJh0W3TRNOU9BvIwry6VVjgeou1jVWn29LxMTEWkbRzERme8/1WsxqMc+Fi85 + 5+VqFWMMIWx69tycZu3znXTiQggthXq1WrXb165d67qhZHvPe9575coVANNUUgoAWtz1HZ3P/gb8 + DBERERERERERERERERERERERERERERER0YkTQO5Yj+pobU4AP1otsbOL1F8P6aRjrV3Wl6oYIyaF + OtRt5YcIIyCdx+BQxcpzCernvTSViOjh0/d9q7IbhqFV2R1jWV1LU04ptZq9VhyoquM4untL1A4h + xBjnV7+jWuswDOM4xhjnUu1TaL81x0W3fy4Wi3vXhN9Ny5lukeEt+7ndGUJoK6HW2qKgsalCvy99 + 3wNo89YSsltsdkqpTb91LmuNQh44PPt2rQtJe7k22VY2H0JoQeC7u7vzct2j7LPVfLbC+/aBEZGr + V6+WUlqZfa21VX4+hr0JiIiIiIiIiIiIiIiIiIiIiIiIiIiI6JzRW1NmxCAWk4YoUXBw7cUuqnh9 + 4uLez/zjn37Nay4DOFquHFgs+jZg/x7j9lsmmZmJSBvTXkppgT3tWQcHB+7e933OmXFlREREdApK + KYvFIudca10sFgBCCPeoF9t2aimADw8FBB7gCghgkAKprqN1q6vTZw70s3jqKl55pT713OHep7/g + nzysX7jw1AV0Xq2UUpbLpZXqW1X3fp7jrF1tnQ7aLsEQDLp1affceMwmHPQcEUD9xt8AAohDVd3Q + 4skNjlCh9vz1Z/bDHx/u/rG/4vN++QV/8uqye+65/Y/X7qCGpWt2MQHEVV3Ub/vL4jFwS5XoY76J + MLNWGtx1nYjcY7u6flifqsGB3/+Dj7/nPe/b3d0zszaR5XJpZqq6XC6PsY6YHg2tQFtuFkK4/Rfw + ZcRaA4Bu/R6bmChUoUAtU/ESBrk6fuEjv/Fh6ev+0dUQsVwdHRwc7O1d7LW3jL3h0iLtJe+1qhQN + FpPoIqRoiGbzJblv//NOF5zcJRhyGQ3VxUIXYowagpuUbHDFegO+3pcd7/tF99a2e+6+u7tbiuVc + F4tdEfmZn/nHv/R//XIuCFEdmHLdNG248972fPY34Gk+IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6 + aQbYXCK0XUskAohAHMBiWNjBoXbpP/ulX/rE17/tZGdIgBs1S61gVqPZK8RxtMSFig4IMEBjMuBB + okSJiOieRCTGaGbjOLaOEq3v1XFptXwA5rK9+brve3dvhXzufu/E6JxzC29uD661xhhPOtm61SKW + Utq1mbWeX/dbJ59SKqXEGMdx7Pu+Lem8vCmlnHMIodbadV0rdLwvLbs659xWZnu59j7OK20cx1Zg + /GDJ3Hc0TVOLysYm/LtVv7ciz3Ec24y5ewvAvkfRZuuq1vLOW/Fn+zS25Wqx3G3O538SERERERER + ERERERERERERERERERERnR+qOk1T0PTEE08cHBzEGFNKb3nLW/7+T/7Ud/+Nvw7IONblchRZj1S/ + 23TaCPyWaT0MwziObbS2u69Wq2EY9vb22gD104zVISIiosdZqxdrxySr1UpEmJn6wEzgrnOBosAd + ZlJqyEu7/osf+yef+sK/OcKVgmW2GsNub0992YWv+St/4a/uhL1oKYVuGIYu9FPJBjOYwwFAHLAW + CC2wc3aYqHe+7QqxrfvPZza33jyfbdUaoGbWEmCrVRePfRzz8jd/71f/xSf+j0O/0h7VyWIvXtrF + pb/+nd+7F1+prtF0K5FcN1N7jJxyPui5JSJHR0cxxpxz+1sSwM7OztHR0T2eNede11o/9KH/5eu+ + 7mu/+z/5ayI+jqOqqmor5g0hcCXTthZ5PkdWz6X9t5+daHfedUKu6lUc68Y1st4m1uoxSXI9GieT + uriwMx2tfvuTv/Hh4X//trf9paWa92Gvu3h4tIpIKuHwsKiKatQIF4G7eSnZRARwdTWxgGCy/q/d + c9s11N0E6jih6xAxlclK8eoIsa5qCKlPqZSbt9s39hGP1/b8rLTPc4xxmqZa7cKFC4eHh//y1/6/ + 97///SFIrd46QrRmCymlnO961HoO+xswI52IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiE7e3arPHFaK + mwFmgO7uIgTEkOUESx5M4AIXwDUYOvPoboKh4NXPvfiRv/gd8JADRvGVjQFIN0dxExHRsSiltJrn + xWJx7+DhB9BClFst9C1Tnota20/vnbUcQmiVftM0hY2TzrTGzfHVqtp1Xavavd/p5Jxby7C+73PO + rWdH6zKGTWJ3rbVVnrceH/elPaVNf14zMcb5JdqPYowhhGOMLe+6ri3OdgFzu9HirlNKbTZezpvV + yulb9nattfUmWC6Xrd1Jm8I0Tcy0JiIiIiIiIiIiIiIiIiIiIiIiIiIiovNCbI4vWi6XFy5c0ICj + 5cGFC7uqEPFr1669/e1vf//73z+OFcAwdO4vFRMFYDOy2t1VW2AeWrjOPFwcmxHdJ7uAREREREAr + D2wHJ81isej7/qzn6yHmN/5vkArJpmPYrS8cPfO55Sevx88c7Tx7ffjTK+lTL+ozz17/9LCXht1O + ozjqVGw1TftHRw59KNIP1fUuF9ncgPqNh531/N5KAXUVQHx9AdYhputqTTFViCDX1Wev/MlVfOrz + 8RPXd/7kaO9zL4Zn/vTwD5659gnslRKXVSfX9Z8PJgDsMcxAnf+62b7zMcxgNrOdnZ32911b/BZ0 + LVC50+91rbXv+/aJSynU6g786I/+6G/+5m9ev359GAZVbQXjeb7hMAAAIABJREFUi8XiMVyfdG9z + dby728Zc7X7Lg+/x+Zk/mtvPcQGAUhxAv4gmOCpHoy7H/vov/9Y/+6e/+j+8oM+Ui8sX7MU8yL6X + KQxh7ylZPIFuYRqK1KIrC0uPGeqQAI2i0SXMt8/kGgESLQ7od5NGhBSyZcCmMp+HMcAUpm6P5/b8 + xLVzbltn3pq+7919uRxDSH3fX7t2bX9//93vfvc0mbsAMEMpFmMH6D0yrZvz1t8gns7LEBERERER + ERERERERERERERERERERERHR484N67BqnQtj8mSpS7UuAYem4h5TRIx2eoWfAlQA8BBgF4Muakap + IjCUXlMAvAL3yjwlIqL7lnNuRXSllBijmd07XvoBtKLWpu/7cRxb34r2Wq2TRXv1lxMXHWOcJ9ie + e7xze4vtBGhVrbW20OhWiPjypZTas0opLecbmyzqlvw9jiPuVPr78uezrdtxHNu8tVfcbhTSaikB + HONbbGYtkrytGdmYH7Cd592W8Y7amgHQdd00TW2G2/pZLBYADg4Odnd38UWsIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIqITtbe3d/369a7r2rBzM5um6dKlC/v7+9/yLd/yQz/07h/5kf9qNU4A2hjsu02n + 5Vi3WJ05xNrM2jS7rmvjt2utqnpqsTpERET0OGvlaQDasUcIYblcvpwnimBTccaM1S3rdEZTXyc1 + uqgLMkqN5gtbxdWotfQAJvPDuBDtZVot3WKUXlVjiBcvXpzK5GLeVu8ma1nn2ORzZJ6j1txg079A + /C4PO7ccAMTgAYCqTiWrAyquMpWVREm74Wj/aBxQkKXmELpucSGsivdSs1X1KhbWHwCHFAAPRTb5 + MWLiciMiZtaqgFt9bs45hGD1ruvHzEKQWt3MFot+uRyv7R89/fTTH/rQ/3R0dDQMQ/szc39/fxiG + 7fpoIlVtpf0ARKRVcONOv49zyPodJwNAHOowQHy7Bh91wmRTiKoKA5DKSo8+t3rm15/N//p//o2v + +fI/801f/22X+lf1/QX4dDiaOtQBqUDRABETUZsm8XD3GThVLr5aHnV9tGwVNXgIKWiKdZW3Yr0N + wFbo8uO1PT8r4zgOw2A2trNkwzC8613v+sxnPhdTyLnODShKKa1xwd2mcz77GzDWmoiIiIiIiIiI + iIiIiIiIiIiIiIiIiIiIzkyKCkeIEbBlzVY89gNqNZxgcYVuSpwEqIIpAEBXMQmud/nDzz77H7/q + iU8iQbLXCa7rmuWzrz8iInp0tC4Srea51toSiFsPrGOZvrsPw1BKcfdaa8u0nqapNeparVatNLrN + xpyxfbdJ1Vpb7R+AEMIp1FS30txWkdjmts38A0yqzW3LnJ6DvduPaq0ppZxzW8DDw8MHmP44ju1N + LKW0DGkR6bquVba3TO55VR+j+X1sLz2vnGmaFovFcrkspaSUxnG8x+eqlNJ+WmttDU3abM/Z53t7 + e20Z+75/gFhxIiIiIiIiIiIiIiIiIiIiIiIiIiIiopM2TVNK6ejo6MKFC+42LLpxJcvlcrFYTDm/ + 853v/NSnPvXzP/8/9n0axzyn7NyujQA3s729vYODAwB9328/vg2nV9XzkPNEREREj4P5qKMVfNVa + VTXGeI+kQLo7cwFg8AopcAUUHtzTOHqR3uPOCvujV08o3kHTMk/L8bC3nS71wYNXLI9GiUFuK7NT + xzkMh5ZbZun2o+BNzvfm5+crFnSdvi1ACyx1wGGCVo2rqhWTo5qXGIN2aZKYk4zZVVMXhoidHIZl + gUqMIiYa1vHYk0kGACT4+VrkEzUH6z7m+dYi0oqvW0VwK5uttUpLDt56ZFtNMcacc4wRKLX6cjnG + GM3Kxz/+6R/8wR/8hV/4hevXr4cQUkoppdVqFUI4i8Wic+r2TOtWdd7umbn7ff1uytYDY4QV1GKe + EBMMfrA82nl1/MT+H11c7H3+05/98B/+b5f6J177xOvf/Oo3ve7y63fShYs7ly4MT3RpRzXVIl4R + F8D9RQif4MZTTXcWOyiyOjy4uPukZYP4OC6jdjftyMQAhxiggD1W2/OzIiL7+/uXL7/y+eefV9Wf + /Mmf/NjHfi1G5FwhCCmWnIOGWuu9P8zns78BeygQEREREREREREREREREREREREREREREdHpULlR + 76nrmhhBKTV2Yo4uJAQt1ePO8MKif2HoL0y5swqIyTpXep1I3Spq1pWiMLmRVP0yibfSTXGFy41p + eh/i/qhbr4FiiCzgISI6fq3EFEArUS6l1FqPsblVi7IG0Or6Wix0y6gehqG9buvede/E5VYQm3Or + 0ket9bjm8B7afAIopbTk5q7rWv+v+51OW+o5ZHpew62LR7stIoeHhw9Wja+q7Vld143j2HXdNE2t + LUjXda24vb3FtdbjKkdX1Wma2poJG9g0FFgul62cvhU232Oh2mejZW+3T0V7fCvvbHnn8zpkpjUR + ERERERERERERERERERERERERERGdW5cuXVoul2bW9727R1WzUms9Otz/O3/nb1994co//Wf/HPcc + X43N+PCDg4MYY611tVrNEVMtdGceQD5XBBARERGdqO341Xb4cb+Z1u7Ow5YNWyc9uzpgUHEV164b + XGWCFbirmIoVUQkhInUh5U5GKcWiBA0ekpj9/+zde7Bk+1Uf9u9av9/eu7vPmbl3ru7V+xEsx0nF + SEhCsgzIRoYEYZyYlyhDmUeRFKSwZZARGMU8je1UhEqi7CosiEVU+cPGgZRxDCkUIhcSlgAhBfQA + yShSZEBX96V753FOd+/H77dW/ljnbJ07d+ZoZnTmdef7qamunn7s3nt3z+7p/fut9a3iANQPi/2P + BjDfkgzQuTXBE+66RblAHOoKGMRNADhgVmqbG0/Sj54SNGfosNlsXFJFMq85NdV1sJLhkCSe1DMA + i1xzurO5e1TOikhKqZSC+CV4mY9GKWW5XG632yjInZ+SFO9693vf9Kaffu1rX2tmEWh9tUXQdJ2Y + ALioB8vRt8Ye/2C7KKRZHRLdVwSAxdIOD/gORKcXczHATQCJ6wfLdYkHm6kDZqg46C+j0zT1fV8G + u2/5jGStiqpoQvpcFe4G6NG2MMDBF487kiI1CpERte+h2VOLjRc5hf26n3U/LzHZ2Qtn7//YI+9r + rJGapebky6SLJq/atJNS6hoBLP6bcfRcx+XX6rrGWuc05GXauWt119d8xdeeyU/L6DbDtNhta18A + O/IOijLN+npwhVwiKVxEdnZ2zp8/v1wuf+d3fvetb/1f3FELctZSLfo2mNlisej7Po6Zl1z8rdnf + gG0UiIiIiIiIiIiIiIjoGsUwSUrp6MTi6AN+9GGlFHZ2JiIiIiIiIiIiIiK64ynkoEAoCqAw16Uq + cpsAU1GP25MgN6/8jXf+zpe/4s88+shTC5BSHYu38IrmoMI1AVAclDm5wCBXk2wtcAckKpfkYMVM + XX0jX/DUM/7wWWgF4F6lyW4Q1vIQEZ20izpYzSPLqppSmqYpHhChyFcbJp1SMrOccylFRI7mNx8d + wj46wD1XlkaI9dGs6xgZj3UDcLkawmswb1rTNNFfY36Jue3X/LrX0PPr6ID+NE1d10VZuNlBbWTE + dc9txa5hE+a9Ed1A4jJeIu6aW5jFnr8o3LqUEm9W3Ghm0fVsfkA8fq7AnKYp3rW4nJPRYwnzGz0M + Aw4nNsR2NU0TGxsdTI7eEpnf8yPn1YvPAFutEREREREREREREdEdqNaqqnGS/0qCSWIsYx4FKKUc + HWohIrrFzf2RY7wyBh8Zy0REREREREREt6QjU9mPhOtEvN04lKRNUlg9nIJu3mRtk/bT8NNveuPD + jzz4u+/9YEooBvjBNGx3gYiqWq2ART4ZgPnKPMu61jpPEd9ut8vl8gZtNBEREd3ZUkoiEhVhuOLi + PveDJ7qbquJaKueehKLMX1zhZuIuoq7ZoDYpxiq95EngqXiGJRuhg9koNal1btlFpKlWR1VVk0kz + PAMGP4i1Vrd6K42w+RXFjt7qTQTEFJKrFAcgJq7JzadiaJJ2k/cwX6g3ArWaasmexKph7QrIbrLa + ltyUBJgf9ndQbwA8MbDzyS1+48zHkKMFsDdztW6GiFOdx8SjFhsHVbc2F+G2bRslw9vtFod7bC7j + rQY4fu6f//wLvujFr/zLf7lpulJKzs009iklTWkObR2rLRYLL9PN2t4npTm4Wg6PdeJwQSkltU21 + KedcJgMQ0x/UVVzia9EF7tVVAHN3CA7miB3mRouk1huvVuBV4eomZuJmY27UvRSMLpPkWrVUmapP + 3no/DZttv9dv9ofNetjbH85t6/r8/iPbcb3Z7m82+9vtMA7FDKvxzLd+2Xe/+HkvB6TWWq02TZeQ + Syly8IV9cLwy4PAoXcQdUMAAiVztWN9iUDdAoZqTiQGCKBSP7yYTFLXqozY6YIKruAJruAKqLjBg + uIWOA+KSvEMvuufPePieL3vGX+1kp23SOJTGAUE92PisjuQmblWvpt0OXYGkTd+Pq9ViHMfo/6Cq + Y7Wkaarj3rlzP/hDr9/0B8fDUgyAVwPgqH2/wZFvmaY5OJYCyDnXWudJSvMX0DAMXdfF9ZvV34AZ + EkREREREREREREREdC1iLvI8uozDYfjoOjEMwzz/mO0niIiIiIiIiIiIiIgIwEGy9TH34sj9SbHa + 2ds5ZXvn4MNmKMs2T26ihgoTn4tII9P6GniUcLiLI0Uxk7sArafTstgBWvMKiCqcmdZERDdOpB3M + EcUislgs+r6/huUAKKXs7u7u7+9HT65j2o5H6PJc8hcJyhHYMD9rTkG+hpjt49fziUuOKsTIgY7O + 6bim+sOj6x+bdoKZ3MeYKy1TSoftPzzKLGOjYlev1+udnR0AEYlxUcRFRFmnlOLB4zi2bRv537GQ + aIU2jqOZrVarzWZzufWJPRyl9cMwxIcq9vnu7u7Zs2ej8jNqQY+mbhMRERERERERERER3YHiFD0O + B27mhNdjxkdUNc7kA5ivEBHdLty967roQgsgpcRYJiIiIiIiIiJ6cnBUBcwLrKQmv+2t//zrv/Fv + fOxjn1wsc9+Xw7O+IiJWj8s/UlUzi/nYMZ2bJ0+IiIjoFnfDowBvJ2oZYi7FgORQmACC6lpMTRzi + EFjy6igqKMUabyDJvECKJhcDvEmmVcTloN5f/DBilE6GmURLhbmvggJQSE6pTC7mOaulFPmmKYnC + xZEc6la1uE7iJo5kqq4ATExdAY2o1zstA/UOjK++pLlUeRiGGCsfx1FERGSapijpBZBzjtsvt99S + klp9fz2+7gd/8Ff+j3977z1nVCEiu7u70zSVWmutUTV8arWzt7fXZZbuniQ9iKW3+dIFgKa2yTmX + oU7TtGi7UsZIrTYrEADm6i4GKSZuqEhwVHOPg3kQ83UVaRwJnmWUcTtuN/1mnDbnHnxsKv1me2Gv + 31tvz+0N5/eHvWEaHtt7tKIavKqbiqWxohQZtLGKwTFJStKKN1INZV3RVSSIu2qD4u5erZqZpou/ + SKKfjLhB3JABdbgDEtvuiKxrE0RetUhRw0W19CaAWL345luW1iKL1SJN/q4P/vpfeN6Xry/stWkX + FZHnHQ9yqAHZb5eNup3U6u6WUnKXWmvTNCJSStGU4/zY6173A5/61IMAVBRAvcy7EP0NxnGMuZdz + 0wwRyTlP01RKicmZMW3pxkdZH8VYayIiIiIiIiIiIiIiumpzXvXR0eVoLB5zlGP8w92bpmHHZyIi + IiIiIiIiIiIiumquMN2u+9Q22wvDKksZS7top2H0BACHZUQKmMEBXONohJgC2QFAgOTSmt+t6VnA + p4D9g5sPizJZSUtEdP1Fl3AAMdzs7teQaQ0gGmYtFov9/f1ILz6+li9q/6Lkb71e7+7uRkFg3BuB + DbGca4vZ/pxrGwuPIG0zK6WYWdu2MeYet19DLaKZRcJ0zjmio7uui5Ly620Ot5gjrgG4u5mpatd1 + ZrazszMHeMc8BAB93y8Wi0i8iJZnEcgdjr4vy+WylLJarQBsNptj4jQifmNOto4iz/hInDt3zt1j + YkO8XKzhdd05RERERERERERERES3sjhjDyCltF6v41z9MZnWbdvGif0YCJgHOG7U+hIRXanLDbmq + 6jAM0YI2Rm9jLHIemiQiIiIiIiIiuk0tFouzZ8+ePn26bdtaatu2P/uzP/st3/ItDz30mAC5aWK+ + uQAO6xaLod9ccjkxJzxOFKeUYvZ7zvnmxu0QERER0XUmbtCUa62iDrfqk0ttUnZLAlUXF69SHF41 + KSODT54BcC2IYFcXFzXAk3odBZrFDV7di9skwmjT410ynvkOzLqO0tpIUQWwv78fc37i9lprzjnC + raPI93K7qFYH0Lb50UfPf8/3fM8v/dIvLpfd+fPntwoRads2FrvdbrXJrNk9WeJzVj1cDGKAGwSw + MpmqNpJrdU1ehm22jIQpj0UmwKCQZJbNpBhqsXFbN/vb/XW/Wffrbb9ebzf9tD2/eXgzrS9s9/b6 + 9XbYH2spNnm1ruvE3N2rGhSWvIi7e16JexWZXIqqSmRsu6tkN6+QWg2Iri7adNndD04yKBAfS4iq + HvZ2ObqxN3bn3ho0y2RTUn3w7P3v/Q/v+Sv/+X+NrWMy0wK4IAEKwMVcTOCAxS10InLWww4Pk5ml + lCrcVXJKFy5c+MX/7X9/z3veCyClww/2ZUzTFI0RSikA+r5PKcUcy2maou+BiKSUboVJSpwjRURE + REREREREREREVy2ldLRPt6o2TTO3vW7bVlWjJXQ8+KatKBERERERERERERER3aYESLoB1v1w3wI+ + em4XtR/iHgeiqMYgEHWpkZN5VbnTJgCg7gCSxYIh7nkqO3X6s1k+WnwE4BnuYI8VIqIbqNbadV3f + 9xHwLCLx16taSCklpRQLiUTnyCo+pm1WVFbXWnd3dyNOe7FYuHusRjy3aZoTz7QGsN1u40pEL881 + 3heNvM9/vXKqOic0j+MYmdbHxD+flKMvEZuzu7u7v78fdZUAaq211qZp4h0ZxxGHoReLxSKuR/V7 + KSW6xrdtGyndc0R3lM3H5TAMx29UtE6LV4+PR63VzOJVAAzDEEX4zLQmIiIiIiIiIiIiojtctA2N + 8+c7OztxY4zaXO4pcdY9eiwCSCnF8MqNWWEioit0uXbb8yEr52xmTdPEuOSNXTsiIiIiIiIiopO3 + v79/1113xeRtM7Rt+5znPustb3nLt3/7dwzDME6TiIgkM9OUhstPlY/Z1zGp3t2vdlo7EREREd2O + XDBVk8O4asck4khqcEFWJADiJqguVkWcgZrXg5hJFE6qS3IooC5QhXqxaoICtUFsXbbOjgjHMmPw + NwBEROs4jk3TRFNxEZknBYlIKaWUoqpR+Xs58fNwHEvXNX/wBx/5qZ9649/7gdd1XZdzNisRaL27 + u9s0zTiOOWfYHZlOfJ0cpthXBYDkDjGIwqXrGrNSi+eVP1r/eJ0uDMO0v7++MD66mTbr7f5ms7+3 + 2Vv3e/vb/X5c92NffJxQXKuLAVa8TJiwKkVK9VJRpQMSVDVB9mt1EzExUVMx0Qp39xZQq+4VgoNm + 9KZuAqho0pxVvbjVOsHNUIubiIgnM/NqKamqujv8+ta/3xZcTFTrOLokXfk7P/h/v+yFr1iu80JW + h911kjqKAjAThxicEQAnKWY/AnD3mEo0limlNE3TJz7+yTe84Y0Auq6ZpimnXGo5ZlHuHi0OomFF + tEeIu5qmcfdoehCvcnPnW3KaFBERERERERERERERXbXo3w1gtVptNht3j7bXKSURiXzrpmmiYTRj + rYmIiIiIiIiIiIiI6KolRZfKPXeVc4/YCJVUxt6Rm2Xr48YECjcAyPByNWHWR7hC7LPPdQegsNZ0 + OUzP31ncfX67BlCBJBADK2mJiG6IqLiLMeioyss5X1uS9Jxb7O4RonBM3MI0TW3bxssBKKUsl0sA + UYwdXctrrTEgfoKx0LGoxWIxTZOZxWWsZ+Rqx8rknMdxjDW8KrEHVDWlFJnNceOJrPzxrxtXuq6r + tZZS9vf3RSTK3SOUep5O4O6xafM2RoB3lHc2TTOXYqpqLDnex9OnT0c3+XhfjnFQzHxY8ykitda4 + Ze5c33VdKWXO2yAiIiIiIiIiIiIiumOJSM45WihGvOvx4xTuHlkm8dc4q39MBjYR0a3m6PClqsYw + 5c1dJSIiIiIiIiKiE5FzLqVEIvVdp+966KGHTt195iUveckb3/jG7/mev5OSRGIZgK7tttvpcsuJ + Wdxx7jeyzczsGua3ExEREdHtZajjWEuXEqqLuSR11VoconBNbipWpQAwdXeIQxlce3LUAXGPPYwW + ADw5MNmU1BMExbKgafJW6/lxvypjm4/DWOsQg+NRvwwgft9FMfXROtzYXXH9kstxl5TU3YdhSoq3 + ve1/ffEXvfBrv/a/GYbB3ReLdhzHzdDnJnPPnzgXM9SqKCIKNBViChGHbrfbbrlodtM5eeR//vU3 + f+Kxj0wKZPR1U1HFXQ8IzC1VLOLAba6Rlm1Qh0pfJldAoQkGmMFK9YpFAySHAFKhohJ/Ke4OhTjg + CiQR8VxETMTdaoFAxdwNZoLRtu41pdRIU6tXHJT/m5lKbODBlipwZ356Si2aAPhm3Huo3P87H3v3 + K57+1StZVTMFxG3eN1VNHRCA378nZxzHefZjnFtzl5zbBx94+Lu/+7trRdvmYZgAVFhKTa2XPqUW + p9EuamgAIHpKxCzNaJUAoJRyc0+1sacSERERERERERERERFdNRFp2zaltNlsAETna3cfxzFaPE/T + BCD6Yt+AdthERERERERERERERPRko4DUb/21f6s7uzAAmttFynm93QBQh6AmN3Wo67UUuLqqi9pB + VYULXAFAHFnQluFZXXsGaABUQOB3aK0TEdFNoKo4DCGOselpmuY85isXOdA555SSqkanrVj4JTVN + 4+4xwF1rjXiGeBaAaZqiVnCxWJzsOHgUY4/jGCnLOecoUBSR5XIpIvv7+1Eifm3ZDymlyIeeg59r + rTegpjEmDDRNMwxDxF3MVZfxbopI13WxYyPuGkCs2Lz/cVgeX0qJ924cx6Zpoquaqm6327gXQNM0 + x3xOoqQz0qwj4NzMSimxz2utMc8hPjBH60KJiIiIiIiIiIiIiO5MwzDE2MTRYFe/jGhuG2fsI9O6 + 73vGWhPRLehyx7FhGKZp6vs+Hja3S76pK0tEREREREREdALati2lxBzpvb291Wq1Xq/Xm71Xveqr + 3vSmN9TqtR5M5N5ut8vl8vilxZR7d18sFsy0JiIiInrSM/HBh/Ob8yklVCTXjCQiFe4iLhqxoxG9 + DOZpXkcOOGAHDRGA6kUE6kgm2RtVHWR7bnjUhUOcx4nSUU5oCVGfC6Bt2/ihF/W2UYE776Vj6rIB + pNTM1btm+Pv/ww9/4AMfattFrbWUsrOzMxduc/z95IkB5nLQCUUcEUq7u1q51Wma0NSz9sDezkN7 + q4cfTp/u79obTu8Np/a3Oxe2y/Pr7sK6u7Bu9vp2PTTrse37ZrvRYZOmjZQNJlmoNOqCqaBWiKDr + sLMDd7jDAXeYeaml1smrJ4UqXOEqBTKaj+6j+ei2rTZMtZSSIF1qOm+auhCTUqyUIi6qOVLVWd89 + UwUEkxk6bNu93/zgO/q0V2QAMH8XiEPiM8Cj2nUQLQ7i8Ng0zc7Ozt7e3utf//pHH72wWLTjWAQS + Dzu+70StNdoauHvXdXFFRJqmiUzrtm0j2eGmn2pjrDUREREREREREREREV21aZrGcYzG0yIyDEMM + gcTE5RgRiXzrcRxjAIaIiIiIiIiIiIiIiOgqJMHdK9TBmk5TO9apn8ah2mKxACCAAuqeTJNpY0jX + kGwNBQSACaqgCvywuC6bP7XBMyPWOqECEytpiYhuoAhgjqq8iDGeI5mv3BxQHSnOc4LyMU+JPOkY + +wbQtm2UUrdtG7fjMH/6BMfBY5XMLCKZ1+t13BiJywB2d3djXL5pmjlm+8rFfuj7vuu6KOhV1UiM + vq7m3GgATdNEaHSY383YtymliB43s6N1m23bjuN4tOI93koRWSwWUR4fT48HT9N0/Ock1mGOxxaR + qKufpimlNNfYRy3oCe0GIiIiIiIiIiIiIqLbUoxcxCl0AH3fR89EuYyU0jiOOecY3ej7frFYHN+u + kYjolhLxTnHsirHIUsrx7bmJiIiIiIiIiG4L4zhGX7joCNc0zZnTd+0slsMwfP3Xf93ffe1r4BBg + GkcV9IepZpekqrXWmPdea1XVo7PEiYiIiG4drA47KS42yvaRCw8CSKatdTIKCrKoi5vYnKMpLuIi + zvG1Eyau4gf9ENRVojmCuCQxOGqj3qrnUsqF4dFH+0dMOFXjOExWPirnHFfmwfGoQY5K3hg3F5Hj + 65qj8XgppVYHsB2H733tax946KGd06c2Qz+UqWmalJJ7deeH8ySJI9WULAHZJQNwMRczsWkavViu + bbKcFAJrUt7pFiiuFeIQV9SEKnBVyS7JRCvcAUmQDMmAYhqtlgTPWZosSSu8R91CHepIgALZkaGt + pqzJRtQRqDCrLuZJXBeuHZDaRnc6rETa3tMFXe2f2h3vuXv5lASZxtHMVNVdogT+Zu/aW4IAOQHA + 5JBl3qb1p/Y/+QeffP+gawDisfstuYkDrg7l0e1kxRHSBK4ymSPlxx499y//xb9617t+SwTbfsxN + YxCHuskxcdTuvlgscs4xD3MYhuieEXdN0xRfTHHIvVEbd1n850dERERERERERERERFetaRocDjZH + p4l5BNrMovtzDIQcM6ZCRERERERERERERER0OQ5s3XH33Q+XcraWdmcnokaHvo8HiGMuKRaHXH3o + tLgCagI/iLUWEwBqXhsvT3F/BpAACCYzg7CMh4joxhjHMQKYVTXGo6dpuoYy1HhudKAYx9HMFovF + McuZE68jXzkKrefY46iJBWBmOecTjGGYw5WjEDG6pcdlbjMAAAAgAElEQVSYu4hEn6+4/doqEmO1 + IwdaRGqtZhaD/tdVrHCs+TAMIjLv4WiLBmAYhniYmYnIarWKDUwpxVsQ95ZScs6qGpdzGXytNVLG + IwA7NvNy6xO7NMK2+75PKbl7pFlHIWi8rbXWo6tKRERERERERERERHRnisGLuZdinIE/5vy5mcVZ + /RjZj8fH04mIbhfzGGIMVsbw4s1eKSIiIiIiIiKiz9c8oxtA13W11rNnz8bUdDP7ru/6rm/+5lfP + D76S/MdhGOL0b0qJLeaIiIiIntxcypT6c/2j1UcRbdBKESlREWku1cVNIK7qmiwnV2Wy9cmJHauu + QFIXQNQBVKCkJGbuBeotPI91e3585EL/sOtxCcREIUqkm6aZpil+Hkad71xJPY5jlNzO5cBXaJr8 + k5/81D/8h/9os+53dk7Ndc211htQ13yH0YNDriccOfC6QDUlbcSSjb5sOzEvQ5n6qlW0SjIVi8er + SBJJqgqPVGkIoIA7rEJVRVzirqJSG3gjyPFyBjgQWdRW4e5QFYUroDDUigorUiBjaoau2ezkvd3T + /dNecOal3/jyb/u+b/57z773eTvLXUFSVVWN+vfDPgCcrYEyoknIWc6e33SnGmuHd/3+r9dm7WLw + 7ADEkiO5AoKDt45OTMwdEpE4do3j+JGPfOSnfupNAGIS5TRNXdcBMLfocnA5wzCUUmqt8wF2nmnZ + NE2cZIv+Cdd/sz6Hmx+sTUREREREREREdFKOOePGTqNERNfD3Co6RHn2Ra2ob4XhECIiIiIiIiIi + IiIiug1pKx02j5zdXe31u10/dJBSxw4qbpFo7WJVACABVz8gIYDCAYcJqgDQ7AKHAI37zmb8Txrk + CQZkVYOxiIeI6MaIzlYx3GxmceWiyT+RPA0gpXR8wvTRPuPzoHbOeU6qjkLryJAupcy1fymlKCbE + 4dSjeVFHB8qvyrza8/Wo+o4NmROsj2Y8RK3j5z/yPu/PeOlpmi65604w0XmuwIwrFy02NvbowwBE + r7TjN/bocubr80L6vsfhpyL27dHNjDcuquuPBpzP1+c9z6kORERERERERERERHSHi1PlcQp9HjE5 + 5vx5PJIn2InotjYfxOZxw6OjinQ7ivf0BMfBiYiIiIiIiG5HMXFdkOBwuLsvFgszq9O0WCzQtT/2 + Yz96//2feve7fyd+QMcE7KM/qOfr82T4mKEd07PjXncvpcwpZRdN2CYiIiK6kUTErArgjpSSmWXl + YME1MvExbz/+wEdf9tSvUJU6eKcrl1psgBSHGlQd4llc1BQQEzjnDpwkgWexCjE1NTEBgFqmsmp2 + tK7EUWwfDf6f3/9t3ZkmYaz1cUopc53v/EvnDhxPnMfE5x9x8QvO3edA61JK27bjOH7OkupaHcBn + 96DgV3/17S996Rd/27f/zZzbzWZ/d3d36t3LnbWTbxSFK8TgcngdKqm4qaSuWZSxiohKhhlM2rbb + bPp2kQC4mxWIiKkAKoA4UOFm2WFiLuYwAOKqooAC6oBZkZxEvViFIKXk0FonJC0OkQTAS1WvDWr2 + vPRd2S6evnrei1/w8hc9/2VPO/XMVJKM2qDrN9smZ6/mjiwKOTzzcPA94nFNHQDsDvtyaTP6DZa7 + yw7jMIzJy7n6wIf/+P1/8Rlf7ZMUlCySBeZSLLmKMAv8RBW3pm2222GxWDS5+/SnP/193/daHD3W + HTZGOD6Fff6KicPsNE0551JKznl+wEVXbiKeyyMiIiIiIiIiIiIiIiIiIiIiIiIiIiIioluLANM4 + 4tSZv/Zrb3/EoYuFW+3a1UGN3EHdkZuYCUzk8y5wFbg41EVFJVU7PZZndZ0AqkjXmJxNRETXTlVr + rVGn1/f9HPasqru7u1eYaX05pRQRSSnlnFNKq9VKVUVktVo1TRO51wCGYTjBXlrRAiOui8hcfAhg + d3e373szixU7GsX9+ZuLGKM1WGxaRDvjsOY89m3XdU+O2vtoozZNU9M0tdbYOj9Ua41tv+Z4ciIi + IiIiIiIiIiIiIiIiIiIiIiIiIqLb2u7u7mazMbOU0pvf/OYXvvC/ACByEFnt7qdPn45HzjlnlySH + mqbZbrcxSZuZ1kRERERPDq5lSv2HP/77A/ZLM1qyfhyapoMJ4BBzMRO4iLqqJTX+P/C6UBe1JA51 + KExgsAr1bd+f267z6Xa/XvjoJz+gzciGCMd7cpTQXj9Rj7zZbACklMZxVNUr22lH/u07RPAP/sE/ + fv/7fm8cx+VyJ34nzhmudFLq43KMNZKtxXUci0hysbFM5slqFuTlYqfRVMdpuewAE/GKimxo3bW4 + Foghkqsti2d1FYcAAqjbwUsAAJpFV92GqUA9ZzUrtYxw91o1iY9WN3WJnVM4s+rv3tnc98Knfcl3 + vuo1r/uWn3jVF736Pntee/6uU8NTTtuZZO282kc+QvM2HX7wfL73DvqKUYc4RDBNtVZXldTYOXvo + A59871bX2omLi7hNE0pN2vjBbqSTlHNu27bWOo7jD/3QD+3t7V1DB4g4rqqqqm6325xzJFuf/Oqe + BH6GiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoVqNN00EyLOmpM9uxAo46lTq5IP4AAIqLOVAF1xw8 + rQc1nKiiVeCoyerdlv7sXfc8HUB/QcykOFilSER0Q8wVzimlOW7ZzCKf2Mz29/fnoOI5s/nKRcOs + uFJr7bpumiZ3jzrAWGCkaB8Nov78RdZy0zRRxT1nKovIuXPnYntzzqWUk31dHIZYR1I4gHEcSymx + AvFCsW7DMJzgi94si8UCh0HdkWw9b+Ochh7VnrdszScRERERERERERERERERERERERERERHRdWOA + DcOwXC7rNJzaWd73lDM/95afedYz7nFHShKTsS9cuLBarQCM43jMvP24S0TMbLlccpI2ERER3VKu + ofyQjjKxkqY+rf/wT39vaM/3ekGWWPfrlJLABPUgf9QzPM8F+3RSXGAS9bZZDoJdVdwBNE0zTSNW + WNzbPTI89NFPfXg9nZvcnB/5Y10yoZlZ10eN49i2rbtHPfLnYkf+HLnV4YLXfO/3bvtx6Cd3Uc3j + WK7TOt+ZHKiKqgYxcY9Aa3FVV1XVRi3ZhLJanWryapjqZrNJKW23dRiGWuvgRTsvyQaUkqyqmZpr + MbHItxaHOpIhGWLJB0cjWN9vcoPFUqxi6GtyLJMuFDstZOu7Kk/r7m73lveOz/uaL/zOH3j1T3/L + l7zuxfe9anf7zGZvtSOnTnd3Zeu2+0Vc4ltD+U/wUtyRFWYGV60QxTZt/vBTH/qTx/64NqPJpAlw + h3lWxUm2JSAASKnZ21sLkiD9zM/8s9/+7d/d9qVpLzrrdelj4OMeYZZzNrO+79u2BdA0zS37vcOT + ekREREREREREREREREREREREREREREREdGtxQCVDEhY7512Gamc0u485J7cKgQkcUHdzq6ICE7mq + giV3GMTUYYBGtrWgCrIKRrd+WGz65wJwQ62amuu2rURE9DgiEmnEkWNdSonOVmaWUuq6LsqhVfXa + sp9j+VEB6O7DMLRtO8c8l1K6rouA53itk0qYjsjqaZrmW5qmmaYpErtjG4dh6LoOgOqJdW+InRmd + xVJK0zRF0WOIuseU0pVVmN8G+r4HMKeGxw6fg73jxvlDNV8hIiIiIiIiIiIiIiIiIiIiIiIiIiIi + unPEBPLlcnnhwoWc85kzZ972trf9zW/7jkceOeeobduO47jZbGLS+zHLifn2XdeJiLtHcmQEod2Y + DSEiIiK6yEVJgSICuDvTfq+FC0yx7i/8+w+844u+4EU7neWm1ZpLmfRghzoAE4iICY6JdaRrYi5q + iLBwhSvEAAFgZhWl2+nOTmfL7uY3f//flTRqh8rg4GNFWfHNXotbWvwYjNTVxWIxDEP81ruqhajC + HQ8/9Njf+lt/+1/9y1/Y27tw9+nT2+36Oq3znU3FXQEgUu0PDsJmVidbdrtf/Py/9Mzhufvbfqz9 + o5/59AU729fBcn107yFdiYqlBhWI/i3q8SPf0sHR5nFcDEBNJWXvpyqC5QKtpDJWnywZfB93p5Vs + m6fuPuflX/IVf/55L7unedbC726m1raO6ou8A/P1us9oF7unJ1sDBj+2oP74e5/UrEKTACoqXosL + dIkL5ez7PvFbz7znuV3uAGhKZvPZGB7cTpK776xOTdP0nve85y1veUscBcfxqr9lVTWaHohItM64 + lb+G2HOBiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhuOaPXThXQIS/SquvPbSRBrDYQuEMgAFAVaVQA + qvXqKl1NC+AqUEDNqkiFQgwJFehyu+PyF08vcPYxnFasEuTOLXkiIrqRzKxpmgixnmOeo7mVu5dS + 5gDmz9kh65LcPYKrVTWlZGbTNEUFYGRdxzJXq9VmsznB7SqlRBR30zTx1/l15/rDrutKKSISKdQn + ommaaAoWEc455+12u1gs3D3qVOcY7znp+aRe+iaKbYkPDA7zwmutfd/v7OzMQenMtCYiIiIiIiIi + IiIiIiIiIiIiIiIiIqIns8tEQDVNKqWkJKrIWQF7/vO/4H96w//4mr/9d0v1iDGbpilm1x8TYxbT + 8mN+vrvH3HVmWhMRERE9aaiKN/iPj330nR/+1a966Tee28KGpsWihSYDABczqIu7GODMtT5BJgAK + BGoA1AUGODIcmtDXoS8Pjav+PR9755/uf7LsTuZwgdy6cZk3H2Otr8T8gy5Kj690j8lnr5qjafM0 + lN/6rd9905ve9NrXft+FCxdWq0WtV10STpcjruoJAggg1cQg7lIBUUleDb2dak+//Cl/RVoHtHpB + nmozPvDY/X/wyQ986OPvf2z78NButnVT8lgVHsnWCndEprVLvBDgBjdXA6wqNCG3cMMwYpxq42gg + i2l3pz7lqd2zX/KiL33hn3vprt7TTl0zNdZvm1QhgqQAkLuUF6X6WEpKqjj6paEAv0MOGCACAdw9 + Jy0VxdAutZT6wfvf97IXvvwLFn+u9p5TdkEpRZMy1fpEqSBtNtv1ev3DP/wjn6P1Qhz9jt3/RxtK + zPnWJ7WuJ4g9lYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6JaTJUEVy+5BKT2wWOapol22BoUfVGgo + IDB1UZerKpEwNZfqWgGIQxzJkBziGIu5AKp5vfnPCjBWqCLp0VI6IiK6MbquG8ex1mp2UIk65xB3 + XTdNk+pV18e5+zAMEWhda40GW5H3XEpx90iejkzrnZ2dE9ycyFGO3l7xWpFsnXOutUbDr5xzSulk + C+MjFDyltL+/LyLL5VJEImM79gYAVS2lPDkyrQGY2TiOwzDEnoxwdHff2dmJu/BkCfAmIiIiIiIi + IiIiIiIiIiIiIiIiIiIiulrzzPZTp05tt1t3XywWr3zlK3/yJ38yZrbHZdM0ODbGLKb6x5T1nHM8 + MmbjExEREd1cIgf/S6Fr5+pI2kJOj+/88K/99sd+Y685q6dzWrRqKVlKjgi3rmJVa1VzYSjpSYqW + CCbmYhAD1KAuCk25czk1/cmFP/qV3/wlnBrzqt1uP0esJh39/UKXFL8Ea63uPiewXu1CRHWaKoAm + p3/6T//Z29/+f3XtQiQxMvUERQo1AIWrm4u7GGCAQ0whKYtO6a76tLuHp987Peve4dn3Tc9vH3rK + 85cv/Ot/4Zu/82tf8/x7//xwFh1WqWY5PHTEEdzjWOLztQi6NggUGEeUEShoHEvplrjrlD/9lD/j + q1706u/46u/9yi/8uqfYc1fbe7rxVOrbhaykIIs2KZmXflgP49plbBZyJMRa+dm4BJXiMCviNYtC + MZoNaXxw/JNPfOajVUYzq/AqOtmkCnV+/56kOPR9//d//6c//RAA1YNmBVcrmjnUWgEMwxB/vTUz + rcF/h0REREREREREREREREREREREREREREREdKsRRyoVpeJU8x3//h1Tl7bbsrtAvx5dxETUoYA4 + 1L2pyFXlKsss7XFPcIEnt+SeMlKL9dh3U3lJs/t/fumXw8cRxipOIqIbQ1XX63XkVZdS2rZNKc3x + 1cMwtG2rqnM09dUuP8oII9A6ltB1XSQ6N03j7k3TRN01gPV6fVLbFYHcIhKvElsUN07TlFKaS7vd + /QSr4mO7hmEQkd3d3Vh+JDpHsvU0TV3Xxd44qRe9iURERGqttdbYq2YW7290Xqu1tm0LoG3bqAIl + IiIiIiIiIiIiIiIiIiIiIiIiIiIiuqNst9udnZ2c82c+85mu61S1lDKO4zd8wzf86I/+aDxmnlp/ + TKy1iEzTFDPka61nzpwppaxWqxu0GURERESXwdTak+JFL+xPtduu08O//K5f+NAfv3/r/WYYgSyu + yUTggLlYSVaVmZonycVMvKq5OGARV+tIjtwPQ1/7+89+4l+/41+Mq719OT/6lPLNXuNb3jWUJN9p + mqYxs7nkOYqRL0eASx5r3eywSLw2TXr961//4IMP9n1/Xdb4zmVVp6oTZITEB1vFVRxezTFJVy0X + uE6jT8X67VT327vbZy1x3/ac7jZPecWX/Jf33v10nxTIcXBRgzrEIa7JNBmSITk+e92ghp2MxrD0 + buX34sLpfOHeFz/vv/o73/wTr3rZN92bnlvPaepbG2BTzrJjZSF2auzzOHiT8qmddrWYslxAOa+o + 4hDgahvF3CFc1AVZzWx0dxEdKkqqw2LvA59877bfS5InhyWBuvl4s9f3ycbMfu7nfu7d7/5t94P/ + 6JRihxHsh+nPlzsIHuHuEWXt7ovF4mSbSJw4/j+CiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhuPSlB + BDZh0exXW+ZUh7rIUg1+pDJJHApE/cfnLPk4ygXmSIfXARNLCmx7tC1WTRJdbi+sn9qeQZ0Eo6CF + 61zTBQCxFrduzQgR0e1qZ2cnqvKi+1XkE8ctXdf1fR8Fe8f0xjqGu+ecSykppSioHoYBh+20AMSl + iLRtG3ediHjF/f39pmlio1Q1NiTypHPOkbI8b+yJvG7O2d3bto1lTtPUNE3EaZuZqqrq3t5eJD0/ + CURG+DAMXdfFX5umiQL4+caIuI7Lm7y6RERERERERERERERERERERERERERERDdcTF83s1OnTonI + OI7DMHS5hfg3fdM33n//n/78z7+tlCkerKqXi3+LWf0iErPTt9tthGFzqjYRERHRk4OV8b6n7WzO + rZvs5ud/+V2/8JH7/r+vePFf/TP3PKetELgLiiSDAkiuyZK4qsMEvPw8L6vWMRVXKxUCONShVdS0 + IG8+9Inffcfv/conzv+RnKmWBqvIWcwYD3ul5t8y11aq/GRlZlHdvN1ul8tlSimqoa9uKSJWa+zb + aarTtPnvvvu//9e/9Is5X+Vy6PJM4NH5xCHuLgJ4tD5JSaubuxWbgMZVcqO1ZvXGik/r7elTZ/Yw + PfPeZz167my6J5ai4gbABQ6N+vaDKnePd00BGAyAT9iRXey3ud95wbNf8ooXf+UXPPM/bcbl9Ji2 + 3olDJKWc3FCLAFKB3C4UdSpbL0WkanJ3h+fPxgPjieccnlhlbwYkMYcB0Wjgok+UHTzJYQI/XHhs + WhxG522Zn6IHuw0GuED8ulweeV3zI1smmNfqYl6rCnJup2kqYiJQoG3EvXzsUx997IsfvXvxTPRt + IzlrtWJ6C4cl347e9773/5N/8jNxvW3bcRzr4WHtqpbj7tHMIZ4YB9XoLHELOrFzeXGWsJQiItE4 + A8CtHOh9nUR3j3jLj/YWIbpC0SoFhw13cPiP62avF90e4hA0H4pv9urQ7ST+06Oqc7cv3JHf43Tl + 2MaLiIiIiIiIAIjI3Cg8rsf5zHnm8RNPeBIREREREREREREREV0hF1QASStSlxYiXSlIkmFQVIMf + hEtHgZCrixrqlU+8diAirQ1VBZMC7o0bgDYBFaIYhr2uPdOljGHdoIPHeIfhoKJLYylQzIVDgrna + i4iIPi8xHh2DzjHiHJV+kTMdk97n4ekYqo4ZzjgsDpwrA+PKPK4dadZN00SG9Pz0i8oI59fCkSZc + qppSiuBkd79olByHidRzNVDcNa9YKaWUEisTdWfz9fnpRzf/ZHdmiF2KwzLIWIHYqJzzvNsj23ve + mbGZR4st51uiEiH257yXLtez7Ohz8fj9CWB+R3BkT15U4fnENVksFn3fxxbNez7iq49u79EbYxo8 + J8MTEREREREREREREV1OjCDEifdhGOIce9x4ycfHI495ABHRLS5KoaOnVoxI8oB2Ozr6TTTPE2Ab + eiIiIiIiIqJLmifbxyzumIbtXq3Koss/+APf/+n7//Ttb39HUpghaxrtYJ65iLhXAE3TxPR4PGGG + f9M0cY7loqn1DN0gIiKiGybGB6KIz6yKyOViI+kYAmsy1vtryaiOPm2mev/vn337h3/9XfeunvKM + e57xnGc+9+n3PefU6kzX7i7zapEWbVmoJTE3QVxGSHOC3ApB0bfsZeyfo3tMHSXVMY9Fq8CKDfvD + 5pFzDz/wmQfO7j/y0f/3Qz3Wg1yw0+OECYACXp1dDo43J0vOLbVjVJFDirP5V9tyuYwrUYM8/3I8 + WuH7+Krsz14VwB2i4u5N247j+PFPfPLHf/If/qOf/PHVajGOo7unJKUUiM1BaUfjkw8dV6p8h1OH + xb5yuBhcIRZBztUAqAjgCnUBhjIg5YLJ3RsXL56qnN8/t7wrP+qPITvEssEFo2QXCAoACMYR3VKH + 0QCknKuNCohrGvNd5elf/sJXfeWL/1pTd/vHxjO7d1c3cagDXi0+EOng3awwc0CSiAD54OTB/NUs + T3ijn5BXbRKR27GxDnG4AqoGIAMmgAIGi0U61JENqigQOzg0CuARd63iCpiiCCyOuogk7Fipk74E + IKaxZf747Y11UwNiMyJdG8gOOOoEl8bz6EDrwOgNEhq8++PvfsoXP/NMeUZTk+pi8BEC/pM51hOO + LWIAxnE8ffr0Zt23bVuKAUgpPfDAQ695zfe2XRe9JsZxPHyWPO5g9/ivjmgoEUfIJzavmGez3MpJ + DSfWeSEyrXPO7n50StZJLf92Ee96SilanADY29s7derUzV4vuj2YWdM0R9NkmfVCV26OCJr/Kz+O + 43K55M8euhLzoSa+wVWVY3t0SX3fLxaL+esp/u/HyfdERERERER3ppgoHCPKOedoTh3NrAF0XRdN + wOO0FU81EBERERERERERERHRNRBgcMspQ9O53Aw7u91UbFy3AhVUOSjIBCJV+uoKbMRVDsOoDQe1 + QCaujoTGfNqOdblcbbab6YLAKsYJyZASDkpLDkq8Zvb4KhYiIrqRopgrJsOb2dFM62iVFePXcwAD + gDm2GY+vqb7kwufrZhZPj0rCGC4XkagzjMK66MAV1XYAIsKh1hpD51FwF2XbOOn46qsyv3Ss7WEb + Mo+caRwGWsc0gLkyP/bk0crzE9yf8aK11piEECtzdDnx9h3tEZBzPnv2bNu2qjpX1hARERERERER + ERER0ecphgZigKPruug5c8y4hqqO49i2bTT8HcfxaI8aIqJbXww6x7DpPPh4Z7ZUJSIiIiIiIqI7 + XKnjYrHQom9+85seeuhbP/jBD6tiKlPObUwaj2neOeeI9rmcmFEfE8JTSnHiheeNiYiIiG47kd/o + QBWIompfUi+t9vbogw//xw89+H4vSUvXabfsdld591R3Sj23OWs+uGxSgirM7CBzlJeXuEwi1R1m + U61e61QrzArquuxvp+12WG/LZvTR0lh1KjJpaxOm4iPEoD6HNN/sz8ut7ugu4u66cuM4rlarYRhi + VD3SOS9KbD3qaI7nOI5RtvzL/+bfvOyLX/TqV3/DNE3L5bLWabFYlDrOKeN0tXTe/RECfVEU9MFf + DQfdVMxszDmPQ03uUPvMYw/0ZY3d6lCBqpvFsw7fjclw6u7u0ceG1U5T4dM4NalprGm27VPbZ/+N + r/lvv/BpL5oezTuL3VWr+4/tL7vFZ1fpoP3LZ5vAHDR3uTiv+hq4iclBcxcBNCK9L9o3B68uBbDI + uD589rxOFn9cPruW0XIGch0u53U6SPKGAwLI4bodhFv7wZrpZx+vfpiNLYZkcLfBt3/04B9smq/Z + lbGtdSpT0yT3S9f10/EWi8V2u3VHKcUM7l5K+fs/8iP7+/vjdLBL47iHz/XFEZ0lIv8xjpAxo/IG + bMVJObE5UmYW3TdyzimlmH562+2Oz984jrEfYsPHcTx16hSno9EVigyYo5MaU0r8/ytdofn34Xxl + uVzipnY4ottIfFX1fd80TXxnRUkJPz90VCllsVjE2QEcDgDzQ0JERERERHTHip4UcTJhu93GjdHM + erFY9H0f5zZFhG2jiYiIiIiIiIiIiIjoGogjFV9lBYCU/tI73/6hL/vSZ2y39y0X6HscZlEbAIG4 + wVUBXM2ktuQAXB128KzPpnumRaObCV4GjE/bferbXvmq7/zNf4czu0j6uOndl3w5TqwjIrrhIrK6 + lLJcLmM4G4f1FNE5a5qmxWIxDMOcdT1NU0opaqEvF8Ac5qU1TROJy/F0AHOQdiQ9z5nQy+UyRtKb + pun7XkREJEoQI7Y5mnPd9En7EUo9dwobxzGKm2qtkdiNw1zw2G+xXXPNea01oq9PZH9GWHVMPIik + 8FhU3D7vsTlIO6o65zqaWE5UNd6AXUdERERERERERERE9OSmqsMwdF0XgwhzU8HLtUSLQZDoYuTu + UWPIk/ZEdBtx9zlaSVU5+EhEREREREREd6zFot1u1123zDm/9a1v/bqv+7r7739gsWi3/bharcax + lFJiHjiAmOZ9yeXEnPA4qxz51iLCTGsiIiKi24wrXAU4TAw1AJEmaqlWmUxNJLlOW9/004Wzk9d+ + MjF1uEpU8cf1BInrvLzkJarN+ypuSRHXWiDuBrgWS0CqRapLLWaG6hIPishTuEE+/8TYJzV3Zxrg + NRCRCxcu7OzsmNmc7XrMnox751+LOWczm6b643QzpqQAACAASURBVD/2Ey94wQue85zn5Jxrnfb2 + 9tq2TakxL0eeHUcbfpRPXkURQJKOUko7/Ic//cPRe1W1CnGNPa+wg8O9QAR7+8Ndp9r9zbhYSXXX + qVkMd730Wa/461/69Wfk3rLvp87sbi7sqS/uPn1m6oejOdbXjzqe+OFzgQlcIsQbAoNYkoPc6HRk + vUSK4+DBFQf9YeTwMepxND7hy8MXt+SH6wmIH2yOOOIz7wIc9r+xg+0yOfJIAKKOXB545FOfevhP + 7tt5du0nEVOVWm/Ezr/9XbyX3ESQmkZLKaq5bRdveMMbfuM33o2DjxJiNhEOr5tdej+vVqvNZjP3 + oIhj4G0X4nxiWcux1+bvicViER0rTmr5t5Hlcrler3EYEXr8NFyio6JJTVyPmdxzaxWizynGZqZp + iq+iSCbu+/+fvXuNlmW76sP+n3Otquruvc+59+o+kAQGJJAsIYyMJQGCgMHASBwbM2JjfbBxEuPB + UyAe4YuNYw8ijQEMBwaYhzxsx8YewRkk/kBCbPALmwwUcIR5RXKMbCwe1pV0da/ueezd3VW11pz5 + MLvr9Nln730ed5/HPuf/G33r9uldXb26uruqu2qt+V93XXe/m0bnQOzHo/90dKSOrdD9bhc9WGI7 + E1Xe4gRw3/dt23JXRURERERE9GiKstFTr+LdP0W5irgex6niUPm9byQREREREREREREREZ1vKihA + BtSR9NJs9orZelyuc9qMFJFtP30Xh5j5bYxOU5j58bO7+3o5zhZpWA1PzB9brQ5f0c4wCqrVBoqd + +Gy5No1lOVOtiYjun5zzer2OclfRydnMmqYxs1prxEsDUNXIYJ5qacWI6JOGEaqqqpZSInE5kpsj + kXr3XimleKC2bVer1TiOOefIvQYQQdEAxnFsmgbbU+3TP++9eOhoRjypOLMfYwriee2OdYrnFc8a + 2xjpSMI+q/U5RVbHAJmYOWaI+0ZXdjOLmdfrdXRon0ZAsKw8EREREREREREREdFZ6bouquftns44 + qc6Mqrp7nA2J6o2z2Ywl1IjoHInt1e6AaJZiIyIiIiIiIqJH0ziO8/l8tern8/liMf97f+/v/Zk/ + 89Uf+chz+/v7BwcHIinnXMoQM5+UaQ0g5zyOYxwojs720RV8Nw2IiIiIiB586hkA3EwAGMQAMzE4 + TF18TJq8SeKiria15upSiju2aYbb6f18Fg8+Oa5ssyLlRrMnFzOBobqYC1zcDZFpLYC7q8NdxHFC + +QTaOHbcK8Mlb0XbtuM4Th2Bpl98x86cUiqlmFmMs+77PqWkitVQv/Ebv/Gnf/qnDw6WTZP29vZi + YLKIQpjIe7dZyjKWVdPORrGr9cUPfOjfSePuVbzRiFV2qG8ylR3o17h4cW8cD3NC6f2xtK9X9r7k + M//LP/pZ/9X+eHGR94Y6XL16dT7f16Hpl/1d/bmvDoPCq0BOTz132RSiEQAOtW1otCsAE3OYKVyO + ZmNPd7xrbNuso4/ocu0ZTaHXQNSvKYCqKxxwhRuSpbb6sP6V//cX/9AXfu4o61nb1joA7N9yU8ds + Z0ops9mslCKS5vO9n/3Zn/0bf+NvpYTpiJe7T9u6UzpDLpfLKdTP3Usp57Hn5JnFWmOnzEccCpzK + Q5zhQ5wL6/U66mWYmbtH59rz+Oage8/dm6aptUZxFhE5PDycz+f3u110bohI9IWNXrDRs/9+N4rO + BxEppcSwkKg/1bbtdAtRMLNSStu2sYUppXRdx+85REREREREj6w4BjVVmnD3YRiapony07XW6U8A + 7lcNbiIiIiIiIiIiIiIiOt8ccK8iKWc0Fzzt53bVjOviAJBc1AG4SYwd0gw7OnLoVAoDIA7djvZR + B4A8m9VhebCsi1lari63efGqWYerazxWHbAY5BQPdK0D3TR8RZlsTUR0j+Wcj0REl1LijHbTNFMi + cgx+jr7QOec4qV1KiflPWf5uQvN0x1pr3DjFZke9rZi57/uYc0qAjvZEYnQ8Ys55tyT6vReh1NPY + gZzzarWazWZTyESsz8jnBiAikUiB7cqMGeLuZ7U+sQ38jkGeMXM0Jjqux3jOeOhYmXE91i3LyhMR + ERERERERERERnQl3r7XGWZg49t627Skx1VNNxhClCBlrTUTny3QCt+97VW2ahicfiYiIiIiIiOgR + VMrQNOnixf3Ll68u5vuf/Mm/7wd/8Ae+6Zu+6eMfv5KTlFrdkfOmF3op5dhMIADREX3qgq6qfd93 + XXdvnw0RERERnRl1RNhpxG16AmDVrHiRCgCqEEEZ4QqRuIiIACIiflycMF0jgp3sTHe4Q1Fd6yhj + /NMAA0SgCuykAKtt0lsh4rdVbeHRE5mS97sV50/0/ymlRF+g+DF4ypqcfjBOw41rrbVCBb/9ux/+ + tu/4737kR3541Y/7Fxbrw9ViMau1wq9PtuardObEVFP1ksVk7v/hP/3mC6sP62Pu1ZNDXE0UMHUz + ARwuulh0q9VKG4hgVtrFcPFPfeF//cZXfv5TeKWtxVNqJKXUadU6jHqPMpUVgPi1d8gmhVviDzsz + usJNXcUVnjebSXETMy2mNkVHi0NNHahiEEhsTc96im01mym1elOxxhWu23BrQMxl86SSAQJx28wG + FVPIMDpcSreo//aDv3H1Cy5fbFKppq4Q8VMDvx952y3MtKlxBaAq6/Uwm83W6+GDH/zgd/2lv5xU + +uKqMJfdTGsAdvKXmamoxe5dzl3/yTPLqozdwG4pCjOLMh9n9RDnRbwbIpl4ekPwuwjdivgQtW07 + DAOApmn29/ejSM39bhqdAyISFZfW63VKKTbIZhb9+4lOt/sNZqrixExrOkJV27aNU79xmGCqUEZE + REREREQ0juPUV1hV4/BCHJ66v2W4iYiIiIiIiIiIiIjoHHOgjGgzkmI+f242+8iHDxceY2/QOMTV + xQB3caDCb284hzg2g30cCjHRGBa0Xi27Th1Wap237Szncvnqv/jy//xL3/ersk21jsFD23FDm3FE + HDZARHRfTAObYwBFnKSOkOPdfOXdUOQjucsxIuOUh5hmmO449cCPTOthGGI8XTRjPp9HX+u2bWN8 + R3Tan8/nAA4ODvb29nYXcr9EA1JKBwcH+/v70bxYgbXWaZxgPLtYA+M4RnyFqppZpE2fyfpMKdVa + u64bhsHdVXW9Xk/9DSLfeqoaH/nltda4XmuNNG6WlSciIiIiIiIiIiIiOhNRWyauHx4e5py7rjul + mFVUTouajFG/aKpiRER0XsR50pRSjJju+75t2/t+VpeIiIiIiIiI6B7rum4cx+VyPZvNhnGdU/uW + t7zle7/3e7/xG98eoYS11hhEVUpJKdV6fB7NFOcTHc5TSixmTkRERHQeCQo2UdYGgW/zOPvRUkJK + IuJogIhTdmgCgBhfaO7YZjvytNvp3BzYxIFjkxEugA8jRJESRDeRqO6ojiRwiWoJG1nVAEO9L+0/ + Lx7BPM2z4u7xmy6lFEODbzqUOET3oW3OqwP4uZ/7+b/9t/+nr/3ar10erheL/VrHu9x22hC3nNO6 + 9qMOv/Eff6V2Q/ESQc6RpgxAAHVUgW8Goeu4sseavYvD43/8c77qP3vVFy/6p8tl3Z9fuLy82jRJ + Xfu+39/biz5jd7niiqo7Yn8UJV48OrMd97l2BTJcPZ4V3AQuVtV8J0BdDMkhprEGfBPpffZTbBO4 + fVOmBiYRp60Q9aloQbwQAgBVNiHV4iabZwoXGDAKGh2XfvB7H//tN7zs8f7SsN9dLNy83Qo5uprc + veu6UkxE/tJf/K6PPvdC3F4NUw2hKYn4lI1elFzYnec89p88s6zTnPNqtYp6EFGlouu6Wqs/euJN + ICJmJiKRb31W65kebqWUCxcuRIh1ZL2YGTOt6Ra5+97eXpQHEpGLFy9GTR8hugVN06SU+r7Hzk/o + KPBENHH3iCiLUm4AmqYRHvwjIiIiIiJ6VKWU3D2qV9daY6g2tgWsV6tVKSUOj7dtG4cdiIiIiIiI + iIiIiIiIboMDFcitDD2y4rH2T/9fP+OvfLokVI3BNupAFY0+bWnKqL69x7iWha0OE5igAWA+6xIq + hmFYLa88o/J436OOCVWnuzoMZtfGOKlAjx/yREREd1mM54pppCbMZrM4i71er2OA9Gq1isFfZrZe + rxeLhaqKSNd17n7K+C9Vdfeu60REVReLxXq9NrNY2pUrV9w9RtXFmXTfpjVMna5LKWZWSnF3APv7 + +yLS93386Z6soWOUUpqm6fteRPb39wG4eyklorhTStPggqZpVLXWamZxfRzHaeTgWa3P9Xrt7gcH + B7FuI1072hALjGXuDuBU1RgKETeu1+u7usaIiIiIiIiIiIiIiB4dkTUCoNa6t7fXdZ2ZnVJmcRiG + GGwoIimlYRjOXU1GInrEjeNoZnGyEoCZRbg1EREREREREdEjx6xrGoXtzeZmVurQNPmtb/28d73r + fyjFBN61GbDFYnb6YiLOB0DTNIvFIvqo35MnQERERERnRwxStheD2DSUPjXigqH4asBqwDCiVBjg + jinzURWqSAkpba7zctIlZ+SMaVymGUrxUpAbFUm1oh8wjLAKOJLCri+r4EBF9RvSOumIGBU7/ZOJ + S7cuqov3fT8Ni46B1SeZZqu1xvBkVXVHSpKSfv/3/9Av//Iv55yre5T4MAFctynF2G5w6Oy41mop + pSrDc1ef/a2P/nvMrbplSYoCFBOz7Tp3gakt18t5bh9vnpgvX/ZVn//n/8hrviI9v9CDJoksx+X8 + wmIwF7SP771seflQ73ak9U0c+25RE5iUmoaa1pbWNQ2uJd5vYkiGtua25K6irZpMxSF+t6bqUIds + L2oqrtsZIA7AEJnWsWWatk8CAOqbSGZVQDB4LzP7tx/8jSGtU8vjLbdgd6uys7Vpmma16qvjh374 + R37+F35RsxqQU77txYtECYUoKBGdLe9jHYk7c9tP+yTuPp/PI8I56kRESQs/ORj8oRQVOqYrUZRk + 6ptLdFNXr16NjUt8mqb6L0Q3paqHh4fYFmCKWjwpJSYT062YUoqxfdvwzUPHyjlHz/vYSY3jmPOZ + fZ8kIiIiIiKic0dEoor09E9szyh3XRc/HkWklMKR20REREREREREREREdNsESIBAUwNgbGdNN//o + 5SuvTtlKiUE7gACuDgDbW26dXxvGAxFXF7i4OFJSr1bGKoKmQZdyXR8sFi3GEbVIanbGSZjDAQNO + DO8kIqK7LYbhlFKit7OqRhxySmm5XC4Wi5htNpsBiAE7XdddunSpaZoYuXNKBvNkmnMcx6ZpYlTh + VGCraZoYSRenzmNwUOQ36FYsZ7p727bufh/7Y+ecp2aISDQsbowWmln8s9aac47Q7rhvhF5PHQPO + ZH1OqdXRnsirjv4GIhIPN919d9Bi13VR42w+nx+ZjYiIiIiIiIiIiIiI7kzTNHFWJQ7dx9H70+sR + mVnbtlG5qG3bOAVwzxpMRHSLTqlLnnOOcOuYJ85B38OmERERERERERE9KJbL5d7e3ouXXmi6eUrN + wcHBhQsX3va2t/3O7/zO3/ybf3sYSvTV77p5BJudJHKsI6tlPp8fHh6yHh0RERHReWO+zdc0wHdO + tYm7ACqqGSLibmZeyyZuUxwugMO31zm9ydSuXVcACnU4pJYEV9GUU4xhre5eiqlGsYR4SdwdDlxf + P4GO8ajlaZ6hqEAe0+hHNAzDSTNPo49j5uiGVGsVwCoclpJ8y7d860//9P/+8pe/3E1E9YRYYjpT + NUkDaYYPP/fbV4YXSjugKqDACIELXKDb10EdXZfWl/qn0zN/7HP+5B985Vu75WPzvAfTMdeS/KA/ + zF1rFct+vb+4UMt4dom4J3AF6rXsc+DY7HPZfspdSswj23dXitow3oqlZDm55irJNLmZoKj73dyE + RjMcMNFoX5SpUVcTA8y1uo4mxaWYwnYao5F4PUVfO0bYKOsPfOj9S7t8cfHJw+WimYdc7oACmlJ6 + 73vf++53/42UpBRrm3YYh6l/UXSexLbH0Un7kSjIgG26n6qex35HZ9bc+M4SRwZ3V+VZLf+8mEKI + p663zLSm2zVtXJhpTbdlesPsfmVnLDHdgXjb8M1DxyqlxJXY5nDsEN2Wruv6vo/fCFN5u/vdKHpo + 7f6qnw5ZnjKsiIjOrxgOHQemppqwRER0L02Z1ri+LHVcjyl/PxIRERERERERERER0Z0QVFhKClEA + qQjGZt/2utGqVYFDTFyTyRmOrjSBwtxdHFngCjPAiiY0PmBYYxiRZ6NKnCMxmMCBFHf37bBchwIc + 9UnHm3o43Pfs1akB7HRB505KqdY6xUjvRikf+WRNmda7940rcS771j+G05xxRxGZzoZPV6aPUsw8 + nVLffZTp7rf4uHfVbjOOPAsRib6+05Xd+UUkrh9ZLS9lfd7Y23O3ZtmRJR9ZgVPjmWlNRERERERE + RERERHRWprMq02H808Upm+leLCpCROdO1Neahkg/IGd1iYiIiIiIiIjuvbZtx3Fs2xbmZkPTprH0 + bvId3/HtH/nIR37qp/5PtypAHQeBiWpE9UQP/5xzlJ4WkbgR24Mts9lsKhxaa00pmVnUEJ6OLRMR + ERG9dCIyheY1TePucv2NdFtsGrG3XYHbxNBI5VSv5vDI55TNsGXA1WGc3tnUXF1MXAFVT4DCzB2+ + DbJOMBgg5o7d6HFWN7ipCC6ZMkqnTJNHMGrztozj2DSNmZlZ9AgahmE2m8VfY63mnCOsM8aAYyfA + dArxdCDlVEop1a9evfr2t7/9J3/yJ1XF3btutlwepJSyiJnlnIZhTBwyfHbEVSGuZcyrX37f/22d + Fbhb1pQcoyuklXFwBbrc9P2YEhrkOfa+7A1/9K2f9MUXx2ca2+tRodWkVkdKYhhHrTmrjS7I8Hps + zvRZ0Ws7Ilz3iVXp11jMoIJhhAgUnhJWY9nbS/3SWsEszce1Neik5oS5lgaWk6UGudPcNk1KCSJ2 + 17ai6ttYa4FBAXiEW0MV4qiqVjFWHbWpH37hQ82+XvKPY4ahx6xNVmtSr+6ugKM6cpZq5WOHzz53 + 8OHHZ5/Qpn1uxU4nIqWMOTciMg7V3ReL/eVyCc0f/djz73jHt9WKJueKcRiHJjdDOZo+PG3TIn8N + 2++ZU5xfXFdVVZ0OkZ2v3kfs8UlERERERHedqsZvqpxzdBpQ1engEdHp7uAwbvRciWOXOWceCyZ6 + WMVpDACxc4kCtdPpCiIiIiIiIiIiIiIiIiIiOtccQKMVWA/rWTNLKihWLl782OHli6qNXTs1bFCg + vqQRaa4QARwwiF83mEliXBCyj3/3y7/sz//Se5H3UxLvIQ0Ush5XudkDlN1T6HTTiCMRGYbhQci1 + rbW6+6bS0PWNJHpgxVt0Gs/cNM04jrsVrI4ESxMRERERERERERERERERERERERERERER0Uvk7iml + 7/7u7+77/md+5p8BMK8CiWAzbKPLIssnuvrHHVV1midKBE+lRNntn4iIiOgccEC2CaLXhtMrANlG + Xk/D7E1i2D4AiCunL3kKjQIIiAIIZsAmx/oaBWx6rVym3HE6xrGRJcwxuamIbo1M62EY2raNH3rx + 1/gxGD/0xnE8PSQi/hp3f//7/793vvOd3/M93zMM/aVLly5cuJCzLq8eNG0S0bZta+FLc5ZU9aA/ + uIyP/u4L/750Y3Ukh5g4YIKhuChshFed55y9w2V9y6vf+oWv/yNPpVfI1ZmmbmWHzSxVq4BrbJHE + XWCa7v2WxyV2SmYm3VzcfByREnJuMboV6xJ0TAtv7VAy9p9onnj64ss/+ZlXvfzpT9xrLlzYe3x/ + 7+K8m2dVL4iIk1hPgJ35VD32jYi1jW2stThKKW2bzQeoDVgu+yu/+r5f+We/9I+7x2aGsUrdbKMU + bhABRKWaq5vUdTr87Y/9x1d/ymdlTep3MVP8IVBrnc/nh4dLEZl1i77vh2Fomq4fxr/6V//qiy++ + CGAsY5ObsYzjDZnWk8i0FpHIwwIgIm3brtdrADlnxJtyW1vmHj29M8JYayIiIiIiuuumX+Dxc1dE + Imw4+hkQne7Ofmm7exSsjF/sLLdK9FCKjmgAUkqHh4c5567rmGlNRERERERERERERERERPTQGMvo + gq6dKXQcrNnr3vrP/9Gvf9kX6wsvPNFXwF1slOSCBJjjTguZbHqVSCxBjt4OeBUkL0+XEeseXZHc + SgYMqpg1sxh5GyOKgM3V+Cc7rNCk1hpjkNy9bdsYmRmjNO9Le1JKqhqZ1lP3qqmRRA+myGIHICIp + pVJK/LPWqqpxPTqs1lp3s66JiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOj2iGEbUqhNFkHXtX/lr/z3 + zz777K//+vvdAXjXdeM4mtluoHUMlhERVY3u/bXWtm2nKsFTynWUrV6v17PZ7D4+USIiInpYMZvg + JVMHsEnK3Iykn7JLNdKU3aa1nNwAA4Noz9D1b+F4DbYp1ptXJLJRtxhrehozY4j1HYjCCDlnM2vb + NoZ7R4GC+NEXs00hrydJTa6lABCRWlHN/sH/8pOv+4zX/7k/+2cXi8XBwcHFi/tN05iV1WrVNA3u + uIII3cDFDGKtfeDZ97+w+ojNHUDOjdeKhJRgBZphFYIsJcm6e93jb/hjb/mqx/VJLaopXV5eufjk + 45eXl1IShalbHC6Ay6iOu/9q2XaL6LI5XrG57miaZlwNZkhZSilaEyzNZL58YXyye/rVr3j95/3+ + L/ysV/+hbpw3lpMpzA1uDls5oJAkrjKIAnCF2NlPAXHdvBCbDbsCUIeZ5aLr/lBbu9A9/bK26Cdd + /PX0/g+VVTFLCWbxEXNTqEBc4XBzk3HU9b/73ff94Vd9BdTNNgdw6Fg554ODw73FBTPr+7FpuqFY + 26Yf+6G//i/+xc87IAJ3DGVU1ThsdazItHb3cRwj3LrW+uKLL5ZSpi1kHAdT1XP3RZS1ZoiIiIiI + 6K5T1Zxz3/cAuq6LK8y0prun67r4JQ8gfvOr8pgj0UPI3adiynt7e3FjdFO7r+0iIiIiIiIiIiIi + IiIiIqKz0eTG4GbmXpo2oyqa/KzLUykDAwQGdwEgm8FGd5oibdsTzQLfLMbh0M2gWakuaKw+1Q9Y + 93hsHMfcZYXD3aqNKXXXHjzG5fLENd0gOtE1TRMdG5qmWa/X9yvTGkCtdbfoj5mN49h13f1qD9Gt + iKTqnLOIjOOoqqWUqGxVSplyrN09pcSug0REREREREREREREREREREREREREREREZ6KUIaUmN/rY + Y4+9+90/9lVf9aefffa5ttUoNA0g51xKibSzaRoDZ2qtTdMMwxBzzmazGFOjqjEQgJnWREREdOYi + m5Beqk1w8vVDNR2QSDNlgvI9IVDfrGsBXKCbVW/XvTQRnkqnOpJpPQWa0OmmEdwRYh3/nJKt49cf + gNVqdfpyoszClITddU3fj//jX/uBP/hZb3zta1/z1JPPfOz5jz75+GN9j2bW9H2fU3t3n9gjZvAe + s/HffOAXfX80MTc0Sfth7QkiUIU6VLNaxtKfbl7xX7zpT72yezX6dHiw3Ova2bxbLpeq2aUaoI7k + gKtDixoATEHXd4mYASq2qQOz3Qepah0NwKyBOcbeZqlttGv7+YVm/8ve8sc/9zO+qL16IT0/30uP + tZ7Gfq1irsXULQkkQRoRRQGg6rDY6p7pNFq6afc26DpuUlUMplWloNa+N3969so3vfZzn/sPv9uv + V9r6iCqKis3zdhNFEqnFSm6G337ugz1WnZQMYRL8KUopXddFFnXEUa+H9b/6Vz//oz/67qZJw1g9 + 8tHH0cziGNdJi3L3SF6bwq3n83n8KQ6IYbvZnP55XjDWmoiIiIiI7joz6/t+sVgsl8soIxiVBO93 + u+h8uIOyktGjZTabXb16NefMwpRED6s45OfuZmZm0U2tbXmOgYiIiIiIiIiIiIiIiIjoIeGoiqSa + BAAMYkiLbvEkLl0FYAJ4BFGLAOp3EiXtgO3cNTkgEIcLDAJXOMSQULvqj11dYr3GwUF+ei+GeYqj + TU2M/vFpiXCIOQf80PVqrVNo9HK5XCwW973mTjQgGiMiXddN40iJHkzuXms1MwA55wiuHoYhpZRS + inF98TZmpjURERERERERERERERERERERERERERER0W3zna74kYonBkDd1C21Tc5Z9LEf//G/+7a3 + ve3SpcOmSeNYAUypP9HnfxzHtm2HYYgbSynz+Xy9XotI1A1OKZVScs59308jboiIiIheOobUnrVr + 3w/Fr30/NDFsR+jv5pjanYz4pxOpAwKbShlMYj27AZDNd3hzBo3fTKQp0+2KIdsxdjsGccftkRCh + qiKiqk3TrNfrlNJJ67mMY25SrRUCgfT9CODSpSvf+Z3f+Q//4f/2wgsv7O3tRVJsKbXrulq4PT8z + ptXS+OHLv/Nbz3+g7g1VTEzLuNJkBowDtIG6NtpgrY/LE1/4+i97/dNvzKs9l6oLhzjGYr3PFrPe + e0jUWNFkyUULzMTU79HQfhMHYAIXuEAc41iyImftR7Qpt6nRpc7XF/+br/zaV3/C6+xK+wndKxvv + +itrUZ2nTrRIElOv8GJeyuguKSWgRjT0mU9jEpVtFA7Z7CzFUQsANFkhpqk1q8189jlv/vz3/O7P + Ha6veKqSEbHWJXbJFY0o3KrDG7t89cUX1y8s5Ikk3Q27CrqmbdtxHNerYX9/P+d85crBqh++67u+ + ywwim22aWUlJqnmxm+SpjeMIILaHUf5CRNbrdZRwieNd5zE3h+U5iIiIiIjortvb2wOwXC6bpjEz + d48fUfe7XXQ+2O3LOS8Wi77v27aNs0eMUSd6WPV9LyIAmqaZbvQT3L9mEhERERERERERERERERHR + bRMgQ9yirAmsDkDBYvbsWMa0DaLeDNqBmsptnxaWTRy1iEFte1ssx0SqaFUFVF3E0RU84/JPvvRL + 0TXDOMABhyhsHK9bqt84KpQIAKKHQ1TexZicXAAAIABJREFUWSwWtdZhGO5vk4ZhqLUuFoupYSIc + LE4PtBjVnHOOslaqWmtt2zaG/O32IFJV9hciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoTTdOYl+Vy + OQzrpmk+7dNf9e53v3s+b8axRih1RPiIyBRgFr36a637+/vuvlqt3D2lFJlntdaIRuu6jv3/iYiI + 6C6J7yf3uxXn23YIvwEGMYhtr29mcKDKtYuDl7O8mMCAKrDrL5tVj51XByaO2y+58GiZ8pjptpjZ + MAyq2vf9OI5TjnVcyTm7+1Q84fSVXEp1gyaZfgY2TfrN3/ytb/u2b++6btYt+n4UEZU8HqnjQS+N + i8nMfu3f/8rQrg5QXCXnXErt2pybXAvERF2tVF/7pz3z+rd+5hfN/KKWWR2laWZmVsZxfzZDqdk0 + mTiSi7ioAdmQDXo3tz8W+xcxk9032LWHzBllMC+eUlNK0aH5k1/8tlftvfbC+MRTzdPlallePph3 + i5yzuQ9mq2Fc98PYV7HUaTdrZwpRiMhdmYpABAqIQMR3/inztmtTFpP1ehx7E7TDyhbd46/+pNe1 + socKEThQHRBUVzFXERWHwKRYKh967rctjRBu305TaxWRxWJRirnLhQsXvuEbvuHZZ5/ruqbW7Xcd + 81pd9LSqQVPUWtu2EWgNIDaG8/lcRA4ODlJKOJ/FWxhrTUREREREd93h4WFcGcexaRp3b5qGMcN0 + i+Q2ASilLJdLADnnCxcuDMPAGHWih5KZdV03jmMcm1uv123b4uTtxv1uLxERERERERERERERERER + 3Q4HSs2SRgPUVQWNIJevfs8/u9rm3fE0BjURv8PxEQJXF/g0gBNwgQNFtYi6qLiqozVcKGXR97A6 + a/Lm0cw1N8dFWZuBY37oOqo6jmPXddO4zbZt72O/ppxz27aqOgzD1Acj6gERPbBqraWUGKgcH58Y + 6Wdm0+jl6ErEmlZERERERERERERERERERERERERERERERLdLXMWPGV0SKdRd1wDmXkX8TW/+7He9 + 652q6Pt+NpsBGMcxOvOnlHLO4zi2bevuBwcHACLtbBxHM8s5d12nqpGBzWw5IiIiuts45PDOSRFs + LptYawGui69WR3ZkR+tot5GInJ7JVA1qUL/+Ale4Ars3QYF4aegUsSlgYMHtEpG2bUspXdc1TRPp + sNPg7kgjiioKOHV7m5sUdTliMHiESoxjzVn/5b/8+R//8R+/evXq3t5ehMU2ubsnT+5R4WJrO3j/ + B99XZ6VmQB1imiBiQFZJQLbiUv2J/Sfe8obPe1n3CTm1V5eH8/axciDjqly4cGEclhneFs21heci + 2ierak3VruS7/rnaiW02vXZ9GxottUJErFSveO2rXv/mT/uCp/GJ6WpXrtYEmV+c13a85AerxoZW + 62zh7UVpLprPy9AMS7eazOQuX9zMzbC9IlZ1vSrjAKtp0T3epP2uvai4gHH+xjd83mN7T4kLBLHR + UlV3UVXUYmaqKDDP+I+/9x88Dc5Y61NZhZt03XwcRwDvete7fvVXfw3Auh99W7nCBRCcXgSmlKKq + ZlZrXa1WAGJ7OBXB2N/fF5G+789jLhvLzRARERER0b3QdV0cnotfaOM48mgd3SK/TQCapon71lqX + y+X09iOih0x8tOPcw9SPjaeHiYiIiIiIiIiIiIiIiIgeHinBPSkUAnPUgsf3oD6quEicHlY3hbm4 + 3XH3EDFxiEP9yCgdg5i4ASaO5JBV/5QoVoOs1jA4AE1mDoErBNi0SWDbsZ9EEzOLfk2qqqrR7eE+ + DkOKhxaRaA+ApmlYBogecFHZCts+QvGxcndVjU5EUdAqZmC/QSIiIiIiIiIiIiIiIiIiIiIiIiIi + IiKisyIi6/U6uvHHsJSv/Mqv/I5v/9aUZL1exzzR5z/ifERkqkGdc57uJSIR9iMiMRYgpkRERET0 + AIrUZOD4vGS/duM2a9kV20H3nJ7FVMUzPMOvZVibwAUuMMGd11h4JDHC4M6IyDAM8XOv7/uU0jiO + UTChlNK2rbv3fY9NwPCJb8oyVlEAGIcSywSQUirF3PG93/vXfu3Xfm09lPVY3E9bDm0poBDbXLam + LYPABBViJjqqfXT1kWcPfu9wXOUWMLdSU4PVYKu+zzkneCrpgj3+6S973Zte+3nlwIeh7O/vl750 + zaxrZqvVqp0149gLoC6AmqiJAQZAXdXvYokVdYhrMk3byjBVURUAvJoXuKkocpe92AV57Iv+wJc2 + 65mt0n7en6WZmfWlP+ivNvNkagVSDdVQDIKcUtM2M9WscjcvqqoxTaq6vUXbtlVVq8ipXa/71WGf + kDqZv/6T/sCTzTNN7VIVmMAjmcVExLyIQQGv7mIfeuF3ax7s+lhr7h2OEBEkXa1WqW3e8573/P3/ + +SdqhSratr02k6ObteNwWhGYyLRW1VprhOMAcPeccxwHi3/GhvH0hOwH0APXXJF0ZM+dc45jjne8 + R9/du5zJ14LTG3PjX6dbjv3TsQs5efm3WiEods83rSh0pAG3u35UdcqNmxZ16sqJGU5v0tSY255N + 5No/b3E5p7vdhYhcd5eT7nWL7+dYpTd9HWO2Y99auze+lA8RHe9297u3u/q5X6eXgh93egm4+aG7 + ZIijSLs3ud+T9xuLwz60rt/dXfedOX6r4/qvxKo6DENKKb4bi0itdeq2Mo5jdIuJf/IwJdG5EB/V + KKzcdd3ujUREdM9Mx4fjVEp80SqlTJXEY8scf73PbSUiIiIiIiIiIiIionPFBQZAXCFwABnNHADa + psKrqCr6vu61yd0LajVkiN5OF0qPDtvuCRUABHA4AIcIWqtA1RhPKwJ4Vuz1q//1i778bT//C3hZ + I7PkSJ5SRVHkZIAD4lCraBwwgCVVaLJ7vuyBGn202xie1KNzYeogFO/Y3f5CU59AvpmJiIiIiIiI + iIiIiIiIiIiIiIiIiIiIiG6X78YgXR9JZRVd7my0tCky7fD6Td/w9R/72Mf+/t//BznrWMy9QuAC + uLpjqhhcStneB+6eUqq1RgpaxJ0cKRQM4Mg/iYiIiG7dbkqXmWVVhnbdOY9hyAaYy9EMtYhexnYq + 2ESrqpsJpy91Cgeg8aU68kpdrsuxU4E4DFBXcahY5eDaU5nZ7vaBW4ZbNyW/Rh5E0zQAaq1TEfL4 + Wbeb1Bnhr9hWMyilwOF1s0Dfps3UWgG4QxXf8q3v+Kmf+qknnnjswv7+anWYIG2TIv0652xmIile + RDCcDQpPEDepChPLgEXsPSS5u9WxVaRcD9Z93n9mlQ7+zW/9P3V/bQYZIKN3TduX0TPcxdGrY27z + J4dX/rHPfltazpLMtIp4hWixEWKADNWkzcUNgADZDFB1lGTqsBv2EWdIXBtLMGu8DmIjsiFXJIO2 + 8KxpKKo5rfrhgixeNXvtZzzxxnY115T6MnpUHnA0qfXRFVMZAlPAZHAoYA7cekTsndjsK6fCCLEB + qqM5FKJYDVe7Rk2AWloXXG2+7LO+9Hf+5a/XJiNJnsnVdd91KGNVlXnWWqsWSZI/fPXDl8qlhb4M + JlAXkYpa3RySUoNqgMb+Oh7cNnvqu/hc74tpzarqer3c29srpQAwM4e6ihnccOnK5W/51m8bSnGg + GuowIDZQABz9ari2QJGmaWITBCDnXGuNrZyZTXuQvu+noJzYNp7fuJwH7kvElA0eseEnBfrGn05Z + TkopjkJOByKn9OXbcuwDnb6c0/8qO6ZM6Bvp1h2/t9z9lCzk6Xkd+7hyy3bX6q2s5FOSno/ME7NF + SvSNl+Oe0XWZ1rtLO4UqVI9Z5vRAu425Fe4w21xuFst9E9iu0ptmkx9Z7bv3PTLbrT4NIiIiIqKH + kbtfuHAhOqlMXVVKKaUUd59+3g/DEEGM97u9RERERA+6Wmt8a4pjkqo6jmNcn86vTN+sWDGciIiI + iIiIiIiIiIjugF83lkzhCVCoHrbdZdVBU9vkOlQxH0c07R32vVfY5uIAJC7qSG7JDbIZPQuHO2a1 + fNJQ0I+w4sByHKOFAoPHXe/mOCUiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiogeCqepf/kt/8cu/ + /EtKMQFq9a7tbhrvEWWBo2zdbDaLK5F1DWC1WpnZ9E8iIiIius+2w+fFt0Pxd8IjAYMUSIEOrgUw + OEzA6RlMY/XCgLK9co0CcAV0G0OpD2Ae5YOGEX5nK6VN5vSRLEtVTWmTPx0xnaWUSCY9VtM0qmoV + ly5dfvvb355zu+571Qyg7/u9vT13H4Yh8mWn+Fja+cjHxkEFALS6Adjkn7rlrKMNJY2/+Z/ed6Ve + apqmlaQGq6OJIjepbczQAE1p3vKat37C7Pd1vu9l2qRc2/hEBRgXczF1U99EIztQxe7qp8sFBgVE + AIGZwMRcDTDxquIiYnDNaFL7qU++dl4eF29wffx5NHj3Es/Opbgc3cbeM7E+tw2IFWvq2o2zT33q + 1Y81T+7lizb42A9tCzNAvcLNKgwZIl7X9eCFw+dM7PrEWze4WXnU9hGxzck5m1mET20DkROA2WL+ + dV/3dZeuXK4F2KZQH6tpmtjypJQAzGazSLYCkHOOzVqtdRzHrusemp3LiZvp+8fcK2C1jrGWY1Uf + WeE3Xf+1Hh+Gfbuv3O7su3nDt3UEc1rIjc/ipNRqs+sS1HZyjo+fP57XkaWd8mSP/ZOcECJ+i6ZH + j6iSW3/cU5Z26lM4eo+4+UhLjrTtCDtxR3b8W+UWU8an2U5anbe2Gm7+WEee6fTP+P9ua7efpgdq + y3X+67Xd7dX5QL1cRET0oOLu4hac/28ddHbW67WI9H2fUoof/7uHLw8ODvb29rquG8fxlMMHRERE + RBTiC1WIE8ORXW1mqhrdhbE9xVJrPeXMMRERERERERERERER0Y0ESNAYeZM2vcUVZtD0xT/3T37p + zW9+8+yCfOzFEZ6kWSx8uRo7vcNk61vkjr2mmV9+EcMKy67Mu65pop0w3R2DG+dRHokxPURERERE + RERERERERERERERERERERERERET0SBrHMef8gz/w/f/t1/yF9773V1PaFP6tVoFjymeramSbTYEv + MX+EA0Utu/l8Hn/aLXZHREREdAe2oW/M9HgJpACmABy4fl1G7rJvppugNjUV5yD7s1Gj1sJ2tYsD + UdkAELu2kuOai5kwmeUmzOxIhN8dhFrSrrZtoxo5duIRa60RnhhVygHEj76TFjKO4/aKv//97/+B + H/iBd7zjHW2TFovFpRdfePHFFy9evGiGUupsPj9cLlnnfGv6yG83CJ4BOArUVRVeSzVJOqK/tHzu + 9z7ywdqscm7rFNxpm+BLr0g+u5Aef+Pr3zTr9msRd72FCM17xwSeDOIqcbihKIpgVIEDqoY0Fvck + EORP+eTXwluc652Ri5s+fvGZ13zKZ/zqs/9aAHfPgtEhIg7U2C8kuJQq/XMvfvgzXl7d3eGyyXBO + u2Hzj0J0l2+npdacmgj8jcNQtdZSajdbfN/3fd/73vdvp7UybXxuNI5jRGLHtmu9XqeU3N3MxnGM + o2EiEhu3h2aj9CB+ZiLrInYqKSVVVdXdgN4p4/kUMcuRGV/i7j/eDbXW2OdNy9+dqqrq8dePbbKf + 4MaWm1l8pznWsUu7gye4G92N65O8b+Xu0+Oe3k65wUnLvHHOk+a/8Snv/vP09tz4oLghwToe96Tl + HDG9WDddacc+r1tfP6e/AWzHnb0l7rIHcftDRERERA+3biv+OQwDAHdfrVbuvr+/H4c1H7wvz0RE + REQPojgIWUqJsyZmllIahkFVh2Fo2xbbszJx9uV+t5eIiIiIiIiIiIiIiM4fcSTAd8e3ekZqsZhf + euplH7l62bw20Gq2Wo6LTvTudvoQzaJ9+QTUn/6SL0STs6i4idlmONM20xpAApI/UKO0iIiIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiIjOUtOk1WrVdd27f/RHPv3TP9Wq56xTZPWNzKxtW3ePyOqmaeL2 + SKUBMBUH7vueJYKJiIiI7jMxEzNFXDa3+eaiDvVN1jKwHWgv5tfFXXP6EqbX1urm/8niogrEBWIm + xaRUNZfNHekkZg9/sOu9p6p934tIrdXdIwtm9/ddXIly5acsRBUqGEf8nb/zd37hF37B3Z9//vln + nnkm53xwcBAJ2bXW6VckQRwwQOEKiLpG0RMRca+Rt2meKly68bd+7/2jrKR1q2MdTRUigDmq1Voz + sq6aT3/FG5552Sf6IGXtOZ32et0PVrWalqpwUQUEltzEoQIAAhcHHDbiFU9+IkrCOa/4ImjGJd78 + mV9gS3TNLOdcChIAdwAFqAJViBia/sUrH3Utm32Hb9LKRUQk3RBo/ZBvBiOwNYJfm6Yxs2EYRKRp + mve+970/9mN/q1Y0uQGwt7d3+qLcfRxHd48NWiQyxJ9iQ1RKcfdIZ7i7z+peeeBiJNo2D0Mxq+5Q + Rby+R+bZPXooUfjJj07dHAK4T9+r4BA9Zs7r73X0Ftm57/RXjzZMy9+Zutlp13eWFo9wckuua/n0 + vfCkzZyqnh7VfKz42ByJf75xVYtIfCROccsPHR+tY9pywwJPW4qIbq/I9CziY7kTAu1TQPVJn9jd + xOidcOhjWjVFcp+0nKkZu8s5KZF6N4h69/bpdTz2Xsc+7vQa7S5wuh0nPMcHBpOtz5eHZM9H9Ei6 + ve3tg7a3ePRwe3u623o/c2XSUeM4mtlsNhORUkocuxSR+Xw+jmPTNJG22LZt/PN+t5eIiIjogRaH + jnPOIjKOo6pGR+HItB6Gwd2bpomDt7dynJmIiIiIiIiIiIiIiOg62zhrERiQBJvuQ7nDhf0P7c1e + pWhmHbxZrpeLWTush0bv4rAiE7jpchxe3sxeXkdcuSQXFskdLkhwRYxxinE+YoADet4HOhERERER + ERERERERERERERERERERERERERERHc+9LhYzd3/iiSd+7Md+9Ku/+s999LmPR+jMsfOr6jAMEUjW + dV3f9wCiVB0AEUkp1VpTSl3XTbcTERER3QF+j3jpXFC35YTV4YC4KiCuwCbQWsUAmNjOCi9T8h6n + L3W6DRCaEsTVAZgBLmaKiLK2CLR2iCMxc+hkU8Thgxfkdy6VUnLOpZSIss45u/vly5dns1nEysY0 + fugNw3DScpqmGccxZy3FUsY4+td//dv/6c/+o9e99tMvXzlo2lmtdd33olpKmc/npyzqUWLi8M0W + WJNHuLUqgIRSzFXdAW2Kj+jG3/ydX5H5qI1ZMTXoNr1WIWaedbZnj7/ptZ83832pjVvKTWPFH5zU + Jxe4FBd3KFyTIRuyITnUUaPui0ANuabH50+mwyZ2VeeWikgd8qc+/dqL+anRllkwlNh8VVWYAoYk + DumR/YWrH7VkSHAXcxffJFpjE7prfvRLkT3E+aEpJXM3M9U0liqaF4v9Zz/y3Nd8zdcA6LrUr0cA + h4eHcQzq2IVEpELsLHZ3GWY2jqOIRJp1RFxN6Vfn3QP3nhiG0naqKhCoajUHIAqRa1NVbEKNJRKm + j5nGt6ppts3Mx825O/+N02kes2vXj50zpk0rKW0eNxoct2u6No8o3GF+Wnt273utJdvU5BvVWiPg + fVqT25zlE005yDHn7vy7t4gI4HYzR7PGT3xQl81XXbtxKiKq8biuqinFNwq/cRpP2t3NSq211tHM + Yjlxu3udrpuV05czPfpu26Z5VHVq1Uktj0eZ2jA9l6mdR6anLOfIX3fbcOPU3Xef9e5ydpccLT/l + cTl9IKcPmgezVURERKd74H7v0H0XvzHW63UkWLdtW2stpQzD0DRNHC+otUb+4v1uLBEREdGDLr4+ + lVLi/HGcQYmOwvH9ysziy1VKiZnWRERERERERERERER0xxRQGGSbEq0NZnt/4Z/+c1ns9av+sF9p + SnUc23zzRb0krmLpydlC+8PHaw8VHK5gjpRdot82ICaAsOcSERERERERERERERERERERERERERER + ERERET3sVqtV22Yzc6+vec1rfuRHfni+aE7KtJ5EMkrf923bRp26SDuLv6aU+r43M9avIyIiopfo + JvltdCsisM9hgEMdatAq6lBgMwUUnsVVXMUhAITTM5piSi4ENrG1R16feGlggPH9fjMRa01nJfJc + YwpgHEd377rO3adQS1WNjMtTft+N45hSKsWaJtUKd8xmzdu/5Zuf//ilWr1Wj3AZAG3brlare/Lk + zgMxiG3C7TcbB8Vm3+fu7iqaGku43D/3oRd+q5dlEQjQqIqgmCeRJNnMUkmvuPjJr3n5G3RMjbRJ + tJTjs37vF3ETuMLFk3hS02Q5WU4Wf4S7JMnZ9eL8Qitdh07Pd3i9oqYLs8d0Pfv9n/QZPngtJavC + RBwRp+pAdS9Wi64/dvnDLgZ1VZ3CWcNxC3+Yt4QRiAAgtkI5t7PZ4vkXP/5t3/HtfT8AGIYqskna + PSnTOkQ0cCyn67q4IiKxRTKztm3dfRiGhyPTGsDdrtt025qMd3zzN6/X/Xq9Sim7mzuaJg/DCPj2 + q77E7e62Xq+PXc6UT15KGcexlGIWCcHHf3W6cuXK7h0n16KPd5zy3WIYhmmeqQ3uHm+gaeER5hHx + aSctyswiDqRWn963J/3SOeaD745tLvYJ99n8tzudEsA3i9jOozf7xjk1ID5sdsLmWOXaMm/M8RW3 + 7Q8RuJedZdQj0zbn+LBuWiubxuom6dxk+91682L50SXEVDer4dotMrXNN7e4X3uNNNaR+5Gpu23W + krjFuvAafz/2mSYVB7azTgnWcK9y/TOC77bh6HKyJvPNo29/F8TreG3J01qV45bA6UuZ+m3OL7e9 + fCIiejTxTPmZ4pHTh9vtf2GKgwJN04zjCGAcx/l8PgxD/DWlFAc0eZKJiIiI6FaklOKLUxyKx/YI + fhyVLaVMXYTjGPt0gpmIiIiIiIiIiIiIiOiWbHtwiAMRaw31GBziHfK8pkYbhSRzJEllKOludr+K + MUveD8VrWl39P77iT/yJf/yzaC+W7YjQAggsQeECYV8wIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + InqYPf7ExStXLiVtcs6O+tmf/dl//Qd/8Ou//u3HBScpADPb29s7PDwE0DTNVBY451xKEZEpLmgc + R8ZaExER0Vlxd2YP3AExTaIAptUX8amAHfm+JxG6LBEVd29b+VDzbcRkhJ9hZ+2awAFxKMx8kzB4 + zmNc77ob4ynvV0seDrXWqEA+hbyoat/3XdeJSNu2pZRaa9d1fd+f8vsufg/GAuHQhL4fP/CBD77z + ne/8nu/5ngt7F5577iNPPPFEKRaPeOMS4oV89N7+U5RqrBNRV4O51ZzE3eGpilrGBz/8mwflhaFd + uyBBFamYYRvQkzzJmD7rdW+6gMfTmNUhImb1gfpFrkA2E5PkKrV1tVQbsUYsW00igBc3UdOnLj6V + imgVh7qc4/xmM2vTTNbNZ37aG3/p9/4JKlJO1V1hLjCoizWACQT+8avPr8pq7jVNhXq2Oa6xEmxn + VTxIL+ydO+VTb2aAqmZAcm6fe/75n/iJn3jPe/61O5qcxrECUIU75vP5arU6dvnuPpvNSikRr9D3 + /ZRgHYkMscWLhOK79izvtQfumZSCr/u6r+u6bhgGd2+axt3HcZxW+rQjn1LHj11OhFjHCxamBPhj + 55+yN3DCV4fpgWJpJz1u0zSRVx3z7N5rWlrcGNHXp7yZdhsjW6e3P+aPtOzYH58y/7Gz7TY7dvax + npfL5UnLiUeM7PCIiDvly9ZyuYoFTtHg0/OaVsswDOM4Tuvw2OXEY43juBsxHhH30xsjnmMs7aT1 + cNLqmlb4kfUQB7hPWhVH2n/Kqlgul7HY/5+9uw+2ZDvLw/6871rde+9zZu7MaHSlK9AXYDBgCxUy + MYnLMRYyIbarFBKcopzCRkmVU9h8yCAwVmJKcWGSsg0CgzHmBmLHgA3lALbLcUiJBGxiITtVqYr9 + h23CpyVhJHQ/5s6cc3Z3r/W++WPtXqfP/pozo/k4Z+b51dS+c/f0Xr16dffq3r2711M2p2k7bK3Y + rpmm0Srhe6zw2qYyrqA9JdF9udevFU/feSsRXRj2pHwjICJ6MMpFSQAiMgxDOX8usYvlWyTG8+rH + Wk0iIiKiS6CcNYUQcs7Tk6vyzvRa5ZP0ywoRERERERERERERET1qXjKtkQEHRKDSiLSv5NxBojZq + uev7WSi33z/EWz6ypxjbxtL1GA4/9hsYOgwpz2ZjhrWtnsp1RXkK9OFVhYiIiIiIiIiIiIiIiIiI + iIiIiIiIiIiIiIjosTo6OprP50Gb4+NjbSAib3/727/t29735/7cn986vYgcHR1N0zTKsHVluOAa + MZNSCiE8usUgIiKiJ5eIMDLqvqmrrB6gNxNzNS/RmFInAEqmNRTMVH7QbJUpruLmgpIankvC9arl + FYBYVABQF3NNj6++l8BmjuGeUEi6q/KtrQRxlmzXlNJsNgNQv9OFELqua9u27/td5aSUYoxmyQyl + zzZH2+pP/dQ/fNvb3vZHv+Irrl9/1XLZibgIaqDM004Mq3YIgK4Fk6kqMjLcLeeQfv1jv5SaYzRw + gVtw0xwgUYKGtBzaOG9s/jlvfoufxGDRLEdtTQx2sdo5mIpBoJOkT3WoK6Dqgpyze7hycNVSzjnj + kl9UMLPc5wM5eP3NNy70oJejPhtUykmNuwABCo3ZDcfdUZ9O+tzP4kxUNEFkjLV+zMvxqIUQUkqq + oqo5+zAMv/iLv/jd3/297hDBMOSSQ2WGEMKuTOuiRFkDUFUzq5nWIlLilcs/PUk90oWLkQgBGjCk + ToO4I+VeVUMUxyqP97TxBbJ7g9dQps1lsrINOHaOCzWmGQPARnKZn5nN3vkOqQMgWkry04JPy1wt + gQZoUMA2ysD0I+PnfPXB/fUHIAhRQmxmaHaV/Dg5MzUvotKvlfTraab4vcacYxLvPQxD3/clSVt1 + +3ov85rGcq91r9N47zLrreVsjSe2fpVRAAAgAElEQVSfZtUXZrY/KXzaDrXy03z6Nbdv357Gz08b + YZr43vd9TVvfWs50svpZM9NVR3Ya+r6//uVTNWN+2g7TBqmrctcR8Ww7JNvZS9XlxZ7Doqz6TLjv + mwxAjDBDnV1trfqpUtRdy9lVh9W3jtE5C6mVr/+764NrU26tA87RDqrr06hCBHW1q2LcSPaVszpk + +JlXUbgB5bAogI9HuI0p97/Wcjb/VQXmZ6bcX9rWcvaUP30VnNa/LNF5PrU5r/rZtdYQnNbf8rnq + c391WCvhPFNuzqXUtry//q8re0451jvMzfd3TbPHfVx52dVP3lMd7nW+ezJrazlrsw4h+Og+ZlE/ + Vfrbx/XVYtpQd23bugjTaUIIe47O5y/nrtXbU9R0EXZtwxivE5y/quev5wMsZLqd7Fqu+9gT66dK + Uef/7HT7fLwXUsvZkU0Oe5N2sOmhOUZNyfYfkavTq0xnW9vdh2FomqbOKOccQihvMuia6GIys9Jr + lT209Bi7vocSEdFDUi5YTa+V1St+ZQKeRxERERERERERERER0f3x8VkAiGdIhml9mEqaO7Fdtu18 + OVxpYnokz9LE0OS0FCD2w+uHExy9ghs3ARjgwKz8VwKEP1sTET0s0wdeyvMR5anmetNjeZ4ixlju + LHrc9SUiIiIiIiIiIiIiIrqUpj/KlBGrYrzLoIUlZURV69PfHKWBiC6X8hNzGW0GQEqpjEJDl0gd + HIwHICIiIiIiIqJHpoxTbdY1bagj7P/Rr/iKX/mVX/nRH/3bXZcBNLEZ0uCwOtBxeR2GAeNAdpgM + WKeqwzDUJwLq0wFlyjJCOL/+ExER0R7uLoA7ypOGIo8tauGyE2ARmtwPg+f5QTzqkrQwhyhUYYbk + CIAqFC7mbqiPddbAiPIXnr7tt7WVAjzDRByhPFALHzOwgiL1ULdZE4dlitICavAU4Wzq3cqXi5pl + UEPlnqRQ0kev/MJevqOV+4tKTPUwDLPZrLRt3/ci4qutc0uEVkqrRPYa3NZ3JsBf+Avf/rmf+zve + 8pbf6SISBG41MJu2MQAwy56jNAJJajrDv/61f+ltMoE7RIKIqEZzSym12qDTN9x846sXr226eRPa + LiVBH0Kw3WFnj564RoEDbjCYBVt2S2k1STZRCTYgz2L0Y4mxkaASxbA95/GSMFEXoEH7THPjuRuf + 8srtFzxkDSIZ5iISXJAsCVZR1x9/+WOvedWbAQwn/SIu8mAi0XXSuYmvjhCu8kiG6Hks+r5fLBbH + XR9DC8Vv/ObH3v3uP10yr0rfUvv7XUmgJSS+ZFhhvJtoGIYYY+ncykRPZP7ChYu1pr0uUB99n6Qs + Qsnz5utFec0mIq5BG5HYzAATCaqrNSUSypTu2V3c87Ovubm1HDOoQjWWv7vnvfOtPzOYu9cfBtYi + NjHeHYjdnW+McRovWrMb62enWdF7bo6fpqDVouoXiU2bdS7K14/yr9O8z13DIcUYc87TFO1S7XJr + PlxFXRAc2TLMk0qEGFzXXoM2juwmjlzeEQRRd5Py9zJlnWZXOdMpLQNiKnFshy2r4M6dOzibWrTW + /iVQvMRy7/kOVqLEa8x5/XhZv/VNMyvN1ff91nLKdrWWdD69wXSa6+nut2/f3rVeynxLwneZ6XRb + XVPKWWuH6exqrXLOuzaqsoDTCPa6CZVLXbXk2g7lJ7dNqmrwWtS0YdeSU0uZu9qh7hTnbIeu68ws + JXNfbS+rrOhdryXwW07fQwkoldXf1141lMU/M32dy2oQSFn/183XppWc3QzukxribAkOt9N6bi2n + TH+6TH7m/V2f2nw9U8I5XkOE+xgDP5ZQays6iVGfzGozIns8V14LzXXgdDc5TxSuqo5HChsvdd3P + VZe7fmDrBNM6n6eQtZqdp5alA6lHxs0vFaUOu0raUW2sdQWli7rX+mPSAltfz1Ore2p5EYhsaQcZ + g9n3FLa1nNoM03zie90Yxk7ep204/qWckNyDzRbes0Gf5/3Sl05vfThnOZu3R9y1ZVbnDxuxzZsL + tb+o6fZZe4O1eOm1As9TvbXpN22WcOa8aMv0aJownm8YgKaJfZ/OWY2ptm3LWJZN0yyXyxhjOWmc + XgMVkaOjo8PDw/son4gekpppjbPfxYiIiIiIiIiIiIiIiIiI6Inh5UlLmCMAECCUG0lCeMfP/My/ + +t2/+1NVbLmEQtrg3cN8rEgs596A5spC7pxcD3d+5ku/+A/8v/9GsfByl9LqNkK955uWiIjo3EqO + tYiUp45DCH3ft22bcy5PZ6hq+de7JisQERERERERERERERHRLnVciOlD3HcdVqL8QGNm03HAiIgu + C1UtP0ADqH8hIiIiIiIiIqL7Yt/yLd/y0ksv/f2//w/dMaShbdpu6O861Hl5NMDd5/P5Sy+9dOXK + FXcvTwrUxwQ2B20mIiIioofGjo5vNyqx0dR3cDQhtAHLLoshOoIpTIJpsKAI6jrJHzkFrOez0F25 + uwGGnCW7GNQQIMFEYGLLExzOkRKOjtLBTPLQz5pZgm3LFqNTu3JhHn1Nnmwl07ppmpOTkxLvUu4m + uo+W7rr8jd/4TT/xE3/3xo3rfd8fLmaqA/uT3QxiqqpwuBpSVrx0/OLt4dZJOF5N4gLoKq8QEEfr + s097zacf6NVos+ymCqhnGwQXKz7ckqtGjZo1e8geLXvKaqqr0Dc386RuwQUudtlzm1XNcwoI0drn + rn/qL7/8r0Ow7DWPSWVMpjMBoCf9Mvmg42G35FIp4K6uZ1KcnuwDRYwRUJXVfYzf9E1/5qWXbt1H + OasGVFXVo6Oj2q096PpeLBdveA6BugGQMU9RnYfts+TyHxEdKF02Xy/Gq7uIuEBCEDcRVYFqwNBn + UYcBYqdRmwpLw9Y4ZM/wADeHmLgCWQBRd7Mt8wU8Gdy1fI2Er5Kn3UII7j6JmYXsvaM99x1WhZzd + yko8prsDoqoiKnAV2I44SbPyjXY14h2gKiLloLt1+rGctZxIM4HDTUT0TAzk9vnmPpfjd1lWGfM4 + xUvmt8Ed4iol0FFUSwjw+p+cB8AEKrAx2tM8Z4EKHICMibg+Pi+wSsE9+2pmIi4S4DmIAq7iKjt/ + oXnmyiG2rZ16XQBnszN3rccS21ySmzfjvWugJsaw8P0jTNUo61qTzfrX8reWUB+NqFPumR3GsNKt + 7VBf1+Z+znKmrVHfqVHZW+s/PU6stV6ZfnPR9tRna8b8rumncfXT9XV8fLx1+lWFN5K898SHlwm2 + xfquiqqlTYPAN+dYg7qnSzqNm/UxjNzMlsvl1nJK4vu08uWL6675vvLKK1vfL7+Slh9Hp5XfVU7X + dTUqfrqFlP+tRY1J6rUdVuHT01ezlJLlPOTs7tldAFONZgnQ0hu455w9pX657DdLGAOtsxlS6ktp + 7uKeVePW6V955Wjrct1lP6u91yoReQz2Xn3Mz/udx89MuefXZ1U53YhWa8MABD0T4TweanZ+6Wqa + UNpnellDgLqZj8cruLvsrtIqMhxepi+NIIKUvdRl++uqplteRQEfh1EVuG2fMgY1N8urd3x1xFxl + 0o8tUd7306z6jXKCqsMsj1/mx3L21HB/zes7Aogip+myj9MAgHj5fjEqe/eu9V4yjKfHkbJ2dtkT + zzzpPw27A6031WPBnuPPrvma3T3RuZwniOz7nlWznCc927522L//To+GY6vujMeeHu+mh7wd02NI + q4Y9OJgfHy+HIYUgOd/lwL2p7/umaebz+TAMtQ6lN845l6eMzOzw8HDX8ZeIHotyb1k5gSyncyGE + 8vq4q0ZERERERERERERERERERA+AAA4DrN4GF7w8TQTRgNi+8MzVN3VZb99epu7kJB8E0Xu+c+Qe + hKYNgpM7J20jusyvyj3ScYOrMAUAdUByuYsX0NVdc0RE9CCFEFJKwzAsFovyTtu2ZTzx5XI5n88B + lMGqeL8fERERERERERERERHRJ6OM9lAf3+77XlV3jftUBsxp27Y+8T0duYiI6OKrPz2X3q92aI+7 + XkREREREREREl0oJUnEAOFws3vet3/riiy9+8IO/MAzeD33ThJzzrpGhy5iiMcaUEoAY4/Xr14dh + ANA0Tc55bZR+XoImIiKi8+A5wycpq+kBmkWzPO67AQeLtjsZ+qVfv3I1nViDWYM2pkZzaCw22jRh + duXqTUGs4SD15gGui7uqMUmn+U1IXb4z5OMu9VkG12QxJ3S9LxeNYfCc/PAqQtAe+Rid6xjjQjvs + ibKiB8jdS/hrefo7xjh+17u3574dCti//fCHv/brv+5Hf/iHAZz0nZ59enyMBVJg/E76lHCdhNSZ + C0qIpJacIw05w9QRho+/9JE7w+0UDKsxUdzLWCiugIlLk5rf/sbPiTp3h1kOQaFSon9WDXsxiLYO + dBiSDElO0JrBxlQjaxTB24BZ0HkW6WFBHu74Mw+ZaRCzHBCCNW/+lM/6hV/+edVhgAdAy74hMIGO + G/7x8R3DYIgl7lMBOZv/69NstTHX/BLzksNoOHvck9jcunO7beYi8n3f/9d+4UP/HMBsNuu64Wyu + 412YWem4+r4vtw81TfPEX4+6cLHWl3kffiQu+25clKXg64V5FS09nbmXEwMXsWzwVeCgwSHiWKVp + SogClJssz7yGUNMKxVEzswHx7XOfnHP4DpjYNZzQ9Db3GtC7q/veE8dbv8fWuZdg412HgRo5uTbB + tJz9lal1nv5rTVMe62nuAEqaaHb3lPqt5cQY67rw0u5e861PyykBkTXjczxSnr6GIFhlgpc8aZgl + dxlP69fjaUtsrfv6++WDu6bffH8YBvc8xuhGERdR9/IrkYmU91XEQ2gAy9m3liMS6vTuKuKlTLP1 + 6Ut8r5WNfFtMby2tbDg14nfrlKW0Uue1dqjtUxKHz5a8Xk6pTylts/xah9IaIcjmcgEqMIOKOlxF + HSYQE6ioWzaIwSaB9CYQC9psjavPKddyypTjOeX6lKvpMxwZUA0QKMRUoyM/88wzW6df1dDVkevr + +rwmrzG8yZHdpE5Z3rcMUReE8o55KtOoxK3lBG2m5dR2EIRprcyTZZinGNrzlFPrU8s552ut/7Qd + 9pSjEkvdyjS1Dcvf61I7suUt3dS0v5rmxU4z4H2SCo8xL3xXPzzNPt/s1rb2e3v+dVPTNDWJfBiG + 8hRTne907uX9XTHqIYQyTRnJriaI73pyoO97G02PSjVet17UKz9p7wotLnOpKem1nOlhwicx6uWX + 8s0w5xBimWQY+mFIKQ1mDnjTtC5bwp/v3DmqM5m+L6LuZuY5p2FIw9CnlN0thLg1RDqlnNKQUq7R + 1u5wN9XgbuWAUk4gcjaz3PfD1nLMvEyTczLz8lkRpJRLrWrJpc7HxydbyynzTSkPQz8MZoZynNQA + 95pkfZqyLJg2uNds5l0b6eZ6VC33NGzfbvdsz+N+tJpXed1124Tq6T+ZmUwWYVrb+ubu85m75E+v + ffb87VAm3h2zfaZ6m/MEYHb3fV8Vdc7T/W5az5oED0AUMcgweAhIKZV/uo9M63ItYBiG2rG4e3nQ + CEAIoeu62Wx2r8US0SPQNE0Zgrbsp+WwXg76W6d/si/zERERERERERERERERERE9iVYPkBgU0ABd + 3dIcgJxw7ZkXrlz96Md/7Y0pzRfz7IbV/VcPy8nQzdtZ1BCAFvlgeYyhw/EJ4qLcaeSyyrRups/z + EBHRA5JSapomxrh5726McbwLd3WrJDOtiYiIiIiIiIiIiIiI7tswDE3T1Me3h2EoIzDsUsYBK58q + H5n+LxHRxbFnvKAygpKI1N5vz7hbRERERERERES03+3bt1/96ld9x1/6S3/8Xe/6N//ml1R1GLaP + 4F2U6zYppdls1nVdSimEUAZRL+OOlos2KaV6AYeIiIjo/ESEWb/3wcWS4uWTPgYsQtMd27X5s0Fn + y5eGz3n9b3/11Wdff/ONz73qU28cXD9oFzNtBdHRwmWa8VReN5OY+Lorz+u0rSR3/a3B+25Y3unu + vHTnxX/34kc++lsf/sTtj7149AlHimF5cnJnsBwXcFmFfQg39d1sV2AMPWgluyrnXLIhUkqqei/N + rwBCCDnD3X/hg//3d37n+7/pm97jyFyJEzpJKjYTBIeLuTssuAvg3vS/+eJHlr70AADiamIKtfFT + 6jq3xRtf/WnWqyMaehXIxQv1cCiCJsudLbMmd4vSNDYPy3kUuLuG0PiiscOZXAPGzL7LzcySShMs + vv7Vnx7SAjiCrCK8StpvVphDoYAendxxMRMLKu5ZStxkicabFKquFyqt/IFLKT3zzDNDn3/u5/7x + X/3evxZjzNm7rgxDMQ2DvwtVTSkBJbMs70nAeZJcuFhruosnemd+Al2SGPJpduk09XlrPygie87M + plGd01DArROvzQ7juUgNk66nJvvPUTZjF8tnSz1LTaY52btuD53kJp7JSd0/361NdDaXesuSbp1+ + +pHyM8nWOuyq/9Z2wNmVMl2uXQtYW2yjbnW9n0nCNktbv/yH0ADiXjIpbW36rV+PJzXN7gaoex4v + LrhZFrGNwOnN+riIj4WcRkGrlrjW6R9svHPmj0gZV7CEtuaSYDu+uf1L/rikXl9jbFGy3h1jmriP + E2yp/7h+y/SnracaxpVovsqcV/ccwvbHNspZIcTdzSFwAyDmUctXBJy+BlktYKnb2deoKuVkFO7l + FTZWzjZfVxuMlLY1tww4PItsnz6lVIO6AVdxUYiMhztZf7XU14BwEcBWba5QmIlAzAEPcKisGnzb + fPPQTWLCATeHuOUQtC6jjPnh7kE2alJec+pFXCWUVhKBqACS0vbtXCRsbWdxiLkIVBxS9n0R0Zy3 + 1x+eFCWMWTCuawA5J7gbbNWqsBJ5Pdl/zzIrqzeE035PBDXYFWNIsIqEILvKySlj0mOoiMi+/n/X + UJ27+qXUL8uH2qizZi4iqlpO2aefql39zRvXttczZ1Utn53OdNdxrR4+1uq2Vs+7Hl/WDkPV5nG2 + TDOWsyX2XsRPA+xLzxYw9Hlr/Pk0Hn4a3J4G0wCVWIPY98fJlxj1nLz8vUxZ497dpAar74+TV4lr + cfJlvmX6GuJep9kV615i4NdK0IDjo2WpZ7ahhL6XrkRVSy55XXcliXxX/Hnbtltj1HfdqfDKK69s + fb/GqK8VtaucYRhyzmWaegRf2zhrjHpJZ99aTg1ZX4tRr9tY/Uv516Ojo63llMcFS8563/dDiRDf + vZ3funVrbRbTPaXMbhoDv2u/SynVdph+dm1PLy1gZt3Q37lzAiBn5JxExiP8FqXmO+dbkq1L/Zum + qXuuiJTbWcplAj4zSXTRlL3S3Wez2TAMqhpCmH6RWcNfGoiIiIiIiIiIiIiIiIiILpfxZhBVCKCr + RygFDsjBDMj/yQc+8Eu/623+4supL7fY3cMzJPdhMZvf6pbX5gdIXcpY5Px3v/Q//s9/9p/iYIag + cJXxeR7BePP/ZX/QiYjoIin3N5Zb+8pf2rYttxTWW4PMrGkaji1ORERERERERERERET0yWiapu/7 + 8ltMjDHGeHJyMp/P94xbtVwu5/M5xjEcyscfba2JiO5fGfqp9nLlR+eLNkwzEREREREREdEFZFBg + FackkyH357Om605e+9pnv//7vu+/+Mqv/M3f/C0IBNiTAVQGFO26rvzvZqRC13Wz2exhLQkRERE9 + QURk32kHnZsLUoBE5A4hHshx8m7+eZ/+hf/Rf/aHbzQ3mzSLQ6s5aA7aSZSgqmmVa3M2npmvd3tN + qURiaU1xEgkAbjTPZcsDUmqTPwv/lJRj6uPJR1/+t//nP//Av/7ov0A80HjUzsLHb73cLhCA7YEo + BKAEm53tHPaFK9H9qtE85Wf3jRi+fdkx478CQM4lR89i1O//geff+vlv/eK3f9FDq/Ul5AqBSxr/ + PsacQd0FLghq2v27Fz5swRFUVsl6yURrO4vj5uLZa82NdOxRAiSaWQiqGi5aXmrXdRqlmTVNqykp + jtsr6TWpw5X5bOi7lLPYbO7XZv0V7xBCcLvsu/YYX2V64/B1M33mtn3CABGoI7gByDCX1eA2x8sj + i4ZcwhZdS2KLbx30pq7ZJzC6RUSOj49v3zn+b/7cf5uzA0k1jickOH//U5QDRE09K8FVD6vqF8DF + irXmTVvn9vhPZO/39SlTDtUXXo1OXItzrrHQW6ffr4z+s3/KetieJk2qah1LaC3sedcZfEmA3gyl + noaMbjs93Vals4nO+78z3HWEo3PGck+/rtRKlkDKzTC2PVUq9VmLCd8MzL5rUaX9p5U/O2Vee1X1 + 8YKMAC6ySmNNqZ9UAyLlRCWa2Xj0XXstp0E+Zj+jvCNSTm1cROv7e17dc4mpHVd9LO0wxn9O6+97 + ykmpl1FdCuAudai1ra9ddzJ+XEROl2hXOcPQTaZ3EVGV8Su/updE29PWNku72kFEahuO7a9jO6xP + r6prNS+vKQ215oDXtem+PmV5XatPyeEGILJ9eWezVTKordTpZUc7o7TzdNsAJAR1lzGlNU8T4jfW + ewYQYyjTA7WVAIT6WTMfl7pUb3u7xbg6TXSHe87Z3Ad3jzFubZ9dW06MWssxS7VPG09Dt6/f0gJm + pc4mIm0ba3pyWRb3srzbT/lq7+q+6ouKtm2nScylfVQl5+0HtbIea9asu5U1sOcxpK3v79K2Z8oH + asbtOEDpWDDgpapby1ENY5W8Li929+c2Hqfq9j+22JnJal87bidbjD3JmTdzHqYHr1q3kiG/rb9d + hbW7u9kAAEgi4ipwAHntNUR1H/tYRe1J5ovT7cHM3OHIbuP6Knvr5NWRAYQoQFAVEXV19WiWVofy + jFX/pi71lG+jnGzDakkhKPuvOICUexEZl/R0s1Qtseq29hpiKF+A3WGekJGRJcvB4bxmJ9dDuUyy + yeuKKBO85rU3t66sEpc+XXerHvweY1DLVrq2F+w5JYgxltTncac7cxZRl6Uu4K5k5XJetLUd1qYs + LbOrf9g8D9nfDpvnIWuLPOki3N13fc0u40iWTO667H4a9366Es3MMmaL+Yc+9M++4Ru+4cUXXxzG + Xeo+fidS1ZRSDSOvg12W5S2tVOpc0nP3rEoiesSapqnJ9CGE0l1Mv1cSEREREREREREREREREdHl + pwJbPe9U7sUTGCw0AVcOcXT7lTbKfJaOfR6DmD3ExxJcu2557VWvPn7xEwuVGDHr7doLL+HOEeZX + IBGiqA+pXPbnm4iILrB6F1/TNF3XiYiqlltAy91EwzDUO4sea02JiIiIiIiIiIiIiIgusdWIPCHc + uXPnypUri8Vi/8Rlgjt37hweHmLvIBtERI/RnvH3Qgjlh+aSab1cLufz+SOuHhERERERERHRE6OM + 8SsSPu3T3vT8889/+Zd/ObKdHfv5DBGpQ0OXMYrLEMGqOgxDuW4zm82mF3AezYIQERERPc3E4Qkh + ovWZ3Amvv/r6P/gffNnnv/l3h+PF/ORKm+eNzKNEAGapz/1g1oYWWP0sNw3sCSXgaluQD18dOAyh + JLWYO8yzmQDmPhyZajPXBYIiwZIN6IOefNri8I9/6Zs+eudXf+gn/vqJty9+4rfaVhrIGGpL2zG/ + 4NEoyS/u3vf9fD6vQTybkbF31cRZPyxzdne8973v/fG/82Of/hlvxo78rKeMTlJBHWJqgGSBBkiC + qgZol3z5Wy/+hqmUoVgEcC3p19EFJlDXNz335ibPDFFCECCnZXC9gEOmzGYzw7BMvQ1JvX3D9c94 + 1x/+U/NXaXf79izEOGtUmuEkXg03rs2udq+czMLlvuVjtde4BsxncvXG4WtfkF81QXCIQ93KGgSg + gDjunByJuIuJRHcXR412A7AKQ8OZRPPxzSdKCKHrune/+09//GOfAABoDO1gg99jim4J2Co51l3X + lTi/JzvTGhct1rqQyev0LwSsMu13JMJeitenSclx9Euw4KdJh+MxZAxBVGzdB/eeMdQ4wRKrum++ + 9fg0KVYgQcbB3MzHAgVA0O09skAgcPiZpXBoye90iIibyzifXTe7i55NfCyH1d2hiKdH3Eksd/3f + sx90d4876h8knhaiUoty3VLsnni2tSmnFdu6FLvaoQzbtBaP7e6iu27DTWdmN7ZzbMIkMdfcVyt0 + d3zsmTjt+nebNO8qcXWV/L29HUKsubDm4wvGKMq15dpaQtHO4jijc8X0Cs6UXydr2xaTraLa1Q4l + pnQ6JSarcnPuu8rRsfKTJGDsaYdd21UTT9vB3esvfvvne/4Y1KHv63KpyGmg945d7zSetjZ1WUe1 + YmVMMi0x3jIMw9ZySgw16tY1RtoH1drum1vjpjpfEVERDeH+4m9zSjU6N6wS2QUb8brVav2Wvabu + 5u59100TcGs71Jj5jWbwOmWcnPVmt7LFe+kKRRzIlnf16l0/nEnh1busx3s9uztZHskEAFUVWbX/ + mZkIAGTb3m51eaWsMKAkvo9x7+s01Jje0hqrY03d/sc99LSL3r68UrbTM50zgBBD/Wzdz0Sw6zJf + zlbbIEati7JrO4HlrdG+Q78avA/jpltW2c5yHLVjdcu1xKiK07W82qH2HDfjpP+ZThbWYshXG9LO + 7Sd1y7rs8XR7kGF5UqdZ9UUQOVuOnM5RLG9f781kPa7WvrusDtH3IqxauC7y2pawuVylhjp2u2M/ + 1ouURREAQSSouAM76p/TqvyyGGWDKZv79IylVsx27C9RUFfr2BynxW7ydCaYHOPcvVYDUJGgUs4w + dz7eM/TuruOGUffY0p9PukpxUYsYhuELvuB3/eRP/uRXfdVX/dIv/Zoq4LiPS8HlTpRyUSDGWFK9 + Sz7ucrmczWZlBymH0V0HBSJ6XKYJ9Gtnv0REREREREREREREREREdKk5YFAFkMe7shUuyDCDLWES + 2oOrz7zc6ks2XA9zSQ/98YOAYC/eakWTWNPolXb+mmWPrkMyCFxg5SGNencM7zQhInrQRKTv+7Zt + c85934cQcs4559lsVm4CBI0MPw8AACAASURBVNA0zcnJyf5kBSIiIiIiIiIiIiIiItojpdQ0Tdd1 + s9nsypUrAMoojeXnmK3ThxBEpExcPljCRR5pvYmI7mbX0DEhhPJjdBkYp2Ral9FpH20FiYiIiIiI + iIieEE0TRDznYRiGt771rT/4gz/4x77qv9ozvY8DxWO8It00TUrJ3a9fv/7SSy+VILQydDAv2hAR + EdE92ROeQvuJ6zzb3Of5FT2wK2//99/xlmffcqO7GbpFmw8kR3d3saw5x8FbE8DMxEU3YpvNs40R + rHzdfE19b0AQcREFXEuMDOLC3XsbsloMFoJrg/k8xrRsj/r8XPPc137Fn/zuv/kXX3Plus+GTxx9 + Qi53iutDN01zY7fwUJX0rvl8XsMj3F3k/F/lSnKi9kPfNM0wdG0bX375la/5mq/5yZ/6XxZt8/Bq + fqmUpHCHGDxAHDA4ALXsIQiAIZ+8+PLHMUfKHgUKmJZAMiujuIjjTc+90ToNGgdDI+ouqjGl5a4c + rsdCYHnoVK2JEuUwDIt0jDcfvEUsz+YW4cl6c08hNpiFThYa/S5Jmxedu4TQpB7aNGKzV19/3a+8 + Elb9lpg4BDbmUhuA4+Pj5Ckil7CusZCtbfAkD4WTc/6BH/iBD37wn9fVPwzDGLp3D8nWteNy93IH + 0YXaIx4S3utJ9NC4rpKtL7zpWfI0NHQzZhinoYw7i1orZM/0bjuTmKdKVmWtz6a1em7Obm0B7x6X + e+60wmlRdUnr61pspOedcdRrX1TK0UjjaeNshhBvMrO1Wa9VDOe7UFJ+lanTb66jNWvxrnX6kgc5 + rfP+Vq2/AG1dEdNfktZmtKa0w3Qj3LM971FibuvSra3NTZtBrdP3q7s2xbSc6cTTd87zzTZN2h/j + fiSTuOW6Yexvz7Ie67/WHNydccWyvt3ub/P62Emdfv+ihRBqTuF0p5sui5nVxTz/dlLPAnc1/p76 + TNMT13bAc6odHc7XF5VVWVqgfLZ8avoYz1qtds23/KU26ar8sIr3ruWXVt11cry2Hutndy3vzuPC + jqZu23Zt4981i/P33tONZM9Jf53d1g5W1/OP982xllMLyZNY9OkuuWsZ1raTu27nW+smIk3TTDvV + evjYb7NLqXHg59zsy0aLjfZcO77ftaimaTA5erp7TSCeTlZ3AZ28bZMTFd1xtcosnVZDxrsZdtdn + z6oXkZpcPr4hu65aiPqkNzN3N3MATRvHLX/1XxHZ8wV/VfHTXcZKOaq6qomfTlCWcY+60e5fUgCq + deM8XVqcbvxW/6nUfl+7qYjItBEAxCbW7tZ9VQiyBA2i4eaNG//gH/z9r/uar/0/fvbnVSGyNdJ9 + n7opxhhTSm3b9n2fUhKRcqGz9BV7ekIielzcvTwTWHbk6eGSiIiIiIiIiIiIiIiIiIieHKL1vhtb + /XFBNACh+eJ/8I9+8ff//htd9KMjKdO5msBXNwGZjneTmMgqHhvQcz9qMhUlZs9xFvu+H3qT3N3U + 9LNf8gfe/v/8S+SFBKgo3Eqd/cl+lIeI6HEotxLOZrOu6+o7AESk67pyE1F5XSwW+29pJiIiIiIi + IiIiIiIioj1ijNNHuYdhaJpmT0Z1+acyWf0gM62J6BIxs9rplTFnMBkcj4iIiIiIiIiI7tUwDGa2 + OLjS9/3Lt178vf/h73n/d/zF93zzt1jePn0ZcbqM+l7Gvq6JCXfu3JnP58MwlGk4PjARERHRI6Ou + QTUd5ZvxdZ918/O+6He842C4EY5nszzXPohEqGdNVjLapGRSCBzlpC+XSBQANT0K4OvWV43xTAAY + kMwgbql3d0EUF5EgEM2S+yDN7EAPZ43OQ/hjf/Bdf/un/+ejYTmftb33OzNv6G6pK/QA5ZzrD+7l + DqJ7bHwD1GHz2XzZHccY+z5B8Ku/+qt/4dv++2//tj8/mQwALktS5IPmgImXcGsYUFq8BPsgIGM4 + wfGt4RUcOMzLPzsAARwCU4N4c/Pqa3OPNsacBgsAoIoLmM7TNCFns8FMLZh4j6uHzwzHyxYa4eJL + iCziDGbILtDLv7eriGRk1aA5vOrwRrjdAkfjvxqA4Kt9wMWWw5HBYKJQKdHWecw5d81SjzlatoJL + f7DYstcrgF/44D/7q9/7vCosI4SQ83RDuIeOolyAqmlfIYRpt/akunC3e4rAoe4uq35LXMzXst/8 + YnVVj9TpbnARzmbv75UupBqTOT2BK2GEG1PuO9yOH5meBe48I5RpzObOIr1WYtc0Uibz0+k3Jxg/ + u96fbCvq7pPVyTcStM/8j5++AuWYtYUh1xqu5lt6wHNEWZ+tzJko663TnOfsfGc66Y6+d20tnm4+ + COdrxS3l1M3RfVqsYLoV7NqsTj+4NuG9HTtUdHMutRq7fPKDXm2uo617011nJGdP61d75bTw/fvy + 2XLWptyzIfnZWWx+9q7T75dL/O2ky9pfzp6wWa8fnHR6dnbR7rrL5BrHO9lx7u87ydZF2FXUKti2 + XHSbLMKWCq/Wwq4Y6dPp6gsANwhCqYWXrxdQnYwKuqecc7T+nrjZnfU87Vr27oPnvgRxpp57PzX2 + PNumWYut31urM+WM4b7jN43TN++yG9zroWHrlDUd/J7KmX5kMy79nFd/poHx9YP32nPWbOxpmVvr + sDqiTfet1aT7VrqcXkcZP3Kuc4etdT07weS4tr2c04qJjFuDZdQt//y9zGY56x3B+XaWtVqdY46T + ak4+tTnr/e1Wd4e6ddR2mDaCCGDZ3Jsg7WLxvX/lu/7yd37XD//wj2Y/k2wdYptT2rl7rXbA1brJ + KQkw9H2dOsZYNrOScr220darBhfwmhrRU2J6cOFuSET0uJShJcrtvwBUVURyPr1lmKOEExERERER + ERERERHR/ZEaPq06Phez+q9BAiDLjNzg4LnfCIeva4/CUR+l3DQpLrFXANaaQSAOl/JIlpRHqOAo + 94LcW51cVDQPfVDAMcv2rNtLJ0c4OUHTYj6XCLgheO+DSgiI/KWEiOgBKj9A931f3yn3tdb7SMtN + RGUcK/5aTURERERERERERERE9MmY/trSNM15PlIm4880RHQZlZ+b2YMREREREREREd2raU6UT7IA + +qG/du3a7du327ZdtE2A/8Ev/ZKPfPTfvv87vw9A0AAgmauqmZVRfwFZpQ2VkZndIBBICCGlpKpl + fOB6DaeMDDwMQ9M0fIiAiIiIptxXWY2rwApnaNp9MrFk9kx7Pbx48If+0Jfr0aFYG701Mw05I6fg + LgYgmEoqITeiWyOuLn++6MN1mpAyPa21YDMAWcSCJ+/ENXjjUHeR1MyHgIzf/poveOtn/PKHPvJz + CSePoeaXSs55/PZxmn1T36EHqIa/1m9qIuKey3e6GOMwrHrm8q1w8tFJRqdg2d8BkJIBgGMY8GM/ + 9hNve9vveuc73zlrolnKlg4O57deenk+n5/Ze1Ydka6XPI3CvdxZqFa6X/UIX/2vWwPA3Zumcc8S + /cMvfyTNB/jQKFyQBUOP2UzNLaCRHHSY33jmU4MvgmkIAutj1CF1Ei9WfK8Dg2WIBm3dddAeLTxb + FMAtA6INAE8OiJ0jNe/CUxXJ5ghiNkSxZ6/d7P6/PLupnsxlFUodxxyArHY73ZEW2kdJUTWkbBLh + ueajGVb7g0HskjcOAKSUFotFyjml1Lbzk5OT+cHi13/919/97j/dts2yGwCUoIRVBJb7rhTdkrAg + Iu5WeqStyW5PfKY17jXj82Ebw2svVq0upIuQTs1MayIiIiIiepyaplG3pgnHt19ZLBbv/ZZv/rZv + +/Mxrm49UVVVzSmFGOEO5LuVt87dyz0rqjqfz8tV5pxzzWgMIZhZmebstU4iIiKip8IwDFjdoLO6 + wTfGWM6LVLVt23JChbNDihMREREREREREREREZ2XQxwC5Hrnh0MAAQwpAIs2IBnmixeuXX9hWGqD + 8dkbBRSufvbZBBOtD+fcZ43EAFNAHeoI7jPzK0P/N770HcgZA2wARACoaI/Eu+iJiIiIiIiIiIiI + iIiIiIiIiIiIiIiIiIiI6GnTNM1yuVyND5zz0dHRtWtXv/pP/NfvetdXiiBbLmPWmVmMcU+G3K7x + gTHmCZVB8ETk6OjoUS0cERERXRo1iZDumwQMnb/1t33Bq+fPLcK1BgeqEYCJu2aXZGIAxFVd1x/v + p0+SazARlxLpaOIultVNLcYIk5jahV+d5yv/3uf+nhbzKC3DH/djfPXj5aNhGBaLRYwRwLlzXrRu + 3t/6re/75V/+Zbh0XXd4ePjCCy9cv35tDDJ+alaxWPkjrnWIFZNVK7mbu2dPR+l40CTuES4CFVGF + SIDB3cVDo/O2OWxkJoB4Flj5rPs95/s8bKu16wrAJbkkdVNf/RNca075E7Ad6LgA7tmRG9GDZhG1 + 9Sxl2UwAIDiktIBY0sHFxFU8lHdsHGBHaoK7q5SRc+TSD4bTtrHrTkpKQkpJJCyX3fve998dHZ30 + /So6QVWBEmaf94z/U2IUylWmkmn91EYq8ByCiIiIiIiILqV+WGYbVDXGOAzD4eHhO9/5zuef/4Gr + h4tZq2Ym4oAFBcQ0hDMf3riStPXSUtM0GC9lllxGd69XE8r75XInERER0VOoaZq2bVV1GAZ3n81m + 5a7fcr/vMAwppXKjcNu25fZfIiIiIiIiIiIiIiKie5OBjFCefMint3ccIFrXWQautLgSvvxn/9eT + xWHvyAITuJi4RbfGrD6EA0BLTvb9Pn5kaibZxOEiBnGYYBAEt+t3buPoDlKvEZBwdLQMkBl4VwkR + ERERERERERERERERERERERERERERERERPXVCCDnnEgU0n8/n8/krr7zStu173vONX/zFXxSCiLrA + 2hjKgHVnPnx2mOCt4wObWc657/sahHZ4eHjuODQiIiIiOh/X6I0k/fy3vG3eHIhriXt0z4D56tH+ + 6QeYh/jA6dZWNTNVTSmZeUT76W/49E+5+XrNTU11pa3WYq1FZNeU9DCU9nd3VT05OSlRsveh64av + /uqvfvnll+fzedd18/n89tEd2x5kbHuybJ9ULgIY4GZ2cnJSvymXIOOy1btDHAo5mC/atg1n03xE + hHvHBaDiqq6lwy9J8KtO7OzGbgIDch4cqxSAcuXkkVf4kTK4BA0hiIi7X7ly5Xu+53t+7uf+r75P + ZdHPbMO7t+eDgwMATdOUHsndc85t2z7s+l9MPIcgIiIiIiKiS6lpmtlsdnJyknOez+fL5TLG+I53 + vONHfuRHbt68GYLk7E0T+r6/v/LLtfiSY51zVtUSoV1+Lyl5jaoK4NatW+UvRERERE+VcqNwzrn8 + 7tJ1HQARadu2/L5VbwLOOa/9MElERERERERERERERHQ+ClevD4o5vDwF4WibGQAE4DBC7BOG1LZJ + 4QITh6RgFtzK4zguq8dyFFCYuAMOwfrDOncl2cUMIUvIWh6ylUXyz9KAWy9ChtT1AA4Pr8IlAHxO + i4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIieNimlpmnm83nOeRiGMnJvSmmxWHzP93zP537uZ5uh + beOQBsD2hJntGh9YVUMIbduWEfCIiIiI6GFQ15ibV1957Ruee5Ml8cHNYGZQccFGXiyfrX+ISny4 + ibuYi/epa9rW3T15QOO9/s7f9tYwqDLWeq+SM7L25hMf/npxlC+GXde5e02cvY/4WBF8+MP/7pu/ + +ZuHIefsi/lhjM1Ttx737uzu7mIZ+Wh5BFhJtHaHZxcRmGsZgEXj4eFhSQUue4eIBFHGWl8EZlZW + hLhKlnk7i6KWUQbd0Y3tPec83bO2KZHmun/juSzKdSd3B/Tg4MoHPvCBv/7Xn49x9a+lHWqm+548 + qePjYxHJOZeP7LlC9TS4iFvGdJt+6jp6IiIiIiIiOp9ywXG+aGfzpu+XIh6inCyP3va2z/97f++n + Pu+tv1MDhpRj1KBiKQPjJRLX1RjFG3wyVnG5cObu5fqLmYUQRERVS1R2HK9JXLt27ZEsMREREdHF + IiLz+Rzj7y6qOpvNcs5933ddV34PHoYhhMBMayIiIiIiIiIiIiIiuk8CBPRAKn+vjxo47PhEAzLg + scHVa/3VG7cQBkVWuGbAFabu4nCBASYQX/1R2H08QaXuCgg8iyYNSWECdX2ms2c/8hv/5Eu/BMOd + uFBkWAfJgMuT8TAPEREREREREREREREREREREREREREREREREdE9yTmb2Ww2c/e+7+fzedu2wzAs + FvPnn/+BN77xU/o+iWA2m42BiDUTUYHVGMK7xgcuQTYppTL8narW8GwiIiIielDENabZZ7/xc+Z6 + KEkUUVUdkCguZrLKWi7nb+IqfL7+wdNJvgYAmMAABBURlRBlhgFYhs9842dHLITtv1eJOGVe7+Ni + ZsMwzGaz8kWvDGBekl+2m4bHTL4zllzTn/nZf/JDf+NvAOi6LmjjjtWgJFtSe6ffN58sW5dUDGKq + UMXJ8si1RFyfISIlF3mxOISJZxcTcQ0IgLiJsjN53MREXYNEAO4eQnRf77smB+JVrDXkfFv75V+/ + MbTLk75t5znnX/m1X/szf/bPxqgpQUUFq6T21aQO2M405JKNXcUYQwhPbXry5dgsntrVQ0RERERE + RLvMZrNhGI6OjlJK7h5GL7zwwnPPPffjP/5jX/Zl7wSQsuVyjeDev1k2TYMxvjrGWK47NE1T4htF + pOu6rusA5Jz3lkRERET0BMo5L5fLcsoEwMy6rosxljt6+743s6ZpUkrg+RIREREREREREREREd0f + gcv4rJnWWGsDoItF35kqEhSQd/yj/+3lxeGgQcotImKQLPWxNIGPH9YH8HjC6jE2BwBpsr0qp1ef + HGE4wnCM5BqBECwr+DwjERERERERERERERERERERERERERERERERET1lykC+y+USQAmiBnDr1ksh + Skrpda973Y/8yA9fv35FBF3X7Y+j3jo+cJ1LKR9jENHDXCYiIiKip4666BA/8w2fjS4Ei0GiajRP + Bje5j+QHujdrYxXUBnexEONJ34mENjR5iYPmyjPN9Svhim6EntLU9NsEPXqqWoJjAZRBywEcHBzc + R1FNE0Tw/vd/1wc/+KGmmfV9UolPQFLvfTOBnd37VVUUy+WxKEQcQPnmHRDdBIC7lAHkZWK1gsbV + RBdBgMK90QBzceiONZNzNrNy+WX36nty9hF3b9u2hFW9973v/fjHX+p7A2BuPh4wVbVccdrT+Q/D + cLrlAwByzqUZn0IXbvvYXBPsm4iIiIiIiGjTcrm8cuXK4eGhqjrykLru+CjAb7zq2tHxbYH9D9/+ + 7e/+uj8pjnm7a4xg3fq9uAyF3DTNMAwxxnJNM8Y4vcRZ/nc2m81msxKq/TCXlYiIiOgiCiGo6jAM + bdtOf3ExsxBCCKG8KSLDMPB8iYiIiIiIiIiIiIiI7pnAFXn1V7icPnmZ8oCAMNN+aY0F6CEOFh+7 + dqXXEFa/Wvj4p/xXTDB5BvM+H1Ioz6kEs2gWHOIwaFaEaNcx/J0v+n34+Mfg2R2DI0fhsxBERERE + RERERERERERERERERERERERERERE9LTJOTdNU0b37fseQIzxmWeeUUU/LB352dfc/KEf+sHFYiaA + n8YL2fgI18qu8YFVteu6MqOcyyNoW8JuiIiI6CnEU4IHSDyGPHvu+ht0CA0ad3F3A8wyYBBDeW6/ + DATg23Mf6AEqAycAJXPWc86WvEEbczOz2asOb8pTHOt7Hoy1frxKDK2IlAHMX3755RDC8fHxPZQA + OCCQYcjuSAlf9+53f/g3Pjo7WCQ3AE9xsvXq27TJ+HcxAMvl0t0Bq6O11JHkRUTMmxDVMY6NIhh3 + Ex5MH7sgChMZ48rLCP971ouZAQ7Y6WA7ZzwZu4bWPxKb467vhvy93/f9P//zH2oaARCjThfezxHQ + LiKq6u4lIbv0TuUa1FPoydhKiIiIiIiI6KnTtu3x8fHR0ZGqNk3Ttm3TNAD6vo8xqqoGfP3Xf/37 + 3/8XY7yfQYKHYWiaplwvmM1my+USQJlFCCHnrKoYU66JiIiInk4555TS8fFx+bmlvh4fH6eUyg9d + IYR6WkVERERERERERERERHSvBIhAKMORSHlUSkMTE7IrZjPFicEEVw9/vY3L0Jw+MbX+ME55esLL + w1f3wURKMLYij3HbcIELcva2W37a7dsYEoalOVw3xlAhIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + Ino6lECgGOPBwYGI3Lp1q7wzn7dl1N/P+7zP+47v+MshYE9a1q7xgUVkPp8DEJEQAtPpiIiIiB4G + cZ3p4tr8eoM2ygwZ7h5CcF09d++MPX0kNps52dA0jbunZAezg+52fxiv3rjyKsZa3xMG9z5ipcHd + XVXNbLFYpJRijPdajsMBiCAE3Lp1/Kf+5NfeuX0cY8tI1tonr7pozykNdeATd5Ru3N0VoayOMob8 + mQ+PX7QfXb1pGxEpA/6Lr9aIiNRey9Z6L1lFA0ze2pNs/STsKWYWQ/uhD33o+eefBzAMHqOmZCWm + uvY2pU329PbunnMGEGMsvdP99UtPhgu3ZUw3+s3/JSIiIiIiIircXUTats05u3tKqVxYEcuNyjB0 + IYh5+rL/9J0/9D/9j88+ex1A/fLfNEEVgIWw7yvnMAzlL13XTd8xs/JdtVyPmH5vrXmNKSXe0UL0 + sJW9rF4kZWAqEdFjEUIoPzFOT4ratl2b7Kn9GeaxKMfEemQsZ7Plf3PO9TSVh04iIiIiIiIiIiIi + uugckhEM0S2u3gAcgpIYPcZTt4rZHFdn/+U//sCymVmW0EQRZEdePTkl4qqbT9zcT5WCQyAZmgG4 + QNwAy4KrwBs+8co/ffs70B8FGYAx+JqIiB6C6e/Up49fmmH8JVREeAsfERERERERERERERHRJ6MM + 1wig7/vyl7MDX55Rn/vG2VEXHm4ViYgenNKD7enoiIiIiIiIiIjonsjI3ctwviWFWh1RtO+XOQ+z + efP7vuj3vu993+oOFQQFgNlsVgtRVewYH7jmEpWr2SUUrX6wfMRHj2KBiYiI6MKoR3/V0wjVx1qj + y+2wvdKgVQueoBIAZLf6XKf4aeKyy5YITfqkrYZVkPFPISLZU9M0CrFkjbTe+ywsHmNFL5SSYzLt + AcrfU0rlW0ZRvkQwIvORWeuN6xja03xlVQ0hTFfTlnIAiJTCRPAv/sW/+q7v+u6c3MxibM2Qs89m + i64b1tY4ALie/nkCOeAuUFV3d0F2M+SSVSuySkeuO4i7b44kX96vd47R42JmIm6eAIQQlstlWXF1 + gro7ucAF7l5SAHLOZT+6ZOc/Yqd/JsoFn7Jr16ConDNcf+u3PvEN3/CNw4DSKkMyDcFdzNZ7m/K/ + 9YpT0zTTxIQSd2VmZccp+dYPeWkvqCeyWyQiIiIiIqKnV7mhRERijDnnvu+/8Au/8G/9rb/1Oz73 + s1Pq27ZVxTAMZta27f1dDqhXE1R1Pp/fuXOnvH/79u0Y4zAMOefyrw90yYhonap2XVd2yb7vY4wp + pRCC7PC460tERPQolHNRAOXUdBiG2WyWUipvll/ly49kzBonIiIiIiIiIiIiosvBAFs9T7N64BIK + 4MxTYgFoGsxmt7WR+ZWjZRoSDAgHUaIO5uoQFwAuY1m+eljtHmriKi7iCoEJbPyoOAaDd/lTI66/ + 9AksTzD0IefwIJaeiIjWnD5qCLh70zTuHkIozypj8vQ4b+EjIiIiIiIiIiIiIiK6b33fl99fhmFo + 27YMI7vnee3y00yZrA7syEfYiOgSUdW+78vozGa2XC4x/jZNREREREREREQPkJl1XXft2rXZbPbx + j3/8xo0bf+SP/JE/8Sfe5Q4ziKDrOhEvCUPTpOpN5VGCq1ev1vAhACml8qwBABEp13zK5WsiIiIi + ulfqEhCiNIoGru7u7oC7ZzDD+uFznI5pUElp+DOpnwpoQBMQ9clM6n2Qxs2YHoN661H5BlfuLCpr + pGma8gO9meWc938ZLMkUBwfznKEqAH7wB//mT//0/940s+VyWQJ9b9++ffPmzfl83nXdw1+yiyhv + bOruMFmlvBty+dfT+yKE+8VFpKpQZLPklt1cthwXAMBlGtFSV/7Z+/1s4y+XQNd1h4eHJycnKaXF + YpFzdvcY274f3vOe97z88h0AISjGpKpd5cxms67rRKRpmmEYUkoiMpvN6o2OJT+7TPzU5trwHIKI + iIiIiIguPz8zUrGIqMOGtGibWQxR8Jmf9Rk/+qM//Pbf//tS6su1gBi17/vtVyRl35DF5WJESc8t + VyWuX///2bv3YMn2qz7s37V+v71393nN3Ln36okeoIfDy8RGdgXbCJQiJI6L8CgZXK44iUPFIAgC + JCFHMbaiglQs8zCEwgIUZEFAUkxRTkHKGAJlgUBSRTjGQliRhBAYPe97Zs453Xvv32+t/LG69/S9 + d+Zczb0z5zHn+6muc3vO6bPv7tPdu3f/fr+1vhdjuHN3d7fv+6Zpoj5zGIZbfT+J6LG6rhuGQVWj + HDqlFIOJ13XSO0tERHQcUkpxIhp9Q5qmiUzrYRimSbVpoTbL6YmIiIiIiIiIiIjoDBBADWJR2xpf + EyQDOUplEpBQkKDt5a67z3y+tde2LRL6vrhcp55mXU51c2Uk6lBXXU8+RwlPclegaZrUaBmx5+Mv + f8V/jIevJrPiZ6mSh4joTJiWBonIVKu8+X0AsX6Pk6FERERERERERERERERPRdu2Eew6VagBkCO5 + e0oppmwiKeSk7wQR0XXcqB9FrTXaVkRr2tlstlwuYwKaiIiIiIiIiIhuIVWdz+cHV67aWJ5+z92L + /attl7/7Vd/51//61wFQgSCytmopJZKqbyRKCaIV8BQ+lHOOEZ79/X1377puHMcY5SYiIiKiJ6HR + JsnqbMrkWpa1eFxUXNdF+/borGW6JWwVY+1QF3WJ0El3dzcT86llgoveZP+Ec2Ij5PX6OQXMLzhO + sR5JVaMSfBiGUoq7R3hZfwAAIABJREFUj+OoqqoqIvFJcDab3Wgj8aHv4HAJkVJdFE3b/r2/9/c/ + 9KEPpdS4S9N07r6/v9/3fdu2x3bvToFV0M6jn/C6/ua128UNTFCsmmB9JOFr4RRxMRdzdcCqjaWM + ZsVRAZsO9o7psUNKSUTj4XaHmcXRb729M/UGLdfOKFJKi8Wibdv5fB651ABE5Md+7Mfe897fdQCC + sZioquaNXGZ9TEZz/G4cbSLcWkQefvjhUkosdKy1xuDSeX5TYKw1ERERERER3VHMLKUUSbd935vZ + YrEo/TCbzd785p/8xm98uSpUUYrlrDnf9OfiGEQopXRdF1cAxChnrFZx9/jmORujJDoBtVYzi9fa + VA6dUtIbOOn9JSIiOibxnti2raoeHBzETFvbtlPxfK0158xyeiIiIiIiIiIiIiI6A2RVIRXZpPaY + KqjIjRa4QJAhzVf92q9evrD70FAWw5BTGgpKsdnWDBB1NzETj/ocF/Gbr8qUdVK1y6rUVh3ibsDh + 0kyxa3je1QPsLzEsOql6tgp7iIhOvZjizDnnnKNBVSmlbduccywdjJtFZEJ0pCIiIiIiIiIiIiIi + IqInx92jk2MUrC2XyyPqtUUk5xzzNdF/lv0WiOh0khuIvjFxxIs2tbPZ7Dx3qiUiIiIiIiIiuk1E + JIKCAETrYAA7Ozuve93rvuzLXuKOGIoutUSlwI22E5UFcYOu62KEJ8KHFouFu+/s7ERMGgd5iIiI + iJ6KKRTT1AAX9RtkV8f3b/RTegrEIo70Wn+D9U/cHTDARPzR8aV0HTcOtqZjEmmysb4opTSOYxSJ + A1gul/H5LtYdxXdutJ3pQ6W7N01jBne/cvXgv//27xiGQUSGYdja2orlTOf2UXd3+CrQGpF/rABg + UiER3Gsppfjg/NjfPc4dpRsQEUd1MROr8H4cXGHuLjC5zmOUUopn+5GZLGfvPdrMmqaJI0OtNaVU + Svm/f+M33vSmN+/sbGGd1x7DTUdvKvKk4so4ju4+n89zzm3bjuM4HZpEZBzH237HTiXG+RARERER + EdHZ5HrtsiHnPAzDZofKpml2d3dnsy7n9P3f94a/+9rXaELKqGalPnrcRADB47f5GCmlnHMsfAEQ + Y/SbNZY3GoAjoltretFNxYF938eo4nWd9P4SEREdh2jdHlcAbG9vR7v2mHWLK/EeynJ6IiIiIiIi + IiIiIjrlXFAVRazAYx3Go0umDO5AgUAcyQFJ2Jl9rJNhPtuaX6hD3d1KtaIslwBM4AIXGOKr2s3W + U4hBHGJVAEdyaaoKDGKKqhlokEc8/6D85pf9FRxczV7kDJb0EBGdZu5ea10sFoeHh1O54NWrV5fL + ZfwTQK1VRMzsyJJLIiIiIiIiIiIiIiIiOko0TIiWx1GqNoWCXNfUUnYKwwYw9WQgIjr9YqI5co+w + bvLLOlwiIiIiIiIiolvOzIZhaJom5zyO42w267rmypVHLt198U0/8eMveMHzItm6aVItwxHFWaWU + GL5OKfV9r6oxiC0i8/k8fhR9SiOd6NjuIBEREdEdxsQM1SMDVczFYi2BuqhrXDZufIJ7eicSc/FH + J8yqPCpHww3ukXct7vz7r0V6yOaEr69DrTkLfIKaphmGAeu+2TnnxWIRi44ia9bM4nNc/PMIZtZ1 + c0BFEqDjWLe3tz/6R3/ymld/TyllNpuVUpbLZdu2QLQKWV/OB3cH1FVEFZKqCwARiMDM4gViAlUd + x97FXNzEIkEZcKyu0Ely9QqriHde39+/cnTzhFUX+gqRNH3zhvksZ+fxnc1my+VyHMcIqj84OLhy + Zf97v/d7AezvH65vs1WrYx1ec4QYIIrs6mjX7+6LxSKOPHFoimGr23qnTi225yAiIiIiIqI7SpQJ + 1VojfDpGT65ceWSxPOj7Puf0zd/8377pTW/KOaki5yez/VprDCg0TZNSiuullCiwjCUsKSV3jx8R + 0e0TVc1TOfRsNmN8NRERnXN5fY47XYk3xzhHjRYh0VJkGIaYQiYiIiIiIiIiIiIiOrUqVq1HEjwD + 2ZEc4oAAAqhB3AEI4EBSNP6p7a7Oth5eXG7adjiss63ZdbfsAhe56WRrVBM3wAA1XY+zO6pttfnw + ANt53h5efl4WDBVXDlBZ00hEdCvFCr2cc865lBKzn23bxkLBzfrAyE44wV0lIiIiIiIiIiIiIiI6 + 06IYLTrJxtQMgOjkeF15o3dD1K/VWp+wwywR0fGTG+i6LuccLYCn49jRHYGJiIiIiIiIiOhJEJG2 + bfu+H4bhwoULZvbII4/s7e3VWre2tt761rdeurRnhnGsAHJ6gsbB0SUY69Q6MyulRP7QNMjj7uc2 + joiIiIjolljnAXtkWm/+KPKUldWct1H0NrD4I6tDHRonwCouAAzrMFoRPhJHWQdb00mKY0hKaX9/ + X0Tm8/lmuGwUjAPo+/6IjcQ6pb7vRWQYhq7r5vP5wcEBFL/+67/+f7zjn12+fFlVt7a2Dg8Pj+d+ + nSoiq8hi1aSaY1EEABG4wN1dYKtjuT3+T+1sWH4KmBgULuZeXSAJ9z90v2axGx/nm6bDKtEc60dc + Hn3UcwBnLt89jg/b29ulFDO7cOHCK17xivvue7hprw0ZTascYyzouqb1jW3bxjYBRLZUHIj29/cj + Ffs8N+3nMikiIiIiIiI6o/RxFwAYhmE+n8fykVJKdK7suq5t83yrO1zspyxf+dKX/vNf/MW77750 + LXU6mh1/FiJAd7pea22aZkqzBhAlSX3fP6b2kohuuQjjVNVxHKdyaL+xk95fIiKiYxLviaWUmEVT + 1eghEiu5RSTnvLW1FTNnRERERERERERERESnmcIUlmHJgQIUoAIOBypQYBVYJV8L0Cju2vnb7/zV + z+wfdOgg2YDa9xvtZVcTxyaoIvWmC0ncV1sSRzYRhwBQoBPUg7LXtBi1URkuP/DzL/sqjILK0Xgi + olsplgXGQqBYnhfznlGrHLeJckEuFiIiIiIiIiIiIiIiInqK+r5v29bMhmGIno8ppRvFwZZSorFs + lLOJSEop+kUSEZ0JcayLLhZxBIvZZyIiIiIiIiIiurWieW+MP+8fXNGEedsNi2Upg4jcfffd73jH + O1JC08hs1pZajthU13URe4Z1N+Ccc865bVsA06j1eY4jIiIiIrolTMzE1pnWDph7BSD+qPhDE18V + /tPtsRkfvo4sdROvqIC5WIXZmQorPR6bwSInuydUSmmaJuKod3Z2ALh7fDPCJiLhJT7lHTFlPyXX + xifBUutisRARM5SK/+kN3//Rj37U3c2saZpz87hfy+uBK6AiaTNDZ1PkXLugWD1YnMfk7zPBvEAd + 6vHOe//999/wpq4AZrNZ0mb1jY1w6zPlOq9WM4uO+qUUd3nDG77v93//AwCGoeS2SbnV1Kw67bse + kcscwVVmVmtdLBaIAHizqXnFzs6OiPR93zRNKUeNR93BGGtNREREREREd5QYPTw8PDSzra2tKIAU + ES91GJbztqm1asKLX/zif/6Lv/jn/twXr9KsP+vhRBGJNSuqGqMJtdYYl3T3tm3dPYK0GRNIdLu1 + bRszB03TRIkgOC1ERES07t4+tf+YABjHEYCILBaLvb29MzizSERERERERERERETniwAJABQuj17d + oQ61zdJLAZKiaZDS4b2XlrsX95eHs04AdzcA6iIuslGJ9RT2S8WvbUQcpaDt1EezcQT83i6/4HCB + KwfoDQ7AfHVZ89X/39dR3QBYpktE9IRSSptrhKZy4pgexUYdsrtzPpSIiIiIiIiIiIiIiOhJM7Po + mSAibduWUiLZ2m8gOi1gPV+jqrXWCA4hIjorzGzqYtG2bdTkEhERERERERHRrRWJQVj3SYsGv/Ej + VXSz5jnPec6b3/yTpfhyOeiN43QiagjrcekYzJnyukopkWkdN2afUiIiIqKgDnEVV9uov3QxAMk0 + l27W724tLmwtt7aW2/N+uxvm3TjPZSbmgImbONxFTAC4aJTHr7bmAmiEa8Y3Ny4WXyEG2fzJ9Xdz + 4yumrT1um4+9bPz65uWOZOJQXOud4C6pNu04m/c7W/32vN+Z9zvbi735cq8t81QbAJBrodfigF97 + sM4Dfig4DXLOm6uMxnGMtFoA7t40TQTBxKe8qWb88aK0PG6Tcq6lpJzdXUUN6NrmW/7Ot3760/fl + nFdxMXfqU90Vq2O4rdqVuDo0OZIDQEISEb12MBQA7lCFJ5iUYsNyuVhtTIqJASqeTBziELZAOUlu + AleFmNqQxwcX9wOWfBWvtH7jU3GFmDjmaatBBuBiLnBJG80WTOACnPrH9DrnBbF8cX9/v21n7373 + u9/6T//3iJzuuqaMIwCrVVVVtdSjxpEi0zqWNc5ms/imu+ecRSSGlaYDVByXzqFTd6xMKcUa1vhn + RJHrEY8zERERERERnVP2uAsARI5127YppWEYsB4gEHWFCSzB1U28Pu2eS2//+Z//mr/2n8XoRFKB + Q2W9liWn3DYQQAVAznmzG2atdUqtjiuPiQlsmmazxnJaNAMOWxPdUtGOFsD0imM7WiKiYxMdKLA+ + BQpmNs34mhnPfE6KmcX0ioioanQGmX66+cDFuWt8M85spxPd6XopZWoKf7z3g4iIiIiIiIiIiIjO + NQEUKlAgQRUZSEACFAJNSA2ahCTXgqoFtcHswkv/1a99aDstZo27K+AV4gA0mSYDYovrQqyb2yOH + OpJbcld3RDEPkBQ+moqrVIXNF4sXPvzQb730r6AfUQywgjLCehvMr2VaAxiBHhgBwODXFsDQnWqa + YoupmROfTdvcjanCk+j0E5FYIxQVZ5vrhabVRCxGIyIiIiIiIiIiIiIieipitmXK/3jCRo3DMERF + G+driOjsiira6Th2bnvUnmmxDGZzIcGJr88hIiIiIiIioseYGqOJiJuU0eJ6m7KXWvrlvGv+0n/0 + F3/gjd/XJJghPuhvRF9rXL/up/4ojUkp5Zw3b8Y+pUREROfK9Nafs9RaZe1k9+rUiIp7RZS1u0K8 + ptGkyiBPb59999VnPX/4wueNn3/vwXOfNT7v2fb8p9vz8tVZI515SSJ1rG2auauJGuAS8ZnuYuqq + liJMOvIzTc3UilpJbmoesdhwhSf35K5uAEz0URdsfF1fHNe+FtWiKLoK9fT1xQTxi/AET2KNeDqF + EY03wTU6LKgrVn8oWETYmsBg5pKSuW91O36leZo/5/nyoosPP/O5/oJnLD/3BfWLn7X43Ofk5+dl + C6DqCHF1iENd1ZO6yp0a9wsAiCjMaMucUpqyQugEbR6Toyf29H0AUxvtSJ3YTDJ9zBWzVUJNLcP6 + K6qbA4th/NR9D77mta+9enjgKkgKwE1Uskr2CnFVpDvgyS+AALZKrDcAVRRQ1NK4Z082+rzbKsUw + epaMksRyKyi1VEVJQFP3l4+MffHq0nmVoRZRaVNKZsNJ379zTkVUkZIIdHzA7//M8OllWTSC5FCH + KYpmeIYrYMn9gmzroFAfpRS4aB56S6kBTFGSIVn03oFYFjt1S2LiRToOtW1mkSgVvVCKo0Kg+dP3 + 3fft3/HKdUA3+n6EI44AZsWsxBXARLxt89TAJ4Krpwb704BS3/fTGqE4HPGk8dQ9LYiIiIiIiIhu + B3EIHCICMxfARSTBf+iHfvC5z33uT/7U/1aKYbXcxHPOpRZbL0ZxrEKpc843GnGeRh8iRXvKun7k + kUcuXLiwueqllJJSYhEmERERnXXTjEvM9YrIOI5N00QEcillc2I4fnRCe3q+xGOhqru7u3GO+oRn + nmaWUhrHUVX7vo8y+3Ec46x1GIaYyO/7vuu6Y7gLREREREREREREREST6Dpy7R+y+aPHDYCLQltI + xfb8gYs7z++vlofHpkVO8NFNbL0Jh0AMANQftc3Pco/UAdjGL66vyaqmpavW1cUzDg9xuMC8QaM9 + akbOmsVRi6WsAOBIgpFZ1ufGNGVWa00piUhM05xUmHRKyd1jLil2KeaMOK9HRERERERERERERERE + REREREREREREREREx8ndx3Hc29s7ODjouuZrvuav/dEf/eE/+cmfSSqlrlr+dl3X9z2ApmnGcbzu + dqIJm4jUWiMR7fjuAxEREZ0O1z0BcL/pqvI7lF035lldttvd/+Jl3/C17TdoY4tymFuVxvvL5cJw + 76Wtp1++fDXnrKpWiqe2yV2tvvEXjXJ5BVaRmQoYIK4uSLBVBGVU+setZXWb6dfVsd43W90qAihl + 9ZvxH/FrbXZl49fF1/9bWW3HsQ7wPNM2kndXd0YMQIKYiYgmzf3ysJRyceuuv/xnv/LLvuIv1GbZ + NbPF4dDpTJEXV8eLs3vHw+IybeKc4qeD02y5XEb0bPQ8H4YhPgCKSM45up1PaS9HbGdnZ2d/f79t + 83ve87tv/qmffsW3fYuZdTnlnA8ODmazGYCcc6211qr5bL8vqKsBEHOx5HFEVQAJIrY6hrbtLIka + VLzAE8RkfaB2gSlcbP/wysX8tFIsaSOiqG5q5znZ95RQpFrGlLSIffLyn/ZpkbKPFUlQZf0G6iqA + CxSYN9tN7UTd3FCTigImIi62fi8xQ1pte+UUdbsRSe6SUlOrxxEggp9Ek4gMY/nWb31F349mEIgA + foO3tBgyGoYhernMZrPlcgkgDibjOJZSotlL13XRzP947+ipxgwtIiIiIiIiOj8kMhcBiEgsNOm6 + 7lWv+u5/8PrvbVpp2xw/LaXMupmKwlHHYmZTS9mjti4SQxsx3Nm2bc75Gc94RvzU3c1MRJqmYaY1 + ERER3QHMbBgGM2vbVkT6vm+axsxiojdOn2LCZhgG9r4/TvFnPzw8BJBzNnuC2UEzc/ecc86567qU + UqSSx4xa27ZmFtNsx7DzRERERERERERERERPiQikomseaNLDh0OTEqqMI8ZUx+SjapEU9TnqUL+9 + 6ze2yvALL3spFocwU7QJjVQXQBsMgkEAIDk6WIIVaJXsLPG4o01TZimlg4ODvu9V9aQyrQFEd56+ + 7w8ODmK90+ZOEhERERERERERERERERERERERERERERERER2PYRguXrx4+fLlaOfbtu2rX/3qb/qm + r6s12gh706TItG7b9kaZ1gDcPUpmZrNZ3/fRVO0E63eIiIjoBEUSwUnvxanjUtaXCJMWsSTWLi9b + tu3WLmi/0/QXdLGXF5e25Wlt2rpy5Ur0rXX3qQvxo7dqcRGYwpJbNm2rtlW7ol3JXcltzblmtWRI + RWVM0icpCtOqqIoqqILyuMvqp3HJZtmtq4hLNstm2TybK3x9s9FkMBlMR6CcqszOWyie2xGrkXOO + b9514Z7O7mrHu8uVeTfe5YfzNOzdvf3Mw0eKWifWSOSLi5mYSTWxeBrcqTaPAFNGCZ1C7j6bzXLO + 0fSg73t3j+OMu4/jGO2140B0xHZEZH9/PyUppbjgH//oj7/zne+MUFsRiQjblMW8jKXvZndSXwWF + 65RIKyIuqKgAtmc7qllEipmtX+/qEF+FW5v4Zx75VG3MxpIlq2pxE3PVo/7UdLupIyGhwkQt+cc+ + /uGKQ80wW7+rCYAiMI3HEbK7tQMINhrpiEgc96Y36eACP43nR1brmJK410i4N0FxK6W4+xvf+MY/ + +IMPxt1x+I0yrQGM4xiZUHHcWC6XKSVVjYPJOI4ppZRS0zSRb3189+8sYM8jIiIiIiIiOhem4fVp + yDjmk5bLpZn9jb/xTT/7sz+7s7sFQdetIhinFGoA4zjGfMmNtt80TaxcAVBrbZpmGIZSSgxT1loj + 9DpuUEo5hrtMREREdFupatu2qnpwcAAgMo/dPc6CAAzDMJvNALRty+W8x0ZEZrNZnP1GprW7TzkE + jxeT8XGiG2etXdfFqW9sxMxUdboNEREREREREREREdGpJor5Fmazv/0v/6VdvOtAcvWUUtRNmIlW + 0ShpBVZFVrfPVqkvWBzivvuwWLZesiNpqkPkWUcth8FLRm1hwI2LZuhO4e7TqqHt7e2YX4vZnBMR + VVhd121vb8deRUHXSf19iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6Hza2dm577777rrrruVyeXBw + EE2DX/e6173sZV+ektTq41ibJgE4ItMaQCQVmdk4jnt7e6WUKRqNiIiIiABAbHUJrupZvNmeX+h8 + R5a5Kbs7+d523MXhvKu7Y2+AzufzUspisdC16247qpRdAFhkpiZbXdSQHMlVXcWzWIZnQNVlSlcN + kdCp6++IX7uorzalpmpIpskQUa6P2sjqH9cyXO88tdaUkojEGW/XdcMw7F8+1GGuh1u5397J9878 + oh2IDN0sb4snWafeOuBiuKMzraeS+bgy9Vg+yX2iG4swFzOL3gtd18UVEck5R4fttm3dfRiGtm1v + tB1fddh2M6ggZ3zXd77qIx/5yO7uhcPDQwCqOr1q7qS+CpuHUBMzWQUYu/vOfFuqusDFXa8d/JND + HepwsU88/HGZm0hKltydvU9OAwHSKnfcrLGPfeIjyL1ZUYUjG6Aeb3/TYU23t3dRARM1VRFxJMj6 + eR5PiNVbwOkUB+qpr36tNRLuReRdv/U7P/dzPx+HcBEkfYJBHncfx9Hdp7760/E/wqeis0ocW27n + fTp7GGtNRERERERE58569MREvJs1EGub9Be+9Evf/va3/Zk/83n9MEIQEyLRwjWi/o4eUxjHMQYx + 48allPl8HqMes9ksvjmOYyxkiX8SERERnWm11uVyCWBraysmZvq+Tym1bdu27TTFG2t/uZz32ESC + eJyIAohJ9yNixWutMaEe/4xlKCKSUorHLk6DVZXZ5ERERERERERERER06qkZIE0dBszmD9916YGm + s9m8FGsM2ZDc1FEE6yqs21tesj0Oz7v8yO/+1f8cV/ZzX8TcKlJqMUpbkd0gBnVUk+oZkFUlEN2x + omzS3WutMRET8zInuD/DMAAYx7HWGqukTnB/iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6HwahmFv + b+/q1asppZ2dnXEcSykXL1584xv/4Yte/AJNgKza2bn7DVIUAcDMNrv+Nk0zjiNjioiIiM4n1sxe + h5iJry9xjqSAquuwX1rMZtj2peIwdXV7XrZTaXe39nJuajVAunbuJsvlsmkawDYu08YxKoaEIWHM + paRS8ljTWNMIGQVF3HLVpqa2NN3Y5dKJZY/A63XKqsm1rxs/So5kEsHMj754gicgAWl13RM8+erG + dyYzU9UI/iylABCRebvd1Nncd+e+6wfa+nye98rSbYBYVtdVWLiYRab1nRtrjccdAaLG/6R2hp5Q + SklVdf1hb0oiL6WUUkTEzOKb0ajhBnTz82ApKKW86lWv+swD9+duNgyDqlYzc2+77uDw8Lbeo2Oj + 12KKV8dkg7k41N19e76D4gCQ4fHCdxVfNTuBo2r51OV/P+ZF1iSjipkqVBP4cjlZrmqaJA9a98er + n3nk457H0XpN2aAuCoEAya61qdnduuhVAQFUsIoDcPdVmrWsLgCAUzpKklKKY3U0w1fN8/n2/fc9 + +M3f/N+pas5aiqmkYp6b7kYbidb6cTzZTK83sxhr2jyexBsoTe7Y0yYiIiIiIiKix5O1+Ke7xwBi + 3/eO+uIXv/Ctb33LS17yJW0rZui6TkRiZAHrAfojNh6jErXWnZ0dd18sFvGdvu8jKbBpGgDRDZaI + iIjorEspzWazcRzjZElVu64rpcTK3ci0rrU2TcPzn2Pm7tOpac756L9/RJLHjF3Oue/7mHgD0HVd + bGGKMeDyCyIiIiIiIiIiIiI65UR1qDXt7UL8y3/5//r49vyKlbbJ4imZJjdBFU+G5AKPWqvbpq1+ + YbG49OAD/+wrXob9AxweqDocqAIHYI7qMCgAgbO641yIuRgAsY4o+AmZdiB2RkT6vj/+vwkRERER + ERERERERERERERERERERERERERGdc6WUtm2jJdo4jpE/tDw4vOeee97ylrc8/en3Alguh5yfoAar + bdtSSkpp6oDXdd3R/YSJiIjojhRBBJuRBDTxR/9THOJomyanJIBUQ6lqaEy0+sH+opQSDWmbpokw + yM065ccw0SpqAgN8/XXj/xxRyh7JyhCLaGrxOGFTYFV27+vUavFrF7i6wNRMzTQSOtWgBoUrfAq6 + zoCK5Ts4nzGlFI9LPMmjeLxpmgxJLo1J7QeU2ogmx87W1uYfwtZfT2es6e1zxPOWTpy7j+Po7lMu + zPR4RTOEUkr0xz76cTRD287iSk46jvjA73/kjf/wB1R1Z2dvGAZ3V9VhGDYbPpxRj3sJr17oqwBj + dQB784taW11nH6+CjV3VVQ0CuNRP7X/iank4peTVRcQFIuIO+B17CD39FBBDyjLq8Eef/shBebim + oRiQ1HT1Pi6AwNQRb3x7exfUVF3FRYB4Na1fLxF3DQAmMAHk1L0JRNS0u0fAQc55Nps99NBD3/Vd + 35WS9n0txQQSx4Ej4+1RazWzOIx0XRdXIi4q52xmbdu6+zAMEaNAE77miYiIiIiI6JxQQB36mCbA + XU42xqoU65eHz3j6vW9/2899w9d/bdvI0PfzWStAGccYZdmYfNLHXGLwIgYg9vf348Y555wz1pHY + MbJ/B4xREhEREWEdh9w0zdR8H0BKSVXNbDP/uG1bJlsfmzjtjCvuHrnUR9y+bdtYiRJf44Q2cqzb + ts05p5SmZVhcjEVEREREREREREREp5wZBMmqYb6Fi3ufunTxYR8BgSdxSV4SarKULJlYTfWJt/hU + iOWULsGe/9DDuPwIZISPqEAGFC4YgAEokmrKzjH4c8DMuq4bxzGlBGC5XEaNk5wQAG3bLpdLANHx + JyqyTvavREREREREREREREREREREREREREREREREROeNiIzjGD3TlstlKUVV5/P5MAzPfPrTf+on + fuLp996lglps1iW3SG+6jmh5Z2aRjQ0gEsuO9c4QERERnVqucJFVrmmIYEvzOiwOrpRhsTVLO1tN + kwp8CRvappn7OYvlAAAgAElEQVTPtpvc1eKLxcLMZrPZtQ1GNPUqoBoATLCOl85iWb0Ra8QSPDnE + 1auOY+775rBv98e8dB0Epquczetc9NoFEBvVhlRGtemyCnAVdVllRqiL+urrnRrRGG2EIwQ02kHX + Wod+4bX3uszJdraaJtnY79fxcOgPBEWuJZhuRmycF1NUKp1C4zhGhks8RsvlMhpiR+5s9GdIKTVN + U0o5uj+2mQ3DsL29DaAU67oE4Bd+4f98xzvecdgv67q1Q9/3jzqUnXHqMJkyrc1ldUROSHuzu1qZ + iWh1mEy3V3EVV7jWVO4//OT9B590FHGXLO7VKhTpZO4MrdXiUB/zlfd/9H0ljVUNimowMRMThzoA + iENM1eXC9l1JGvVGHIgga4XEe/5qk2Kn+7BvZiZAUhPVpr3/vgf/6Vt+5n3v+71hMAccgKbqKMWO + iHxy99lsFn31AfR9H0eS+NE4jnGciXjs47pnZ8apfn4QERERERER3Vqb44zuHiMIXddFaB+AWmvb + 5te//vWvec1r2jYvFn3cuG1z/PRGW45BzBj0BJBzdvdSSinF3SNTMG6Zc+awNREREd0BYtVCznlr + a2vqfR+ZyltbWzElk1IyswhLPun9PS9EpJQS11NKkVF9xO2HYai1TufJcXq8uZ1YMCQitdZYn01E + REREREREREREdDo5AEXOqikhK9r88l//db1wwcZaRasoBMmRDOKqq7obP3qbT3WXSpkNw+eO5V0v + /QpcvYJFj4RS4QqDJkAAgzrApSTnQczITLVPUeg4rSk6fvG/ns1mfd9PO3Z0GScRERERERERERER + ERERERERERERERERERHR7WBrW1tbTdPUWksZUpZa6xd+4Rf+8A//cNtGVU5NN07Xig547j4logGI + 1mpEREREBEBd42tcAVbR1Npo7rRt1XxcLPfHskQyTQ7YcrlcLpc555yzqqaUojb5upIhuamprkuo + XWCqpnBRw2a+JtZF9mZigLuYy+ZXA8weXfrsYlXdtJrGDWCr/Fa7VrIvFbDV1zu0jt/MVDVyPcdx + xKpU3ERNUy2+LHUxlmXu0t6F7VrHzT9FPDS6jkS9g02F83HlBOv66WhN00RqTHQ8mM1mkfAy/aiU + UmsdxzFiZW60HVUFVEQODhbxu31fAbSt/v3vfcP/98EPN00jkiJC+4jj2NkiAKBwhSvieKgCMYgn + 1Z1mt8VMXB8Tj6MOdXVBFb88PvRQf//gvUlNWcyLbbQrpxNhQIVXHft89cOfeL+lsbpJllrjDQ7A + tX456iqet7vdJFmh7iLm61fKdd4H/Xa32nlSphQnVa21DsPwwQ9+8Ed/9J8AiCdjNNsHIJB447uR + vu/joBHt9IdhaNvW3eO1H8eZSFK4/XfrjDm98QN8CyciIiIiIqJbbvPDZiQvpiylDgqrY99mVdg4 + 9k2TvuVb/s4b3/i/7O7OAeSMYShJoYJ1kp+lJLFUBRuD0QHAFCUYzGz6X5vZNOSBdVT2E8YNEtF1 + bb7ujh5AJCKi2ySW/z7mm8MwTNdFrp010fGYVlR/9meYmzeOOfXN78SbbM55mmnbPK+O63HjeDLE + 2S/Pb4mIiIiIiIiIiIjoRAxDgQNImGfMmkPPnro+qW/l4igVuWmlFinIt7vAxFVS9rFsH+y/cLn8 + 1Zf8FTx0Bf2QW1SHQrOntkoLJCA5nCPrd7qYaonVR1M3nBOsdJr+17EzsWOsvKLTLyYop6/TvOS0 + iKjWGuv3Hj+XTURERERERERERERERJ+lzUoxPK5/wuO1bauqZjZN33CyhoiIiIiIiIiIiIg+e6rq + 7iklEYkAs1UH4GoKE69/8SV//gd/8AcAiGCzw9lGRYyq5mlAe+pQGgPXmyUzMYLNPmlERER3MBFx + XwUWVmZzPo66il8LLowA6erFYMVrRZXsSDAxExhcNTdN5y5RyDmOY9M0EYb9mC0nt869NWvcVFwT + TL2g9ig9bBCvkgVt9lljW13ZznUuSC5exIrUCjMxU3gGkrhilW+tvrqIiUDdBCYeAdmWxHMSFXcr + bkuVUaXAB/iQtD5+J+8MccJcShGRlNLqea5iYtNFslQvi2GhWV3g114Hun4OnN78yqdo+uNMpcc5 + 56fyESA+RGzWONOtJSJt207/jD7YU4zLFHa7mUUdLbhlDauH6VoozPSpcBisVrzyla984IEHRquu + SXJC0niGRPZt/F+e4vPkmPn6OCz+qBezu1czVbXiuTbPuvtzal9Vr/uCVxdL2/i3H/7XsuXaYijL + lFREVNhS/piklKLJfzwJ4/CuOek8Pbh44I/v/4PL9b5lXUJVJZtXUasVqhAIoF7RoLl3795GWzGF + WVq/LMzKdAp0nXTrU2Z/cTjb3qrVa/Wc2k9/6r7v+I5XAnDAHABqrauDMI56kU5H/hgvGsexbdt4 + R5hu8JgrNLljTwuIiIiIiIiInoqDg4Ov//qve9vb3nbp0l4pmM2yGdwR44mqGr0vo7rySYw4dF0X + 454pJQC11hj0v/X3hOjOFSOAwzDEa7BpGnd/wqJoIiIieiribTcWEm1O5KeUpsm5OENOKS2XyxPb + USIiIiIiIiIiIiI6lxTo2uxDhWppWnTNJ3L7maZdJhwcHjYtmi14f5jhqWvWNWi30VhtPtvdEtl6 + 5PIXLsu/+PKvwPIqasnAYlFQBJ5ggHt1eALrF4mInlAUHkebKgAppWEYAETJfVQO55xLKasOVkRE + RERERERERERERHTzog9s9GwdhiHmXyJN5Lqmiu/on2Bm0zwOEREREREREREREdGT1nWdiIzjmFL6 + 2q/92u/8zm8TwWYbYDNT1Wh9FhUH1zXlnE0D2owvIiIiovNJ1pnW15KtXeEKwCAuUkUduYo61LH6 + 0U2wKtW9FlSrXkwMjaQma5MlKYDiZqV6NTXPLkMdilRJkJwki6kNPi7KsnipqEVqgY1uo5utUyqT + ZHGFu7ooYMWXyyWq5axt26akEHOMkFL93PWrr6JVclwcingQIQCmh1Ic4gBUzk13g0g5fXJx1FPK + bGxn+kBBxyCCq91dRA4ODsxsPp9jHXodlebuHvkUcePrikfsT/7kk6/9nv8haWNmi0UfzbTbtp3N + ZgBEZEpDP557d0s4oI9+Xgu8wpHUzMSwlXa20m6bZxq3BgDY5mdqwVgXn778yYeHBxZy6FqnMPjj + uhPn3TAMKaWmaVJKcaiptfalX/rh7JL+zvvfOaaDPG9EkpmJQBxNgiCZwdxTSqmkS9t3J9PpDR3A + 0THWp/BZPp/Po919pFC/8pWvvHx5/0lsZ+qQr6qLxSLnPI7jEccH2sT2HERERERERHQurCY/VpfN + Hzx2RiSG2GdtFq//wYtf9Ku/8i++4AtetFwWAPN5I0AtJcKt27aNseMjlq3cyDiOW1tbq11YD3RG + iSYRfZZiHLDrOnff398HICIcFiQiIrqtYnYzTly7rgMQM82llJjRH4ah1urutdaYlSciIiIiIiIi + IiIiOh4CjMMAh+QGSApHm//T9/zWH99z0Zp0YdYNSwyHkEZEfezHpmtua62NCWqSy8uro/lO024/ + dP9zDq/i8BE8ch8qunlGTkgJUiBlVIxHVwUREREAIIqEo4YwqgpjIV/OeblcRpRCTF8+iXV9RERE + RERERERERERENOm6bhgGVY1OkSmlKBy7rpxzrVVVzWyqL2vb9qTvBBERERERERERERGdbfv7V1TR + dU2t49gvvvs7v+Nv/a2/6Y6p86iItG0bQ9NHjEtHt7S4sYj0fR+JZcdzL4iIiIhOETG9VtSugJqo + iZq3jta8de/MO/e5+dy8u07EoRjk+vWbLtCctFXtkiWvxUuxWt1NvAKAiKtaylXTKKk37XOnnnR0 + G2zobahqqdF21lQ1S46cNAsULm5iLjIsB6/eSJvRiOXGZ7O8td3twsRGjMtxuexrcU2N5vTkYozP + LkN276aLoVlfMjwDOoWar25+zjyJ50MEo0YWSXx8iNBZOja11vj7R7DLYrEAUEqJR3NnZye6YTdN + U0oBbOMCAB7Bz0ldIAm//e53/8j/+qPmvru3N441pbRcLg8PDyNXWEQi9uIE7+9NsfXRWAGDuGDK + qhdJVj1bsyU7l+aXGm1EIIA4TMzFTMxWR3Jz9Qcuf+oTl/9k6JZIFgnfZyve+0yLP7iZjeOIdft3 + Sb5sDj61+PiHPv5+dMVQ+6EAaHPyiqwQ1+rJBJqzDPrsS88WTwLIqo3OY18LmzlN8qjvnxZmWC6H + rp0PfflH/+gHfu/3PmD++J5Aj79fj9+ORaOJ5XIZw0RN05y384En7dTF/PBIRERERERERKeBKg4O + Dubz+d7eztvf/vbv+Z7v+bVf+1eLxZiS1Opd1/V9H6MVtdaccynDTW5fzSxGJ2NgrtbKWGuimxWD + gLXWnZ0dd18ul7PZjONLREREt0+tNa7EKorFYhHZ1fHPaDUSb9CqylNcIiIiIiIiIiIiIjpmbZtR + RnhCgkIgwN7eh7fn9z5U76nWJiCJDbUCTUo+3va2IyI+y22TpI7lYk7LxdVf+Ut/+a++9704vKq5 + xbxzM1F4NU2nr+iHiOj0KaU0TZNzjiCEzR/lnKNWE+s1RawMJyIiIiIiIiIiIiIietKiJ2w0diyl + xARNSjfs+LyZ/BEB2Cmlvu/PUKdXIiIiIiIiIiIiIjqFYqQ6RqdTSsMwvO51r3v44Yd/6Zd+BcCF + C7uXL19dLpdx42G4YXPgGLtW1ZzzlStXIhGNTdKIiIiIAMAVgIsAcAEAh2K1OsBWeamftcGrmVmF + iOTcIqlZKTZCrWJEKsgFaq5jqcvB6iP7B/1YFouDw345jr3BVTXyZbdm8ws7d+1sbbdbXRIVSdkb + 6bOKj16LmUiqYmqoo81mMzMz0ywJIoAVM4NlP2elpp7WwaXmIuLA6rE8X80MHr++xd3NbvqPEL9S + azWzCEaNcuamaW7NjtKRzCw+tbn7OI6xeCn+OZvNlsvl/v5+zrmUcnQyRSnWNGkcqxl+6qfe/EVf + 9EVf/dVfPYzj3tZ8c5sARGRzEdRZs/ojiIi7KyCSMcqz73kuPqWSMf2RTGx1mIACyI0ul4cf/Pi/ + e+HTv2A8yC0SXEXgN3n8pycnluSVUiKPGYCZlaa37eW73/Obta1VrVSYoe3EUcUhDqsAYCpWkUZ9 + 9qXPEctwhawfMjGcqXdAEdma74zj+K53veunf/pnRBDJUDe7HVWNV/GUAMVM68/eqYu1xuqIdtI7 + QURERERERHey9UDZmjxuSGUcx729ncPDw65rc85v+vEf+4Ef+sdvectbAKnV+77vuqbvRxGRpKXe + XKY11sOgtdau61R1HMeUkpmxkybRZ28cx1hhFmOspZT5fH7SO0VERHSHE5HNdvC7u7sx5bl5Hhut + 5KdZeSIiIiIiIiIiIiKiY2O1akqwKI4SdHOUxX/zm+/8wJf8h+ODD9aKWVKd+bg0uJiJilyrybnV + FCbF2/l2XSwqbPB6l6Q/+/D+b77ky7/ife+RS3ehNO5VJKugBcZiKXPdCBHRUWKZ0FQSHFEKMWU5 + FZNHZTgX4xERERERERERERERET0V0f0gWjrGHE3f90d0+BWR6A8bfVHjOjOtiYiIiIiIiIiIiOgp + ms/ag4ODnLPAAJvP5wcHi+97wxvuu+/+9773dy9fvhrhZE+YcVNrxTqUbm9vr5QShQkxBk5ERER0 + rphgndGgwCr5UlZnU9cKMzWyG6TGLx21xXXKgwlGq23bzbTxUutoNg6innK1dkQ+uGIPfeLKH3/0 + vg997L6PfuaRjx/2B8PSTdS9ugAwEdEUSxC01mpFEmTWzi9duHj33fdemj39zz/3yy7ke7bnu7lp + xVP2JnuWNh/0B+KaUtNoF/mgQGqzmtn5iWVVh2EdYy2AQ30KugUAf1RrhTu8CHdayoL1apYnEWsd + K2dSSimlvu+7rouuy7d4X+kGVDUeAlWNsvFhGLquM7PFYtE0zTiO8cluGIb45402FR8JAfR9fe1r + /+4LXvDCz/u8zz04OFDFfD4vpSyXy67ruq4bhuEMtdQ2YL2vGxHULlYtqybRYVE/597nSFWvSAoH + qgKrN4L4DUWthvLv/v37v/JL/5MWrWBea1VVHJUVTrdMHJpUNeccScxmVmR4oP/T//ej76mdLUa0 + bc4ZkDoM3iagiBk0JxEfxzKznXv3nqabiUtigG+209HTHnGtgrRc9g899NDrXvc/AnCH3SjTOp6Z + R44CxaFjiquPfOtbu8d3JI6REREREREREV2How7jMhaXRNnka1/72mc84xnf//3/M4Ctrdnh4TJy + qX09CnmzpuHLUkqMZbCNJtFNibzMKSS+aZphGGLU9aR3jYiI6I7l7r6xcHua9SylpJRUdRiGaByf + c576jxARERERERERERERHQ9NDWDw4qVK1xWraXtPRnxS5Z4m3d2lw4NlI2gyzJJDbncNaitii8Ok + SZOo2GJ/+czUeLd828te+jff+x6Mo164CEMdxjRDkw3Oyi4ioic2zUI2TdP3vYioaqzHi5nKcRyb + ponrJ7qnREREREREREREREREZ1i09I3asZzzbDY7or2vu9dao2dC9AWOQrPj3GEiIiIiIiIiIiIi + uvMcHBy0bWtmpZTZPF29enl390LXdT/yIz/y8pe//E8//ulSaqSXpZTN7Ebh1iklEYluabVW9gEm + IiIicuBarf0qIVLXgacQj5+631w9vmbVUmywA0dBY5qt6HLhV/7Nh/+fj336Ix/65AceGh/ATpEt + P6j7B+O4tZsijdUE7rU6zOAOVWiDhKSQA5OHDz7xsUWa1flv/+5v7urFey8984XPe9GLn/f5z7z7 + 2a3PfaHbuxe9L8NQS62tNkmzAOK3vZ/AaaM+xXg7gHWm6fn6I2yaeiM/uVjrSEKNr5GmPFUx34ad + peubUsmj9/VyuZzP59EEe3p0zOyITGsAZmjbttaxVh+G4RWveMUv//IvbW9vD8Py8PCwaZp4ZGez + 2VnJvrXrdSZRv/Z9EVEkjLjnwr3b851HKlS0igHw6XcF4qhjTdk+tf+JP7rvDy/t3ptSsnIs94E2 + RFeEYRhEpOu6Ojt43wd+54o/uKgjEkTEfCwj2gSFWFVxlyyWAMPF2V13ze+SjZ7x68zn+Ho2utjE + WM2rX/3q++9/GEDTJHOJ3PqbYmaxlDGl1Pd927YiclZe1yfuDPTmeExAAhEREREREdEtIX7jtSNi + XdOmpFf299u2zakdx9Hd/qv/+r98/vOf/23f9u2HiyUAsyoCBzQlKzcXbj2l8E5DnFtbW1evXuUw + NNFNiaa0MZcAgLXNREREt1vOuZTSdV3f91jHWotI0zSz2ezBBx+cz+ec2SEiIiIiIiIiIiKiE+FA + hZt5myCpcSBLwgKo/tXv+o33f9VXjp+47zk72ftaRweQUgPrb+8+qYj70sqsbb2vu122YWwXVz53 + UFx5CM96dhVgQGobyNj3+113AWAzFCKio0wRCLXWqd641tp1nbtHxWbTNIvFYj6fn/TOEhERERER + ERERERERnVUxIyMi0Yo3kq2fsGos2kECcHfWfRMRERERERERERHRUzebzZbLRUr5nnvuue/+B3d2 + dsZx7PvxaU972tvf/vaXv/wbP/OZ+8dxBLTWozoDTz+dcunGcYyR8GO5H0RERESnha+K2Vf5vraO + PVassqwlbiEQh0MM6lP9uxydCqzqAFSkyKzYrN+Xh/7w/g+970O//W8/+q+HfOjNaLtusLFWX3qb + 2gs7s+V41aRG5qYoVJAVAGqFCsRdARi8lqGMfT1MO48cyGfuX/7xB3//fd2/mT3r4vO+5EUv+fzn + ffE9+Zk73V7TzL0vZtJKI6ZD32ujfo7O+CyhQPxGj5OLALCNhgZ6nvoHP4lY61gGE6nJIhIfHxiP + emzcvZTSNE0kWwMQkWiOHTeotUZKxbVFTbJO8l1RrHJhxmEYAKQky8X40Y9+7PWvf/33vf4fXLiw + e/Xq1ZTSbDa7evVqBMec1c+J6/Add08pwcT9/2fvToMsya46wf/PudeXFxGZWVkb2thaCNFqBBK0 + 1D2IbkELxmaswRrrHqxtPow1HzCjhzEEjTE0MwiwmW4DYxFakKpLWwtoBIiRQGsJCVGlkpDUpZJK + QjvaUWmpJSszIiPe4n7vPWc+3BcvI7MqsyqjsrIiM/8/c4v0iHzhz1+899z9+fVz/h7QdHFy/TXX + f/2ez4qrAC5mAl1u8gFAVeBIOt7xiduf/k+/dxkGrwI2GL8oQgg559rRvZQymUxE5MTWfXd8+v3o + h2IawiTned01xwgfQvAGWrJnBxrtrj/8DWvths4V9eW/fP3WLd7eDjar+fPeGF4Eqvr85//ubbd9 + SBWicUwZUNW4u+k24CEldLt73Sa4e9/3dSvxiK755YR/KSIiIiIiIqIHUEqZzWZra2uquqqZNLNn + PetZN9301m/6xsfVk5L1LNv5Zlpj9yqWetKznn0ehqHrugv+QIguY6tBgjpmUN9QcnaP6soSERFd + JnLOIYSaab26iqLuZ+fz+ZEjR+put2ka7NlZExERERERERERERFdHIYSVFAcLgkYChCBbg2H1j+h + 8KsOL2Z5TB7XJo5ilk/94nJI2SEO8WWlmqtBi2gRXf7vecrFXCW2YWcxxtCUITuwruX6nZ23POc5 + mE1DGkIABG7edWuAAYZ6/8u1sD3T6Y/1QFYKERE9omoBYdd14zjWllKrIuRhGESkFhnWik2OVxIR + EREREREREREREe1b27Z1OKZpmnEcY4w4Z71YbR9Zb5ZzrhVnqx6yRERERERERERERET7M45jjI2q + 3nfffZPJpJQyDPONjbWUxuuvv+7GG29YW+sFAKyNQeRB6gjqeezaKm1jY4N1B0RERHSFs1VM9W59 + vcAge8rbxVc5qQKTZS2+iiv2TmIQg+QShqkeHw9vb8a73vf5W17xlhe//C0veu8X3rlz+N6Tk+Nb + 3fY07szDLIXBxZKVlJKIaECdROCOnJESAJihmI2lFM+uHloJa5LX86ybT5vNsjEdNra+tP2pt93+ + +pf9+QvectuffvSeD5xs7x7Wp1M9Oce8aNEm6ukhmMuVByC2evjiCqjJqufApUrqUwZTGGT5dTnR + vphZvSSmpqLWQmYmpF40qx7XZrZKs16FWMcYaz7F6sbAAzcFKaU0TVefuFI8xmAFr3/9n7/hzW/a + 2tqKMc7n83p9VNu2l8rnxL2Z9Fa/iAFQFzFptAGQPYdWxPwbDj2hy2tSN9d71M/QGsPog/Xpo5// + 8LZvL3xeNLuf2iBa3WCK1U2oeFxuM7XUCVDm4T40p/6AqH9YLY5cLMFERLRR7/J9w9c/+sXb753e + tfC5oQAohtijaTCOKBBXCRKRzYvFEK5Zf8wEh8TD6c/vnifFD/qzY4J3vevWl73sVU0TzJaXHXZd + t3qDP3Turqr1/A+WqfbnHSZ1xTpwL5QQwmo3XLfOqsrYISIiIiIiInrY7IzJ5ewTAJXYtvUjam1z + GQViRbw87jGPed2fvfb7/uk/VsCKCxDqAISEpunqCZoY292vZ1VKqZ98Vycy3F1EVj9fnbWsK4Dd + us3V2VKiK1w9ZVS/7j0/SERERPsQY6zj9A86KLM6fF3NrA5Q6/FzCGG1m15dfrFYLO6/EB7fEhER + EREREREREdEFJLAWHlAgDUQSUGotTxOwcfh//ZvbpqXv0XURNps30UOAoABuskyxhmA1ibu4OJpR + m1HFxM9axHZ2UYKbWglNbJN7iVIiQi7XTRdP3Jz9f//sOdg8iWEGhaE17+CA78ZaG+AGFCDBC9yW + 1cBnxl0TEV1B6kDkOI6rn6yu8avf1jK0EEK9GO9RWUkiIiIiIiIiIiIiIqLLQwihzrTtsm3COcZf + auPX+nVV9M3qbyI6gPyc9t6Sg85ERERERERERAeBaHSouTRt7yiO0nZxHBeKHMS/6zv/0Ute/IJG + IUBUwB1A07W1QCyEpnYJFgl1pvY9yzm7e865ngmvhQmrr/vITCIiIqKDpo771H39GQ3/aW+Jurru + Tssae0dwBEMwhCLBEBwBUIEpSnCPhmCqFvNYYBJj7+4mpWDMPqR+Z/rYe26950033vyCP/qbV378 + 2B1Dv+2TMuZBBFpTsQFVIBpCds2usqys383RViDIckYAEZjAUIrn7LCs4gqJo6dRhnGyM10/dqz/ + 0i1fetPL/+p3XnXLSz62+YHFoZ15v5hJMtWA2Fhws1JK1CZKtGRRGxN3cRMTQD2IB4f6JZ5s7VCD + 1KfPd78uJxEXqTHk6lCHuqlftoe+dbR3FUFdZ/ZxqK+qqxDrVRrCBV1Tekj2XpJU5+tnuppf6+5n + j6NeblxSGlYvgJQKgJzwvF/5tS/8/ZezI3b98iNhSW65bnXqZrF+ljRRkwP31KsroEVQNLsmSAJU + LEZvysLa0BZPqZ0lSU953Pc220daCzCoxBj6NEOLoCbqurCM9WZmWzg0vP2DN83X5kmzAQpRkYIC + RfYR8L7pfZRQOrHGxXIYc5y7jICKhwMYiXugmCigwZpQGrHGRHMoOYymYxujmFj2pHknbm2vfe2m + O16XJ2MKFgPgKTRII6wACos6T2OEdFAtpovybY99ig4b4nE33Eixt3vOqUzri9qmpoagn5auLQax + XMamDcmKq4S2yw6EeOeXv/pzP/fzsWnGVHx32zsM8zPX2fdMu9uEvRvnvS0mVjOryyDpQfE9TERE + RERERHQeRGRtrT969OirX/WqH/uxf6lA18AMbWxq+PTek8v1apXzUqN5Y4xmtrfAKYSwOveteuo6 + mAv0sIiIiIjoylXH1XLOKaWHmGx9NqWUVaZ13/ciUo9gSyl938/n8zpfb8bjWyIiIiIiIiIiIiJ6 + BJxWP+MAFIiKtQlCc9/a4ZP9+iBwgZWS81grS8uyhGx5etwEgtV3qAWovq9z56fXvS2LbdSxAX/M + mL/l7ossEKAAACAASURBVOM4vglbYDEL9S5EsVvcVm/udU5Orc+epRERERERERERERERERERERER + ERERERERERERXWHEmqaJUcdx8cM//Jxf/bXnKTCMCUCMMY0jAFEtpbRti3PGWIqImeWca8pdTU5K + KV20h0JERER0ILjunZapx1A/raRdAbg4YBA7vH64DW0axhjjkBY+KXJVunPxmde84xVvuu3PPn7X + 7dP2uB8aF2E6+iJ0Ctktl3cAcDETMzkzq1L2THtr9WvGrEtdjSiuAExyCWNqFkM7HQ9tT9fu+9CX + /+YPb3rZm977p19ffMGPLOZxczOdMM193076fjFdjGM6dOjQOI6rh+RAjbh+hP/EF8mpJ86XX0+b + rmyMt79slFJ8FwAR2d+HuJz9p/79T8+mCwA5m6rmbOvr6w9w0wP69lH15bbRpexuTlVcFGpmMUbD + KCLXrT/+qnA9DCYoydQ1RsBEzM0ymjB6ym5z3/nwF28/YfemOITWcs5WAEBEmtilYovFom3buheo + 9wUPjnjRkpIvcQbY7mZI4brcXqumPDQhSlBpMfOTN93+hvnGZorJBcsdYP01BzwWt9AKVMqYO0cv + a4+9+gnR+tPa4hzgLX/TNCmlEEJKqb5zc87Pe97z5ovF6o3s7qsc+nOo3exrd30zc/fV/p3258C9 + XB4Qd+dERERERER0QKSUxnF09xDCb/zGb/zGb/y/9eRGygmAWa7ng3Ie2zY2TTjf5dfzI3X59azH + dDoVEXevl7bU86RN0ywWixjjBX54RERERHTlqQNvfd8DqMnW+xiXqQHVIlJTqwEMw7CKuK7DhJPJ + BLvHujy+JSIiIiIiIiIiIqILzgFHQC1pdTRABCBAsALFofXvf8ebP73eTtsNU+hGYw2KropbgyHU + RWBPjau4BbdQC1/PkwlMzaVAynI5hmCijuQex/lTknzkh/4nnNxCnsEygAE61hRtxSgYoAnNiJAR + CiDIAvMacW0KiwewgoiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6BGVUnL3rutOnjz5Ez/xEz/z + M/8eQNvGnHPXdirqxdq2fdBAoxDCZDKJMdZM61KKmTVNc1EeBBERET3iak9UehhMJIkbvC1oxoAx + 2BiHosNimM2ns0kTRV26blvm7/37v37121/yqS9/+OT0mLRZYnbkEDwEnAqndAC7qdXnT7zGW2dI + PiNFNZlZHMN6msm9t3/6nX9y00tv/djr85F77drZYm02nU/nW4vDG1e1bXvv5jFpVS0ECwAgVnQ0 + yQIEU2VS4mXhjNbKNenjoYSk0iVBVetzGkKoWbb7Czk1w1e+8rXnPve5TdOISAhBVafTOaBnprvK + QXzx3H9DarJMuhGR+iHXskeL1xy+5vprHguPQSHFpHgIKJ49mocipUSXEFB83ErH3vORm30yX9h2 + 38YGUU3yaCIxxH70ZHEsceo6iGvIk5APaZkABhkYbn1uCjPJKaYxJpccHE0JscQ0eru2vihJopiM + X773Sx/6/AdO6nbSjPpa3PtEi4m4KhIWHtDI5HB39LqrH4ODl/PrUoO27cwXhqsgtG0LwN3bpn/R + C3/vfe+7Peflzeq7ezV/tuWvra0BaJqmhlu7eymlLpb2jT2MiIiIiIiIiM5D3/eq2nWdu/d9/yM/ + 8iMvfekL19baru0Aq2cbRURVh2FINfL6PMUY64mSq666CsD6+nrOuZ4xGcdxFQ3Y933NCyQiIiIi + 2rcQQj3IXCwW9ScPegX2AzKztm3rcD6A1QXZ9XJtEak/MbN66TaPb4mIiIiIiIiIiIjoEaAFsSBC + ALEAi7v1LRmGEHDt0S9fe/RO06m2Y0qloNatOhSucBWLtRR2WR4jrjD1rPst4CniJg6YoqhDXdRU + XEQQ3XDyriP33vOGZ/8gpgPGQb0IAKgAAlMYlhHbarXszQE3IHst2jlwVUVERERERERERERERERE + RERERERERERERERERBdDSimE0Pf9zs7Oz//8z/+bf/2vxjFvrE+GYVh1Oau3PEcMUimldv2trYBr + FzUiIiK6/DDfen8EUIfCXFAEJjA1FzOxGLXtNGGYlZOz9sQ77njja25+9VfmX5zLtjQWGi8+DHlh + KIgP+Mffd3KiCUx9lZOt9UdQWEAKi9xOx/7EndufvPmjf/Gad778bty5FTfDIdVesqW2bbuuqysg + rnA1wLW4FK2NAejyxVjry0bdpOecc8615XXf9/tZkKNp4q23vu8lv3fD+vohdxkWqWblXirEVR3i + NeLaAbiYiwMOVzMXg3hs0H7r459oJag0QSIsAygCjy7BxSHF+jZKA9nI7//Eu7543yfRD8lGd48x + BkjJHkKQJo6WihagqGuwJpROPQgM8IMZ/n1QSP0TuWk2NdMCWDCNJURvpWiWtGObU918x21vyRvj + 1KemtWGOiZ9KtnaBijvSwnLbt5r6x1/zLa1G8YMZSPwAL4namn4YhhjbtcnGW9/61htueEXTLA8V + atjT6sbnSKyfzWYiUkpZbRAu9MpfiQ7iq+ghviCIiIiIiIiILr6c887OzjguDh/emM/nXdf96I/+ + 6Ktf/ep+0orArOSc3b2emF6F+Z3vXdSPxvP5XERq8h+AcRzbtgWwCszmxS5ERERE9DCVUrquW+VJ + TyYT7Os4VlXHcVTVusB6vGpmpZRxHJumERERUdV6Y/D4loiIiIiIiIiIiIgeGQ5ADFICSsDyBHhA + UzRirftfbvnr6eOfsNVOikgXAMBPlS9EIMIDfJmG7WKAB3d1U997y4e8LgJXKFzgJm4CIKiFVqMU + TCbyhDV5xrHZTU97FnZOiue2oM1ABopFK53nAAjQAMEURWEQoABF4fX/iIiIiIiIiIiIiIiIiIiI + iIiIiIiIiIiIiIgue66nJqDv+2EYYoxra2ubm5u/9du/+exnP2s6nYcgZrWszJomxKju5WyLXLU+ + q71/AZRSalc0IiIiutQxyvqCEFdATcw1m5gJHBGIYxnaw+FEOnEi3vuam1/wjo/98XSyXTakxHGW + pkNeaOtNK8WQkrsAXhsBVIoamH2+4YQCASB1xpbhi64AFgNcUCIGTeNkNh46+fXxc7d/8V3/7S9f + /rX05a1wPHVDwrC5vdW2rbsAuje9cZnZDeOL5rJxxhZglR5Cl4ecc21wPZvNVHWxWOxvOYtFblv9 + 3d990c3veleBN107jNl3t1gO1FxhdVM/WK+fM7diS7VXCgwuIpY9SNt6azN50rf+Q7e+5NBo0OJm + cAGCO9AIkGDZiuaT+b5Fc+LWD79t3hwfME8lNyqTvnHL4zhK0AJxqImKQ2DBLRgAdZG6Naazkgxk + cQDmkl2zwILFiW3YQpOOaWN+y9/d9LF7PrCDkzoRE/Plzs6CQQ0uCsC9iCIBUMFMn/KNT9UxykHc + fe2+ZcSWkytcJTazYVSNbvL5z3/+l3/5eQBKcRGIyEPfVjdN43vEGEMITD1+mC6B9zCfYyIiIiIi + Ijo43P3aa69V1c3NTVV195TSM773e9761jc/7Wnf7Q4RqKLvewA5533cRd/3q8/CqhpCqCmDbdvW + ma7rmqYZhoEfmYmIiIjo4RuGoY7YAZjP5wD2fTl1vWJjGIa2bUMIqloH+FNKXdftvQF4fEtERERE + REREREREF4cBriVljR3WN9BOvu+mt93TT7aTi0Md4i67xTAOBURP5Vf7qvrUljc6v0qeuiTZU7lh + gAnSIrcKDT4/sX399vaTZwmzOaZzrK40cQcM4gEIgNQz6MuuKwhAAdLe0l0iIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIiIioiuDqg7D0DTNzs5OKeXqq6/OOT//t3/ru77rKaUs+5l1XZdSqr3OzsbMRKR2 + SxOR+XzeNM0q4pqIiIguXatAW4ZbP1zLmNJsteLdVVwdGDC/d7x7e+3uF73uP3/y+AfS0Z2Ttjm3 + GRpvemgDg7t4aCEB+axtbs8/JrbW76+q76E1IHt9vUkF8wElwjp477bmZSN96fjnXvqaF3zi7jsW + G9tbcrw7HGfDTF3FaysAVVdxVVf1fa0PHWCrt3+dYaz1ZWMVYTufz1V11eD6fJfTNAGOcbQY9bnP + /dl77j4mUnNhLoFo1xXB7jZRzMVNzGT3pe4a0ARvQ45PuP5brl5/jI1BIRALgCrcYQbL6Ls4jmZw + ay31s0997cO3ffLd4SrIms/HhZk1oshJISICBHgAoO6CDDGHMtP6QdV9TX11uTjgcBUPwaKj6BH7 + u/s++pd/+wZcO07LTBSrzjfiEFfx+otWMkKARoxj7vzwkx73lAaNHNjuM3LmhjfnvLGxYQU551/8 + xf+4tTUFYAZ31IDq5e+JnPsQLqVUm+qvfqWUwqO+h4lvYyIiIiIiIqKz8zNPgYUg9913L4CmaSaT + ThWlJACPe9zjXv6y//IDz36WCpqow2KxNungLufbzxhYLBbAMhjbzNq2jTGmlMzMzOppETPbx7lR + IiIiIqIziEiMsR5kllLMbH/XUpvZ+vp6HcVvmmYcx7o0MwshYDfKGkDbthsbGzy+JSIiIiIiIiIi + IqJHQr1Ow2G+jHwWmKKgCy0KCiLaHutrdx+9Khw+KkDwOpXgViOnAYWr1oBrALJMv643tPO9CsR3 + v9aFCHKwrN504gab43AHw3BU083/5Ptx7xaKeQAECOZqBRmw4CgClxpwrShRDIA5WDdJRERERERE + RERERERERERERERERERERERERFec2t9MVfu+77pmNtspJV133XUvfelLHvfY61QgwDgMArh713W7 + tWMPwN1rvl0I4ciRI9PplDFIRERERCvLWE1xdaiHYI24uFhZxxd2PvPCN/zqsfXPfB3HFs2wcWhi + OS2G7AIo5iMWCRq1a5vdwyvdnewch2cPyep4zSM8irWLaVEJkz4Wx9aO72SURgb1HNNUN1/zV//1 + ti+/y69ZbJZj6+uT6HuP9zSURjyYuIn7/QI46VK0SjndO7Oap0udiJRScs6TyURVx3F091Xv64cu + pRKChCDjaNvb2z/5kz/pIgg1m2Y3oUbs1HTAiKO2Q1E/PRBHxdWzW4xRTDyhD5PO1578Ld/ZYM3N + 3E0EArEMcUAgAglwoFtvZjZdNCdv+ejbP3f8k2myGDAMw9BKaCRGiJjAG0cDKMQgCZIAmCgjcc9B + XNWaYI14TXqu6eMKwCQvdHbn/At//v7XnmyPLZqdtbXg43JHpY49e08AUIUZQgBK+Oarn3ht99jo + XRA5cMnWe981rnA1gQlijIv5WIq9+MW/d9ttH5pMOgBBw3kvXkRV3T3nXE/shBByzhf2QVxp+B4m + IiIiIiIiOg85542NjVJKCKGeozQz95Jzuu666175ylf+u3/3v42jhYD5fAjhvC9DqVeudF23WCxC + CDHGcRxDCG3bdl3XNM3qlHfOmZe5EBEREdHDVAfe6oyIiEhKaR/DbyJyxnXYNc1aVUsp9eequlo+ + j2+JiIiIiIiIiIiI6IKT08tYgQCPAOBAcREFgK7HpP2Rd779TtcdCUVqfLULikst+7l/LZkbtNaZ + wc+vBEOAYLVMSExggIu72GiuLWqUdowSZiefOF+87Tn/AouZGCAZggIUKHZrfYug6DK1G6Zh9/ES + EREREREREREREREREREREREREREREREREV1pQggpJXff2dkJIXRdl9Lw+Mc//sYb/8vVVx9RBQAR + qOo54s3cvTZMa9u2lJJSWl9fZwwSERER0Sm1Bt9FTdQiXE0xNvPPHf/4n9zyyq+Uz5+IW3oY82wp + z5sgMSI7TND3iA2GhS0WSeR+lfGyO+1LbWVrAgDioi5dO0mLMgylbbpuEjXo6DJacS1ho9ynX3/t + rX9wy8ff5ofnO3lztEVdji3XStUVEGdb3MsaY60vG/VzXIzRzGqb6/0tJ4TgLqU4ADN84hOf+c3f + /C2VeEl18qgNUk5tvFzg6hLUzGKMJVkZSx96m+E7vvE7N/QIMswAQES9oFH0vZzcyV07AXQ2S81a + HJrhruGrf/nBN94nX9PD6lFFghQJXtunLO/IgNqnxaRulg9c8vcB4qqlEWvUIV73NgqgaJnGrXx0 + +hfv+ZPP3fcJm6QE5FS6IKs/tTjgp2Kt24A8QoE+rP2Db/j2mHokCC6VWHFViWb2vve978YbXwlg + Ph+a2BQr2E2qrrdz93NvtN29lAIgxujuqppzjjE+8g/hcnbgXkN7Ewtk16O4PkRERERERER7hRBy + ziFIKQkwkeXVJ+Ilqixm01993i//+q//P/XG9UxHCMvfXZ3TPMfpjPor9XqXUkq9kKWeEDEzVa03 + SCmtFmK2PENXSqm35GlxIiIiughKKXXABkAIoZ7Mb5oGe45GUqoXjpw6YqEDqD5HdURm9YS6e0oJ + ewbzVkN6q/mmaVZ51fUXVwer2HMQu/q5me09Uq1B2vVw2t1Xx7f1FwGM43jGT4iIiIiIiIiIiIiI + zk0cAlNAV6HP9cy0CgSeAAHWJwjylauO3HvocIqNF8CgwUYbJborihdxyJ5iqroIl/Orv1CXpiAY + xMWgWcXFo5m6Z8VcAIUXgcmklGsX20+YbmF7Ezsn4cmBgBihBqTd2q2CU8nWwdHuv1CXiOiSt7xs + b3ess/6wjk7Wi+5EhOPUREREREREREREREREREREVzj2syUiIiIiIiK65ImdmvaoeUUiLuIxqoib + ZREfxvl3P+2pL37xiwB0XXCHm8meLmqrmdr3TERKKSIyjqOqxhhFZNUSbT6f15naEo1x10RERJcK + EXGHqtYdfW1/+miv1CXJAYlulqM3wVrJEPUdn31x+3Ovevtv3RU+nTfyAAwZKoAVFa/hpuYoBnet + BAGucN1bxb/vVYJjN0K1Hi4WwK2krmlbacroKApEeKsazfJQTupVw71+500fev17/u6dQ7clvUkw + L7bW92O24gZV0Wjm8AMX6Uj7sGqIXY//awoy644vG6tNev0QV+dXm/q9/7u3n/b9WSl6etOO3//9 + 37/ppptcBEHHkk3O7Kd9oPjpezbxU3nAZjkESSmFENrYpYX1Mvn2xz7lqngUpjFCVS17cIhjGL2d + IKUCjzHEMeUx2jiZ3XHX+9/9mXcc92PW+jjk9XbdxhzhwQvMsplBTBQqIkUD31/noq7BJyiNiDhK + 9NAgjJbTZJxfe/yP3/uKj999WzhUtCAmNIqUHIDU/Z1gb9xwKegibAFM43c/6RlNngS0B7B/u6qW + kpumUVUTJCtmy3Myd999z8/93M8DEFVRHXOq7zF3f8ANddd1daZpmr1JT+6ec16lOK3iEmjfeAxE + REREREREdAHEGLe3t6655mgp5cd//MdvuOEl119/dT3pUQraNgIYxzGEMJlM9nEZiqqaWd/3McZx + HOupk1KKu9eTRDnnEEINIOTwGBEREV0E9cADQNd1NeJaVWue8WqYp3YSH4bh3CO4dDCtLsAys7Zt + V8nl9dC0bduUkrt3XbeP4boQQl143/c13DqlVMfpQwjDMAzDUO8Cuy8kIiIiIiIiIiIiIqKHwAAT + QKDitVIWUEAB9xgBAWLA0SM/8s53/P2RQ8dCSAGhjZZ8MonzlBwlnuW09D5KZMUFEEfw1bUc4qhV + Qw5HhDfqoTFfz/m6+fbNz/kBbJ7AYo7ZTDwImlo5pMgROdQKEAEEMIUrWFBDRFeeOnBZr5pz96Zp + 6iDjalRxb7Hxo7WSRERERERERERERERERERERERERERERER08bVtW0p5xjOe8cIXPn8YlqlOIlgF + I5lZbZqXc665R/Xntbta7Rhce6+5+2Qyqb1/62L3hicRERHRJYHt+h8useIFQEmp0SABuU13pS/9 + yc2v2unvnbfTpAAQHQr4sqgfy/J+jzXK+n5B0YZ9Py1ei/RrsrW6GJCBDBkVWerkJm7iEBgASImd + T8ehuQpb4e533PHGO770flufL/K0bdut7e0QJbTBggxDasNk338qOvgYd3rZK6XUlum16tzMzOwc + fa1VdM9HRTRNSMl/9Vd/7VOf+vSwSH2/pqqCEGPsuq52yT5gzAUmJg51AKc2rrtZyFDXOoUSJ2Xy + 9G97RshtkGYcrQ1tGzsrsrqxuIhFQE0wxDyuz95221988cSnbH1YYDZPi7Zt85ggWYOFKAgoosXF + YXCDMNn6rEwkA9lcVRtoGsbiJa/nE+2xP7/tTz9x7IOzcMLDKBmaIUCIp55Nl1WEuQkggpKwIRvf + cOgbrzv0uAa9mR/A/u2LxeLIkSOLxWI2m8UYQwgxRiuYTme/+Iu/uFgsQpCaY33uphBd1w3DICJN + 06SUcs4ismqJX8/wrN7IPPB7mNieg4iIiIiIiOiBPMA4x9kYYDmP6+uT7e2tECSPix9+zg++8pWv + eMxjrum6AGAcMwBVlFIWi8U+VqeeTJnP513X1ZzImmMNoGmaeo1LvaWI8LQ4ERERXQSllJyzu0+n + U3efz+c15LiUcvLkyTpTc5Fr7vWjvb503lRVRHLOOedxHOvl1HUEXUTGcQwhqOowDPs4/qyZ1vV1 + AiCE0LbtKhm9jtZj9zCYrx8iIiIiIiIiIiIiOg81zXo38tkjSkAWJLUimA6zMY/oGhxZ+4Fbbz5+ + 5MjQTraHrI14yW0Ls93T3qef/NbdOq7zZdCkWkSDIziywgSxIJqIxVqOKzAIrhrHb7/r7pv/h+/D + yZmgQZbiSEUUKijwFHwQy8BuMRkvDyGiK0+9Us7dRaR2g6pb7dXPAdTL6jjISERERERERERERERE + RERERERERERERER0BRGDWBSkxRyWf/Rf/s8/+7M/vcqhFqBtQq04qIHW2O1yJiI1A6n+b9u2NW+p + hiGt2vwyG4mIiOiSxr79D49PunaRd2aYHytfff37Xv7Z2e1bzc4IhILW0BkaBxRZ4bKKsj5tEodc + gCehpmVHRwRgYq7mavVQUGEKC8gBWTAKRsUYO9+ejU0DE6R2/Ori8395xxs+8pXbmyOyM2z1kxbq + AxamOUi0ZPJQwynooKsH8Hvf+9wOXPbcPedc52OM9TVwjpJzc3M4REKMDoypNG08sbXzf/3y/z2d + z8ysBuimsWxtba2trV2kh3F+VknSUtOP92xpzcVMzMQAqGs/dk9/4vesy9FQJp7VTdzF7LT3RXAR + iybIAds+G9fnr7vlDz951224ahjjfJ6Gtp+4G6RAi4v57jvLnaX95+LiOZS4HtN8EVy7vknt4r7w + tfd86Z03/91bvzp8UboS4NGb6GHvtqoIiqiJOZa7UXe06MK0f+o3Pv1Id8QS3MVkfx1xHkFt329t + 77iEyfqhUhzQkl1VX/ziF3/gA3eMqUDE3VX13JncNdPa3VNKNdxaRE6cOFF75gOoOQh2qlsQ7R+P + gYiIiIiIiIgujJozrYqawPfUpz71zW9+89Oe9jQAXdegnuJp4/5OZ9RzoE3TDMNQ8wVjjLU7Z73f + pmnqzWpA4IV8YEREREQPJIRQY61DCPVrPQhR1bZtsdsrXERW1+nSJaQef9YRu/oUD8NQr8OuQ3Q1 + sxy712TvQ33NpJTqMuvdra+vp5RCCIvFYnXX+74LIiIiIiIiIiIiIrriCLCsdF1+a0AG5l4MIcP7 + yVrbtggBV1+Fw4c/1/Zfa3s5dGSRPSV4RrMWk8FFAFkmZAMQE5jAzreOx6EAXABgb6ltcATTujSB + 1ds0hseN8ycP6S9+6IcxncMzBH0QLzXK2mAGc8Agu8nWvEKEiK4wdeg5xhhjrMOXOefaMWrvwHQd + aqyjkEREREREREREREREREREREREREREREREdJmTZQXBYrFo21ZESim/8Au/8GM/9q8mk6Z2Ak6p + lFJq/14RqZ30mqZx99pRrf7vOI61CVstUlDVVQY2E5KIiIguLezVf0HknLtJP8/zMSzyxubr3vXq + Tx//oF49JjUDgmlTEB0K1DJ4PxVlbadP9ZjNgN0S/n2qC4cLILDdJ1n2TPVGEJjaoozrhzSPgCFO + 4BO/J3/lLe9/3d9vfQ5rKYfBgqU05JzWut4y61IvW+7OuuPLXv1kV/tp10RqPITW2fW1UfNlzCyo + fOyjn/pP/+k/x9COY27bXkTW1w7VAN2DwwETsz07OgXU9cxcWlluftUljvGxhx7/D657MhZdF/ux + 5DEPGrUuTpAFZbmBdjUADdDb3Ysvvvav/+DOxWfLkcUQFgsfTGAo5sksOYqIwEWM+9xzMbFRFqMv + QhDIOPfNnfb4p7c+8rr3/PFJPYE+I9ZTE6aqDhRf9qhxUavPihgE4nBHH9aa2eTpT3yGjCHnrKEp + B2/7Vhs+rN6VIjIMw8033/Lyl//XyaQDkLMBUNWaV33uRdV3aA23dvfJZBJjbNu2trLHnl73F+Ox + Xb4YA0BERERERER0/sTOmPquaZuQ07CYT92LexEv65PuVa965b/9t/96GBME7hjHvL87nEwmIYR6 + HqTGWtcrYLBnYGwYhhgjMyOJiIjoookx1tTq+m0dr63zpZRSSg0kriNDj95q0n7UJu/uXo82h2Fo + 27Y+xfWC7HrYue/M8hgjgHp99mrkD0AppV4O3vf96sa8FIyIiIiIiIiIiIiIHgoHCnRZ6eoADGK1 + prWRMJYsEEcBbD4Mc4no1v7Ne//ms4c27kZEuxYC2hZ5yBoErvBQq1bFoe51WvU3eShMYGouiFai + mboDEBdxiIvCBFkwQoqLF6AIxlSa2Ynrv3YvtrcxbglSMDTQjFAQdx/XsqDWWQ5CRFee2itqPp/P + ZrNV2eH29vZisajfAiil1IHsBy0zJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiS81ZYroAwEMUDVhf + n7iXxWzn+b/928985jMBNE1omoDd1mcpJYNDJaXUti12G6PlnCeTiYhMJhMzW/XZM7P67UV8mERE + RHQBcPf98EmU7cXOEC0fHv/q43/2qfvePY87I0ygalFcFSICdxRX89qi1sRNcNp0KtO6cpz+/UNe + rElsDAAAIABJREFUHzdxCEzcHPDdO/Ca+umnZWa7IAOuoY1AxriAtkj97AsnP/Wm//5ni7WTJ8d7 + EVLfRs/FLTeREQ+XiXrYv9oC1BnGWl/2mqbJOdePbwBqWPW5n3cVVVUzq+G7uVgxj1Hf+Ma3vuxl + L1tfX6/x2MMwCA7c9sFP7eJUfDmpo04mZmIu5mImJo7W2rW09swn//MuHYnSA1bU0QBe26qYYoRk + wIJpMNWCZIux3Tkud/7xO1/x2Z2Ph+tlBzOEmh1e4EXhqhrQuEX2QDkHFyshzW2qa7pdNmdrJz54 + 562veuvvjRsnpTdVtdFLRtGcQi6uKqIG1PxyRVEzMQDqiCplLk+67infevWTbLAYo6uYHLg/voiU + Uur7y90X83E2m//SL/2SA4thqL3o2zbWRIMQHuSArfaRqNnVpZS6zPl8vjqZA2Acx9o5n/btwL2M + iIiIiIiIiC5F0+l0Op2ura11XaeqIYRhGDY2Npqm+fVf//X/8B9+RgR93wKoV6ucr/l8Xkrpui6E + kHOu+YI1JxK7J0q6rqszPC1OREREF0E98BiGQVXr2Kqq1lGcxWIRQqhHRABSShzOueSIiKrWhu85 + 5zoGX8fXh2EIIaSUQgg1s3wfydZ1SB5AXVTbtqWUGpS+WoE6Rojda0GIiIiIiIiIiIiIiB5UAQpq + GrVBalR0DjAAfYheLEAATPp1RePSook/+p53n+zXTo4uobURs4wCN5Hd/iYCqSnSRc6/MLYIAFNY + 8FJ/Iq7iu+fVJUOyS7G6aEff46qo3+r2nh/4IezMwsktTKcQNcSMDtogCNQg5qyhJqIrUh3HjDHG + GHPOdUyzbdsQgpntHZhWVY4zEhERERERERERERERERERERERERERERFdMRzAZDLZ2tqqrfDcPefx + hhtueOYzvyelUqsMajECADerffPqz0spGxsbNRWpfu37HkDtsaaqtYThUXx4REREtD9Mtn6YzNBt + tEO/87Gvf/Cdf/umk+HY2tEmjQiOmrhpkAwUhZjDfZlgvQyx3p1f/mRFAYXH889PNIUpMmC1BQB2 + g12tzu+mWq+CrpsubG6lVpsIyIgQMLN5ObT426/efsvH/7I9GnIe+th3HnIeoeX09aTLh7szv+Oy + l1JafcqrH+VqB/WzCRrMl/Xpy2zdGGPTuDscv/M7z7/9Ax8qpaRU+r4/cK8fMcBddqvpXWuqtNw/ + W1oMMHXEomFonvLNT3/M4W8uCa6GBtlMHcERAJFlFxRxjSU2ouOYrMes2f78zmde+9d/+IXNT/dX + awlZEIJEkaCAeu2joozEPQdxtDFo61PdtqvTLR9725/d/Ae2Mc3NNFsqxUWgER5Q3IvB0QpqPDmK + wAUupvXZdh1n5Zn/8Pv6tCEWY9emnCFiB+x4x8xyzmtra2awgo2NjZ/6qZ+6776tto1mqCdY6ldV + 5HzW91d9UwOoHezrO7FmIkwmExHZ2dmp73ce8j18fA8TERERERERPTQPPPKxtLGxHmPIOeecx3E0 + sxjjMAw1fPr/+N9/+oaXvHixGFUxjuP+7n8ymQzDUEqpIZH1qhd3v+qqqwCUUnLOMcZSSr0+hoiI + iOgRVYdzuq6rYzld162Oc/q+rwNCdaZpmpTSo7mudP7GcVwdXtYjW1UNIYhISinnXAfw6td9tIOP + MaaUVsHV4ziur6+vFtv3vYg0TdO2bc23vtCPj4iIiIiIiIiIiIguQ7W2tQDweplHBsaAFGG9ozF0 + ojZkwMdhiHNIaHD1NdhY22zaZuPIYsgS5PBGay4OdSj2ZkcLIPuIR3VThzjgAlMXeIAHh5q4KzzA + FC4IFoPFVHS6M149jE/c3Hrf056Nu2Zwt5zqhSCj6KgxixaYIEstuCUiupLUy+TqAGUds14NXK5G + LWvZITOtiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIguXw+cmHVyc/Oao0dFvXY2q83TXvjCFz7pSU9c + hSTVvr4Ackq1H1rbtu6+s7MDQERijCKyWCwA1JAkALUh8MV7fERERPSwsZfpBeDaxLWt2dZ2e9fr + 3v3qE9i0iQ7zdKiV1iwgF7VRNWlraAMkWhG33WRr1LjTU9Put3XJgOI8Y1DVITCBrX7Hl5PConlr + 6A29eese4RGuY/Zugu3t1Lfd4S7mHbQTLGS2OLL99g+/5c7NL2WMMniHTtQSEuv3Lxv33wIcuFhi + utCamkgNpJRms1nTNLXx9dmIOoBhGGKM9WNgyTmnVNwhGJL9zM8+99iJ403fmS3Trw8UE5gs26Io + oK56Rqa1uImbuItDPJiEFA6Fq//xdz4rIEDdI0YHoMFUAAVMAUFYdmhBBEwwkzRtZ18dvvRHb3vF + lzf/bpZ2spWISUS3XAnucx+MOnwoXsadeOKPb/1vb7nj9XL1kH0Gc3GFq0SVWOOrkVUzBMtnU3f/ + xgAgDoNce9X1T/6mfyTzKC5mubj5wfvzq8aaQG1mIvIrv/IrH/nIx1Uxjrlt23qbUlwV5w5Xyjmr + qpmVUubzOQARqZnZ9f2+sbEhIsMwNE1z7iR7elCMuSIiIiIiIiK6AIZhVA0iEkKo7TJDCKoaopRS + 3O05z/kXb3nzXxw+siHAPlKnVXU+n68uZNmbXb2zs9P3varGGN2dmdZERER0cdRB2WEY6iW2Zta2 + bR2ezzmHENy9zozjeACHXenc2rath5f1267rhmEA4O71cFdE5vN5/XYfl2WsXiT1tSEi0+m0HkKr + 6mKxqK+fOujIQ1wiIiIiIiIiIiIieogEkFP/VAoABk+AIzQtIG3XhQZweAiYTH7w1ls/2bYnj16z + JbI1HWOjAEys1GDr03JR9xuSulwf17MsoFaIqetGo30ar55On7S5ees/++eYTVUQDXVFDCjLWl0/ + c2Xu990ZExHRZWB1eV4dyqw1wPWquTpyvaoudneWvxIRERERERERERERERERERERERERERERXTEE + QNt245hEpG3bxWI2n8/X1ibXX3/djTfe8NjHXieACFJKpRQVhSPnLCIppVqDUHuv1Rik+pOamQSA + mdZERER0mZDaQlZ3p2UmaS3QD24CMzETiEcT3Skn9Wh507teu43j6CXDDUj5VPG6wW0Zg2hBTgtE + rEsuiqIosvzWRNV1GXG9J/f63M64TU1yXf7AV/HYp3WvdTEAIq4qa4faxXwch9y3GOeQDmO7OBmP + v+W9r8+T+aIsTCAi+pCP+OSMlgZ04Ln7qs0yXcZKKTnnpmnatp3NZl3XnePGOVuMCiDnvIrI1RDg + CFHhOHbs+P/5C/9xMR8LJOcDHYtugMkDreEy7ljgIiGKNTKLz/iOf3IoHA3WRUcQiAMwX21aBQDE + tYwWo4hgNNd1m4UTX9n59B+8+cb7/Kvb4cQizormjGKegyFAgAdaAan7lOVU90FyajLB8ie7dO8e + 6mGpd/cAfxZdfT21MReDmGkxLZBy+i8u18dE7dS+7Fz3a7uL2n3gyCEtus1j4au//46X/c1nbrYj + 6fh8swCNikLcvbhlQykQQYzqXoqaCdQtONStrrC6Nrn7tsd/x6HuaEADIJWxjY0evD2Su6+vr9eI + pVtvvfWP/uhPAZihaZpxHGuydQhihpytac66A66Z1qpaSun7frXwGkWfUqrftm276pxP+3bgYgD2 + dgxZ7cXZRoSIiIiIiIgefXVY4myTBIeai7kAcPdSEmDqFhVBvI36Hd/+xDe98c+f9MQnuCEoYpDd + IQcFNITmzHNke8+omWHPJ2UAq+zAEMIq5brG/tWZ2qBz9Sv1mhiiy0k9UWhmq5d3Somxl0REF029 + uLYOzdYo4joDYBV7XGfqEBFdikRkdRV1fa73hkzXROqU0gMO6NSb1V9fvTz2LrAer65G/upPzOz+ + Idm143y9O3evN9h7s1We+qp5/QX8IxARERERERERERHRpUKBCDSo11oo0AAd0AAKhbSA1gs1FFAE + IEAcaDp8w7Xf/5EPvTvn44eOeqONu4ecdSyas3h21AKf861SVEdwCQY4vFZ7iQlK8CIwrZWyDjWI + C1CAosWlGIIFGQ6XnW+KGTvbmM9hA8ZFC6g5MgSx1NIwoNaXnTE5zGBn/JRFlkR02RCROjRZByL3 + DlOuhiN5EREREREREREREREREdEFJw9m743ZC5iILjmLxaLO1DLVUgo3ZZecWpJcW9KvWvE82itF + RERERERERA/P3t6/S3tLpk6/DUQkAGrZazFY1zVpmIqnb/3mJ7zkpS+aTKJg2RAY5iJBNbpLnbDb + vFdV6xmGEGrxQq1cMPeCPaePVmceakc1IiIiOjjcXWRZb1iHDx7tNTowxMRdYPAAb8QVUBdzMRSb + aKMliY/SILtJiSGE4dDm397zgQ995o4k7iouahEpIClcoI7GvbVRfVxFatb86ZqQmhVZkAVZNYua + xHpcF9yi52gWHOIqruq6zK7ek86wG3qtsjthFdLq9TgwisfdsE9TZMgIHaEZalBTd5inMtbMbTdE + USuwUHZk67MnP/qBO98z25jNdYREzRFQgZ0KQ90bxSq2WrW6VurK19bBVANQsXutS8055nH7lSDG + uBopjjEOw1B3AasK9Gq5gwDSGWHVDssFjpIsxlgy3v2e/37jy15ZSjCE2oUdNSUdJUQZ00IDHjAC + +RHPh3VVF3WBqwN1S251e17XY3kDrbcpoikExM5nfsQ3fviZ/+Nksd7P17okUE+KpEhAiFCRcRwl + KLS1EqSgi0hlSM18Ptn8qn/uxre94AN337K1fs+wvm1tgpqbqcPdtVER8YKoTZBoZgXmWiwkC8k1 + mbjAgmkwDWb1w7zAdHdfsGdrvze15wHTqc9pub8rda+33Hqffhe7ux5Vh7ibJNfBdTAdIQkou3eq + gBqC7yZbZzVTKyhuWYCgCuj/z969R8t21XWi//5+c661qvY+r+QEEgIBwjMERR4iioggojbeRum+ + jqutfZvRf2hjjyEIONBuLw5H26PvBVvvpemhtkogtqgwROVqexUQeckryCMJGCKPkATyOs+9a9da + a875+90/ZlWdfU5yTnJO5+S8vp+xs7NP7dqrVu1dVWvVnPP3++ZsZgghuKJgMB1LTBaSaTGUYTr/ + 5MYH3vy3//G6Oz6Ei/MoyRQhSnaDZJWCenBRiENsFE2lkaRAsa7kFhpMvXSad671u170jBcHb4s4 + FDBf7Jk/1N0VFgdEETfLaZhOWisJXmJYLNvoh9S0kzvvuufVr33tssXOoh39OI4ASlksy0lp8Yq9 + PcugBlevGs6v1vAMw7B6RteW9fe5fJFOwbnRoYPLuYiIiIiIiOgclVIScfdSSlLFox5x2bvf/afP + f96zzRbvdpvY1MGOUkpNCjwpNdNaRCaTSc0ITCmZmaquvjWOo7vHGOsYDdF5o2maGmwZY6yDj6rK + ijIiIqIzop7c1tm7elq7SqqOMW6f/CulTCaTU7gJMwsh1DLyYRjqNldnv+M41onGYRjYoZ6IiIiI + iIiIiIjowiRAwJFyVyACcVGqtK14dVFGIYAi54Q2plZw8fpbNw58KuWNyfrcYHAoPMDD4upuMMeR + zT8w6lA/csMAFKYw9eUuuwCiviijcvfgYUhFBJpzuPuu97z4+3H4EMZZ20rJY6vSRniB1JqpY+7/ + 9pu+V60bk62JiIiIiIiIiIiIiIiIiIiIiO5TznkymdTOFbUrLjveEhERERERERGde47JcNoWRgjY + 1U9+0m/85n9VRSk+mbQOF8eqhamoqmodEdqWV+0hLIaJauPf2gYNNc1MtfZEPSYgjYiIiOjstwwN + FQAucIGqpmGukBjCMAwSA4L2eda3h/7mur8obcqSNGoqpQAS4AIDxBEc4osoa5NV2nS9FahDlh+L + uOt6wiVW1IqaATWYtf64LWMvfRmMbbL6KXVBkeWtYFVvb/XDNJtkwOpNxwI1iK+q8M1ksR1xHVKJ + 6zhk+//uxg9shY0hzE3MXfQBFOTr8t7RuYIzvxeUGmpeO1f3fb+9RTaA6XRar1D/ebwtAMg5hxC6 + rnvTm978oQ99JDadmY3jWNMxan/svXv3DsPwUN2ze3E95l2wH5P9XL+7uI4WR3FMu66x9hmPefYj + 2iubcU1LAxOJCA2gGObw4t20LSgu9dV1tXGMcey7zduGm//Hx97x/s+9e1/52lY4kLTXKUbrPVg/ + 9vU9cs4ZZm3sWu2OTqpebAqAi8Kl5oWvXuprPne9aVseGu59Tx8MVv+z7b80F3iAKzwe3azFdHH8 + MQEUEEejTdN0IpJzFsdkMqlJ6mnMbbMmEsY8zrFh6/2heMd7r/+zd3z4bTcd+Nw43RplPs+9CCQG + EdnWkAZHjp5Ads8Fa5PGM8Y+T7s1JLRl+vTHfMeecKlaVCCoRlUvtavOGRNjrGExtVG8u4cQVCOA + lNIrXvGK2WxW79r21Opj1GfWOI51jGUymeSc6/O39rfPOZdSUkpd1zHX+DRhW38iIiIiIiKi0yjG + WMfOanrf1tbWZDJ561vf+q//9b+sa1RSTjkNXRMBS2lYTn4AWM6ZnFANrq7pgABCCG3bqmpNsK6D + nm3bppSGYaj52UTnjZSSiNRnWdu2dZLgBM70/hIREZ3PSilmVk9K62x6XbERY6zzf23bhhBEJITQ + 9/0p3ERNxa6TlF3X1fnppmnqDbVta2ZmVkO1iYiIiIiIiIiIiIjulwPWdL3lphPoeCNw7eFDX47d + 1nRXgqzqSEUAhSFAH4L2IuIqpoiTtunWd2f95oMb/+N5z0MpBulz6bMDiAGaTaAGZGiB+lEx1oua + rkXTFQfbohARERERERERERERERERERERAZDjqPWqtX9uvYR9KoiIiIiIiIiIzknHCbtS1aZpvv3b + v/2Xf/mXQkDfj01sZFsUoZttHw5SBQARlOKlOIBhSLWR2mw2A1BHkObz+aoJMBEREdE5wY8KvvQj + mQhBi8ChKq0iqiNpb9Phhi9+6uavfiFOfSxbQ5mFgKZBPf25dwK0A0VikQiP4hoNTcE01w9rzKJl + Qc7Bhoi+Qd8gxZpvjawwURN1qAsc6tB6SVZkRQ5WFPUKAIIjuAVkkQzNFnIOVjcCi6G0TZq0qVXT + 7VkPJqh3uYnw7BJwy9dvuf4fP+3tKK0Uz7bMvZZ6YunLEn5XuG4P23YGJZ8Ltp/km9kJrknngdWf + O4RQk3G7rjMzEalR1gDm83l9JOScj7ed2uBaRMxsGIa2bV/1qlfddNNNbTvZsWPX4cOHAahElbh/ + //4TxPSeVcTRIJSShjAmK3v98h94xkvRN01c8+yeYRlBoIA6NMbBBkiGGGqqtLVuE/dJEegkz+Tu + 9378XX/ygd+7J3+t3zm7Y7y77NQSvJu2Hn30QVWtIG2NIcc2Tdo0bdM05k6tcWhSGwOSNEmmBU1B + LKJFzSSbpsWHZJdsAocaoiGeXOSuKyCLz6vLlpnZiw/NrtnFipqLwKdSdkrZoWUXbA02gbcABElk + CJg3Pm8ttcW6HNvcerLUJ88lxiha+vlGSmky2bGj25NmkNw2TSM77QsHr3vLB3/13Z972/7hjq7r + ptMpUAcpgruP4+J1aXu29WJvR+yaTudbSSIkoO+3Gg0xdc+66gW79PKmaLAUXYLE4nZGDkn1mFjM + IKIaS/H61KuRMSmlHTt2vf71r7/55pvd4Q5VHcfxeFuruTNN09RnaN/3IYQakp1SSinVjTdNk3Ou + HenpQXc2xlrzj01ERERERETnjTrSMY5jjHFjY2PPnj111PLnf/7n/8N/+KW2jU0TsBwlObWbqIta + UkqqWgdZ6oBL3WwdtWnblvF+dP6pUwIA6tMqhBBjZFkgERHRGVFPSrEMn55MJvXUtE7YhxDGcayX + mNnqIP7AxRixXBxQShGRruvqoX91u6qKo9eLEBERERERERERERHdL9EwImESDzT4AvBX++/5Wrs2 + xomIwuAFCojARUQbO80lGBqDmTWNHtoch6HfFeOOgweu2NjAgcM6H3e00yZKsWRlQAjLItij+Pav + bPnhZ2XpCBERERERERERERERERERERHR2aGWvpZSUkrunnM+hWJYIiIiIiIiIiI6W9Rk62351rVN + mYj8+I//+Mtf/q8AQMzcgMXlANx9W1sztG10RwgCoHYPBiAi6+vrNYfJ3WseVW0CTERERHSuqOGX + 6gYYxMQhjmIW2y65l2zr7dqY+jFszHT/Bz/1vrhuGXPXZA4NUKD+CIBF3vMi+Hl5Sf2fLz7LMq1T + /ajcTltGatd4UYhh+X1xle3XFUO9DkwA8eU+LL6L1S1uzy81PZI8LQ4IfPFhgDUac7LYRXT24U+/ + f6aH+zzDSc4Q2vbqfjq7uTtjrc97NbEFy4bYq+BqM6vh1nUZgIhMJpPayPp42xmGAcs01dpV+zWv + ec2BAwdyzjt37q6LCtbW1s6tpQXi3jZhzENJsM322570gsc/7GqfxzauKZAHaEEXxR3JRgkwhWmN + Lla4mogLTGyU+agbvqO/4dbrrvmz3/zElz+sjyizuDHPW6WUUorDmi52a52EGmOs4kE8qAdATdTF + TI5JYq6HpG19UqQIHDCpxwo5heevAmFxhDryWzDATOqHm3gRL+IGDaUJ1gVrxY+K0N52KFFxVYca + 1BFE27aVGFJKZpiu7eiatu/7MY8lDGOc9ZND7/3s//tbf/br1x+4bqPb16yLKoZhnvIQoohIKeV4 + Dx9xjS4qMs/wiHZNy5jb1D3uYU++cu/VMe0MRaWYFBOR1fH0TKnPuPoUM7O68CbG+J73vOeP//iP + h8HcEILc74twTbB291Wf+dWP1IGXnHPdMl/PTxP2JiIiIiIiIiI6jba2tqbTaR3mWF9fn81mNWd6 + bW3th3/4h970pv+7aYIqHH7c4Ww/0aTE9ni/Omq5Gg8tpbRtG0Ko4zh19JPofFKHFOsTpw5T1hzN + 4znT+0tERHSeq9P21cbGRp3/234IrinUWE40npRSymrZN4Cu68ZxrFOV9XbrKYGqnsLGiYiIiIiI + iIiIiOjCJEBI1kISSo+4mXEA8lfAew4cOBymjbYtJNqypNa1nP6q0j6PcdLm3jpR1SLrGjrsLfkj + z/0efH0/5rkMFlU0aK2bXdwLLHayVmiVWhZVx9T9flaeEBERERERERERERERERERERFdOPQ4Silr + a2shhKZpRCTGOJvNVmWtRERERERERER0rvBVWuHi34tEnnEcJ5NJG+Js49Av/uK/f+lLX5JSWaaY + mYiLOAAREZE6ZDSOWVVL8a5rUioAatvgEELbtn3f18ZotRXqQ39PiYiIiE6N1UxrmAAKw6JQXTNk + FHHRVAAL7tmm/We++rHbD3wFXertcDOVpkEekQZ0zSKvWv3IZhfBn5IFGZJNrShSQNbFRwrLWzfE + gi5rW6B+5COaRbNYcOTDLJqtrhAcXbauWDAAKIJS07QdahpLjLkNphBLcZy3/dCMJgZoLb0vgiKA + QB0ylgaSLQ+hv+3wl/7h9s9u+QYaAIDr4gMKqLrqKrq7fr/W9S+juOnsdO/ZXp63n/fMrGkaMwsh + DMNQY1xEpDbENrNSSgjB3fu+P8F6AHevV1uFYc9msxtv/MIb3/Crqtr3fdN0Zjafz3fu2D30afmK + cXYTS3noui5a0/h0d3MJNtrvf9YP7Rj3ooTYNK3ARsDcFNlyaHRbrxKDZEgyHS2MaDGXfNgO57Xh + Lvv6Oz/we297z2/e2t88mQZL1jaNqu47dM+8zLrdbdKxaCpaipayOmZABSYYVOYqg8pYE7TVUV9y + 6wt+cAvuwUvAEDyddHbzkRfzI78EwE0M4hBftGNZDiOoaywInhWDyBw6QAYTMwT3rmC9YOreOSIA + IIuUguRiiCF76OclZ++64N1WWt9/w4EP/vq7fulPPnntfM+BrWYDE9/qZ8WSiSEssoTM0DTxSFsY + r/u12NlJbDYPba3tkORw2HozmeaLX/j0H9zhF4UhtpiEEr24mEuAodgZOiTVjBiIQKQYxlSadtK2 + k9tv+8YrXvGKlNB1wQyleF2Nc7zt1OdaHZDZ3tO+5mTnnOsITH3mrkLr6cF11r+QEREREREREZ3L + 1tfX5/N5CKHv+62trWUJUzh0+MDOnTtf/OLvfcc73nHZZQ9vGgnhVGqZ6gAKgJrn17ZtKaUOxzRN + Uwdc6hW6ruPwCp1nzGwcR1UdhiGlVFd01c/36UzvLxER0Xlu+9FWVbHMoq5ftG2bUqrJ1qdwXK4T + +XVGP8Y4DEOdaATQdV09By6l1O8y2ZqIiIiIiIiIiIiIHhBHEMWQItotJMTJJuJtwPts/vlU7gmt + x04EEBTR7IZy2mt4JiFgHCfTNQAaMD+4MQz5IvfHHpx94LtegH37WitI2YHNYW6AAgGALRKtBXCg + AGmVbM2pciIiIiIiIiIiIiIiIiIiIiKiJTsOVd3a2gKQUqpXW19fZ70qEREREREREdE5aBU3eFQW + z2QyOXTo0DiOO3bsSCn9+q//2rd+67eIQJZDRrVtaW13tspPqg3ThiG1bQxBagx2HU2aTCbuXluf + qTL3h4iIiM4Ny6r0mpppgIm7uopDY8ilSNMGbfIwTqZxU/Z9+LPv0/WylTdDh2zZHKpQXUaLugIw + UV+WtLsAMBdbpYS6wBSmi0DrlRpcKtvSRpc52aquwTUskk11e3g2AKzys+u/liGg6hA3QQayi5ks + QqxdtP74kf0RQNCF1rKPJeeQ8nT+8c9/qN0Tsg3OpOrzwvaEDiyDjRlrfUHpum4cx1JKfbu3epdX + Sum6TlVPsB5glWpRMy/qNUOQ3//Dd/7RH73TDE3TiIRxHB+a+/KgUU/90IVJ4x0QbdBvfuSzvusp + 32MbWnq0sRHAHU0j7ijFHItYa1nEWmeIAXCFThSTsKX9Ztg4FO7+zNc+9Na/ePN7r//zQ809abqV + 4nz33p05j/vuvnvXrl0u5pKL5qLZxBwQV3FVFEESFHEXh7iIh+VHo9aoBXVZvcnHSb8+L38aj8NS + AAAgAElEQVR0cayx5f3xo6+27Mwi2TUDBZKXV5a6EYPCxSG2OK6ZqfWeEkqGSUCYuEzT2G0cbu68 + pb/hnR++5r/9+f9z63BT2T0/WA7pDpllQ0Dbxm7S1AekqoogpfvOD6r7GCI8ogClh8/1qsueefXl + z4xjE4sEaVUbQeMuGlD8TOYQrV5szSzGdjJZu/Oeu1/zc6/t+6KKYSgxaggiIifOS6pP2Dos03Vd + /UJEmqaJMZpZ27buPo5j27YPyT274Jx1w1vHJBm4+/bMcyIiIiIiIqJzS87Z3be2tvbu3Rtj7Pt+ + GAYzizE6iqg/8YlP+KM/+sMnPemJVrxrT/p9eowxpbQa0BzHcX19PefcdV2N9Esp1fC/+s/TcBeJ + zhgRadu2PuCbpimliEgdYbxPZ3p/iYiIzmc555o2Xf9ZF1i7e855a2trOp2O43gKadYrbduGEHLO + 9XOdQayLudu2jTGGOjMpgntNNhERERERERERERER3bdFFLRGNOvYgZQLcBDxi8BfDAev83xY4YKk + WkQBExQ93TPPZn2xeb/VTmNKmK43e1rNhzd3z7ceX/K7X/g83HU7XGaOtpsCJrVuuABlURVlQAYy + MAAegABoLaGyZX0wEREREREREREREREREREREdEFSo6jdsIFEGOs7YPHcQwhnOn9JSIiIiIiIiKi + /2mucHX3yWTStjGloQlS0nDN7/72E57wuLbVGmDlZquExJp4t76+Xpv9Nk0Yx1yKmyHGWEeTahPU + OoJ07oWZEREREQECr7GZAtQcQxe4BAQVB2L54m2fu+XQzaVNHmDBk6EYQkBUlLLIBrVtgdY1MXqV + Hr24FYdaTQSF+pGA6qIoAlt++KJWPhoiEB3REYFoiI64SOMWZMUQMUQkhUND0aZoU9A4RMyDebAS + 4AI41FqxiVi7Smmst4VFGLZGUUNB52O79eW7b/rG4a+NOrdj406PcSRf1cWYgX1uYU7BeU9VZ7NZ + bYtd+1eHEFS1JunWlQAhhGEYTrwYoLbUrpkXZlavbOZdF37udf/+pptvno+DCSbrO0TkXvkvZ2ln + DwckypBTU7pQwtawOZlM4nzyPU/7/ic/7Jtl3rqF0IoBqnDADPAIb4FF7rMKFIAgZ/SjZYg1ITej + rw/9dP8t4/Xv/Pxb3vyB//SRr79vvnZgazzUaXvpnkv7g3MBTMw0FU2mGUCwECwG13pcUBf1qNaq + NVo62BQ2Ne8MnaEz78wbICzTqR/wXd52SHIcOTAtb3QVpK3iKo4U+xy3chyLwBHhDbxVj+qqgGkx + LS65iBW1pChtCOsTCbo1HBzlQNq57+b+4++6/nf+z3f94odv/2u7eJiHeUIOEVt92bErQNGnoe+H + cSylFFXEeO/HoQIqrlhEsDcpuSpCwZ5w6Xd90/e1w65oULHiVhA1tCW7iMui6cxptEz/PtYyOV7c + JYQmxvbrd9z11muu/eQnP6MKW54wlOI1pOl426/jNrXPPIBhGGqCdf1WSsnM6tcMXTp9zrpYayIi + IiIiIqLzSdM0dQRkNpvV4cumaUREVetQiKNcccUVb3/723/oh35wHE96rKcG+9XlLABEZDab1fHQ + +s/JZLKK9+NwOZ1nRGQcxzp0WOcAUkqqerwywjO9v0REROezGGMppes6AHWGr3L36XR66NAhd69T + 8jil2OlxHEspqx8cx7HelojUbZpZPfUtpdTVA0RERERERERERERE90OAMiA0WjQaRLygjKG9G/gA + 8ElPtzVxM4YhBKgGgQbgdFeWBu2aIIJhPrYRPk/DaDtju0PK2v67Hn9wP/o55v0OCQo3+FFFPw74 + ohC2Fu6mWli1qq06To0QEREREREREREREREREREREdEFwo8PQEpp1Z6ibVv2aSEiIiIiIiIiOm/k + nGtGdQ2lVtVdu3Zd87u/vWvXzrZddC1bdUerfU1ns9n2hmkhSN2Ou9fuZzHG2ha49gQmIiIiOhes + Ks59kX+5LJ/POSPokNJoBa1v5cOf/fJ1tj5sla12vdmco2nQtsgZw4A2iLguw7DtqAp8OZJ8Kdty + rFdl+mqLbwEQVy0xlhhL25RJzF39aFLXpG3/zNNY2liimjpQBC4KqC6ySHUVRb1IrQbUNTiCLXag + fq7JptVsY75r1y5DGTEfm/kQNz/+uY+ENbjkB/P3TWcTzv9eCNbX1+sfun6ubwNrkoW7l1JKKU3T + 1MuPp7a2rj9Ve26rqjuGobjjZ3/21ZsbWyrR3efz4Rx6XBXDdLKek3nxtbVJzqPNbe/kshd9y0su + xuVlDKY6FmRzBdoGy5dWBSB+5KMJ0gSUUlIZvbFRhsFH2V0Or999/aHr3vY3v/l7f/PbN2/esDG9 + +6Df5eupaIIUAYKpGsIyVRouJmoIJmqAyeJjmUW9LX4awRFPPnJ3FTG+OkwJUNOsRVzUVV2DxWAR + gInlkIuWomYCQMVFHOImbuoGZBMzsSJqCgu+f3bPVjjYXGa3l5vf8ZHf/d2/ftP7b/qL+c79s+7A + IRzIzZilAOgabBwqIYg7VDGZBFWMY8m5qJ6gDYzN+9S0kIS1vPeqy5/91Ec/XXtpoK55KGNRaGgK + IPDT3gznhGoGvLurxpTSDTfc8OY3/5YI6vlBCJKz1YbxZifaz2EY6uhNfQ6O41iX7ohI0zQ17rqO + 2DwEd+rCdNYFhteHQn0QrP7wdWjvjO4XERERERER0alIKcUY6/hIHXasl5c07tq16+DG4a7rxtTv + 3rPzP/7HX7nyysf+l//yX0sBBDGGlBZXbpompVS/vvd75LrNeoXtI6SVmdWxlVUNVY0DVNWcs4jU + VGwOvtA5qm3b+kUNtuRaLiKih1g9i1h9NrMQQj39wPI8JOesqowZvhDUiT0s53oArOZ66rfqjOBq + PXc9QZ1MJn3fb99IKaUu1F5dZ/XdOv1fvx6G4ZhL6inxaqEAlg/R1c/W0OvVo9TM6pTnas+JiIiI + iIiIiIiI6MIiwLSBQwpChrTwYrBxJuEWL+/s0xVtjNPJpQW+MZso3E/76oriBvdGAIE7BNKKohTA + Ju6PHfxTz3/esz7xKbRR25BCO8BVvAkKA5JBNfii2nZVXBWWxbdEROeH+5ynxnKKsM4Juntdtsep + aiIiIiIiIiIiIiIiovPDaurn7OmNUMvTVuVyuL+2mzHGWgGnqqUUTmad5VZ/3DO9Iw++M/UMOqZW + 9Cx5Ih/D3bf3rDhr95OIiIiIiIiIiB6wOgxrWPZGq0O77kUEOY+XXnrptdde+yM/8iPjOMaInBGj + 5mxBNFnGclyr9gcuZTHGtRo1UtU68CsiNWwJwDAMtT9qSoktUomIiM4gdyy7j6J2Ij0fZ//+J4jB + DQD8SAvZEIKJa5Qsw6F09+e+8ve2J5vLPKW2Qy4AoAJRWDl6Ik0W0aEKtIAbrEAUqq1IKMWzW6MB + ViAmItHh7u7SIOrYNGgUQUy8iLoqRFVFglkubiZZgpiYoSQdh0mBJDhExMTFXEShUVWGlIJ4UDUz + FVPNAikI9/mX77p2c3NTJ+rRSy5D3PrMzZ/8J8992VpYKym3bdv3fdN0NaSzlCJyZDO27c5z4v/s + VMuNV0tN6oUnXtdB5436lq2+HVsVodd+19i2bqdeubbCrlkwqwL2+t36di/njOWDRxVmuOWWW3/m + Z37mt37rt7rQxK41s4AjQdo558lkktIiCftsogpNyaSBi5WUgkJblBFPu/w5n7/8Cx+68/8bxWOz + lTOaCE+AZoeKA9vviMDdBQjBHHCHCCDIZiUYJpsHmv7v7nnfjR/89BMf9pTnPPE7n/GYZ8fZtB2n + 7TgRjwAkAMFNDN6WkgGEEES9lFRQNKgZRES0Buzqsj25n2zgrm1LelYHvCZIm3p9ZRBFEFETwNWA + oqXAFRLcA0whggzztgnDOJpb6BoXScXMXNowjluTi8Jtm1/8wIf/6tNf/ugm9qPNqcyhcIErkgD1 + l5cxjerFNABAsQKgNkS/19qkbS9TQQKAhDWsTYbLfuA5P4KNMhExzy5iwcyzlxRi9NwHgZ6hx1tK + aW1tbWsYgzap2Ne//vVXv/o1wOIsA8vhlPqcO87rsAKoeUpYDuDUmKecc33mYttoDBfznD5nXaw1 + ERERERER0YWgaZq+72vE4ziOs9lsz0W7f/Inf/KKK674hV/4d0OyVTjf9kUquI+hpROpU2X165oX + 6O71EjNbDcGwkoqIiIhOTe0PLiI55xBCCOGYNbV9308mk5QS2ytc4OqEff26Pipqqw4R6ft+Op3O + 5/Pt0dd1ftHM6qR+nUE8qVus6wDqyfM4jnWFN4AQQn24YjlDGUKou/Tg3V0iIiIiIiIiIiIiOjc4 + kKACa6AIsFQQgMZRyqbjjoLfPzy/9BGXXJR8VzEf+oekhseBRbGQiRhUEeBm8LWJ9LPxUW1+7/f/ + wPf+5V/qIx9eQgIaCMyzQqEOhxjagAzYsgjWmWlNROeX481T13LiOuFYv7taIEdERERERERERERE + RETnulqhVueJNjc319bWVpeckf1ZlVJuL3+r1XDH+5GUUtu24ziWUur0FusuiYiIiIiIiIiIiE6D + k0qqsysf95hrrrnmJ37iX46jhSA5m4rmcqKmZ3WAOsbo7pPJ5MCBAzt27KiDxvP5fDqdYplpzWRr + IiKiM05Ejk7jJCxr0I86a1KHqVgBxEcfQjdc//nPjM18y3sIHLoqVxdfBWeuprxttTVx5BFNQFAZ + sxcUiSqi4hjH1EpowlRL0BKDx1YnU1274rLH7l7bs/eiS/bsuXjnZL1puoAgNZUcpcCH1M9mm/cc + uGffgXv29we/+PUvJu2zjwWDhKwRhpKziUb1KAJxUSQRiFvOkCBYBlGLH3WfzQxwhydHp2kmm7ft + v+Xx092K6KgLEqyGQvCBdH44qYwPOp+ISIyx9rsehmFtba2Wq5dSVh2zAbj7+vr6bDY73nZqIK+I + fPSjH7vmmrf+23/705ubm2vrk3Het22s7xO7rkspmSGEcNY94jy4GMRMssDUAZi4TPOu73vWS7/y + 4S/dtPEZmUZHjg73mspsQE2UjvX6kCwwrwHRq2ODK2Cq8Ag0uc+b83526BsHvrb/qx/57Af+ybe9 + 9PK1R1+y++EhdUgqIlYwptzEqWos7tkNbhCLMYQgOWd3cR8cIg6RoDUO+mSS6eu+uRz1yq+O5cFL + FfAa0+OAuwZEiSoQVzFXh7grgghmW/Nu2oZGZ3mWPOk0mPqI/rYDN3/0ox/8+69+fGtyELvHLd9I + hnYCL4ubXhE/+UViAnOPIeStIv30f3nej16sj1iTifUDmuBS76BBS/B6187YOrSa4+4mTdfM5/0r + X/mzBw9unMJ2aj/5mt80m81ijBxUeeixPQcRERERERHRGVDDqsU99cNa15nZ4cMHJ9O1H37ZSx/9 + 6Ef/m3/zin37DqkgBE3ZVpnTD3y8u2YBishqMHQYhjo8WjMmVXUYhhhjCIHljkRERHRqakJwSqmu + oAVQ19SuQoLr56Zp2DH8guXu9Wx21R+k67p6phpCqMHV8/kcQO0sLyJ1jr9+N6U0mUz6vj/Z261n + ue5uZjXTuu7J6qE4jmO9jpkx05qIiIiIiIiIiIjowmSAAyZoFLCiAjNAa4WQDoqvmv3eN+65bNfO + nalI8dhOPA+nc48WdUImAmyr7xVXQe49trI+9E++9bb3fc+LXvSpj7UXXzRHSnDR2GjNrna4oC+x + DRBAmGlNROeh+5ynrrEBq6nqOi1oZlwaR0REREREREREREREdH5Y1amVUnbs2AGgtpc9U/vTtq27 + 55xr+WTbtnUO6wQ/oqq1qM3MxnFsmmaVjU1ERERERERERERED5YjqVqLjK770Yb4zKc/7T+/8Q2v + fOVrS3FVmFkMMRcD4PcKya6dfmOMOWcAMcY9e/aklGr8Us1bqqPHHAQmIiI6S4jI2ZYrejZwqUml + AoGLwREllmKqmkJKzewzX7rO21wEKoBrzXTe/qtcbAEwWeSb1u82cWJmEAmdFs+ljCretU0IQYbY + zJqLu8se94hvuvoxT3/MpU/YPd0L04CgEDdREwBBoqrW/rTFzJoiF7vtNRdkySPGfbM7vnjbjdd/ + 9VO3H/jHuR9GM4oklRIbAJrSkA2hhTbIflRAYz0/VANcHXAxF7jDHRZ8SzZuuvWGx119tYlnLwhi + QPYcEESOCohYnGeyjP/sJiKy/MvVNSd2Mpm4dJ5JKa06UY/jWF9k6ucQwjiOAEIIs9msXuc+N+JA + CJKLxwZv+NVff8pTn/KC53/3OPaxazVGT6mUUpcSqaKUtIqYOTtoTXQumiBF3ATm0ODaxLWL2ste + 9l3/4jfe/Y1ZubOZIh3KXSfmXhb3QNVCfaUHTGH1rXdwwOEAPJog+AhBcFGNFj2l+Tf6r97T33Hj + n3/6MZc87llPfPY3PeaZl+24PMy7SVlbn+5K2REkQswMBQ63VMpoQVTgIiLqQcQ9uyV3cVHHSbUO + 2P531NXnxd9FADc3MYGoi5uOKYgrRAwwERf34BJi14xqc9/M08Gm/aHhrutvuu7TN3381v1f9i77 + bg3Re3OX6DH3Ao0IBjUEA6AmMD2yJw/kMaFAPezalu+SRzxq91XPvfqFYV/nYrFBgrmoI0DgOpp7 + MAGa03624/W3Z8u9W0jmwzisTXf0ff+GX33jZz57PYAQQimOew2qnICZ1cGWusQOQNM0q0WD9NBg + hgQRERERERHRGVBLE1V1a2srpbRcdzIC+m3P+db//t9/75WvfNU//uOXc7YTjF2egJnVZp01yrpp + mloDuRpAL6Ws4v3qgpgH+y4SERHRea4umY0x1tOJ7d/qum4Yhr7va4BxnRA6U/tJZ5aI1NPdUkrt + L18fDKWU+Xzetm2dF1TVnPPq1LeeytYt9H1fz2lP6nZX16996ufzee1fX/9ZSql9Q+oldd8erLtM + REREREREREREROeKWnXkpQAZKlKWBUAOlWZW0h3AzcANs41H7bxo56bmcQiikNNVrGiCIyW7rgKB + K2AuJi3g6AcPabzc08bdd2G2FWPYuWttRHTYPA1rzRQumI9oWtT7opBjarIYc01E57gTzFPHGFc5 + AaupwDOwi0RERERERERERERERHQabO81nFKq7RRU9ai+0Q+hWgoXY6wFcbXd7f1eH0AppXbFjTGe + bNHcQ+BM/T6JiIiIiIiIiIiIHjwnV/xVW/J+3/d93+te9+r/9H/9mhkEkkvGcbKy6jhqzrl228s5 + 12g0d1813KufmWlNRER0xjEH8d7UYVDATKDihtqL1SAm5l5cIqSxr8++dsuhL5c9Bj06uxK6PN0y + AHZfdfcjcnFXD1E0+iRk02SttDt0x9Of/MxnX/XcR+5+XDvs1mGt813tOF21n4UJzEUkQFfnV1pv + TkXC8koNpli7/PGPeuG3vOj2zVs+8YUPf+5L192zdYe0ZZY2JHgzVVXJVnKBRFg5EsApXj8UUBMz + LEvvDRq0l60v3v6FFz81NQHFc9TGzQC4F3c+ls4x917/4O5cFHHBWr1Zqz2oa7/01TGihrYMw3C/ + j5CauQvATeD+2tf+3Lv/9E8vu+wys7zqv51Sqsuczr4Yddv+Ag5AXeFqCGOf1ibrj41P/OfP/bE/ + /MR/Gz2vN63lEU2uL5LqgFhNNV68WXYoYKt4aEAdQaLn4iYiEkPQzlw8Y56n+NLwha996svv/8z7 + nnr5N3/bE77jyr1PHHzuHgM6QKFoQhe19eIpJY1RHGIu5oCJFzdzF8STeJetDhPItj+pAAYokEoR + dRFxAaK5CESCiCeBi5s5IOIeFVqylkHG0qVDad/Nt9/42X/8xM23Xb+R9mGSyq6+SPGiVsQ8IGpU + dbi5w+uBRwFoveCBH0YEcIhDC3Y2e+PGnh/+3h8Lm01MIlFEpf7ixRsXAMnFgA6uInamXuPW19eH + fvzgBz/0lt+9FgCgpdR90Qc+SlMb1AMQkfpU5Yv2Q48xEkRERERERERnwGowcTKZpJTGcey6LoQw + DMPGxsZVV131B3/w9le84qc/8Ym/d7Mmasq2/WdxfwWBqlpLH1cjoQDqLTZNM5vNalJg27aMmSQi + IqJTU08hRGQ12dO2bc65lOLuIYSu6+rlDAy+kJlZ0zRmVs91tz8qQggppXpaW09xj8m0rrHoKaVT + aM8hItvb1u/cuTPnbGbbm4PUlvf14fpg3FciIiIiIiIiIiIiOscI0AISGniGW1CBuQ0QQauaoHPY + HcC7Cx7fxG9e39UcPgyk07c/DhmCA+iKBwO8BUxkzIqDPdbXu4mkCEX2h4v+7XOf/4KPfBAS2lgw + bbxpN4fZercukxaGZY0TsKxuYqUsEZ0fjjdPXeuH63VWc5SMtSYiIiIiIiIiIiIiIjqf5JxjjLUw + rYZDn8HOlXVyqk5aNU2zKpQ78fVruHVtlLw9qJuIiIiIiIiIiIiITgdb5W8dbXulVdc1OecY9eUv + f/kd37jzbdf+vsGb2KRcW5/VwgQ78mOOEIKI1E6/WLZQq0O+NRptVdHg7ixtICIiOiNEBEeanXJa + djtVVwAuxRxwBRQyAvBiajA3D+kfbr++j5ujLyrrZXFiVc+NFqmoJseGdIrDFD7JOSEml9zJrNvh + u696xFVPfcxTn/7kZ65h2pSJHmpCnrayZinMx61uEhEgIhJCPaEqlrO5qkqUKLo8syqlFFgORR4+ + uShnn9219bjJRU941tNe8JRbv3TPjX/5d38yne6b66HeNocCjQhaHwUGLHZVAXWoqwmyeE1oFUMU + GNTCeOuBWzeHzfV4Uc7ZoCKqWuOQTbGtda0AfGCdg06hvzGdH1bvy2oP6hqaW1tS17L0YRjqeqRV + W+z7JBohOWioj6WDBw/91E/91Lve9S4NMo7jjulERMZxjDGWUmKMZ1uytWsBIK6LFzDXYEGg0tiY + fY9e9JzHPPeLX//sZ275WJG+WVsbcBiLTOsMmEMhBsBcHWbAkXVPkoHFReoBLmpwiHkuUsIkzsts + 0HmS+YduuesTX/rIZRdd/tiLn/CcJz3/YeuP3Llzj5Qw7wdJ0uha13Vjdimi5uJFoUG1C4qg83Jy + v09d3u3lvQZqsnUUVxjMUAyloBhMLKw1u63AAiRmj2XExpYf3LIDX7z9hptuu+Hm2288OB6wDmXd + TMyj91YK0DTWxmBWxq1iA2KIIUQXM7GspjABggEOkyOdYOSofbSj9tlRf+2dr9uB+L3P+qdX7n5y + mMuOtfVxa9Y1UWBhmZkNXUV3K2r6+ENORPq+v+vufa/7hZ9XhS1GUILjXuMq9+HYMZO6mm7Vsr7m + W5+eHaf7wNgqIiIiIiIiojMgxphzTinVNOs6qnjo0KHdu3eHkIulruuuvfbaX/mVX3n72/8w51Mc + AKpLW4ZhaNu2Do/Whp5d17VtOwxDHYhJKTVN82DePSIiIrpg1PMNAHX+tf6zlLKap68LasdxbNv2 + jO0lnR26rhvHsa7GBqCq9WHTdV09U+26bhiGep1SSl2xfeLp/OOprT1W/1x1/cg5hxBWj8nayqTe + +oN3R4mIiIiIiIiIiIjo3FEMqigFMSRzEagDjlwSgILYI38J+MruHRfddfDRUTuTcJoLTMUFDllW + 8brCBDt2tuOQymidmDvWU7ni4L73fdfzX/TRT2Jnh61B1rq2mwwoEwWgWBVUyqK4Jyz/SUR0Hrj3 + PLWq1knqOgNYV8TVr8/onhIREREREREREREREdGDw8xijLUYDcCqYeWZqgtz99oyQlVTSvWSE8dU + TyaTvu9rsnX92VWjCSIiIiIiIiIiIiJ68NRx1wcaJt33fdd1pXjThNe97nW33377X7/vb3POxyvE + qqFK9eumacws5wwgxlhHgGstg5mVUtjsl4iI6Axik9H7Yy4qrg4xQOER4qqjZ5Px5tu/gKllz+o1 + L3M1tb34wvTYUOeaGKpmwwxrrTa+3qadj7/0m779qu96yuVP26W7J2MXS5CsYhK0jaGVLnaxzZ7M + iruZZxOoIkYVqVHWZmYpQR0iEkUkxKgybo5A3N1cbEXm+2aXhEfuuWzPE/7XJ/7N3//lJ276cIE0 + a57Qp5TdEcORXVWHuIorBC65RrsGh1hwCdaMm5sH79x/x8MveZSIFM9Boqi4lQd+eklnm7qcQ0TM + jIs0LmTbO1HXRTurb9WVP6WUGkpd39nd50bSOE7Xdsy3tgDEqLnYjTd+8Q1veMMv/LvXTafTUjIA + VY0xzufzsy+Ld3GngikEjiAAPEIspbQ2nQyz+brv+Gff8aN333nHHePXZv0BdLr8qZpUbABMTAET + qMPkqI2LQxRQE4i7ChBFVWU+5qYTVRnSPOhYZPzy/PCX/uEL133+7/ZOH/HIyx/zhEdfdeUVT7r4 + 4ktR8taWdd1a602DVk0925hLygUZEk/lLfYxpwMuNubRxb0xCY5g0CzixfNcDmazZONWOnzPoTu/ + esdNN99+w237v9zj4BA3S5NtmpN7chjgGW2nAZYLNualCVhbb1BiP89BxEWLQmEn1+NFsDpiiUft + m8fufeL3PPPFcjCut2tiDglFRE0BFYcLzAIQ4AqYn4lMawA1Bek1r3nt/n2HAcTYWoGIFPPjp1nf + BzNz97ossOYricjZ9zw6z7E3BxEREREREdEZkLM1TRdCTikVSzHGrmnjrh1b83nTNCmlHTvXcrLX + v/7/uPzyy9/0pjenbHVEBssR8BNv38zW19dnsxmApmlWQYB15KVeUqsic85c5kJERESnpk61tm1b + SllFEdep2clkAqCevTDT+kKmqrPZbH19HUDOefsjoc4R1jYiAOoJ6traWu3lUecRa/70KdxufRzW + nGwsY61FpGmayWSyb9++6XR6TPQ1EREREREREREREV1wHKIKGGIzDEPyAgCmqhCHOURR5ptRDIcP + v/8Zz75ETWbjtNzfZk+VAF0WQIMFFwgGBwoC3LwfW0fbNCqTNA7TdnrZuC9t6tue95/dkh8AACAA + SURBVL3/6kMfwa7GD82we2eBzJAn2i7qchQFx5YEExGd6+5znrqU0nVdDQ8A0DTNfD6fTqdnemeJ + iIiIiIiIiIiIiIjowVErzrquq0Egqzq1M1ggtmr+sNo9VV1lmRwjhFCTUVJKIlJL7U6tdI6IiIiI + iIiIiIiIHoDtyUknyiCcTtpxTBqanPNkMv21X/u1f/ETL7/++uuPd/3aE3g1RFyzq3POtadZ27Zb + W1u16Rmb/RIREZ1x2zv5u/u9oi0vUOICoCgA022/kyAKICm20tat+77ah3kIsXgGIMszKgF89ROy + KGOX5by9Wgyuu2XS3zFctvOKF377S5795O/e6ZdgM3bDBCOihBjEtWQrW8OWuSgkiAsQFB7EBe7m + JZt7KUVVVbUJod6EGAw+T0m60IZQLJWxaCk7sRbS+u5m9489+/JnPva57//7v/zsrZ/CWpzstM3x + cN03AxQQR3AAAe4GSIAViCNKZwnelRzS7d+47eq9z5AglkzVVdTdguq9oznrXrGW/6x17ywPdiG+ + YKWU2rZNKdW3aSmlWpBeSplMJsMw1ACXuuznxPHn4zhqCGaWs0HQdPo7b7n2KVc/+SUveUmjoqo1 + Rl1Vz8LHm0lWQD3CmkUosrgLooW0lSZtJ5Yv8yv+txf872/58G9slQ2Xog6rBwBf/FpcYALH4vOK + C7SB13DiYmIQQAQqOml0GE2kNE0wsXnaahtdf+SOjY1v9LL/rv1fvO7O94a/ay9av/TKRzzhioc/ + 7gmPvGo97FkPu7pmqqFBCNFDlIhs6id6g38McQWgrgbU45iLmaCdtFlTFiuaTFPCOOa+x+wrd/3D + nYduu+3O2+7Y941Dw/4BfQ4px5RDQTBXA1xUowBQM8Mg7qrqk0aK2+E+BUntNCANwTUUBbQoTKwI + XI4cLrE8mG6/RHHUEUUs7l171D978Y/mg/mKXQ+fHZz3Q7/jop3z+XzijTgU2UWzdCZwKZCMk/nl + PIhU9Y1vfOMnP/npEEQk5JwB1cWJgz7wZGt3rx3p3X0ymdTTgNO323SfGGtNREREREREdAa4e0pJ + xGOMXWxSSocPH55MJnUQM8ZoZikPTex++qdfceWVV77qVa8GJOcjg0mrVSz3SURms9n266zad9b6 + xvotETnx2CgRERHR8dQJnlVsMJZz8zlnAOM41plaEdk+a0sXoPX19e2LsGuatZmFEFZnpDVwGstH + Ttu2q9n3GlB9sjeacw4h1AdnPRPG8hR6Pp/v3r07peTu9Ubrbjy495qIiIiIiIiIiIiIzgFSq3oU + QNtNCwqgogDgglIsqsbpGlAw5Bd+8IOf+M7vfNw476xorbuS+1hxYeLqy6273ud1jkd9e/GqAQYB + EACdqOdscHhJEY1tHFoTPKzpnzSfYWuG2MqeSYAdLuOOsNOAIIvt+LLI9kgBEkfEiehcdrx5ahEZ + hmE1+Whm0+mU84BERERERERERERERETnn9q0uu/7yWRy4pYLp1tt1LC9acPxMq1X31pNconIqufD + Q7KzRERERERERERERHTfxnHsuulsa2syWUtpXFtbe8s1v/Oyl73s1ltvv8/r16Hd2kKttkcrpdR8 + 6/p113X169oJjXUNREREdPZx1EBN1+2ZpGZmSEOYb6QDB7YOzLp+ut7mEVGAVSilHr2ZWtIuUIc6 + Ymm6tB4Pdz/49Jc+55nffcna5djsyoipTYK3jaqVkkpGcARVFwVEpBE1M/diZi4QQY2xjDHWklIz + E4c6RKNqVBV379OgLk0T23bqBWkzQdsYcfXFT7/k+Zc+/MbLP/S5992z+Y2dD9vdl0NF611QBxwq + KAoThyzvmIknTwHQgDsP3ZpkayI73VREITBAVeDbArwdJrZI+D7rpv1XfyQ7qTzR80xdznHM2TgX + aVywmqZZdUcfhmE6nQIYx7Fpmr7v67u82iv7BIt/AGgIpXbJFoQQzMo4GoBfev0vX/2Ub3ryEx/f + NM18Ph/HUVXvd2sPNTHULOsFBczFAGtCWyy5eyNTmctVe5/2T5/zz//gA9f0cV8OvboCaoBrvf6S + Q5bxzPWpNWZoAAANgMIdKshmAdLURi6luCA0MNjh8TA6DL4VHKERLXFrOHzXV275zFc/5vO4a3Lx + 3p2XXbzr0kt2XXbpxZc+fO8jLtqxZ+JdsOVL3P/P3p0Hy7Jd5YH/1to7M6vqnDu8Cb0noQdoAiRL + QkJoZhASCHmSbUkMNtjIIPdggQa6wybcNGbolt2Bottu2xhMYxu3jCIMDrubCGGGsBEEnrpNRNNq + N24bAzYGjXc4Q1Vm7r1W/7Gq8tYd3733nXPPefd9vygdnVuvTlZmZebOrMy917dekPU/5YY792Zu + 4z+aAOIutiyH+/3lS/uf+dSlT3z6wic/cfHjn/zMJy4sP1Hm+73sFRurVp+5Z1Q1g7uIwcU1S3Kz + UkzEGs0O1aSGOtYCRdcpYGOpWQFbz5K4QuA3qDxzdWDzuvoNxKGu4mjHxRte+eZn7Tx3Zzh3cGmv + SbM8T1FOwT0LDFIAiDcQAOPtbQRPzk2W4p/844/+yN/4O00rtXitBdD5bL5cLQHc0THI3aNnYLTV + sQdF5DzdM6cu1nraIETEzFVVRHk4JyIiIiIiovuMKuLikJnZAEC7du4WdYRd4F6sTQqvdaxv+qo3 + fPjDH3rve9/3m7/52/G37u4OhbggpRQdWUQk5zyOoyrMHJvr4+M4YjPQcXt8o27Ey6bv41M1T5b1 + pNNvup44DEPbtrivt9uouisipZSc15f1tn+/x+Kj7rpuuumiqjHo+hZ/oqoxz7GaTnD+r/8Y4y51 + 3LS+L8Vi3uPP3Mymj3T6nO9uUrHJbc//9sTvsanxmXbME5mNExcLPgzD9MzUCEQVhu3AYGZaP83F + 1hKbQew+sf/G89fsy9u3iuLAEc9PN+Pbto0N79aHnunO/fTL9OL4JdK1t+uGTAVNticS59s556f5 + Lk9ERERERERERER0X4qRSgIIkJEAwOECM6SkAHwsAkE7x/mHP/bAQ4/WoT0czu4s+oMDdW+61mqp + 1dbDcWUzQQdc4eK4s2Rrj8GvvjVv7glmBQqIGcSAInAIdvv6vPK7/+dXvOKLf/GXsNMkxZlmB1Nu + tViMq1LkBKACDiTGWhPRU9vN7lNPvRHi5mPUh+KtPSIiIiIiIiIiIiIioiej1qqqMYj4dm6+xJ2a + aQBmKeVoxxVuD0OLIWAnW59weve7mI3tCg9EdEpMSfMxmDQqVvPWMxERERERERHRU476E9cn9Kt+ + 17GUpmmGYZVzK2Jtmz70oR/7w29726c+dTEnLcUgENWUUhnHuIi0HU4W4xpEJKVUigGo1UUkpRzX + lqaqifFXvO5ERER0D8QhezoENynx/uyGQcwBRxIgeQFQgSqSU+0x+NnxV/+f/9tbbZtchiEDyWGA + Y+ZqpoMC4hCDQLt2fvngQAS7C9iBNcPizPDo133FN7342V+0m8+uLoyzvKMpD+OoTSp1jNH8kCtr + w91HrwAgivU4eIv/unmRCCITFBUOc/UEMRVAMLpVHwSKnOpQz+yeu7x/6Vzz4O971R85P3/oZ375 + I5c/87uz8+MKh9VhopazldLK4BWNw3u4thVwXaYWUlXcf/1TH7Mzh7h4Zu47tQ6eoLkpVlVEoeKq + MHFT8RHiogLoKdq4VK46H1ZM2a9b2aK2VQDhds6fn4qiZHF0fYnE4iesn0/3t6kXU9d18UvbttHN + aSqfPn25u9khw2yc6nVUq8D66+XBwfDud7/n7//9n1gsNOe2lCFnLaXo1ve+66do15UiOd790VVh + cF2/r8S3WgPgYtUs5VbErKZ5Of8l596gX5z/9i//5XReh95Vc1+X2iVPXqs3AnUkAwCXiIsGgCzr + lmYKmXYDgAqHrGOc1WEC9StNkglgXqVK5+42+iBNOvSLn1j+phwqfkfVFRC1/ND84XleLOY7Ozs7 + u4sz89mZWTNLKeXcZE1N06SUVKTWWspYrI5exjocDoer1eFyeXiw3D9cXl4Nq09d+qRpcakR7G3i + ns3OmUt1KQBMzAUGg0EE4rFizN3E43iF4kVFaywO3B1WDJEWjggRx5VFjMjq7dVhuk62lmJAzrAC + LzjT7C4vjbvtzqs+/w2vfd4b58vzWluVVL1gfbgREzO1dQuPUTfr9wg2klsys9lsdnB4mFJqmq7v + e6j89m//9nve896cdRym3ceWq4Ppj244qQjLiBdH47y9000XTJhpfe+dulhrIiIiIiIiItpiANTx + RS97yY/+6P/y7nd/26/92v9nhpTETczNHaUUVY2Qv0iwvoXt8p1mNl0eHYZhupw6DRBlHxc65aYo + 63Ec27bdDku+L6nqOI5N00wXW8dx3M7dvMfizspqtYoP/wk7xkUzFfNfa3X3UsoJzn/OebVaNU3j + 7hGTfOTD40+VaTFjRaSUrsltPSbTdusbIhLb8B1NJ/bu7YnEZI9ptp9QzMY128zJzhLRfWO6nT8M + Q+zv04Gm1ho3/vu+b5pmHMe77g4y1UYBMJvNVqtVlBiotc5ms+VyOZ/Pp87fU3fwqU2Ls44jWmIi + IiIiIiIiIiIiOjG+GQGT4h/rka0AAEV1ZEByQnWMFbPFO3/m53/p1V909vx8f2/ZQppubsNy5ei6 + PNYao3yuDK/CkwiQ3h4Iukm5BuTqhGxpKx6x5fKi/dRXv+n3/+JH0Z7Pm4FHV00shkFhE99NRERE + RERERERERERERET0RKYhkzEUa0p43Q7quIaqTqO/p1+IiJ4q3L3rOnePIaUppRhtetLzRURERERE + RERExysuB0W1Xveac7PYmaX8wF//6z/4Ld/yLZcuHYrARdysVEwBVdeL4oSqGcBsNjs8PGxyqtVV + EVl6U+0yZloTERHRSTMTGFQBicRqpPWTOvZYffLy7442AkhyZew8IAYFYDE2X9BIc+nCwbkH57A6 + XB52fedceuQb/+C7npdf9HB65ngwptVKu6QqCRLRoTecm2ujXm8SzDlVAlAArhUwrQ5UIMHE9ezO + 2f2Ll5uubZD29y6/+gu+7OzsgZ/6pz/xHy/9quxK07a9FRNI8lWP3QVKjwoVU6jFaPxkgNiBXbo0 + fGZuj4pl1WLmlm6QRwvABX7qhu+bR+Dq+qz1Ccr23p+J1hu3CCcmCimlvu8j5yVCXiJA4bb++OrG + 6td//Te+889+1w/98F9dHRwuFrvute+XOG1f/XxTj+RGDbK7u8EqsEqP5Ge+9BmvevPL/uDPf+wj + jqGK7eycOSj7OaVSr4QLqAMOEVSFX3XIuPISANe0Rerrnybrxt0EgEHsFh+9el4On0olySrJxSSe + 4ComgHiFiChERNQBMXc3wSjmAsBcXdRczHyoXmXHXQwwUwDwzVoSv/6YdMOFWtsOJr/mZesl2v6v + sl7w9VLLVEkGKYmIDwMWOWHIc8yfc+5Fv/9Vb+8OzrfjDXqtXH08vcvy7HfBrPT9MroUHh4ezmaL + odT3v/87hqGUsrWwIuvU8pt/dBFRkVIqpUSmNXsbnhL394kBERERERER0X2i7/vnPu/zfvInf+Kr + v/qNAGp1c8tZ48KNmcU1l8gKvXW6n4hEpnUk+bVtm3Oez+dxtXQKH73da6ZEJ6dtW3c3s+10Sbm5 + k57fI9A0zd7eHoCcc0ppNptFzOeJiFnqum4YBjPrui56y91s5iPTuu97ACmlE5//CNVOKcWWs7e3 + d38HEscuUGsVkYhojc//uN839tC+70WkaRozG4bhLt63lBJbWtM0IhKJtncdZ/vk5ZzdPbaZ1WoF + YBiG+3sTIrpnItM6GisAtda+72P3B1BKiUNJtABTNPXti0OViNRa44y37/uoqxITHMcxqgy4e8yM + qqpqrTV2/NVqxUxrIiIiIiIiIiIiovueA9WtAqNVJGAxAwy7i9f/o5/997vnlm0CKszMsTg770tZ + 5dSntI6PtuSeTc203nA011Ex6H7FsxdnX/Tpz/z9V70a/aiAVEiNRVBAFaox2CcD+dSNiyUiIiIi + IiIiIiIiIiIiotNpGjKZUjo4OIgSrrcoQdC2bSklRn/XWtu2Zb0CIjqdblaPIspVi0iMhY/Rpvdg + PD4REREREREREZ0sVZ2uEUV1XxGZzWYv+sIX/uX/6S+pwh3iUFEAcvN6m1hfWjezgiiXuknLbpom + ipjFy5iuR0RERKeEXJc4KSJDXf3Ox/9TnNKoiguqoAogY/KSak4GcahjNfa7Z5rV3lJ6fSA9o1t+ + 1re+7due89Dzzi3ORcXXpmmibGycaB3JPDtgkSR63Sj+MpSEZp4XyTv0upDdF33eS37fl7314fbZ + C38QS2uBYbXKjYqiHzbBrjooinokkqoDq2F5ae+iY1MoQAxiojc4fxM/jWP3TYpJMbFrHtuvUdd4 + 3CxE/L7k7idYz5xOp2EYcs4RchHh1hGacKfTySkD+MhPf+QHf/AHd3d3Dw4OxnEUecK62br1OEnT + rqGqEextZg/OH/mal7ztZc947cwXO7vzi3sXmtZlLE2FOBxwiYTmDM+4LhBa/Lqk53XY893OpBTv + +tIdDu3eKl/s08U+X+ybS31zqcwPhm5v1V5aNheXzWdWzaWh26vdvje95cHygDRAqyTTDMnu64Zx + M9e+9bgHPNa4qRRFUbdavIxIGW6NLeWZi8/9ujd86wP2uU3p7skM3a6mayXpMAwiEvXbv+/7vu9X + fuVXtzOtsbkScguLxQJA7Hfx+uhteGwzTnfgaXRaQERERERERPTUlVJarVZd1/3AD/zA+9//7dGP + pVaLLGps8kqf8DLNdmR13NSJeNG4WBNXTpfL5X2TAUz3vYi9dPdIl1ytVreIVX6qi+jcM2fOALCN + nPMtkryPVczVNA7zdmKGY33FL3EPL2JET0TOefoYpw82PuT7krtHbquZRbj1tOMc9/sC6LoOwOHh + YUrpru8NtG2bUjo8PJwmeILdMadw7mEYZrNZzB4rLBAdFTOLNsrM4sju7gcHB9EtO3a6aMruojuI + mUVtlOjbtF1dZT6fi0g8EwdZM4sj1zAMU/T1bDbj/k5ERERERERERER037jFAK8KH1E1tWOpUMes + gRU847M+1s0Ods+OkoZxEGB1eVljgJKIOsQE3hjUARc3Od5bWrvzxfLTn3rw4sUXWcXlS7K3h9UK + ZtgMnbUI2oZVlApjvRMiIiIiIiIiIiIiIiIiIrod7j4NIt7Z2YlxnTHy64b6vi+lxICvGLoVY1pP + cBGIiG7oZu3YNKY1hpdG8YR7MB6fiIiIiIiIiIhO1hRul1JS1VprFOmdzWavfvWrv+u7/lzTSrxG + VP3m171VNfLPUkq1VlVVTTmriJRSUkpR3ywmLiz5S0REdE/wmHtzqps8Y4e4wKHVTbIMdfnpS590 + MVcD3ARFYQpIEZRkmmobEc+5gVjNQF61zd4D7/7673wsf85s3Kkr6/teRKIMddyGO9p1EeHW61xq + YDpFm7Xd6mAYDoadfFaXKS+bl37Oy9/86re2hzvn2nPjXp11Anh1ONQELhAY5EpJABMUGy9evuBq + vpUGvZn/zVsdcw2Bu2YCF5seESN7sxcLTmMs91G5pnR5rEH2Y6FrRDPl7sMw1Frjy9pUrfr2lVqS + ppTkgx/8H3/u534uAl/ucBonHG6tqrGDtG0rIsMw1JUv+gf+2Jve+bLPeVX5tD04211dLrOuUV23 + HhELHUnV6npb7aLf8p+3JhgVY8KoKAljrqUZrRmsGXvZH/SgpGVJy5L7MS0HPezlwPOANFQdR+lH + 6UdfjTZWLybmAt9qAa/55zGxdRC4qmscSU1hCs3wil3dbZfzR9Kzv+Gr/+Rj7XPLZ5L4HW+Kxyqq + waeUVLMZPvKRj3zoQx9O6aoX3E5sxOHhoYhM10amPop0Gty3MT9ERERERERE9xMRF3GInTt/5pu/ + +U98//d/DwB3zLomp/VVrhgfVUq5xbCocRzjCmm8ppQS6X3Y5HGKyHw+7/t+CswmOrUiUTIiiuOa + Y9d1IqI3cdLz+2RFimcppZRyGpYoMqpzzhE2rKpP2G40TePu4zjGasIpuLUfn2R8qth8yPcld++6 + LhYzklnHcbwHt1FTSn3fR52CxWKBJ5EdHn+4WCyiW2ekdB/lvN6Jtm3bth2GIY6qsfGf4PwQ3U+i + pYoDRBzr42dKaRgGd1+tVtPh4y7i7VV1GIboON51Xey/ZlZrHYahaZo4tYjjVPycdvbpJJn7OxER + EREREREREdF95OqhmLL+Ud0bTQKtgDbdchyRFOfP4ezZb/zoR/+dNOO5B61JImibNG9yY95Wh2dH + IwDETNyO+Xaowmx1mNzP7cxnn/jEL73uS3HhAuDIOi2Wr//fAFeY3HzcKRERERERERERERERERER + 0SQGEbt7rTUGVQ3DcItxwe4eg3a3CwGf+DhiIqLbF+1Y/B7FE068pAMREREREREREd0DZhbFEkUk + pZRSigrAKYu7f/M3f/M3fdM3qaJpkltpmpvWH4uJxHV192pWUpK4Tj5dLe/7PufMImZERET3UpQY + Pem5OF18E1koflWKqplBZX+5t+z3UlYA7nBBFVQBBAqIq3iK7FIFUGxHd7rVmT/65j/1+PyFO8sH + FuO5Trs45zGzcRzdPaphH9kCyI3Hy5uZGdrctZilkuc4c0bO+eX8mhd85Ze/5Gv8As7PFq3q0BdN + jevMkQFA1vmsEjnfYp5xaf8i1Kfgb/GIsb7yvvGMusJP2y1FA9zlqsf14dbiKq6ncv6P2HbGalQ1 + P9n5oVMoOju1bVtKiS5SdxHRIvBqtVZ39/e8/30f/+Qn4+uhA9PjBm/t0DsurX0s4uuwmQ3DELnF + KaU2zbS2i+HBP/b6d7360S9f7M0/a2dnf3/UFhCoQy0b2pJK1QKoeo6jg6wLt4Rr2x/bJGHfKQck + QRSSIAlQuGCEj6iegUa8FW9hLaxFbVAbjFgV6U16l9FlsFQ9m2SZEqxd4FCH4vjbQ8f6vZJbMiSb + wbMpSoJmZJe8nx4pj/2JN33b88+8slzEudm520oKv4dWy0GQum6+t7f3u5/4xJ/7ru9yR60AIJCr + Mq1vttED2ISVTOKc4S7qzNNxuM9PC4iIiIiIiIjuD3Hfpe/7y5cvnzlz5u1vf/vf/bs/9vDD5/t+ + rNWx6QczhVXfelIAaq27u7vuvlwu45lhGOIF3QYv39ApF1HKsenmnGPLr7XaTZz0/D5ZZnZwcJBz + ntK7p+jNExFjy/u+j1VgZtNQ85tZLpeqekrmX0Sapol57rou53xwcHAfbCc3IyLjOOacYx31fd+2 + 7T0YSTuOY9d10xuN4zibze6iJ2VKaTabTffzYkO6i9t7RyU2lYi5rbU2TTMdRonoSco5xy427Vwp + pVLKdi+QODfGkyhrst0YxhlFtFTRam2/AEDbthGt3XVd0zR93/M8mYiIiIiIiIiIiOi+cdUQKLky + NmYzXEpWta9A0y2qYzQbNeP8A2/85V/+t2cWlzW7iqquViW5qUMswzNg6g6BH/8YZxF0s2Y4WD6z + aR//zKd/5rWvwcE+9g5w1a1PVSABcotxP0RERERERERERERERERERFfr+z6GWTVNMz3pN2FmIhLD + vWPQ8Wq1uuvxX0REx+dm7Vjf9+M4rlareFm0YPdx/QEiIiIiIiIiIgpTFc2pbKmIRLXelKXvV9/5 + nd/5lre8ZRzrE9Y/jMvpURl4KqcW9c3i+nnXddOF9GNfMCIiInoSNUufBlSuy+80wMQ+dfHjrmNK + Im7ucIfJOoJUHApTxzoQ2iAG2W+//KVvfunjr5wvz+XlYmZz9QaAmdVaRSSyKo+pjvQU0e2CKF3b + NF2TWu8FK+Ta7fi52erMG7/4LV/wzBd3dTbu1yTQ1BSDQdfLtZ6EAnAxJL+0vOjqgMk1maJyXT40 + Tksq7bZI3RYHIHIPSh48dfA8nK4xjmOU7u/7Pr7KRa+nu5hU13YAaq2XL+9927d9e61+h9PRE8yT + jcrbkV8QMR8iAmiLmS67ndX5b/yqd77q8de3F+bzmush4KgKA1zM15VVrm0eb+Vum80yYBxRK6yu + pyMCEbjDzEuxUnwsPhaYwQzSANmR3ROqwNyLebFNkfOrWkcV4JhXQeRnZ/UsrnHMrQIXDIfoxvnu + 8sE/+qZ3fsGDL5GLcrY562an7fjSdZ2ZlVJSSu973/suXNjP+cafWISA3Gw6sd9tkt8BIE4YjmWm + 6Q4x1pqIiIiIiIjoKUBhTZJG5cxiPpbevHzJl7zix37sbz//+Z8HoG1S12ZsIqtvIec8jmPbtu6+ + v78PQERyznGpNNIB48INh4nSU0KkUZrZMAw551prSulmGcYnPbNPlqru7OxEMjGAWPC7iAc+Kiml + Wquq7u7uxi2HuAp8s9e3bRvzfHrm38wiljjaxp2dnXsQ83xSIsY7fql13SfyHsSyxuqO9x2GoWma + 6FJwp9OJW1kRcDvtztPE7z1VvWZB2rZlsjXRkSilNE0zBVe3bXt4eJhzjiZ6tVpFb6RhGLZvPd4+ + M9vZ2Yn9N1qVaGGmo1JEWcdb7+7ujuMY/zXey8wi95qIiIiIiIiIiIiI7i8G2QyREsDRqIz9CsAs + dYi0a9HcdE3uAMUzH3jFL/zshfmOeAvHbqvirg71JBBIhVZssrGPk7viYDU2khqXh5b95+4f/IOv + eD1W+6gGXY/6SoB4ht+TnG0iIiIiIiIiIiIiIiIiIrovxECqcRxj1NVqtYoxuTcbx51SiuHeMQ5r + tVrNZrO7GE9KRHRS2rYtpUTb5e4551LKfVx/gIiIiIiIiIiIJpFs7e7r+C5zMY/SZwppc/4f/uIH + XvXKl7uVW4zOapomioVGcHXOGhfM3f38+fMAaq2llKibyutORERE9949qMP8VGGiiIxqB7ZyPVW1 + evmdT/8Hyz2kAHCHCNShvhk4L8W0GrJ5K4Y5Fs95+EVf/tI3p4Muj+2Z5uxw99NXdgAAIABJREFU + OEYdV3dX1Sn14CgXwFW2YhdjDL2JtbMW6gcHe33fz9q5eq4rm8tus5q3+zvvePMfX5QHOrSz3Jah + ADCFAxDoOms0Ilq9yrh3cNFkNFTA40TRzOIjiPfavPmpO6lTV3HZeqhuHle9TgxiJls1Fu5H26kE + 10SoEoUo2t80TfSSyjkvl8tbxZ/71mMryNnh/dCnJGYQwcc+9rHv/f7/ziU9USuhwJWd9GQzjGOp + I9k6au/XYfSqjcx0SLP+7Nd/2bte9ayv/qzhsQf0PCwXQcmlpiKu4gqYqUFwmzVN7iQEe00cbdJO + tRXNSOpJLKMm1CbLLKFLyAk5iSbRjJRUhwFD8WKovj5YqGL6Oh5zLoDci+IwEI+HGpoqqaaVqQFI + FWdtcb488g1f/idf+ugrmwNrrc67dHCwd+zzdFt0egzVUttVl+/9/v/+n//zfzWfN6WYKhwwXGlg + ozPhLdpbEVFVdy+lxBE2pRTXUujEnbozGyIiIiIiIiK63pS01/d9RJPWWl/wghd86EMfeuUrXz6O + dRyvXGq5RfeUUoqIjOMYF9NjXGgppZQSCbURdyoiu7u7vLxOp1wMhzYzEYkhgtFDy2/ipOf3yYpr + +hHAmXOeQjdPStwMNrP9/f1odiJW/GavH4YhGp9xHJumifk/8fXS933OOeKWsfmQ71dxCEgp5ZxT + SovFIjpQHqvtt1gsFvHWdzf/MeeLxeKGE7/3YnGwiUgvpURFBiJ6knLOcXAfxxGAuy8WC9uYzWYi + El2919167pCIHBwcyFbPkmiXVDVOg7HpXz6OY+zaXddF0na8PprTo1laIiIiIiIiIiIiIjodrty2 + 3FwAdrNFN8uAbp4ToFavDl8sVm2Dhx76jXPnPrHYWVq1Ymk9CXdUFwPWA3SPlYmYYWeWRXVcHu64 + P7A8fM6FC7j0GawOUe1K9xEHPMMznENIiIiIiIiIiIiIiIiIiIjoicUQqhh71ff9bDbDLccFm1nb + tu4uIqoar7/rIaVERCcipeTu0+DxGPF60jNFRERERERERETHK5KTpsKGEb4YV4oAuPswDPP5/Id+ + 6Iee+9zPu8V0osxmTK3rmtVqANA0CcD+/v5sNlPVKALMTGsiIqJ7ieVDb+GaFE9JWr1+Zv+TpqNL + nV6THGkzcN7EXIpD4I2Wrqtnv/Llbzmvj87qXAevpaQmx9lUnPOY2TAMUUn+aOY5ojVdsclvnv7T + 4GNVb2dNO2uqFwBJmzpaGpoH8sPz4dzXvO6ts7rbXx5nbZfSVFbgqukbMPpwMBxUHx1X0ri3+ktc + fQPR9bRFQCbLWw8VQK6rMOCb5X7KV+2/QydeD59Om+gSED0EmqYxs/l8fhfbSdIEoFZPSUpBrfVv + /a3/9Wd/9mfvfI5Opj2JsI9orgFsvhc3qMiSs3S+zPPxwa//yne+4YV/cHbpfDfs5pqBqMZigNk9 + mXEvHg9UQ4UiZk+9VDFPIkkkQdTh7rVaSsgZSZEUghtHbsehUGCyjio/Puu3qFpLWpeDacY8X507 + 2z/2ttd908uf+1pdtVnyYtYd7O3vLmbHOTN3o23bg/3lL/zCL/y9v/cTIlgux4hyv4bcMtMaiLVT + sUlKUtXoonN8c0637yh35e1OVxFRcxer+ZqLaPdH5hARERERERHRk6SqZhYXVtQNtaQsjvrIIw// + yI/8jXe84w+7o8lqtTZZ3Sy+X0efmPjz6Uv6dsRv9HcJcflm6jdTa41eNdMLpi/+019NWYP8/k4n + IrbzaUO97y84Rn5n/D4Fasaee+Km9uHW8zO1FdF64ERjpGNWRWRq0+77Hn6xj8QqMLN7v/HUWp/k + mz75KRyhYRim3+/uYvh9IDan7TzvaSeKHSp29mivph2f6AnFhtQ0DTbbj25c88q4STltadO5wfWT + mqYzne5is1lGwxKHpKmR3D65jVoqUULF3af9fWqRpgbh9LRRRERERERERERERHSn3B1igMErYF5N + HAnIAIplQByuMqiPQJPmkO7Nv/xL/+qhs5fnHQwJGGutsvKmFoNVqCHLsSdbC1Id3L1kleqyAzzz + 8oV/+RWvw94ncXhZ1pe/N4+bDbQiInoKivt3cR9w6uQWzV50BRERlhcnIiIiIiIiIiIiIiK6a9OA + LABTdelb1Pue6hvck7kjIjoWU5GWlFIMJr2/6w8QERERERERERGASLCOAQhR1iyqkHkZ1S1lgZgI + zp4981f+yv/8rGc9A8AUgri5Kq4pNYCO47oKWd+PACCIZ5qmmQqURY5P/B5PTqV979USExERPS1M + 5UlrrTHYkLezrzHdBjNZ/zQ4Gvn05Y9XWYl4rTBBSpqAVCGO6qgZNXt1U2u6ev7zH3v5i579irzq + vPe2SaZjkcFQt2MLcs4ppe00hCMhruoKQFxc3MVcvcg4YhwxFilVq4kBSKZY6i4+6/d83msePfu5 + Z9rzVsZqK8DWodi+SfgWg1hu097hRW20wlzg7kmuVMQ1gYv5KdiaUkrbxbrj0xbXRhsfLEtOSKho + tElIMBHXK/nWYibmYpD7dhxu7PJTzXkzi0/spOeLTpfpYHFND4FIaQHQtu30ZIxtv44BVqw6IJBa + I/8FTZPe//7v+I3f+C0zmCHnNrJ7a61bpeztmHOUb9c4jttZNljHSdQMFwc8wxvUdlYffM3z/sAf + /6r3Pjg8emY4K70kRXEgIwtQAUAgOeWkyQyxw13X70K3HndAHRoN2bo5c3GDj/BRdRQZ4ndHjcZc + FHC4rT9m8ekxTcPETTc/j3xduMMM7uu+KGJIxbIW71ZjO5hCSnN2OH/u0kPv/Mr3fOHDr8k2g3ov + ZYTN2876euyHmjgKXHcs2LSc6i4551KKmalqLf7xj3/iO77jvyoF7mjbXGpcy7hqbW6Xdp+6HTZN + c80GNk026r3zqsgpcWTdpLZPUGqtTdPEWj+q6RMRERERERHR9YZx1TTN933f9/75P//flGI5aykG + IK7CTOF/ZlZKmS7c3L4IDF4ul/F7fNOP60fuPmUNDsOwHQ1LRERETwdxO6zv+7ZttyuGx/2htm3j + ToGZDcMQpw1Ex2G7o1jbtrEdNk2jqvHMOI7u3nXdXdyejE4nIjKbzSLcehzHuDmaUur7PnaB6BR+ + k+4FRERERERERERERHR6Va8AzA0i7g6B1wog5TyuVhi9jtYkFYeNngUJ0pceBsznmM9/7y/9wifm + O6suF8h8d5E7ca8GT5o1oY7HO/Nquo6q3owgzWa7pXzW4fKfvPmrcOHTWB0q4CO8oiasCjiOh4ju + A3FDMAayRR+2uHk33a2bqkuwvDgREREREREREREREREREREREREREREREd2FxWJRa42CY+M41lpf + 8IIX/NW/+lfOnd+p1ReLGTZlx54wHs/Muq6LrKa2bacU7e3yfSJycHBwLxaMiIiInt5M1uPNxaHA + NfHMxcvBuFfTWFFFIAJUk4IUL0swoMJVVWua+YOv+T1vysNOLt2i6YoN3hTL5R4kJYupAlNO85Vk + a7GqZXq4Foh1bYtR5nZ21x567e95Aw5SJ1nF1wmmjs0IfAPgYhWlwAzTCZ4AKq56gyzrkxzEOgxD + SmkqCh0nme4O86ZpIsNVIf1qHMdxXRd6s2ps8/NUBOoej+tLEE9R60RPSFVXq9V8Ph+Gwcxyzm3b + 3vp7X5Rqn/5ZSh3H+t73vnccx5zzarVarYbz58+P4zgMw/EvwREQwGwwL4AmnYt1GGfn86PPe/jF + 3/imb30GHj87PNj03bzFcolaoYrao1YfxzKONSXpuqyqfX80LY3J+pjlEg9bP9Q2z8AFtnkAWMct + e77yc6vdVof6+pfp51Fxhwhy1pQ0Gp+UVJPlhHEACprSLFZnH7HH/8Sb/4vnnn3hg80zEmaeson2 + 1ax4kvzEb3M8IucIwGq1cvfZbGZmgK5W/fve977Llw9yRkoyDAVA27Y3m07XdX3fi0jTNOM4llJE + ZCoRn3OO6vHx4u3dh07QkZ3ZqOp0ghIXzm6xrRARERERERHRnXGdahAHdVO3pkndrGm7/HVf97V/ + 7a/9pajDmbPGVZhaa1yXaZqmbdu+7+/0bSMIcGdnB1dfgs85x8Wd/f39yAiMq6JPbiGJiIjoKSZ6 + xw7DEDcFIv23lJJSWq1W2NwNitec9MzSfUtVY8MrpcTWmHOOnGkRiX42qtr3/V10H4mt2t2j60B0 + 2VHVmH7Oues6bAri37p7ARERERERERERERGdQioZUBXFZiBiDE/yUppuhiQpKxyHlw9yFgESbJGb + pAAc53ax2Pk3eX7x/CN7ir39w7GvKNZpBwgcmo5//l3VVVzEoaiAjyo7Y3n2v/+tf/zGN2Jc5jqI + Ao6lw5r7eWQpET1NRI84dxeR6K4WNwGn57Gp68Sbd0RERERERERERERERERERERERERERERE9ET0 + 2tQeMYit+kOIzZrcqGRFEu+XBy9+8Yv/wl/4QG7QD6vcoJSiquuMwOs5AOSczSwKAkexMhGZigYP + wxCDI8xsZ2dnynMiIiIiOkZXx077Jui6ugyl319esFwcVQQqWivEkCJMVFEdcCQgFX3+oy954Wd/ + ia5SMjUvBUORwXQwOf7k4M0iqCPebZNsfdXDIuvaq7j60HR29mXPe/Ujs2fm0kpZT8Hjf1cCIKyg + VC8VDkCh4hC7Kg7ZNqGqJ0tEYjhtKcXdIz6y7fJhf4DkYx37stJGmlmjjQ7jCmLrZTj+3PFTJdZd + lMhmrDXdJjNrmma5XMaeFSWvbx276+4Gh4hHo+IoxX71Y//mv/3z3zsUS23Ttu3e3sFisVDV+NZ5 + zxbn7riYZDUrUiwh19r0I1xxrnvgJY+99lve9O3P677wzOUzuNw8uNumJO6Yz9tFN0spu6NWL8Xc + fTa7pvCKAetE6judpSooiiqogqqbx9X/vBJxDQAQi2Rr1aseUIfgqgeOrlXfjjh391pRK6qYJ4zF + ZorFiAfHc4+3z3nH6/7kyz77Sx/oHswuw6jFO9eu1mRVszbXhBMdr61tsm3b5XJZaz137lxs/BFF + 9MEPfvD/+tV/7cBYUKo3bdt18wi3BnD91ZXItHb3cRwj3FpELly4ENMEUGuNyyNsnE+PI9vmRMTM + xnGMIiBTQX8iIiIiIiIiOj611lKGWmvTpje96U0f/vCPP/bYw6VY5FvL5qrVOI53lyWZUhqGIb7p + T5VAIxFwuVy6++7ubhQA5eUeIiKip6fpHGAcx67ror9sSil6NgCIW0dt257YLNJ9rZSCzWaWUnL3 + vu+jn3fcklTVOF+NjfMupJREZBzHmGa83c7OzjiOkeA+vfVdvwURERERERERERERnQgBEkTggI5l + jK4RKWcIJGe4wQ1mABZndgD0yyEBGlencyoQzBdv+2f//F+67+3uzs+2ptAmAQZRM9xyVNoRLYKb + uLnAt8aGdNWfPdjnXLyMy5/B4WWUIi3SSY+MJSI6EnEnOuecc47bgqWUtm2jzNN0nzpu4bGcExER + ERERERERERERERERERERERERERER3QUzyznXWqPQWdd1wzCUOvzet7z5e7/3e2pFKUhJzGy1OpzN + ZjebTqQMRimz4O4xICKl1LZtJF4TERER3VumMHHY1SPQ+7E/HPcloU5JwBEaDa0AFOZQ11ywsJ3X + vvhLZdVpaZLqMAyp0erl1rGvRzXzUxiqAOoqrgDEBevAaYt4Tl8v1NC0nfVVh2YH57/oBa/UXhpr + BDERNdFNRrWZwMwq3N03Qciyjup0jUDrtZPOtY5CuOM4RixonGdWs2aRpYV0pp1ULSajNm6o08ey + PRG9l5mp99z1WyPHHdNtmnLQzUxEouL0E0axRHjr9jOq+Mmf/Ic//uMftooYCz+1LTdxujbR1GTA + i9smqiZ5QVlaOuweP/P8//yt3/7653zVA8Nj+HQnfdumdhjKajWU0Zomd13n7qXcennvQDS6V1Kr + r3nguscpKLFSq5m5KlThDgdsxGJoHxgffgyPv/Mt3/JFj7/CLycbdBxgo4olRaOaoZG7fTLGcYwE + oqnNrLX+9M/8zN/8m3+n6xr3dS2dUsoTXtBw967r4pcIOJ7P5znntm2jtDu2ar8f71LR7Tmy0wIz + M7OmaWIF40ZHZSIiIiIiIiK6W7r1AIC4+i9euybVOqoiN/ril7zoxz/8oVe84qWlWNxRqLU2TRPX + a+5CrbXrurgbMX3Tj2fm83n0rYms67j6cwQLSkRERE8RcSYQPWXjl77vIz9YRGqtcVcpTkhOdlbp + PhbF6KeT1b7v27aNHttN02BT1367hP0diXPd6BMw3ekEUGtt21ZEtruS89YYERERERERERER0VOO + OKS6AG3uqttYiwO1Voi5GFSh6sVqNQi6WQtg6PuUM4CMhOp46Pxbf+X/+N3d3d85HFc5eW72bIQN + AoE2ONaRQmKQ6lpNq6kBoi7JPLm1ud3dX/78y78YFz4N9JdKaYDuCAeQEBGdkLgBvVwuDw8Pp+GL + e3t7q9Uq/gmg1ioiZhaDhImIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJ7YVs5fztnd+2HZtMmsDMOq + 65qdnfkwrL72a9/+p//0nwIgsi48tlodbiZx44EMtdaoEgwgpRQTB1BK6boukgjHceQ4CCIioqPF + GqE3YQDE45crMb8uOFytKkZp4A4xuIuIQHWEVUF1CJBrbvrm0Z1nPP8Zz/cDy0jqQIKqJmnF87FG + iTpgYvGAGFzFNZkmU3FsHrp5CFxdoFmbJI2L7eEVn/+api4aNOnKkl91DmZi7tXd4SKS1BUmCWkT + AW4uMMDWsdgnto3FeFsRadtWVc2slNKXvqTxQv+ZlR94Ww7rwV6/P2LM81zFLVY5oK7xOKmZvweu + SReOoA3GWtNtEpGDgwMAtdZSipnlnFNKcnv7vIhAkgNu4o4PfOAv/ut//f8eLPvUNvHVb/M6g5jA + BCZu8gSp2fecqxVzEUtuOqqUmWqHWRpbG4vW9KA/+2tf963f8Op3Pdw/LgfzcaldXqhkdRVbj/RX + RSkF8cEJsEmhvlsKv8njZsQAQxw4Nm3gevk26ddPbpZuoFbH5iREBDmnnJNU8ZJ25Xxz8ZEXn3/9 + t/2hP/uofLYsh65DrSnpotOusZQMKm4JPcpJJXPnnFer1Ww2m/IF/uN//E/f/d3fDaDvRwDumM0W + 0aC2bXvrqcUGH9HGEZXt7svlMqq+R8bBMAxRSZ5O3JGdGahqzrnWur+/DyDnzPIfRERERERERMdN + VePOQVzWAfDYY4/98A//8Jd92evn8zkAERnHse/7nHNcnblT05QBzGazCxcuACilxPWdSKmstbo7 + L/cQERE9rUSP2OVyube351e7ePGiu3ddh83tg7g/RHTkRERVoydNdM42szhH7fs+pTSOY0op7tnf + RbJ1KSXuAcek2ratteacp95pIhL3RHFdnxUiIiIiIiIiIiIiOu0ccIjr2A8AcmoWi4WmlJtWNKW2 + 0aSikuddavTgcOlmMO/aDjFksVYsFpi3WCxe+XP/+HfmO3rmfN/3OxmYJ4OuhvFWw5+OYgGqxug0 + B7AeduuSHDaWc+YvHOWnXvc6jPtnG88GOyynbiQbEdEdivuD0ReulBL3Ctu2TSmZ2XYHtuhZd4Kz + SkRERERERERERERERERERERERERERERET1FmJiIppYhoihymCDYT9fe97z1vfevvdVjTZABX1ze7 + dkBZTCFq8XVd5+5TEbMoFBx/3jQNx0EQERHRvWLAJkJVHGIuEJHD5b5rdUGcrbiJS4KmAkSWszpa + 5G7sXvDMF8jKdpouiYzV27Yd+5I9Y8TxhiWLubiLRzKpbh7iqq7iEg+4qIu6CqC5XQ590+TkaGz+ + jLPPemjn0VxbQQSsmq9DZeGynnN3jzBRXaelrk/eNtGnDrHpmZMSOc2qGvV4AXRd13TNoENtR9nB + oIN3vjg/q1r2+wMX861aA1P494ktwElgrDXdvp2dHWwNVy+lRPXpG4o9cfpnVGgH1OEC6fvx3e9+ + 9+Hhoar2fR8JMk8JZYRKmxo1jKUewvrGpdVmt93RoSuXdDY+8CXP+7J3/ZH3fMHDX3QWzygrcZec + WzdZHo611qbJ25/MkxENmETzBVz78GjWrjRu4rqOsZay/ikWj2jzgRv8PCqyBvcIR6910Fl5eHb4 + 6Ne84h1/7C3/2WJ45Lw+ar1rFIqRrBCphlLVzbVazPYJKaVERfemaXLO73rXuy5c2IesSz0AWK1W + ALquG4bhZhOZopGionu0wLGzzOdzEdnf34/rIUe1kdCTd2SnBbFlpJR2d3cBRCkQXvYiIiIiIiIi + OhJxFez658dxFJH5vNvZmS+XB7WO83l35uzOj/zIj7ztbW9r29bd43JMKeXu4iRTStMVor7vn/3s + Z4tIzjm6xUQ90GuulhIREdHTROT7zufzUkrcEYibBbPZrO97bG4vjeM43UMiOlrDMJRScs6xNfZ9 + r6pxBjuOYyklbljGz7u4b5VzjlPu6DowDMPOzs402dlsJiJN07Rtu75XTERERERERERERERPKTYU + CJqmLcOYci5jBaBtQhZ3NF2GIDU6lHG2M5ekMIGrQGqtpUmHViAJsxl2H/z4Mx//9HKZ3bVFf1gG + w2zn/NGOXLpGVYwZYwYA9RgrK7lqruqorfuZS6tn9Y7Vp2T1SRREkRQioqe0Wut0ezpuQ083BKe7 + gdFfjoPaiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjo7kQlXlVdrVaO2nY5So3lrIeHh03TfOADH3j5 + y182DEVVbx2PN0X5NE3T930E+kSeGYBa65SOxjpmREREx4HFQq9xkyRmA7B/eOBiZhBZpyaLSBWM + DmQBIIbGdI4zL37uS7SU5DWiW+FqFVraVLvjTko2MZOySbY2cYipAsk1HrqOuNbkCs8OKVYdPbzM + pNOxec4zn4/a6mYQqothe55VoHIlANpc/apPzQUObEdEn4iIjRARM4vCvCmly/uXkIvPqrX1crk4 + yKrH8tLq4nynM7VIto4PR7c+qJNdkGNyw1HGHHpMd2S1WkW2i7vnfCWeeTtNOcSmtXmBbiXDanyL + /A//4Xfe//73933v7jfOi4nE5dNFIRlQcQDuGKqtYH0qng672Xjm7M7Dq2WtY37Wg8/9lt//7a/5 + 3K8425yPeuBN07RZ1eHupaz3Owee5B4obuKW3JJd9chm2bD107JZMoPAFS7Tw7YfJnCBCfyoM61T + kog2FxF3jCMAnJ099Gh64Te/5b/+6le9HYfzLp212pztHhn2LVuVOqJUWGR3G2QUHe7JJnHjplJV + 41KGu/yZP/Od/+7f/aYq3JHbxgwpt1HPYRzqLXKQS1lfMKm1LpdLbB2zYpfZ3d0Vkb7vm6a5uxwl + OnJHVpaoaRoA4zjGtbCo8n9UE3+6iCbAY6UYNk2VOiDrK5F6W6dxCtjm52kkgF/982ZMsFmWG9Nb + H2euaVXXn970007tR/QUZaKA6fpTdUDE1dfnSbFJ22aVqW3WTjIF1itLPZ7MJlbXz+hmM7jN7Z/u + ytbOIq5be6i5QPxm1xRg0KiC9wQ7401dWady9f7oNzsrcsXWSfn6uWvm6qr50enJ+P9oVK+f5tac + rCdosp6NbApfn/G7mMnUesQfns7tc5ofA64ssm195vEpxQfzhCtw+gBjyuq384e3/kyeoAW+4Vo2 + MUyXZlyw/uRvvLDA9sq9akvYtDa3T4HN97ftdT2913UbgImpR1u3Pi5fv5tc+Xp5h3Nz9K7b47b+ + vb3GzQVwNYlP3iAmDn+CdX3zt928zw2mIIbtPe2Jd7Enc/5zi4nfZGpbs3dlKledaVzbStBJiYNC + XAz1K03Z+gQ7nkmxE566lvyeWn82Wx+Cb87BppMxXGl1N4eV61oPvW46dNRu0KR0XTcMg4sMwzCb + zQAsl0uRpOLf8z3f/bmf8+wf+OAHDw+WbZuHocRNoDt6y5TSNb1bDg7WF33iumd0sonJxtWxJ7mQ + RERE9BQSPRjixqq711rbtjUzVe26zsxms1kpJW4yER2Htm2x1S+k67q+77uui80SgIgsl8umae7u + 9mRswLXWpmki3/rg4CClFO8Ywe0x2eipduue5URERERERERERER02mibUR0iuWlqKRCBuo0VSQAM + wyiNjsOQcgOgGlIMtXFrmnSImnJ2QFLGmd0/8PM/94uv/OLWy0N1yAme9PDwUidXOtLEcCaJDpyb + Djam0b966s5xN/0uXGDR9dfXow/QSCnDbrN4cG/5D770jX/oo78I/yTOPwKDp2l2tjoLrZ+xq8fI + ERGdOlNkdfRVM7OmaWJsZLyg1jq9ZnqSiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjo9pnZOI5RcTfn + bGZDv4xSZmfPnj3c32vb2d/60R992zve8W9+7d/6VQPErhWVe0sptdac8/7+PgARmc1mMTgicp44 + CIKIiOg4iNw6G+3p6No4ZpcIZ625LIcDQGuFCkS1mLtAVL2g1VRqUUdCM8OZz3nkeedw9vDifpfO + py4N49i2rZhmRcE9SKa8OlNjOzlITHBVWEaFac619kgqEO/l8Wd93j/79QzP4mUTVGKIT8ZV18Pt + NeK6ATNxWQcOKRybIfkCMUCfRGjX7dlK3Z4iRVxgZsUtiwlUVZFwcXnhY7/1K7/yT//Ffr3YNTMv + NpsthsOykLNv/31fO5Nd8Sw3SyC7f12dN0x0W+IL2mw2M7P4PSpU2ya05RrTZhYxxleeB8xhxZom + ffSj//QH/9oPffu7/0szF3lqfPuL76rmVVW0acQjuM9ExIqX1bhY7O7Xy82wOOftH37dN3zh3uf/ + o3/xU7/+W7+WAIeNGN2j4QSmPMSbJCHerHGSTZLiFHwpDkC3o9auaYQjnG6angDrBMbrjoAx8SsZ + fHL1f7o6kXBKbppmVbbmanqBCzTJOHhyb1NuLPsg57qHPnvn87/+y98ZO2J0AAAgAElEQVT9kDwT + e9r5zGtyk4PD8czuA2Pp3c1dkBJUHaN5vdNQobt1g8/dzFJKOTeHh6uf/umf+t/+4f+ugtEggnEY + Um7rJnHAvOScS7lxPfbpWketNXKUsMkywCbv2N3btp2epBN3ZKshjruxXruuK+VusrKwKS8iIrXW + 2KRS0lrr/XtcV6zbJnP4JiQSjtFFII27V1TAoC4idt1R6eoARQDr0L5N/Oq9WIbbt27RNyG4FS7x + 9WOzfqcINBFxwLSaONywWULZaoWxjnS7NqJ1SlCG+HVxs3HGn8TVxSCjyQ2+yWyn8W0n/N00Z5cA + k0iwhklVFHEDsroakiMDZlJ9yj50dcAzpFrpS5uytrmOq6yuSKUgzZpVvdy0bR0l56b4Ya01S3P6 + koOf0jZhq2LiPn0LVbdoi0xM4QZTmAHwjE2rskk4hsOqu7lUc9UcJ8eb6Zt7veb9RASuUUIKQOxh + AlWBQmBuqOu5Umy+k1+pORVf190dJknUDC4mUUAQjvW1DkhSuJoZoCrZzGo1zSlOMk2KokYWL6Ae + Z5mumyYKCjOBuUuWQytNSnlEK001laSD9Z4AqQBEVdTdrNaK07V9qiFhfVpuAnM41vUQzdeHHjWx + 5OuAZ5P1CTSAWDuCFJ+tuxc3R3zacHEFpEiCAFbh68O9CgCVHKvL3cVji1ARqRbrsQJAEhGBCAD3 + GutXVbOsc7DMLG0u9QAaG1XxMnrRBi5ls/KSWDaoul456Zfi66WOptviQo+LwsVE3QGJjfz2m3Q1 + 6P/P3psGW5ZddX7//9r7DPe9l5mVNZdUQlMJ0CyEBQ0lsFAzNA2mHeEI24Dtpt3dOBwWMuAgZALT + QQTmg/ujcaiNRNDhaNMdjQkioE00RmDEjAAN1sgglYRKqkFVleN77957zl5r+cM+576bWZVJpZTD + K9X6xYubN8+977wz7rPOPmuvHwiBbrzYtTfK4e5qFBKZrbsT5q5KON1gPl2JHLBty3Fdg81djUFu + 5CV7tn1ffqszf4Tt2yYD4E4mGLQYEnJiFk/UAro5lObIdZsk19pEXJPZ2igAkheB080pSjOISFZV + MU2JANSLsgaBzWUa1+sb/xjSZT5seYae5SNpepZUzIsXJAFQHKRn5nEYG2lEsqs5ISIGMbPj0zQ8 + D6l1YF2YCmtbg0RYKbqShko1oYhIcS/uzCmlS/Vjk9Z9896ednTd2D7ia4dT6+qb13n6JQu6+Ujo + 82oKcEkPe9V+G81pgDuNLnCh1ybLjOb0KUJm7WoXc0jtr5/ua4LryTPcj7gA0OLCDCBJghqATAGc + tFIO/9E//C9e8pIX/egP//C5C8smo0zPRyZZddP24zBcOtPL/4pudY/OUdwUSdbbdsy3csMwVKdg + LQy66Qn68r2jD44F26lXq9Wq7/svrksqCIIg+OLYdOfW19rDv2mZ65t4IBTcBDaHH4Cu6zA/yK9T + mqYBMI4jtg7Xozuj6WlU2jyZqknk9ZEn5pC4/nr9Lb1yDgHJnPM4jpter+1wZTsRoRbZjyTyIAiC + IAiCIAiCIAiCIAiCW0ntSM4E4DWP0n1KZa0pFqSPVjPkHE4hADjhhFtHcZhBUm7QCXZ3vul9f/qb + 3/Qffs1TF06tnxSOTQJcYakmLhaBA9lkM57JCEdN2QXq+KvJfP2sSHY0ZGt2WjthSl8rcoNSDu/m + 4o0Pr977xre85U9/D4XAnZYAmPrYsHETGpgw0oCxncbQNnD5ovzaQRAEN4nNo8D6uG07RW3z3DCe + xAVBEARBEARBEARBEARBEARBEHwp1KFSdQDUer2ug7auUj1gMywrygsEQfAcpRZLKaVUR9GlJfWC + IAiCIAiCIAiCIAiCL2O2KvFuFWeWWjO/Fl12AMxZ3D0z61gSmARtlp9/9//+/d/3X372s49W/5QW + kITQjXCXlEzVDFWaAGBje9poz9wVMBHUGv5kwpbeqX45uqqCIAiC4FoxMwL1Kba7pbiWzohP48mn + EfPIAOgGGQc5vDico7SCpTvclCKgwLwhfVUawegoY3rg3tc044lRU9s07l5spIi5EwU03FC/mx+N + HXXIRjI6T5mhYXIFGQh3Ii9KGURE0Nx9xz1WnJ7ppao3fHZtJAeAvl0QiWhMCaHL2sVhQs8AarQH + wMUcdrkm/PpCs0l7lJMhea1IICpQltSIwtxNmnZV1nZy+KsLH/7A2feuuovpIInk8aA0trN3cPp7 + 2r/fL3eT06qHG7B5uz3nfXyzC++yyTnnUkpKDQB3V3WRrCXkBcGzYuP9qZWlSynbOVE5Z5K1VHXX + dev1uk6f7+C2DzOhiBmGUUn+rz/7zte97vVvectbAFfVNstqdZhzBm2nXxweHlKOVSF3q8rnBMIJ + TQ6oA8nMBu8Amg7Ws/PBAWv229elBx9482v+6OO/894P/cYXyufak1zbigljQZuZKKoKBZNUzx0B + M3N3JnGguImI5GRlxJaTjJPntZ7pk+KtGpFk6xJgmBqEzQ6YLnmbVz6TYWojjxMUmfx99WvJhC4b + S504TIrTVOaZK7IQLmYgk8BUwOQjTBqkEU1JeblzZ77/wZd9+ze+5u/uDKeb0oqTDro4kNq0Gleg + gVVkpzADKGyAZ2jZri/CXI/eU6dOnT9/Pufcts1yuWz6xTAMMHzhySd//Cf+p3Wp3RcwBwAtAwDV + acuXMgAg2TTNMJuPcs6qk5m77uI6fZOFiLlifHR3HDeiQsetp4otAQC2CXNFBHQrTpdGmjY1iRmT + k/mSn5nt8M4ufT1GP6qqqqOpmZUpvKaIOFB/qnDPATUzM7qIM3mNiec2el7v7aBcpqZcaoOLI62l + AGLE1o8Z1VlAted6WHzs4JYp2cUnmyyAafqkRQTqdb0oHadvP4WMwdcqziYXuKdURl+0J3Skm6yX + wzgMXXusYqYvD47aHKAao4lZJWzTDTbhYhR4BhKQjlTvc0zFlJvcde1ukobmPhSMKqZe1OdzsJqJ + RWRTNColppREpPpjipopzEkmSEbKANVQVNXMa6vo4g5AGmnatgekaZqcWwDuzDkzpWpEtqKqamZQ + g1mCtCnTRRzJIdhqT+pauxilep19Eh6jZacjur5hdiQrPhjH0ddVyUynqNiAsjJXNCkfswuqCVSg + QMG24rdGpZNOfAqjVWAEJbtR1d2ZUsqpJTk12ariImCikEJ318leOQmwyRrrG6A2FrcquWSCE2am + qiIQQcoiiQDMi1kxK1Xz0zSNOIZhWK9WZRyJehkoZjaqjqoFziZ3i77eHoinZEks0yleLwebI1Pm + N5cwXzImOeu1bc254GPVwB/9uk9SopTo7uNY4+Hpus66cbYM7lsLIxun9bRgvKHX32lptxZBZFoG + EZd6yyVeVfICSPacLBGNSHY2bsmKq/q8qPVoAi69PbsmxG3ed/MCErZ5RGouQBLJuU2pgfNGxj+X + zGqOKOreMUz7aHIZ00HHOI6unpkzc2KTmRISHU3TUNxsqIe36ug6mpdrX6T4uW4/4gZXaHEb4Gqu + Zib0NjcJKXkSpGQingWJxs1DfXna8bCZ4WU/t2rV3PUZf8xsc0++ufheVpmR1e42n3o+96obaqg8 + hc2bk4IuAOlpbtPsaFbOelXd/NQm8Grnf3ATIR1aAPumB7/h3/ybX3zgZS8sBQCaJgE1nQXjMKSc + AbmWPsrNESVVxQeg9py2bVv1frUw6Oajg4OD67pmQfAMmNk4jn3f46jXPgiCIAiC4BnY3DGR3NRS + AaCqOefth52qWqOLa6VKsmvmwXq9rvMcx7F2JQ3DUJMP1ut1VNIPgiAIgiAIgiAIgiAIgiC49XD+ + qfglP5zzZVnzxzALqR3YyqBzAm1CTrht79v/39/6tNF2bkfGZFal0QEXTAk2m780LYESOvuyr5U6 + +hReE4CJeQjx4mQegWJYUO4/1JeeXf3ad3wHlheBUQAtY8NURhWCgs1jdp0WbV6va16cIAiCIAiC + IAiCIAiCIAiCIAiCIAiC4MuEzagrAF3XrVYrXLWOZB05VctJmVn9fv31IAiC5wQppToOFICIlFIA + 1NcgCIIgCIIgCIIgCIIg2LBarRpJAGwsfd++8N57fu5d/+K20wsAqtjdXbi7m8E9N53p1UZopWn4 + GZbLJSbFtVdf2kbvVPveo58qCIIgCIIbhEEcEBfQTEqRUsVG8I2pR2FOR6ryDgq8Ob13V+sLsTwL + 4swBI8CybbK4UbhMP5fXBnjaFwEApJNuoCGRSZDa1DbSAIRDXOjTQk8WIWNKaZKokgCMxTDWedIn + 0Q8A4AY7vDcrMql9pMpWq6rJCWetsVudOqZpXDfLw/7CYX/usD932J852Dmz7M+smwNNI2Bw2ehX + nLjhDvLjR/gLgmfJJkWqZhHknAG4e9d19QZtHMemaWp96Y2m9xmpdaoBqXeKP/Zj7/jkJx9KKasq + IH3fd10nIufOnRU5hqIfm1r1ueGtEieV4iyAiSOZZE1Zm25YnLI7TyzvfOur//4//u63fd1XfPPu + 4e07w8l2aPaa3hVDUacwp6oXdHcImURyEpEkyAK62TDOxtLpZ27lZdKPAkabPIPzIiqni5Fy9vbN + FWGOXv0SGdPlOJJNP1tKNQMMLE7bXOM2KqtFm6rNLTV59FKoEF+vPTnakvpxt7144g33PfgPv+O/ + fesrv/Pk6vRi6NqSskqyqTV2Wl3sWjbm6BrqcqOd1gBUfW/vpEi+ePEg55xSWq1WXdepqoio6j/5 + J//04sVl0yRWtfkVaJrG3YdhqL0cfd/Xng0Atd57KUVVx3Hsui7a4WNOaGJvMRuntc8tXVXJNkJ6 + Miaa0BQOguLMOQG4RMZ8JISc3swCzan9Oj44wdyYV6Hp7I2oUlXVy5KVHS6OtiQA9K0WiXZ5MEvz + uZLYZn3NxSG1ZFhtduc4WOmAmE6/muRva3ynG4wvcqWftwgcRoFvBKgGFkIxVXAzumQToDx+/vF+ + r12VUaHetEqhgtpyyQZ916SCg8LkYxE0t3atvqzYqHk9wbND4AR9joS2AhRkGIFcb0JBAxUo81kh + pmJm4shwQWoS6WbmgzQqwCQ/djNTKAAzq84YkUyyytAJKVZFmDa5gKeGIicIQRjcCaODxQEokoyz + uTOlZBB3U/WmaWizbNnpWhKyiGTTGssaM2DO5BSrqzAbi1UMMMJFUx7bdizrdhj8gJIAb9qFOVVd + LDXrnL3Jbeeu47jyYpaOUchDmGANwCiOZJgkyoIac9fLDZQoApVMk2TaMEsjAFy17qY2taqaUhZm + 87Jej26Wc845w03hAHza0nBXdRPQaQaS9VOfOlkwgFUXBJpxM11LKYBLTqlvF1nEzGCqrqmhpwzK + 6KaqVowDW+my9smEXoNpdylGg+d6qZxDf9YXcZkd3gYAtFlVe+2RN+3SXiiZr2Y1jndCkiShOZQu + ciTY3r5JmZYL02E6lbkkr3jPcl3wy2TQEEya+lQ/3iyeOMTFRzEn85iywHNdyJSS+8opTng1dk/+ + 2mvuZpLaZec1aklGaHVq0+qG82KuAmm80N2bDPEbF/8ot3btdjwigLG+ujiMECcg1JxSoomruzMD + oCrHlKBuDkVCroMTDInYlPoMbgUuQrgmd6eKiDpoTBQvTMyqQvMWieRIVxihTqODBJ+h83ma7db7 + WxMn+lWWrTZx9fONLX6rEajnrQDqbnCf4uTNKSabmxRx0HPyevADcJWiYgLAJVnGZS3qHKtPEXhw + q6me6WFYN03zqle96hd/8Rff/sM/+r73faCWJJ4fpVBIE7g9+/SUegShaZpxXFez9Xq9btt2+nhW + ANYpZra7u7sZuBUE15d6aNUu+zrUuR54VxkRHQRBEATB8xyd+75qFIE5jTvnXJO227at3xGRWjzl + Wqnzzzlvly2oj1fr/M0MwNVzDoIgCIIgCIIgCIIgCIIgCILjCTFn5phYggHNnO7OvR0MF3Gqf+zO + E3tPPHk/u269bqWmIVGcWQWAQDd5vAIqpIgA1pqnLy3nRgkV1JmcO1dyxm6ffbU0NLc3J3c/9wT2 + B/Tn2J1oU0ZBg+wGCuhoAUUqEAeyAW7IUnNE4gF8EARBEARBEARBEARBEARBEARBEATB85DNIG5V + TSn1fV+Hcl+psqSZtW1bSqnFKPu+X61Wfd/f5MUOgiD4onH3Whql1udtmibasSAIgiAIgiAIgiAI + guDpdF1XVUzL5bK6zV70ohe9853v/Mf/9T9dLsvBwRJA13aqWsb10377qMp6SklVAZDJXQHU/qhN + /d79/f3d3d3656rlOgiCIAiCa6XakVAFZsERcqXtUWuoXpIX4AJWxxDcUWOVu+66i0h00sUBzroK + I8TtUjnOcYFuCQSQUuqarmkazl5SuMiWssfN+6ajXaY1mg1EhDk4b0P6zdBC01n/tBM2iQ7njwA6 + CQFlMjQFVyZ0qsGzp+r26o1bSmkYBhE5e/bs3t5erWI9jiOAKmq5ynwkJVWtYhcAZ86c+/Ef//Gf + //l33XHH7cuDg7YVAOv1erG7A8Cfy3oro62Gg8Xuwpd4Sf+6H/y213zkM3/62x/81c+c/8vD8QJb + R6JlAZIQYkrYytwhyU3oGcggzF2RqkPZq84sG0UB0FyG+UIDdzsqhjKf2RvPaW0kZ3+ZgJdcm57R + EieOriA5DHBCxZymglmnCMyauaSgC2jLtbYtVqpetNlJQzEtONHTLrIbbnvB4oFv+5Z/8B+8/OsW + 406nSccre6FvESIYx3XOsjnai9ugRdV3dnb+hx/9sYce+nRKHEdNktSueHSO41iLsdcoYrVapZTq + PMdxHMcx50wypVRzC2/iKgbXTOyeY4gBGMcxMWVpaHQzONrUishQ1k5sFJLbrdtszawTJ/XmbLTj + sXh1qBfHFKBtN5ECuoNMG0GaiIiDszmXs7jPJ7vkM0fkm6nTVpqvFtzy6rkU1Jbfk1SdZ3A9kPkQ + FBDIgE1KRfhsQVagyORAhTgSsrfIi9UZf7w51QxlvLg+75Jatqe7u/RJabgzDCuK9/3uMKyP3XX1 + Oc9mgwqcxtlxOU2uJ2MGCLSACDahmIvnaXe7ECKJNHd3wIoXqCrcM9xd3UggObI5VaEpu8GKjaON + BifdhSSFrTur0KVKZRpJpIzFodXPnFNqEppR1UaDIonkLqfUlVKGYSC96xqYOR21L0McxQ0jnJza + DRHAqrDTRQgDhDCvwehUO9AgZmXn5OKMPVL6tfeplMIkw1Jzam9v7u7zoux7GUbJJMmczJ+9CfIm + UHsSMJ9uAgid4l6jW8AEpkS1eouYQHTUeguUcyuNqFuxUbKsdXAsU5J2IRSWYXVQVlzkgYO7u7iI + QOBQdU+ZNlEAiEhmFoGpkiCF5qagS2LObKx4Rlt94mNZFqMgiYgbhmKqg8FNkoh0uUvMWHsyqSUX + DXDqvMJm1RVd17ruzaNOKxAGulQ1ux994dkgbkbQJ8Px9ic5ZzNzM0qbc0PSfG3Fj2TRnPbHpRjm + riUDgLrYN+4qDFzihJbakccjKS63PdDuvsi9ehnFRriLusId9gxdi4QngOA1dgvSOMUCVZItDjMC + NoLSgJAsSJRGmNULymjTDd4NiX/omwOp+rKP2sHpDxy9CmCSxOA0s+JTzEIhktEKjLSUksGhcHhy + FjfnMYjEnq+v4gJ3EyDBBVCoOg1iCRQBzUzdU4YnAtTLwkzaVHPW63E1HWNGk+mQqOf4zV4vUp7x + fBcB/Oj/5rOP2ifdtcyrABfSHJxbiqlULqEgxBUgj64jU+1d0OZ3qB9xu43zJPTaZs6Tb0ZPenAl + 2rZdr9d936/Xa0Dvu+++d7/73T/1Uz/1K7/y70gAdHeStcfzGqn3revakdq2bdd1bdseHBxsuoFS + SnWQ6vVcpSB4GlUVuTnSUppu8+PJUBAEQRAEV2ITMNSuyMViUd3VNbe7ZgmQrF/Y5Hw/e+oD1Bps + qyrJGpnXKXW2ImJmmylBEARBEARBEARBEARBEATBc4n6ONoFNAEcUpMUx+JNltT2kP3v+YP3/MY3 + fvPexe4uvaC2ToBB4AJkcQN1SvEB4F6z4xwCN1yeZf8sYH1x8aQEQKUAemovlaLuZQUs+kVeLl+c + 5fe/7bu+6fd+CyJAg24PJAXrYey6Bi4JojXV0G2T1R8EQRAEQRAEQRAEQRAEQRAEQRAEQRA8b+m6 + ro7a3hZmXGlIlIi4ey04UMdP9X0fQ6iCIHgOUdurYRjatq1T+r6v9alv6XIFQRAEQRAEQRAEQRAE + xwuSZjYMw+7u7mq1qmamBx988Kd/+qd/4id+YjUYHOM4mv0t47NUtVohN+XO+r5fLpd9v1P91nt7 + e1X4FBVWgyAIgiC4WXgpA0l3UIiqt+BG1QE3JCYY777jHhjpWVwM27YKMz7da3Ms2CQwkKltu5Qa + QFCLABzZfAwQmOz0uwTcFRSDkclxi2SzLlu+D6t2Va+in0v9feKoom45njvgprOJouubTU3gW7lM + wXOHmitVswVSSuM41kSCeoO20bt0XXd1pzVIU61Fqjdf/sAHPvSzP/uz73jHO5qmITEM677vRWS5 + XDb5ue12yak/OL8+tXu7CM49eeYN97zpxX/v/g9+5k/e86F/v48L5/XialhBSpslg8VKIkAn6erm + SOLCSUJd/UmC2vgaIEeN3hWS0S7Rw20qw+DSN1eFnmf1k9Ur2jTbWtfFt1paE6ec2MvnDla5RdPI + aqUC7EmT9/tTfu/XfvWb3/rG7zmF23kmN81CV6uu60blsWqhq5S967qa+KeqtWR6zs3/8xvv+eVf + /lUAOTMlqCqxZbp7GpvzYlN3fdPeNk3j7qWUlFI1/dXOkOB4Elrr44A5J9kkHU6jC0lAWOBu7upe + aK6SmJrttq+ayAyo0a0fTZwszj59Pttqb/mrFZIiBEknyRq3TfZjq7bRuhYEoMkvM+E9o9h4nrtc + unEMgDjgttF6GgHPzrnSlguvfMHYDr79CiLtYJtJeOrVLJtmQbIBBqqgTNZRn82ozBeG858aP/EL + v/bOZmdInRwOZdHtoOibXv7gW1/xD3reZ4NCzbQZTRqJ9PTrhws9Yz6njA4ojgTwVWg9nYlgAaBi + gCcjnWJNvX7QbT0sUyuSUxEdrRiNjZBQPQQdSb1xy2WlhxfW5/bL+SfOP3qoBxfW5y+szl5cXTwc + D4qNrjqsVrBqjpUkTc59l/rM/o7b7trpTt528q7bT951au+O3f5ETl2r3e6wI4oyrNZj9c0IkEYd + Od0EmriIiLc23R86AKleZ3GjSz0gk8MBEu5i1bfuojTtxgN+4f/6vf/j4cOH1lwNPrRtFk/teu9b + 3/CdX//Cb9nZPaGqBh0xCkWQ5Vlrkm80Tha0dMBJiDiqgLV+agLjtOsJbdzo3qRMoSEXw2jF1J3w + 5CLmjTnGwVfgSJa849aNn9r/7Llyfn9//8Lhhf39CwfLg8PD/fU4lDKojWamWgCklERQg8K+6xb9 + 7qmdU6d2Tt+2e+fpxekT7cnTO3fL2KSx6bhoUp89Y0QxS/2eQ+laxdlUuJNWBARVpTgNqLusgcNE + gWEjtHYIOGmbq9naWa8Lk0/a8UWmiRtESPjUHmVpBh3dJXlSJNPi1CQOijhnDXaVytbKleaoV+26 + OyYP93zTcEOuv07DZodPhwgwnRQVwo+WgO7r8bxyVClGBxsXcWSHA240Z6pFNAmBZ0xX1WcNDXDQ + 4MkJQ55/2VJKdAfg7uZwMxV3lyTNVkfe9Y9/Jp09LtdaT28uObXFWIqMwCgqYMoUoRgFjhEjUtJE + BVwB9+TiFE/HIAZ7/r5idDMDHSRLIjIAoQqTiCQXp9FNh+RjUiOSNpwO7OnInEL0o8PA4SAFDhI2 + HTA3e+38CtPXZQAsIblQHC6USxsA2xzVNGdtf4QwcRAqMMLoVn/JISaiEAOnhos+9UTTpjOHmNu0 + bSTM1scBMzOzpmnGcQRkGIadnZ2f+ZmfeeUrX/UzP/O/OKzv29VqwFGPZ92V00XqSnPdvMs5V8Pf + MAxV/le7hOqDmWoarqOztgepBsF1p/bg24yIbHobgiAIgiAInpHtAPXixYs555TSdmZJznkcx/rI + /1pnXtPEN9FIDbZJ1se0TdPUiEVEarL4dVmjIAiCIAiCIAiCIAiCIAiC4KYxpZlJzcVFJgbAgZwp + gCPxxAn08vf+8Lf/+Bu+szlcn5Y1DYU1sW3KwplycQglnF7zWukJ7rjGrPWaoiM+ZfI4qzKbw6E6 + wIxE+PpQnF+RTrSPnvutV7/pW9//Ptx1J3wEOy1IXVOA7IChTQKfcvPgEF6rZDsIgiAIgiAIgiAI + giAIgiAIgiAIgiD4MqGWqqxjr2qR1rZtr6KprqOxOFOrT4bWOgiC5xYbp/V6vRaRTZXqIAiCIAiC + IAiCIAiCINgmpURyHMdaEPX0yVOPPfbY937v9z788MP/2zvfWQrc6xgxI+lTjebLR41VPXZ9bwYy + qY6LxQLgYrGopdJqmbK2baO0bxAEQRB8EcTD6mulygUAuE9jzEmaW9Xo2SyzpMttJ27j6MkEqC4W + qe4MJ1jHyx8bfxMAusPNPVVtEc1TagDCBRAC4mJHX5bk6UR/crIgczbi2MYvbRuhw0bqcUPZ9kY4 + jZtqAJgm0UmQoFzVxBdsa1aD4Oo0TVPzB2r56Jzzcrns+77mU6WURERVN37rKyF0c3OHO9brknPW + Urqu+Zf/8l+99rWv/e7v/i5zr4Wsqznbn8tHqHibvM+tjodLt9Uip4S9Nj/wzV957wMv+to//vjv + /vknf/+8PoamDOtikMViJ+lBdSYVoZkroEgQOKeS4EYDhupQJACHbPSKGxXJkQFV4FLlUjJZnJ62 + QS+p6HLZp7KeM0ScAOdW3y8RWtPrlcIK5dz+WhZJi/rKTiWkVdcPd9+3eMV3feN/fv+ply+W3clm + jwllNe4tTi2Xa+bj1URX27qZqWo92s2wt3fi4x/7i7e//e0kRPLkrg8AACAASURBVFiKAchNV0qB + P3Op9por6LNybjPdzGqN92qzrl0cpZSamRMcT6Jc/q2GtmnIpgkOADk3LDAvpKMbKaqqanC2s7Xu + yBLnBOB2SZBqTqlzE2Bu/m79KxMA9yncFvepEUmS3Z1TPa3qoE2btatKvI0Yb6stP2pkDXBu9KVb + 7ThA33g9QcJp5skpvAlx/fOUaq0WI6Ru/Ml4ahuFat1za1vzhP3JB3/vXPPoMDxJ+qDwA19I8/HH + 5Vvf+G0HF55K2iVvy2Bdt2NlHVrE64fAp7MMVIFZdZBTpttmn74EGKC20XlS4MLN3qTvnVwUHw7K + /oARvUkvI4ahrKTRJ88+8cjnPvf5L3zuyXOPnzs8c3F19tD2PY9jGgqHUUbl4OJGA5HnW3EBiMSh + yWjEO38KtMY1+4js3d7uqTtO33nH3p0vPf3yl9zzkvvufEGW1gfAc9bWR2Q2KSUzqpt7oYgnqNcF + NrFMFzrS3EoAoFsV2wrEHA5x6iH3n9JHHzr78cf84XWzHmWwZWmsPYHbD/sL1o3DwahlQENkUjKG + m70Lr4JN5tG6jlIrJ1ZczOZOD0GVCzuA9XLJnCQnJFrSwnEUUxmYtXBYl/2nzj/26OOf+fyjDz/5 + 1GPnx3NP4qmVrNUNMElwAelOMy/mDrq7AqCyPjZLzLwg7mARam6sbbxvvbt9967TiztfeOdXfMVd + L7779vtO7Zxuu56ehoGJTUbKaMQSNInC3ZDFpRjVCaPCN8rhgmpnB0Fsd5Q4YKxub8fULmGzEa4R + uawVKqUQECaSasWgKXtu8rheC46u71XC7TQcNYXzfGhwkUs6gq77q5nYpm9L5rsp45GwedOjKrUH + qlUmR1ucgFdRM1FYhdxzdAGnzerua8UuvSDUN0YS6sVNCMOoVMsFSazYJgS4EfGPoWyUwFX6Thff + bB/Whaw2bVVRy8XMkjc5EV6gpSjdnUkI0Ghm7sySM4X04gWzOTteb0H8KZKlhbm6qUJEiMbpay2w + olmZPCUWL2sOABtBssn6DADwGmfa1uk/HZAUJ/zGnr/X/JpbAsmd83XVzUnSipFzO4Aj6jqA9dSe + zunZab35LusX6zsjgHqSTO3bpoXZNG521Kpc0mwGN5n6wOPw8BBA7dmEe9/33//933/vvff+jz/+ + E/v7h7UzaL1e55xrl9Czpz5bqqOw1us1gK7rNjkxtVeojs6quusYbhrcCKpJvY5qrilWVW59q5cr + CIIgCIJjzXZoWgOJmhCweYY6DEPOuZRCcvtR6LOhxif113PO1WktImbWdV3NQa/q6/omKhoEQRAE + QRAEQRAEQRAEQRA85/Aqe54TdBKhgBooMJecOibDaXzDb/7GR7/lW/uLq2yDkk4kM/qcCOc1uxrg + pLuGi22y+K6ROW0edVybQHI20o1AKzZqizQsz94lixPtyX//dx78zk98AIsGrmiS1sR9n38AQMCa + AX68xkQFQRAEQRAEQRAEQRAEQRAEQRAEQRAEN41aULK+Pzg4yDl3XVdHYz0jdVhWHV1Vh03F4Kkg + CJ5ztG1b266u6wCs1+u2baNeShAEQRAEQRAEQRAEQXAZqtr3/YULF7quK6WcPXv29OnTZ86c+ZEf + +ZEnnnrqX//rf+uGlKjqIqL6zHXMajFVM6t972aWUuOupRQz23RV1ZJl4bQOgiAIgi8OkiSvsaro + 8wxu2y4wjiPl8i84J9ccAbhnSt8usE7iBEQveZh2/LY1zd0AursITdXMJ4F31Yu61I1Qh/wTyJ5P + dCcSCJjTnfVASlWnutF31ZXllmP1BuKCqhWbzClbNpD6OpvIgw3b9YTrM9/QWgfXRD1sUkr7+/t7 + e3uLxaJOrAcSyaoBBlDLUD/jTDZFqjf/3VnsHC4PAfzkT/7kAw888LKXvfT2206u18vl4fKOO08v + D9c3afVuDKuDVds0bdcLOdo4HI5GafOJF7YP/KcPvvjNr3/wfR9574c//f6z6zNrjIfnLuTOSzIK + kggEalCaiLgfGeJq4/bMLa1PbfGW4dQEVTdmxmoktNp0i1/6XrA1HeIwmInNrasJpksD6gx9enUA + RKE50Hc7OupuamVgPt+84t7XvOUN3/Oar/g6XFi0tntyd2e1fzCO4063c7gqTdsX+1s86DeZ6jAS + kZRSKSWl1C66xx577B3veMdqpTlLKZYkATCzqxdpVz0yXnddt16v6/c3+pi2bTf69hu8WsGXRGit + bz3O6mVEcpniTYeOhUwpoch6nc6VtEZLohFrxC8L3m1b6DiduLRZTJvt2Mibq2GaOkVphNaYm+Qw + lvq+hsAgzJ0AkWuNLZtfAci8QnbZmjlAqZ7a5Eg2van1uZxT2S9j9b3aka7y0oXEfEWqVtRqIZ1u + op72/WALO1KPU+AyCTw5aa7p1SYr8AQXo+WFfX75yJ98+Hf8ruWwXhvRLtKw1n0bH3r8rz/2mQ99 + zd3f0OtOi8VqXXywKIx2XZF6vBPmMNDqqULo5uP6KaCCQgCenSBHATxVRzBVsD8UbzHu2LpdHdqZ + R8999lMPf/TTjz/0149+qkihICWSrlnHfihlaLvstCnyRgZgcCNGmgtIwtyhtJX7CnaRwrbJTWrh + vh5sqQ+fOcOHznV/+vmMD+Ud7t1/+4tfff9rv/r+19y7e3+PndVyXKTdRhpRG00pydzUPdEAqgBO + ejYXMQqdbqAJXKAGSxCHKkcsymcfeehseWI/nyu5oIEZGhnPn39qfzw3DENn7NsejazLaOoJx2sE + hRGAwCUBc4DtLqY0m3d3MiZjcoHnncXJVRmGsrKmoF+vcf7xg0efWj764U/+f4+de/jRM48cjBdz + S+lS8bLyddMk0NzdaQo4HG5W+y6EoJFwzu5igxWtgm0AIkOR1QoHdHli+dhCFx/df//4lwUqt526 + /WUvfelL7n35q+9/za6d7v02H3e56pOlJjNJU2w0wpGUcABiwJqw5JtWWjD149ilwaxMIX7l2ms+ + XjKfulaA6phzdlBdmVLOGDEs1+fapq/n0tZFmMajJfJL+ubEXHDjrtd0oGxW2TBdzub7KGDj6AUU + cGJ0Q8MR4ziOSa1H6j1RIUnEJvEz6HA4zS7f1H879QrOSTcOAdyRXFQLTIUiXS4c13Y4pCINc5Z0 + +d+4bvGPVOF0/d2qHj8SmF8i/wUgTqVb0kJVESOhg6s1qW/zogwlawOqmZEpJzEfVsOSfdIv/pAL + viQEKMtx0Sx62SmjuWlKKVsys8VudzDsL3GYEhOTwQYxEUk6XHIvTN90LhxNq/9MsSLsVnTSXumO + XSeDWhWxCyfqmUaBAND5aQCdjde+FRrF0DrNILWNqqVyxUgguYjDREtSp7GW0AUA4dySOM1oTnO6 + 0VB7diw97f4luHmo6qlTp86dO7dYLEghuVqtYHmx6L/927/tnnvu+aEf+qHHH3+qtus69WYe9dD9 + rdTcFzNbr9f1/cbYt1qtuq6rnUd1oGmM0QpuEBuTeu3W39nZqR2gMcI5CIIgCIIrsbFN15oCNZnb + 3Uspq9XqjjvuWC6XX0r4WhPB64PYUkqtxlJj467rcs4iMo5j/RMRJwdBEARBEARBEARBEARBEDxH + UUIA0uBIJokogrVBjDk3BZobw53ysZ3u1HJ3d5iS9cRLYwCtjhR11kQ+1OE7RYQAXL+4bBsCjWFj + oi7qKUOJYbQmoUnS5cW4WqfDM/eePoHVIRrixKkVclHbTV2dRf3baU4eMZgcJe8HQRAEQRAEQRAE + QRAEQRAEQRAEQRAEzyPGcaxlJVV1d3cXk1Ejbdeg3GYYhpzzMAxt26aU6pubusRBEARfGuM4ppSq + RqjWsui67upleYMgCIIgCIIgCIIgCILnIcNQRGS9Xp84cWK5XKaU+qZZHewvdjqH/tQ/+2dnnnzy + N3/zt02dgF2hU72ysevVYmgkU2o2/fDbvVU3Yb2CIAiC4MsYksfQtnz8MMBURwBPf0RWdaEk6ClL + k5gTUpUQcRZcbb553BBUvw6TiKlWN2cpZdvcuLEJiaNBu9Pu0ele3WtGplnfMI3md4A3y1FCn0wp + RnByG17ikhAXOmbvdZhxrkY8/w2eJaWUpmlq/eq9vT0A7l4FwPX2bblc7u3t1Vu2KzmtMZe8ruWp + +75frVYHy8OUkpuuVuu3ve1tv/7r//eTZ8/cfvttTRnPXzxo03NaKWt7e61qGdWNdOZ2pyVsWK5O + cDE8nl/cveb+r/nKv/OKT//5J//wI3/zvkcPPzt0y0EPVZFpSKKTK8zdZqX0pHSdXaWz/A6zVHQW + VJtxet1QxXk+V3cxf9or50/nV6Bs6r1wnkn9u0qZrieAS/UxWSrLbt22wx1fdfcbHnzT333lfa9d + YJHPpSwZKGfOPNk0nbTdQSl92xY9Xk5rALVzo+m7lPNyue6b9sknzvz8u3/hQx/6GICxGIDUtOv1 + GvC2bYdh9Yzzcfe+70sp9TSpTuuaPVhPgeowqpb3m7qGwbUTe+iWUy14VcEIcRhr6CkpJXM7P5z7 + 3IW/eGp4TOk5ta5e9XL1l6XOYTMvXvqRC5COlYm5bxdVa0cyS8o559xmSYvFjoik1GTOHaPm7kye + OfkpAYi40AmIWJ3IKtITByZVdb1UyCbWryrrik/vRBw2qyuD6wnLRpbstR+cPqlLAfEMAJ4MArKk + ssSZD376j5tT9tThmbQAE0ZTI3KXi/qH/vr9b3zp1w37y6RtTo1KxPXXFwNrROsE3EWOGhObzxaT + KWpCcvisuFQpKqpSVMxEtfMzB0998uFPfezTH3zo8b/cH57yZl36sjwxjDJWtaa7JjC1QnKt49QO + THtUaG6Agy6EGwiKIM3mXHrRcdCRQMpIDUbHGitrMAxY+pnV/tmH3v+xX/+D7oWnXvzAPV/1ta/8 + hjt27trNt5GNq2f2dIgyswHgSIY8H4dTQClww2zfhBvMZTjwc596/K+LrFLjaJOK+gijMoskJubs + DbSYGazJTXYvx+rmnHMM7YBBhHbJwjlBd7oKqsb7vJ3HbiqyfOzcZz/xiQ//5ec+/LnzD10oZ31R + BllrW7S3FQmhZ2GHYRxqi02Yse5ndToJ3VK/TktBpGmPG0gQRnUvBgdwwQ/gwl2K5EM/+/mHPvlH + f/He3WH35Xe/8lUvfeNX3vfqu3desLC9rE0yoYi4GEiXzZ0DgaNrgdOI5OaQKlV1Ag6jSL1wmGxu + La5xowp8slDPW9lEKCJqpmrSglkP9p965MzfXPTzmsajTYDLr8VVkWvEvLVu5PWahst7STfrLk+b + AiMGLdJkZxFNJ9Kd9518yd353q7tURQuEPMtM7dPMulniwE+d7QZIA4DEsyIRe5LGUiOKOfWZx7d + f/jJ4QsjV00ti3mD4p8t4bjXw3TeO9s7ThxTPxwd4kUVSE1qqYWjnGjuvGPnnrv27iW65K5uDprZ + /nDuyeXjj37h0ZKGZ7+JgutIsnz3zr139ffkLgM5OehAMuX60Quff/zwkSfWj1iyLNnMRikppaSU + o97u6eDYOltra7aJL+W49Yzv9AsAgIhII03OOaVGmE6duA2z6Ho+tplMWpXa4+8kPANiSFKfATiT + S133KYx20BNATuF3jfqm87E6rbFRxQPH6k7kecvBwcFisXD39Xq1WCwWi4WqVoXe61//ul/6pV/6 + gR/4gc985uGcceXezitSe95r52kppaa5qCrJvu/dvXYP1e7U679uQQBgHvwMwN1rtz5mmXoQBEEQ + BMEzknNW1eq03g5W3X2xWJw/f75pGpI1IYDktWacDMOALV/1MAxd163X6808zazv+3Ecq/r6Oq5a + EARBEARBEARBEARBEARBcBOgwwkHFEZYIqACAglZ0Egd1NqgIzr/z/7wvX/wpgfFsTeuOxsSdB44 + RYUDSA4AAhjsS8+UpmPud2aTW7eR9CYjZ4zj6MuxzdKM5fZV/nff/C3/0R++F+Tu3m2auulvXzK+ + SvRpqYdBEARBEARBEARBEARBEARBEARBEATB84emaWox1pRSrSFV6wlc5VfMrG1bVU0ptW27EWMH + QRAcK64iAco5j+NoZvU7pZSoqBsEQRAEQRAEQRAEQRBcRtd1wzCklA8PD7uuU9VhGJqmMWB/f//E + 3ql//s//+aOP/lcf/ejHAKpecYRWznmjN1PVxWKxXC5rb3zVPtWSv7UMWp1+E9cyCIIgCILnE5xc + yCQ3T8pAn0yiXi0uk+tagJSSOElyS0VRx+AfW0iArKtWtamqOllvaBt7kTjokq3dkV6Q6nQjZKOO + BibboKf6fbu5a103ss1v6NNe8FrkAOb0YyXPOlbU7JdbvRTBc4Ocs7tXKS/JmgRVi1rXL/R9XxOr + anVrsyuedyRrter1eo35TpCAKh599PG3v/2/f/e733Xx4kHbdqR7uVpq1rHHBj1IKZViDjRNu16v + fBz3uh1dcS/tjCusV4f3777i7q+792te/fq/OfPJ3/nA7zy5fGJ/OHBXmrkvVYr73PbODSy9Vj+R + qlLCJEozI6w6zDi/HrlKn/bKy1+x0WbPr5wn+la5FQNk0jYCLvRETeKSTXbW3ate+Lqv/8q3vuKe + 15/0u7txIepuxVCQbGdvpyjc0DRNKQVuPGbWnlLKzs7OahzGcez7RSnlz/7sz37u536hflqTBtfr + ddu2w1DqYXwlqsoaQD0dNk5rkk3TbD6KRvj4c+zSpOqBKDJ5AjZOrFu9XDcWB+iSDHSQ4kQxU7NC + Taf4K+/5t59ffnqkW1b1NUXJqd7U7JObdGL0KYInmSkuvMrl6pbgPGoUJt+xizjqPUlKTZubrlv0 + fb9ou6bpbztxV9/s7fa7J/oTe/3JU4vTp3duP9me4iBSsoxZNCc0RE4gTUBzM4MDppzadyPqFnNj + IuGcKna5uGuVnoqIILk7jO6THHV7210qMg2eCRrcwaqpnXyl9dTNOa8OxzZlAKnpx7FIlpWsD3fO + /9Ff/e6+nksNJIkZZWiy52KOdvEXj3zi00988lWLN2FfRh1T0+I5HTUdOzZaa4ELkeDYeChJb5KM + YxnWpe/7nPrVqhCZAvR5zHaAC2O3fGr1yF9/7uN/+rE/Onv4xMX1eWRHliLq7oS4VSs2AIJCwF3d + QaGZuTsIkj7dvRvVRCEESBhAd4c76hdSmpo4c7iDBAs6gWPcxxnsiuzki/bUJx/72Hs//55TzZ2v + vO+1b/qqr3/ZXV8tmn0lO963Y3aTEaYpscmFWkxB7RuuVwNM25wp2Q3u7snGbv3hT32gcKRLVtG1 + 9kCnLdCee+pcvrvJ2lBEnTm14zAyyfG5MxeHgA51mtIorlXda5KQG+ZiWjhayyLD6MuyWH/+8DMf + +dSHP/KJDz5x9pHSHkqrpV8OWFryuTMCRIYmeAIN4lql0e5wOAkm0MynU3+KyN1IODGKHW2fS67q + AkLcAXcr9UPmsvSDT1746F+9/yNZm/vvfPkbv+rrX/nCN9zR39uXnTykVFIrDYylUNh2Xbdc7ecm + kyzFxDylpF7KuJYuE3DOBlYXQOp195r2V9XZGgUbuy0NsCZxKCuya7tmXdaOsjiV/s9/9a6zpx5Z + dfvzZZoA3AggMU/9FLQE1lkZBLiRBiMXudwsa/WGSjJV1d0pALnxj2oZCBNHb3uL9W0/8B//d7f3 + i85IkA6rt2M+b41rXiCpBw+J5ABNAHMkh5oRWZKvfC175Vd+7RcfGT69zOctVVeuVGEqHe7T0pIU + cBP/eNFByzWPaJq3j08qdCfMOamtaiDqau6kg/REJWnIZmZl1fripL3g+77zH51oW9ETpnRJTh9Z + eAq/8Ye/+sEn/2TdXrzm7RRcD7ph96WLV/83/8nbhzII6F6MoydbtRcvps+/85f/5+HkhTUPffCd + nR2FqmopJsyTt6wece7qljhZ6iet9fZpxePS/gOQrYCJNumr6+S2bft20bZt1y4Wi8Xezt5Ot3fn + ibtO7955+6m7Ty5ub7Enpc2ly9ZTc2JCSjRXWt0SdGm1qRdphRnUxZ1mMDPLSRKzmYtLo8ndrZik + iKBvJbWdrHeFTZNKGaZ7GitN0wyqL3jBfb/2a7/6tre97Xd/94/Iejs5Hc8ppdorehWNX51uZvVP + bN9+1vbT3bcn1nZ1u+81jNfBl87mEPqy7zsKgiA4ntTqEuv1uuu6et3fPEmt47TdXVVzzvUx0q1e + 3iCY2Mikt2OJGk7Uj2paQI1paxDr7n3fr1ar7ZnUw7tmfl+Wm1IP/vq+Jg1sTxnHEUCtZfD0fPFN + hZeU0iY1ocb2ocEOgiAIgiAIgiAIgiAIgiC4cdRe39qFO6XSbU0BMPXTcv7fNL4JQIFLgmBK70dm + AxAn9iDpzX/83vc8+ObXncfJtR5o2dvhcOgp55qHl1zrTJK7uQEiuCzN8lnhBBzzKNRpfQjk+v8R + iUCGwbLjrkN9U7n4B29665t//zfBJvUNkgxAI0gGwEFxWgEU1kGibzoIguPGpkjTJkutPkfbHonm + 7jnnSFELgiAIgiAIgiAIgiAIgiAIgiD4UtiMZtoMv7o6dZjh5rfCBRsEwXOOUgrmwaeb2lNBEARB + EARBEARBEARBsI2ZVZnZRmlWq5BZGXb7bhhXXd+8610/933f932f+tRn66/U4Q+LxWK1Wrl7rWBW + O6M2Xqjlclnf5Jw31YCjfm8QBEEQfHGQk8GkivDcLcsVi+0/j7HLVGzuPo6j6pi6o4kkAU+JNjpJ + ONq2fW7FJ5MAUVBUDZZSOjg4gIhz2gTT+Pwq8XH6inedvg+KpmnWo6YkWhTmDVIV/WwfSTdnK2w9 + tqwLm1CjUJ015PBRB0062Oomm7aPLTXXZTMYuVYYrkF4EDwbthMGNhqselmpn5pZnb5dmB1zskH1 + rm4XrK5v6kFYJ42jvve9v/+ud73rB3/wBwlRHV21bdvVatX3fSmlZmENw/CcyMIymhOGkdkIsbJu + kFPqbUxkHs3AklPSITW2+yL56vvufOBrv+vb/+aJv/nQX/75Rx764FPjI3mvK/ng4rhUQdPBBLqG + KNqcxRsv6jZU+XRN6BDSzM0gAncYUc2HcMLdncS0v8DaWlZdGs2cRPr/2buzaMmus07w//+39z4n + 4sYdcpLSGqzBkyxh2djGNrgNxsZQYFZ1DU0/9Opa1b144gGaB4oFi6pa0A9V4OrV1f3AW3dB88Cq + WkCxKLroKmxswDOWrcGWLFmyZQ12asjp5h3iDHvv7+uHHRF5U5ZtpVBKN83+PeSKvDduxIk45+yz + zz77fH8wm1FhhKCEp4GEgQpGUyOco/MQ6DjXxjBr1vKe047XXXPTnTe9/Udu/4ktHJ3Kms9eYhaN + pFfXKJwSUTMBRyBLMAA+Qw9VXyTDHBFjXltbi2N+8slv/NIv/TPnUNLVl6XU5TsHWuNApFHpG8QY + S8321Xa72pvqPJzD7ypoa76XUWFQQAlnJdlassCAENxoMYsNnA/T/TkvRG+D9r6FMkLLwUYEhC1S + wRaZdcuAPc0wZBG1Q7QbqhLGZU930UCK2LKxUJoZsnBOdhST/JQ4BEFw6pz6kJuG0wmn12xee2x6 + /OSRV508dt2JjRPra5vTMAsMFnMQR9eSjpmqZupIN4xdGybeNzkm0yQiXpyIy6YlxTOnHPNIuMY1 + IYSUv0s7WH075fhgwKI0mimgmtg0E08/xJhiMjIh5ybe/fgXztjTyQ9J4ExN6UU0A3Rd7qDjfU9+ + /rbv+36bx+xcSoPnVXNGehWgosReLmPIS8Y8SvAhMWgU8ZP1NmcdYnSNy0w5pE73dtP5U7tf/9xX + Pv3AE3fPeV6nseduauECHCUnE/NOnCDBnpsOX/psJSEZgMFAqhmh3i2iFK0EIxMmpDBnBVHCjwlk + gnACiGZAs0AJFVWOsFFs/+zeuTl2dp48ffcjn331+i1vve0dd976llfNbsjdmo0izitTP3S+CW3j + 8pi6/W5rc3Ps5m3T9EMchn7r6LHzw9m7vvrZM/mZ7BNcBsTBBYhG0ixqzMhK5aIO4KHq7wElGdqM + gAo9kEuf2PvgQ783iIi2Nlg/hK6X/a88+cBnH/jEYxce7LmjknlEk4xJh0wTf/HD0SCqYOJiVSYt + Ma5lx7QSZS1OZLmWS+I1F3mXYjQcPCQtHyov/f7ExJjDmp+P22gZXPPw7n1f/dSD12/cfOuJ2959 + xw+/+ujNG7qxt7c3dVuTyXTs2c2H0EzGGC1nL15ITeq8bM7W53GuBAjDotyjqmCZXnz5FjuLUgVK + M9XUejfEZEqV3A+7ud3VyXx/cm5/MmBZoVIWKd+Ls5dFGLMtErKV0Iwrd7zmItZalmNhZQ0u8ke1 + URGhgxljKgdGTGfeU7Qf49DHPiffJ8aUYmONGJzCnObF2JQ+d6zxuzECkPLvcglVSno63JhTzJkz + 5jDmtW4M53WtGyRnZsta+j80EA7lkqpzJDVZuRbrJkEc9tP2ZX6fUlZr+X5Wm0fOZX1BiHI+WVK0 + JeVsiIbQUmhD3+/th87vqh+hmeYdGAGTlFy3L9vd5Mx8snNZC1S9VJIb9ng++1FNmTINJJWqLvXY + Gac73ex0DNkGDLobI9opjCjhvmk5JEtCsTimLXdqKZtNSbh/BT/gt7hklFiW/W0ANJmTAqejagdc + QBDv6LUXyR7ZeWs2m6Mnj91444mbrzly8vpjrz6xde36dMsS0pgdKOI5itma0yBC7yULsiWQQZxI + MNUcM7IRdOIFhEM8ZMMiVTGZTLqua6aTlJIh/7vf+b9+9Vd+7U//9E9jKoNusorQK8U9L/f1yylq + EUIoGX4l7XI+n6+trQEIIayG76+KYdCqqqqqqp5Xua7Ztm25Sioiq/jqMtHWOVceNE1T5sW+0otc + Vd9FCSkpj8sl/NJxJdn3/XQ67bruYPR1mS5QphGsrphe1jsenGEwjmPbLmZvOedWswfKFVnnXFmk + l+7jVlVVVVVVVVVVVVVVVVVVVQBQ7pstCdarQOucc9u2ZQu4cwAAIABJREFUwzCUix1lhoOZEWS5 + zalMTkS5qwksd38awDJD13sBJi22Nn784x+/763vWjc3ncCScTFh1hNqzGqre1xfxEykxWspCaqo + 0GiLifkQE5o6MxMoUGb9eSfBLOxdSKb/5af/wU997GOQfmxa51yCBehiFirYp27i66B0VVWHUcmx + JlkuqDnnyqXqVU2ocoGvTk6rqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqurlUW5h + KKXJTp48+du//dv/9J/+T6dPn3eOZgwhlODqVdrTt5NSms1mMUYRKbX7Smx2DX+qqqqqquoKOJg2 + Y3i+HB8eSHE2s2yWNZtAVYXEMinvYiDdIXVx6YzajXPQAMUypKb8SgmYTGRt6mdcZAKaAkJXXkFM + M8tDh0u/nCunpBphkZC1/DwmppazKdWR2fLa1vRZfWpnvv2867GqqpdKuc89pVSqVR89enRvb4+k + c05VV7Wpv/OpX3kyzMzwb//t/3HHHXf82I/9WL/bT5pmHMf19fX9/f3ymjHG6XQaY3wZP+LfAtWo + ohAoVcSE5gwCQkucHiAWmuhpa0ZYktfPtm79wTf8xA/+9FdOfemuhz759TMPT/xe5DDf38+SJk1D + x6GPUYcgbtoG1ZyzarLMRbwUS3a0GRVqXCaMgSxlxgkojKSV1QIz54RKM3P0JdEaJobsmAGYCYiG + wcxsUHTUZEebdRm832lff/0dP/TWH3ntjW+Ypk1/YWsN615YItVscSg1UEokn8AIEErzgMBwqJro + UlRnMpmUeuw///M/v7/fv4gkxrK1i4iI7O/vl+o9NYzgKlXLcxwqy246NWdkyxKgTnvu7XGbbYia + 1dR0EafHEksJNZhQVA2Ag1sMKQqV2eQQpcgtMj1XHdxVounyl7Jo481gBigzG2aMakgQKnujwDlz + p7tHuU99mprUU6aT2ZHNo5vTrdfecNvRteOvOnL90bVja2Gria3PU6/N1vrGOI9x6J1zfhIUuU9D + TpFmUrJFnWt8C0CzduMilKJ6qeScG9eY0rlm1ESvCDaE7lOPfPoMzrkwwpAJMxM/ZM1ofR+TAnc/ + /qn33fbBI5ObgDVNkIvpjNVLovRRpJSxW+6iEtq1GEdxIYt2aYCITNy+7qbJzr5t3/vQXZ/54sfP + dE/rWhpCN8c852xORKAOakqBIkIiFW65vuzA/m5WyvfBFnX8tJTnU4oAGQbCSntImlAPZiFTAJB0 + Cg+KKVWFaoAsn7N5tBm6ncRu2s4eGs48eM9dJ7564tZjt33wzv/u+vWbnG/iaL5poGPaQytNyzXb + zU7b+XyYHd1w08lT26fdDeETn/j4WfdMmGiGUkajiYQxJ3V6IV2Iro+uLx/AqMuKf4eFAXRuHLOm + FEII4lOKyVKM0W24vXhOJ3o+nf7MA5/47P2fPNufdrPU2xnQWHqyyqhGBzKoZqB0+mFOF4GpBrHl + QetiFUVRAxS6HMYQluhiGAlToy4jYC9aZlorl0fBsibH1CkUlCQ6+GGMu938/JmnHv38Ix954w1v + fs+dH7jjhu+3oZnvj1O/HlyT1eC9I0VEQLOc4jAMnUwETMAyfhv64oayDmZyXxwOo45jv9Fu9WPU + nMPEubYZvUQbQQ8OB9aIwITiNOWS8WlmsKwwGiB2hXtkagpAyl6vy+6GESYwlDM5wCANHEDvz++n + NpDA5qSVOHXNlJwQDSzQlAaaEmosA4sC6Gq48AVbPb9sVwajd46U3hJ9SkxR+n3b7pJCFsOZi/Kb + BhEjGSbeUbAILFczTRoVie7yjha2eHm92FgRAFwDM1BRtu2sVgLBvUIcEpCzCeEtNzAvwWvjc2iS + p4mJqUO0IeZdlahyiJqIv1NM4jDsUKKHSYJjAJgikm9o3ohuzFEwDaA5jxx8GFJUwJZVXGHLrmvJ + tS8/MS2bIoDDOUBeukz5wE9MddF6EeJgZgMSNbvWe2bSRtt9Nj/77IUH79+GNy/ZT9zG8bXrbrj2 + NW+4+U233PC6jfaoSNO2jUaLOauqmHfOWdZ+HhvvaRIYHMVRVJVqGVe6fateBAWwv7+7vr7e972Z + Hd3cyDl/6Df/1R3f98Z//Zv/xpKRlrN6L85dzPO7LKvR0jLQWeKx27ZNKa2tra3qh5bf1uGkqqqq + qrqqlSLgwzCUIN7VAyzzgFcP6nG/OvxWM7ZXFwjatlXV1bSAgzPCy9SBkmldfhtjnEwmfd9f7vs6 + 58p8cVUte1BZklWR/XEcy3NUtWZaV1VVVVVVVVVVVVVVVVVVXTnlJhlVLfeGrUZ9U0ohBO/9OI5N + aADA4JZTYYFyz6kCoAkAI0ZAAK8eBjQtNjae2trYSOMN1qIf2ula33WBjREqzqRM1ARpYpmGy52N + p0QSAPAGgSmRy91MQFDQUF6zLO2YVNA7YFO7k48/hXMXsDlV5xPGFg4iAFMX/SRs+rWs0cnlLk5V + VdUVV+71LXfhlp80TVNmpq0uVZfLbaoqtR2rqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq + qqqqquoltix6v5RzzjmHEFrv49jf9vrX/u6/+7//h//xn+zsdoCVYmKl2piZhRCeL5aspDDY/v7+ + 1tbWfD4HUG52q5nWVVVVVVW9TJ432ZqggYQpSB3jYNRkyZElzKgkPi+efDBk6jAwMTErqVY0EzPL + e3s7xmSSjaolu4fLQEDza5PN9WaTPc0MQjOjCEkqDibauMXzX45k6+coQdeW4SjIagI4RgzZp3O7 + Z+wwZaYeBgejhUsl4ap60VaZ1qVqdEppe3s7hGBmJZZlde5W6ktfmmx98Z73nLOIGMwMCvzSL//y + H/3RH910441t4/f2dsxsc3Nze3u7bdumabquK293yAmgJjQ4FTHSPE0MqqKZZtTSOomJmIgKgC71 + ITRuX5yEd9/wgXff+v5vnnni0VMPP/D1+07tfuNs91QMfWpHMYWPfiLDPNEAAQmRRZucAWjJkioN + sixT6uAbgmoGs5I6DVvEoyoMqiCVcCx1xtXoG0MGkgO9mSD4PPNpbZ0nb9m67c5b3vaak7edmBzz + 2fOsMdnUbZABWZKZGW0RoJjFkiCWNEOnHiaGYAAOU74hgL4fRRzhck6/8Rv/68MPf00NzjHng9vt + d1/mVaB7GfoAUHaKOpRxNboK2prvZSbLcEmxZUNmVKUuYxUliAOzBMBBCIkiNIpb7atmBtCWqaIZ + qTSNFFBgdsiS9myVYHoxyhQA6QAQpgRLE79oxMtjkIAsMibLodY5lLHXLukOzpyOj4dxet837pli + Nms2tyZHrtu8/pZrb731+tddt/Xq/fFcM516TFJmSkI6ceJ8EAHMVBVqyZKDA2tb9hIgYFAaCBWD + o8/JTM1NPF0e0blGnzzzyBPPPmJND8IRjhgVIgaClsTBBTy9/fiDT973rltO2uCDnyKlV/qTfW8x + vxwO0OUDKqHJ5mmkQLyMPqFB0uFMd+oz93z4gcc+f273NKcWZ3tzm8OLC1Q1OiGZtcQQQ/hdGp7S + MpU0a8AAAZhzBmgQkiDMzNSympNQmgIlxFQJMSQzByphFCUOdl+GOKogMyt6tITHU9zZ377w1f/0 + 8Buvf9O73v7uW65/7TC4Jq1tbB6RjhZFIBQ2Ezyz/ezkWBuusQ9/4c+eOP+1PE1OkDOIxTUbkiq2 + N+5mSRBV0AgymZna4Ypdj3lspw20HYYhwsKkcY323Nvl2TP67F999i/ueuhTeRrTbOz9rms1eOZk + 2SAEBU5hxpSUlOfpHRLKZYFDAoCYwtTRGZIsOsoG0okYUb6f58Qel0GZVUT0IhF7dSFOVRoxZR97 + 18jW+iTl8ezu9vqR5qGd+x76Lw+9evP1P/YDP/2mm99icWTyCudl0ojXLEygqQ9N40Of+5KlbVRa + Avwi5fpyLU9s9OLGbYCWIxGVdEwpR47ZpTENJAUsXwQAwpU9w5wDdRn1TkcRgRIxpyt6vM7LopnP + IYJygppL9nc5z9I0m7WaUtRskrPGYRhGG2fiUTLNuYg4LSv/crd8GlCG5GyxdRkBKih97MS3zrlu + jDnAOTeZNNH1OSMISEfagVNuyzllhRlE4JwImXPMCofL6f8shjUX389qFRsR02J4VAwiIOFAOrGo + vmlD1qijOngn3jUwDwvIniaAKEyJxNyNfRmFrF4RSu1zZ5IVQiPpoWb0sMZURDwcAAwJDUSR5/No + AhFA4Ep7JDBCCdWL7d4lzdlhW7llKOKSpVJAGGiA2OJwRsCUMEs2KDRDQcCBAhEooihi7ub5wpNP + fP0zD348yMaN1950y3Wvf9NNd75q47rN9U1Eh+imftb6tmHjzZkuutEpxWxJRMTzFRhHr16AtbW1 + nZ2do0ePdl0XYxyGYTKZ/OzP/s/XX3fjr/7ar50/vysOKWlKCqBt22EYLuv1U0rlr8q5VZkrU0ZX + c85N06xSLUVkNepaVVVVVdXVqBzHV9G/5UG5hjSZTHLO5bcAytzZmmxdHWYky1aacz44PyDn3HVd + 0zSlf1s6sSXXBMv6+OUV+r53zpUt/4VbPb/U0++6rtTZL/8tXegyHiIiZdleqo9cVVVVVVVVVVVV + VVVVVVVVATCzMlRb7jPCssbHatTXORdjLAO2q9uYyqyhb50wa4IMSJkMaQA92jXE/JN/9ZG//PEf + D8+cvq5FGseJC8hqKHc9rmZkXvq/F4qrhSpzHY1iQBY4LTfEElZmaMMI50PKsWmxTnsN5e6f+vtv + +8v/b3bDNQghQbqhn7brfiplPpHYctZvVVXVoZFSCiF471cN+Ir3flXUaXWJ7RVYxKqqqqqqqqqq + qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq/o5pmmYYBu/9fD5v26lz7rbbbvut3/rNX/qlf9b1 + CUDOeTKZDMPwrTdEHFSqn3VdVyLQys1uqlpvkaiqqqqq6sq4JMjm0gRWACAcTEGUO9oNiJqTRkhW + 9cs/l4tPP3zpFBf7VChBJLa9e5aSgQTCViGAi/Aktzk5uhY2rLMSaJ1VSVeCswCAZlxENjlT0BRq + eDm6asuwPwFETHLO7XSiXZcsm3CnO9+v71/ot23t0K2CV8RzNubSu/7WLbyqLks5L/PeD8PQtm2J + Xym12UusbznXe94b4Q8q1dpJOoec7MyZ7V/5lV/5vd/7f9LYHzt2LKU0n8/Le6WUrppi1CYCpTkx + oQlMDLASaC16MWwMgmWmUvDOBWfWxBj1Qhbvbm5vu/F1r3nP7e+/kM5/4/zXH/rm/V899cDTO9+c + x10dMwlFNhrESEmWs0bT6BpnxCIwyZg1qaqZkcDy5yQEIBclZYRiQoGDSXkK6YfdPGs2g2PsIqJd + u3Xd973mza+78U23XnP71I5O7UhILefiE5vgmonvx31DNqXCGR1ImjooDLLK6TMCkkUNctiKt5AM + vo0xfvjDH/73//4PAXgvJaXospSC7eUFS5We2thevWp21CuJJSATMEINBhjVmIwmfuJV4jByIpKl + tabbh0Zrg3fMCpiZLSKtIQ4iJbESJLKVEFaIwC57B7+CaMviVs/zK120I4qD7cnEezPNwOLMhFC1 + koNphjGVFF0AEINq17Y+5ng+P3tu/6mv7375c6ck3NdOMLn1+te96TVvu+PVb15vjrl+MtGNkCcx + 5oTBtc5TDDCFQj29eD5f9Gf13dil2bdUQMWsJOQamMzGHLMfo8xH9Pd8+TMp7wcxJjig8SJZgeBV + x5QEFgyi9sUH/+att77TZ+dlUlfLS8nEAJhbbu4JhFIyETXPrlnfixcu5Auc6dPbT3727k/c/8Tn + L/DUnp1za+IcU05+IiK23yXXgFnVFkH0FDEwaQmjX20Ty5Pw5bNIagk/NiNJQ0MnUAVIKAyEUh2h + OpSusRNwEXANs0Wj961JsTmjaeDAGM05a9qQUnp67+ljx/b/5sKpu/78L197wx3vfcsHXnvi9tjF + KY/M2q04akZ2a+Y3dL955itPP/CRL/zHPN1rg9OUqXAeCVAF4Unru9Ev8rslm8Fyac+v+Fp7wZSa + 0Ksmh5ZtS9i+7u/353bl9Ic//8dfeuKuHT7Lo3qhH5kw3ZjM5/2YQYEr0a0lpVvMU1UXjTMOfkZq + lgPjQgYFKDBklrETMwKkKbW06st++yXLufpvLt13AwCzEiANqglzS6RB+3FUobR+T8c9npscmTzc + 3f3Yxx6644Y7f/j733fbq++UOMvDmJKfurUmtEwupazZ4Mvms4qy1nL4fRFf6nL465K/9d4PQ4Q1 + k3a2E3fHrMGF2Wy2m86JM5TA7/IdAQCcIJdDOEEiE5qRFd5d+aG1A19++appi36CV4g4EU+DGnJU + TclLdkBACqJNyE0LpGh0ShjTolCl8cVt+c4AqKDEPwPUEiwtwcMy6TUZNYx7ahJ86AMFGUYxy4tm + wEBB65EEOQNEhgpBD0/o5aVHCVWw2CBVDErFstKnL+eQSqiaMilJOiLGHDMg4qA0b0n6LusRPwJO + xCDRWfYhUeYxqjtUqfd/tyj9YNYDa45JnDkATCpqYmhM/SQ07TQNu5bVmmaSx+wlAFRVNVXklFLp + rh8cqDkwYntxoPmQWLS3B/8DAArSzNQyDEAZM4UZxIEswe0kjYqUEDOcQ4zJOUw2HGe61+2c3fnm + V+b3fvTBP7l2/eTtN9/51te94+Yjr7E+pW4ytXVNNCVJdareErO5kSRzEL1KBrn+Lskap2vt/nzX + ORfjuL6xtr+/L9r8xN/7wI03vfrnfu7nnnzy6WXdZa4i+pYHwRe0zZck7DJm5Jwbx7EM1pdBz/Jv + OQesmdZVVVVVdbUr1zVFpBzcywXU8qvV9c6SAFEzratDTlVDCKrqnCszAwCQLH3aGONqI8dyq15l + WpdM9xJqcrnvW/aaVXn9jY2NlNJzpo+X0vyrHnVVVVVVVVVVVVVVVVVVVVX1EiJZrmKUYdicc865 + jA+X22ZEZDVsa6pkGb+VMiLssJgdizILFwDQrqYYCWCC6RQ3Hn3fZ/7ic297Xzh1+rhnHntHhzIH + HuJMARMz8Pmmxl4e08WUPBgBLZN5STUhFDRHy8gRMadWdl+V7a/f+WPv/dzHsendsePSTvuUxTsD + QhzE1+s7VVUdOuWSNMnVzY1N05RLbOUqHg5c+6s1m6qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq + qqqqqqqqqqqqurJYAg7VB+m6bjqdqmrX9bPZxgc/+MFnnnn2X/3r3yq5UKWaWbmL7dKXuHj7Q4xx + dTdEiTrDMjutqqqqqqrqylDAQDXLi4Q4+5bkTS1BHyCoyHvd3pY7mUu8C2jLMGsaAOIw5TcBgAkp + JayoRHJcuLBtoirJuArsXjwTkGMbJxwCln8g4k1JCE1LpnUpIrD8Sy0lB648LcHcLNF9oIhQTQk6 + GTFwKo89/VjEWAJ3que1uhO5ql60nLOZlcrVOBCgvnrQtm3JavkOYszL8iaLIOHP33X3hz70b/75 + r/zyOI4iEmOcTqfldSaTyapy9WFGQNTTYBCjqiQDQNVFdKkAAhNQlQomFTOP3bGjcm0ybdsQhzGN + 6oNnsqPuxObm5us334i3/OMuzb/51BNfO/3oQ2cePjeeu7B7rhvmKtkF9Q0nPg/DXJlBhWQR9SR9 + Cc8WUwrUzEyNBjOKwYyOnhSqVwWMDq6x6c0bNx6fnLzh2ptuvu61Nxy/ZWtyxGnAAOnZ0NNUU+8Q + ZDLpo57fPj/ZELMMUSKQgIloFoAQmgBqRBYYNMsiCu0wBZiJE7e/Pz9z5syv/do/d44527fNtL4k + Cuv5lV1gVcK9ZBW9xItcXXk1PuoVxhKQaWKEiWbTLDkLYrffhDWHRnKY2LQZG4eWwVkcwARAkQGY + QBwp6MZuEdPpIYCWQlS2fP3DQr9ds2IlwtZAAVl68CTZ9aXKDEiAKEXASJSUVQq8B0u0rcES1KUs + CmSTZGIjVAxz9fNzO198/J413bzlxBvuvOVtb7jx9mvXr5+uz3zyDs4yYOrEOXpkS7HEGVYvQjnq + LeLuCCNUIDmOPkyDuP3UpTCEGU/tfPORJ+8XlwGoQgjLEDIr1Qc6Rc45wYXw2OlHv7n9+E3To5YP + 0RH1e4UAuNhXA4zZRDmxJ848snZN6LH94U/95/se+XzPvX2czZMxrPkc06jWTCUNmkZMp0gJy84f + Kd4IM6qpI9RWtfUEy7PWkvsCgICVunmEiFg2UzEzRS7h1hAS5gAzgKBBZBGLnVfl9g4oFQCnrR+G + NGRrAhTYG6IHZsfC9rirDmsT/5Xz933l//3yrcfe+L63/L033/KObr4fpi0DO+z2zc4DT3z+I5/7 + s745Cx+hZklEtOR95pTNBGTXdWLwxgQRmC4qE+qhGhnx3otHH/f7NLg12ePOZ+7/2Ee/8Gf99Fxc + 2+vZZ2KyiRTRD/10GsxMYZZz1mUba6DYxb7sKsPVRJkAtYvBriAX+3+Ki0abxMG9tqwrrjYJHhiW + 4TLQmsAyKtMEBpPy1cPUTETYeKpRMIxdu+6xLvc8/emv/Pn9b7juTT/57n/0qo2b1t3m3oVB5n7m + 19uwhpxzOSEBaWU0R1CqQPJyYqSpMKPBlpuxAUo4k5wSjcFPUiQR1qabXd7d3xuaZmrZlsUrFz3m + DM0pgkox8eAqSraM0V2x7ceopWNwcG0SEIWjpzrLsFSG0nww8WbJUmjoJUlPUVoGgHEcKBMBtIyU + UUQdzZe3eIEpp1hU4VQxWQwpAsZlkjRySkko69NNaWIrmyGu0VOzWkFH0gQQM2gco4g5RxPLGSkB + gPjL7v8stuTl+nWrDV9JJU0EToyEQDxNAY1dbn3T+BDH8xj8BLMjs2NizpRZFLREy5IT8xgHOLly + 67f6rhR50D5aDsEUBoVBkF0r6xya4Xz2mS5OLcMx0NQGmJlC4OCckoHO4BB1lex7sfUDcJg621jt + iaW95YGTetXFKBYJ0pxIeWxmqpbyoqVyhIgTRzWTKbKm3bhDB7cuQow6jK17ht2pBx//6Of+62uP + v/E9d77/zTe/HcFCmkoOYmJmShPnIgxZ/d+2zG51RZSxTu+9qqaUYozr6+vzvs853nrrzX/wB3/w + i7/4i5/73D2LC0cvShlIHYahvBeAEEJJti6lQlNK3vs6J6aqqqqqrnY551IxvFwiKhkPJEs3o2ma + 8vPV9Nl6Gam6WrRtO46jc64MZopICKFkXZsZyTI/oDwn51yu8a9Sri9LGfNY/bdMcCl95pIZP45j + KcTvvS/v/tJ90KqqqqqqqqqqqqqqqqqqqgplJsPBix1l5DalVKp+iEgZnk0peVlc7EiAQgKWE3TK + JL1ya2qZX2QXJxaZa3aT25xtvPOjf/Gld//o1jifaoRmEIATo6gjzBbzUQ3fcp/vd2JCgOVuYZTF + MAPEuLwtSJQAtUyMs6zBe4G1lkdNJ9K4Nqa/eNcPf+DBz3Ls2Uy9x2Bopcz4VRCHbIpUVVUVcOCu + hHIhj2RpzAGUK2sxxhBCefyKLmlVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVd/7 + +r4Xkdlstr29vbm56Zzr+x7if/Znf/abp57+3d/93Zyt3PjwfLHWz+W9Tymt7msr9028LJ+jqqqq + qqq/6+xbsgloKFlDFKipUc/vnLvh2GtMk8LbJbeii5heXh7QlWdmIoTBzOgMzOd2zoBqLMFDJTEH + UgI+TE4cu0ayE7hF5QERTfqcksp2MAjkCtPn1h6gGBXSeDcMnRNKYEppsh6++Ml7c0hWY60BAM8p + 4buKyXgFF6n6HlAKrZfHpRpJqRetqiGEch43DEN5ULa6b/9SLE9LSdfWJvN5//u///tvefP3/eN/ + 8N92XTeZTMxMRJqmmc/nIVwNeZomMDFAqbbIrl5WQbFyYChpieUJBmjOtj5dh9rY9VExaVo6pnEM + fiIgME2W8n6c8sjxa2+448Z3vH/W7+vOXr+7u799/sLZZ84/9fTpUxf2zs7Thahjyn3SqJqV6kjj + MrANcIvKKQREDDSZTjaObB7Z2jp+ZP3o1tbRrY3NzfboDbNbGp0Fm7o8QQxuxzVsncDRUhzMtPFe + gXHs6ZrN40eGcdcghFKymMEWeX0EVQCILkLuSsujh614S6m38wu/8Au7ux2AyaTp+lFELrepVFUz + K3V7hmFomoZkDSO4StXaHK8wmkgpFAXNVMecJRnRTmcOQTJ3d9OG23Rd8DaBBMrELIMKMROqpjiM + g41rs6ND7lLOhEFMaGYqpR7WC46ZfBkY8bxDpCIEYGZZYVoeGoDQLppRNYNa6Y6boXFBVVNWNXOx + pOICZhoGNbXl0wTIgEJhQwqakB7pvvTQvff5u8Otr77lzTe98+3XvXdTTzjnYfRGEXMi3sth+s6u + KibLymVKK/mg5asUU0UIpCoTff/AI1/YG8/nmSrMPEYFTeldZwaH7NQ55E6yczu4cNdXPnfdO+5M + ObvLqtFWfTdKBUQgMIfSUWPMEtX30+PDJ7/0539970fOp2fz2tBjVwMN3kYOowFwrvQDSwwuRAGC + dEAuvQpHgDCW2FoFLimytzprEwKAE/GkZk/SC0FTwLBIQHSOqqqaAIOChDO454slLv3Afp5cQAih + VBX0QQGZj8kMYRoGTSN3w9Hm4eHeJz711aN3XfO229518/WvI7k/7nzxa1/48uP3cjbsybYXMtHB + GZGh5hHT6PxUmYexgyrMWMZNNJsYcIi672Jio6QU/UbMcv5vHv30x+798OPnvyZHdD/vey9qMAWz + b6g56jhGczCQAudcU44iKY8jyllJiakHFNBFCrWBJZbYRAAuooYRLAmFzpllUVXLVlb0KtOXWrKM + VwMf9pyA2NKGOypdVFVLdHBOVGOcRzGEgKlzaUzb44Vmo+nc3v3nv/DkHz/2njve94Nvfs/JrVc7 + 7220mLMZYX7ZKVeUMNvFklxmQ7/o3z+3v+vonZvQDXMLAAAgAElEQVSYNXvdkFpSudv3s/b42COk + lnSAZljJayfypHWgZaQcx3GMxkxP56CWrujxWg6MNNFAE6ciJhYRpHUMUFgWgYgKIIKQh8E32QZt + bSPNgZkPzdSiKZbnfouM8MWWcRnBtVRnBugiX5ySWTYQBdQ1vu8G0ubDsGZbYdxESc42M2Q6wIlp + zpZGG9tmGtOQYoYru6GRQAYvp/8jVgp6HkhuXZ7VevE5myUzNYr39JZJdR7eRQbO3GDshomtTdJs + PDeGWSC09NMAoxuIPsd9mSRa7Vu8MsSSSEpxN9t+I16RxcQzQH28EE+Ek8DuMJ833NIs2o/ZknPO + YBAYLSMlHVWj5uxk2ZJcWjXW7FtHcl95YqLLvWzxExJE6U+bQfPy6AwAFCFpAIxImmEg4UgRmEEM + DmBCykqv20NsJljfPPL1/Qe/+smHXvWlm15/wx0/8tb3H51cu+WOSN9wkBCbNdkgmSzWkevD4sCK + 8M6bWd/3J06cOH/+vKr2fV/GOtdmwcx+53d+51/8i3/5J3/yn8twT0oHV+LBoa7nX7lN05Q6oSXV + rwzZl3HVkg64GlQiWeMtq6qqquqq5pzz3uecS8RvCCHnxehQ+Um5pFouJrVt+0ovb1V9JyKyv78/ + m80AlFz21a9Kr3W1DZde7traWkqpXGctl/lfRKY1lhPHyy6DZaw1yRDCZDI5e/bsdDp9TvR1VVVV + VVVVVVVVVVVVVVVV9RIq5TzK5YwyGFtuvAGwusCRUirBqKoQwARjCbEu84n8YiYkDS0W0dbGxbyK + mCx4bvgt5A5H8leOTfzjz94mupw5qYCDeWMqM/HcZY4HExCDU4BlOhyclXuDAUAMWZAEALzCGcRs + zBm+UWForBvmM9q1XMPOHO1Giokz3wLeAB6uG6KqqqpWSI7j2DRNznkcx1LOqVy5NrOSYx1C6Lpu + Op2+0gtbVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVdX3vrZtc877891jx4+MQwKQ + c/TezefzX/3VX93b2/sP/+EPAEwmTd8frFf23Hu4QggxxnKPW87Zez+dTl9cibOqqqqqqqoXRZ+b + D2ZiMNBImhqAc+fO4bgaCShMjFoSi2SVWnqYKAWEqYFKmGra2dnOFp/vuQLg+LFrzVDiFXLOrvGq + 6mUVdfTyR2+oLfLgQIMYWLLEzUgHRDMzyc+ceerRJx/NPr3si3fVqNV9q7+9klRd2oeS5BJj9N6T + 3N7ePnr0aElgSSmV+9+/3euscq8BgJh3PYic9dd//ddvf8Prb7vttq7rSrZLKX/9sn3Avw0jIgGq + LUqxLKLMxEgtUbkKoAQYEaDJBAFzmGHCqQuAwdQcRVPOpnQCJ95NkuacjNlv9ZsbupbtGhXFsWzX + Gm7P5hRiQxq6oe+G+TiOQ4yWc1JNeSThnGt9CCEE74MLQr8523RsnHlAoAITR+8QODhmmpmQ4oQi + OffjqGIiIqBkpXNuOnXjOO5t7zZrM8IE6lVhSVWNMCeJUC6OpmJCE6dYxucdIiQ/9KEPfelLDwKY + rq3N53NAdLGoWCztCwjkKjEEpY2dTCY556tlo62+VY21foUZoVSni5DNZZshZjb086mbHp0e++C7 + /tE707tna8fiaKSpJSNMzGjdML+wd2G/23n0yccuzM/txZ2cRvVjcmNiIrJZBnEw+vVisp0t9ncD + lFiF3hnhtDyzdPcVBHUVW4llk7EI9vs2MX5yIEb0ktZwkYd6qZwNJelWIOQq/zbl5TEYZiDNSHiW + I644OBCEKwltSk2WyYsVtBahlmK9ds1aoxx306ATdXD3nz/3yFOPfHz41Ntu/aG33fn2k8ev1xSG + ngFtI1OYHTzJ0WUCnC5eWi8GX5sAcjEwlQqYgmXnkkMWK/4yoC1yDyFxkftoCK0fxijmxDm1vhu3 + P//IZ/f8tnI0wjloRMrwQTSbSY7ZXEAmoqn5fPfDd3/gB3/Gu3aqM5hXjkAJppVS8C0vNkIB4Eou + +iv2BbySaFLK4y16ZHbJsZmAXdyqFYvtEwCUZtTkh+y6odn50uOf//g9f/7VZ++XDY3rw4DRPBRm + mixi2gbS+jF5j8k0dEP0nmZGg0g2mBIkvEdKKDH1YqAJIE7LvxQEMTEVKEnnXXBsjs6OOxca5+nL + 7h9TSjnH/f3drDGlUTWbaAndzEgpxCx52RMt3wCMaD3VRKMpjCRFAJRozhQznBkQbfDNkH2aD3tn + Hzyd7zEiiGdmP/rdFAdpUVK0nSBBc0YJWxQBNMc4JGaVDF20P6R7eTa7VbsNAFSYEIt1bVRQFTCa + Uc2NcbL/4NP3ffSeP/viM3enWceTup+jTJhS9nQkYp880AQGIIpTAkpky8lICl0TkJFXccVOheZF + nWjjcuu0JFCKU5COBgc3nbYlGjlqjMMw5lFVF2dBUKNlSSY5S1JmlaQsGclYDYKA5RjgUoqJ8AEg + kyoNbQPJEEgaM4h23cc8dnmcNmFP8eF7/tPD37j/R9/+42+++Z0TdzTu5zZsIC+yXQEpX59CZJlK + +3wr7bk9eGUZjpGLK6AwwgTCIY5gaBo/mTR74/zE2sn//if/SWxjFiVJUmFmli1l5L293S7NL+yd + O332mWfPP7Pb7SgyQkpuT90Q3SI1XBaLIOUguziaLFf/wSVXysVjzcXt48DOTuRy9DfQ4LP3OYTU + irYuT2645tUnjhy/5tg1WxsbkzDzEgAnJkEm+/u7sw2PjLjL17zqdp/aGGMDb6uj4WUEWX8niosL + HGPcnM36/f3WtScm1/7Uf/P399wPcaqWYGYmCgcIxjTMh3k/dmfOnz59/pmnz53anW+rUwtZZcxM + WVKJzX4RSli7M3HZa/QTm6z5zWu2Tl5/8vqTJ05uTtedtF7anNRxYpZN51vtkeGMvPHG7/PmDIk0 + wiQbsmVJgw5lYbjYVQGoyrKvA0hZtwd++20W7TuccV1OZ2O53lbdTiy3MR5Yq4s+n62eoyqLpb3k + jRc7L7wCQJblX13Wl/98i3TwTRaLsdriDFge9awsG0tPdTmKimVngADMmKJGs+yNsEyDCMXkVZvX + /cP3/ow/Pm4P2xNZN0jESEdQY4z7Q78z3z6//ezp00+d3z43H3dyq8kNyXcqi6HYsmCZeHEbGyC6 + aGAScLEcrS77OcuvRFWWb/GC3kiWiyc0OCy/pWwkhKCs+k5lzKuMcsCQrXTTidJsx2wkvCcBGxUK + 75GIMIUZdnXbtZ7BP62Pnnn81N2PffYHbvvBH7r9PTduvHYa1vMgOQbJTugUYkyLI6YJTJ6zqXN5 + SgK86C+zujyrTL6zZ8+GEEoKdRuCAt3+fGN9YxiGD/3mb77uda/73/+3/zOlss1fxrjPOI5lJLSk + /ZW3K2NJ5VdlvHW1JFfmU1ZVVVVV9XLIOZez/mEYnHMpJTMrc2FLQG95TrkCukqAqKpDazablZkB + B/urJZq9/LyMdIUQAIzjGGNsmkZEyvNLN/hy37TMMyi7zGrCQVmGruu2trZijGXPArCauFBVVVVV + VVVVVVVVVVVVVVW95EoYKpZB16paLnCQLAmpqkoKlhNfiIvzeRRYTIBYTnbS5UPvaYAmODfFhv7M + X//1J374h9effeb42LUZCgPMsdx8BSwm9psSAA/MpbEyWYu2/KEtZxhiOfNr+WQqZPlSi8VbTNFU + AMlyO53FbhDPeZ9ma+jn3fUbzZ+8/8f/4ac/64+sqcIy4AESlheTRg5O4jLg+aYQ8jkTvaqqqq6M + ckNj27arq9Kl9SY5DMPqop6qTqfTen2tqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq + qqqXQUqpVOHr+14zRGQymfT9OJm0Qxx/49f/5eNPfP1v/uauvh9FoM9TU3yR6hKjrm5wE5GSYbaq + jVZVVVVVVfXSWVQJZgn2uJhyJassFVBhNFHoojNCM5rudNuRMdCViI1ViImiZIhczMJ7xf8FIEgO + ASYKUcTIYT7uRqQsMF6aNmICc1trRyUF0pFUzQ0YTSFODctUvBKSAhoBMZaEkSv1KcrbGeFUxFTU + i4pSUhwnk9DrMOgeZuOXHrx3ZzyffVoF7VXfqnaqq7+lcut6KR9d6pCUHGsA6+vrfd+HEFZVrL/D + 65QS1qX2ddYMIDQ+jmnnwvDzP/+//OEf/uHRo0cB7bquaZoQwnd+tUNCqQdKsCzzruzi8UWWSaCl + URPAojoXHJksWTIFHE3EA0r6bJpjMooLwVOYVUYJEJJqmlPSqEqFmAJT6ibI1nQiWFQkyCIEFwcw + BwKgiUAwiJiUdzOlmCwDrtTEKGaWjWaIAnHeQZwqqCyZ5eM4OsHG5mxMUDtYfEVJUpAWeV4GY0ma + 43dPJXu5KfHhP//I7/3e73svJOfzOYCDNRxeODMTkVWR9lJiveYQXaVqrPUryYAsaoA3mJZaVKQ1 + VAIWgss25iiv3/yB10gCBFNZZdAbYVTdBE4IqPG2wUJ85NSXP33vXz565stdsze43VFGJcSD3uVs + ltS1BBHVfIlsVDUiSundqhGZYlQaAFF6AODoFFRCmGgkA7ypS6pKEZcuBsrSlUbBzAgpgdMUMV3F + WCtlmcd5aQ/NLcvEGGB6YFRUHUUANVXAnIAELZPMms0MTmDIlkREPJkBoBydFoW6CKOSSBhRsqrp + EwyG1O7H9pFvPP7QR5/44zfd/Nb33PGjr732dhelH4As03YdJv3Y+SAkYxzbdpKTAARVYYIEE5oH + HKwBEmQwZJVk8KYtTRRZDs1h4OVAhQmsBbKhBxXawJhM/TTMh+wnEoK/5+sPnNJTe9MdeBWDJTjA + EZpycMiqIogD1hoxZR7lwnjhnsc+/Y6b3ruWt3JPTExtnDRbcVBmpUMSzYSYX1Zq09L/sMvJ3rva + 0cSpgDDJGaoUQMU8AKGllIIEuhBjAsw3zjTlPrXtVJ0NNs5lLpv62PmHPnH3hz/3tY/HsJNOdCWd + FCY+Nko1GeGBFBXw9KYYI+l80uwdNZmphRbZ0Gd4QGSR1UoVl4LLbauN18mGO3pi81XXH7v55PEb + jx259sjGNeuTjdY1zBQjbVXWbhEVT8c+zrtuf6/b2d45+8yZZ049feqZ+VPf3HtMZ6O5MeYuWfSB + jswGgYtqXhoIx7GHp3Mu5ihOScWBsYAEkybP7QJmDhZgIsgmdKX+noFiymglDTnBCZCHhs6ZXhj2 + 1l1sxCPDTDy8XuETz5JeLIv2rURBm0AZBWriHILbj7vZZx9cbsdz+uTH7v2vn/zyX53naTmh0Q0x + QgSSzJlIGcZxEINmM0J9HpI5RSvBWbAMJRSaHSDqCIn0sZnmWZvWQ7/2huvfvDU5dvzIiePHrzm+ + dWS2ttH4iacIFscCiBHIqimlqOnpZ051cffMzrOnzj556vxj57rTHfdyGwf2UUYTMlApMSnpvPeW + IgDnwACq5QTJIJwa1WAOwP/P3psHW3bUd57f3y/zLHd5S1WpqlQqLYBAEhKyxCLAAiPAGJAxYYzd + OIZ22NMdjvHYMe3uCIe7J9qDwxOesf+YcXTMdAdD0OHumIF2t5uGDg/TatsYbBYBYhcCLWiXqqRS + lWp5793lnJP5+/3mjzz3vlfaXCWjDeUnFFf3vbrv3HPyZOb5ZZ48v4+KRAJqBixMys16b/X9+Tfu + /pvvX/eqd739qvfuGV2EKVUYOmVfsFjXhK6ua1LEoJ4Vi0SN/TzSoin1FYR0UdSQxdACxtsjHGMj + FQN5Z4iMqK3W7DEZX7n3DcLRSBdb68MtA7Cm6sQYijBrNw8fefB7t91y2wPffpTu8+fWD8WTVqJW + OHJm1HURpU/fi2SEJTjpZ46MoOBegZ1aWq+jTYJkNgAUldQYvvDzafQRY6qq6WhfdeGPX/muV77k + teujc0gZHNhiOnzSqi+NkYIiwDzy1DonnogXrnoCXBr1KcmyuM4UYyOFcSpeBtRAUoCMmdpZW9el + RrGpXbr3SuEAKPffBSPtp+TSpi5CJ7PGtu555I6vfPeLdz1yayjmXTmPY9qYtuOaPfn5vGNGWXEn + yxSd3JuSFxk2mbwY4Kltg9diWNQ26WqMd7nzXn/5W19z6XV7BudwjAW8t7KLas4AJeM0AATYne+c + eDOFIwfEYEM3nopNYmt1sZA9ewAKCEclFQYBqV2zMqk3gpAqAVG9JyISUVEwkzHB+jvHlPKVphpL + yUpsoLPogySCPdRA7MBlaNuRr0w7MklBphIWHTGzLSZ/lyJkY+M+cA3RaAiNKAVmMAdyMDk7M7ES + zGAGA4iS85jNDGpF4UCqMVi6rBhEAAYb97UCLIzI/Re6RZGmaVwhKKs6m8zm9WBQ6SDKnMiizYlp + aCtXnvcG4YiBpvnuyFACBLziI5FIW3nx0OOPPnr/0XtuvPPL3zvy7WJfMedTQfqZDmYWUz2rhIe0 + 0M+bNxTCGn0koFZIg2IINo6tZyPPhSFE1oWiGyCYJj10nzx3kQpWiVKITSIKgMjxIq0twxFxEGFP + BFLTPu8tQdUIjo0pVSeYsQQ1UbADHMwgySlPcAwzQFN63LRHERyFG+Np0FNfvuuGm+/66lUvueZt + V7/7wMpF7VazQqtFN3SGuQZ26tkxECN8WXbWpNZNAPcdghoBymdVfzJnwfbdIBCgAoDLogYQOmHy + KgihHdZ1aOaevavcf/erv3rBgQP/4z//naaJaZjlnFPdzgF62rk6/cQtZX5LHWD/1YtpVmYOISzn + knZKLpczrVl7mTlDuq4ryxJA27ZVVYUQkvQxk8lkMs8CSWWdRivLK34IAQspL4DlndR8cc+8IEjr + AFI4keLVfvxFtHy/ZHmvNNXwZRi8tFOXZdl1XfrkU9z+Xzaf5Zvlh9ObZNfeuSiBmZumqet650ZS + k/Tep0z9OUF/JpPJZDKZTCaTyWQymUwmk8mcLTtnVtOc8M6Z4eV7Bqrlu+X/07qgHcvUEmrRiOBd + 6KwoRljxP/GFL91w3U+8+tgjuyYzJTgnqmCDRpQVLPZLBAHDYmmYMCLDCJyedlUGyODTqmlOK+Kw + /Jt+J9gAUgJKARa7xuykbZkYqrWHBNQFbGvjqln3V2956ztu+gp47MoiCjzvOA4DFuvKaLFWX3YU + nQPccvVInp/OZDLPJKmvTrfhEukO2vJBpNRdO+ey0zqTyWQymUwmk8lkMplMJpPJZDKZTCaTyWQy + mRcbZrZcQpCz0mcymUwmk8lkMplMJpN5ZnhcPjHrHy+LQQtfwZAeROu6jhkS2qosSOkjH/7wBz/4 + wVtvvdMUhWfR9ECEAv0DWX0OeHtsFrJlLrK2bVOKM+99Sjj2LB1xJpPJZDIvNBYCte0nDVWFmfOt + A2Apu4Gz9DB5VADGbI4cRxWwN0a0hcGZVKGugESwGkd1Zg9vHmrLhqx0Ujl1BABq4Jg2mXQ/6L/o + uX0FtGA1jRRrV7uZnZro1rHZUR1KJA6mjiCK0lA4z1xoxxfsfZlNCwUHNe+cxI49Bw2Ugj5zBCR7 + t4CRlNZnt1eczN+PeTUm9NJTKMwAgRk0wgA4VWfkxTt1zpzC1NQQWprpODw4u/svv/H/Vbtss5k+ + f5ypzxI7HBzY4U6ipaOWOfk1nCuSzCWTedqkR9d3+np35iRxznnvQwhmtkxPDSCN4JZ2l503sreT + urcRABh33XP49//XP/yDP/iDQVV4X8YQBoOBiqQvMjMDE5HAYoylex6lfGfrnW6w1DeC+/cLDdzS + aWN9qZGDQsxgpOh7v1Q+Kb8JO+dhrKKWbGfOggmSci5dpYiTpVJhzghkvEOvdVpWFGz/un8xSlld + dnQcMFJLSiko4JBcqzFt1MxARKkChE4IcElECwIRXAHAFAxw358zGdiWzq9ntgvaIc9efBclgxXF + GNmXqlqWddM03vt7777vt37rt533UcQWZdC288du9PTYaVGZKamsU8r07R1Y5HbITusXLnmm6Tkm + tSe2hWnVdlrc1AisruxW08+EpdAU0ierAqkHKTNCaK7YM3jlz15+6+FvfebrNzy4eU89bIObTGNI + QyPvyYCgRoxld5l60ZRBi1LiqqQCNihYqe/dyqJQQrSoZm3ovPdclN5TDB0MaiACEZgZxiCoKozV + 1MR6Z4mDI28hEiV/5NLN5wCEKNTrGslgRGAmIkcoQicGLYuCnWmI6TJaODBDyRGREVihUAlIwxRK + PeOOvGALfWD6jRpgxEohYFrs4mkM3zz0hbsO3fqyPZe94dI3X/WK19eyMt3aouBWRqshthK64WDQ + zFvHg+UGFQxipkVQD4YxoS8BkPVS3hfXiHR52duOV4xAjifTaT1cm+mWVfFr3//KlDZsoIgg683H + fT43UyYQQwH2NN+a18zs49du/cLVL39NO5sPilUu3XyuXRdZ2XEh1lmqu6B0LUp1+OwEkz8C9KGV + KmhnKjwyBrgqByGE0M7qsnLOhxA0ymA0bGMr1E15MwzmX77lS5+/+S+Py+Gm2uqKeRpzkfY55tig + BmMYdsYKytZb6n3pJEjboChReIqtFVYWWnJ0LH7s1y7cd9ErLrj8Jfsu3r9ycECrJQ2dVBQcacGz + pGxNXRwDupR0AmokA6yvUZRxpDXYRaqQ1jcnceS2B2+59a7vPXz8wZmc6pomcEtsAnEli8bYdeSc + 86QaibdjMjagj2JVSc0lHbsgdbOIoD7j3vaBLt6RKRnMrNF559WJMhji4DwQn4Hzuk3f/+/YnRQE + V4O6nTe+4HlsfM1c25Q27zhyy3+56T8eae4/Qce1DkIaIhiomE3g0lZSLE59e5Fow4otWmyDEcwI + hqIoHJx1xJ0b2PDAygWXHLjslRdccXD9oiF2lzrw5B15ByZjtC6dsX4Aw30WsKgqJvsOXtSGaXde + 6wZmVXdsduTuQ3fcd/Suux++61Q4sTnfjCG4EkwWYbFrfQFROEBbSIdBjaqq2zYAMOLUw6ewO/X5 + VujMZlahHLjPfveG+x984Oeu/aWLd13hOkZXSKvMPKyGbWjruo4xxc0GUPIrP77A6fSoOMl9d54L + ALDl25h+z8JA5alIlZiNkmEaYDICTCFKqhBytlru2nfewYvXL7v21T/+6a9//NtHvlrvo+AtTiFB + PGM0GkxDUAJRf2lLHVs/zUewpK+mPu+k0nLfOHWE6ZNkaCZxxdcrblhslu9+3XuvvfydZbN73e+P + 09TUO5CkBkhaAGykTzWSsZ2d/NMa8OwYNGLZ9k/vW2DOqQMqAlg9gKSC7u3FxjCKElaHexrZGO9f + f9X7rrzt0Hc//63P3nX8B8dOPLTn3PFkc2JenIMvKKiq4slG022IYhhUxaCsa1uNW7rG62+47M1v + ufrdqzg4COe4DXYaKvZsVSEihRmpVwVYUBiBIEA0MkDNmIxZmIRbi5F2zk73I+edFykyLBzhEFYj + 9SXHoAIrCvbkooqqMiNGYxAROSKGERGZKqBqesYTBWyoPYihgihmqkxeVSVK4ZCCxD44tB1/RMuw + igGGKQjMTE5SzWT1bIgxqoHs7MbBhD6S7FsJGTMRUQgxxJgkXL2xmVDUvgtRASKwMdIrdCkp31nU + aQY2wmKMpI6FnBKcphlEVsdaeHgyFNYBCMwAs4E61xJEuxqhdDYu13efd+DCi1753WPf/PTXPj4P + 07oCO8xmrSv/bmN+Y4MKgwGnIMAiFEoKRuFhQFloCaSZYwOY4BwcEUuX3Oj9YatFUhNYXQ37cTuZ + IxETkRg1Dkd1F9tOhGi7wbmCRaLBkUFFoUk6iKKkEBczPTtPlgEGWkx89F9PEBfdQLcmJyN137j3 + Cw8evu/tr73+tZe8ceNU62U08iuVL7ogbBSCMJNqYEApVacdk0dIIfTzaObrxUZVlqaqIgQ0IVRV + 9Z73vOfgwYP/w2/+42PHjoPS1CcnMx8ziz7RPNxTwsxp7YuZ1XV98uTJ8Xi8/L2Zee+JaD6fDwaD + v3VrmQwAMyvLMq2mqqpKRIqiSB7HJ/x8zlSbyWQymUzmh0XSSJtZ13XpPkgKS5LlvaoqAG3bFkUR + QngKp/WZfEt6X9d10zTJbC0idV2nyDmtP0jq66VkJYRQFEVedJ7JZDKZTCaTyWQymUwmk8lkMs8E + 6cmi/t3O/9NpnwEAqCNEjWBnBQmBTHl1/NOf+fNvv/7aoW9XR35ysq0GrJ2wQiKw/YwQHreYcSe8 + eH3M+o3H3hbf8XhC/0QrkoLabPmXA8H5EjcfOobNKZeFGVAVIUTvCOSTLhsGOCj6FfvLPdj5gNaL + bOV8JpPJZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lkMplMJpPJPBXec+xaZl+X + /iMf+cgHP/jBhx56RFVVe/+ZqrKjwWAwncyeYjtmNh6PUyIyADm9WCaTyWQymb8LRoDx0gFM2w4a + tcdlcTeDKtjBIkp4AEdPPNxxW5EmWYlTNoLsEIkm5QTZc//KsBg7QkUoiJgrtzE7oWjBYmbsnAND + xTq1EIHi4L6D3gpnTORAyuQABRlIzWhRVqnctrUaZ7NXWPhqmFIpLV5jNECJHJEROSYDsRE8EwBn + cApVAGrolIwqtEU7iVuhmPzJZz42KY4/dOzI+nk+xBebL+9JIdq27lCvuc5Fk3mmSAmit7a2xuNx + yh0NwHsvIjFGLJwaXdclMfATb8XgS/rUpz59+eVX/INf+WXnnCPuug5Aym5tSVzETOTKkvscJc8b + +DRp1GN5kubXK5/tCT9jDCQvVf+vcto1alt2xo//+zNu7k8sX3sin92TbiHtzOIH3vHbBc+s0Pqp + ERFVrYqiaZrZbJbq4W/91m91Iahud4xn0k+mquucizEmN1bXdWVZPgtHkXl2yJNNLzyU1Mh2CpvZ + AAGUVgbrs5YvPf81e/ef/9mb/uor3/8crUaywIU3NXIwmFlvql6GtrTQPzPgDWzwCpgqb//TtGvI + U2AUFaLC+xgkSoNhAbJF76dqZipQhXOOiBFYRhgAACAASURBVIgYlL7UEBUgbyMynwI2o2UuLC0Y + YFNStQiYKsSUSGFJ9aBdVETA4By8p9iZEqBCBCJiZqiq9f5dgJME1CwJppV3KK61Fx9GMrZYgF2k + EOsuynyy8ejhb99z421//a43/txFuy5Z0fWtjY2BryuU7cZ8dWUltNEICjWQUAFjISYIk5ABcDDn + xIyi8hykbMWLT8unSsFIyYjNg1TBEPHeO8ci7QOP3nXPkdvdLtDOIYwlHbiy9R5NIqgGZRiCH9T3 + Hb7r0PH71usL1DoIGAWUzAxuIcUGnBkZAQvb64ssM5qRGosmqbcxI/ajdAK4nIdIXqpBIdKGVr3W + dbE6k9kUW53bCoPN//S5P/nuvV/XYZzzlhGRDEhS7xCVovqmV0EbFmbTSOhbXCtgR60okRsUA9eZ + azDAqOhGpY3PP/fCq694zcUXXDpyqxyqIhZlGHrzJA5iZPAERwBb0MeFJNb7OomYiQhORNWUyA+l + GnQrFxy49B0v/fmtuHH30Ttuvucbtx/+9tH5IR65WZj5muqRn8/jtJGigPOIBgDOoATX5xOMBlb1 + RjDuCGoEVbCWBiZE0BNE3qmCzdsW5XYU9SwMO52pEoQBLPS15gHeaCZlwfM4qwbFRpjOZONv7viL + v775hlPyiJRtOWC4Iqo4jWaInTqC0Xa/pNynMxw5nmwolSiGvgmBDUNP1ImfFOeOXnr1JW96zSXX + 7l+7kDpiw7AeIhBFVrUYTUTJQGYOzjsHmBmZajpvzAymVoKyL8yjZd/xeXTOuQcvecMFnfjmvmN3 + fueem2479N1HJg8GPyuq0HmIhwFOUKgjIo6I0nWd+hIAk3pWJsAoCqsR5jMb1WXpq/lkPh76Q1u3 + /5v/+r+/6w0/9+bLfsa6wb7RAQTXTDfKupg1E/YQc8vJA+2viUDfZe80Dqc5ndOyOj4t+msuM4Og + KiIiQX3p18e7q1X/gXf/cn3T6Ev3f7HgGGw6XhtsnZo38zn5pU5bd9jWWU8bz5w28Dh9TxUAGVad + G+jQTca/8r7fuGTXFcV8POChSmDqL4oGt0Pd/ZRO6+cEikow0m3TsjkQD6p6PpmWo6EZbWyEK192 + 7b5zLrrhq//vNx/4UpxOnToRMYb3TtvI2+d1WyzNgDLqUd00DWIIk1BjdTf2/cyb33/FhVev8V5u + axb27LwT0S6ogLz9rRd3IxAMEmJnJiBTAjimc2ekbCBLavZ+9CschVUYQgiiroIZplGZtSo8G8VO + fAmy/vqmBks9M+AK4selIn0ynII6NiMGnGf2npxCYlEyRDWVjD1BalOYIineF4cvnRADxs7Ih9rD + izVKpk7OxnduEs0BRND+uIwoMKMsEANUDQCIYNwZQUgdgxTGBk6Rnu8jxqSrhlDShDOgMDazEFoz + S6GHGRFtt2l63Ol0BtPonHOOzayLseLh+nAIV73x8red/9L9f/zJD8/CxsnNo4O1utVGz7TsF0W5 + KBuFgmLq2tlgHQpAFYX3cAUUFMVLUXQrFEsHx+wLX5ZlXRW1976uawdKmJlqVJEImzRhHpvpfLPR + CYroKuEiOBciQoCqQ1GAmbuoKlColrAoTuAZpWMylmixFaoY0NRwjGEKSwb6/mcsJmgUUGV0rfoK + TTt37I7NH/x3n/7od1960y9c/8H11fOmk24go9JXoVHnCxSxjY1HxcZkbJS6KhDEPc/6nhchZmJm + zFxVFbrYtu14vPq6173u4x//+K/92q/dfc8D3rOIdV1DRMwQeWx24qeGiJLTOk02ee/X19dDCKka + J1efiIQQstM6c+aYWQihLMu2bZm5KIpUjZ/r/cpkMplMJvOjT3JaE9EylGXmJLfuui7GmFYPpFv+ + zrn045nDzKqaouj0m7Ztk7s6ua6XkbOZee+XUVDak6Iomqap6/qHe9SZTCaTyWQymUwmk8lkMplM + JpM5awxszIYoMA+3UqFtsTo8NhyvTFo3a70htCEIagJXVRe69HfOth9zYlOv/Qr8BJk6oF+2cTZL + mJQAmIP0jxkvHs0y0AHnv3DNW97ylc/TwdWAui4KAktac5uWhzgYENNCXAVo+6v7p78IfHa7k8lk + MplMJpPJZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lkMj+yGASkImF1dbWshx/9 + 6Ec/8IFfnEwa50hEkRKOiSandVkVXROeeDtmIQQscpolidQyDVomk8lkMpnMMwczzMAOajAmYzp2 + 4ngX253eHDI4SyYTpeSxMAU9969KAJdGBcx10inkoYcOS2y9aQkEERL1xIUjVh/ntu/cc7ygMCNT + UP9qKiCD0Q9hr05Dd77WvgDUjMzEVM3EjATmmJXYVIIZm6oDOVZvE8w633Qr3b/59B/fP7knjLZW + 92AWIzPc2WXAfbFgZllrnXnmSFmjq6pK+pWUWXqprx6Px9PptOu6oijSyO4JIULojIA/+qM/euWl + l7z5zddGCd6Vhu1WLQozMxJ60ZkxM38Li4w0j/UHeVcWnqbTaVVVzCC43/3Q791yy+07O8TktH5q + hsPhbDZb1mEzE5HstP4RI2utX0jYQsHYmx23IYs8rAanjm9Y5Wu3dqAe/9Rr3le66vO3/xn7eTGs + Z+1MRB2RA1TxuMlFBfVOS2dwBgXYIMmZTXClM2dITmyFJzI2p0DLrExwZASwQ8FgR97mREZJv1f6 + oq7rQTUsy5poYJZEZV0XQ9c1IbQRoYutUjQWsBkLYEYqJB0iewZF1Qgoe1K1bm7kQACIVRUwJjD3 + AkMyGDEbdOH+5iTnXSpLCWoKUhBXvjaTTqMRdCBtbGfd9Hhz9OH/euiaS9709qvfvWfXfp2jQF3b + oJtFTwxodJBk+OtfFaYMkBWsHoCycrJwv5iaGAFsSbseALAxwUARBBWqqroJWzQON33nxlC1imCa + MqDhMeZvMqjCEbqAqiKbd/DBDXHTzV+8/LrXxlhZqzVXFZeddEFDSsnGMIIykh47bfZF50UUUqQS + AMh4OeyMMfrSicZZM628K+sCHc911gzmsW7vP/6D//CJf3tcDttq23FLBFVmc4CHqbICMIak1Hjm + DRHUGzyTXrYqyfkKwSywk9rNaRwH547Of/2r3/qKi644sPe80IhMbViuVjSIbay4hhKLwQRQR2Qm + SgbH2JaRL2qFcYzReWJXgQASggDqOl9LHRtrtrpxWV997r5XXvjqRyb3Ht685/Pf+qsHjt892djA + ECVTNQQ5zFtLmf1ssdtsC5lxGrST9sN/hhrzMsh64njJZs0Ma4vIip/x/Ht9b2YQUiMTgMyl+Rjv + Sy4haB5qHuyq6ac//8mb7v1iM9yktWAWJBJ15tg7VxrHmKy0pGwMsBIMKgwG2qDjsQ9RYhuHRSmN + 8rw4OL7g+uvfd9HqpXuLi6gd8kZZlbVAJkdPDUc1zAjsybF3DHZwAJsoYERmxr1+lwAFTIlRoHRW + UnRsZGbmIldSrY5e+oaLf+qN199++Dtf//6X7zty56yYNBZMhYULV5LpfNaKx2DkQhQgKYE5ZVQ0 + ggHrK6PJ5lwMaysrbTM16nhkn7rxY/c+eOj9b/mViQw5FN4751zpvJkgwijVAE4boaXRmXRHIkgs + auPZdCnLBmg7+zcFoGrM8OzEoBpiF81MifaMz/+56/6bQ5985OHZ/b7A1qnp2mo1mbUL2atiUWmF + GU+e81H7IaPCsJzCKwR+Xoyw+g9/8Z/sL1/imz2rflc7n0I7VzAbKwoYw1gBpkgLCffzhEX8o0pm + 1Cu3ldWpC62sjEbT+Tyora3t6zbaoez9+Z/8peHXyz//6n8e7Rs1ttXCokYDHDtSwXa73j6z07YZ + 1AWaMESxt9j37jf8vde97Npa1rpNgThHjhhBxSQ6JlewyhPXByJOk1DLsVbXNcu0obLYeQCsSNW4 + L2hSI1WGEUBwHiZgxqjyFjlMIxsGfoDGQMYKkCafMcMMPk5I6UxPmVMaUN1JxyU1EsghWohNHA2X + Pd/j2Xm83P9n6YY3oKQd+zgorbKuMqHOGuUznadkI0dMRMxELIpoCNE6VcQGVQkqvBqJEVGhhqgx + RRrKCk3xhjpNgmsooAyYwvzO0W8bg5Im2ZjhqSYK2eCBaApLRcymPA+BxKiqtW3OX7/kH33gt//4 + P37YSkzi8ejOurUoAwCr9tptqBd4xUjrAQ1mk8AYDP367vXd+3av713dd/7qpaNibTxeHY3GZVED + 0EgiQkQOlJRpgJqZaYww+EosCrpGZlvtyUdOHn7o2KFjW0cefvTQTDZnYarzCI8ydaHeprOWPLwH + g1VAZuxc5X1QMYJy8opDCVhWs3QdMQZgYCAa1Hmwoyg6D5uuQrGnvPv4zR/+xKF3vOV9P3bBNX7u + dG6Fr7jgzflkvDLURmEe5gAYC0hdunrkpLbPKcmBF6PGGJmZmZumadv25S9/+Sc+8Yl/9Jv/5MYb + vwIgzROFEM72epE6yRhjVVVt28YYnXNLV1+adfLep8UxXddluXXmTGDmsizTND0W2sjU5z/h589k + SjSTyWQymUzmDFFVZvbepzcAzCzGOBwOQwh1XTdNk0ZwKUo5240nQ3aKkJf3a51zg8Gg67oUYKvq + zh3oum55K7eu67Tu/Id5zJlMJpPJZDKZTCaTyWQymUwmkzk7GARAEFF5NKpg9VWBmb3zC5/7m2uv + 23Vqa4+boYqFGrSYz9vCOSWw0VI4nbbDae1c+pnUwEgPntHTWDKtyjuWUSlgTqF+snUp+c+9/W1v + //pnBsU6eKUN6ktvO5YObWOA6dJsTQQDP79WH2YymUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lk + MplMJpPJZDKZTCaTyWQymcxzSkqRWpTl5uYp8sXFF1/8kY985Nd//dc3t+bpA6qaHtFynrv2SfVm + 3vu0qRCC9z795lk5gkwmk8lkXsAQEdG2CSnzdDB2zkUJqiCCqCprQPfo1rHx6i6nHlRIMnCAkwuG + LVpvvXnuX5U0soGIOHRoxXfHTj7MTpnBZKywaM6BDZ7YmA8c2A8n6kKy/PXbYU7C6R/GXj0pnTSp + xhITFun0CTASkAoZnBhrpNii7SjIQL9yy5e/cPPfHJndb+OmwXRrE4PRM18lXmiY2dLy9DTyA2cy + Z4KIAEhZoFPWaCJKouuUoXoymXjvY4xPbcpQRVn6GOJ8Hj70P/3u//Ox//u8cw8AgHEyWzOzc6yq + aqaqOb9H5nE8QS/HzPP5fDAcdF0sfPWpT33qU5/6M2bs1K+lVOdPzWw2I+qFWSkNeza//OiRZ5pe + YFjvEYwAL1JQKZmrq2prc3rO6t6AON2aSot13f/eN33gniM33zu5DUpmhuSJM/AOHaYlp+cCNpAl + 7yuWrmsliEpS5rGgFPh5zQRPhbXea+nIV1yv1Gt7Vvbu233entGec1b2jv3K7vHu1Xq9dgMoSReD + ijhSJnJMBGIDVEmMdNZuTNvJia3jJ06dOH7y6KOPHn305PGT7bFJ9WgsW+e8kQvWajDnuSh9VAOz + EYNUNaoRwYhAO0SfvJCJEpitL6uFjLc/wFk3K0sUBRtBYJFNy+D85tHZ1hfuPnbrfV9552vf+6rz + 31jPd+2qz4tToeSqNJBZKn8lsOki7rdUmAxAWUlfbKNSMjAU3AFM6hlQioA6V4cQWppudMduefBb + bTELFvi0KxgDzL1PXZESnRkAg4tz2SiL+tZ7vv3wa+87WJSVjL2VyUoqDDiDpa+OZF4p6Tb1RZkW + jQGAIvWVnw0AadB25AamxMbMvo0h6rzc7U/oQ1+65bOf/ep/cWthEk8pInl0EY49pcRy1KtYYTCC + gAnMAFtftqmGO+NTR5uVstpV7eZped74ojdf9barXv56Nx85q/2Wr7lgB20NShUNtI1kEFJHzMwg + 6i3IZErgZb1YHEVdDhQWVVXFjAwEuGiuMV9UxYDKEGd6Koz8ykv9leevXnLN9W+/88j3P/etG255 + 4OvdcBrrbg51NYIBBjJOHZvSwmzNMX2hAty7jaMS79iTxzqEjTDvJmAzUiL/bEVHDCjDhFTgQHCq + ZOxAQdqtYuMw7v3Tv/y3hzbuxkDcQKfWGMETHHtSUxVxygWJGiUnNBgUhREdAAyYNzdjVWJIpR73 + L1l7xTuu+dlrLvmJcjbi1pcdPBdGFmdN4eq9K+c1YbIoMzYlMwomUHXOmaXJudTlUmrP40EdYydB + VBqHksmTkSo382Y03lW2Q4qDN5533jUXvvPWu2750vf++tZHv0mrnSDM5zPnpR57gXatOE7a2rjd + ySsI3MzaXatrs8l0Y7JZVqxEG9gsV8tvHPqLrb88+v5r/+E5/sJzxy+Zbc40hKJwAPV1LKVTNPSy + ZEpaeEX6fyqox10uz+x8YTFltmhKi1bKgBERSiIQU8FV2GoGxTm/9K5f/Rf//g/DqBsM3MZGOxyj + lbRL/ZGycWRWUmcgA0FT81zsIRZ72cva06Gxlmuy5z0//vMHi4vruGfd7d88trEyGBSVdtJYv1/O + wAQFqaXLmT2POtHFTgqwbYYGoXB+NpkOquHKYG3STkVpvR42883rXvWuH9x526PhPql9o6EzOKLU + DYKUDUpsYFA/YxQVbRv2+JGX6l1v/OlrX/6WeKKmWK0XNRgqMAiR54LNpJMGKBeyeRV67NXezIjY + yJSsjS2Y0iEszxEZ2NgpJzu7kQqpOCiluAJeUAEWSDtBxJDH3gbcORPvUZRcF0VRFKX33pN3xKOV + IZ3x+TJSkLauaXxz+6FbxRoq1RdgT0F6T7yB+0K3xeV0KWsHKygFokXhlBBVffQv2feKNbenEQXY + wx5XKk8Bm1Vd183arXY+CTbrbK7UBGrNS2y7LoiSCkRYwETeQFDqde9JTe8W+VuJIJTM1gA0VWkw + goR+BvbxUnjSHfcR+mJ0xGyIsSMWZna+NPWu492D4fGHD52776W/8jP//b/8xP92XB7hNTI5m+Ol + viYog1WdKQt79VWo9nQHX/Py1+/f+7KLDrx8vdo/8EPE1jungVVYFTYxIuecK7lKsmHub4SAKF3z + VVmFo2oEVoA9B/miS3dfbXs0cqTSpnHrkZOH7zp0xz2H7ziycWTWbTU0HY21tabtms4EDCKYCUd4 + ZqfslY1UCEoaXd+rLI9lebEGQJ4mMxnWKId+urXpAKmHk3bjP9/4J4cveeg9V72/lpWCsLXZrKyt + tW3rkabdCxgDQimcM1Lwiy2Efl6RVHnMGmMHct477z0z5vPZ7t27/vVHP/KHf/iHH/t3/yGE4D2b + kUg6XY/vgp70oumcI6K2bdOPqQ4758zMzJKHL4RQFEV2WmfOkGRwTJVnaW18Cq11JpPJZDKZzA+L + dN80RR0iwswpGnHOdV2HxfK1dEf2TO7LPgZm7roubbaqqhRFp+UvKXRPX522/Binddu2zFwURXZa + ZzKZTCaTyWQymUwmk8lkMpnMc4sBwaR0BQQQFB6TMFsrCqzugp++9aYv3vqKa3aJ01lQoPQ6KGqL + aV0nY7FWxwhKCjjAbHutY78846xW23C/WtK217OpgzkYe8aKo9nGsYuq9T+9/qd/8a8+g6qshqN+ + ffxin/z21+nSsr1clsXLl0wmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTyWQy + mUwmk3nRE2NcW1ubbM0ApOxhr3/9NR/60If+6T/752ao67JpOiY2M2Yv6J5sOyKSco6tra1tbm4S + 0TL12bN3MJlMJpPJvKBYJiknoiy2ftqQAUpkpGLesQaJ1LmB3P7g9/a9er9QF1zhNAl6OPmdnCZ7 + xvNDa83SUgNXFiRNN+3o+In2mPgYVCyCHcgD0K41cKyqeu2ctcY3VMzSFoicmfxQ9+rJi7rczqu/ + TGZrpFqYcFBqA0232pOPnDx86NiDxzaP3nHvnae6Uzxw5TrNpZGAvau8NVPO4SGAJ1IVmFnWWmee + IZbjMlUty1JVlzVwPp8XRRFCiDEC6Lou/fhkm+q6WBYuBLnn/kO/+3u/9+F/9a+YwcyqBiibMRsR + nBnOPt915kedpdPtNAXkvAvkC4BVcN+h+37/9/+XVH2Izq4SLatusgv135C9MD9aZK31CwteBJce + iL1+EgygbdvV8Uoza9i7CnVoZTTad2zywDWXX/vwNx7cnG/ApSbdGcE7UjXlJ+4RDKyk2me/giYD + J8HMSGCBVvWcsqvKol4ZrF92+ZV7xufs33Ng9/rucbVSUs1SllpSx069l4JnDgJnzFaASbwJKQCB + mJlBxaJRHFXru4vuwK6XYq8xQ0i62M118/7NH9z+wHfvvO/OzflGcE1rbdROFQJREnKW5FVqEQpK + ybuSKXBpD11aHo2TypoMIJDBCOMViKJtVRTswQRhzK2zAkZHibqPf/Yjb/+xIz997d87cvLQSrEO + dekPLblvSbn/quREVCSHK0BaMJvibKyOPxqQJWcwgG0XrJBAihX7zq1fO9YemQ8n9aCYtYE45Vlb + jJxsuQ2IwntEQV1RnEuM06Kov3P31/decXBtsNu2KEggJnKQhaaczBiq4LTNFx3GSsrbdl42QAlG + qGo/m2wN63FdF5vtFtXQ1fbO6e1/dtMnb3voZlttT7bHizHMQQiugGmEqZAHQIiL63+fAs8p2FL9 + Bwxs0JYv3ntee0LWu33vesvPXPHSq4u4OrI1aFVxbUFjjGpWuCQpbEeDgWo0IzOLBNW+NwDTYgi9 + UPgaA4hRzQwEIu+YyYGIFBQ6CV0oGLWvWMvYiIoVfqitXOAu/9XrL314fu+nb/zELQ9+px528/mM + vAjDGWAMg5FKUrUupo94YUU1jmQLa/fpTmsjKGCk8/kU6H3Yz25gRIveDACMY+R4Co9+69BXP/31 + Pz1q94XBdOSH83aGFRjgAA+GkkZNfe7ibxeeWtPUaEOH9eE6zXRvfe7b3vTON1z2tpVwoDuM2q9X + zhNEQgtYwV4jZptTXzmjXqfMxkTEcORJRHu17A4cXLM5LYpiUBRUOFVSMSYw+boYWTTGYIVrakwg + V+/9iVe8/VW3nfjaDV/91COTQ3t2jY7Pj7ZNHI2cduC+U1ftw19mY4BV42R6ajgcz+dzIfi63Jq0 + ftxpobed+Ma/vmHjH7z3N6WR9cE51JgGdeyX8zVsUFI2Vlp22zskt0ZKRrbtJP67YqamBBigC6vR + SrHHaXnByF39kmu+9cjfiFE9xHwOV4ABYVCqusSpXT/FpGcycO+YbUIRqwtXL7vuynfNj3NFg2Yy + HxYjSJx3XVF6oUWlIn2+Dji5n2JLCTo5kvWDH1Wty4qMJic3o9jK6tiMZqe29p9z/lt/7O3//ksf + VafmoQTnGJEZCoNQavgM9Grk0ahuNroY3LWXvOW1F19rJ/0a1khZp5EZxM6IiAtiVTWJMc0GPHky + UAb3PUuILe2IBdKJcQYyJuO+GpBqb5FGIfDCg1gVwVukyo92r+4/uPeic3cdXBnsPn/fhZ4Gpa+L + onJcMHtSIwNLPPPMpMJRvM7L6cOzB4//2bET3UPkLZLO57KY5XjsVGYyigMwMO1oCV0QJTBhrV77 + yR9/58V7roCrSH3RLTzTZwSLMZNnT+Stk2ZjfvL4xiMb85OPbj1y1+EfPPDI/S3PeMgBTRvnYoF9 + 3xsrKZxCU5ECABuEAaRrYqozCoohtICaGfipmk8ihOALdq4QU2gAOzjP8BDvW95fnR8mm3vcgUvO + u3KydfLRcKx62llYCTB1xjBfhMHbXvfuay6+ztt6hTWaFoV6xK6sWEyZiYiJyJQ0QsREdFSubhdi + H3qpkrIzM4MxKcyIyBmROovzrqTVUb37Za+6nF6nG/MTd9xz2x33f++W+75FVenKOhZdy41xJIA9 + IaT4SsmgTpHS2RIcQICy8iIvbvpf18l41TfzOJvF1SFIMGln9crw4VMPfPn2L8w2Zj/7pl/o2uG+ + fefONhoHn66vRpricwb4jO3smWcOM4sxMjMRiSLGGKMCqOtaRMqy+J3f+Z1LLrv0Qx/6n6MslfBn + ceKISCT1KCiKQlXT5KmZee+T8K9t26qqAMQYvc9TFpm/naSQBKCqzrm0yipXnkwmk8lkMs8C3vsQ + QopAiqJISullRI3FLVXnnKou/dZnS9pU27ZlWS4XlKtqCCG5rncG0ukzzrkUVKe/yrd1M5lMJpPJ + ZDKZTCaTyWQymUzmeQEDbQtfjIqBqKqoX1kn5YfP3bt2RPaqlBJiFF7MDC+XyRmxLlb4G5EzXnqp + l48Tna3ZWhdrrZ2Cjci8Aapt6dwIYXby2EtrxtENnDtGjOTZwGnBtkvLa5cqa+rXQWK5hj6TyWQy + mUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTyWQyC+q6PnHiRFUOVlZWZm0X + YxwNV9773p859NDhj/xfH22ajgjJrhe6jp3TKE+4HedcjLGqqtls5r1PGcmy0zqTyWQymTPHzPLz + 0E8PjQIYObAzKSzEqauLWx68iapYxtqLS5oJpeQ/YqcOAFmy/TzHr8KiPqigwGoTGy0n9528q6M2 + oleegQlG5E1NG2nvfOiOycOhDMPnZG8VotGiBo0WpDNBQJiF6WR+6uT02FZ7MtJUfRcpRIqoKRTR + OWdqbdOtrpbdpKt3yPoy2OEUIyIzZK115hnFzJI1I43XeEHXdSmFdcpT/RRO65RoOgRxjkTsc5/7 + 4v/5f/zLf/rPftvMmEVVzURVU/rrp5fsOvOjDz22o6uqSkTms7au69/8zX+8sTEtCgphYaDc+aeL + tOpPuOEQQqp4yw+kWv0MHEPmOSNrfl5gkDojXphfI0AwGNg713VdVZQhhMJzXfjp1mw8rl/1sqv+ + +ubPbHVTZgHYDH0T3mEe7lNK9QmqPPdaaI2sgHoDAO9dbK2EOzC68LpXvPvA+CXn7Dl3/+p+OsUD + q5k9CelEJS7stK4wQGDC0cgAEHVswz/CYAAAIABJREFUbMapyyImAhTsuTAUCOrIEakqyIxICVpg + fPXKBa9+9du61zZHJ4duP3LrrQ/efN+JezfCiWqg8ziPsSs8PJOKMeCAQJY8tTCAVAAyZuPe8Zui + 5h2d5mQK7+EZBTvHhZLEGIzgKgjh4Y1Te3aPP3v7Dd+7/9b3/9Tfv+zAj8mpogwDmIOBe/1nBKDk + 1YhJhZSSk88qEoDl8X30jzDJdLnIjEYKNjCgquoGfDwe+9rtN4a6k9LIm87Bp19QegurIUknkfKe + sZkHE8zpd+786mtf/vr1Yr9TRwA5VovmjIQAc4beLG6UJOcvNtg8oICi96RCWQHz5ECeA+bzeeCu + WHV3nvr+f/r8x+448X0/1nkzHa0PYuwgMAO5Xl8NdEBvsHbCSmAXlQBw0kKzKRmcli7UOF684/Lr + 3/qa69f9OXEGkOuasFKttpM5AXVdElnXzAEMxoNJO0keF2I2ODDgnKdCpXui+RslYmYGCAwzk2gi + USyWoyLETiJ10XsQEVWFc2XRdmFfecHmya1zq5VffutF37nzxhu//VcPz+5vhpttMQcghKQ/B3Q5 + liblRRcRF4n2tqOmhS8cAIxUSeddo2wKUbPUET+zGJOyESvUoM4EEAJHDlvVic9+/4a/+P4nT+Ah + LaUa+KaZlQNuRNXQBETrvHNUggliIEuNVJMQ2hmLKBsqGWFz+KqD1/zkVe+6bO1V1clhFd2uoja2 + WbMVDGVdEVHsQuFsfWXUtK2AzIyUDAZ9gnAyfZESiGhcjlU1NiKIymQENRM178s0vYRo1JmDG/r1 + tXI83lte9v5X3vDNP/vsd/683DWshrI1n1c1FirMRVJEUzIPoKpZCSc2tobDiohms2Z11U1n4nz0 + o3g0PvBHn/y9D/7Ur1517uv21PuLOIhiScOZKjmDCZH77fbC7B2H8jTmFnlZAkAyRgOAiBARkSNm + Tu55MzInczNlz8M3XP6mG+/6cz8gUVRDxB0DSTbW/uq/48pCSgBMDcDSeJ3M1sYAs4Fl8NpLr7ON + 8e5yKK0N6rKdtYN6OGsMyiACgcxAClI847X56UDqjFwf/xjBkhOc2bsYBapVWQx90YUudrpnsD7d + PPljF77m0278aNikAsaAMDNTktGnUGfHOQ5NWC3W1+K+91339+vN9UEcVcxiUlcQYgGJogtKEd4V + g7IKsn0KGKoAW1/VDVDAE6maQrrYqUn6TjmtHjEAIxWO0fUnzgsXcVB1o3o6OKfed9klV7zmytft + 33VQGnOhHNW7pAGbZ/FOnJmZUVSFxpLtzE+cuBgLNSpH2JidbDoXvI/sYG6nDp13VDNdFBovy8wI + BFQVmZlEdNNu1e2qw2qcFyzF0Ap3xuEPQb0zkRDFqZF3o7E798Dq5XGXBm7edlW3FY7efeSOm3/w + 1R888D0TlONBaKfRdZ2DMISgBDY4Y7K+3VlyWqfdpmgmXWwVIhAP1u2TrylD606UAIgaMcizg5aa + Wq5YWVTtpBlXXsyvrOx+/ave9JW//OtyhU9rkmfCaTcsNO0/wJOt4Gi1pPWS1sj7El5swmpGwUQM + ZqYER0SF887Rcsazj7/78mRpQUREZM7MTDUowVRBNCgGAxqGeRemco7bte8lr3jzy999Mj50871f + u/G2z99z4naqnBuXQtJ2Tc3eENOucl+BPaB9cGspWthuSZ4Q2sgO9RACtJLqUVevjU81j37twc8d + /8zh//b6Xz/eOCflarlbojcwqAXgzLN5kOqOMUrmOSFZ8cSUiAzGjglERKFriqLoNNZ1/Qvvf/9F + F1zwG7/xG9Np9/gtPHVnlKx+aY7JzJL8L2n/kt867cB8Ph8MBmnKNZP5WymKIlUn731ySaaI68k+ + n2fYM5lMJpPJ/LCIMaZQJN06LctyNpsNh8P0r03TVFUVQmDmp3dvVVVHo9F0OgWQtNnLf0oLEdq2 + TT+WZWlms9ksLU1ICwtUtaqqHPxkMplMJpPJZDKZTCaTyWQymcxzC+H/Z+/do2276jrP7+8351xr + P84599xnXkB4JEgIL40PUFAwIRBAEKsLqUdbFoo46FHtg+ru4bAdQ7q6RtVw1KjRo0bbZRW2aHeL + DVi2YimoaBAkEAyKoIEQQMiDV5L7Oufsvdea8/f79R9z7X3OvUlucgM3yU1+n7Gyc88++6w911pz + zflbc831+6ChtFgsRu0I09agAAdKIbWdlHa8dvX1v//+l13XfA37jh9r2rZ0XUBQGibl1MluxhBC + qbMOhYIxQxWmAQBYbZjD/SCLdMr8JVrNj22a1PWLxDicyL56/KMvvu75H/sIjLAxEebdP7LlDChi + kGLPEHgtzkPeV47jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI8xVFVVmjYe + O37P2samapkvdtq2/Zc/+5Z77rr7He94pxlqAjEMzsj7pqbw7bqOiFJKK5XUGVKwOo7jOI5TrQ2P + TgnLeQMpmxrAAUWME4TzTO+6fbZ1x02fDRpIIwNKCqhV8w2xPWq01iANJlqQbFOJmjG2urttJGhB + hqJAVcy0BOM8X9x06w1ldgOq/YStqqYfntIGiqt/Q2n4XkgIpJbFem0zoiHACMWgitii4biYdZOG + QwbmWNuXtiV7ja/QbjYFoIpdPE+vc87ouq7Kg+v1XU0fvbpeExFmVtUHqoQMCAAzq2brX3nbr17x + rGe+9OqrmybGGEuB2XDZWNNQn9utcs4v9spSbVACAih93zZjNOFnfuZn//ZvPwNAFQS6t2/wzIMM + RFTVQqWUEEJNzF5KidFVyI8d/Fief5CtTIO8FFhyUW1jk3NPTIGRu0UwJQ0HRkfWw2Yb7xbqRcQM + CVxUQfd9wWQEARupEqqqFNUOKLBCk2b9Sfuf+oLLX7KJi3Nv4Whc12kQElMAkciIwGZsYmakICgM + pEJSrcNs4NpQGQy8FIhCwEzEbGYQKFXbKoLNudHYYE7cHr78id/znJfceeL2z33tMx/71A1Hy13b + +Sgsc8hZS90i5WErsBQkA6pQRlWB1q0ZFIZkaBMiQQpUJdc/YUQGCIse7RQz2eGRfnHxmd/4s//4 + /Ge86Npnv3K02AyyHksKhgBZKf0UQZdSZ0VV9aL28Y8TbOiEajzOVbAKAMYUMKfFZ7/yd3ee/CIf + NAW2dkpKw94xghp4qG9MBjZFQJfRtuh7qGF9rT12z/FuoXfNv3pYTqxRmsRWSHspHJlRHcVDSrah + ij0uhwXIuOpsARgpYGToF/3aaDyfd2Fs4wPNh2/909+96Z13LD6va91c8mjKXZ6ZghlNoK4Ypz3u + VRtWywBBqyu0nqBRY5TU5OmVT/y27/vWVzz98HN0K+l2alNrKggoi1nbRAA5d2RKMQTQvO9CCMSB + iMAkRiJiakZyf8nszMSqcFphBCYLkY1TN583beQUrZiYxhiLymKWiZMVrKX9izxLGr//ytc884Ln + 3HDz9X/+mT8Mo+Ml9CVIH1RoqDEEhJXs2VgHbTeWOQEH9eme8sBMepkbqcDS8KbhHN+2MYISwZgB + kBiVErrZaOud17/9r77ykWPhK2kDxWixKG3ELCtFtBEhBO0li7DBAuqJqQzWAkQyjqUJgpRHG+XI + S6562Qufec1hviRsNakLDRgqC+2bUQox5qJQpHbEYtsntikxAKYAWqU4rKccA2owYHkhRASgy4U5 + xhiIUEjqzmcmqBWVAE4xJkQUkT5rn6ej/aThB77rdZc+6Wl/eNPv/v3Rz47XRl2/4LAUWu/ZM4B2 + RUPAdCP0fReZxw0vdqQdYVFgDUo83lD/7g+8ffGd29/7tGuafj3yhCyyMRkBCAoQKwDIKrtjHa/Z + bVIeMntC9mUYzWamRjUWDxQitw2riT35oqcd3nfhMbndQu4yApYpIIcGbWmxJdB9d+Sn/MTGZByk + veLJ38rzRhNYpegOsc1ms5hGZRBvV09tAS0d7fZou+zkZfxj0AhSqyU2mGmKkYgX3Q4FbhN3i27M + 07B25AkHn3Rs++4QuqwoIvH0uJdXPl4yZmlf+Lxr9PhoJGsjanM3b2IoqsVU0YSU2tCKFIiKGphP + uRJbQgYYmZnBlFS1ZOkVQgYYavhRTeoYTmoVhjBgiMpNno66tcli86Xf/spnP/XbLjp8cT9Tvcf2 + T/ZHTtt3L8ZpykaroS5iRCJiY1Ea7MIP/CqqZEKlS2nUopk2o4VtWw3LbE9dO4U9rvdaelIDFgtr + GgRm6aTFJCzaYOOWxrFYMDzI8gCCMk/UJEuEBqVRoazMJDGMRqU0nPZdtPm8S597z+zLf/XJG//y + kzcsqOnTDM28j6WPAEEYUA6aAJAJWanRYG3bi0nWTmEKqw0pdrfnPgiTVoqU3BNRYAoEECRQkVlq + gnT9ZDwpuTzp0KUbo827yjaFU2XzZ6Y2mDbYm2v8UwfZY2hGaaQ95b6nLofYGArIiAYNMA0trZmh + ALxsl5bv14PDsYlmJqjNDIiZiZSCqfadEFGkNhFZIcsmjH3xwIuuuObKpz/v5js+9bFbPvqZr9ys + tL2+lors6DKoNgCGoTOmZW8y6Nm1frUYiEEGNaiBA4hZLSxyjk04Xk7eerJ/x5/82mtf8I++5fBz + 5l9fpLAGqJJyrXQW9RSfuvPIkHM2s9Q0ZlanqsCoyqdr41Okb9v2+c9//rve9a6f+Ik33Xnn185q + /bUy1wGm6rEWkSokxtJpHWPMOfsYqPPgyTmnlOoIZtM0WI6h1/lYjuM4juM4544YYw08akBiZpPJ + ZBWEjEYjLMeCajh9tiEuEe3s7NQour5TpyMwc52UUNdpZjlnAE3TrMLpevfX7+k6juM4juM4juM4 + juM4juM8GpBcRqORwhQwDPOXVBBjhAqO7L/mj37/I9/5kmduHChbx9pAAlWCAAwbpqeDAdoz7Wpg + mMx19vOl2WDLxwQwTGVC6RdtAhh5ZhcEoC+//d0v/G/+8qMYx9iSIe5OFCWA67xKpt3y8DA1y7Og + OI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jAABEZH19fXt7e9++fTvzBRE1 + TZNzLyK/8Au/8PWv3/0nf/KnNTcvlsl7729VK7lU3/cP+GHHcRzHcZxvCmzMRKISQVudTdYgQFEU + XoQWZLF6WAA10sEc9wgX+RQISATtIVRM0xyZ1ooEFIGFav+LuWRTIGoch+18gsZ0mqLoEQy6zBAN + xFCCGYx29UkxYbYNi4t21Fgui0U/mYRuntE8UoU9D/D42TlHmFnbtgBCCABSSliqWIioZrFepYw+ + w6WcmREFmAROueT64Z//+f/5qud928a+9clktPrkGdzDjnMqrKIA3vOe97znPX9QU6SLWOCgKljW + pVWdPEM7uVILrRKze/7zxx6PusNZW1UMTacCqEn5H9FCPZogARRkg0yxprUCM6M34cCAFs0IGgAR + lRme+dTnfvHmzwmEAzETALbBWVuTSZ1mjzNSJTWCEgLACjKIok2tzaWR0Zrsb+ZrqXAIoZhlBllY + /u2uCNMMSzcmgi2/wtSWGkiy3Q9HVIssjBBqgUgNQEJWIWumdABbYtu6xoeeduTKq1/xqi/d9dm/ + vPWjn/zijXdt305TpbEWQs6IASFg1mEyQd9DBG2EqJKBh6+LMK0K7CBAdQEGBuolQVRRKtoAEAhM + 0gKp/zK+8Aef+urJrbtf+YLXTwuHMjmY9lm/UCnExiRgFrARG+omyKPsKunhQIhHqVmcnLVtkkBC + ZgUUyaA979x0yw2zcLJYCZGk2B7ttGJPzQEAYxWNAVIAAgfsdF0cNxT1Tz/6vuf+wPfgRFn02oxG + spBxHGm5t05Sl7nbHkcwWd+X8XRtPt+JLUeG9JlBMYzmpehI5qPjf3nLh3/3xnfd1t/GG1ooc4Ko + BgIz2KBiiSGGYJDhLEYAgc1UWRASi6ioNiCb2f545BXf8w+uetr3xryJE+vREhGjaIACyqSwDIuB + mBBMI4wDCIraZCgZE7gm2jPdvSg3HrLekaKeoYMdeUjOBwMJphijU0CMTQk9ZQvRYgRMqQNmgTRo + wHG+KDzjVVc94QmXXPp7H/rNe8qXebyY9TthLXRCRJSgWsCiVTAamAzWF0SGUm0qdrXESgCTQmfd + jiCPQoBwCFS9jOcOIxTDeDQ6efToZDoySjNanGjvfPt7f+XTJz4xH21TYMmFYU2AAGhABi1QGBGH + AFRrKsMYuUAJ46jUJ9nWA+nwej74xlf990faJ6zJOi0KGTBK2UIWQ4odYNKDmJhVzCyGuAZk0PLU + 23udYsvdRUqDDNUEQEpqXGypdCUNBlMlQyACGbTL6BAUATAmNNRNUrbvuOT7LjnwpN//6O985NYP + pn0ktDAYG4JR39toFAqJqlHNjKgSA9jUFClACoMsmxFbGOdtO/qej7zj+F13vfbF/7ic0Ck2TIgE + iaMWYUqaF0hUe0YlwMD0DbYke5smw7Iu138zCBRQzUbIRIASS3jqky6/8bbbCmAMMwYYGCoYQxkq + Q61gqpHSMEgHAMxYZLQRTGS9MifLdsGBi6bNvpQnpB1Y1AQxMlOx5daRgDKbwmqfzo+6DowKbBWx + 8LD/ACFwRDFjyxxJSbKWSMyWZtt06YVPvfmznyLLItqEpFmXOnCGMYNq1WUDW7AdPPPSb5vSwWiN + FUOQngssAmykgqyqBA4UYKxYxmOnImIAmLnkElKKTTixfSwEKss0oMGwDEhUWCmhF1RxcJPH4/n0 + 0unlr33lP3nixhVBxnYyjpSIo81YNUxDw/XY19FYKkZqZAaYBRtW88CLIcQSR2mNc2AZZMnZQFzr + J++p88sKTKsfednDKgghAAaITtK4zLRZG7NMyQKZKPRBlgcEUANSgMmU0ZGhqd+oJgttKCptaJyu + h82Lr7jsxU9/5Xs+8Fu3fP0TXf/VdpOK5UKgNpYOpEPd5VNk8BwTn9w6ESPHGKX0zAywqdUtZQMN + Y7A17Sr3WsBMiMHAViAqLCBRQggRAlWNiNHaS4885ehXv0asel+a8/uhJm+tJ64qaTVbG2dQX2QW + LBlp00bVWUhZydQijEH1uNTgVZf/Wh6ioeM0IxHu6m4ACBZRe10jEDgYqKgVhoCVTYkzyPot2ocn + vvBJT3/uxVf/5S1//uHP/MGdJz6tY+UptuaICWQsok0SqcPrp5yew2tEbe6HKwKyCGMzCgzj3DUl + j2d//eW/0A+Wn3jZz62PDkpZRIoAc+RuPkshERJRsL11z3kkqJ48AIMV2EBEqoWIEhNMmbSJfOmT + n/g7v/Nf3vzmf/Hxj/81ACZW0yY1fe5Re3uilWyPiFR1tebVRBkAe8XDNaBamfnqmFSMsZRCRCGE + ruvatq1jrw/zbnEezdRxeWZeeSJTSu60dhzHcRzn4aFGIDUgqWHq/bmr63SBUkpKaRUkD1H3clWq + mlIqpdSouP6q3iCr4uoaS+99/7SwZxUt14h6dU9XROrdt77vm6bZ+47jOI7jOI7jOI7jOI7jOI6z + Yu8oKxHtfXim/ruOvt7fUPD9wSnactqQLKfNMkMNFgLRCJsHX/DRD33ohd97Wd8c1qIROwuJDRLB + OouGyMq0nNVap9IZMyQq8JAm/JFRUANABiNTygAiAYbcoW2pFAnbJy4Lmzh5EuMYIoOh2RATCD2B + qU5LYoEyQHX7fEKH4zgPL3VOWr0Tt3p8d+8DkKu7co90SR3HcRzHcRzHcRzHcRzHcRzHcc5LVndh + 6m0XVX3AHJT1Ear66PfeP394Cuw4jvONU28xr57BrI+FPtKFcs6O+0xd64Ynx3Ecx3Ecx3Ecx3Ee + QYioFGmapu/7xHXcqSdiKZJS+vf//t/96I/+6Mc//jdEILCaYk9+4MreVL04dQCkjnusRrRyznVE + y81SjuM4jgPAhhz7aiZNDCLid68fCsZmiYHSaxul9MVIEarWqj6/OTzFqQQjJSDch8vlkYPQM9Ci + 6GxZVIUBDNL6fLyFwAQVM6gwA2qnaa2/yTXnbFZXRUYwYKn/W+1bVR6NAOVOCzPQ8hxiCXuUcI9r + qimDqbpXg6oyR/cXOOeI+5wfVa/s6uypOp+q1sAz3L+uGU8Ark5rACJ28uTsjT/5pne84ze7kqfT + 6c7JE/Vyz5+jd05DVVOKqqaqIcW+7wmBCCGEz3721n/1r/61GdQQQjBIGQx49z3Lom3brusApJTq + nMDVh/fOD6ypeHx+4GMJb1POL5RRGJlQ2JRWckdAASMoqbAK1/BHAR5jujk6FC2EEIZTd6W+HJzW + p0BQGnJLVcvjIF9cfS4oRUlNSY2EYARSo2JcxYq1PEuFoIGVlktgDaxsBKHqQmQbvp3ZuH4XGwUl + MgqGoBwGy6ASECW2eTTuJtP5/vXZobWtQ9+y8bzXvfCf/fQP//xrv+efHglP0bvaNB9NESapkQ4b + U2xvgwiprRctMKqqh9X1DGNpgKwYsSLCVsuw0RK0xFLiomu2P/b3H/5/3//2u+XOsKknuxOzeZfS + KMVxFQcaVY8fKWCsxIKzcBye9yhBTEvRJraB4qLvzYxCBJO15Uv3fP4LX7+V1y1LL8WaFnpKZ6R7 + /IXVMck0yFyr+pGFtKTy5ZN3fPbOv9O2t5iLdaMmdvMFMBxZG/5+yLP2eIMNk8lktlggBkDzomPB + JI2MbWbbi43tj93+4Xd+8NePha/GDetswRgEq5XaNpAhCEgQDS1zZDYzgVAMkaNltQWm3E5041sO + P/tNP/Qz33bJiybzI+PFZiqjIImNyDhIChrIQPUsNgD1gBIZk0WyepQpGIIhmIaVS9Xuq2MiBS1F + qhBA2JT2FN5WpzmpUgGUTQlg4yghlrbt1551wbe/4dVvvuLIt7b9+r52I8+EQSkyjBhoEo+aGFlV + TAVhtxRMxsMXWU26Z0ra5ZmRqZnAVPVcx0ZKoCbcc/zoxsZGjFjQ9l16+//1/v/0hdnfzkZHc1qA + ejKwDh+2obSxlnm52yMrVNFMoQGzhSakA+HIEb34za/56Uubyw/hwnGZRg1KWkg6lsIQirZ3J5DZ + 7rG4L+pv97Z+ZAAMUB7aSDYERTCNasEsmAbTWnIFC7EQd72O4nSND4bj6xfqU6577j+49jmvniw2 + m26NM0fERba1tbYTETNZ+mtp+A9GMERDZGoCggh67Uucn+R7/uZrH/n/bnhHGe/MeTvbrFCXtYiI + iabYsjHZYLaux3pX4nuuqGpltbpnkA5tXhBsHDgYoIiKZXtIqqRkCIbTzpTaX8NANFwwqhqAoDzi + 6QWHLiFlLE9zIxggpEZqVEAClD1H7X5Ow0cSBQSUCcK1miy7Bhgr2IiFIKxGZqRKIA3JRvunhxpr + g4a6+2ryzj3Df0MLQoagtH+yuR42Gxkhk7Fp4gwSCooIwCiDMqiwMq9Oh3tBRAAT1XqkgIpkGezD + 9Txirh0WqZLuLLRtoQWpT2NZf/aTrvqx17z5KWuXt3nalHEsbZQ2Sgqa6h8ShihjT3u4tMuDH+Qr + GwdNcWio+d4pTYdIbc9ZvKo5p/1qaG3qqpWDxighyqr7flDlUUShIEiKYES1cScYm7EiCbfStqUd + 92vTbnO9HDooF/3ItT/5A89//ZF4SVyMRkwpYGtWihUjUzKgrOJYVoY1ZlY0Dx0DQAiEZWBMe85u + MrYhWLXqhAYNfZkZSIw74w4hExspJYz2Tw6MaEwP8ZQZguHd2HyoIQgKNg2mbFoPkBLrMixWwt6G + SZcXAnWpB2UIoAGAyYiGY81kNJSWFBBjUUK08ZT278Nhvqdd2z7w8ue+5sdf8d99/5Uv3yj7yzHs + a0eSwcyTSVuKgQdluC0r4u7G2CBOZwUrYwiOQWTGQg3uXixko//ciVve+ce/vtMcp6YUZArYmc/C + KHLLD0N/6nyD1PHNOtbZtu3a2tov//IvX3vtNUwM0hRTdVozcQhh70yX+icPOExfZ8DUajAajYgo + xtj3fYwxhLBYLNq2xf2MyTqO4ziO4zjOeUGd0VKntTVNU4PblFKdVdA0Tc7ZzNq2fQjPxq+y9tdw + OoSQc1ZVMwshdF3XdV39ivrhb/7mOY7jOI7jOI7jOI7jOI7jnJ/UGQ517kodnq3vxBgXi4WIrFJ4 + 1EdrvpHvGv64Ths0EMVihMkYk/bWAxs7Bw9ugbYWMhphNA5dh9RCDLkYiQSzMMztrxN1KCiCAmf5 + GGydaERW1wFlBQlIRAECASI0k3Jg0l7czz7+smtx91Hs7EA6TgRFLjBCAZbzeFlX0w7Nn291HOdh + ot5oW01Rqw9DhhBWd8FW08z8WVzHcRzHcRzHcRzHcRzHcRzHcZyHzOqeS02BWm+d2xkBEGNc5WCF + 5wdwHOd8g5n7vq93n/u+d6e14ziO4ziO4ziO4ziOc87QdpRq3t1f+7Vfu/DCI0QwnG44q8PsZ87b + XBP2YvBXpdVw/TnfAsdxHMdxHldUYZOFvU43rZaoqswYVCDAUhnGj5pX2PCIffX62dLPVe0/1QlC + K+nPcovPYan07F6X/qkIizQoqJiMSZmUjdUIwhDWwlW24twvDyHfr+N8I4QQqg+4zr8aj8d4EN4W + AKepRW+++Za3vvV/GY+mOzs7o9GklFJKmUwm56TQzvlMtU3PZjNVrUnOA6ecy0/91E8dO3YiBKo5 + zHHGPAzVaU1EKaWccymFiFYp031+4GMbH046ryAFMjAYqbnmoQJWZmsw2IYIXS2SotFwaO0CKBOR + EYiIbPA5VhEdG68CYjaQKQzCIAA1etYIY2VjMuVsXLWOGVSYmAdTI0DgwVmLlTTxlLKbCqMABgZV + 7XP9q91OkoeNGLZGYdF0uSoiMIhrtqtkLTKXPuyLT3zpM173XU956cf/9qaP/N0H7s637cyOEqjP + dnB/0+V+Z4EU6x9XT2N1YSobg1Ro2BUGhoUajTMALgJdORGhACLAs7j1iTtuPPr7x37kujddsnZ5 + iBML4/nOjNNIoWRsZDACKVHV0muxAAAgAElEQVQZLKuPOjnoOYQ4diWv8YjAasWIzKy3Bdr8ic/f + eHf3Vdoo1CMYETEgWF5JGkGhe/bUkNqs7k4AqKZGluP93R//3I2XX/yMlEemlEKjfSFmQA3hLNOy + PdYwIxFByLFt+lk3aSah0Gy2sLHa/u4Dn3vvb3/4N2fjYwubRW1aCiQ6qNgNskqQZ8xEwQwCGCus + FygjkmhvjfJ6GIcT8YqLn/f6a98wnh8+lC7ptwMQjATIICVj1gQKwgySIcWdcf0VSIZDP6gwFdB6 + RctLbScANsVSOUu70swqLl76jwdZcthjqVe2svxwqqtUUg4zQtkMB9A87Z+8/Cff9YHf+ujnr99/ + ZPPo7LioJgACmILJrP6/QWDBAsvMgdiT888AI50tdsCycjQyw+yc1j/t8mx9c7Louhy2v85f/K0P + vO2v77mxG23Xlj8oALVB26sEKIGrXtS4WsYBK0GJdHuOZoQIwonwpPWn/vOr33RhuLSxKUkouRSw + MSkFICohgsgCV80zFFRAtlJIn5HhUpxtOIRkSlCurSus/gjAjI1YEdhYiM0CoBZLp31L45HGsU2u + 3Hdo7Rkb67Tvz29+37xNW3K8GdPcul6xNqHFfJDZrw6VgpWiEsxygnFBMASm0pYvzG+557NHE01f + dtVrSq8HJod1ka3HqBl1XUexNkWy2oxlnTy7A1br8Cm7Y28MvazcWPZKhawa2SPCEw49MUmjSIAY + gQafuiopgGB7CldXsqdstTYOZUAwoUTtJUcuBmdQD0ARUX29BFANKmr/XL8owFbB4bkzeZ8lpENR + jUHENYQwXjpzTYfgp9bSwGAjBAqH918YJFGOkbORwFRpkASvep/lN9AF+w+vt2s8Z9XCMQqHbAjW + EoFpTiigDCRQW78axst6oru5RolWqzUzIe2lN1NAh/o5fFKVYITRCMi0EUe6nZ7z5G9/zfNfd9Au + asuaKmC5GtYZIDCDsRr+IwWpEZR25dBnY1YmAi8zig7Yqac0QbFMOTrUhNWvqdQG914wGROMDbqM + Bh9ccdTAtckygMDQ3T8mMpiRCcjYjEhDGJW+fcHTr928YPNX/+t/0CQlzCYNYoy66NmGXoOsRncN + LKhZVzqFmAkhDMPEFLB7np5S242WASGMjJcabzUWQeHYEFhVG06H1480mgrzKWflA6DLQ7k3RS3I + QtAUpU2lDaVpjFmF0GowIgI9wP7c3QADQUPt0I2DEg0i9uFQKrMyG5ISGxQaSx+aMALpiABEOdZd + lC5/7Xe88dIjV/7en//WbHaUGxzdXsT9IgEqSNws41sFyt4QbjUuj9on1R6f0fV9O0Ip6FhO8omP + 3Xb9oU9svuJ5/zDmsUGaaSMwLdrECC1E6kO5jyCnhDN7GhYz2/PEaSEKiTmN2+lo8p/+j1/+pV/6 + d29729tyySGQiKkpBDEQcTSzOk5ax5vu73vrqBMR1QEsAF3X1Ue8RKSOsdYpMovFYjQafdM33HEc + x3Ecx3EeHupt3VKKqtYJ3zHGnDMzE1HNdGBmXdc9hJVXp7WZ1bg6hFCd1n3fN00TY6wxdr1hLCJu + tnYcx3Ecx3Ecx3Ecx3Ecx6nUgdP6uvcpxFLK3lkKW1tb6+vrD2H9tJwxuFq1EAIAgc134tpUNfOh + g2/4sz9+73d9TxxPLxLpt7dlJuOERY/RFOgAIKjCAobZYgpi4GwmLi1RMoDYWAEjreUjYDU3lDlx + kOPHj22sjUd33Pb+a15xzYeuRxRM6u94q6CJq5mQbFAs5+Q7juM8DIhIba6JqD7KW38UkXo/DsBq + +pnfFHMcx3Ecx3Ecx3Ecx3Ecx3Ecx/lGMDNVrbksQwh936/81vemPjbVNE39cL1942krHcc5j2ia + pj6SWVu/VYP2SJfLcRzHcRzHcRzHcRzHOc+pOX5rmmtauVfQzxcba2tdlhD43e9+56tf/epjx7aI + oGarx9yYuWYVO4N+r47e1w/nnIkoxlhHus71ljmO4zjOeQHRfds1nAeJkjLNsSuuVtSH5Yfn6BVW + YLzXvaFL5YcRHvFXGEiq2CcyVQG3BhvcfAAMutISBWMAQue+bNCqC3nAVxgDEUbVGzXsc1LUXAF1 + b682FsBZqGIeX9Scva61dh5+YozV9lJd1A/08V1n317M8N4/et+zfu3KH3/jG+bbOzHGUvq+789F + gZ3zl9g0O/MFc9zYPNB1WcSaNOr7/q1vfeunP30rBypigKzM1vdHdVqb2WqQQUSOHTvm8wMfD3gU + cX5hSqosy0DdBuny3khxV/RIQKScDkwOqg6Tg+upvmeFg0F292cDD2pbEFCVeAAbQUmFRUmMFCTG + WakHFYbWBVCyqnJWNgUKme4uUDYdpHp18PRU/yKvHLckIAPJ8M4w0mpGajSExaWToM2YNtbK/tHO + gQvw1Jc8/ZVvfOVPf+tF330Ql1w4vnRc1hd3FZ1hcxrDyrFHaoNDdEAYUg2rpGS23JDl5QEvr4iM + g8Zg0CSyNr9t6zNv//3/8OXuc3k6u2t2FG2jHAAm1I01QBVi9KgRgj5cMLOBFSpaRiExUVEpIX9l + ftsnb7spbfK8X4QQIqXc7TqtV+hS2rr3GFVPJxmMNKOniX3mzk99def2HDpF1iKj1ABQYiHW4QpK + GY+7nQ+wGBWVlKJqJgYzeu0xku107IbP/tm7PvR/b7V3d6N5WCdDJtFgXE/2alc1YiU2AhuTMSuj + iIkyAwwzazmshY3xbPN7r3jFj7/qZyezC/fZRd0JsBFDCIWgQVGNs4qoiIqkiAquB9e437MU47y8 + vH0w2Gk/CKMwKa1qTmFIbV/YmC2yBq4jF1QYWrbLuGysy4Wvf+mPvfw7fjAcbw63GyNBA2aCKrKI + AByZKIqsvu6UlsoIRgDT9mLL2Ixt1a6e4/hIiXVuJxeT7Tv1i7/xx//55ns+qdOMdtBP8tDQ8e5g + DYDTzzJiY8lYa8BzNN3kssPPeP11P/KE0VNou7HMfdEiRGgCWrZIutKo1rXUFlhARak80IE75bcM + EGpHUE3by4IZwyJQbcoMMFmo/U5oQpZeREZhjEUq9/AT4mXXPe8Hv//KV6St6b54oF8YDCEh9xb2 + DgNqrVWstdcQYUULBAVIqdG8hq3m5PWf+uP33fh76TCOy93a9DTGol8MRdot9XKN31xO1w8roAVZ + IVDiwhftuyTmBoUGOzINgt1a/cgQlGFsp8lHwQCLgAhmCEyRIknQzPs3DrIpSAAYgiEYLZW0lIHh + ktXABh4q+aMMIwVK7axByrZSDhvqnqnlJzYa4hYz21w7GEpLPUcLgCqJUe3Zh9u3tLQas/KhfYdH + SEGJoEZaAKFoiNXzXbsVqlHK8O+9KKiafdnMTAlMSjCTnDvjstyrjKGzW4ZtPULX4ETzrIue+0Pf + 9/pD4cLUT2wHUTgYGBaq/Z2qUX74h5LWMvAee3QNkx7cYstabSvBNoaTlkl5t84PG7lqCRjYDRSH + LafaidDK2F130YMvT/0etqXBffDcs1CNc6MRC7MpspgKkybux81i/dKNZ7zpH/7UZLY5lY2UY54V + I1U+LYQgBStrzh0AMzOCGQGEe6uTl6fccDBRd5Qu9wXXt4jMCCJKwvvXDmlvdNbnjC4HdXmovcPw + OhtiPczCJBR2K6ExG69ez7wErQuCoha2+qeBevQx7GckWIK1idYbnkAU2jdsnIm2m+niyHc/5eU/ + 9vKffkK6bDpb32Dud4wZIdXmOgxW+eFcrDt8uQCAMgqogIoiG0AFk5YyrIz6srnz/r95z199/gad + dj33FrWYFqs+8sdhCHd+UGV4tKReXYpIkZxL/z/+T2/5X//1W0ejIGKrR7FULee8Ghg98xh9HXsy + s/ooV0pp9X4Ioc6MISJVdae14ziO4ziOc/5Sw+MaUa/01aUUZq4h92ruODM/0MrumxACEVVPtqrW + r5tOpznnEMJisVh99UP+CsdxHMdxHMdxHMdxHMdxnMceq4mgdXy1PlEDIMaoSwBUp/VisTj7L6jW + aKymOspyyhCNpwAspLkZ1tev+7Prv7yxvoUwDWlt3zoLAMxmwIi2S12T1Qk2y3lHZz93acDqzDEl + 1uUMLgBACKGZ990oNdMmYDa/bG3t4ruP/5cXvRglo2yjzKDaxt0ZdAxQ3aK6rf4Up+M45546zSzG + GGOst9tKKU3T1HZ7lU+83hqrbbjjOI7jOI7jOI7jOI7jOI7jOI7zEKhZKev9lxBCzrnelLm/z8cY + m6apjzJhz7NOD1+JHcdxHhx2P9SnPuuN5lVT5vedHcdxHMdxHMdxHMdxnG8Op6emR9M0s9lsNt8O + IVx44QVvf/vbm4bNQISaARhAdUThjKl9mbltWwB936eU6tMW7rR2HMdxnIqrFr8JkCqrsi6FKQha + tTeDu2T4UTkYoiIMTqJqsnvkX9k4SBtkDAuDIAmDOGkoahX2GdOwAHgYyl+/5YFfQWpUjLNSAZVT + nW5LA1TdouHdh6VWnA/cZwjt93+dhxkRqSmpV+mgp9PpQ1gPEXIv/+bf/Nu/+NAN0+k6ETXNyDXt + zmksFovpdFpNtcxcvdTvfe/73v3u3wEgYk0TsayNZ24PzawONdSVmNl4PPb5gY8HPG39+QUZMSwA + EcbLNFRaZYq0K94DLJJG1sQ5TNuNFCKgg3jVwFazUO0aFk9D76W0NFLlYlyEiwFCEFYjU/ByiUps + REZkYCVW4iqNtiHpFZSYjVk5WF0oKJNVZ+6uCW+3GGCzVK8+FMFo6dokHY1aMgShEY1GEmmGNaw9 + ZfOy173kR//x1W/aN7/wgF68nw9PbY37NGiyl6H2sEDr/ioEA8iUaMHoGB2oB1SqA5CAwY8LMt1Z + LGRUbN/OV/rPvv0P/rfPnfwUH9Jt3lEGIbBptMLUM1SJ5fEXp5tZSqGQZFm0IVJRJaMp/urzN9zd + 3R5bVUMgC0Qq2HsxhqWutQrUa63mwaG7OmTIAh7T13Zu/8QXbsREwTApQQfNpK5EraR4nLZu1DSN + Si65a5p4stvKbe43u5vu+It3ffDXd5qjZdRTE7rOElNV0StheZk/LArOmo0UbEZGbDGGJgYSanJq + dkbf98xX/uDz38D3XHiQn8qljZHBM/BOMAmGoJGMjFS42HIYwcBGapyFs3BW7oaFipEMhx6861Cu + DmfipS21LrRqYQwMS2Yjs9YIxtl4bmGhVJSgYCBWyWoYWpAYNLJxi0mar03nh172rT/0qm//4fHW + dEM2Ug5NiKEJFkgIxmQMkXx/Z7AZiGzRz0GnJL0610dXuXRx6874mf/no//50yc/OU+zEAIJCDCC + EBRMpsG0DhYwdj24RlIXABNu2sV0Mtu4OD719Vf/80vXL+9PhCat5xByw4hN4FG0JmQKWRuTgA7D + IRMlATB4VUErhWrtCE4tL526DLUhGGARiIIkaAu1hZIg6TBwo8FytC5aR5JHTYMoC5mDLUhM83Zf + PvTS57zyJc9+uR0Pa7TGGgMAhekyqaKyWmNohGHcg/rACMoBFAxFSja1AB2Hk/Hon9/yR9d/8n2L + 9uQWjllbOpuFFGqzv3eghQ38EDSrq+6G9HTp7NIEvDTmDk0cU2QOJGl/e2hsE1IKREbFlq1i7VVh + THbq4z176modFNV62I2DBiq8MdlH9YalBbJBkQsoyFajY7AECzVD5cqa/CjC2GgweZOBoGRDN3Fq + /MNktekQCTIZrUcdhRIbi9VLPexDgKFkS7WwMRnvW9uQrGxgZiWIKZgJCKY1XCELVZeuvMrACezx + te8W1oyIADXCIs+IVnZk1V2dM8g4dHFd918Sn/zfXvNj6/2BttsXpR0362wpaAga2JiNlwlDl/2j + Dd9IRkGJjZRUuDzIRakYZ+NsXIzVqmYbGE5qLLXEe06Cqg2ne932rlLx2ndYVWtz0QddkroAJSii + 8nLoWZdWbPRkPVkmFk6ZmowmoynWMLUR4/Vy6NLJFT/+qn8xObm/XUxbNPUo1OBzt4xUiKwv/eAj + X945MAPA996oVd8BKsPBAmARFiBMVj3TBItkcf/aASpnHXEEA5nuDYGEUVhL0D6ULski5UXKsybv + NGURVQg1yF69nmEJhmAatQQroF5Cn2Pfpa5L3aLpulT6WIShxGRM2gQNbWy6xcJYuUVn8zRJm9P9 + /QltTm5cNnnuT77qLU8dPfuAXrzG69ojBAIp2RApg3SwxZ/eDerqBkNhG0+p9EDPDaOTfpFms/H2 + f73pXX+/fTNNbGtnO4DGTSt9DiGd7f50vsmc2mGtGIx3ZgQwEQGmalqILAQqpX/961/39v/zbYcP + boiAGU3DK791dVSXonz/x5eZ+76vDr+2bevAk6rWNKNENJ/P68dWnmzHcRzHcRzHOe+oyQuW4wbo + uq5pGjMrpdSwuQ427k21f1bUjDB1oHJ1ZxeAiDRNQ0Sj0Wj1YZ9a5ziO4ziO4ziO4ziO4ziOs5c6 + uJpSUtU6OUFE6q+Yuc5YqO/vHWt9MAjQAQJAGHmY7GZABhAARm8wwZhGQIv9m999/Z/eFdq5MGYC + hQraFju9NVMSHuaqDjPzWYVNzn7CNC/nWSnVH6lOSIsJfRaFJUrWd5PUGLA4fvJphKds7WBrBzmD + FNYnYDULpM5ZNai409pxnIcLMxOR+Xw+m81Wj0FubW0tFov6IwARISJVHSa/OY7jOI7jOI7jOI7j + OI7jOI7jOGdPSqnvewD1jnmMcT6fnyHPkpnN5/P6lFP9k+rPeLjK6ziO841S8/Yy19wqQ0Jzfx7T + cRzHcRzHcRzHcRzH+YZZPtqwyvpLClJmlNKvT8ZV3vGMp1/+H3/5fx+Pk1lVfuwOsJ95kEpVc857 + P18z+p6bbXEcx3Gc8xjXfz5kBmGcAQbWXcfcUqvMbBFg0ljfCY+uRYMyG1fTDYDBbL3cEFsqhGyw + CCGYPkwFexCvDEUoFoqFIkElVIXKrk5ir6I7Vi2Us4e9sbSZeZzsPMyEEGKMNQF1TWOys7Nzds+/ + D+Y3yllKsZ/7uZ+76667uq5jZjOfz+CcQkppPp+PRqOu6wCo4I477vzFX/xFtUEqlbPEyKpqpg9Y + DWvehuquFpGaWd3nBz7m8fQc5xXGsGRIZgkIsEjGVeTJKLt2zCEnFAflhHaSptPRtEZIp405Gu0x + 0BlgUAyqxtWC6rQm1Wr1q+pZC7BGkYAIMFuAESwMims6ZQ1SfavL7xpEkgY240FeWL+FjSDMS5Ux + GxHAimjVVUrQZUm259t1Yxezeell2oxbpO5YvykXX3HoO372n/38dz79RXoijXRNtkrQsEyStYoL + hx2lAGy4+FlaYJWgS832UtdKalyMy2Q9ntzu+9jL2uL2+efeef2v//3WzWW80/PCkJcCXZDBFGq4 + l+f1sQ2XohwDghgLmZJoSLwjJ2+65cNYW+zMt0cJpkVVm5jYAozvbZS0egBW5k4UskE+aoyMPjfz + v7n1ppmcRNQUouTMhlozZajhSlYNnI+vKwEimCgyWm5U1RrFIf3gZ97/uze+q1vfKbGPLXc7ZRSo + z8qJZZBJqw3y3ZUCGUakTEasBhGRLLzAeL7x4iuvu/aqH8T2JPTjMqM2TfpFRwCZMgpDQAUwJTO6 + 1/43Hjqd5V0T3vvOGThNCWwBFoBIGleVh/bkwuN62Qws27DaqgQVS6FJJekx2dcdfvEzXv7S5/5Q + OrkuO1GyGpnGejkuQAlxr6KWThXWwhiLvMiSa6PKzEMDec7QkDHpttI973z/b9x6z6fypNeRzfNi + 0M0CCtTjSNC9wzeAAkW4L6EvoQfQ2DjfbU9oLvux6958mJ6Q7wnrk02FgZTIiEAqyBKUIzEzV2t8 + dbuitpQIQHiAo7YXYzKu4vSaA1GotvksxLKUsQJ12KYwCkG075qUiCyXBUVKbaMK7bBO+679zuuu + esoL1vpNbMVQQgiJGMv9EA2hjvUQEIBEMCmqVvM/GgGCvnT9eLubnvi9D777r77wsZIWJXRhFArJ + qszLgbGHBOmeXvQ0+JToixSkSgYiImaEaHENa5txMygzYc+5uZL1RtawWsmgN66JJo0DRzbAYAoo + AsWGmn3T/WSBLbAxhsGyveUJsASLQ4dLg+f4UYSxIqglRT39h9pCKIwCFEIBlcEVXsXhQYy04XYS + 1xprgwYGIQxHZcjFuczOWXfC+tp+LTALxBGAQDkooQ9WWBE0whpYMlKrR+Te5m9jDMrXVcSlOWcl + U9IaxlRb86DW1rjR7E+z8T+99kfXu0Pr/cGmb8vC1KpeeoiplvHPKgpaadGr8fo+xMwPsDuH9RQl + GdTKtaoPbTKv6ocRANbl3sJpWUetvhEVXE8XI1USW51HD5qqD19umWIZ9YFUYUYgIgocKDCYiLIs + SGlsG9PFwadtPPtlV71mQw+MdY1tKMkQi5KCelAGSS7d7oaaneGeARkHpVDbT5Ka+NUQYI1pgiVI + NE2RG7a4b20jcTqL9hBD/8T3SuFqtApdarMw1Bag1nZbRu4GEtB9vhrIjBRQYzMeumMlMTJls9Vv + aRC612L0eS7WKwSBlW17++R8vrM53dSTuklHNvLFb/ihn3ny5rPSzmRkY10UthLQg3oaLkBQD5nV + ixJjAzDU0qEplWIBUTohQZvQU86T/o78pT+88feO57vGa4kM1APgZf13HnXUoaI6TgSAiOpTWCGQ + SI6RVctVV13127/9rssuu1QVfa8iSGlQ6NVxJZUHaB/2uv1CCDUl9HQ6BbC5uQnAzOqqHMdxHMdx + HOd8pAbSNYF+KaVtW1Wt8wm6rgsh5JxrJFz/cbbrL6XUoLquqmmaGo2vbgwRUb0HDJ9O5ziO4ziO + 4ziO4ziO4ziOcy9qJu4QwmQyYeY6vppSqmlq27aNMe7s7DyExxRPnU1YAkqdJWWErGBGCEDO6DNG + U2we/OL+tWMHNo8LYjOdTEalwCIWxeokUQCAWp2fX384O5v0agah2jAFkYfZS8zKyFLSqFGVvDNv + I/aNo2zd/cSS//CF349jC/Qdkg2TQJYzzZb/VzyqZh46jvPYpd53izHGGEsp9R5cnXWmqnufe2Rm + vy/mOI7jOI7jOI7jOI7jOI7jOI7zjbB6Xml7e5uIxuPxGcwZqw9sb2/Xx6PcBes4zqMTux9UtT4B + CkBVmXmxWHhT5jiO4ziO4ziO4ziO43wzuI+Uy4vFYn19ves6MyGypmmuvvrqt7zlLW0TAfR9vxps + P/PDEVWTVkrZ2NjIOdcEwmenSXMcx3GcxzR+u+ebB7NFsoilgagixPXJd2EtPFjqHk0oQQgZlI2K + UqlaaCOur7orW1HhR5+vp7IUKK0k3FVgZEsJCxmC7gqMnEo9/Vd+DQCutXYeZlbVb6/t5aGtJ4RA + hC996cv/8i3/Q9OM5vPOr/uc02DmOvUFYKbIzG984xuPH98GsLY2CSGshgvMcAb1z+pXNcN5bTlr + +h2fH/iYx5uV8wtWG6tOYWNoCwQ2ZgNByYwhPESMClKGkhEZB4vj8bh6AZeh0nJ9xgABzEsbqFAs + 3BTmzBCGcg2aiw1WPBiYtYVOoRPWMWtiDWSDWHG1VP2hUl1Q42+QgnIN00G9cq/cr0zVQloF2EJc + mAqTECvt1lEjMTKjbCSjaTIuCkltjE3oSy4q42YdJ9qDcnGzvXHNt7/qx3/4zVNsTsJ6y6OgvJT9 + 6ZAziwAgaAzaVB9k3X0AgiEqGkEjYANISyiLVLqoYmUyQTHMudh++eLJv/uV3/6lY/qljo+KZSgF + bYIFNiZKsMedcY1BZlKoR1ASZYVS+fQX/u4rW1+Udi6KQABQSmaOZsTGrLt7aXDIDgbH+1hCQCcd + jcvXt798y99/2kgjhWCh/q2iXu89Ipv+aEDBknNuMIk6UdXSzj9+x1/8wd/8zu359kXTN83IZjYB + ysz2759sdVKY+1At7nXfgarqMoWeqedQYiiEnBEMB5oD11zx2uue84/ash5jnGw21uajR4+uj/bF + Mo7SEqDUS+gkdNWXGUyCSTANVs+1FGQcZMw6LKQtWSAL9QjvHXRYsrclYaWlchURQECJJlFiLG0q + 41QmSVISIiihAGKkQsjMhbkwp7W1nZ0dkv6i/UfanWajP/LiK1/zome9+mB7CVuzyFoIFlEIhjxE + 3YR7i42VUKcdd11nBFWtIdc5Pbo5dHfxbb/1Z796211fMFKl3OuimTRZFWCqKvhlN8CGqAiKoABB + AkpEn/D/s3enwZJkV53g/+fce90j3pJ7rVpKEiWVllLRaIcqIaRCI5BQa2CMbtRmYAzTYmRjNtbD + WNPCMKw/9lgvM0xDY9j00AYIuqFpjQABAgloURRqLaUNIaEFCoR21ZaV+d6LCPd7zznz4Yb7i3y5 + VL6syqxczs/cwuLFi8093K9fv379/kuEkoZFfOrkmT9w1w8+e3r75uJY6qeLIoUKyiLKnGUOmRn1 + YDUmIRYKimQIVhOFLQVpSVsgrvwuy1VwnFaTyJdlLEFYhVR4LPN1SFAuSkW4GKmR1QEWIwhdRpEQ + SEKe0VbXLrBGfV+afvKDr/vhZ60995jduCYHuj7ThA2sFpVVQqfUg7QW5sFgQGFIZOUQLCWNQSU3 + 3cP2oB3s3/Vff/P+r//1dtnKKMuU93Hlq9GzwH5jg4HaUqOrY1Gu7hOHR1SH+QWILJKGKE0q6fDk + cNBlWLcS6vCRy/2UMRDPFPs65lUTAYEZoowQOa03m6wtaUvGDGXqGZlhbEQWSBtoC0u1/BUuFxBL + fFEpsaFVtLC2JnDX+g/DgklAZgjBGMqm9VbQGUsIaX16IFFrAphyGCokAFCrBBWbhs2Nw0wNLMCi + gckyIwcsAnJSBEksa2atAspytg2+NgQAIAQAZtaXflk+0JDvy8vcZTLmebrrhd/xjAPPme5srJWN + CdZDkzJKDppZ6yZTWAvbcGu1HVaIbbm5RVhki0H5fCcDSEClxhsvl4kRsJtAf6phc8ZuLPryOcYY + 2luH+l4BFQbO//uwMeyAY1UAACAASURBVKC6TAqv2+ByIrJghTUHK9FKspwsB3STNXAo/Y7wzvQY + nvrq219/4/QZKa8FaW2lIVVZNSi4mOVSihLMjAYrP9vedsNgCAaGjW3KSgxLbJNkU0iERqaJWZi2 + aynEfVc9lstKh7+W8eC1/tmKtsWmBZPCa5mTcDA17sdpqEhn3XO7nEof0DP1TEKkIDYORknqtNxB + 1I1FSY2z0Gz9cELA9s6cuJmsrYNEdLG+PtnZmbc4NMnH/sF3/0+3HrtjfbG+rm0yJVKmsYgcE9BZ + ly3vrEO9zggEdBmBrI3gjBYcI7ZkB0fx0S997IOfvjdOjLJQTxSaQnYN1+UuE7xnGivjOK22w8x9 + N29SKNLn0q2tTW6++cZf+dVffsUrXhwjzFDT8pbtVnU6C1VdX1+vz08p9X1fG6eIaGdnB0NPmlqi + enO/c84555y7QvV9X0qJMda06a7rmDmEQEQ551JKrevW2wtob4wx5pzH4Oq+79fX18e3nUwmNX+l + aZq9h8bOOeecc84555xzzjl3bev7npmbpsk517ZWM0sp1XjUpmkwtMGur6/v97IuBpad8wIQARIg + J0iAmoEJohAAkyBrDZoJpuvf/cH3fvRYfKAJO32RRYGAFHuiq2v/HAboDD2+HgMZuAZaL19OZCwU + HuqVNtcossx3EpAIyFjMy1obprOTL36ovOdlr4MURUGgXnTZ61sVpmHsGunNz865i09ESin1hFq9 + JHI80TaeZauXQXqmtXPOOeecc84555xzzjnn3ONRSkkpdV1HRBsbGwDMrKa9nu359QTNxsYGEXVd + l1I6x/Odc+7JQmcRQuj7PsZYS7PFYjGZTOo1m84555xzzjnnnHPOPW68JxsoNWE231bVeoEboGby + Qz/0g29+85sPHTq0fM15XM5Wr7MIIXRdN5lMmFlE/JIK55xzrvKxN58YNQXEGFbjUVgBJRhQAkoo + JWiXShdLl5AjSoDUXJXL41bDQsJCY5GgEsZ/cZ2UuDAKD197nC7S99md+HxuFYAyCZPyEBCDGvZU + Z0eX2UhsiNAJtIHXBM/CzDznwl1iRFSHpA4hMPN8Pk8pXch6SBCVmmT/x39y73/4xV+sw1xfhK/s + rmjMHHMWAGb2tre97f77/y5GZub5vBORmnsNIKXQ9+fqB1ifKSLz+RxAzWX3/oHXAo+1vtIsE6Nh + xDDW1Ui+059LpqommkJDEqCmQw5hHdmKDLQbmQkABobxuNcyQEhrHB0BbAyQLlcbhgWqeaVDauny + 8TPZ3RMuM/xsCPvUmtZZv4YNwXi2+z41iHr5BkpQ0l5yFhGIsSkgZmJgi9O4ofOwJgeO4PrnHbvj + Ld/7vz7/hheFE21TpkkiG9tKniUDwbCbKLqiRjYSlA22m7cNA0RBBCMsbFY2Otk88R9+62fm6ZFF + 2CqUlQAwUajfn5cJo/Vrcw2MJKvhcIoh0ntY/lf29simMZCKFDHEBArc0oK3Pv75D8g074g1E+SM + ENgA0W65UpyWJVnVZVJ/mnG0NSYWQeauT7OPf+6+BbZ6nRPXoM1xC1Berlp0ptjXKwcpSEA6pDjH + 5Rqy+zhWEgcBUpi0KXFIJ/st2yxfXXzh1//wFx62r6RD1lsnIlQ4gten4eGHZ+2UZHWx0TLcmgAK + yFr6UoxCQGyUr+cbbt28/bUv+u/T4kBrG1SwvXPCrBw4uJZzhlFNgcVymLxlnOhyxV8myteVn5Y5 + 1hZoSEiF8X4G1Fs96SKAsoGHlou6lNh2g1FrK0Yt2RaLfjJdJwrbx7eStGnRrvdHXvuSN33TdXcc + ijdShhlCABFEbVksGUDCkLolG6mS1m8rpl3poAbR/SeanvZr75YMQF3/aVnuCUuf5ov25O9/5Dc/ + 9+Bf7OBkj4WgTKfto1uLpkmr6/lYsA7LalhSDCOQIUqTZutv/Nbvf87hb2521mOOMTYKCGtgbiik + QByIWRFVITnnlSzbZSE2BNyej2GfVeerfkkatv1hMsLqXsKIAISQtEhAiLExEyNVkpw7LuHI2k35 + 4fjm7/6Rw3wTZikZ9wutB05kYOjuWmIQAxEoQEiLisIaDoFAhtBiEWfb6ZH/8r63/93WX2HaC/W7 + X9vG99hNn92ffRVBSqpqYmamwtPpupnVVY9tmRrLdsrOcLw3vgXqoGxqgRFCUDIii5YiGtJlEjbh + 1HmxGku7u8/llXe7rJDxOXaUYzFSdxyqIApk3DStkooVld0azCkMbMwW1iYHYoyAKQwAE7Eao5Yk + uty/W41wPuPOizG0Stdt2cwEIiIKEdZaA1GqRSLYECXdMHnat9/xug0cPDA90s2k6yTGKFRQY6ah + Yyk9VGAItRK4fJDHuR6K2ceehlLXhvV8XLCn1LiWS3K8OXWh1QUy/K0rC2S57Zz/99mthI6Gd4t1 + Bk1gQiqGAhUyzbnrum6a1tbigf4kNd3mD77hH0+7A6zNWEbZSo64woqIWQGkLrjT4rtsmBMASmZD + 5XA5j3UFI2KioAoRITKIRm4Z+26mWW62tMy1HwaWJTaQWVBEpSgchUlrrwEdvqENafdDVX71lgxk + RrXJmA08rCFEuw/Vfb0Os6tKhaJsbT8KYH19Q7JK1hijSO6lSylBgvXN4XjT99/9g7du3j49udFm + 5iE7HEO1ebkcCYrl8sfut6Mmhb6XlNIkxZ0tVcFkwo/0x+NR/dNPv/dLJz6v1DeTtmi57IoeN6id + UZiZmWuDUZVSqs1Ga2trJ06cCCHcdNNN/8+///m///ffGAJM0TQRQCkFZnz2U3c1vnp1w6yDiq4+ + QVVjjDHG/Q4P7Zxzzjnn3GWiaZpxLAMAbdt2XQfAzGonACKaz+f1zwvoXlA7KNSoFQzV7NplgZkX + i0UdNUZVicjr1c4555xzzjnnnHPOOTdqmkZEakeIUkptRM051/92XRdj7Pu+tr7ut/2WgNqtXFY6 + sdPYAzggMIr2vQliMytAO8HBQ2/6oz86efONJ9amc+ImRSpIwxsa2bLLfe2sfqFzTTA25Xo1gRGA + 6TRtb88CLBBx7VpomCQWlVDyke2tZ806PLLF8xwkhzD2aq7vt9tZ1DnnLrYQQj2/Vk+9jT3ZmLl2 + PBuHFDczH3LCOeecc84555xzzjnnnHPugtWLoZqmqedlcs5EdI7hUGOMRFRPuI8v9OFTnXNXEFWt + ZVftQTSZTHDaECjOOeecc84555xzzj1RakvUZDKZTCaz2YyZu65rYvzn//yn7rzzWwHUq97qVRKP + OW5YvfBtHGTMr6dwzjnnAIz7Q98zPk5DpsayQlJTKmxItlZS4WKkY2zzMgWb9nvLF+N2mcC9DO7Y + nSkFG+p/VzKOCLr7/S/K91mZcJ63NYFlTB3CkF9j2F3UClbsiR9yzj35RKQOSa2qfd9Pp9PFYnFh + 40JTPdAzEOFf/It//ZGPfbwU9U3erapj5qSUROTd7373u971e0QoRWvbQo1UF1EAOUsIZ60d1Uxr + ZhaR2nkGwyDq3j/wqnfZlSmrJabX6U+jTJmpA2VQNs5GNbSVjcgQgIAhGq/mJRtnitZgLdqEOZaS + LXAhwBC0ZtqpkdoyR1qDKaEk06QICjIWYiVEQZsDWQAY1IM7UCaIkY7TMg1xZWKrE4bcWQbIEIxo + OQ35enX22MZIvuX9Gk8LjLmbxBbYQgBHigGBlEgpIAQEMxPuVfvWUrMzXT9+9PnTl/zAy//xd93+ + fc32xjoflFIzuoNoDbwEqDAK10zBIZNRCcLjpADYmLQhi1AEAhRkMEIJ/XF68Kv9/b/ynl+YrZ3o + mpnELFaKKscAIJiyDb8RWBHYQlSOgmBWf0TlGte6mit5RSKgMUlGpG0pTY5xi3a+vPO5zz748UXo + JaJXEKOocgJIjYtyr1RsJUGz/hDjqGa7GeR1fZAUEHrGNh2//9FPf2X+tzOelVDErB4VDWnKMGPI + ld4N1JR7paxgtaTWKpKSKmXlXkmUoAiKoOCakxpKIMOWnuw35w+mL//HP/r3D+YvaDopOmcYwMSs + QCnSNDAZEjsNYTUJWQGYGmICq7DaZjnyDP2Wt9z9trV8uLUJOmqsnVCCSdFeQylBSlBFMDRsiTTw + cuNd5gGvTLIy7T5ej8lPLTfOPK2WMMNr90xQWi1VlKD1NjFJLuAUYgvSYGhy3CgHfuA7f+jm9tam + 24gdBw3LZTrGqlIP7msacAlQRmCY5MLalRxBLZJoMd538vHwbUHGQTkqB2U2VuKi0jTJrHQlh3V6 + tPnGvfe/9wOfu2c7PNq3O9p0xNJ38/UWKpkwlpPLjUhrM03kQmSAKGBogFYwXUxf8/zv+ebr7zzM + N1kfNGiPmSGn0BhC0VCUFKTEogpoYqLlj7Isk41UORvnU38s7JnGuRwixuvPwWxMxqul/dj8NO4m + YGxEYmYhCEVVAByMWqVWUmPT/gRN+aaD7TPe+Np/tEGH12UyMSCqxsJqbY5cMGmQDT1HwcTQsNYi + GKDSq7DFSWHu0HGXj/Zfos/86vv+3QP5i5l3DLlWRVITSslEMMh+t946C0ZUZ2dcG09bYrzbYgQN + sJBISTOLpcAhqiIKoi4LwyAICuMioauZ7lgJBBZWDYWIoESg+SJbYwVlPW1yxyCt1QbD7i4VxssN + kzMoM4SgrMxKvK9M7ouMTQlClBkZJDZu7CBFMKRa/6mLuq5v0WKQRjPFGHLsSiwBHPLuEJpKu4nR + pGGiaxNM2YCoGb0xJWlYEiwV5i5aCQXUBUhQJhv2L0YAL9/HIlm0kiMrG1TVUKbTycMnH0EKiMgG + IoIgGbhgwuAFvfZF33egf2rQ6dZ8xtNEE+ryvAlcaya8m/1M9XcZ/qxb2W5JuK9pWK4BFsgCaySr + yekCKoAO0cmA1VFHC6gQSi1qxlbdZZo1FYKSjQVFBMKwap3fhLEqy4Zlk2jdRuopcOJoYCU2Yg1B + QYFi5CQiSkpkDU0O5Bu/8++9MeQQiEkCGYNQFEowIgvN1mzHuHBQM2MiK1Lj7et8GtWqcQQpSIRV + WGGBLZAxLeuGBay9dUhisTf0IZAudMJrrPvcXsYc6ppPbbV5nUtQHRLQDVGJhVVIDTys4TTUh886 + 0bD0eGzcN64LcJxW695sTEYpJDKzXhNSsGhCRCGLpJZz2WmY0jzeFJ/5j77tf34av3DaHw5KM0HP + UEHLIWSQqPGedWxZTTEzg6TEuZdOtGkRC1vWpsWWPfQQf/m9n/zdbm22oycm641oPkOSuruk9h7T + LYsEIhCpWZ3qnwaYkRkFirkrk8nEzKTvNqZr/+e//pdve9uPNw3nvhAAsxSWsXwcAwgYQu1rN5d6 + Fet4LSuGcUXHwL/xCSJCRKUU1LTs057jnHPOuSdFDc3d82DTNOP9GqZ7ab+Uc5cpIhqHMGjbtj4y + bkE1EyXnPNZvV8+U1afVl9f7tUY9vmGtSI9ndjF0ST89ZKX2Za8fZ2ZjZ/TxCfV+KWUMA3gCF4Jz + zjnnnHPOOeecc85dbkIIzFzbTsdW09roOnZUAFAzU/f97oShnzODEpCAQOD6eABabhIlBk8TwEA8 + igNPfcnv/84XDqZ+YzLrS0uICoYZi7IYiRAUw8Wm2Nc1F2QY+mwBWPbjMoI2fdkkgtX+VGQgYhJV + CSiAYX5dmd37su/AN2ZYSADIBPX6VqrXuHIpMG9Ods5dKkRUT6XVknn1tNp4+uzCrul1zjnnnHPO + Oeecc84555xzIxpguPTpMdWnrb7QOeeuFKefgHbOOeecc84555xz7omwOtgvANRRuGHMFEWklNI0 + USSnFESyqvyrf/l/3HXny2kYkDoQ29nHAdszUlmMcbywAsP4veMlcvWiOeecc+5aQERmCCGMg2r6 + JYcXyBhgNq7pJMbFSGsg9EowgALKp2TPMZ2WTDRWb06Zlk9m0otyW0M51ECKWJAEUevjqqQAgiLK + MjSKlDHGxFyc7zMsDT3PCRgWO/fLhU+nLFUAANesEKVs3Juf8ASwLASsbvt1bN4YYx2z17lLZvWy + 9zpge40KrndW+1nVJ9spRSuAMb4egVjEQgggNuDH/9lPfPmr31BFCIkomNFyRBRStbIbxgkMsZhX + djimq5atBMwlZyn9xvpUSk/Qton1wJ9D6rN89Wvf+Imf/EkxcNgNEhwGLV/+KVKTiGg1SqAGV491 + p3GM9K7rxpXZ+wde3byYuOKUlWkZMwbsNj6O8ZnLNFkWAIFCDZoFA2TGtU7JvAxEXOZlkgFUU1aX + tfZRUArGpBHGIFHqyU5t/dx1zsGoVr/nmSIzzxKMel6vrU9SMja0iDyL+ghfH5/+qttf/w++80f0 + 0WnKa2thjVSIEBosW02pfi6zRbYI47pnXtawx7Q146CMUyvlRiihdM38b0/81W/d++snwyO2Ufoo + RoCarjTLLof3omEeUev9y8VlhLPP0RVEVYrmPoXWELbLjDbxwc/8aRdOaCgKGDHAOsaI1sVy6m6F + bKi+nJZJx1b/C07QhC158BP338frKEFqgCKZLgdaAwiBKFz5RZwN1bsz1urGR+pCZCNk9DLpysbs + ne/7ja/Nv6TT3Fu2Gko6bJvjoq2HqeNHjTmmJtoEBFPLZSrr1zdPedNdb047B2KZ1A2hpi/XUNXV + UFJYQA0nPoO9EYmrBch+EghPe+3ebNS977ZantT1TYcSLyg3JcWdte991T+8efpNG3qUFgxFCGRa + hxBctirV2NGaml5LAIHOywKiMFNA+ILH4WOgZmhrnT1Sixy2t7cRkDZxUo//1YN/+Ycf/r0uzXNY + CPdKBdCVsujMH12y1kypECiFUBbgnfZZR573yttfcyTd2J8oViylFAKpFojW3NPTS9/THq2RpWcs + /89vhm3v257yeSvrjy0ju2nMww6GaLGhtUamrR646dDTX/mi18SdZs2SFtSmk8BMQOmhBuJoCPU9 + d9cBUhhDQhtbBN0q27aZH5SvvON9b9+OD2vKWfpAvLOzk1KgSAK1vTuk8/AYe6u9TyMDTMzqqkcW + WIlpaHSratOVkiqV3TVzWLFXi9OaeF3jnwM1jHTmc5ZLOlYqxrztfc/vRbYn2H7Y2HdTkPcsatLI + FgKYAksQDQowWTzLoQwHjREBgELq0dEQtR5roLGwYHffzbt1JCMsS5Ua22q0sqVk6c1MIcsTvsYJ + KRg3Cp3jpoM333rD7QfjdVoYTBahDEBFM/ZuKbxyuzc5ft8bIylQk8sZFocH61Tfrf5r+AgCAyCQ + 1dldlndGAKwm3NNY9BpdYJXmsV41/OIwcABHYgumEJFMmY+0N93xrJccnV6HhSXECDJbluBSyMBG + UC0gIShqfW5I6a47Bd3dqy53KKhrV22PgYLEUFPVi7GYCUEDQuK0v/qGLXdVp/6aXL+Q7jbrEEDL + gO1x+eypDJ9pOlvpaljd1Z8BGdNQztS3Sm0s0qtJMHDPYZ6esvFNr7/z+2hrwnkyaZMBTRPzQgIh + MdWk87HlGsMec9ju2KjWA5mNgwGKDJNp/7H7P/y17S92PJ8ttmO87Mofd0E05/wjP/xDP/Mz/7Zp + OKVAoCI9QYmojvtcm5wmk8lqU9T5m06nIhJjBCAifd/DrwpzzjnnniRmVvvCzmYzG9Rd/Gw2q+ex + RKT2cK17befceapV5Xp2tgZgj0nVMcbVk7siMplMLuAjVLX2sWPmruvqe+aca5+bvu/rieSu67z7 + nXPOOeecc84555xzzj1OYy8aIK72OBouuOV6B7UHVruGo4e+ePTgl02bjQ1TCnZK336QMYyM95lp + vfqZK/2LSNmQFEn3duA3ggg212NKFLdO3Jbtj1/5aixmVBZAyX3Xd9nAXekBpADvvuGcc84555xz + zjnnnHPOOeecc84555xzzjnnnHPOOeeccxdKUxNiDD/3cz/37Gc/MwSKkdU0xXS2F4xD+9a4vjoA + YB2ntw5ZNpvN6ii+OefVxGvnnHPuWuBj1z8hxriiMR6lpk4AIAMDwcaA6tPy5h4TYRm5Qhfrtn7b + msTByjWhb5wISkMI1Klf7CJ9nwvJe6rf7dQoa+zNqSU1vvAwqWvBBYRiOHeRiIiq1mO0umZOJhNZ + ybvcYxwaug7/DuCBBx76337sx7pFrwpmJqLJZJJzJiI/7rvqlVI2NzeZ+eTJkyGEEMJisWjbFmBV + FZEf/dEf3dnpApMZnWNc8ZSSmfV9P+YHlVLqClnHPy+liEjOuW1bL0KvET4M/TWhbvPXypESaUaW + kHlShHKw9evWb/3mp979A3f/Lzfw09p5EzISA+ACDEcGy7RaXeZbMw/HOUManxJqjiyzDlXyZTWd + hexEeehDn73nz7/0wQfL12kNi7xoQ2woAVCyGiyqLEamZMKqBKOalRjrcQvjtIOTK41RTVIHM4NE + Jv0D86988v6PS8isnBSkuwUOG1hPGUft9MMzHZImd59DAlJWhEA9Zp/87Ie3ZDujJxbCEFQJKCmx + 0ZBYeeVioyFctobO1oojwxpYYAPXrFUIWzQwTWjLTsrazkc+9acf/+wHCzpQ2K1sUq/c2+4BM4Ih + GIwghKAIwnVbkIwJBSm2zmvx5NrrXvGmp914SzNp7UovQkiV1EiMTGqkOkkwbPCBZx657Xvu/P6w + PV2nifZA4sxWM5IxlAZsYN09GjfSWTfLEGUtZGDa79o2JtQaaQk5x1xYjRAYrDZp1xdWdviRr87v + /50/+c3j80dtn5+QQswLMbCZ5bms09oRfvrdL37TdZvXM4lyCSmBokoIxiuxo5cLo+UWXcOtB2yi + QUFKlHF0cuwVt9/5rOueR9ttLEhgMwMRBxQFA4SCGkO7EmcOQElL0E77CEwMJQdM0se++IE/+vN3 + zZqdQr1ambYTJXR5QcyXoFpc99HjB6WULmyvbWb1hfWIgIhq+NAT9kWvKOOh8mMuADN7Yg+q6zLv + S1c0qwCKaCChyAylQCn26Xm3vPDwxkETrUf1aiZWjO2a/b3On4gIavs0hRBEhJSOHrzhtqc9P/Qp + UgBAGREIGpap0CalnJKfpzTk3AM4pbX1MYw/UN3c6vn4K5rVRXH642a120FtYlDVGOPzvukFL7nj + 26d6KBSyHmQsBgpRYDX7nIGh9rJ851rHU1IdWslrenfTwApUdafffv/H7tVp1ijeDHHVSCm1bfut + 3/qt73jHO66//nqDTSYNE5tZrV9JLrVti5kvIB6vlLK2tlZT92KMTdOYWc75YsyLc845586NiJh5 + z1mlek6UmVcPtfq+b5rmSfqazl2R6qZU+xN0XYfhmDTGWM/vNk0TQqgNIIvF4gI+oqZixxhjjG3b + hhBKKWPDVNM0qqqqNVTbOeecc84555xzzjnn3CXCQAM08Qf+4L0nDx8+HrijCKN6QS9rJAtRhU0A + wGqP9IuFDMmwvV2s2HqT4qOPHu3nWJzEyYfRzVNqm2ZKhiY2WQvMILXvp3POOeecc84555xzzjnn + nHPOOeecc84555xzzjnnnHPOuX3r+94g6+trv/AL/+9NN91QirZtyuVc4+7WIQFVtY7+1zRNDaCq + f66trdWnhRB8/F7nnHPOXQClZdjEONXHa1A0GZMh6HKqqUBGWrMqTplw2lQfZxjrNTOdtljOOWEl + zXqYdhc7GciUTFdDx905eB6Ku0yMES0pJQBmdu7BpVW1lAKgjvEeQmDmj33sEz/90z9NRFtbWwC6 + rmvbdj7rmPbkKHnhcPWoe8/UNLP5HOCUWiKqQ4ibmZkxx5/8yZ/8whf+LiUSsTrC+dnerSampZTq + cxaLRV21avpPjV0PIaSUSimep3aN8Fjra8ITm9R4GVPRHCMrodOempCaRnqdPSIb5YaXP+vuf/jq + Hz6Yj63lSehRssYYlqXs7obAy9sh05oNDJAtk6/ZGFhWyllBxjAWVp108ai+6wPv/OtHP3MCj8b1 + kLtFQwG7SYFqpEAxKkalHhcpMYzJiFZStK9owhpSLKUIMm/Khz77/i0cLyHXEOsa3V1zfKnGAz/m + /NqevzJgWgCAWnlg56uf+/Kn+tiBFVCGMdQIMDYT7Dtl+HJDAMOYAVABlWGOGBbYxsqfAmqKAtvG + Ng7mz3zlY++77/esXZRYBEYcxoPwGlysBAMIiKpBh43AIsD1mZFIezkQW9rh73jB6+54ysusCxd1 + zLtLQ4FlxjwVoxpyrGRoZBJn0xfe/JJXvuC1thXWm9j1Uhcwj2ugoebQL2PviYzLrDtpLMZmj2MI + PoKCVLiUUEpQJbUiTYgCs0Yf5Qd++97/9NDi6+lA0P0VEJxCqHVCE7RA27Xf9ty7X/i0l5WTon1O + bQRTtxBGakLDejmWPko6hnkrLddABploUKa58SIdjjd850u+5wCum8p60mhmGcWICUiBTAq4Vy61 + fWp8Y2PVYEqmvU5jLFk1mR2W9336Pffdf6+szYUzEZmZiBHRsMJcXKuNF/Wg8YJr42Y2vvYqyNy9 + APXnwzD757MkQwj1+Gp87b6ak+qeHaQKVVIjA1sunRKIKCAFCmQGNSsWtdnk6+649cW2sL7rGCGF + RqyIKTP7Udi51aR7M8lWiuaYAhN1iwUWuOOZL1rHQQigRkasgYUiRQBK2pdspApZaTHZX1WhrhJE + VFcSM2Pmq3gTExFCiCFJVkZoQpMXWTq89tu+59jaUzDXFihdibFRsNRlSXV3yafsspb3VxqqSA0g + 4xRQpG832k/c/5EHZl8NydS8W8NVorYxbWxsPPe5t/36r/+nF7zgtq7r1bRG7tUNR1Wn02lt4drv + +4cQ+r6fTCZEVBvCamvXRZgV55xzzj0GEVksFimlWmFW1a7rYoz1tGjf9xg6sHqmtXP7VevPGMKn + J5NJrTzXoOtaK66PjP3I96XWzOvGKyJE1LZt7YY+fm7dlr3PjXPOOeecc84555xzzl1KBjFWbB7E + waN3/df3fS7EkxTFGEakRAY2CzZ2f7+4Hc7YKCjWGRyi9flgEw7Nt377rm9DXgAqJv2sq89MHLXP + V37/beecc845BQf3xwAAIABJREFU55xzzjnnnHPOOeecc84555xzzjnnnHPOOeece9K0bTuZTEII + N99888///M8fOXKg63JKZx1nrI4EWEcnE5GUUt/3pZQ6hthisajjmKlq/e+lmxPnnHPOXR3oMfJQ + yRCUhykG5ZXc5T0BzD5dyMS2XLb1Dg/pb+yDxZ7TntF0x7F2n6Sv49xeIhJjXCwW9diNiCaTyTme + X0ef7vs+hFAjWpom/uIv/co73/nOjY0NZlaFmfk48NeCOvJ/27Yi0vd9jLFpmvl8HmP8/d///Xe+ + 83dKAYxDIAwpeGdTE6xrAlf9cywn6wtLKWYWY/Ty8xpxxceUusdUN+mxnrQadXkV0j5GDjGJxL6Q + KDPCOk+mi8nk5PQFR1/65te85Sg/o+kPBE21HMSQPF3Dp2t2L1kkZR5r59g9RqIh3JeM2ZgMxkXa + /mR85CH66u9++Dcfka8teDsm9F0H7CahkilIlUXJhE0BWAACLLIxQ0F6pY/kZURZs0ih1h7qv37f + /f9Npn1GDyDoEHC4GyU+LMlTBlTbeyyqK8tQ1JhhBjPTJHnSffgz7+/jtoQSyAiFoADVVdxMLvoM + X2SkkYwBBQlIlvm+y0xrJuOatl4Xj7DO0uxh+8Z7PvhbD+cvazPrdFuZQAFYLuI90bzBEGqYusUa + a11/FDObxImcsOccft7rX/Z97c7mhNaklCs6dl0JRjW3W4xEWA1QBNbEPa/l6XRr4/UvfuM3HXqe + bcemicsCoa6NxrBIFkkDGZsCpBxkp9+yYMpUyMbQ5fNHxjVnXMlK0MwqbEYaiOfzHWWRaX7fJ/7g + 88c/3U22OpoZl329f7fIG2utQQLxJg7c0D79NS98QzgxXedNNlaVrnQm1IZJ5KC6vze/BLSWuqTA + KfutEMlUGwqNpTAPcWftjlte8eJn3tl0azIzClBShQFIFEgBqJEuf8uhVK8PpjZqgZQyacPW4tF5 + ms3Wtn/vI7/xN1uf4nU8ur0VQpo00yxC4aLXl+jUffPjD8od3+8CMo2uJqtRTOdWF9T45Md6yWmr + xEoRObyJdaVTCBEF42gJukzLDto8ZePpTz94a9AYCU1IIQSBKWkg0nLF778uNmZmZoV1eUFqk5AS + YmPtM48951i6QTsBNIREFk1q/UMVssidQJSs7g1X6wn7za0fVw8iumo2MRvS2ccphEREqsh9IeMU + GjIO1h5tn/aiW+/csM3NtmWEIiYEDK0QhNq0DQK0hpCDyXiobEMJBoDQL7RtAjPv5K15u/3hz7xf + Uk9kV3p9+FpjK9MqZhCZSQ5kN954wy//8i+9+tWvjBG1fbOUsrGxYWbz+RyPXeSegYi0bYthM/Sk + Peecc+5JFEKoJztnsxkRMfN4Kqvv+6Zp6vmtev6p9nZ1zp2/nPN4f2trq57fXa36jmd/LmD7EhFm + Hivkbdv2fV/r2PVz66liZvaN1znnnHPOOeecc8455y4hFckAihJAOHbklR+9b3boYEFQaw1h6FQM + GLEBuOj9bURBzURLUaj0s5tMX/TQw7/zspehmHCI6xGEALCBU0Tuzn1ZsnPOOeecc84555xzzjnn + nHPOOeecc84555xzzjnnnHPOubN59NFHcu5AygG33facn/3Zn5lOU85nHQos51xzy+rI9qWU6XRa + BwasuWh17DJmTiktFotLNyfOOeecu1qsZlhUbMqmQ7jybnz1kGwdec9kZ5k0snJQXFPTWZfGmaaa + FM7LmDwelnb9HbQm4LlzWA3CWI1rde7JparM3NWAS1UANeL6HC8JIRCRqo6rcd8XAn7qp37q85// + 65rYUoou13NjmKfTXrWYOYTQ56xm07WNRZcXXT506Mhf/9Xf/JN/8mMhgBk5i4jVdeZs7zPG/ewZ + 81xVc86llPryWpCWctnlG7qLwQuOq5+ZhRBWt/mrOO0rxmgiJYO4ZWpViQzTwCmXto8HyrHnX//y + 7/v2Hz7ET53kdZ0rL/edCgCkxqrDwF5sDDCvLqszpK3VyFXNsBn6vL74u62/etef/X+2sTjZHZ+s + JSXAqAZjM0BmNUsVgBEBNSt3DHi+4qutzDFLCQ1oUj71xY8+1H1tjh2NGGZNjWouOBt4H3NrQE1G + NIQQQqCs6A3W2ue+/skvn7y/UC9mgABSq0RmZrbfkMrLCxmzERvRMlz5lMBvMqpH5gDUTFkkdLYp + 773v3X/7yOdtI+9gO05D0Xzu3TkBpBFgYZVxVDtF1GZtsfGab3n9IVy3wYd1gYjHG7J7mbBlfrwq + 1TzvJqGRHTuAzU07/OoXvaEtR4JMlmmnBBCMVIkNAQCMCWCQUpkvtpQFPLadXNgu1QDFshxQwIrm + 9UPrO3ziz79w3wc/e+8WP5Ini7nt6D6HHRSREALUWmv0RHrdS954JFyfurbBRBVKCCmGkEyQc76A + OMlLzbiGW6uqmcCsodTodCLrYWftzhfefX37VJ7HQBEMEVMFqUUCASAowwhDkxYAKCFLaVu2ghCN + g3VmeVq+Xv723fe940H5WtwgAqMQgy5BTOb4EbU6vqeyvv93AxGZ2el1gGtEnX0AzFyPjs69kpvZ + GCU+vvZsVuoGe7Z6NYaSGplAlND3PaDGVsOfiAhQY4LwLcduW5MDDcXAbKIlixFzDEQEsct+g3xy + sYkCCE1AYNUSiMgQNW3S4aceuYWKgjRwgnEtMWrafZcXRjBACTS2s+7zs09fN66A8vNxUIUZpdQy + BclKxtOwWY6Hu26/+6kHbrFtakLTS0YwBYxqoLWOG4YBRjzUt3fPNChDCQHQXgClpszD1p9/4SMz + 3TYqStdckXVVqg1MIiIiIdKx6478zM/+3z/yI/9jYABIKW1vb9fNZwzh26/xVbWLTCnFm7Gcc865 + J4Wq9n2vquvr6wDqeVAiapqmHmc1TVPPhvZ9H0J4cr+tc1ec1aPO2jmgZlHXO03T5JxrpfoCjk9r + J4PadhRj7LqunkgGUPPpY4wiUv/rydbOOeecc84555xzzjl3ycQQAZZiWN/E2iY2D3yuSV9f35jF + oARjA2HstnoJei9FZl0smDlGaiJke/vmrjx7kbG11Zw8yabz3G/PeyLADCld/G/knHPOOeecc845 + 55xzzjnnnHPOOeecc84555xzzjnnnHNXp6NHj9Y8szq4+ktf+tK3vvWt535JHadXRDY2NsxsPp/X + QcbqsL0AmLkOCTiZTC7+HDjnnHPu2qEAyBQYw4AUUANQw6HGaXjymW6xjF+9NiZbplOde5ns3q6k + 1+ipd674nLtLZk9W65P4TZwb1fGlazxZHc4959y27Tle0vd9fRURtW27HKEaUNW3vOUtDz74cNNM + ADD7ONJXv5RSzQVomsbMAJ5M1r7x0IP/9J/9uAhCYFUQIaUQQjj3+iAiNSvdzNq2rXeIKKUUYxw/ + ou/7pmku2Qy6J5HHWl/9ViMtza7mTGsAgaL00AJCE3gS0EBz6R9lbK03CH2Ks4PPv+mV3/vKH97M + Rw5iM+zm7qmR2qnJ1kPQ7KoCqCEauIbjMhQEZmQDprZlx//iSx96/1/+Ud/uSFuMjI3JImlkBQG7 + I4nVo4Wamgso6VVQ3SdEpqih7MijH/38B7q0kyljOZcqoc4jk7GSGhej8x1MrS4aZogIwQAIIbPs + 8CP3feae3joxNWSGGgdFvFoyJse8cx3+ZDYmU4bUfxiikhrlHLc+9cX7/tun/wSbMqeZRSABpAYl + wzidLmgk5RJKiQVQMsQYyo696vbvvu3Yt9hswtagECNc6TsMA9dQajKta5EhKRIRwaQBx3nz7Otf + /KoXvlFPWkugGqZeE5GHNyHosh2EZN5vmYmZkQa2/cV+19EE2VBLHtLEmoKCoGHSPLI4vh0f/q17 + fu0EHrGpbuVZs062z1hrTpxzZgXncNv1L3rZc16Tj+eNtNb3WpQ4RGaOBGjpS6bLL1WK600tJ4dI + VCUVE2JR7UHaUIrSykl+2qFnv/S5d22Go0HZYMtQZ1mu80bQmqW6LHGZjNlQCiywBWjJTQxtiluL + GV0v933lT+/97B/kyayoSR8ip5qhe7GN+UP1zgVnUY8FYI21fjxvdaUzs3oEXp37yeMzz3MPwmd5 + v+FHFIN0uRMTLOPrRVgKCTPI4q03PT/1U5JgJhBAapw2mSDQlV7cXhKiIYQakqeqIoJCoWtuvfm5 + KbUKFBJhBcOW7dRWpAcUpGOmNfZZro5b6Or9q2D7qvWMuss75XEFgABqYwoImoWNrKeJbF43eepL + n3MnzxJpiJHESllZDGRKQxu3ATBmYxvffwi3DkQwqGpa4y0+/vXFV7780N8W7q+CKrEDMJlM+r5v + mjiZNKVblG4xbdI//fH//d/8m39FgEqOgWDGtKftnk+bzmpsNgXQtm1KKfmwyM4559yTgZmbpmHm + vu/raaecMzOXUogo54yh92o9M/pkf1/nriSllJo2Xf+sFWAzK6XMZrPpdNr3/eNpCW+aJoRQSqm3 + 9QxxzbGuyfQhBCKqH3G1NLk755xzzjnnnHPOOefc5Y+lKIHbFC0DiEB8/Yc+9Okbjzw6ZaPlxUIE + kNUeUBe/sw0RE/WqCEnN1tYgwNr24p47X4WHTmChKTVp2hQAzODH6PLhnHPOOeecc84555xzzjnn + nHPOOeecc84555xzzjnnnHPubGaz7fl8Z21tmT99//33v/3tbz/HMGAxxpxzHUZse3sbABHVsbuZ + eRzKrA4XfClmwDnnnLv8+Iiaj9MpuVdUo5uGiZaJbzpMwiqhL6GcNunZb6+hSViF9ZxL45Rb4SK8 + XLY23Brp+BPUX2T3N8L5hsFd9fZEyazGzTh3OahHajVFuO/7lNI4DPXpQggARCSlpKpd16kqM0JA + l/HAQw//s5/4iUXfUwwA2nZ6prSXqyEf81pztiJ9Pp+nlNbWNnKWra2d9fXNrZ35T/9f//aTn/wM + EfpOYYgx5CyllHPk+JjZZDKp45AD6Lquro31XznnGidkZrWRwV0LfMyga8JqpOXVXTXSrMyxCZEN + pRcyTpGJLETN3Uw7SbK2oUefe93f++6Xviltr6XSBuUx3FBpGTFrpMvgvWX4NGyIRDWCAlLr5QAA + MkiP9UncmuXJQe7T1h9++He220ceLt8QLgDYOBgHjUGJdsNZMWS1LqOLlWy/sbWXF2PNypEWevKh + +dfu//rnOtqhADMoQRhGUAYbA6yhyH6LH0MILAUQAKCQOmg4YB///Ic6nmdkhdRIcqIaGXzGEOcr + DgNDHvVybVFQAQpIjVjBylbSTk4nfvee/5InO33sC6tFnNzqQ0IKQF0WBlhd/suEdaMa9YxgMNL6 + G7Fx0OZQc+PLnvPqG5pnUAmlL01oSHSMFr4yLcMRyZZ50gAr2AjZSkxQ1aDN9WtPf9lt336Mb5p2 + 06DAsO0rqS4XogIAqVnOZa6qUGPlYIEvbPmQAswWo0TWAGCuM9nof/fPfmPeHF/wvASZbmBnUfb5 + vsoBRBaNU9e+4a7/oRwP63GtdD2lhlJjRv2iUykxBgQUuvx2DsZsPNaMa9lrgAbjJhiLaiEi6W0a + N6lrXv6CVx1buykUpgJmpBBJyZR2X0jAslxgGJNhMomLrtTmlW5bSKmZ0Im8CEflT/7i9/7m0b/s + sEhtQ0oRdLYM4ydsdoeg3HGXfWFtGUM7yPI9r9lY63Guz3/2V5uSL2yJKYGIjNTMjDAGQSkJAozN + CGJmhIj0lOtuibpGSsycQkypZYrSq4jE2FzAp19T2jQRMelFVaFEhhRCG9tUpk+77pZJM1FFUREy + RFhA/TmK6hjbbEOy8gVUFq6pDaqujaVozpkQUmyRKdHk5NdnL3/edxyMN1Lh1IReLMZazQCoVlQA + g54zllh6m6SWAx7dmTWH4jZOfvaLn5LYX9n1YTdQ1Rhj3/c55xijmTFzJP6u7/quX/u1/7ixsaFa + d3ZPwKfU9iz4SUHnnHPuSVIPfDAc0gKo5zXrn/X+WIs+x+kr59zpYowi0rYtgHoGtzKz6XR64sSJ + 2vhTSsEF1Yf7vheR8YV939fPIqL6nqo6mUyISERqqLZzzjnnnHPOOeecc865SyDEaGYgUAAI2DiA + Awfe8L4/fmjSzlLoebhQjBQY+kFdTCICpobavus5ovSA4LDys45vvec1d+P48ajK0A69MQHs17k6 + 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84559yFiTE2TTOfzwE88MADb33rWx9++MQ5RvEt + pRBRzrkOKVZH660jiZVScs5t24oIgBp9fYlmwznnnHuynT5Kp49jf4HsXJETQ8S1Wp1IdTVsgs7j + dhdfC7djBB5wvrc1ylpYlVXCMtD6dGxgA9eIJncWXh92l4k6EHSNcamrZc75HOM/j4NU10O/YY/G + dY3u+3LvvX/2i7/4SyoAeCUe2weUvjrVof63traI6PDho1tbW/fcc8/b3/5rAOqqwYychRnMXNN8 + zqbrulLKOPx43/e16aDmC9S4a2b2StS147IrNeqquRroWMflf5K/1pWMmUspQ5YYEeHq3cCZENgI + pgxJbGQCASgYsQajKIGkzPpjzfWveOar7r7jDalfa2gaNJKhFAAIDcRqdRxKrMRjJGpNRVVaHgUZ + QQlkCIo2RJmXtQZd12FSTtBDv/G+X9VDixwWqtqmJihZb8kmECOE8cCIlvG6sCs903qJQRo27Z6P + vJcmRZBN0EQyQq+gBkVBFGAsBGFQIBUwsSlUEUIwOz3cjsdJFSGACMzIaoi8wHYfZ+//xD28DiQW + 06aJamXR5badPimL4AnFp94fQtbRq3WxabKIpZCT7OD4ez7w2w93X+rTLJsIRRjaFqawupopszas + DRnXw0gABQiTBiqmfS6IEQZAremmd73wNTcfeE6ZTZhSapNKvgpqBmQgA1sgjWRUg72FVEKfuVAg + Mio7dsPkKa9+wX832dkIJZmBIhYCDUWshGgGIQLEiLXvOzaNxImYZN/7KSIrpefYwDgIobcpT8ys + S/NPf+3jn/jSB47LV2MTTdFntJN9z28WMaOwWH/JrXc9ZeOWNd2AQFgzioGlWBOaRCiyQIKQ6WVW + BJEFgNiYbHfsRSWArZPeogpLtsyRYGQlreHIXXfcjS60HIKC1ErRGCfL5pKxzYVqm1cEOOcSI4hA + EqexITGYIWBBeiJ8490ffMdOOpG5ZxCViz7KYj3kq1lftS50YRvdkCWGWr9n5tV0omtHXYx1kZ5P + 6lLNZxpjxYd08Ausf9ZjKjObz+c1wk1QshUkgMEcN9cPHdo4yhoBmKmqahETTSEkTvX8rjuHnHMK + DdQCKDLXwLxSSrB049GnyKKkRGCyACEIwUzbtt3a2hp/08eTxbW6QV0dG9eymjVUD0ZEJrJsXAgU + A0UtFjlYr0emx9r+0Euf++2NpbwoTa3jWS1gFSs7FCUQQKZKyzTx8UNi5JyzMbjFdt+HdXzi8x+V + 5LHWV4l6FB8Tg9QgHKBWzKRp0stf/tL//J9/7ZZbnhoCANiQOE9EqEn1+9lIx7C9+mcIYbxvZqvJ + fwBqe5kNnoD5dJeH1RYkVa1rhXPOuUsmhDCmWY8PMnM9MoKfcHJPqj21vrFC+CR9nQuxuinVO7XB + Z/zXGC0/NoYAmEwmp79JjBFn2iTNbGyLqH0OVh+pCy3GOL5qdQHW+/XJtfpdW7e8ccM555xzzjnn + nHPOOXeFEpHamgqAiFbbV6s9XREuBgMUrLVVNgAMJMakxWT9q7E5MZ0uYiiMAgOsmBHFx3rLx0UJ + ElFgBmkoWEEwJNA0y7HZ9g1bxyEFi1mCGJgA+GWuzrlLYs9FZ+P5qbFvmIjs6V3mnHPOOeecc845 + 55xzzjnnLsB4Iqbv+3rnHNdnjVcYYRgKYPWOc+4aVy+NHEsVLxzcvtAAKz27HvP5q/evrOuLnXPO + Oeecc/8/e3caJMlx3Qn+/567R0Qe1ReObhIiCRIARfACxZuESHBEkbOSVtfaULs7stXs2srmg0xm + EkUtJVEmarRmszsaW3E+rO2XEUWzsZmx2Q/icMSZoSiKXPEmCN4HhOYJECQuAg2guyozDnd/bz94 + Znb2CVSzG11V/X4oS2RXZWVFRkZGeHi4v78xxlwhmLlUUC/ltUudbQApCbOv69GxY8d+4zd+4957 + 7z//85QYmhBC6QNZrwpY8q1FxDlnPSTGGGOuKCWpBECJCy337Wh4wVh5+bX4TgmeIAfw4n5mJEIC + RIFlRNQiKGqZiLH+zVO/mJRJrohbFuZzrAfI4mt9vREgQOJlvDhD6eQdpdV7tFiNOzCP8nIpF6lX + Te7S3raSuWaHqKoKa/kaq1nz5ayw/BSnVplehVWvJtSLiAiIIIIY9V/+y//rC1/4ogsV2Iss8oyZ + F2WlFVlh2/8ekVIiIjgmFzZn8x/c/8Bb3/o7zIBCMgCUEgsi5ym2wACvRlOUHWaMsaqqxZMDWIvl + spSBK4c1I8yeIgRAGUIqTsWpQAnwGS6pgydXg2KfjvdX85Fbb37jC37sFm495lpzMx7xEAFG0hKe + KrosDbZqgmMRQX0ymQ/l3CmTVxfgiJAoznl+9+wbH//7j0gTNeRh6Bz5ikLf9huTA30/nLbUwB4p + lKOaEfDD2Q+O3ve1Nm9WI+cIfatKJY4ZJXojZyGCKlJa5W0QM1LKAJjPewRaneMrC5AZg+/vvPdL + Wzg20JCJ593MOWqapp33l/K1PqVYAWVSYoAgnhUO3dAmSPY5huH7J7795e98JvlZdl1mAKzEAEAl + 0xpQT+pIHVBOIwEgK4YYlZP3XAdognMIqA6FIy+78dYxX5U6EpG2nY1GI9oTvSvlFJqVWD0pg5Jy + zKTVuJ71nYKljxtu32tuesORcP1IppWDCKoKrvLgHFNfNkEhANL3rTpVVRI40HZXkWom70RZlSEa + 2KU+JwyzcOI/f+q9W+5RmohQQkbwiN22X6z3oMQTOfTSG2+d0n5KxOzZOwUyCwGrBRaSzDtsL6QM + cNlWGUpauk9UCZnKAmtmyZwXPUzi3NC85LmvOhCuaXQSyA1Dappxygr1WHa4nIZOdmZ5UscQVohA + HLowv/v4N27/xkfzeN4Om001espXgdl9WBFjjH1KKXl2VVXlnMv1WmaEQDGDmaTXw4cOa6J2vvhg + KwFgp0SLBoe1z5+AEiuBlFl52VFaprQJa3Xdtc/Qnph5iAPc4lQ5xqg51z6UyNsck2Ypz3X5Xsdu + 5YmR2LWTm468AD01HppBBKiHegBKJSF7sSUryfI7S2sHICWAQISs/QwnHp79MLP1ZO1lMUaR/Nzn + Pvd973vfC17w/KpiIlTVorxyubZXuvVDCBfQMyUiq1rSJdWvjJ5JKZXhNQCIaBiGMl7nIr40cxmt + NpVyZahsA3pul3VhjTHGGHNJnOu4X9p+WF6bXzUIL/fyXhzMvMq07rqujJMroddd141GIwDOOedc + zrmMXMdam3k9heVJWgxBUFXV1TiG8ldWF5vLSB3nXNdtv0PTGGOMMcYYY4wxxhhjLp/Sieq9jzGW + fs4SklrXdYzRe19GGjw1xTt0Mb5GUCb0MuA9RvXPffoTd/kq7jswj3CORMDkhhwv9fIAJARQVpIM + yiAoe1E3xGeAPvrf/AweeJBSCuCu67BHuuGNMTtd2W+vhoE558qVwZxzmVJexhGllMoUSmOMMcYY + Y4wxxhhjjDHGGHMBhmEouaGrgpU47/yscmmmPKxEaOCC5jEZY/akMjJntVdZT9Yx5vzKYIAyWXg1 + sss2IWOMMcYYY4wxxuwBZf7a5uYmgPF4XL7jnCOiYUhbW1tv+53/7atfvQsAM7w/5/yIMvGtlNt1 + zrVtW8qRrTrqV1XIsIfqsBljjDHmKbOMo15kXWFttn0fETNklXLNYA/niQmLL4AJEKhAM0pS0pm3 + XL4gV8htCVE6cz14R57J8SLNerHeBCE45wFC1sVXzIinZnqQlrgy3hv5YheFRRKYne/M+tJYTpwn + otFoVCo8lxPGsyopkMyo6yCCt771rXfddRcRV1WVkkyn0xgXNUlWmdlmD/DeM3vJyDl773/91/+p + Kp0zwPrcVhXFmblt2zK2x8b7XeGsPIfZSwQ0gAZAGCAtu0kWDYnGET4iKce6EpdjHUdPHz3z5279 + 7/bj2oP1Ycwpt1I5xAHOl7MdAS12tAoWQGgRoygMZciiahhDmUU91GfmDFHKPt0//94n7vzbh7v7 + peozpySRyI2qSeyHyvmTZ0S6KN4lVIJydzEhQUDy7Ze/c8ex7n6qRFJmdY6ptF1ESjtGAXjnWMFA + 5bwIVInZn6MxL4AoiZIs39PlOSqJEJJL95345l33fSH6TMETi2oGmH3Y/bu41aGe1r6jABTiKsc1 + belm6zf/7ksffGT4QfKtctksiYRJF+feAABe+wIAKJg5QjNrRgpKLiH34Ohe/8Kfvm7ynHYzK1PT + NCIpqwh297kWqxAEJKW8H0rCNyIoJspJeQCFceNclll73eQ5t73wZ8Mw0d5RBsqQ1hLR6QCACIny + ifZ4aVqRgrddh08UAiAncqgcZXYZgVKVP/n1D93z+NfzqJ/LoCwEIMLRtv8AZ3Dnb77u5Tdc82Lu + EYhcqLqUMydgPcRxhwVar1MuewCG0NpyKkEXOakiJEJCQCWjQ/Xh17zw9fmE+uwr77rYLePgmRXr + XSfl10FwAicMdSX2moBa4RUI/PDw8Ce+9Td3z+6UJu/27d9cCmc9ao/H4/F4zMwx5qFPfdvnmAGo + IiWVBETnU3j6VT9WuWYy2Vj/XSdwi5zlHfyp3BnKKnJKrAywgoQEJN4FVn/kwDM4BmSGggkhIISK + iOOQy/uiSiEE7z10t7cTLg5SppOrQp74uOAlxhjy+DlHbp6GAx4VafklxtpHgxeNEFk952o/TAqW + ZaY1QARPEJGZbP7g0XvEPgK7iiyOxec4l1E+7YM2qkMauhyHqw8d/Pf//t/90i/9oiqGIRHQ1KEM + cCkz0Eq/shX/AAAgAElEQVQQ9QUsUsnDLqNnViWnS3VpAFtbW6q6Kjl9Ac9vdqYS4lh63gEMw2Cx + 5cYYY8wVhc5BVauqUtXV0OrVdfRdbdVULqnVAOq6LrPxAYhICKFtWwClZH+Zqy8iZah6jLFpmgto + LznnmHn1F1dLklIqTe5hGMpIiJxz0zQX7xUbY4wxxhhjjDHGGGPMU2TVpVz6P5um6fsey45Q732Z + +nVJl0EAgUgZw7MaOkEJDeHqQ2/+/Ofu6WJd16oUAotI1Ywv9TgoVmYFSDNrz6OeR5lFOVd1kx59 + 7Nnfuvsjb/pZtL2H1I539KhUY8we4pxLKZW5kWXPXFXVMAze+67rynWxsveWC5h/aYwxxhhjjDHG + GGOMMcYYYwAAZXJWma9ULr7g3JO5VlO6SuBoeeQwDJf7RRhjdoQy8CaEICIppbJXudwLZXYN55yI + 1HU9mUzKtFnslSnDxhhjjDHGGGOMucKllIhoMpkwc9d1zFymRRA5yfjdt739U5+6A0CpOpbS+eZH + rHrmy51SCiylVDrqc86lTq8F+xljjLkyWYrnpVMFVAHegQmkkIQUEaPmDE1ABjIogzJYzv2lQAmJ + u2K+WM++KnKvuVeNQFp8x4OYaBhy7JEjIGCCdwgewVuQ0hM78+Nv847NDnGu+tKruA1Vbdu2/HQ+ + n5/reUThQ8iCro8K3P/Aw//8n/+fIpJVN2ezMvt+GAYfWEQsn2X3UYYy4fTgQiHenM+aplHVt//+ + 793zve+lrCDabl5n2SpEpOu6qqoAhBCs6+AKZ1luZg8hUcpCikVsHgMQsBKLsvM1yA1DR4RRXaOn + +Lg8fXL9//iz/ws2a9fXNcaVR9+jqha74dMjhbUkoaI8/zIklYUYrBCBSAkFpIpjlR6Yf//2uz4e + 67Z384iojuF43vYhBCUFCUhpEfK3Fz6JSpp8/1g89qVv3+EmMaOPSYjIcYDCOWiCZGRk59irdwkO + gdnnpDkLAGZmppzPfVgq74QywLyMSMyc++r4Hd/4RArDQIkcRPMeaAbpMuxciUq9OcZig1GFgpQ0 + +0Hq7mv3fP6r3/si79PsAAAkqzp2fHJdyiLHF4sTVALAxEzi0EVQ0gmNaI4jk2fc+sJ/EB9VZA3B + gbSu677vz2ic7D7LfE0IeJG8SJmQWLntU1VPRNV7SEzdo/KaF/6DayfPorauiRGReiUCOxAhlzx7 + plm7JQyQqApt82xdCVpmYmQwMxx6afM43rd578e++KHp0+qOBgFUM4NEELzb1l9gZZ+rse579Qtu + a+KGRmVGEhEwAwxlFQYAEcIpeec7x3LHSCeTrcv3vcIrLfbGSlJSugOq7lh83UvetIGrQm4qDlGT + sABgXaS8l924kIAWI9pLWPkyHhukcIBmELOfuPu2vvPJv/9QGs0384lsMatmaS269bStgtutOTI8 + ewdXOc/M3ldOMAoeGRv1xKdmhI0Gk77vk2Qh6DILtpwEloBYOz87DwUyi5CwwglhtUsHyLEI76uu + GmOfS25cjXSAU9fPB82iGcF571wTKu+r0hGz8/Z9l82TPswLWFIeGjea+H1Pv/qZ2pNnLKLTSBaN + lXJkIWEsd7BYNJ5Jy26ZRSGE0u4rb8TA7feP3SsUrZNir1k72RFJzByC6/uWmf/kT/7kne98RwgE + oOsWdZ/LkBpVvbD2fBkuU8Kty6AcLHtdVXU6na76YS/KizM7QYyRiEqHu3MuxlhV1W4/HzTGGGPM + tug5ENFsNivtTGYGEEIoASS7WommBlAat6vaDTnn0u4tP2XmlNIq0ruqqpI5DaCU8t/u3139OjMT + URkBj+W6zTlXVbX6Tlk2Y4wxxhhjjDHGGGOM2RVKkY4y/7Dclr7lVW9quRhdOkIv9cLw6la5DBzN + QAbUeYxq7Nv38k9/+t6qatmDnEDlEpdnXYz5Wf6zzJQt93PqDzfNszwffviHeOS4e+Q4LUbI23hL + Y8ylVcaYjUajjY2N9YyEuq5DCE3TlIetrm1d1oU1xhhjjDHGGGOMMcYYY4zZ3cosrdX0/9WUorMi + Iu99udRe8rCfguvsxphdpJSGqKoqhFDXNRnz5JRDT9/3s9kMQDkqkeVPGGOMMcYYY4wxZvcbj8fz + +byUC8NyUltdj3LSP/7jP/7Qh/4OABGqyp8/eo+IVpPjyp1SBMx7X1VVjHE1S46WFcyMMcaYK0c5 + wpZbK03/o1m2SBbZYYAiDUgDcoRmsLqKXM2hcd4DcCBACUQER8wER6rIgCotb0m1fOFK+zp1PSxu + qyq4ir33cARwBkSAjIpCzaFm58lRhiTkiDScjGHCIrwJJS7EnEsZzXK5l8IY4Lz1pYnIORdCcM7l + nM9f5Nk5t/pdZvaeP/Wpz/zZn/2rnOXgwYMAUhomk0kJLd4DdblNQUQlB/2//tcP/Mf3vp/Zl+Mp + gG0lca33SFiOjymsPIfZU4RK0iGWiaeLLVxEPIfgqhyRkqqQEjVho+r2P2v6vNe/+E37+VoeQp7h + qgOubZdPt1ZXaz301QmclCpdLIBSUpZEixHY5Q/6ClL1tx/9+Pc2v9VXs1wn8Xk2n4e6GnICBCh5 + ulqidllpt38elVMf2qMPfv3B2b0tb8Uk3gPMMWYIgjouOdSs7EAtVanSATlp4ODAIrIcPbjM9iTg + 5Fu5wICAoZ4gTkusdYphfvcjR7//+D3J9SklB3aVa4f2jMDRXUcAQL3AY5HdKwwQasejzW4r+3ZT + H/rI595P+2VGbV4EoyZCYgjrIvpbCEIiHIWykpTAbAUgKlAluAAHF9Jomg+9+qafmsgBl+uN6ShL + 17Yz730SgPdILJwSlERJlRKrOOFADSX2LnRdlyVNp1OXQ60br3jeT07kUJ0mLsMDpKQKEahCmNRx + mwaBKkM56fbL8CmBvWOlHGPm3Ffto3jw43f+7SYeGbA1azEegxiAegqp123tIpz4Kk6vP/jc66+6 + odK6CaMYcxINdUUK1kVWdGYomJRKNOz2VuUltvjgU9mEM6CsIHGkq68SVi0MJRXNqGjjAI68/Kaf + 9EPdzfvp/smQeyEhhRN2q/eHEigRQAqBV7Bwzhy1fC4yOCNG8ZVL1dYXv/2Ju459LU47YUviMevO + +LwrAVg/pU8pz07MYp8kUZol16OKI9c3kzQ9vHHEk1cSaNkSAYgTsKqSZFYr63keQpJJMwmgpJC1 + NpqqBmquO/CcUdoXYuOHyvWUNnPjRo587AZNiqxD13ez+TCk1XPyFX5SrFxSh9caXIJFHPWiKbFo + FZMoSdLBOXUK7fJ1V13v8ojFOVrsXUsbQ+DLXhpYNup0sa0DTLp81xgZUEEQT+qSTw8cvz9f6e/H + LiYEWZyCrX+BAFImZQJyzqNRrZqJdDKqK8+/+qv/+E//9F8cOrQPgKo6R4DUdQBJkm0PcylTYUst + 6fLP0WgEgIhGo1HpESuPKaNqLubrN5dPCKHEma/e4pLmeLmXyxhjjDFPnXNNU1fVyWQSY1TVlFKJ + JKnr+nIv749KREIIIuKc6/u+NHFpWc2hvN7VQJlyW1VVaTKVUv5YDlnYFiIqv1uUkABVXa9EUyIE + AFxAbLYxxhhjjDHGGGOMMcZcLqX/s/R2lu7NMtHLe196U5l5VR31Uk9TJEgF8QAUIAgkIyX4iFrY + Y1RjOv3a4Wt/6IMIefYklz5GmgRQAaBUaaw0kjIJSLXtuuiHqzx95pVvwGMdZvOsw6VdGGOMWY4B + W82NLLMuS3Gl1V56dU3tci6oMcYYY4wxxhhjjDHGGGPMLre6HENEZSp3iaHlc1jNaVqFYQOwcqjG + GKzVXwZQdimWSWyePFWtqgpACKEEWq9vUcYYY4wxxhhjjDG7l4jknFW1FBBr27bMlfizP3vXX/7l + XwEggiqGIdVVvV7s9zSlFFiZ/la665m5lGZt27bMwig9KsMwrFcSM8YYY/aw9RLl68nW5kIJTk1l + KjkrlWfPzolzKfgUXKp4CNKxczUxKzsBJUVWJIWAyHv2nlxY3LpALpB35Jg8XSm3jk9fD8vbmDUr + kpTVzWBWcoRAA7shuFSFGDgHr75mXwdHa5X4ZZHGVRJGzEmrz365Y1OPzQ5xrvrSpax0zrmUmAZQ + rpKf83mUVInIMbOIpCQA/uIv/uIDH/jAKg5ya2urrmtAL6AetdmZytn9t77z7bf//u9Np+NFNDVW + 9cDP3ntwrp+u9yqsV2wwV6Y9ElNqDABZhhIqcLKJrAyASVPs2al3wREEJJIrrnnWTKurfvqVP/fN + u492eauTzfmJ3FQQAQgsQMlRVqAEVpKQYhEKq6wEoZQJwoCH5EUDNOVBHMTlx9IjH/3Sh//xm54T + j/ddV4VRlTkBEBIGWIQgUKdEUAakLO0ulVli03/2zk/H0PWSQg146rronIcoZx8UmURZcxZq9fA1 + Rx6dPzYb2rquATfkgZ2qivcuy9lbMHzy/+wEwoBCSWKQrfT4HXd+4obbbqbopIcLCgiw65MzFJDF + qxBQXmwkGlSkakKsh0/f8eEHjn+XD0s/gMsvlDN5haCsHwZBOS1yUxUMnDyvFIhHVQNz6k+kZ0+f + 97Jn39ofl6vGU0m9pFhVTc6iSsQe208W3FFIobQ4kQYSQZ0wQIErkawideX7Ye7ITSYbj20ee9Fz + XnrHNz559+aXfeO5qXrtRJQZClJidRgkt6nbzyqkDumUPNAnIefsfXBMfexDwzTi7z581xe++0lM + 82xoRyMskhYZkqFK6+/bE79YCTxrXvGKW8fU+EySnahz3qc0OCrBoiokShAwqWfFDoyBX+51FSQE + QB2DAS9lbZAsg96F4QCeuMljxx9/5fNf/6m7/i6EakidODhIiVBl5cwiJMqL3TgUSsiAlGByAIAA + waMbJLvoAzbbxz999KPXv+m5HCuf7WKbOScCoKhCM/StJ+8rL5LjkGsfQj2RrvOuji27ztMQDm8c + 8exEsoOHQgi8TLfOpEoCdbu4QXDpZRYnJdi+NNOYIID2cSD2h6dP0xPBbQShdHB0dUxthGrSbt46 + 8nU9ThEE3TcZx67fgbu+pxidTAWHEJ74YEOSEEOocuxIcc3+wzVt9MPM1bkU0lUgM/vEi103oATS + U56Z1QOSHIQgClK4XEEhQR6ZPVx2yGWO4qV62eYpxYsGKgBAkRW5bduqqkLgYRjYhV/8xV88fPjI + b/7mbz72+GbOOhqN2rYFgYh0O+0fLIfI5JzLGJpSY7oMoBGRqqpyzs658gAbRrOXrKITt7a2ptNp + iTM3xhhjjAGQcy4Nv3Jtfn1g2d5Q1/UwDKVGP4DS0O37vq7rcmJV13Xf9+UxOedSBWaVcr0tZVjD + 6p/lAjMzp5Scc8w8DENVVSkl772d1hljjDHGGGOMMcYYY3YREWHm1aCC1USvlFIIIcZYxiGUcqgl + 9/oSY+hitA0vB+EAiJlq9rjm6rd8/BMfe9FPHNB2g+Y05HCJ+2NZVQhlqJHTxRjvUoC8aTAfep/z + c339sde+/rajtztqADnvBCdjjLk4VlejygWyEp9QJtOWK1YxxhBCuX9Zl9QYY4wxxhhjjDHGGGOM + MWa3KpfIy6Qh7325aH6e8qblMeV+eVjOua7rp2ZpjTE73Hg8ns/npYxyubzrvbeKyebJWE1ujTGW + Gaxl8uzlXSpjjDHGGGOMMcaYH13pgS9zH4Zh2NjYGIbhX//rd//5n78HQFX5YUhVqESkHyITZz17 + Me313vtyp+u6Upq13G5tbU0mE1icpzHGmCuPHfouCiFhyCJtYrVKFayMzCTE4kg5oCIlgFVznmVP + HmAPlOmfRERCKSXoWd4V3mYewW53SozH2W6JT/6UAa8OgOacNDNDScQrGAwWiBIUACEvn0MXuVTm + dKpqca1mB1qvL51zzjlXVaWqZezWajjWWakIiFSV2QEyHo/b+VxV3/nOf3bjjTfcdMMNRBiPx203 + C8GPRk0+35OZXYOZT5w48ba3/e7Qy9DP63qUomTJJW31yT+PiJRYnxKvXlUVET0ldXXMzmW1Ocxe + wpAaJJl7QmZlUgI8KQVHw9AxwTMzeSLXD0PupaJ9jZs+2Pb/7U//8p+//12+qpwf+iTLE0spjWyG + 5LWTGicViyNo5kEdMgOK4FkFpCDKrCoZiUTG6Yvf/dyrHnjDs6bPdzSeTOrNrVndlJRagDxJKTrG + pLzby3cJ5Xt+ePe3Hzoapz0Yrsa8V2U477n3NMChIsqRoqpOeeOlz3/Fdx7+7l3f/XtVZSYGHKhP + 8H6xrk8/wTkZh1hCasFaIoqBgCH2d333y4+9/KEjdAMjxNiHipF3d1L4Msi4vAQBJRaQskoVafBT + f9/j93/h6x+njfj4cFwb1qHkegpokWkNrQAoJSEwLZ5GsEiAZxU4ZIESVNVF99LrX3etexZ5nzXG + rvUcgueuV4JTJdpms2NnEhLhzBigzFqTBJLKSa8p+rGPsWnb5JvkA++vD734xpffe8dR1CrCEHIe + IKiSgIEcIfOhT6yBRImguo0yfMpKnFLy6oPngfPxdOL2b370BD2Yc88B05ofn0nVAIw4pDo0im2M + oHXiDzVPe/71Lw6qOfVItfc1KGuKznlAQJpJy57NZ2alZeD3TiEEQBgKSgBYGQqBhzomBgRKBICE + gdKhkqPWuu+ayY894/Czv9NvHZsdD/uAXkqm9TJWcyifEZchQGJRLLI2SywxiJWc5+g0udxwFe58 + 6Mtfue9zr93/RmB8+daH2R1yzqrKjlW167rYJ1JuZ22TSQVOm7Hbf7DZV0stAmZCBsBKAhUQg0RJ + MoP0CbOFr1ylGzSvdrfKQlrOaOu6jg4b/uDVzbXk9bG+SzkNOWvFIdQiGIbBc1blIQ4iyRErGJSx + Bw5vFw/h1MMBAcvtkRRCqqxCMYAD4er9RzQFqhxpLB3WCkD9WbPLSi92aaIrsQLkoAoWePVJNHN6 + fP5ohk032o1WxYj5jOaQAIByOdqO6ub4449P9+0jor5vvXdDjuzCq171yr/+67/+X3/9n951111t + 24bgklzIfpCZnXMppfVLAkeOHHn88cfLfedcmd5mw2j2klJGvGQ3TqdTAKX308rRGmOMMVeOc7Xu + SnWD9fuqWorXP4VLd/Ex82w2KwPEU0pVVa1+VK4Br0q9DMNAROPxOKW0WgMlf/oC/m4pK7Oa6l/W + LRGFEJqmOXbs2Gg0Oi362hhjjDHGGGOMMcYYY3YFZgZQ5tiUTs4yugBAuRgNIKVUglFF5JKOOlCw + AK4MKlMQ+Wo5gCc7n4i8KJhu+/TtX/up18ujm1fXkKR8tum7FxErMjGAMugtMxTwQOrggEM1Q7ur + xWPoMYwQgqVaG2MuNSIqxZtyzmWabpmjW9e1qpaBQyGEtm1LASZjjDHGGGOMMcYYY4wxxhhzYcp1 + cxEp85hKsvW5KvyuflquuZc75bLOU7vUxpgdJ4Qwn89XOxARmU6nW1tbl3u5zK4xDMNoNGrbdlVj + ZH0SsTHGGGOMMcYYY8wuVdd1jLHv+5wzM8cY3/ve977rXf83M+WsccgAiyBl8c6r6rmKlpfiY6U6 + eplJ0TSNiIhIyUUrxVpLn3+ZJfeUvk5jjDHmsrJy9BdFiQXC2rrkkraW4Dk4rjgzJYYwCzsZBakY + jsg550p2ABERkff+bLHWZ6Yb7G0lWewsgdYqUIhkzSmlmLMkySqUsh8yRbD3TpWrzHnQPsUB4ZQc + sbJqL3Hhgd2k1OA9rUquVc01O8S56kuXYVdlwFWMsXyTiM616foQUowARBXg+byrqmoYhhMnNn/r + t37rr973vum0ads2VMF7NwwD0+6uy22Kqqre/va333nnN7znlKTve6bVmf42IiZVtVQsV9WmaUrv + xCVaZrNbWJ/RlYX2fruopJaW+6LkSAEoqTqG934Yhpi1rj17R2CCi22aVBvXX3XTT9z42i/d96lj + 8x/4CUQAXT8bWtxfxAyfkZQsAnKUISwpVA7KAiFQn2Y+hI986W9+9Y3PnOqhrfmMvUt5Vc5MlBZR + jEosBNadNjyOsQqXPWPjKStjGYWryQ1f/NbtXdhKNCePtkeM2L+vmR9vR36chsTMylBVn/zVfPjV + z7l1o77qB999cDY8mqvEUOe8dlkEivUQ68XfKv8UhgqgUGISMIsAg6KuhuPdw9/8wdcmV19zTXPd + rJ3VcNCwXP7Fa2FlUgEJIFqyn1HybnfamgdK+iOYFYvzycVrcY6ckh4bHvzidz/d+s1W5uypi1LD + n/ZCVueKrKec3guBFVngKzekPHQYS72/OvjyG1/lhyl76tpu2lSsPJvNQjWuvE95cHshZFVOPX1m + gNPQV5UbaJhtblXNyPu67WbVuB5i87LnvvJTX/vQCTx0YpgLS0NICQpWqCILUp/mucpeGaBTVvET + L4f44GMfPXzVhONy/Afze24/+gl/FUGoT4hZmgYRUEUdKlZkKt0IZUuW9ddTOhlQsp8BQJy4m448 + /wBdU6POSnXVpISubTcmtfQZYIGsPmNnfrp3FAUTRGj16kAqp27bLECWBPAkTONw4mU3vuLuO74x + 2odFWjUloWrxMhc7gZPPL4tgbJTHqnIfY+PhlOOQheNcHrn9zo++7LbX1sOEFU4c1CstVn55L0hZ + SUACXXV4bW+vUuJgWVep84vd7ylHop39Tu1O5e07+e9trWRZ2w6VtPyu956Z+yGOm8krb3nN0152 + KI82G3HtLI2aa2nu65m7dt91XmtdJraWX9TFTpsBsff6/KjsiFko03qvaBqiq/21k6f9/Bv+kRzs + Bz+XHF2gObpR2jg8f/aomsQ2VmFccw0IRAGcWtH1SX5yr+izaMehj11TNUzYN92fO3HTekC3OBAq + QyGkDGGFLFt0LMBi/YpSFgIYRGAta5NVEyBtP79sL8xcNOVzxGtZ1yd1XXfgwIFZ2zIzkSv1Q8vY + l4MHD/y7f/tvfud33/Z3H/m4IusF5Zuv+rwAlELSInL8+PHS01qS/Ji5PED1rAnsZvfx3qtqVVXl + PS1BlTZGyhhjjDFY5i6XMGZVDSHsmRook8mkNH5K47Y0qsvw8fL9kqpSAryHYYgxVlW1agyXdbLd + P1rKypRM6zJ+HcthDW3b7t+/P8ZY1jOsvW2MMcYYY4wxxhhjjNmdShgqlhO9RKTU5l5MkQUudab1 + GgbS4o4CCiLkPvvGxboJBwG4OytXj6bjrc2GAFWAoAw6OQJqMcpRGCSZFQAplX9ua1HWJ8OWsSAZ + EEAFjuADYRiSDgcq95evf/0/+uQncSCgXrtqX4bDlQGWtPzXha4XY4wBUAaJ1XVdLl1hOYeciPq+ + X10sE5HRaGTXrYwxxhhjjDHGGGOMMcYYYy7Y+nXzkmld6gOc6/HlMVhOd2LmnPPemM9ljPkRxRiJ + KOe8uoBrmdbmyVtNZS1DBcr0YSs3b4wxxhhjjDHGmD2glO1yznlficiHP/zhP/7j/z0JAHXssiiA + lBMTp/wERcNWvfej0QjLImBlTlwp07oq3Gr1Wo0xxhhzAUqOWEkJcdmTBpdGPtd1rvdPDlx98OpD + +6/e2Nh/YOPAvun+jWZjwx30GgI7OHYgZSKBlFwfQsmwOHkLZi2pbSwkV8Qt0nJtnHLrwEK6tq6U + BNHFx7uHZ2mrnc+35pvHNx//4bGHH3jk/kc2j6XYRhezb5OLwgmwafznQ0Sqdp3R7CBnrS9dflSG + Xa3qP59HybQmZpVFIZFhGACo4u67v/cHf/iH/+JP/4/9Gxtb7Vbuc1VVOeczwzfNznWW+jAM4D+9 + 7/3v/6sPAkhp8QCiZT2X7UTmqep6gk/Z5Fbbobky7bhuI2YmWqSfLYuJwGqI/ChKm570tJjgPYhV + BLEUvVoc+krOKJBViDlFYfLskXMkApCFo+Q4lrHO4s+87L+/8+idGwcea2VGgCRkARGUhDyYKEZ1 + DlAoD3mR0yakcBkOyDETgRxSziWIlFSDh0j39fs/e+/8DQ0OHPSHsxIxkAlQAZgEpEolR3txvrRj + MKlToAR1lgxoBYRYVQO72vlZN0PjErIibsljnzn6iWG6BYIqiNFU0K1uzKw0DJxcNVYFFE2qXvHM + 11w33LT/add/Ub92jz4WWVihcahDSFERIASHk5sslxRaAoDMohBk7wASYR7gMGQdRic+8tUPvfAX + butiG4JzwlAWQDllAtQ7cSTsVECtcs6AUnkMOwVIdtC6BwhwCCqUkcuboOBEDvCqSep2s/r+R4/+ + l62wBcfS87hyKgKS9Y86LUraSSlph7XEViHAIcbsvXcDb8TRzYdv2j+6CupjTi74lNWpBl+rZsrR + Q3SXp3iWvQEpfCZQBSUhAUfUHJEAqX0tWQAEX0uUhsdXNYdf+Oxbbr/3Y1m2uHIypIoxJOY6ZBnq + ire2jvPVYHjkAJZtVfpTROeB7DfbE3Sk+8gn35cn86gdiwvMmSUJkoMjsGTWnL0oAcpOQSUrFMxA + 5sVLg8BzPfRp1DAn3Pr8n9THPI8njmmILbMfVaHvomMGoGvRuUKyWj87xyK6mwhwCgdACIpTVrJC + BLxIqQ8JohLdRjW95bpXfGj4YCv5xPBorWBAacjkSdkpMgAtu2GQyqohTAAUBPGMpBARqpDQgvCt + +49+69g3bj5QT3ovg5uMrp13sZe+GTeIkRSsLEDmDAirJy1Ru092lS7iyUvQusJJSbWHEmcsQrhp + mXgt1ibaBoaeZ30t36DlzuHkr+kp3W3lKHj6UysWe8XlWZkuaoNylEzMEDxj4zmH/dOyi06AmqGO + Gh/2M/UO6lmJFYCUdzazgISFSB3bOfy5kbLPACAk4gACL/dpjhwGYR69+LpXZY7CiQAlFRInrqon + EokdJ4kAsPxwlR6Tsg8s78XZ2szL95pO+ybv9ohrXR4FsP6qlXG2F6YAVDgjUOgk9S45lZpcv+x8 + dQKfWYHeD0LCChbIyWcSBoRFaFCCKrRHUIL4JJErVSQH7WZbq7rA5ddKz4WdEu5MZ+yv5Iz7sr4n + ZoMRN6YAACAASURBVO/6GBfjV5QAkGQHOGiSNG7Ce9797n/2znf+m3/7/1YBMS42y2VA9Zl//yzf + Wl0MOC2lr6qqGGN5lhLpVzYqESmbXOmTXaUAPvmVYHaC9besxCgaY4x5KpUjLDPHGL33RFRGr5af + lkIVWA5pvZwLaq5IRLRqGZZ24OVdnouoNIHKx6pc6y0t2/J95lPa6qtrw0TEzKt1shqdUFVVGXPA + zGdtfBeroQyrO6sHlzslXXsVrV2esOu6pmnWn8Q5V3YOpaiNtcCNMcYYY4wxxhhjjDE7x3qPZelr + Xe9xPa339ZIsAOAWYx88SJQkA54Ygto7KLYojypfHQz/w//3tx+79Wc24qgaHqMcoXDO9TnXjqAu + ScJiphAJITGEUCU+M/36CWnpeYYshpIDbjWOilSTgsiBD3Zbr36o/+tXv/ZnvvzV7Ct2SgCUNQo5 + BSmUwchUXhuwHJlsfcTGmO0q++pyeas4rWJ12V3bYDBjjDHGGGOMMcYYY4wxxjwZq0sMZ50ZVy43 + POHkuNU0uvN8Zzc67br5E76i1QSl057BGGOw3N9aiXBzAVabTd/3WE5xtW3JGGOMMcYYY4wxu4hz + LsZYCnzVTRiGwXFIKSUV5xz50PfpK1/5yu/9wR92y6kSSU5Gl2U9OReMmZl9KSPm/eJOSUFT1VJl + d1VrtyjXOGx6hTHGmCuTKpYHR8o5MfMeT267NJTQJmxMkOcIcHXe8P3+a/yzbvuJf3jLM1405VGo + vAADJTARHCm56FiZlvkeCqzFN4MU57i9cv7DGZHW57zNnCbuWq2yd6Apu2tIr6fMGNzwzYeO/s0d + /+XezaNpsrWZHuVaQwhtF8kCSQEs28Ai4r0XUVV1Lpyn6K4xT70z60sDEJFVeefV6R6WdaRDCDHG + 8n0iUhUAy0xrXt4KiFLWD3zwg6+59bW/8PM/VzUhOO66jgHPKOWpQ6hzzimluq4XF+Ivwzow51OK + eIuqc47JiUhWuvvuu3//9//AOZaTmdaaNS3fwLPv5UofQtlmSutofdzFqtPAMq3Nrh/2as5vJ2Uk + PxUYaXlwO5mfd7YHCkpCqkZmpiQNjQ+OjvzD1/zCf/jsu9zBEiYKHypm7qXLGZ40MORkBOzyafWU + WlrraaMEAaiTOQX97N9/8qafuCW1gwhrVMceSIAKqSPZsYGIrMjEShkAtMToegaEaBg6cosdSJQ+ + jOULd342hlnkbvViaJGnLhQ4DvCV0wQXXZVGr3r+65r5Pt/wy298zb1f/5qf9DmBGVB47wdKwCnt + FFpuzAooQyBKLIATJkVK8B7tMD/WPnj3Q0ebQ+MNFzTrMpdUlQGBUsmeLO9SCbNkpZOhpDst+l1E + oAwCkTKQwQovBPgkVfu5o598LP0wNyqOOIFSSfE+7TWcntV6koIZIBJRJ467cMuNLx2FDekJVEIG + WUAgoWXAsNDOWj8XhhXAoqtIUeJs5dSfljtM6kKuXnTDSz79zU+E/XV2WzVTatURKKsApBJTq0hK + XreZaQ1SzZKSeEdc87fu+/r3H/125A5OWQTKAikrXMC8tmsp7+bavr18IkmhopCMwD518enTa44c + uG5/PuTJJ01ABhJARGubgy4uK+20QOtTrIVllh3w6T8HgLK6lEgh2auf0MHrr/nxh9pj3jGpgCAE + aHLqAbBC6OQ6PeMDsvgcCZ3cPDL3n/7qx5/zUzf4rt5f7+/mLbl6NBqlnPxqB14eTAwpNR8ztkMJ + WpKSFbTMkF91sZ322dOdtrfa1bZzLXWVzHq29tV64Hr5H/tU+1Q/6ScvscrWl/0EFrvxk/vwpcU+ + jZHZ5QCMzv0cF2Wnt0MbbxdgW1scK4sIlCg4cuzBzKKatTSJlUkBEpBkBufy7KUBlla7rrKZE8Bw + pJ5AmbNqJs2smnMWltJnsd5HZnYbWbt9Upyj8Xjatu0f/dEf3nzzj7/jHX8CgAnsFkNkmD0RrcLz + tr1AInVdlw6yqqrKplWesHSbljm0VsbUGGOM2a5VJG0pzFGSrct10LZtR6NRqdxR4oRPG+RqjHlq + lBhpVR2GobR4q6pKKalqzrmuawB935eP8AUPryl/pdxvmqbrupJsnXNumqbsEEp7vkRfr4ralL3H + 3qjUY4wxxhhjjDHGGGOMMRfNcnhNhsjiXwwBFD74DrGqAq4+dNtHPnLHG9/sjp24GpGrOg593fih + SxUpuxBJARDpqePdGbjA0ReswGL49ep7q7GYMspy3SzOwha2Zs5XGPkk4n1FgZESNMF7nDpam7Bz + R9EbY4wxxhhjjDHGGGOMMcYYY64EZUpL3/dlik0Iocy48d6XqS5ElFIqM+aGYaiq6qzP470fhqFM + zykzd7z369NtjDHGGGOMMcYYY4wxxhhzxSpBen3fN00zm81CCCWYajKZiODE8a1HH330n/yT/7lt + YwguxnyeWswl3sw5V+p3TafTra0tVe26DkC5zGGXJ4wxxhhz0U33+WGeqgSfKt83P3vrL7/+xT/v + Hq3H7XjMNSdJSN4lBYg8KZNecZF5l46QBFZSOCWf2QmrUibq3XDzoeZZv3T9HXd/9H0f+w9NPU00 + P7EZR/soW7rHOVj0idkVSnZ1OfsrBZxLIPF6UIuqTiaT2Wx2jufgkrgSB33HO/7oxhtvfOlLXzLv + 2joEz6xZYozOua7rmqap63pra6sMkDM7TYy9iNTNOKXUde14PG3n7dve9rs5a86nVRHP50/fLKE/ + i5xskVKr/FzjIc2VzMoAmSsdMzN5gufML775pU/f/8wgtQqyIgtESZVUAAXT9j4vCggyObDXrx/9 + yoOb35emFx0YxArSRTqmkghJyf+7JK/wR1WCWpOSCoEBJ8yMjJxZ2BNldYQZjn/h6GczJVIueass + YIUShDD0ualZZMhDrIfpjU970bWHnuZ8BXG3PP/l+9whF70IMkNUVZVPP71kBQstYvGcwKmABiCB + 0iI8EWBGG098+ZufydVmoqzkATBAEFIhJCy+RElLWvCymNoODa5TzSAh0rJtlJhwUEq+PdY99Pk7 + Pz+kVjkpIrFewPYjChFVyYF4XB266dm35KyQzAApL+rhIStlIRb4K+q8SgiCrBk3/tjN10wPeyig + kpSAoNlr4gwI+m4uiIPvB9/n7bwFrOTgiChxl5vhS0e/cHx+/JQrPQRgEW8swGmpkELIXD5fQgoG + afm4IQfvqXM3PP3HJ82kTIxZj6LZu9lRzEoMJlFSjML4eTe9kDNYmWXZYiaUaFWhk+HETxblb9zz + 1YeO/4ArL+yS9o4Sk2hO5efCaVXnkXAhn0djjNktGCAlUqfiBBlOFZkIqx2sLtstax0WgrVgeGg5 + wC2Dq1mFREiEoUw553LkKtHCpWPXYob3vGEYcs7z+TzGWNf1W97ylne/+/85eHAaQgmcZiKIpJzj + hW0M3nsR6fsey+YQEZU7IYSc8+oSQglTv3ivzBhjjNn7mJmZiWg6nRLReDz23hORc27//v3l2L2q + xLF3+yWM2dFKpnX5YALIOfd9LyJluEBKqTSVy7nYBQxGX7Wxc85lfEPf9865sgdwzsUYR6MRAFUt + C1N2HaUpHkLous4yrY0xxhhjjDHGGGOMMWZB1wY90hk/EkwU0sd5BsY1rgmv/NQHZ9ODjFE3dD5o + kkyBs2ZVycSZAMoMhIwqgxRyicc3TuLwH297HbY20av3VQIGAjzDV2AowSm8llfJZXDueaZFGWOM + McYYY4wxxhhjjDHGGGPMJeW9LzPcVXVrawsAEXnvy5RzVZ3NZmXaS875PDUcS4VHIiqPKRNnLDTC + GGOMMcYYY4wxxhhjjDEAnHNbW1vT6dQ55zhIxqxrq1Hz+OMnUkqbm5u/8iu/0nURQIpPMPmrXInI + OZcLHOXqhojUdV3+0OryhNXXNcYYY4pVWXsLO/hRzGaJgQlNNuTgL9/2llc+7zXcusCNryt4CItw + JgXD+eydeFYrQXzRsLIXckpOSuISZ0LmDBbPbp/feOkNr37LG/+nI82zXD8+sK8eorUDz249/cSY + ncw51/d9qfpetthS2t05V1VVjLE8Zjabnafeu4isRrv99m//9gMPPOC9V6Wu63LOqtQ0YyLquq4f + hqqu9XyByOayIcf1qGnbNoRQ3tB3vvOdX//60ZRktTN7Mi2c8XgMIIRQwq1V9fzjIc2VzNpw5srF + CiaSDBEwexY/kvGtL3mjzipHFQEiGLKoUOASybDtMl6Z4QIL6Wx47AvfvL33J0T7yjMpyqevpD6D + BBBS4R13cFZCJiRASxUzEiaFanahZPJCUnQ+H73vq/dt3qMcAUChAAtIkRmZkJIG5yX3Qbnq9v3k + i98UO2L2OeLw/qf/+DNu8f2EACHAIUkk5UWEM3ByN1XOObWELQtBlJOSAMyEPMCFwHU++r3PP9bd + N1AUJgBlrTKEIYQMysp5+bQMMCmVP7TTVr4CcAwHYl2d2LAoKMdqfue9Xzl2/IEw8kBKKcOBGacl + Hz/xn1DkDAIqqm985gsn9dWpj56BsjbKCicBRMFKfEUdMlhBypTcNB94xU2vzoMqY57ADYtTQRYG + lLuuI4hS1u2X+fPqnXO96+4/8f27vvc1apQcNEMAITkZxAxgGQVKCoYAyMQCZJayqBBVhXMQTlBu + ZOPmZ92C5FJKOedVYo2q7uXTY+XlJ4UI9bOfeVPjRpQdqf/Rz3uUU3QnvnD0dqm1SzE0XtEP3ZZ3 + i7dIScpX+Sef3IMZY8xewyBWhjjNaIcuUVIVZoYylDOJkJDCyerhUpoTWLa4SsVdVRWRrElJiDNx + RmntnK3ny6707HlVVTVN45xrmqaMjHnzm9/8nve858CBA1iE8KGu6zIf+AKeP6VU8vNoSVVTSkSU + UnLOrfL8StvpIr88Y4wxZk9bXXwahgHLwy4AESkXOEtsLQDvfXmMMeapJyKq6r0XkXLaVarqhBBy + zk3TACiN4RJuvd0nr6qqNLkBlNY1AOfcaDQiovIdESkLUJrcwzCsoq/LicDFe7nGGGOMMcYYY4wx + xhizm9Hyi6EQrA/ezQABCQeqceUmkQOuOoBDk7tGzb2jxk2amNBnjSJu0gyaUZ6DAIJiMRSVVXEp + k60nMd4w28RDD2Leoc8AFOhTAgMQggDp1AW4tDHbxhhjjDHGGGOMMcYYY4wxxhhzfmX6W855Op2q + atu2qotyTznnyWQCoEzJiTHqOVRV1XXdoopCzqWK6AXM0zHGGGOMMcYYY4wxxhhjzN7T9/2BAwfa + ti2Fv8bjcQhhc3Pz0KFDP/zhD3/t137t4YcfU0VdB32ievqlmp/3vjztKuQMQMmmKuW8YoxWX9cY + Y4xZsWTrH11wkB6hm7zuBW981U2vO0RXy2ZmIQUSckQWZCL1IK/ei7e8novLiXfiScuMfRJHmZOS + sKjMZNLvf8X1t772+W/0/z97dxokWXbdh/1/zrn3vcysqt5mumfDAARmhoOdJEgYAriBIiWKktcI + hb8o5A/8YFqExaEYdlg07SBNBSyFZNARjHBIZFhBMUjLVJg0OQzSohWkgwBJkMIQG4EhZl8wg8Fs + mOmlKjPfe/ee4w8n83VNL4OpRi/VXecXFR1ZWdlZL5d67+W9557/YoaBIqV0t3OirL2255puUQhf + hxeqtW079mr2YjYvS+v7vm1bvLGA9mEYUmKt+MpXXvjJn/wprRiGYTbdbJoJES0Wi6ZpUkrDMHhP + 6bAPichisZjNZn1fRPJv/MZv/OZv/vbF08wvaj6fE9GYxeMDCCFc0AHKKA3hgjxhhZS20lYu0297 + 6wePyu08TFJqJDfEzACRENGwx7NKJYDR1aHUZZrhs4998sXlM9JU08LwzGDCKgy1MirjyrYJ2zv1 + GEJPtjaCEcgIIFUltqparDDVKos/+9In5s2pyh1IDQmWxJgNlVAEzaRdLvsETDG5c+Pue2/51jZt + 9V1NmGLZ/JW3f/cWjpFmNXALkIqy6Cp22iMSlWAEWwVRg23Vsq2yKoGtRWEzs9ydHL7y6Uf/mCZa + TI1AHoOtYKtEA6gHipEqGCawBGNeRQXvqycfAMC2ysq1CqiQCQMybNPXPvXwH9tMudFKaoAIqe7t + YG8EMJghClZ5zzf/FXTTRC0DoiAj/zh6tnmcHazhFTJuNG+UrXxq831v+66pHClMyxbzhhaNLlId + EmtK/aIXUAKR7e2ASsZWwYzadp994lMvL1/EpALQ1z7ZbEwGIyitckCdEhdmIxgpg6wYAEowUu3q + sfa2t978drHsS1w8HsY/G9/QsdbGEACqqgU3HTpx/NCJXDNrJmN/7gywS4qwNxq0nX/xiQeeP/VS + TUZJDYVQs/huHEq18vg3qPjGk7RDCGFfIoCNxVgsMaft5WmSYqRkZsRGXFkrgwxkAFhXhy5Vgo5H + NwUrGGJmasW4+PdmMEPOOQatbmTGZ792KaUMw1DrsLNzppQymUy6rnv3e975u7/7Ox/80Pu7fmBB + 13Vd1wFKZLiks/daq4j46ZCIeAnOOInYdV1KKd5+IYQQwl4RkcdX+7EVADOPl31cwhNtxwDsEMJV + 5vPEYzOd8V8R6fvezJbL5XhifAnjh8zc9z0z11rbtvV9wljrkHMmIiKfbIH/2/e97xC6rvPbx6l4 + CCGEEEIIIYQQQgghjMxLt4EBANa1owxMMSjAQEUyzHs7iYTN9m9+5o8+dWL2PFPKEIFM8s4wUEpJ + NasyUAmLhEXiq1ALPCvDW86c/tx/+EPY3sGgydADyM0AhQKqWBV+rB8bAQerQjmEEEIIIYQQQggh + hBBCCCGEsI8Mw+CLXHxNXCllOp2OrRtTSqqqqr46xpshXJCvkfemor58ZhgGvoT+kSGEEEIIIYQQ + QgghhBBCuOGIiHf6mkwm8/nczPq+HDly7IXnX/qR//LvPf74l70HWNcNxOnrxh2IyJhEVWstpfjl + lFLXdSKiqjnniOsLIYQQwmXETFv58PH2zd/33r+VT222O5u3bd2WjM1sgFaYeWmFEVWhKhGJeBmR + MSmz8jrWGpVV2UCaTDZs8yY70Z458l33/uA77ngfOiKL5fsr3mL3nDz7MSo4hP2JiLquMzP/6Odv + YzPzUrSc8/i5r2ma8z73nc1wSalR1VJUhMzwiU/86S/+4v/eNJP5fLkzn7eTSVVVs5Szd6i+2o8z + vDF9V4QzwKWUx5988md+9mexzjccmZmZeSzfxeScbRfP5bmRcwzDNyDO4cKBVmudNElyKkVpsGmd + HpFbP/DuD9c5ag8jEDEAmGc87PHeCZRTr1AoNfZi9+zDz30uTQx1HX1qrCAl3zvvx7FdBQBlrLZR + 4e3MjIhgbGZG4Im+cOrZh5/7ok6Wxj2gOsZRg42ggFLNTFxAO/Shd304dVuZZqaSuS3bdveJd735 + 6D1S21JgpgDIIMrnhNUpwYOuxdRjaT1p2wiCJNLUWgcs+VD/wJf+aI6dkouSGoGN2bOrTUEVVAHP + FGdg/Ojw2i5p+wGpmScRq8KUYEyAKg1Pn3z8kRcfRFv7WqqBGSC7tNkBEeIBm3LoTTfdRcNslqdl + GHZlWq8oWOmAHS9IrWpCmvbT2yZ33H38Xlm2Tc7zvlZCNQBMxovFNpOSqdjek4yVFHVOJz/31AM6 + 6xc6Z4YYjM6+81ch7utveZUSCiUYuBIACBEMRKs00DrYN910z2E5znX1kvnyGDMbw2NuPGwg/0sH + kRIKZ5rcfee9qWSy5Gc7ng7uoap77cxYWRc4s22vfunpL2BKfe1Uy7Rp61AA9V2NkWdmEwOrMPgQ + QrgRrZdcZkn55M5JyxVUVA2+p2UYgQ18Nrr43F2it6IVEIP83NLIFIBBTHJumdnHMs4Z5A03sK7r + fH3voUOHcs7L5bLrujblra2tX/7lf/VDP/QDAIiREuO80f83yJcTe55f27a7T43GK/1ClN2EEEII + e8LMRJRSGg+pXt4KoGkav+zHXC+ovcabG8KB5A10ANRac85933uvnHHm2D+CebD0JX8Q8//YdV3T + NCKyuwVP27a7b4D1/gFA27ZeDxHT2CGEEEIIIYQQQgghhOC8mrkCBfAWJAwjqAFLAiYoFSCg4nBu + M/ICCa387T/5xNems1cHkKTSD8u+VjMxEzOsarCx+8KV02g9tFgefeXl3/y+D2NnBzs7CTB4JTSg + XsHJXilrQKxnCSGEEEIIIYQQQgghhBBCCCFcQ96u0de5jEtvfH2cB10zs6+UyTkTkV3EZDJh5pTS + uDbnRm3yE0IIIYQQQgghhBBCCCGEvaq1ppS84+6xY8fm8zkRnTx58id+4ie+8IWHcl5NLjS5ef35 + BZ+J8GCzsXuzNxmrtfZ97/2+QgghhOAi5uByIeW6NB7y977vrx/GLZv15nY5XZyc124wUo/RJCKG + khopkRJHh9HLjGC0Tv0wA4zJmKho2e6bfnbUjh+2E9//H/zNDTlSo/3zRYyVP9d6Q0J4PUTUtq0f + wrxZtAfP+U+HYQBQayWivu9f5yNkKSXntmmaWo2ApuGPfezn/+3v/bvcTgE2Iw9nKaXInlM5w9Uz + mUxEZLFY5Jzvu+++M2eWOScABCLs4TxnGIZz9oH+LroiGx2uc1H5Gg400roqGTfSRUl9arqND7zj + e45Obxakvi9mxsxmMCjv8QBqQDWVBErc2UI3lp994oFlPbPOsWYlNmIDKYGwSqvdV4zUCORN0UwA + rmyVlZmhEMpgW6b5px75k206OaROWWmVH3t230JEQy05Sx7SifbEe9/6vmaY9QtLqaXCuU429ci3 + 3f2BqWwxUIoygw0ET/MFQcnWGbTGnvL72gOaAmAQCQaYToYX58994anP1tRXUoBhQiZk7P9Lyfug + MUx8Owm27zKtAQBGVLE+lpMYuFrtdPtTX/rjZbMzcN8piCCCWvUSjvJmIKNc81233Tvhw0knUCaF + KK8/4SvAHmduV6WZ3f5hpEqdap+NZ93kA2/5wOb25nRoc0E2kcK5GGvt+jNKS6KOUPf6K4hMqX/0 + +b/86s5TddYPXCvMz/aVVu95f7eP3wJgf6Ma73452MAMVYCQub339ndNhkNWkuei11pV1YNkbuzT + QTMjEhiRCYq8483vntjmKqP97A5WX/vtG6KsNdkwWf7Fk5/ZsVM9FQiTMarvUYoBSgxwnFmFEG54 + DAKgqoX0pVPPD+hofZ6m4PGY5We2q+PXrgOZn8uxMcBExAwlFIMqMjfTdlNExqMVEfnQRsQM3/C2 + trbm87mqLpfLxWJnc3M2m02Wy7kkSkI/97F/9vc/8vegqEUJYDIPR3+tr3MU7vsegIh4Zt7m5iYA + Mzty5AgAT9xMKdVaY4VwCCGEsCelFCIqpXhXDk+09R95dC4z+2TncrmcTCbXdGNDOKD8L3QMrm6a + Zj6fp5T81He5XJrZMAx9319aeY2qbmxsePse793jA5Kq6r/Ro6z9V29ubg7D4D/136WqUQcfQggh + hBBCCCGEEEIII1rXQHjq86pGwkBQAiqABsMAKLCtG3Od2gSzLTSTl5ut4fDxeV9akmObG6wGqubl + rYa2oK3KhnrlyyI44Rj0ba+8hFOvAEgAKpqxEF8TjA2o0Iq9V9+GEEIIIYQQQgghhBBCCCGEEMJl + RUTMPC6EaZrG4x/8eiISkZSSr6S72J14gIQvoNudgX31HkYIIYQQQgghhBBCCCGEEPar6XRaSln0 + XZ60p3fmSjydbPy3/81/9/GPfzJn6gerCmLuhvL6TZhLKczsPbv8lt63mZlFpGmaUgoAZt7Z2Yn+ + uiGEEEK4fHiz2ZJh8t673i+LjbZspNK0qfXgVWMiIg9JLVYrqrEdqHyrq8BWYR+6/oKBYJxzc2h2 + pGwXXmY9RW87fu/xjVtnMqFI9ri4yD0J+5x3eFbVxWLhF7yGrdbqHwZFRETGrs7ABYJbAHhb+L7v + mcFMfadJ+Kd+6n94+umnNw5tLRaLYahmlFID4IJ1cRdKhAlXxxiBx12pkJSayY/9+E88+OAjAFSV + CAozuvALd0FeDGlmpRQfSRARH0MI4RwxnBQONBYsl/NSSkrSNk2qMquHDsuxb7rtns32sFWYqSfr + 1bqKjN2TrtNmkolsWbvSLh9+9ouvLF6CDEqrYNqz8c/GvC+TrQEATJpEG5hU1spKSrWaSC5UXtWX + HnjkT22qZd1YjExBqqRKyhAGcka/LBu29e43v3cTm1vNFlUmZKu2kTdsO73rLd92KB+b8Mwqkqyf + 5vHZJgXUaB3uO15vq6Blq7WUgXMqhO2hyiY98OAf92lbZTACwGyJ1yHWAIzIA2jJPL95X35gWH3y + ZoIQxIgraq/zuW1/7rFP52Pc8wAGEYgw9BDZ8/6cCFxlgkPveMt7pbaCpva1SZmMyRhUAPNccMBf + hQNEwZplYDNwqu27bvuWjeEw9zZphchACjXVMq9dJ9UghLTXX2FiSyz/8qnPzfn0EjvIKKXuDg/f + neCuBKXX7CI8H9QIUGNAGKpgw0ymdx6/W4ZJ4uTZUX5e6BNIN/DpoICgBjCRMDMXufOmu1rdEpVd + t1Ibo1X3ur9tcaY//cQLDz1/5sualCUPfUksgIJMCbAEEzJWP7k6YH8yIYSDww8onS47nb985qXe + OiUwnc2xVgLBg6sB0vFg5j8aWVVT8rM7NZChpelGs8UQAOP6TB8HieWaNzwPuVTVlNJ0Ot3e3vbL + PqSVUvr7P/aRj33sn8xmOWeq9VLeD8zsqdXDMKSUtre3/frt7e3JZOI/NbOouQkhhBD2yo+wKSXP + rmbmvu/9LE5Eaq3eg2MYhslk4nOiIYSrbOyk43+nZjabzXRtMpkQkadc+xn4Xu+fiHZ2dnZPY3sT + H2autfr1Pko5DEMppWmatm09adtv//ptfUIIIYQQQgghhBBCCOGgIYMAAhCY4ctMAQXDqqkCuQUI + aBiJoQROaNsf+MTHH80NZkett/n2PCcBoTIqkZlkRVaj9TrVK2ooyMNwp3Yf/97vxHye+zJhNnkB + BAAAIABJREFUdL2CAWLYqoBzrP+IwqAQQgghhBBCCCGEEEIIIYQQwrUyLm/xZGvvzENEOWcAIuIt + QX1l3Ouvf5lOp8vl0m9WSvEw7Cv+AEIIIYQQQgghhBBCCCGEsO/5DELTNH3fA9jY2PjJn/zJ3/u9 + 3wfgXXaJSHXVLuz1W+Myc9d13lXMm/757X0uwyc+zGxjY+PKP6wQQgghHBRsXOf67e/4DnR5JlsN + prWQmXVDpwRSIhMeE8GkIl2NJe0HhxEqW2UDVUJlgIyBZJC+6LLvpu0smUzSRqrt+9/5QVrm8eUI + 54vck7DPjU3dp9Pp+C0AM/OPlmZWa/Xmz6/D3+opJdXV5VL0zOmdH/3Rj7z4wsttO805E9H40fKK + P7BwSWqtML7//vt/93f/nVcjlrI6wpqZmb3B187fNgDGUJ5SStQ3hgvad+cQ57zLae1abU+4kZES + gQVKalZ16BvK6GRStz78/r+289J8Ii0AM1NFSriEgLOm4eVyMIJkaLbSDH/2+T+prQ5WwKRqw1BT + bstQiRhG++1PchU9aMwmpMLGSqpcVZG5BYBW//TRT7zCLxTux3MVgpJpZa0ErkbVuh4b7RSn0ve8 + 9weyZRtKk6ZaDFWt2Iy3ptj61nvel4cmA7UHxqZptOvyOZ85DQB41desaydUa60KarFjyyde/NJX + Tj2+5K4IFSXhRisnylpBzK+9I/XY7P35mdYXNlCSonWwYXK4/bPP//HQLJc2L1AWmEEVOWPvaSMw + AypP9NBdt76zsQmUGGJn34cGUpiw+QnEwYodMnCf2gU1fZLKaWI3vfPN36pDX1GLaMfglizhlPZz + EmuOdKVd5X+ff1dm538oVVRNZbuc+sJTn7VpPwAVyDmpvuaWZCBbNSTEOsr6HLXWpmn6DomQLG82 + R28/coeUhlRUdTx99Ms3cFLj6lTB2IyEmApP6qFvOnGPgBIDBaQwVtClBHsbMFRYY+nI8Km//CNr + MBgkT0pf4d0iTWACJMToTAjhRldKD1Fkrak88+KXq9SUUNY7V/16n9uMVkc3UlpHVkOAhqjs6K3H + 3pSQfZiMiMY0tRv4+BWciPR979UzpRTPtzOzRhi1NJJ0KP/Rf/y3fulf/cvNzVVZDK06N8NHu3xh + 8OsYVxEDGC9gPebg77HxXedVPgC6rvMLnv8X9rnxrPsSshhDCCF8g8apTd8J+z559w7ZD9lfd7Iz + hHCF+BmvnzaPOdPunFsSkZn5CfB4qrz7ZuNdjfczVrFjfebs+4Rzdgi7x0h90NL3CWY2zmH7f8Su + c/LxmhBCCCGEEEIIIYQQQrgKxpHM9aKsswUGfnmcDbmSGwFUUEUDJIC8plcB1ay2QcgACBAgAwkQ + AwOTjOOb3/3JT5yUzYSNCYR1qIRKGDOyycBmcoVXWSpRZSgwWey8adj+P7//+3DyJHqlhnszyOrh + 0OqhqaLszxLuK+qc0pRrXpfiGzDWyYybF8L+5/NNPm81rjvzHfWYORGlRCGEEEIIIYQQQgghhBBC + cLaGXZN0HkHtC2oApJR8Qc0447B74czrzzssFovxF/kFVfVgbP/Wf+n1NXnhWzuuDNo9jX5BTdP4 + szeuCbq+Hm8I4Yo6Z25337petvNAGV+Otm3x2mqBEEIIIYQQQgghhH2Fmce+yrVW73lba+3KMNmY + laJEklP7v/yzn/s3/+bXzWBAVeC1y9l8aH1stOtDIlg3/hqGYZzv2B1A5WMmu/uMhRBCCAfcWAkg + IhfMDApvnChNdPq2W+6Z0WZZGiRBUKiklkiNQWwJyjAmgUoZqDOKaoHLRkkraeWiXECFTBkgFbLE + KRdYoYGSkRp3cs/t78nDTDSSSoEx9I1IVf1fP2O/1tsVwtdxThf33d+KiH9mfM28+fmxeFCzCuj6 + Y+bqB7Xao48++tGP/s+Sm2VfjKmvpW3bvu9BevYrXDkXeZ69WbcqUmrGK1VVOD/00MM/8zP/E4BS + wAwQqQFgj5g8/wxn9zDC7nEDMyul+J7Q+4HH2VG4oIgHC8HWwcYgY1FJpT3a3PS2W++RAm/dZYTq + GcB7pKp+7FZgOcBSefKlJ08Pr1gqCqSUmMXMSJiN7YKJtdecMUAAsyWAlVQJPiC+vTy95MWnn/jU + TrOdGirrBlZsCipGqwheUZ5mLtv2vns+cGJ6myhDTUtlcMrCoDpgSoe+5a5vn9ZZGpqJMIDxEybD + w62dAqrriF8YeyxiFlQdqiknGBiZFnzqzx/+E5uWIgOSFAWzBzaTnycZ2O/c79sf1357BVSVOYnk + Uko15ZZfHb720DNfqNJVViUoAeanhpeyM2cGq5zYvHOTbqJiQjAzHkN5/SWwBJNd4d8HhREGVUvo + eRh0mMnWvXe+veUGBkqohlJqrXV7uVCWbllzmlzsVfB5o3OuVKp96p58+dG5na6ypARVmBnzKlbc + 39tsq6fddr0/yXb9C4BRTYnAylyaW4/ekaxlFTpoJ35WfXfqwdKi0pTZHUfebION2d5EZKZmYOz9 + r52hVE73L3/55Ufn2OkwEBFz8r8UNoYlWr9Iev5HthBCuEEoC3FCR9snly+dXrxarTDz2A2SLzBi + BfiBDK/54XrPvOpQmyynMrn1yB1k8RE1nLVYLJqm6bqubduU0vvf//7777//rrveTLT6dEYEVc05 + D8NwaUUzPnyGdemPj8A2TeO/3QfdhmHwX3EZH1q4jMYRTyLq+96/pYu7phsbQgghhHB98NNjr7lp + msZPonLO3lamaRqva2/b9hKmn0XE73wymXi49TAM3t1GRLqu67rOfwXOK6QIIYQQQgghhBBCCCGE + K8FXHvpaRB/29GtSSsvlstZaSvG1OqUU5itc3GKrf8kgAIxXXwCgMCUUgxqf/apqyC0mE9x07JHN + zRe2Di1zYi9pNC+PX903GegKLysyMHGe5GnLOLTYvvOlFzGfo/ZFAZYBXpa+WiA1dMsMPmgT+WbW + NI3Xq7RtW2vNOfs4+TXhtTe1Vq+TKaU0TXMJ4/8hXGU+geW7azPLOftk0zi7NJYJXfH9dgghhBBC + CCGEEEIIIYQQrgeeXd33/bhMxtZdGlNKquoTZ7szrS8Lvyv/pczc9/31NXnBzF3XeSPLvu9TSqUU + z+q+oPEZ9lkbD/bu+/7r/Z4Qwo1vnNUdr9nc3LyG23Mx18t2HjReyjKdTruuw7oSIPqHhBBCCCGE + EEIIYR9aLBabm5senof1sEbTNLPZbD5f+vqdX/mVX/n5n//nqnid4Q3vgusr2rqum0wmALzx13K5 + 9Hv2/Oyr87hCCCGE611MK3yDyDiV9tjkROJWwUWHyqpiFRWkBJAR6yrUrHKtXDSe8suqsiqrktoq + Xw+iDO81ACtUBvQgE0pbcuTI5BayaOV6VuwBwo3B38nz+RyAV2R5Tdde76cU3H//b//qr/4qEefU + 1lrNzD91hmuLiHLOXdd5hR4zi+TlsvuxH/ux06fnOZMIeb7h69xJ27Zd1/ldDcPgZZNjC/GU0u7y + yNg9hgvaj4NN57xZoydOuHJWwcDQVWovKRlSTYfz8ffe/R1pSKwGbwhmrze8e0FkgJKQF2FDFZT5 + qa898dz82ZprRc/MDNJaRbIZ7c+/R6VVsDEZAAWZkdZaU8vW1i8+/bmnTz9dp8Ny6JvEANi829gq + hZeM2VIurSwn3/7N37khx6BGXMksMWkZiCiRaIc3H73r7bd9S9M3KIKzL82aXyaF55ATAJAxGbMB + ZKowA0kaKpSpl/nnH//3r3TP9bIsUnurhGzK693L+FTrKtcc+y7TGhhzjnmVmdvUr5x+6tlTTyr3 + OBtovb7x3t+fQkyDvPWWezfpEBUIVbO6PmtQ8/Bvy2RMUKBevkd2HSCoaZeaSk1XaJGM3nbbXTOe + pophiVlDG6lNyv1yOWmzmKKUi97VhcLzTGzO21/88uc7PWNQEd8D2bm3pNfsF9RTrAExFVu95sYo + VoTA2lDX3HXHNydKdJAyyEdqhYgqjI0Zuamzt91+LyqgxiAzIs/6VlzC/lZ8dRDPnzv19Es7zxcZ + CkxSwwY239cR2dm9Xwgh3LDYljrXjeHh5x7c7k+ToFYVAKQMpXV4tRKMdx2MjM85VzEjMzOCVpCB + quRh8k0n7iJLV/PRhKuOd319fdPp1MyaJm1vn06ExfaZW2878X//5q//wA98GIAaDFDVYRgAzXnP + cyS+kKxtW+/Gm1Kydcq1tzoFUErJOfd979+GfcirtbCr7zMzR/xhCCGEEMI3gpmJqJRSSun7vtaa + UvKcae8v43PbXdddwhSeZ1p7TTwAEWmahpn9/lNKHpvh5fLjyV4IIYQQQgghhBBCCCFcOT4g6f96 + 0K9fX0qZTCYi4h1Azpw5czWKB2j9NdYJe2W2+PVmMIVW6AAdoBUQlqIoaYbp9Acf+MM/vWX66uHm + 1aXBiEySqpjC2IhW94wruDqDDDZQv+y3exwV3Htm5w8+9J3ol1PGoLUCJgBhWCBVTJsZ6kXrb29U + Y7f3ruuGYRARLxe/WNv3K80XK4rIMAxd16WU+r6PJTxhn/P1sWZGRL6L9jfteD3WtWEx2RRCCCGE + EEIIIYQQQgghBOdLY7w/4/b2NgCfaGDm+XzOzLPZbHcE9WX8vT7RTES+iMbMLmNs9lXQtq13QfV1 + 3CIyTsqcL6XkKRqq6jertTZNc60fRAjh2huGYTab+epCAMy8vb2dUrpW9RLXy3YGB6BpmsVigV3H + 9OvreBpCCCGEEEIIIYQDommaYRh2dnZSSk3TEJEv2KnVCJKk+bf/z//7j//xP8Fq6dg4TnVun95h + GHLOpRRfNOExVABU1Tt0icjYdjXWAYUQQghvXBw3Lw1ZanV64vAtDIFoj74mBZuZeTIFG1Yr4YmN + 1KjiQEYmXSEegaRkRt6AQFfZcEamZEwDd4P0Sr0wb8iRm6e3kEbexwrtmh0mouuubieE3fq+9/Kz + b2gFPVGp+Nl/9NEvPfzQYrFommbeLYu95u+CoAcz+e5qI/U4TgBE5BV3TdM0TeP9wFX1p3/6px99 + 7ClJ3A9WqkeoMtGYw3LueIJnWpvZMAxElHMmoldffdV7jAMYq/vipChczH6M0d3N37vxDg5XgZIa + KQAymeqhe29/15RmVAE1IhCBiPbaxUuUyXOxGQJQotP6yiPPP6TNUG2o2gut3t5aQRDYPvyTXB17 + jAqgbCTKgC7LDh0qn3zwD4e2K6K1IhHza1OWlWAEVqFFftvxe++8+R5bJAH7E2JmxFbrYCQ0SF5u + fODeD83skAxihMowrNqmsYFWn4gAaOV1aiwpG5NxGUCy2p+ZStFKbT1dXv6LJz/d5zMDLxXVkxRF + xJgA0OpTlrJnWoP34ZOfiK1UqKXEYJrr8sEvf3aYLJQHWj0z39g2K7jmu29/e9ZZIjYdWDw7XA1Q + YoDYGGCGjs/VAUGGWUoopR+WVGlGh+7cuOuu6XsOnz6+efLY4e07Zidvmp45Ol1sdV/rEqjN8jrn + 0+cfxVT01frKYy88rDKoQgz+mo63JPO9x6478f8IsEHMk60BAIyqSJS4ch6aN594KwFGB/C4qQDI + h65IkiUe5I6b3zRNU1VlZlIjgz/BjL2tICKDFgBoJrzEmUeeeVDbodMlEcEEYAIIxmdfpRBCuDEZ + QUnnddtm/ece/XNLNSUaBt+r6moU22BgI79mV8r1asgbRsBqDJd9IJcNqaQNOnzrkTu/0dObcGOp + tc7nc1U9fPhw3/cbGxsppel0+rGPfezv/J3/fDJJIhAhABsbGz4Qttf7B9B1Xd/3Pq8wnU59YbCq + eu2O/xtrZfczb/Q8zgPlnJfLZXSkDSGEEEK4ZKUUrKttPEuj67pSyjjlzMx+uuURL5dARLza3u/T + f93GxoandyyXy/FXX/KvCCGEEEIIIYQQQgghhDfOR0QB+LglrUNSU0q6BmBrawvAcrm8wlsDyDrE + eoXBDGIjVFD18px1hbdX4iTOgwFti8Mb/9nH/+A5pvbIhllmFTYAVhmVUNelO1dU5pyRDs0gAzbm + 8zv7OXZO0/apDaIELLs5CE3r1Ua4Ghu0z3i3d28ik3P2sXf/95oYNyDn7A1/m6aJ8fmwz3nrpZRS + SsmnsUopTdP4fnt3YyYRieXlIYQQQgghhBBCCCGEEEJwPi9ca93c3DSzxWLh18xmMxHp+96Xmdtl + bXZXShmGAcDm5ubYJ/c6mo/z5pi+1n4MzxARvghfl+R81kZEuq67VtsfQthX5vM5AO8G5nO7pZRr + VS9xvWxncOOr4wdrIvJGzFf4PRtCCCGEEEIIIYSwZykln3Hwzqhm1rbtxsbWctE3TfPnf/7n9933 + 48tl8Va64/KHC/L5BR9496UT44/8Su8D5jlVV/RBhRBCCCGw0YRnh2dHax2MrbJW1grPsl6FIylB + PfmLNM5OLjtaJc6SjcUnnuNGRMxgGFUjI7WMvNkeirwPZxeaGo51x+H65WnHPo3urSEu4fOgmU0m + k8Wi+8hHPqJqpRSRfB3Vs93YdjfrZub/6zd+47d+67cBlKJtm/02KaUL7txG/vbwC8MwmNl0Ok0p + NU3jrb+xqzf4FX9I4TqUrvUGhHDNKNg8BtW/ZTP1ND7mZXvb5ptvO3LrzpmXByMQYR2G+saxAQYb + ak0AQxS1Vtmon3/609/3nr8+TRlFiLJ3QjMDs6jt0zNXJQMNIGVlQFPmk/bqsycfefrUY32zHAZs + baRup0ji8/NcWVMz3/jQB/7qkfZEOUMtN7VWAmBDbmWxWGpNTbMpvd117J1vOXbPQyc/q01R0nNj + ecdMREBpFXEtmsi4oheWwarWmqUtfWeZDOUzD3/y2+59f5JpbhrtihAAmI3xZmc3VYmxjl3cPySR + FphVU1iq28Opv3j6M6XZARWxsxnrl55fXHkq0ztueguW3DBp6anhWiuxAoARrAGYTdVw0E4e2VB7 + bYineatblOF5PrJ150e+9x/Z5rBIMFIe+gmaYd7cPD0xnFn0dQ658CH1/DM5Iqpcnjv1zNe6l7Ch + MGBAJhCRqjITwDBmKAi7R1zG3n1iSorKAFABJZAxlzSlQ8e2TthgymWfvZ2vOGZWLcUKoECGEVU7 + tHXo8ObNJ/sX/A1MROfEh79xolBVbViTfeHxT3/3e/7qsNOWOgElMg99VzIGUPlsjGsIIdxwTFHb + zfzwKw8/+dKjmJgSiEBEIAXpuPdbnWYRYGADiGHQ9USCEQwEJiIwgQys+fYjdx5ujlL3ehUV4cbi + x+fzPgHR+hpjmB3eOnLy1Ctt0xDRcrkkoqZpJkcP/fTP/I+3v+mOf/pP/1fA2ons7Oxc2kYcOnTo + 9OnTAFJKwzD0fe/9qc3Mh2J9pRkAi0S9/arW6mOjAObz+Ww2m0wm13aTQgghhBCua97cn4i8NKHr + urZtx5IFn372EBcP29jr/Xv/Gh+g87sSkVprrdV73OzuMhDl8iGEEEIIIYQQQgghhKvDzIjIhz1V + NaVUa/XVOF4t4M0+UkpXekraAIUaIMSEVfk0CBVcwAYlgKAZu35qBuJJBRQgQbvxSt56afvkzZRb + rUS1svbCBhZUNohewRJHNgy6bHJazCGMWcZNUn79gx/62596AENNR4+kJg9Dl3OrCh4G5AxjHKTB + YK9F8ffV+Dbzd+C12iT/1b4xvmFjwUwI+5OZeYICAI+yZua+70VERIZhyDn7WzrezCGEEEIIIYQQ + QgghhBBCcMMw+LoVX9hSSplOpwAWi8XRo0d93mFcJpNS2h3P/I0QkZRS13Xb29spJV/Gflnu+erw + CRffZn/quq7zlfgXvP24IskfqV8eV4KHEA6y3btWM/Op3mu7SRd0vWznQUNEfd9jHeYEwOu7rulG + hRBCCCGEEEIIIVxAKcUb5/poRkppsViI1EOHDj344F/+3b/7X6TUDENfqwEoRfGa8AcFVqEpTOyD + 8x5nRUS11nGKwacePMPMF8TF0okQQgjhYjxDgYgAwvU0Xb/vTPIscx6GbioVkgGgcKLMBpDC2EAG + BjzX7MBFXF1RZGACDIpE8C4DCgKtnnQ2YkU1UrMqytMULfov6nWKXkK4LngJHICu67Bq73ze58rX + JZKXy6UIPfPMV3/8J/7Bv/gX/5uIlLIKdgzXikfhNE1z8uTJm266aXt7+9FHH//oRz9KRN5ZZhiq + SPbBgTH6+mK8uMJr/7wtg2f9eMOcUkpKqe/78e0Uwm777iwuOtSHq4v9ywgKGJuRkXEeJht06O43 + fXNGoyZVYcSXcFaZGAzAwARW6KDYsGe+9sTXzrwgyQATBhOgVelSYlavAjYYwUirDKAhK2dNxYb2 + MP/RX/zBXF5VVhhQNRHI1k8m+dMKJSXLx/Jt77jjW6k0hEwktSozi1DfL1ObjU0L2rK5oUfe9/b3 + oxeAlWBIsASDf7Gx59FWQiUogeCfkUS4VWIzQC0xCXFvOtDi2Vcee/qlR0rqpCHjaqaqVbWsgqDJ + 1u3cGEYG0n22S9RSiUxgVQsnOrV45cX5c0s5w4AoYAx/EP6JcY/YGEpHD584PDvKhQSkNsAjzFGV + zJAUQgb/4zibL3hgZGJ0tfY152YymaFPN/Gd7cnjh8/cunX6+PTMze382BG9efHSggjcsl3kKdqd + wjJeo6iPPffoknfAlQ1WIYSzEdbmU0DjG5Jh/kexSrYmUzGIAusmhGaULB+dnpilTUU1Gg7WS0Zq + ZsxkVny3RSasIpBbjt9GELPKBFKCcSIm3dv+lg1NylD0RTXVZ19+4kz3kjS1osKENRGUUBkFVADY + a/PIQwjhRqJkPKMHHvxkx6eNaimWs6x/AjawQsFG62PW2f+4OvHyPaSvXVSFKaggW3P3m+6V2vpk + QwgAQEqQ+Xy+ubm5XC4BHD582Ee4tre3c84/8iM/8ku/9IuzWdN1FYDsfbCTmT3Tmplrrczs0wkp + JW8f7L1NzayUEjU6+9aYtghgNpvVWr1+K4QQQgghXBoi8pNhIiqleOW6L+/vus6TADzWwi/s9f69 + 7B6A31XTNLVW78szboDX0AP7dN4khBBCCCGEEEIIIYRwQ/K5ZhGZzWbM7OOWOWdmJqK2bVNKOzs7 + V36ZovqXh1sD6zrq1c/YwIxEYCjDGMaA9N1ADCQgt2D+G3/y8eduPraQRFAjNbKzlcYGXNEQadKG + udYynTRNlqGie/n022vBqdMwYL4EiLMMdcmpmAgOXj3G2FFXVUWk1jqOnF8TPh0wBgCfs5Eh7E8+ + n5VS8n7iPrfVNI2/jXPO4y29JOwabmoIIYQQQgghhBBCCCGEEPaJnLOZ+URYrTXn3Pe9h1t3XecL + yWutvo7mcmVa+312XUdEvmi9bdvrrsPeGFDtT8tkMnmdeXNfmO/Ps8/pxLrvEMJuvivu+34Yhq7r + 7Nq5XrYzOD/0tG27sbGBdbmLRT1ACCGEEEIIIYQQ9h8fGy+l9H0/nU49OGoymTz44IM//MM/XCuW + yz6nnFN+/ZAgb43rnbh8rYTPX3g3sL7v27a9ag8qhBBCCAHGibOqGqpRBRuMoUzGZGBTJVUygGFJ + VETFE7XCZeHxH6zEJgZRYiUFlKGkxMZmpAbi1fRZlkQxk/ZaPrfoRTsRax2uX55V7MHGu9/Ve1Jr + bdtprZaEf//3//AXfuEXvGru8m9u2Iumaebzedu2W1tb8/lcVe+7777t7a5Um06nPjLgbcD9DXCx + +0kpjXfogdYAvG3OdDolou3tbb+f666OMVw1cQ4XDjSP3vPIWCOtBJAClHRCXfP2t93bcjZDNSFk + U9rrnwyDhMAMZiRjJirSLWj7ya88ZlRAxayaVZCllPbhaevqE4d5FGynXFJlVlYtry5e/sJTn5nL + 6SzcCnWdNo0fkxi7DltGgKX33v0dR9KJ2oE4V2OAicxMS+2ZQUmGvnLfTurWO97y7psPn7DVb+b1 + F3j9gdPDYo0AAhvIf8Tt0JvHZ5gWz6cebCiy87kvfXJRT1V0SgpSkK6PiEqm649U6wjtfcbMyJRg + RAaqTz37ZEmLgRWe572+2fpR7Pn9I5Zuvfm2pCmhsarMUK1gUy6AAuLPCRkYOGifOQkgNRFS0dL2 + y/bkaXp5WebMXMsyMabthCq3aNrcFCkLXejFnyN/1/m/XiA7WP/osw9ZW1Q1MUQh1c//GGAGaJ3j + fh5ebd56f1QJJFRrTdQcP3JLxoTIDANwsF4zVZVEikpsqqAqCUTFTpy4lSjh7Pk0i+RL2N+KaRYG + SJl67Dz9/COWfLwswYRhTD2oArbuKRlCCDcgJSjs2ReeefDxv7CmKAaiXWOvdPYUxejCe0JbncGs + fqoKMpDxNE3fftc7pQjFNEN4LR8j86GuV155hYhms1nO0vfLWvrv+a7v/rVf+7U77rgFhFov8eTH + B9e8Rsd/ow/FNk2D9ezC7lanYb/xPEVfJd73PTM3TTOOmYYQQgghhL3ypjwpJU+b9tY8IkJEwzB4 + XTvWp8qXsOw/pTQMwxhc3ff9xsbGeLeTycRzYpqm8Xzry/34QgghhBBCCCGEEEII4VzjXPMwDD6G + aWY5Zy9a8PoBv35jY4OvcAwzrep3q0Gr19gYUEEVDdAA7KnUtus/EJo2L9UGAsRwaIITGx/8w985 + OYVRrWxKSGpt1Vwp67gi9UopGAxVl6Xvak04utHc9NLLn/7w9+GV0xis6/oBEClAp4krjQVFB4W3 + y/cg3r7vfQT+mhMR/0Pwnr9RKhP2Oc+D94mqsfrL/x1nr3w5ZfSwDiGEEEIIIYQQQgghhBDCiIiY + eWzv6OuRvSOnmfmkQ9d1TdNMJpPL9UtFxFfKe85E13XXV7J13/f+vPl8uidbv37yKBHVWmndZ8nn + 3EMIoZTixTnM7HU4+7MpxPWynQdNrZWZu67b2dnxtjCIVjAhhBBCCCGEEELYr3ypjo9mdF23ubn5 + 9NNP//iP/4Pnn39ZOAHoSy1qAM4dQvfggTWPK/O1bD5c73crIk3T+Ig9M+/s7Fzp9W5rqHEvAAAg + AElEQVQhhBDC9e46mqPf57TU1IjB0zQpWU6WxAAyI/VgZUBEU6opnvTLS4zFGJZgqRIqAVQIRQxk + iVTIkpFUGBni/HA3P5Eevx3DgEO4HuWcvfjN39X+wRDArkhNfiPxmn3fAyhFU8LP/dzPP/DAA4vF + 4opscbiwC+yFSimTyWS5XKqqGf3Df/jfP/LIk03DRFj2Xa3GkkspRJRTaxfv0uKdTLxY0V9Wr10c + mzNsbm4SUdd1Oeddb6EQzorTiHCg0ZgHTAoIQEoKGEOo5zcduauth0UTA0K4hCZeqmq0SlNVKNhq + 6fKEnnzpsR3eLjBVoIKNRKRY3YdtuZRQPajaSBRKUC466T/z2KdetZdK6krtqVIWlKLr7WcAMJAh + 1dSU/J3v/q6pbpmJiMASS2ZYHRaTyWTRLQ0lN8KgCU0Py01vv+PdqWbP9CWDkirvulsAxrQOvjbS + ympW+1Jyzszo+wGwlEgFtMGff/zz2+XVjubgoqQwTtTA1onApDCGrS/vMyKi1QjMyXZw8otPf6aX + vp79ua62+ZI+75ClVqcntm41M25QTT3rl1ZP7OpXGKlRPZgZvT49U2vtS1dSJxPqrU9J2tyUfqhV + VaFazKzrBs673lfAKkZ9Fz+hJ2MzG6jrePGVV55RKYOCCUSruGsS9mfbcPE+faRGr3nZjVhVhfnY + 7HiuE4ZciSdkXzNWVYKAlAiqBTaICArfPL2lLRM1UoHvZIkuZZSg7yszwFTZrB2eeO7hYotiRccX + 2ljX3RXJ+Eq3fQwhXL+8QkBJlaDERuxXMpQN6+O6AcY2nqy+QV/nw53S6t/zv0AK2vXdef/LR6CU + y0578gtf/vTX5l+t6CAswt3SVg9sbfchbDW0DfjDGfeaLEgsbMgsLW1tpqNvveXuhia0D0+Iw7Vi + TLxauepdRzc3N4dh6Ps+pUREZpYyv/ud7/zX//r/eP+3fwv2/t7xwGzPzxtnWWqtnq5Xa23blpnH + jr2X9eGFy0ZVfbGZv1jnjaSHEEIIIYS98aY84wlw27Zd12FXgx4iWiwW/q2fq+9JKcW7BvhZHBF5 + HwE/nVsulx7moapeQ39ZH1wIIYQQQgghhBBCCCFcQNM0tVafffZlPN6S23/adV1Kqe97H9W8hHHR + S8KrGp6xHMJABgFkd5EQAYAZiiInYkLf9yapTA/h+InHDx/6yuZsnpIBYhAYmwFQMiXzOiGv7VnV + DPmvAfDaEqM9MvKyWFjTTrVSd6a/bZKPnzn5W3/t+7FYtCkbTLUAMOJ6TjmGnfvdOV83gGEY/D3m + fZCxrmC5Vtvja9IAeEcbL70Y3/8h7E8i4vNWPqXlO3Az8/p/AF4A5jeIlhMhhBBCCCGEEEIIIYQQ + QsB6WgHrCTJfiUxEfd/7OhefX2Dmvu+Xy+Xl+r1+t2Pes3eE9BmN64LPpwPIOfsyf+x6Ms/nk49+ + M59/R6z7DiGslVJyzuNeZd8WJ1wv23mg+MHay1pqrUQkIvHShBBCCCGEEEIIYR8yMx+1yDmLyHS6 + 8dWvvvBf/eh//cgjTwAodTU9oaqTycSDxC6IiLzBl6oul0sfHtm9YsLH6s1sY2Pj6jy0EEIIIdyQ + lAugZGDACAo2MExIEysDMECJldiMzCznVFFVlQ0MJl1FTyipkYKMzFYRYFd6y/ewCp5fe5kBVvJ/ + AaxCOs65wWXc1G8cAWzMxmRjfpuSGbCqRTGFrmo51AtUDGKESjACKZNmQAxcefW8sQHQMR7rQIm8 + iXD98l7NADyu2GP1/Cd7uh+/ExFSRa247777Xnnl5CrD8arsww+8Cx/A1uV2fP/99//u7/yeMPpe + zWCqTdtqrapqZkPpRC56CPTySGautU4mE7/Su4iPjUTMrGmasdN4COfYv3sBP4RHA5Fw5bCBoIRi + PABVNImmSlq5V1pO0mxTb3/rsW/ZSDNYgfakttdjcCVUYgJqgTWoNDQG0+VffvXz5WjpMxVLGa0o + 96VLjey38GBJtOwX1LSVZKJTrrnS0E/7U9NXP/7Q/1c2a8eas4iCalakokoNazEUMBNX2ujab73t + PbdO76AuZW4HNQWIRLVIolosp1atU1oqdVwp78w+/N4fTIu2KaklSazGq2REq2oEMmTTrCCFEgpr + kaGim7Q8dAMDIiBCHcwIp+tO3dB//+Cf1ryo0qmWJm/okGANLBmpAgoxCKEA+y682cBGuShVqafk + K4+9+nljY2K1ZGAjKMGQFAlYh2Se83X+fdLqS1Tymdm9t77Dsp2qp6xpSpXMU9bM2sAEMONSuRgX + rKMoDw4DimkFEbEgU0lkJEJFBys1cYaSCPU0qFibpjQIkHQ1AAHjqlTHF0FJWQAzUSa2Oh0e+uoX + K+9ULFlQFCAYKQk8JllJlf0CAHjzQFF/CVRJd0eElp6Ep2Ctw/JNx9+ah00sc6Lpxc5Bb1Qs7VAM + lgAVGYiLVmRM37rxTZPFBmiyNCirCA1dnxPtNXbaEnpVEvS6LJPyxae+iAlDtMpQpSpEkQtJJRJN + WflgPfshhDeOfJQWfhwv7KPzzAY2ZVRCIVQfvPYx4gvdC7/u11mrY8r6y9b/jl/KqgRlVTKgrgaK + TNlQS58yFytgUmIFq6KT5YuTZ37vS79emnlKXKtpRTORYuaNYyujEkCFoGQwYBAUAaMkRaqNj3cr + gaxLsFQnPJ+l4dAHv+37U99Ixxd5yOGGoed9nWfXkKWaga2qErMn2/nYlg6FDZlJh97qcMftt/7y + L/3L//Q/+Ru0fvvkvFrKK5IBptXXBU6Wx2bT53ed9kIfEfFqnvF6r/sZV8/G9MM1t7uzc4x+hhDC + NeSlrj6e7//6Lno8VsZBM4Tri6/q98tt2/o146mXZ7cMwzD+ae+ezvOb+X/3y94sYLxDL3oYZ7L9 + Gi+gP2czvCLKf52Z+Q1238wvl1LG0ILL+CSEEEIIIYQQQgghhBAODhFh5tUqzfVopA9mEtHuIoEr + Hz/MgADCYPZaIF5fRyCAx7jr9RcREkOsCIamSQpRTNHc9IN/9tlPHb/1a5MjpRIxSNAbhv+fvXsP + km2768P+/f3WWnt398ycxz33hZ5IwhLStcAGYRBYKFgIirLjCv7DqYRyRJxKMMJBCQ4ULqRISkgw + CaQqKUwJDEXZDhaKKIOI5ZDIjgARC4F4XCEeV1e60n0/z2tm+rH3Wuv3yx+/7j19nvfM3HPPzDnn + 96m+U33m9uzevbt777XXXuv3ZV2NJdZKWhiFUVgrKaAE2BRWBSpBwTrMyL12BBCYgnYadTwJsc4X + Wzp/9WIH26fRzanvI4+BlgSJbAjHpUNKRCECuei3t8AFJ+v0ZuZhkENK6RD7ty0P2O7HGO0TPvzG + uaPMJttjdUFq/XLVcFnqEDPjnXPOOeecc84555xzzjmH1YzsITT6EK+LDfnKQyjmcP13Pf7hxVhD + qyaJVbi1PeP6pY2LLlIfNcOVl6Zp7M5VqgIOM4mwNu/7Ok4AHzaUbUkPzHbu5mJf4WGvcrjVIfTK + bG88rKcPojgihjcIa00L55xzzjnnnHPOucMSY1OrWje1zW4gVmIVAsWwyMXSH3em83f91//gs599 + ALDKzVBAtQKyWMyGKVMxMiBMDEUMEQqrwRtCGOriDk9tPVfWbeU5Ps4559xVrKaNL/9Za/X5hhdY + 5kFUQg2qQVjAlVgplRq4NqG2HKJEXghXjiCmwIKaa6fIHEhVlWKlWCkIWzh0DyrKIi/ylhbCMmhj + 2Rpi0mUGgWVUy4X/y/I7hCBgixZSBFnGfomQCqmQXCXy43ApWMACBoRQglZCZQCgLmcwxTYJqmix + mgkla66kPCpBEEPEONQxZFQpFeJif7m8WR75LVDHde0tG3IulJmiVKgSURge6nVr3c3Lhr0BGI/H + 9hsiUq1NY2FtZOeYzzPOgYQjAahVCQTF7s78e/6Ld+ZKuZIIoFwFxDEEUq22X8UV9xVXy0tyl1Ih + QlAhpghlFYoxikgFhaYtggcefPC97//vigKrgStQ9N18vfJKrRkQIrW33h5lwdXDMMihZnjXdReN + gaGVG/za3c3Cv8budmcxsYA1KwNIlatqJaXQT15+52uocCCIStjnAEihZWAhhgTiZTUx3annn9x5 + sgYlCoTACACyHMURciklRZVccq4hhIy8oN37H/70WXmmUCcKkQrASrmFhvu+DyE1cUwakrRh1nz9 + fW+OtQ0SWfdyCkkBZVUCiJmZBFAiamjjRLjzvpd/VZvH3e6CiKpC1sZ3AsuzJVJA7QSvCBVAaD13 + WQEwmjjTxR8/9EfnyxmJmSNPp9MYk5V2s1hHWyQA0It9YrtvqgQmKBfKj5z+Qs87ylAhRRTLTl6d + Bh9g4SRxKxw73pwkUo2qBCCQEClDAylb8TfhKi/gWW5qdoEHyry6AbDdBesQSipKwsqkERfGcC7f + HV026G04LAFE0oXFU+ceraG3vgyx/ovl7gKrZ5G1lVh+tm1/tawVuHp8CKlWDRGqesfmqVBTpCSi + dNvFgq6dnFAFFSWwxK14fIO3RKjaFiMZ2tDX7oKajCQd+oXOdmY7SqJUhARqHwpWMOP2yoB3zu0L + K2h1ELF9y9oehgEooa4ODbq3W5MLO2uuFAwsAEh5uPEFR7Flv/mq95z3OtlX61NJ6uqQ1KSJFJUs + pJLronLXbMUudb/+qV97Tp5CU6oU1QomIh06HHQ4Aq5e0fIVrF64HU+VIAG7s26SRmNMjvPdX/va + N8/O5VEzfjE2u7v1qKr1i4kIkebF/OTJ4z/2o//D33/n9xAjpZBzBRBjfCFXCmutw9id0WhkVapV + NaWUc44x2gxh73Fzzjnn7Gg4FO9IKa0X17DT8KHqx+GuqnPuxWBfefu+WwD2sBOwZjNWF7NrraPR + 6ABPYQPrrVpQ13W2zJyziDBz3/d2gtB1nY8UdM4555xzzjnnnHPO3RIYYAIvRyTsZVkv/3XJPfun + QgXAMgw7Rkw2/tZv/eaZ8Ti3k0qQghBw4TCH5T8UhGEgE62WNqzNvoZEXrB8DoqgYGBccc9099ff + +hZ001FgaMhZA6Gblyv/+WXmrt0aydbOOeecc84555xzzjnnnHPO3fJsoneMMecMIITQ972VqDoU + AHLOKaVaq+VAMPMhlqm1TSEipZRhurpNn3FXYTOJrBaZTfm3j9lhvY/OOeecc84555xzzjnnbk9W + 7appGqt5JSK1VitjG2McjUa11lr0Xe961+/8zu/iyvOhYox2wcL6umOMpRSr07W5ublYLIZLCZ69 + 55xzzr1AXkn+yiztS2BB0do3KbTMqFX6QtAmEkMZoWbpSo4xtk3SKqgCQMCrQA0lVUDWkzNuyNpf + KR5oby1IGSQM4dXEeVKAhNTu2Qz9mwEJoAwZpv+ntsm1FskcoFpL30uto7YdxZRIAmnfLTT3iTgQ + E5GwKFfspW4x1AKzbyP7jKty7ibQ973dsXNMG7B3FcMJJnME0PfyhS988cd+7B+NRmOA23bMzPP5 + XFX9VPS6a5pR1+WU2r4vIrK5udl1HVZ1vGez2fd+7ztns75pYs41Xjkw1VIAhqF0o9HIknQAWD3w + UkqtNefctq36js/thw/HdLc5thOkdfZPVQXoVa98lX6OQmKpklKout/k6bXU5LVf5tI/9sSjr7jn + q5RElYgIsCUfrfPYXDUQI5fAKlIlaOIw4/oHf/47O/ksogYATAJpAvV9LhkhMDH3XWbmkJtX3PUV + r37p6zEDIKQJgJ1GKjFWLXUGk3JVUUUIadRsvul13/jgY382j9PKwgWkqJo5hop9bn/REPHsmUf/ + /Ev33/Hl91LDoRZIx0irymsCEsIRDaEVKUQkgZT1oS99oajAPplDZbrLrvVVX8ry401g5c3JsWOT + 45DAFFSWGZSBaG0rD01DvrEn/jcjWb4vCtYLPqlEpEo2NQKsyirUP/bkI9ersF4IoZTScgiId506 + RaLMrFVuz24xofX6iQJgY7QxGW+oEDNUlYgpsErd7+6WCApbAlR0kftnzjx16vhLVZRUbpZeJufc + UcCA6LLYrNByB2J97oJQKTJS5qBKIDCUkenik/wLjjMAlJaHaVJm+yOje3unAOCCFG1g2WUsSiiM + ytTb0wqCIvbaplE7bqbz7dEW99Q9l3f/7Jk/vv9P79eoIbBKjTGKVhGhQHZc0+VqrFZOmUWsS5oB + oh6AgiuhV2k30M+3N/Kpv/rVb5mUk5PJsW5Rbs/jlzsAWZlMJsx87ty5Y8dOvOtd73rZy172wz/8 + HgKaJqoqQVRkv60uG9NDRBbPCaDruhDCelqnxfKFECxI73q/Puecc+6mUWvVVaKt9VHYP4cxsnbQ + JCJm9vIQzt2Saq3WfrbMaSx7RNXGxwNomsYew8yLxeIAT2HLt7ERtsxSil0+t+XbKAcL1XbOOeec + c84555xzzrnbjY0AJvtPQUCy+5OABT0CuWsyiduLkVQQBWLVykoAgrIQlGxMkQBQKCkICGLDjfY/ + eFhtWBQAFbLIahVFrJhMy5cfa3H+PNqIyXFNDRSjUayAgi8M7waGMUjLAUkItN9x5M4555xzzjnn + nHPOOeecc865Q2MRCzYBBEDXdTbv47DqRItISmlYjSFse5hOfuPXx5KtbSKeTZlhZp+3/ryaprH3 + MaVkG81mGznnnHPOOeecc84555xzN5BYcJRdaCAipWjXHUoppUiTRu9//3s//vHfEkEIVOrlK+MO + XdxWRCuEEEJYLBZEdO7cOSt7aw+zmn5+HcE555xz1wljmWexTMpQVlAm6hgd64Jl2nJv0TwiC3SB + o7KmSFGVVFFKSRyJiBWVhgnpdKQaK5bKwQCpBFVSKHqASRmAQkCyio2StViuI/UilpQwxIzIKhsL + YCISqRAiJqIQuakqLBLrlPt+xFBCpBzylOskahfQERKIliEmyqDhhXsqmXM3q6H8+xBoPRSFvtLj + 7U6tlYigOpst/uk//cU3velN3/Ht3waglDKZTECrOEN3/czn0/G4LaUfjRpmPn36tBBOnDixWPSL + xeI973nPM888A6DvS+BQ6hXfxJyzFeu2N3qxWIQQLIk855xzjjEOkQGeC+D25Si2hJy7sXh1I8Ba + 4kxEWoVBd526t+VR1GTjvw/6FBf8oRDA+thTjwIiUqtk1Xo0x5Srkiqx5HHDSDSvHY3w9PnHvvjM + gzV1TJoAZi6KStlONJhRpNZaG21jN3rTfd8cdAtgIZAyKYMEECgJgm0X0giwKoqIgEJtX3fPX7x7 + 8rJxe6xUjRyDohTEuO/to6qCzFv1D/78k3NMF7po2qhaQbqWKFwtG/IIBlvbNAwJtYb6yOOPqoqi + EhEgDGEAhOX2HOznVRwf3zFpNlE0IJAyERRVtQICCEGA9YTgI/f5PFJYceEbwcsbMGw61Wof/Cyz + p04/foBz8ss21omURKFxNBpvTDaxOlvY/4u42S2350WJrSm1xzePs3KgwGoROKqXPOx5KcE2KlNQ + 1FzzE88+IaFe8D6SXPyVdM65iyigy8MrLQ/oBSS8109NsqwzK4CAigBKz3OzXY8Aavsg676n598d + kSIJR+GgrOBKVIkqQ8FMMXdFMtq2raHu4PQz+fP/6rc/lMMsNrEvnbIyo1apV547yoogTRBrxq12 + 1GAFKEGJRjQ61Z76htd/Q1w02hFR8CaHu0YiYqNtptOpDbLZ3d3mgO/8zu/84Af/+Utecnffl5wr + H+galog0TTMM37HJ0lh91C2tk5mtG+4Insc555xzN5IdLmOMdgXLakBYxGzTNDlnO2gSkYXaHvb6 + OueuP/uOA7AY+9FoZF92G20fQuj73n5jzfj9Lt+ufNuIBxvu0LZtjHHoBR2G2g+jIpxzzjnnnHPO + Oeecc+52I8s8a4YwFEKoBK0F49F3fvK3HgiYhXGcbNWqi1xZiRSkTEAQCkJBwMoKFiIlAkAKsnHk + B+15rQxlAVUArEQSNpU2tnc+9O3fhq5DVQYW8+6yfbu6fm9taJQP0XDOOeecc84555xzzjnnnHPu + ZmFzPYayiW3bWpCDHh5bDVu9yWSC1eSXw9K2bd/3XdfZtrLSooe4fW4KpZRaq72PQ8FNn+/vnHPO + Oeecc84555xz7gZLKVltPVUNIQwlsEIIpcjGZOsnf/InP/jBD6uCGfUKmdZY1dca8qVyziIyVPGy + 33dd5/3hzjnn3At0W8b3PK/lNrGoi0GgyBm84AnGxziMe0z6tCWbsaTEo3GzwWCtlUhjDLWWZTKG + RW8ooMsMuBd1XjjrBRFjV01dFSEBCSlIlz8ZwhBaTqgHKQe7szet/ailDq2vDK3CsCwxQQIRI0Ao + aBg1Y1TK05xKm+aYFBynZrOOYxdS5ZAR5OIYMgFW0Vq3vtXwk8NeD+eut67rcs42Fi7G2DTNVTKt + jZ1grs49l6eb7373ux944IHpdJ5Sy8yLed80zYu+9reZGGOt1Sps7+7uxhjvvvvu06dPhxB+7SP/ + 6qMf/fX5PNvbUeV5Bjeqas5ZVYdOiaH+v8Xr2HDNGKPnArh9uV2aBc5dxdBiXqacKhEFVQoILTcn + N09BOHLKcr3alaJcn3nuSUHmqEICJgCqhznM/XI4UkwcoEXqApCMOqPzn/rT357qeTRVtdrJiSqq + VgoYjUPOkiVPJpuhi3dPXvb6V7wp9FvQAEgQDsKsAhJBVERwUCVUQFiZwKRClJuTfM/XvPobZQGA + VYg5EiPr8zR3LhVC6Oqii9Mvnfv8o2ceyrzoSxeYCIVRAIayxUmy4gjuD5moolKs8zI9u3uGAuoq + n2Pd3hnyNX9CSUFKxzbuCDrSaufLIKKLT56GOMxlR8KR20RHCitYZdldAtDqjVFVIlIKFFioVu53 + +3PTblv4hbTY9v6YVBmMihNbdwCwS1m34eUlsmRx+6Cqxb4rQVDp5PE7GRzXpu4cIOBSFUKAKNmX + hcqTzz4mIQsLQ9azY4Wg1xAl65y7bQlYwKxsXdWsCCqEGrSwlqA1ao2ao3ZJ5wEdAEGyW73kVigW + irK6VUblkkPOoV/9vOBWuVQuSqsbCwBe7jYBjdDIGkmRIvV9rxoqNWfn2/2k+8WP/dSz+uc66ipy + VzIgq54p1lV3Ng1XIZZ9+mwtwCDLawkKllAqCwAqYVROvPUvvf3O5q42p0ZHrPHGvyPuZpRSEpGu + 6+xOznkymWxsbERiKf03fsPX/8LP/9yrX/2KGCEqB2gWMXPf98xsk1pzzlhlXRORDR6ylvMwldo5 + 55y7balqrXU+n89ms+E61s7OzvATQM6ZiIZBt865W4+1mY19962MzvBLS6HGgcr9WG/nMBDQSvbY + XmVoqwOwBvwLfCHOOeecc84555xzzjl309G9eagMBBu+UwAFiFuEhLa+/dOf2E4b6CM3o9F4JGCA + h0nGpGAlgARUiYWgF8zN3vf4eVJAUVkKV1BlCEliDdC6JflVO1Oc2UbXBa2jSVo+NxBWM5btFVUb + IE2rVdADrIhzzjnnnHPOOeecc84555xz7tAQkc3CHpIYmDnGSIckhDCbzbAKhLC6kIc7363ruo2N + DUtons1mIQQrUuSuIg5VVFcfrVIKef1x55xzzjnnnHPOOeecczeW1d8jopSSFb8qpZRS5vPu+PHj + P/dzP/eBD/w8M1RR5WqTouxiSinFrheklFT13Llz9hT2mLZtSyleX8s555w7ML+mfAVKlr4DKEhA + 0MCS0KVjzd2bcjefP47njvNzJya7LzleX7bFd+yemc23e+kqlFMIqzKhlgB94+eBM+2FMcteQpBa + EpnFay0DqtVeLsIFf69gZdbASqQ2FkFBFVSEjlzugFgQ0io9bD3Je/iE16qlVK04tfXSrfxlG/N7 + 47k75Zkt3j5+gl/yZRtfrosYJJHapmNZLk2URG7RiKVLI6w91trdeixOxcKSAYhI3/dX+wOFVkuz + jgBUtRQRARHOn5/+Vz/wA7PFIoSwu7sbQlAh268ub5cheyVP3DVIKZVSmLnrOssg397ePXHijj/+ + zJ+85z3vCcEOzAxAwU07vtJyaFXw/6Ia4JbdY2PqRMSOEZ6n4/bFY8Pc7U0ZBIBJaZlpDQaELKVM + iSrfe+pljz38+ZL6AzcrrTXP639O9ez2s53OjyWQgkCiWVT5AFGrL6rKIRFQ+txRM0kb6fHpQ3/0 + xU9pU5VEAKiGKgEoihAgUlUQWzC4Pydf8+avv6N5SZiP7fyRVQioEIUqsQBkpyWCAFImMIQ41MQL + /iuvffNH/+RfZu1qXnBC0/AiS9jn5hGRZpRm3Zxo59MPfPJ133Jf6WoCgTLAQAIAlFUVNLpC6+dQ + Ue05P3Pu8b4sMIaqEitWn8W9D9XeGaO9hOdvrrHyXSfuQc9REwmxSiCqUu08mqECoWUbBULLO+5q + 1k+z907il7sUAEqkJBn5mfNPVuoPkHxsCxWC0vJjSwpIJUpacGzrlBQlIlElotvzHWPrsqJVyjUg + QieOnwpPxKENrarYb88hAQoi2HkUM4j06bNPdLSIuGIL3jnnroABWOes7Y0s3xpgVoYGVgpgiIIB + hSoLgZWFhJR19ROrv7Wd3qrf3xYnyyaBHS3W9nqkguWRXQAmSCUejilBoUAQJsW877ZObs1qP4/n + +7Tzz3/tpx9ffK7fmPVVwJQSgTVnSQ0oUNchXNAVv85eqTBQiSuLtbq5Q+omr7rrDV/3ld+MRdAs + G+Px7nRO6YKucOcuq5TSNI3NHB6Px9Y9WmtNqd3a2prNFvfdd9+HPvgvvud73/nZz3627w/YlWlN + uK7rmqaptaqqdcLa2aL1vqWUhqnUzjnn3O3JikFYJYhSil2/bJpGRJqm6fu+aZqUElYDcP3Q6dwt + aX2gnu0Qaq0hBKvyY3uDGKNd0t7vEB5VVVX78xhj13W22xGRtm1zzrbnsdpG9rzX+eU555xzzjnn + nHPOOefcTWAYLwSl1WihKggJd9+Dc9sPH9tsy/l2nk82gQgVAKmNQyYAIFIbKudAu2gAACAASURB + VGmjqcX+sRxYvb9uXVLY3F4d/g0wKZQqz2evHKXf/o6//lc/9nG6p0EbKilTQ1iNfVYQ7yVbJ4JP + FXfOOeecc84555xzzjnnnHPuZmQzSgDYFDMrxXi4paInk4mI2GS39aKNh8JmwciygpdOJhO749W0 + n9cwUdE+WlYK4LBXyjnnnHPOOeecc84559ztRVVFxKrqWa3atm2JQozyL37xl370R388BKzq18Lq + ZV12OfYIi7OyeGyr0GVFt+xSwlD51q8jOOecc+76sbAIwd4cdYZGEozo+Ld+w9/81s1vpTaHxLWQ + lrbOwqiMX3r3y6UD9RQ1lq70eSGqFGUIywBYiMNRCEpStgApJQBVQJWZFUKipMvsDIkAGKtsI1KG + 1FU+FyBHK8LsgnwraxMylIlIqiokhAiV2vejOPnq133da7/6DWFDYqJSegg10uw82x9Pd3LXBAkX + vDRb8lF4115M6w1pj7V2txirw2wjqax6s/3ySkOq7BRVROwccygTHWPIuX7+8196//vf/z//+I+f + OHHH7u6u58Bfd4vFom3boVB/KaUqdnd3f+iHfkhEiQJQAbRNu+hz13VXWdT6W9y2bdd19n7ZCEkL + CFDVnLON5HTuGnmGhHPAxQGnrKpEQWqNsXnFnS+//4tNnxec9t2MlosWbOnJLFrz7uL8vJvWVFiX + bfRwxPqCSZmEUEW4EgmINMrvP/K7z9WnKhetUIIqtCKF0GtVgnSIEURUFuXOycu/5i98PXUN18aO + dgQhhW0FAZQIRNBKZGdsKlBSDtpwkbuPv+QvvfpNn3rsNzWUio6U9p9EIRBBE9AiI//JI7//1M7b + X968oXakVACGjKBMWgABhVUg9BEiUhA1c/fwMw/3mIdAgKjloJOsAizlkkzr9TsXX6gYkrBJ+e6T + 92oOgZLW5XmxLrPV9Up/7q6IhFQBsA5ffGZlWG0/5SKFCMpa0D999vEaun3X+du7y7BAU6snSKRV + WdvN0TEIMQjYdzbMLYes34oUrOnksVOsLEWDMpGq6AF2t0IIRHaxkJkQ9bmdp3udbtAxC3/fd1S2 + c+62JLR3kN4rIAsAAZoEbdBWdCRoSCsJk9ao0OVx3zr3hRWydoxWtSKytnwrKcsXRkPvNXJWLQEe + /lUYAAQICpYCZVKGBqTR2TLfoafy6Mw/+/X/7cFzn+lGXYdaFTEyCYSgDIqh7+uwX10uf3UUUpIS + xAKzoSwEJVFCrNisx+9Kr/z2r/1bo3yn1LQxGs2mu4ljuR7b2d3ycs4ppfF4XGvNOTNzSimlVHLu + u3kT43S6c8cdJz78oV/6b9/3/l/8xQ/ZJ3RVLHltQVdoMYnIxsbGdDoFkFKyEUImxhhjtBS9Wqt1 + 0b44r9I555y7Odj41xACEdmVMBEJIViubQjBLk9aGK1nWjt3SxrSptu2xSrWWlVLKYvF4tSpU/P5 + /IUMhbfiMqWUEEIpxUKy7cJ527YxRmbOOdtT+Jh755xzzjnnnHPOOefc7YaAAAFQbRwEIQCNAoTS + xn4xn8gISd728Y/+v//+33z9k1q2z4TIyjZ2G0HBClJmhY29ZCWAAVGy8d442ORhFvByNBEDUCBr + 3WgYZ8/fU+n/+Pa/8bd/8zdw54bGtMy0rqvXQxCCDSISoA0gBSx1ezlo6sgN+XbOOeecc84555xz + zjnnnHPOXYSZmdlCF0opKaVSyqHXw1nPjRiPx/P5/LDWxOqHrudPrBcJdVdhMxlzzvbRson/V4oD + cc4555xzzjnnnHPOOedeDBxQpQpFkdqVPBqNQkjb29ufuf+z//Af/ggYVZbR1FKu1oetqhY9Zf9c + f6iV3h2uHXimtXPOOXcwRKCVWz+5d3/EosOUIGBoBAWWgJru2XoNmn7WbYcSoQ3LhBOlkeycmXIl + kpAoRopE1LaxL53lQt9gvAzm2PtpvyFlkKytkCqJElu0h5Ba3gcpBxJShgQGEwpUQLzaLEdxMrsO + r3T1TxBUlQOJCBFHClWbyHjpqVfv5qyKPF0oMkEm7eTOU9zUca0g4WWeCInQ8mMA7D8U7iakqj44 + x92SRCSlJCLz+TylNBqNFovFlR48nFra12GoI53zMiP5V3/1o294wxve8d1/JzYplxKv6UzUx25d + q2WsOCEEPnv2/N133727M/vR//5/fOCBhwAoaoyxFKl9ZorEWuvlt62qjkYjG5NZa7VMa4vOsarg + NqzOuiZu7Et0N72j2Axy7kZitRMcXqUBAqvOWarccnvn1j1Rm5JrCAdqQxNwQWYhhESD9GU667eL + 9FlyhRLRAZf/YiKiWiuI4qjNKGcXp//wod+pk0VlgUaKBECkhBCUIIKm4Ya4n2uS9JWv+Iv3bL0c + M2rQsDLAhMqoy9MbAgBiJSIiBVSk1FpRwcqsqU7xTfe9Nc7aUWhAyJ22KfA+2/Yx8u6soxRLlPPl + 9Gcf+sOFLtCwUAXEqrABbCHBR3B/SKIUUHjx5NnHMzJYcOFFhcucm+u1vgrScGzjJNfYoF1v2hEF + W/IFC1K+9iW7iz5O1hwXEZAqSUF3Znq6ht56K/ZvLbzcukUgpBo0tmESEDB8c29LaymvDBIAAXGj + OUbKWiqD7HToYIhIFRBlBQVs5+0FFvY+2qGElgeUIdrcOecuY9k7u7ajUEDBlVjo4p9KDNjuRexn + EJAKK1jFbkGFVQhCkOU+bm/pPNxY2ZpkQozlnkqEkIPkICABJMiyCm0JeTHaPReeONM8/IFf/Z/+ + 5NlP58m8oz4rKEJVF1lyriECQN+jaS6/4xOSylKDVEJlkVAAREGbR5PZyb/2xu945YnXxTIZx2O5 + rwEaSLzB4a7FxsbGzs5OrdX6wuyKoM1ntrTpJiYRCYHe/e4fed/73r3f5RPRdDpdH68znKxZMp+N + 7CEi65i7bi/MOeecuwmFEOy6lB0T7RKm3bcMWqumMSReH+7aOudeDDHGWqtlWq9/zVV1PB6fP3/e + Bu5YtZ0DDIu3EOvhD/u+t+eyswB70tFoZJ2iL6T3zznnnHPOOeecc845525OApXVveXNBiRlaByN + IRHNBu499dc+9q+fjbE2G0LLR6jlRZNNYhW2XwA2jliIdG0q7z5WiAAgrEZUKlBJKgsHItWx4vhi + dt+sw3QXOzt00QxwG0plI/sBAbINhlqtAwM+QtM555xzzjnnnHPOOeecc865o09ErDBiKcXih1U1 + pXSIq9S2rYjY3JMY43w+P8T4B1uNVeFsrOdSuKuwDTV8qJiZiHzeonPOOeecc84555xzzrkbz8rS + MnMIIcZmOp1+8aGH/+7f/c9EwESqsKsk1pV9pYXEGC3T2nKthosFQ2qJFfiyGlx+KcE555x74fx4 + alhxUQSpEqBMyrIA58SLNi02t+TOcX98tNiayLFUWs20MdqatBNmjpFrzfP5FFBWm/1NqyUfNmVr + fimpkghLDn2Xulk7m7U783Zn3ky7NO9SV7hUUiEoMSwSDLx8EUcpouvCyf7rKyaqNaVERJILwAzu + 5v38fNf2m2m6Fecbx/nuSTmp24H7JPMaxCbrk9CQZ2LvmQ+9cO4mZieeRBRjFJHFYnGVHMxaawhh + eICq2tmoBWyqggg/8RM/+fuf/kOVo5inebMbSmd3XXfixInd3d0Pf/jDv/Irvzb0HAwVvC125yqL + 6rqulDKU4x4yrYkopWTv3dU7JZy7rCPUBjIxRitwb/FU9ik/7JVyt7zVF4HU7qtqiq0IUOK9J7+M + +zRuJ6UcMCZ2yB4mXTbGC3oe8eNPP0JRUtv0JVt/8Qt9HdeZMCOEoIoMkSY/+NQDT00fnfO2EhSM + qszggFJ7JkBRs5DQmFB361vf9Dbd5c1moqWSRgCgAsqrUxFRqloFKkIZVIhrJDBCEGZmZH71ia/4 + ijteWzsNgZkhed/bv4o0DXJVIZS0+ORnPyET2c1TCsuu/BACIXW9jpox6lHb/gAgpJLqo89+iZIq + iYi1A2QVx76HBXxBFrUAogQl2NULEqAiUIQQKaPgjq07uUQpMmoaVe1rT4FX3ShkWdYWDKx0Qfqm + u7xlEicDfNHmYoZ1PSgpkj7x3COVsxwo1trO7feWTyDSyEEKnzx2J3PQKqp6Ozbrh+2pNHTxsAIS + tiYnIiVGUFUtVQMOsDdXggKBiClWkaKZRvLI0w8v38e9LyQPZSGdc+5KbDduOxYrCltZNGqJdUFZ + RjqXaQ69NjWHrLQ8oAt0uFWoEK/fFAwKhLA6lPNF53oWfK0WiE0iqBVVkBEgyEILUBZCVumoX7S7 + OxuPfvLRX/vZj/6jh6Z/XCa5I1EOMUYlVJWYwAEASqmjEUqxBV/0QgWEysgBfRBtqKuQjM26Od49 + +TUv/+avf823HKM7qIu1ROKWuIp2vgt116Lve0utsxmnAKy/TEREhFgVlRm11iby3/mu//inf/p/ + bdsAwmgcoSCAQ8DlEvXWUzntZ87Zlj88nohyztYza120648f+l5v4Pa43a1v/6N3Wu2cc7eLoXzG + UE0DqwMr1o65Hjfr3K1q6I0cvuZ28Xv4X7XWodk2XM8ejUaXLsR2HZde81bV4VK6jcJf/421262J + Pjx+/W+xatVbi9Ea7Ve/Nu+cc84555xzzjnnnLsN1VrXL3Cs91uao3tVWiUAwTKkAbBAZawUBKVh + bRImI2yMHt0cPTdKC5WWwIQY0FdQBEacVS3ZWgiVUXk56J0uMyjoeRFWc3aFKAfkWEuotSpz6BQT + 6MvOnvn4m78JoAApqJkEASCgCBRBwcAwEFZskQS6dTOt9VAd9qt37iD0wiFbw3Wf4VNda7VJm0d3 + 1+2cc84555xzzjnnnHPO3eps6jcAy7TGagLIYbEJKcMq4VDLVdtqDJc2DnfL3ERsQ6nqcCXILwY5 + 55y7lA+Jcc4555xzzjnn3Iuty3W8sTWfz4koxmY2mz315DPveMd3L/oqilqtPiqAZVi1lcYKlrcB + YFWty7q77b51aAxXVYZHDnljHtnjnHPO7ZddMYgx2gxE9Qi8C1hjg/aydqgQSmANKqHWjdBwV5oq + jVbusxYdp1HOtdZKRFkqxbA3DV/pQHPSD450PYljLyFIRCytQFVj4vliOpo0z+489cTiS0/pw0+W + Lzxdv/jE4vPP6WNPbD9Smr6EUnkYeUAAQcPaNPejSMCCvVZlzh0RMUdUkFAT2pabUGsjssmJ5zkK + WgpRlEkZhVGFANAqzYRW929NQ2NbVUMIXdf5bsDdYobp9kQkIlYCeog6Huo8r/9JrXV9bv5yD8DL + wwEz+l5+4Af+wXPPnSYEomVlaRFpmmb9Sd0BVEubEjDHvisPfeFL73vf+5khq2BTo6ql9ldZzhCR + Y29uzrlpmlLK0NS59I5z1yg+/0Ocu4WR2P6YFXVt/2lHWUaAxgltjmmyK2c1QHFxlvA+nkqxzMyG + IKCWxc78XEUWNBbi/oJfzPUnWrq8mJzYeGb2jB7XTz/wycwLiQAqlEkiqChBrPiYcgRp1hEmr/yy + 1941uXdSttDVFGJWAVipyvJEjlYBtPaqVakCAAkLAOTaj5pRKMfe/Lq3PPCpPwoTJsi+D3AEJgjA + ykLS0WKnnrv/od9705d/k+TIsYGU0temaVLUvmTVeqTODMkO/6izOp3KNCOLFiKoriUaK4RAClzb + x2d9G6bQNLENfeRlU0+JiJbV3vgKiZJX+r0DsNdFogQoKyAkQQEVKAeQgiq0Up32u4XKC9uYrBC1 + Cn2irAia2jjhtc/wUdyn3BC6/OLDtnDQpo0TkhgosgoOlCa+XLIqlNm6kkh6Wmz3Z5Vk7bjAq59y + 225/59zVqe24L/e/as1A5VCAwqyEWktHWisaoAYKSsrKykREYa3Sos2iGHoNEidVVSXrHrV4QSKq + tSoToLrskVAKHAL6PI+JVdDVPiTVlnbq2ae7h//P3/ilx3Y/98zs8dJKSFwVWhD2efqoQK0QRtPS + bFGPTyDbSNPJa7bue/vX/o3jdHd3vnINFINqoci1ZiG5hXuu3Q1nHyd529ve9su//OG///3f/6Uv + PQYgBC6lgpYdrHaFyc4BSylN0/T95TvphrO2IUIbq29frXUY5WO/8SuUNwwR9X1vHdl2cn00z6+d + c845525PzDyMVFgsFqPRSFfJ1ovFYjwez+fzIfraWtoARCSllHOOMdqw+2s3NMgB9H3ftq39PoRQ + SrHnGiK3bZWu38t1zjnnnHPOOeecc87drGyGaowx52xjCWwiVtu2XdfZdOVSSozxKI4HIEABQSDo + 2qqVeR/HTQfMRLdCS6PRX/83//fvfsu3nqQyP78TGNxg3KLv0TQ1XviabHB4UOH9X4JnBUBkI56V + lZCDsGoilL6MJ03OedxvvyQRpnNM2thyR0G0MBisUJCgCSirkR8A9NYNtHbOHYyIhBCIyC4AhRBs + BFGt1XbadkHKdt2HvbLOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnLt1jMfj7e3tyWQiglLK + ubPb3/3d/+nZsztXerxNSbNiXFZWK4Rgxbisnu1sNrPqWz4JwjnnnHM3Bq8y1FYEYJAQhLVCQRBW + kBBptfAfpYvyI1YBZKtFXvi/XvRwK1LwclL8noAQELJQqf14PB5vjndm5z/92U9+4sHfmPLZXHdH + o4ZKpEV71+gV/8l/8J/fu/kyzm0YkoYUIF6lPR2VfC7Wi1/mJYZVZSgIYFWgrjKb7F1aJX8vE7WG + 6KZbP4nMMwvcrW2of2JVU4Yod/u9zbW32s4ppVLKFb4Ry3144FBrDYGeeuq5H/zBH/yZn/nAqIlW + eqWUMp1Om6Zh5v0Wi3brRqPR+fM7Gxsbu/PZ933f99WKA+ylrP62vePT6dSq5aSUXoT1dbcdDwxz + bgijFSFhFWuO11oDcVCehM1Js3HgZWM97lQZFmfIKMjndk5XrRVKIakQHaVMZQBKoixKIiBu8Mj2 + F/70iT8s6GPkyqIsQWKQWBnCgHKQpBqStqkbf91r37wVT4YaVQRSScG6XKDtdkhBq7MeWZ69CKkS + BCQVlRHSYvyXX/NX7h6/ROYcY8r7P4tRJlUQEIgK6o7ufOqBTyzS+YUUUCKuohlgCm0pBeHI7Q+J + gpLs9Od38vlCuaqEEOzzKbQWony5v9ULK9PZ8gBSJaLAypN20vAocYKyqgpUSQQsyw8qkxIpAH0h + McC3IQEvv+SrX9ilIGu1C7SveWexK5QveYOuiZLVHlxihYqScqB23GyxMtZOGG43q5fNw/YnADVs + tMcYIYDZwl1xwOaPqpLtqxVKkqk7Nz29/hUkZVL7ah65/Ylz7uioLJXFemxhXd7KQUDSR8mpltB1 + rZSxYqPGLR5FJgokJEpaUauWXPtceyWxG1hp1fdLgGahrLFyo7GhFDWQslZEDolDJCYFVKBVpdTa + hdQXzGdauqQ74+3Pzf7gV//gZ/+XX/6hPzv7756tj8uE4qQBB1QiVRIlBQBd2/2x7jVLZNmqW95I + eRTbSWpnncaEvIvN/sSrJ2/8rm/7nlcef13oW65h3E5QSi09IjTcpocw92ISQETLG7/qvl/4+Z+/ + 7/V/gYBSJaXAhBijpVmrqohYLvLVu0GHGGwLw2uaJsZof1hrtfA8C8m+bZtkh8Ia26UU2/hDp7lz + zjnnnDtEwzAFCxIA0LatNb+xCq6ez+cAbLS9Nc6HBIKc82g0OsAwhRACMw/POKyJDaQgor7vrfVe + a/VMa+ecc84555xzzjnn3DqbtGNX/wGMRqOu67DqYIwx9n1/RMcD2Eopoq7GoxPiuAHQZaRAFQFF + cGLzi204q7FNTdM0yJh1aFr0HajBasywCmy+6vqi90OZ1kZW2q+E0LQNgPmsJyhx2ZD5h97yVpyf + Is8X6AtDIxAAKBRY1ChoFBFgz7R2zl0ihFBKmc/ndgEIQNM0fd/HGBeLxZB4HWO0wfzOOeecc845 + 55xzzjnnnHPOOeecc84555xzzjnnnHPXxXzeNc2oVmXm7fO773jHdz/86BNraRF8UY16i5sa7ocQ + cs4WM2ZFsbAqrJpzvnEvwznnnHO3tb3mihCEhCGswquUCV7GilVQTyhscTyWhWG3IRVLmZWH6Dcl + OVgY04GtP501sZoYbZKpkirJ6elTs/RkPv6s3HGmmzxZj5/ux8+d7h+jrVw5C1clAcAKUoYGHLH8 + OLNKWro0XHwZIk5qNwYAKoRCKvbL4WHCdS3wiqwsAK1FjdyqrOGtOlSScO6WYvEozFxrBdD3vc2y + Z2b75A8R15d8Afb2KiIIIRSpClRRBX7rE5/8qZ/6x6VI2467LqvSxsaGiCwWCwtncQcggu3t3a3N + 44t5/+53v+ehhx6l9QItq0et796vsByxQgrD25FS8h2cuy485sc5Wf3c2wsTkWghYqphRO3x9oS+ + sJMeUkBZicW+dARBPrdzVkPVtcyGF/IULwoq3NB0vhu34u997v9bpJ1eOgVWKdQghS7jqZmUSULS + 8anm3jd++ddSF6UgRq6S2U5OCAoWEJSXW/uSraokgMQYa5ZQmi2c+NqveDPN2oBGhxzsa2YBGVwV + opxiDv0Xz/zZl849mDlXlUBIkYuKQCs0xqO4P1TVs/MzszpTEmv/Xfnwb+svaz8vZi1FViblyWQz + UABsgUoEIlKCWiSzXnZreEWna8TLjzYtW3jWQLdP2qLvFv1MWF/A9uS1n7DsdtY0GR+z8+AX/gJu + Rpd0czCgUIagTZPEkV9AVUO13jEFUYAQEQlJjeXs7lm9KPddL+3Hcc65SxCW3bsSWaP1wkcOoBoT + OEhKiZRrrrmXIlpEK0FAGhjMgVPgBGVCGG7QaEdwBjEzSEBCrCESB+GoRbte5lkXyjkk4UaRcg3d + jHbyaNaPdz937rMf/Pgv/PS//IlPfP7/wt2LfjKvY6mx9rmWLEQUY7RUsH1QrguUqbTASHncbd2h + L/uP3v6Oe0Yv787n2mkT2xQC1Z5VBJz10uahc9fB5ubm9vb2a17zml/5lV9529veSoqSq8heCrJ9 + tvu+DyFcpYypdcZZh2ytNaVk3bI55/F4bKOCADDzYrG4Ya/O5ZxjjKoaY6y12hs0hItf6rDX1znn + nHPudmHR1ACshTYEVNda5/O5qg7t51LK0PXdNI1lTgOwyIH9Pu/w5zZ4YrFY2GB9+1lrbZpm+I2t + m3POOeecc84555xz7jZngwFswID9tIvLQy+lDQmwDsbDXdXnoYCNWgdAqKUoYZQQgFIqNo5hsvEf + fvzfPtE2z1DsaugqJsdGZQEFat3Ljua9heHS+U/PazkBebk0JYAVQTFf9Mw8aoIIBNggecVsgd0O + BWMEhczzHJEQCH2PEFCBCsgloz9v03Gyzrk9pRQiGo/HW1tb6+OC2rZNKY1GI3vYcM3oUFfWOeec + c84555xzzjnnnHPOOeecc84555xzzjnnnHO3lMlkYtWrprvzd77z+x588IsEhHDFeqeqGkKIMQKw + eWp23+riikgIwZJKLJXqhr0Q55xzzt22LpcAtoxGtphqgKFRibGKEgMJVvO89/74MuFWV4vhvC4I + 4L3nFUCVdBmdRpRztgmnIiXnjiONj7V92u3aM/1ofrYuZnSGj/Ulzbq6W7koLV8aAEt4pcsndh1x + a9tc7V2DEtsNGgC2d20vj3z1hzfjqz0wz3x1tx7b6a2XRmmaJsZoBZmtmsqQbH0VRFSr2kJUEQKN + x+1Pf+BnP/axj9n5b4wx51xK2djY8CiWAwshBE611o985CMf+chHLTLyAMuxgt5YJZ/C92/u+rk5 + Ggb+iXc3gKzyBQEQqaqyQqsGaY5vnCDRA5817IWtKtsTVUCDzBZTsBKrqqrSkTstIRGIIJdYTs+e + /swXfk9GC2GqVRhCKGth1SAVVjCSLOLXfMU33dO+gkqIxETEKgQ7twyVVpmLyzJnDA2sGM7KhKSy + EFFEimh0Fr7htd98iu8tPRD3/QoEACGocNWQYo51m5/79IP/Dm0lUhVEDkW6ihwCHcH9DCkLyZnt + s4WyRtVgzYhVpLGCZThFv9qHx04IVZUoLFOrwRsbm6oKUUUFRFmVSAiiUJB1DQCwM3Ah2W+m+G2J + obRX1W/ZsaKBiVUAViEoTRfzosKR9rtJL4ltHp7Vno02xlv2PbL88gO/jJvWxZ1Ty7qKGgKlUTNm + XUbjHGzTWBt+rz4jq0bZmZ+3ThYSYt3Lg78Nt75zbh8IhOFwwdY0UnAatXPpp9Lt1sVcSkcooUUz + Qmw5JnAAB9FQhXOlvkAQq4aqUZDsJzSpUmqZYq3oFnU2LzvzstPJtMcMbZWmy2mem1mfZgve3q6n + n+2f/tOn//RDv/lLP/6//8g/+dc/9unH/p+z8dFpmp7L84UgV4jYuRgTBUBKycsXoXZd4ZIbgFV/ + tLVAGk1jnZzEVjw7etX4jX/vb//wcbo39G3kFGOESDefcdCmDb3WSnS5yw/OXSsFK1jXj8UkIOnn + szaGbj6FlH/yMx/4b37gv2SAgKZpUkpD/jFWeXtXYv2wAIbRP+PxmIiY2Rayublpl6zsAe7GSClZ + pdqu61JK1m9uveSXddjr65xzzjl3uxARa2+HELqus0ayDXRomibnbJdG1nNimqbp+x6ARQ7g+Zro + l0VE9rfGwgxUdT2xwBqQAA4Qm+2cc84555xzzjnnnLv1WL+i9SJat+FQBMR6KZnZhgdg1Z95pCyH + SdgI1uUwHgbAkQBAlIGWEpCgjM3Nb/zEvz3/0i87HZrYbHXbCwDtsSQKJZCCbVzQ3uCLg4yIVLAQ + CYEhQSVVihXjJuYiLJoImSCzxWty+Tdv+fcwzc28a6Hj1Ox2UyXBqFmFYy9/qo/MdM6tGS482V7a + 6guEEIho2EsP16oOc0Wdc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOXfLmc/nKbbdIn//97/r + 93//fgCqqFUtAGL1qFX6IwmAWmsppW1b+3/LavmqtVabvGbTIoZZbM45clL2oAAAIABJREFU55xz + L7L1fB++8BagCZoUSZEKxUprJfdJhERJBCyrPyFlUl7GNV2QEv0iumybKYQgpVoTK0ZGQKXS5bmk + ultQAngDPaGruxplvDleraqSCqkwhPVFj+U+ANb1G++lepNccFs9vBJXiqJJtBVtBY0gKKIFnQkg + xKxgCNs8/hvylh2K9fQuq4J7iCvj3IshpWTFnC3kOMY4n8+tDnPXdfYAy7e+qDjzRazWClGwx9Sq + 83mngve+972PPfaYfXe6Lo/HG/P5/OJ6zpfZEbnL4lp0NBrff/9n3vve941GjSpKkcvXx15LQbwS + e0/tLVuvtODcC+FJS85hOB9QgqyyY5cHUSGuOLl5AucPsFiGMvbSsvfihwVQkkU/L7UqEY5qDC0R + ida0Ge7/4z861z2zCLs8TqVIgAaBcF8ZUFhOMilUMOLNr3vDW2QnjdCESKXPgYhUhLiCAWYEUmUa + TsIYEFIwVAClKsTalTZNSBC0ffnGq77y3jf+1rOPY0yqSte8kRQgQiDEDFGpqoU6NPUzX/yD73jj + uWPNHXVHQABVBGGglBLpKO4Sz+ye0SDKqkBdT+i7YoG2y7QPdC9qWSkQFJuTDVSoKghCtjSBJiEE + ezeIAY982weLUYew7G06hRXMEkGA3d/Z3qUDRKWs3m9Sezd5eKOJ7Lyfx81Y8/IZr8PruampbR9h + MJSlYnPzGLahqgQQLd+sa9+fwL5ECiLG0O8QdXu+LewtcufcPhAQlr3TIGGAhUS59Gn+qQd+u+/L + qTtecnLz3juP3TsKY67K4FwLrbAdTpQZtk8LAEiHXRNBy5Q6pEojogAEVtIiUrWAddrtnN85e/r8 + s6fPnn7uuaeeee7ZM7Mz87BYYLeGKTazNLmi19V+MjKYA4SlQqUWiLWvrpESiIRVNmmjP51ff89f + /q63/7270ita3WgwklJZRaQyaQihL6WvpWlGUoWPXKPY3fSYOcbIHJm5///Zu/cgybK7PvDf3++c + c28+qqvnoUHSaEZCj9HoBeK9A3qBsc2uDbtrHhthB0Tg5RHEBgibRRIrMFj22kbGNuDFwMaGvcFK + 2BitDOvFMi9JGIGMBGgl6y0NQoykGST1aLq7qjLz3nPO77d//G7eyn5Pd1d1V3f/PlGTk5WdlXkz + 8+a95557zu/bl2//9m9/yt33vOKHXpX7nkMAUEoJIYxFTi9yaGb/VGvd2tra3d1dLpfYOCeRcz6a + R3Y3t5yzlaC14Vm1Vvs0PaHQOeecc+7oaNu273sbNA+AmVNKXde1bauqljTQdZ3dp9Zq4x7GlOvL + ctY4ITuhzszW7Gfmvu+bpimlxBjt2Q/uhTrnnHPOOeecc845525IIsLM4+lmO/WvqqWUlFLO2eZo + EZH1NF7v5X28iEhQIzNgfaGM+TZiwPHFH8rqhe1ka5UjYtvQ7uncpGFcKgE2YlVJcAVDIJQVJGSD + mQBoEGKwEHIpTAArxyi5zGPbn/zcXaVi0aOdYdHRrG3aSYc6YQC8P4p5Pew8rH91zjlsjJm3E09W + ucnGgNmZIBtWZNev65I655xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+6mwVAw8w//8I+89a1v + IxrmYDVN0/flvH9gE9ZijFZcy4pfbVbHijHapRXIuoavxTnnnHO3JBpidoTOyb3SIVXN4tWUICSs + lpMplmFhAVh2Oaa8rck6WkmgFwxPvVobjzwuDKkAbI0uEJXSlVAoKhFlqaBYBRTQRKii9Ki1Lhar + 49Eyucfp6wV0aIt94NaZ3PbLcItGpeGTJWLeD85jobp/9/ExFCBR3DAlFC7LWDhizPT1CAl3U7JJ + 9yGE3d3dra2t6XQ63m6VUpiZiEIIFn19XqUUZhaRWnXMW2maeOJzO9/xHd/xpje9CUCMMYQgIpYM + ck1e3M0mxnjy5MlXvOIVXVeBOpk0qy5bhYTLIiKqanVyuq5rmsY+4sNYZnerOYq1OYjI9+DuWhHs + x8Tuh09bgxLKkbgKHd86flnppxdCSuugawDSdctceoBVaUjRPoKiPrZ89D0f/iOOtUIQq6hOMliR + A8SWWgEISFTp/vuef9fs3vjYlFOotYhIDBGkgCgaqO26xh0hA4BGQKAC0joExDa1ACQNt3WlX3Lf + A+88/bZTuriCDVYAWJSI+5olSI/y6O5n3v+Rdz3lvnsJc6kaJlKpiBzFfSoRKXBq56SyKoEIUu1G + BTCuS0LjUfoFm2vW1Nv/W2AymdktIChEFYoIAiGsI4EBrOOuvQLc48XrAPvxSFwAUgWDSBkcdvZ2 + Q4pZ5Wre0yFwVAEgEFRVKmJoZAXsZzHemp/a2dvSSEGLbs+3aGfoEtMLZ8JfhCqgxBxFCoBKYJK9 + bu+M51YSGpfBD5+cc+fBChIwIMoKFhLhkqMo7z14+j2f+fBDq1Jz0SbMJ810azqbpvZJt9+dNJkm + timlEBKDUkoAExHr0KejSqplr9+t6GutXc7LbrWz2D21t7Podk/unVKqykVZwFVRSpS6vcrcUQIz + iShVMBMLQ0QVwlCu4Gp7FaYUmKvkzZez4YztnnW/swrpKuStBz7/a7/hJX/j9nC37IUCUulCVYI2 + MRBxUS7nbMCduwLrBhYDYN1fJ/u8ms1mj33u1Pb2dgg0n0//6tf/lTvuesIrX/lDf/7pz1pHaq3V + ukov8vhW6tSi9XZ3dwGMPbBjGWsRaZpmd3c3xnh0j/JuLtZDOp4csl+929Q555xz7rpj5r29vfl8 + DqCUsjlQ3s55t21rv/Z9T0Sz2WwcwWDnaK4g0xqAjc63nGysY62JKKU0mUweffTR6XTqY4mcc845 + 55xzzjnnnHOb7Py+zdWxzkMLugbQdZ11ZpZSrDKIiIxBqkeHAkRy9hBKFVJRFrJ5pBXLXKfTFndu + fesf/u6bv/Qv3YluO3dS+5RQKgLtzzcd594q2wDKx/uShVAJADEYqCAb1UQMDiSSsENaVmXOjSzz + 9ix8Xsuvf+lf/tbf/31sJz21h+PHKmgPZcLNsDCMeiWjPp1zNzkislJNtda+70MItdZaa9u2Q9EB + IKW0XC7HmbfOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHNXL4Twoz/6Y7/+678JQEHEROCz + M6035mNZrVSbnmbz106ePGmT1Igo5xxjHGve5pxTStfy5TjnnHPu1jbOTxdgvw2jECEoieVgxDFh + idTi16Djfa9TQI/yOtd5n4hEDmCqtVZUCUoBqWkJszY1uetXBa1iGrbatK0SWSMrsTLtB3L3AN+A + k9tVSaBsOWZKxcoFVA0W/A0SHd6uAPsYbzFjisH1XhDnDpjlpFhplK2tLQCWdhxjtIn28/l8b2+P + mS+SaQ0A4CZNVt1CRABqJ9Ncur4vTPiTP/nkK17xite+9rVN03RddwQrrtxAiOjVr371n/zJxwGk + plmu+hibjY/mjH3xRaiqVfBW1clkYlE7h7XQ7hZz5GKtxx60670g7hZh8b185uENqwoRQ4WIoDxp + p6pn5fZdBju2sgMrtSBtAsCddl1ZUlJAmINovfjjHACyGG+GshIDEOqHYwUl1ri+KgAEUC3a1D/9 + 9Ic+fuJDery0iXZXJQUrMLbegSkDLFxQdVK2Hnjuy+qeziazUnMpJaZEHLRUEEjt4Ye3+qw3XWhd + AU150rT9InPDzNxnPOdpz7v7g09bLnckrpQQxJKDy0YsMAOC4UgItP6wigABBRo5inQxURHltv7x + R97+oue8JDUzdCEEKrVIRQzpqKXQKkFJ9lanlTO0ggApxNGOjZXEAnRZh5BjVgaEFWcFJpOClCtV + ABU1auLatDxhZrASMcCqqlBWEKlFjG88ALMn9F6SspJAASogpnUFP/umVCgDxMqsXb8golKQLmsP + fOb2h1TGjhlmQJm0BraeDjsYvuV2o/urvY6tZIZSCAGi03bGCCokQUmhetmh3/YnREKEShAFoXZ5 + paQCWN8M6JZNE3fOPW4KVpCCCTL0CIgyMiHO82n9bG1RiDrsnVY9UZUzfezk+4MEJrJDJBUiQFWt + imxY15JVVQgKCUcqVFUVRBygRALNqJgWsFYUQVVUJTBD173irEoEtSaVEiOEJtaaiworKEAFIiIC + st0cgHXzQHloJhCGHZa11ki5ye1xOf51D3zjy57z9XF3G4swC1NBJZbEqZY+BcpVci5pMm1V+q73 + JFp3GFJKq9Xqtttu6/teBCGEEOhFX/nAL/zC//k93/M/ffzjD8UmSsWYn3ehxyml2HAfO/dgaXnj + jQAs07rv++l0WuvhH985ABg+OGa27EOskwu989Q555xz7rqbz+eqau1nrNOsRSSEYLdb+osNoO/7 + 3obUW9MO64Dqy33SUoplzwCwAAOsxw8tl8vjx4/nnFXVntQW42BftXPOOeecc84555xz7sZlYahY + n3EWkbZtrSfTElKPZqb1PloPN7VlFKEwjDZVZYo8SbFAJbXNlnztW37rXQ98zTQ1VGopeTIh9PbH + AgKrKkEJouCDGBhJCsTQ9yXNERjU6Sy2ZbGMevqZcRvLPbQTum3OwKout8IxxTCFuZ75mgAbLH71 + S+Scu4HZBMu2be2UkN0CgIhsKqxtrkVkOp36+SDnnHPOOeecc84555xzzjnnnHPOOeecc84555xz + zh0UIfzcz/7cG97wxq7LKYU+V2au9RIxDjbTYaxhaxWY+75vmmY6nVoCWUrJM62dc845d23IfijV + eVKuzi3Tr7SOsVICnRFpJTTEJxFw5UFJlrg8hg3t/8qACAkA1ggw6RntLlJbNrKp6craa6aigWNq + wwJ7q7yqtdZc0rTN2seAxLHfzbTaqzUjsj0Lq8gQBBahAtpMPgJIhhTt9aW9ahlTwfVw6+Gv3wH7 + zd4TWPCcfZi8XobKBWNEt0W56Tlv7/BP609RD6ScwBGl6rUJ3E0uxqiqTdPYnHo7qLQCKdPpVER2 + d3fHzI6LlHpmxqpbWNIKgL7vVWvTxNyXEPHv//1vPPDAV33TN/21lJJItCiQfeMW0l3Kv/7X/+ZN + b/pNADFy3/dXXAbBYlnGIt5WgtvDntyBOIqx1iLCTGNNKNU6rv3OHajh2ECpwBrdagchAAQoolLR + c4ihmUaKpGdG/V7aGLTMAIgKgMoAGCKBJxXdsp6SpgenXHLicLjrOYlQZWVWQIPIRLlI6IR7InCN + tYuT0IJq1o4j9aVokhUv3/7RtyzaRwOXIJREmbRjEMCCAFKaVM0lYFr4uce+8Nmz508wy7XnQMqk + qqokZC9bgUoQ0HB8uj6+KeM7SxpZQq25bWLRvtQcJ8KVX/r5X/u5d37mse1P1KRtmK12Vs00ZpRe + ECNxtYhuUVpXLhNmRYDUippiT9JIQBYmKMrHdt//vpPv+sLJ9vH2CZCoXWhnk5zzGAx5FNgWMFPe + 6R5DzFwUss7YUFVCtbh0y7MmAXgz2boSKwkNmdZgBULIKBoUCu7i8XQnRFUrlJgChsNdGQ6PbQGI + YO+JeiLc46AsBFAGEBRQKFEFKxMiqyqTFlruLU9V1HAFu9/hWyIECWBSEVp3zFS5bdJQ7ZghsAj5 + W3GnqcOXAoxCgCCAILlvWmoRqRDHULkGVdbLS2q3AFqCVllaoUZiqNa+X+RaOVLpK5EiRC1CDMhV + 9Jc55252AmYSIYF1sguaDABC6EkVUNKKCpJKEhhAqcobVVkvuE8m5aGY7H6FWmDYPNpmT3hoklm7 + DKhC+33QEGudkSohSwYJ0dDVTAoiBSorQ1lo+KPKogRQSSnmZWHFhBvKTKtwfHL7500//5tf+j33 + bD1rsmjqsm8CAjoSMAcRIY4rARNSjJwzqbRE9XznKpx7nFjP2cMrA1AFU7Q46jC0fEHEz37W03/5 + l173gz/4yt/9vXcwoFIIIBARqZJgvw8OQNM0fb/aPGQbe103b7SeVhstpKo2QmizNKqXST0M1hs+ + vrE3faD1+EptzBnW2d7XdaGcuxVtfvVKKXaizs/cuMfPGgy2VT+kNcfWUttf2IlG31+4a89Wchsl + b+v5ZuPtrJbbeDaQiJh5bHKf2Szvcan2j91588p4Z7ti6dpjtLY94Gq1mkwmmw8SQrAt/OYX1jnn + nHPOOeecc845d3Pb7Am0PszNnswjeT56HFlkI3/2b0eI+zcEXl+ngq2mSTjGD83T5y3peKkxoBYN + w2DJygArKgCNqiyo/LgHXTLWk81IWAlQJRvLVCGSAtVOAQoQrX1gbJdy3+lP/aev/uKXvfe9CCGC + tzFNiipaAylEwAwEC8a2xaD1i3XO3apsW22njYyd8RnHcdnmOoTgg7Wcc84555xzzjnnnHPOOeec + c85dlnGmoYgwc62Vmf3Us3POOeecc84559zNbcjRUGDIfYDNYxKRyWSyt1illJSDiOScf/03fv2f + /rN/bn/Y54qNUldWitYuU0wWX23/Ok5wEBErrmXBY/aHbdvaFc+0ds455w4KEdmMw3WVS6V1Fp7D + fv50HWZuD/kXvPkGWazPOs0aiiGA7cxp5wIMqdgMOWMqOJ1nfvo6neysqeICVQBKMtxn/FWSMJQ7 + AFJb1shaLMvCwj4ABAn2gEKiLKQIEqGqvXCISCxcmTrJuxxRFFlLisIT+czJh5969/1FMUGYTJpl + Pp21p8C5SINEkI3UIRWL6xjSoEVJLTtahtdziPV+ZSMv3N7ASigMJRYwK0WFqDKKcBUuAEgiC9nS + MQC1ULP1wkLWH4ConQm9ScNorRFOxKoqIjHG5XLJ6zX1vKzarTXpcUTrSzh3ts0hDZsHlV3X2cGm + rdUAaq1EZPWWAQDSNI2VLrfZ+n2/sr/V4ddChFxAwN//B//wOc973vNf8FwErqqWC6Oqbdvmvg8c + c64xsdxyu9rNrYTtBodNDBGVLAAmk5mNRXnf+z7wmtf8fQ5BRHIZ3sBS+rMf8sy30MLIbbtk26jN + 9sz46XsygjsovudzDusvggJntMQJQkRCII6QKxtRKEoCZTuwUhJAFIBGlSBUKjrVqqpEKuc7oDoE + AjBZuPWwhFqkgihyEkEtPUlV1ZBYG/n4iY999DMfpm100pUikzAc/1EkFRASqpCCK7iPX3bfA3M5 + jiyKagMxQwilVihDmVRIZeO4kceYcAXEEqmVSDlyyLkHpGni3mpvFufPu/uFt+ldE5qJYLW3mkwm + tRYRNA2LqMWTA1CC0Dradh1DXoJUO5JUBGGgrNLuOz70NtrW3bynQk2YSClHsPtAoEKy7HcVGQAD + zBBZh+dZtu76ZSqJgoU26tGtj/os3FqgSgICkSakhpoz7qlskdgbP8PtN+vR44GT4Q0VxpA3DaAS + iULs8yEFaqVOtV7RM+x/EGF9jKuAEBMRr79Rqqqqt9z+/Yzt53DOT8EKZghBIkWIyrqq4ZD1flnP + oOsnIlHa7xOqWioUTGBSrSA5ghsT59zRYQ2VSlAeWiyszLXhGu0OpNbPG1mjbalqkBxK4dLbZexL + 6M97meOqj6sc+hq6Glbjj/BKuBfuhYpQ2Wxz0tAAYIAFDBIlqSxCorTRV75uN7IKIKACFJAo77fr + dk+XNsQ5z9NqOu9uf+rk2X/h+d/w8m951dMnzz7e35H6yTRtTdJUlUqp1iclZCnb8fDfeOfOSwhy + x+3Hf/7nf/abv/GvTqcRAmYoVFQUOpvNxglmOLMK6uNERMePH18ul+fWUXXuaqxWQ5++jU5r29Yz + Sp27Luyrl1Lq+z7G2HXd9V4idyPJOTNzzhlAKcUGOR1ga6HWelaI7+YV544mi5FW1a7rVLWU0jSN + lXuotbZt27Zt3/c2POKK12d7FmuiTyYTy8+22yeTyXK5tOt2N6s6AWDM2B6Ttp1zzjnnnHPOOeec + c+4o4QuMGuWNnwEBWQmhxbT573/nLafa1IUoihAAqPB6ru8w4/dCj3zxpRGGrEdp2qjuYQA5K5JQ + EjDsFmqq3tn196LHqZNYdRCkEKEIRDy8hLP4EE3nnHPOOeecc84555xzzjnnnHPOOXcoSimTycQm + /I4RRJ5p7ZxzzjnnnHPOOXfLatt2b2+vaZoQQtd1McbffdvbXvGKV17o/qo6n883a9Rb1dAYo3U3 + WRhVjLHWamXonHPOOXfY/HTPeShbktUwE3ydaW2hS0Ljz7llPxkWrqF2ecZMdjnf3PbzOfdf18sz + JGTZryC7rgyqoP2YJ7KJ6mNuqDKtc7WU1Ga5s0U7D7cLka5ziqEE5Vx58enPfaJST0G6Uh47tVeF + 5+3Wctk1sbWloiFtDQAxwEpDNJqF2q1zqYbQkTHh68B/wGMQGytYN+fyW2wZBwlBYhBaFwqg9ce0 + DiAbPrjNGzeCyW4B43bgIolSY6b1eH8R8XrO7gY1BE7nXGstpdgBKYYc5TKZTACEEPq+V9XNMOxz + HgdEpMDuXvd93/fyz37m0bZtrWhz27YppcViwRT7rqSU5MoS+W5GzNx13fHjx5l5sViklE6cOPG3 + fuAHzgqlNhdvqFg1bEuttj/31Bt3qG6MCDFPiHSHab8BfX7K1tt7JY+9/0eyf50EgOXa2oZeVa/h + ISyvl6e3gy5SYm0IIUSSrieuHHlVVZPWyepdH/3DR3dPpCegWm0wHl4KKakGgEE5KaU8+bzJvc+/ + 7wu5so3CrCKB7H07z/fX8pgth5fBlWS8m9JwTZWYIwoz4pPvuvu+z7//4Uc+OjsWF7W2UUveSG0+ + XyL4fkD58BGvj4JIONQPPvjez3zpJ+5qnlpKTBSVJBBAcqQOlkSKqi4WC2kVCmIQcZYz1hY7UFTF + xdehIeqYYB8IM1+kOegOxZBqX8/bOrxKzBwwZLGsP2jyPaext8XiZw6DHXqNv6oqvFPSOXdRQjL2 + 0kMBDH3uVK09E6EB2gAABaUqJMoigBIueWnPMDY7L7QrqJttJ7rg3cYCteuOaQbEatcqCRQEBDAU + VHke20mZ5sfqNj/hRV/4Fx94/kue0D4pnE5bAuk+VyRxaLvKVRsODUfWkoNgfWKAKwlYhJT1aLXH + 3M3NxvQ0TfPjP/7j9977tJ/+6Z9VRdPEvi9MWCx2MbQiBJCUUs6Xfeag1jqbzUIINpBofNIDfiXu + VsLMMca+7229ssFtm+uYc+6aYebpdLq3t2dn45qmsW/l9V4ud2NIKYmIXdoqBKBpmoN6fMsGtsEQ + to/wnYU7+my9JSLbltZaLdO6aZq+70sptg7bgJ4rWKXH4UHjH1o7yr4mIYSc83Q6BWAjLexLNC5J + Smm1WtmoC+ecc84555xzzjnnnLtxMbBFBFU0EU04NTu218tWkMWyaxNsFDiUMwvAQYVVgM0h8QdM + CAKUx3b+3X/99d/4e28Ht6uWJwxIJQmRUAlqA8GHwec+RNM555xzzjnnnHPOOeecc84555xzzl2V + i1eVtKogY5lym/l4jZbMOeecc84555xzzl1PZ1edXa36EFKMzXK5jKF51x+/+/u//2+XcsG/Z+a9 + vT0rkJVztvqElhkGgIhyzqpqVbAOsO6cc84559xl0wjwWa0fm9Ot1igi0SHPQklJENdp0WdcbmRY + bBoCste/nvmvenZ6kIABC75YR6pBQcUSKxgiQ1hGJQDUQc95zEsRMLgR6YntlfZCe3/28IfqFy9L + 2EppmngWue6e2rnjtjsXi0XgNC4Kg2UjVYDHM4cbN9Jhxg4woMIE8DD3X0ks3EMADhJjlSBMCCpt + qE3l8bNzwEbw5ZDddmFj3drNyrdeb9zdoIiolGIBhaqac845E5FVe16tVgCsQHopJed8ocex4sxW + ieShTz7yw3/nR37yn/2T6XR6fHv7kUce2dramk6nfddNpm0pBaS3akmQs7e6UsEUT58+3TQNkQD8 + 9/7e//qRj/wpAD0zIeriI1Jms5mlYttnZF0K3p/gDpUHhrlbmezHIY8N/OGKQGncZFuyyJVHj5Ed + L529zxz7kW3A4jXJNmOAoAwoqBIKASwxcQMJkAyqHEiJaq0Z+bPLR973Z+9qt5pFXnJL1GCVwQyt + kCLKQZWYalBM++0vevpXbbW3oSKEwAgWSliLjrks51ma9eElKZOSEikxhiZLo0I166SZ5aXKiv+r + F7646Scttpo2rupSExDQ9xKINz89VpBCSQSoBGGwIihge2+LFqay0tN/9IHfj9ua0ddaU7jS5PLD + pKqAdN2SSEFQAtmh9PmQ8oUOU896Zba+XeRzcYdHB3Kwq9vY6D/IB725WA7NAT7g2H6qtVq3gr// + zrnHSQmK9Q8xwEKwdilDGIVRgxZGYcuqvrxuX2GAdfix+GzCGc1QpTN+CqNu/AgNPf2GzuoQt7+y + jSCBgKASRZIgZsYeXvolX/O3v/NV/81X/nd38D3T7q5ZfzwWTEOYtQ2R5lqIY0ipZCHl9aKqEoRQ + iIVI/BDVXUPWVRpCCCF87/d+77/6V/9704S+LwBSSszcNI2IiMhkMrlId+qF2IAhrGPwmDmE4G0G + d5VEpO97ADYQzdIWPabUuetCRCzTum1bOzBvmoace9xCCJPJJIQQY+z7fjwveCBKKURU1gOficga + JNf1S+PcpVl9hxijiIyjFvb29lJKtVaLlK610nqIz+U+eNM0qmpDgmxcBYAQwnQ6JSK7xcLmrccP + QN/3YzN+Mpl4u8s555xzzjnnnHPOOXejI2CYN8kBs62v+s3f/vR8fqKvx45N7WYBVwqKpCBWJdTL + HL902UsUAt0Rmqee2sOpXeSqCkCGcUuKsF5gAUAMYp8C45xzzjnnnHPOOeecc84555xzzjnnDoNN + P7TMIZuu6zXKnXPOOeecc845525l8/k8lwKglPLggw9+13d912pVU7pEXVkrht+2bd/3VsEe64S8 + 1WplNeiurI6Wc84559wBEhKMPwCGKAohgCCkQqo0hEgoowBCZ16S2t1w5g+RMpQVQxIFsJHNASiJ + DD9FqAiJktjT2I+OcR7D3fYXGahCqnx5dUGVIFAlKJhoCBSlmB8y/lsUAAAgAElEQVT53J8+uvfJ + Fe1KKxq4VIphqh1FNKws67xtgU1v3/8hPftHiZVwSJfrBdhHKgQJWoMKoRCUIKyWXBZYA6nPxx9Y + 45zW2RAXD7YupVhBWouMtQq0XofW3aCswPKYjznWYbbizwCY2eKuLx7oZoeuMUZVQPE7v/O2X3z9 + v4kxnjq1c9ttt1n6OzN3XWfxLof/yo6g8xzdp5RijCmlUgTg173udb/2a//xvCNQ9KIbpsViYbXl + bTs2Fpx37vB4rKlzcu6Wfb2lJiipIqUGYOg5wdRXZKiypcpQlUIQqBCHQ+8+VgZEwERgEqCAKikD + hJpQa9XMpKqoVSiRtvXdH37Hie5TdExqJxyYVGtGAiKhFoQUas0UkYreQXd/+TNeLAsiZUYofY0h + iaDkEmOjdKH9GbFCwJv1zoRgR4gxppJzTBMR7faWz3jiffc/8QXvP/HH4VjIlIXADM7gSsO7qgwI + DQe4Q+wi9sOz9x9fpcZJedeDv//iL3rZnenptYJrhSj4aCXMCYlAdB3vqGqJ1GCFrNdGAqAgZb3w + shORKojI7m2rt4+UPQR83mbiJlUdW+cHxXLKmc6ItT7Yp7jRWRYO1j0FqmdnvV8uUijYNlcisMB5 + bPZH+PvvnLsY6z1f7zJIABEqTHaTQHvmXjA0Zlgh633MJS8BkIJ1iKYOQ3FXgAA9I6/aWPtB1stk + /85q7VW2VuvYlFISWbevxkeidYT2U+9+yn/7td/01Nvu33u4FsLxuF1PUdCmFlACsQaWyBIik0QR + BTMgoCLDw7IgQcGol9yfOndQVGvOORc5duzY7u7iK77iK97whjd853d+56OPPtb1nQJ938cYSymr + 1cp6RS/z8XUymZz7t7dqj6o7GNZ1YAd0FrsOIKV0Bcnrzrmr1LZt13V2Fse37e4K2CpkJwWPHz++ + Wq0mk0nXdQfy4Mxca22axhJ57eSuD192R5zFsVsPnq20tdYQQgih73sA9q/WBXcF/Z/M3Pe9Pax9 + AbFuXNVaU0rrzsNhaAWAvu9tLFHXdcycUvJ+deecc84555xzzjnn3E1AId1qOWnmaAJmqw/Mp1+h + Tzz16CPTAACVocokgVFBq/UfHdYQa1aUrDEv79HmTS95yV95//umaCECFQRAAQERAqFCCpgAPryl + cc4555xzzjnnnHPOOeecc84555xzt4ALzQ2vtc5mM5vYCCDGuLe3N5/Pr+3SOeecc84555xzzrlr + jXW/RNu6uBUD2NldzGZbu7uLk6d3v/Vbv+3kyR1m5P6C9a8sAM+Kv1mmV87ZSsDVWvu+n0wmIkJE + Xr3QOeecu2bWdSwBC/e53stzJJAIbdTMV1iEDymg62QK0nWSGANiCdMMCJgVQswKEENpHZGxbt4o + A6jMAmaIWNbG8FgyZLZBNi6hxFBWGvKwhQQQHdLdLNHM0rYZBBAqOFxmhd2KKqjMDIWqVICasnP6 + 0d9/z5u/5S8+8zMPPXxnfPIkNCxNzRJjk5ErCyvOTc0QAuPstpyFc0OBw7gc3iIIWZCeKNtSsVBl + qLAAXMEAD9HgvqKfz8WzY7Eu9U9Efd+nlKwZ7/lf7gZVSmmahogs37qUYql549Goqtp1u8+FVvUQ + Uq1ZRFIKOVcR/ORP/tSz73/W133d1+3u7m5NJ6vVqmma2vel1lzKrVe6eTN5CsCwH1ythh6Avu8e + euihf/gP/hEB5fKyboCNCJLNLZiFFR7Asjt3Pkcx1pqI9rvsnLt2BMTnXfVSSlfyeOfbdJ+1co9R + ItdgQ2+HDTQ+PVVAoIEQVTRxZCkcuEitjDiNp+qpd37wbZh1O6slN1xEAMQEESR7KFLVyoIkk2c+ + 4Xn3bj+TFzFyUtHcl2Y+tRRtS/d5/IsJgDn1XT+dTmsVKXXSzFVIuuWLXvDVH3zTe2u7iNvY6RAV + W02DVSUiofFgcqAkdpgUxDJooTR8JsLI0j26+tT7H3rXS+55akDIXRdjUD1Kmx4SQGvNzCQilrhd + rE2gl3d8TDR87ESk61hrP2NxOCyBfGggAvtNxjGRxRp5h7ej2+wUc1hvXWOMB3qoz4Sxv0sRbCOj + 9vbDwm+89e6cu4CxMaYEgMdeXSGArOMeebyDgtbB0o/n8vzPCFxkE0iKsP5zUgZAwsBmd7gAY3c/ + oEMrd+jMH/Zo8qef+PjP/8ufu+9JL/iy5770vrsmxM1sfpsqSw1drbWshCSEpJJJZBKDiOV5VyWA + jlIbzN1KQggxxtTwcrmczWZd191//7Pf8IY3fPd3f/eDD36MmHOupRSLpr6CGMgQwmq1so7a8ZYx + k8+5KzamMNrK6ZnWzl0v9k08duzYzs7OuJuIMdZ6+aeG3K1nMpksl0tYf52qjTxerVaX/MPHySKB + xyRgADaI2UdCuKMsxphztiD2lJJFSpdSmHnscyOiEMLVrM/2UF3XNU0zDg8SkZzzGDZv38q2be0+ + IYS2bce/8tP2zjnnnHPOOeecc865G5wAmEynECAl3H78W976lj/46q/GTptk2Q49rwwwqYKvxayO + FNtt6Kxf3VMaLPbQRIhga6YAaJj/bAOWBOfM8XXOOeecc84555xzzjnnnHPOOeecc+4yXaiECDMv + FgsAOWcrUz6fz22a4bVdQOecc84555xzzjl3JIQQSpHdxeKv//W/cerUaREQWzzj+e9vxd+IyAoS + jpVCVXVvb28+nwMgIquCa31Q1+qlOOecc7eosXikZ+GdS0lof2q50pCcMSRQjL8CEBoCNYYwamJY + urMKiIEKpY1cT5sOHlkJln49EHtWkAJsz76OURNlGWKeSKBQUpAoeEjw0AYA1BYjnBs1fSlCLKI1 + cKMiRCCgEjR07/roO17wnC+7/0lf2u/tprrVdflYO681axClsdEn63fpPOxVDK/S4jwO+lI3n9py + xJUt6luBSgrWypVUAChYiPkyc81uYqoK6GZe2EXunHO2UAmrMW6nlTdjgJ27gTRNYwHtTdNYsrXd + buWdrQjz+I24SLHlWmvTTFQ15w5Ak2Luy6v/lx95yt33Pu/5z1ktVymlWmvbtn3f37pfFjp7qxtC + WC6XRCGE8P0v/1t9lhQJctnVtHPOVit7/LB8EIs7bEcu1vrcLZRHu7vDdIlmtK17tiFWxZWuied5 + Fluxx9U7EMm1iEEdoxNlCJBWFjBDY6TaKRErRYqaafmRT77/kVN/Vm/vyyoHpqogRRO49kJAJBRd + ESsXbDd3fsWzv7LNcygxSEQjRVbUojE2qkq0cZi4fo3r+N/N1zyExIJVGbXWAFZVEm64XS675979 + wqfd8awP1/+vclFSJZAoiEBjmTIeDpMISqiEoCAFKyph+CAIRKhUu2bvjz/y9i968kvaMKXAAJ0/ + ivz6ISJrb9WKEEFywZWQ9WKrsrUrVMHEOhwyeaz1NcMWsDLuyOz9t4jxA3yasfm4EfFygA9/w9tc + 4a9iY372o0KZiAkMgBTEZMnW3h/pnLuIoKLW3NloFwFgAjD0Owtg/8pAvOhe/lwM1HGbt94eyTnN + HF1HU0dhVut9PrclJMNJAsIYv03KEB62dCQCAUGCpBkVKR/93Ace/O0/2aI7nnH3c7/k/i9/+t3P + nvD2sflxrlG7qpVRqkrhlEAigBKEYBvmoBYA6d3c7trpum46nfa5a9qUc8fMFPnuu5/8y7/8b3/g + B/7nN7/1P3GAVKwDJi97D2+jiKyj1pKta621Vu/icFfDQhYt7nG1WjGzjUXzZGvnrouU0s7ODoAY + o6oebCyxu7lZpjUzt227XC5V9WC35MzMzDb6wdohzOyZ1u6IK6WklFTVzsg0TbNYLGazmf3rarVq + 2zbnzMxXdu7c6kfs7e0BsNjs8Z+scdV1nf3aNI2qLhYLi9C2XG0RadvWv0fOOeecc84555xzzrmb + gKgwMQEgYNLi+NYDv/WmP3jJA8dO52lXoFCIIOgw0xXA4U7T7nOXKKSgs93Tv/IXvvqvvfl38IS7 + qhAHIYxTl69NxLZzzjnnnHPOOeecc84555xzzjnnnLv5Xajux1gW0iaPWwpR0zTXdumcc84555xz + zjnn3DW3GUClQ+AFgNi0J06c+Jt/83/8sz/7FBFiiLmWizyMVX4b62hZstdqtVJVy7S2DqjpdCoi + nmntnHPOHSovHXkxFkuhYfPXYSK3rnOoLdDaripESRVD5PU63lrW/w7SdYNKhhwfZSCM+RZB2aKs + AUAFgFBgyJBcrbCQHxZex49LHbI7QMpBmJUFSUkqA1SgfG6G6EVwEFEk0iLElAilgqmhZd1545tf + 953/wx1J5hKeeOyO46dOnpylqVBZZ4asH2E/Ahyk+0HXlhcWALlA7vXVI9ibYhnhDGUogRgMhYBq + 4QKLZQOgCohgM1DcgYjOyoW9EAu3smLOdu7Y893cjctGPlhR5VprjNHCEPcTM0MgohjjRerqn1XD + 2Q57P/vZk69+9avf+O/eUFQgHImsiLSqWj7LLeQ8/QkAwMwptUr88pe//EMf/ViM3JUrSWIiImYu + pZRSQgjW4VBKGXPKnTtwvm65Wx7JJWtcMfN+lN/lPvylSmhZy/XKHvxK7R/eDP9TYcgyd0ptDUlj + PVVO/JcH34lJWclqNo/LXGLLpYgqqEIUHFFFY6SQm+OTJz7/GS+UxzRSqKrMISUWQc55Nmsvlcgy + Hoatj0xJK2o7bbplnziEGPpVHwJP2y2W+rx7v/CTD33kVN+lAAa6Ls9iU1WGQ1M7YKP9FwmAJZIy + gggJr/8pRFrm3Qc//aETi4dn7W2zOKu9Eh+heDmxWOucQVIrKIIZkkGsdJnx22Pe8eaNnqV38IZu + Cz5/HqfyGDh9wE+7fsDNR/ZY6002OhwHtdrr/peJFURDxURVHasmql7pPsM5d7Nj3W+rGAXIdhwK + AsgugUqR1dKjy7qd87gu62bHJq3zpzH00cNapwRSgEBAEJzbrSyEcXc2ZlorgZRJ4tiMhBYQKguA + rnaROwoxzpqK/K5HPv3eh9955/yJX3r/i5/3jC+6e/sermGCSUuNFhEpYp3xxFjvshgFOKOrxbnD + 1rZtKYWIcs4ptqq67LqU0tbW1k//9E/9o9e+9hd/8ZcAxGinEK6kp88CrUXEuvysx9bORjh3xWwt + IiLrOG6aZrND3zl3zdgeBIB9GQH4dt49fjaUgZmXy2VKydalMYj66omIPZSF9dozHtSDO3dIYoyW + IZ1ztnzr2Ww2rreTycTug6HHWy93ZA8R7e3t2bfPbrEviDXUx2/lGDPfNE2MMeds7XkAfs7eOeec + c84555xzzjl3U2AirVIjMyzaugeOTx++bfspi8XxrrJCqDCYVGADhw55zmoCKIXS909ow+cvFug6 + LJbhjmPQCgDEUFsEtrnRPj7TOeecc84555xzzjnnnHPOOeecc85djYsUghuKkKwjhZqmGQs6O+ec + c84555xzzrlbDJ8+ffqVr3zV+973IQBModQh3O7iQQNjbSsAlmltVeCs0JzVpyWiWqulXzvnnHPO + XXt8Rh4EA0MaRd04LTaGXChtZjZbiLPalG9WCAlIN8IywABB9vMvlC2bg9SeaAgXwzrxwnLTSGHZ + HMpSAaKqJCTEykECKTMgxEKXH5hKygytQKxQiSFWSUUkRM6h//PlQ//8F1/7bd/w7ZPjLN1q6/bj + q0UfKJHyelo7r1/4+j1Zv3a7zoBAWIeIusO4FLKnk/13ThsWKJdqy0RgCEkMEi4Ym3XLu2RemCX+ + jvVprSKuny92N64xFtPiqwGo6phqYQWZAVw8iDrnHENTah9jU0pfisRAteq73/3+H/vR17zmNa8h + VhJNKdVax2PhWx73fWnb9hde9/r/8B9+I6VQSgXAxFXPs32+SD/DmBQ+Fu72+tjusB2577B9Q84K + 6fR9s7vGQggiMq5+fd8f7A6PFCoSQiIEVUqxuZb7VCURAsBQtmOJ1GDV7cxmM+VQQSsqjy4f/tAn + 3l1oRYSaSyLWIgHQihBijE0tCIyoim7+4i/5OlkhUSRlRoAQKYtI0zSl9ERn7PMszXF4qSTDjx19 + wa5DUUpdxcjKhCpNiAGBCsW+/coXvLipM+oig7oO8/m0v0RsNoAIhM2IRBVQTCuApvVt7/7t2i57 + 6ik1B/kuHxBVLaU0DUqBCK74/IK1Djeja/xcxTUjMoYSMZStkXeA+zWLWlmtVtZAtwNab6OP7K1m + ZvsUrjjwe318BSKCqAoFTqrUxmSxN2MTX7WSf72ccxfDrMzKBDAKoTAkKCbgSUWTkXpMaphmTApC + XyGqgKrAoqbXl7WKKCwYmkhBUJWq0MA1hEpcQKIkSlCGMipHTVET1xAKNRqTEDKqiHDRkCv1Bb1w + ryEr9UpSWZQVbBtAUiEVApiVWIkVY8uuErRBjsht6SaLnfbE8tiJva2HP6kf+LX3vP7n/99//Cvv + eP2n60N5q1uGrrBU4sBNCm0Aa60MtClEZq3VWoPOXRs5ZxvKY40EZo4xWojd9vb2j/3Yj/3dv/t3 + QiBVLUVCuJI2xJhsOnb5edapu3q2FtnRIgDPtHbuehl7sDfPuvl23j1Otv7YCpPX3ZuHETs9rp8X + Pz18uVR1XNrx+tGMzVbV8TxrSqnruuu7PKvVyq7Y22W9edd1iY4W69W0cg+b3Xrn9nZaX5x9fcbu + 0M27jQ81Ps66706x/t7Z92IcJ2TXNz8R62u1vvTNdWn82/Fx/HN0zjnnnHPOOeecc+7mcNYUhs1T + P+OJ2qPZH35ZCCFwUogSQMBsgu3pN77lN0/xNExv67VCSowKzUKwgd2HSjDMn53m/ik7p//jS18K + qqiCXKCqWjPBznOQgIoc9vI4524gdh7HzgeN4/NtQ23b7bPmUDjnnHPOOeecc84555xz7lrSo8Tm + jNhlrTXnrKo4czbKOKXlYOvd2Xx2nFkn9Dq/HVfnsj56e8+v7/I459xlUdUx0xpeo9w555xzzjnn + nHPu1mC9zYSgQsqhKEJql133gz/4ire//Q/sPlU0cCKwxTHSGoDN4lSWaY316QBsVNOyG8dzE54T + 4Zxzzl0DqvuxnQebE3Sja2LKXSFloqBamSEklUvlUrjUmEvouzj89KGvWAp6pQwWpaokgipQZVJi + Ucu0SIokGqtCtYA64gLKwr0ig4rlZgAgsFIUBEWjaEAtahNqG2RCmkgS6ZCDoZxTRO36KTecc9TC + mnmdbvZ4KWnRJkKqREatGUCl2BMtaCXH6mn683/5//zUL/3W//HRU398qvnEon20p9MUKycR6qss + FR0oK3riQlyIBKhAAYpqVs2sQpBDvEQBFaGqVCzljSEEYdK8XExToiqceaaTaT0WlimIJ54CGyd/ + rWxsjLHruotsBnSjbu24xfDthrtxjWvv5pVSStM0VlHZjk83x0XY4e3mAS+AUntsFGCp1cbd4fWv + /7e/+qu/WmvtaykKISif8Ye3CJFqNQ0sOjCEVErhGN//wQ/9xE/8UwA518CRQHK+TGusNztt29qv + KaXNfgZVLaVYprVtynzInDtU3oZwtzxlK4d1xm2WC0ssqCKSc1ZYY/HKt8iskI09ZghBsjRNY2Ov + yXqrr2WdLSXQEGtdah8C5VqQ2mXuqcnv/ug7FvRYDWVYcsB6yaFMipzr1rHp6W5ZM552/JnPevIX + pNygCi4nSZcAsRBrEvsMhhdPAmUBmIQUIPuMECRqbW6fP+EFT//i3/v4WyUWjnm16lMbtWask7LH + N5kxlDCDBiiAqjT8q1TKJM0EO4tTH/7ke0/JZ++M027RJQ58ZPa5DCgQiDcDuQF4q+DoGrotztP+ + U7FAUGtVR8AKAR6MsaU4HtPahItbrIl+CVdfj2x4n9muWIbsMD2JQazDBse/oM65x+GMLRIDLEg1 + 5h05PrmdK2tR5qgC4aRM2p0/6TmEMHZljtP5RLXXqgBzDIGYmRhScpEcE9e+ZO2ICBxUKwKnpDGG + LudSNQSAUQSAcoD1aOjwH1vfDxFDytjuAkAKJQYg9roY1ZphCkbhWtoJP7b41Fve+2vv/sA7v/y+ + F73si7723juetnxsIUuepRkBKTQxxtVykXPe2trKxStIumtnNpvt7u5O57MQQrfqQwhVtG1bZTp5 + 8uR0Pv+2b/u2//Ke973xjb9CNPSQOuecc845AKrKzHYuOcZIRF3XjSc+jw4b223Lycw557Ztc86b + BQWupVLKZDLp+75pGjuUuwVPtB8sGyCYUhKRpmlssKCdwrdb+r4H0LatXbkstv4QUdu2Y4q2TQmo + tYYQbF1SVZ8b4JxzzjnnnHPOOefcjc46/cY5PERUa40xxhhXq5X1BNqcn1LK9epkPkDrAfECiCJS + YIQW0/lnj20//MifP2k65dWy9ksiDGMlCIc6vloI2uc4Jc5ybLl8Umqx2MMkIUZAlSqBAFaFLntu + m0NcFOfcjcPOstnpIZtVbqdyzroDzsyicM4555xzzjnnnHPOOefcLWs8d2Cnhjcrco7xElas00pM + HtTzWmTFWBEIQNu2Xdcd1OM755xzzjnnnHPOOeecc+7qxRhFhIlrrTEEFeq67id+4p/87u/+3mrV + E4iIRPfjAGKMlgRmta1KKXbGoZRSa7UzETs7O2O+9fV8bc4555xz51MlT2cJ0FoLoDmXohISA1Cy + /zDGuTGQYiBVQFWGXAwiUiaiwKogKEAUAJvKXlkz2WR2BikpiYIAgbIyKVS0gLhKVoWqNE0sEFYG + pFIpoS8hCzIrSr+aT24XEopAFJBkqQ1dxpRzAkjS/nx5EoEoWIkoYCfvkKLnvXd/4j9/+OPvvaO9 + 6/PmT3naXc++fX7nHbfdub29PZttzdpJCElE+lUmIuYQQISzm3k2i/8wLitDCKxCAEskDdAGAEfi + Y2UHp7Pk7bS92KkTjSmlvE5sc2fxLFjnYoxWUXm5XM7nc6wD7zbreKuqHfDGGMsF8oNshN2rXvVD + L3zhC++5557pbNL3PTNDb60vmpWjEVEAe3t7W1tbpUjTTE7v7n3f933f7u4ipaBCpRYABLpQPqmN + KiQi+4AAEFHTNKvVCuu48TGa0OuZu0PlsdbuFneeTGusR5wTEYEA5JxV9QriStdR1lYHZ/+JVDVw + qlWn0zmURcBKdC2q5ewHRQsi1IJ4RbUiBK1UUGgqJ5aPvOdj7+zTnlJhBSmHdSY3DbmGKEWoIPbx + y1/44tvjk2UJiqQqZ2Uwn/Xs4zVa303XMY2skM2PgxQQHY9Rh2TrMKXtL332A3/4kT+UuAgN93kv + RhaCQkAARG2nqQAQ1BYYSusIbYAUTTNZrJaTGZDKZ3c/9d6P/9FXPeOJbWpVLr7815rlYRCR6pB5 + Tnz+tVAISsOLvaTNeQ7uGiIoAZxSIgoH+P7r2liWC2QbsYN6hhveWfOFruydUQKDCCoC0BAiy6AQ + kvWOsaL6e+6cuyQa2jbCAoAUpEi1afvZvbc97bn3fMFtzRNmYT6fH5+087aZT6dz7oWJmDmEYOlZ + zExENk/SNkcARMR2B33p+361u9zdXZ46tTj1uZ1HHzv92dPdYyd2TyzyqSV20VaJtccq115ESQQA + R2iAAAIoAwFSYTsrVpAKwIRAEOUqACugYLCAScFgCCsJVAAQwNbkJJxe7kza6XRad7qHfucjD3/w + E+948fP+8ku+4C/NZndyH7SH9NpXSc0sJl30ObFvTN21s7u7mE7ni70lgPl8rqokNeecYru1tdWX + 8jM/8zNvfOOvMKNtp8vl8novr3POOefcUUFEpRQLtLZTnlYm/nov19msuyzGaFfsYOp6L9TQMWtL + YodyR2GpblAWMFNKERE7v26n3u2z7vveSv9cWa0fy7Qe+10t0sY+PktJt+gaS7vpum4ymRzoi3PO + Oeecc84555xzzl07Ng5nrFo+3l5K2ez629nZOXbs2LVfvMOwHm2tMozWTk07/Zq3vvndL3sZfeqT + TwRCAwTkAiIc6uBIIYQm5Zx1qSmiIb099//3i176zf/57die53mTUBm06HjagCYNaka44ZPFnXNX + qdY6nnSzqk/2q9V+sutW9clO5VzfpXXOOeecc84555xzzjnn3BGhqiJi9TdDCEMZTSClNE4+AiAi + YwrF1bNHHgtExBi7rvO6T84555xzzjnnnHPOOefckSIVpUgImlK7t7dKKf1v/+Jf/ML/9YvWo99O + pjlnrZUCo4hCS6kppb7viWg+n+/u7lotLADMLCJ2gkBE7ASBc8455647Irr8zLGbF8nuYnc2m1hA + ZkqxVgrKIUQRIWWITdXk/5+9+w+yLbvqw/5da+9zzr23u9+veTP6gUA/kEYaECNASIAsLARxggmV + VJzYqSSiyjHExmAZgZ3gJLgySqXAMqmyK/kjlaRSjm2q7BilwAghEBIOCAkNwhJCoxk0Go2kGb3R + SPPjvdd97z0/9t5r5Y917+l+b16/ef3m9ev3Y32qq6v79u1zzz33/Nxn7/XldQZYTgsOxMyBAqwP + RlGBxshFV/lIZIHXgEIp1IKiqlCx+DdVLSgcuGhWVbAycyAiwsDpPC0KUhAGoFFLTEMYFCUvO+n4 + m08cWyzaVBaxClpzhUp7HGAAvDJLDWWwwHLQKCtYwDFUTBJrCUyl63fath/OP4snHtj+JLhiZTuv + q2MVY01ExzaOMTNziBxWMd7rl8ChxVoLoRCEhCmRLTkJrJGE+tzXdV3ScKw5fu8rv+sNL39zGWhK + x8qQr9nackug1drpewHnoKpWUdkik2OMMUar7WxP2NzcXCwWwzDsV2983JBChBL+ix99x+/+7u+e + 395umgZy2+XlMXPOJXBFoNlstli0sZ6Uku+7775HH32MGENadU0UkdWe/VIs01pVU0oWbl1KOXv2 + rH00dV1bp0crp+DFzN2h8lhr50YMvfQO1xqCD7w3Xh8DxrBhVrs4YSgRUSmlaRokACAKAAHlamb8 + il069pgkcJVLoRB66cJGeeBPP/FU91WZJYuyZkDABNH1242qUC0AACAASURBVOKArs010x3NS9/4 + 6jfLNgIqS18+kDHT2uaDVQGWvcuZBMogEYCVAzfaLV915z0vP/6qL8w/J1G1Cn1OkSzXWVbzBwBM + CovxFiqrSWH374FYRFBBN/PHHvzIva/4c1WYQuqDvYFDpQxIjLXVjiOC6noV2ieO/XmmpwpVpt3E + lGs9xw6wPNBVu8oF94rGC9S6mkBZ99nbvBB24njNJ3uzs8Wecx6TX692Oqs0a9genEhFLF/WHgR4 + fMUX+FrOuVuYpUNjPGEhsCIWjqV5493f+733vP043RGGKiKqhpLRhClHIgXAKEISbBp2JCdSgO27 + alElQMCiXHRT9JhoFA0l85Co7XSxnc8/s/j640996dEzj3z16TPLvKBYumGpVVGRIoWQKKAU9AnV + c6q/ahElIV6FczMACCtEGQCDoSwkrGLh3RZrPZlGIC1KW1WojvET7cO/85mdh7/80H/y9h+d5lPH + 4ulpPUtDSUMOIVSxgeSrOM9x7upMJpOcc1VVVVUtFouU0mRjFkJIKXVd9z+8+92/+qvvq6qQUmnb + 1lpUj3qWnXPOOeduCKoaY7Q2EGtmTCndmOdLk8mk6zqsS8AAsPuvRzIz9tKlFMtgLqXYYjySmbkF + jNnqVlFIVYdhaJpmdZ8eYGZrMh0fOSj7yCwn26ZgrevWA8M2AYvT9kxr55xzzjnnnHPOOeduatbl + j4hSSjb+ypr+Yoxj6yIzW6Z113U3fZOgAlj1mmeIgIGIqsGxjQcjvuHOF9HZp1NOeUA14WGQcMgD + mrou1TVF1pKhyCe0vGqnxbzFiU0F9/2iaTZn9aobp3POYR1ZbbeK7FZOzrmuaxHJOY851qoaQvAi + UM4555xzzjnnnHPOOeecA2C3g+0+gg0nr+sa6/Ep9hx7nJmvVaa1TdOirG0kkWdaO+ecc84555xz + zjnnnHM3oJzzZDLp+8TMm5ub/+yf/fP/9X/53wBYaTWrY4Z1ufvAIYtYNaoQwnw+JyIrd2Zlr6wc + Vl3X9ivW4yCcc845dyQsXOao5+KGM9vaZEbftiRqZywx1qkfrEoqqzICKRERhJTKdGOzyFBKSZIA + gJkrDoFSboUBQGl3LGdh6VCyZgDEGgIhqmoRJJAWFNVUNPdDN1/ubG+fm/fzOebL1A473Xy52GnP + n2/Pnx92+r5ttP6G2av++o+86I7JS+pmIiiDlFLyDAca788ArQI+xqAQFQBd3zFDFL3kKWN2spY8 + PNu2NGPhoKqlFAZiqG1M6xPzLxJRABMRrRO+ATAO8XxP17HWoMTIpMwaYwlQDpF0KZJyg83lsHP3 + a+5h2RgWMgsNqw+tBdYfEPYkW3vPHeesvkopxY6POWdmVlW7sJ3P53Yt/LxHTykA8OSTz/ydv/N3 + //E//keqiDGg3HD1yQ+VnWbIupWglFIR/cqvvPfXf/03AIigaaq+T1b34PJF0VW1aRrrYWjh1tPp + 1P40FsOx5oXxV+cOg8daO2en0Rfssu1UUkSUhZlKyUQK2k2qPgDd8wMBACkUUCUS1KHigQMCwKrX + K8Bv75UDZQFYq6KBFKjkfDrzqc9/JE/7PuT1DDPbbPMqr7coVVxXufnWV37X6XhXg80q1LkvTIQL + kqqxCsbes9zsdIOVhcYcCbYnrP60DjKU1a+rfxMgEqPETT313a/781/8g0e0QT2pF8PqGhV7QiJ5 + nLRCeQBWsdaqDHDuh0nNQpKzaFh+5fyjX/r657fuOlHniMO80jsoVq5jzQiQVay1pecKoIQxZRyr + SO8rvSC01fuosltuaVeyCZPdSdJrmmttn6md0K/hqnZYt6y9VztXnTmtUCLe/V/lyWQWyDY99qZI + 59wVEjAgq8OAnWtBgoATbfLWJE+rXEUQwDmDRUns5GS1/1FVQAENFAAhWsVa23MERQEQqUBFNKmS + BNQNz05MXnRC2hc3r3z9K97Mr0M3tE9+/atfefbLD3zlj7/09BfOz8/XM0Yj83YnVGVjq1l2S+yd + SQVBiurq1/WJMUEYAmVRAMyIAFgFJKSihJxzrGsFlgV1JdVm+3T76M4zTz/1rx//D972jnte8uZ2 + 3s/C8So2w9BWHMkzrd11ZD1+6qYZhmEymWxtbS26HtD5fP4TP/ET//ZTf9I0oe8KAKKQs6+czjnn + nHMr1lVIRKwVHUAIwe5AH8n87JdYHGO0Uz4AFks8nU4Xi8VRzWcpZTabhRDGOvuLxWJjY+NIZuYW + YCEEY2No3/dN01gXoaZpUkr2BBGpquoqYq2tJtFqFEEIFp5dSmmaZux1YX8dqxc555xzzjnnnHPO + OeduXjbsypoTRSTGWEqx5lxrVc45A4gx3gqZ1modsxmQAA7KgQCqMKv+84995MF731JnmcxQE9Bj + QpH0cLsczyaTvu8CQxlZQfP53Rsbv/e2H3jbpz7C07tiPUWfUVUqKFJiVXm4tXPORkPYDaAYowVX + D8Ngd+JsHKbtxj3T2jnnnHPOOeecc84555xzpqoqC5CwHOsYY9u2k8lkHDNidxkAXEkFyStXSrFJ + bW5uzudzG/ni9bKdc84555xzzjnnnHPOuRtKjHXb9k0zzTn/1gc/cN997wbAzDnvpj9YRjUBIQQK + GIYBewadtW0LwDKtRaSuaxvR4IHWzjnnnLsRKeekRSXEaRW4lGLnLaV0AlVF0QwIg6CsUNFSMgkp + BaIqgFW1iHZZh2qDlYroIKSKpCQi0nN+Om8vSrtY7uwsd5bL+bzdXizO93kxX5xb9ou22+mGpSIx + I0RWor4kVQ2ZEZgCJFI/TdRQKdNlfT4cD12bqO8VrHVdNZV0Eg4SOiRgKI/JcfYYA9MmqApEESgX + PT8MgYANDFmYhQgcQYqs/ZB7EVQ1yzpeToWIyCZ4uANZlQVQiFIBgbSQJlGCskpRoJpQ15VHzjz8 + 0CMPfN9rXi5D5XEfFxljqjzU2jnrLAeAmW3TWKXcqbZtW1VVSskudYdhsF8vOR3rYscMVXzgA7/z + 3d/9L9/xjneklGrmK4vwu0XknOu6TkNJKcVYN830s5998Bd+4RdoHemUUgmBSlFBwfMtG1va1qfR + yikQUdd1VujGuj5aN8jr8ubcbcorrbvbGUPXu2olkO75gRVpjA/c7+h4YAoGCxggS1YYD9LrSIXr + eFglsTcMkJQQQ9OXZTxOD3/xT57cfkQ2UiIwIwigDAKQASiJWIu5VNxvvOlb/nyY07EwW7Y9hUqR + D9BlXhk0Lnim9Xm7TUHX8dhCYyq25Kx1nKRleuPdb/7gv/31p9LjpSoUdq/7lCAAC0M56Ho6JLKe + LUFkIESRkqFgxoBSVf2n/uz+b33pG4QzSvUCluk1pqox1kQBICggCraTuUs9mQTEV7j8LQL5ms6s + MwJSXJhYTURYRZKDiJpmShTW6fLXYHsfg1tyzhR2k5s91npERLbCv5AGAouWHyeoqlBMp9PVQ6Jg + 8pYI59wVYCgrsUBAYqHQyhmAZiappGfJQUqpAgFgpawWVC3MzMxEwXb7wzAQESCqAGxIZAEKChCo + 4ghAC1Q0EJFQv91vxJMbrCll7fIsnjx14qWvPHnP97z+rTvy7MNf+vwnH/zE489+aVYfH6g9+/RT + cdJk7pUAAjMARcB4wrbOtAZW53LCex4fkaIKrJKJUNcAoxeEGaqNdOb8F3/5Q//H99/75Nu/8y9q + qdsdnVZTlNuogcndCGKMdV2fO39+NpuJyM7OTjWZPvbYYz/21/6rRx99XAklFyKaTqfLZVdVVUr9 + Uc+yc84559yNwu5fjreWSymTyaTruqOerwvYbV27erLWIcu0voqE42uCmZfLJQCrpC8iGxsbYyyK + OyhrF7Ub6jnnpmksap2Z+763lTOEoKr2w0HLCeWcq6oCYGWJbF2KMfZ9j1VLbyMi3tLunHPOOeec + c84559wtw5q+QwhVVeWcrR8gM1tVcSs7slgsptPpzZ2QSqvvDN7tuC4AMTY2kNJXq3h6ttnEbnu7 + 3yKQCohBh9W0zorU9YFBjMjIGZtVlJ1zJwiIHFKLOEMVkTNXUaBKnmrtnFvdJ7K9sd0tKqXY8EsR + sVs8xnbjngzhnHPOOeecc84555xzzjmsqvEghDCfzzc3N61ozDjMh4hCCDZy5FplWgOIMRJRSsky + re1By7G4Vi/hnHPOOeecc84555xzzrkXSFWn02nXDR/7+Mff+c535oJVgTUKMUYC7N4BEUF1SINC + bFyD1RCzElUiYvXN9g5M8xENzjnn3I3A4nv8uLwHE1hzCjFmKSkPFFCkoJJQB0ERFECUiRiqmlEQ + OWmWkoY8dP1yZ7mzWJ7rhsW57WcH6ZbdYtnuzNvt5XLe9m0rfVvlxFlVwcoMoQLKQjkEVS5aJ50W + QJVUBCKIU4KgFAIgTIVlYOVCTCVzJyQkWlMFcNaIRKwHzHejIiSW/QUAaiPWsySogghMLEDRUgJC + QCCoQgSqIIAIISIoiBSqUCjApErg6zH2XaJGISiv4rBI7Y1IIRRFYZ3MJA39Rz/1B/d+0/cer+7U + gT2xajT22LHCEUc7M84dOavVrKrDMEwmk1KKXbrGGJl5GAar4WzJypdJ7Syl2IUzM0Rw333/07d9 + 27e9/vXfej3fy40gxjifz48fOymCtu1V9Wd/9meXywHAdNr0Qy6l1HUsJVvtlv32QVZeG4BVwB4L + 3QCrdLz5fL6xsYF1N0jnDo/HWjt3iXDZvSeRonkYhhcUdPGc/FoigtJsNmNmVVIlVWGm633ySgII + EIo2kSrw0OedP334D1M832knFUghBKXVd6xycoUootArX/ytr7jz7ulTE865JK2rABEg63OPXKur + GgZWGdWksExrBbAqi0b2JxlLpI1Z1LR6kqoGhNg3xzdPfefrvuNDD53pc5YKfHGOMHj1Qiwkhdfh + 2QogCBBC0YxSwBW4hiB9/rGHnnzmK6+cHTuEpfxC8CrRRJQC2xtgxd5rUls4l1jme9g1sLEfROQa + Dp9waxfuJdYl/AgBxFC2NOuqqg5jYIkFqCj7NfDFbIHY8KEXOCkRKCsRoKxKDN2czlRJhVRlrJTo + dwqdc5enxKtTIGu8JgiJkMa64dAoVSFMi7RMrExZleKqO4Ko5rLaz6vq3sKL2HP6mkth4YICgJRZ + WRUKRDRqbd/ERJWKqGqtWzM5Niun7nrZK9/0yu97/JlHPvH5j3/2sU8nzYAM4KSd2Cnq+kRNlQmA + CgDo+kyaAAggsmf/x3YGmBEDIoc+l6LgOmbVry/baVOUnvnwg+89u3j833/TX3rRsVf0T8nW5HiS + QQ+tDK5zF1MmhMlkxhxzHuq6/qNPfOKnfuqnnn1mznaNolSKLpdLgC/TbOqcc845d7tR1bquc857 + E4W7rjuqJpH9GsSIaBiGMcfaGuWOsOlmfOkYo90YtoiUI5mZW4B9uJZSYDWDmqYZ/2SRM1ZXCPuv + JJcRY0wpEZG1pQ/DsLGxsVgsrPuFtbHHGK2bBfymvnPOOeecc84555xzNzNrra3rOqVkbYMArIGx + lNI0Td/39rgN8rnZrUarWg8dYgBQgNAXaqr6B3/vw3/yPW+KbT4RwaHBkPVAY3oPLhITQ1LJQBNQ + +lzVs+OTBjtzmk5zkjADVQLqKVRinc4PdYacczc8Gx8bQrAhsgBExO7ajHeFbCCGd613zjnnnHPO + Oeecc84555zJOVdVZcNPNjc3AaiqjQ3B+u7DOIrESnNeq9e1H+zlSil1XfsgFOecc84555xzzjnn + nHPuhkJEy+XyM5996Gd+5mdyRl3HYUgcg2TNe6rRhhAYmkuGFYsWsWRrAMMwYE89/DEGbG9pLOec + c84dib336FUvH+50G5nVk06UFUPp680gcXj63JPTE/UTzz6+LDvb6fy8O7/Tbe90O8tu0eX2/Pmz + fer6btkPQ6FCzCEyWAVQkoICUiWgFqmVFIExppwJigIgFZSilrlRpKyDtBgUKUOJiC0Eg0RYhUCs + OpSq4jBQk6qpgpTTEHNRDip85UPgBZRBeRVcppGUASESAiwNLGclnlYNFyz6QSqACYFATEwkIlKg + AuZVcFgBkQJMpLgoZO2aI0UoFIhyWOXHqaJopQQNubD0GRRzc4y/cu6xT3/hU29+1fdNidd5fLe7 + iwYa+7hj5wAQkYhMJhPLTrYR+uPhspRi1bx3t5dVZuVotXuxCi05Z0u2/rEf+7H3v/83XnLn6Uu+ + 4q269UlBFZuUSs45hPCud73rkUe+VNexH3LbDaoaY8xSwKiqmIa833RyzrbYSylt22L9MVkLAxFZ + p0drZLCa8NfvTbrbzM2wbqmf5bhDIgwGpNiljNXlolWDL3MkIkAShq4sima9qksABoQEykBcX0iI + HSQ36xNVmZBauZxCFFUPO2l4d2taH6iZNZYiOSRp2icXj3/uiQfKVu6TxoZIlC3Z2kqYKUgRJCLT + VI9/97e8lbvZJMzSUmfTY30ZAl0uX1lJSCHEABhy4dIUgNc5iHsv/ERXH4sATHUsIg1Pd7bbN732 + rR9/4KNFRXSuJCCQzd4qNlv2+bSUFW1ftjYCUmlbVJsYtN3B0w8+/ulvuufVwo3Na5Bo84zVx8cE + 0TFj+zlR5YckUiRlm4E9VeEYuqonpwRAyN41BHvP4taRkPbxMUiVWBXgTDkh7X2arNYNix7f+77G + lHHxvfHzWS8raxgBE0DKyqs1n5WjVjXVgC3PA68/rCyrDYVZUWiVyKJahPqiA8AkpKxCyrfm2fg+ + rNFHAWIFhIQBqJCyAErIKkpgW+hEevClz6Aiqy0NJCocJDTVlKVSJUFZX15dfVsRqUXbCo3bGomu + r87ogmeuWsiU7Kh1wURWT73tW0TpOZvAqhanXrzcLt5t7ubpspCtXcV2gKRQkttr43KHhrC78gmh + MPqcSipRKISgqRKFkhYRIrKzITAIgUihRIycZXeFHr8DdV2LiFr7PCCEACrQQJykgBE5Fi0lK0gj + N2HJFU+G3JdArz71+le+7dWPn/1zj3ztcx/6w99usdPTvOc2hyQhKQMEEiZlRrajnh2WdM8P4w5L + FKyIDfW9spamqgchKYqAMBENZd6d62XnTx+/fxonb7/3h1/+4nsWz+xU3JDyaktUVoJQBsAWpw0A + KAQlBHlO+5VzB8QxbM93tra2Fl1bcXz/b/3Wf/v3/vv5vLfDeslgViIiIhGMaYjOOeecc85KtFg2 + 81jS5RqWa7lWLDp6jBwWkSO/h01EKaWqquzXuq6PMGb7ZmeJ4OMHarkyTdPYDXsARNS2bVVVVVWN + NYCunPUGKKVUVWX51pZpbeu5PWiZ7swcQriKl3DOOeecc84555xzzt0g6roupRCRNSdaV4GUkkWi + Wqb1MAzWumvVRo56ll8gsa5Iu30TCSBEjgg1ZvUTp0+f+jpNh3OVdqBVOzbv6ey099eLprvfn/al + TBRyHmJNtWoGYkW52+E5/T9/4S/8px/7eDx2TBRSJMSgRKpgb1Z37rY3RlbbvTYRqarKbg7aE6z2 + E9Z3DI9yXp1zzjnnnHPOOeecc845d2OwkVDjWB4b4GMjUFQ1hGC3Hkopk8nkGg4S2VviU0SsHITf + v3DOOeecc84555xzzjnnbiRMFL78+Jmf/ul3nT07V2AYMghSCsCWhGm1p0opatWX19XMrNmfmXPO + dqMhhGDD0GxcgxXF8jpjzjnn3JEjIo80GJGiWywAcF1p1iz5wc9/5l9+6J/u4NkwwcDtQH2JOcck + oaiqyBAiFAkTYAIwK0FEsgrbzxAiiJV+hQZhzohKxKqqZHHiCjBAAAfSigmqugreUhGAoRkFQIYF + SCMoBwkV10K50KA5ssRaQyDOOlz5+7VgrzFBg9bRMwxAIQoCmLkAZUglaBUQFVBIwSryixBB4FUF + VKIQAYVa6o0qDv10jwTrNCJSKFgJSkhFQgAFZMFc5pOIP3jww699zevqUEcJ6/fPYyQcq4DEprDK + j1MhiN4eGdjWO+io58K5ozeOxAcw9qCzS9cYo0Up22Xs6uL3UtuNVWa2Ks0iiJHPnZ3/rb/1zl/5 + V//iopIjt/YVMREhcEqpmjS//E9/+bc++DuwVgVAVafTadu2dgBKQw6RS7p03o31M2Rm68FoD45F + tq2749gB0jOt3aG64c4JiEG7SYNsZaFgqa7j1+qpsid30LmrETQDklkGBsZIa4C4VgkkVAWSKn1t + /qRUJAcNCR2fvIrlq6A1IMqSQ85S7pq+tOk3a2lICQFJ+mv65p6LodGyWZWKEIvW0IY01BUVnGub + p3//wd9uZ4vzMmxubKIjTiCAQi6xCFjAVKqQJrP2xEvDN77hm76d2ypJhWra96kONZFetLESg/Zu + u5bOzCpBwcpQJmUqygUhrb8KQlFWIiWG/SuRKmsJ2klJnR4Lp7+hufvVm284ri+JaSK0m25YF64L + QFJYiiWI23WohV5jIBpijSEXBVUTSIKy5uPLf/PgB7pq0elSqpR1iGii1lVkkQIwKVuYohCEWBBI + w2HvQgNxGsqJzROqQamiGHIRDrYeMgtbfqUFWQYLp4YIWVU4IQXsvC6QqpJQlEqHyFRJlc8unwIr + VEFJOStJEYgycSUEWe9gSXkdLam+y30+DPB6N6GswspBAKBopgBS5p5fvHmX5EFJlFZ1APfJQeaL + vix6PIh9MWm0lgKQIuQc27Pts6xchUnWDBa5nT4vWpVBZCgrWbsVCAAJERURgQ7D0ASugF5Ew0Gv + WDhowwpVtSYXzWVKG3dM7oJMiRsOqugKVAl08OshVjCIAQqqKKwUNJZSxmFIQcCyCr0e/8vWNd1t + /Nqd2p7V6oY707sOCCBVuxmcc44cSCGWNm/tegRhYNVOaP9jC1YAVRUhEdq9siUlGtco+Pmne4EE + NBByUIkFsYAEiTmzREIkqVjS0EWuSKMqhRCIiJVYmZVIiAQkhKIBIYCf8z1oVhK7iiIlUZKCoiQF + mYISqUgmKYERiElUIjIDgRuahWUdz218U7j7z3/jD/13f/kXfviev/Ki9Jrp9umtcqrRGQBVBM01 + kyqJQCMGoBNQEwVREO3MBOuDoWjsRLWGBAwlQxNrJhkiNJcSakhDc8w/9tBHPvzpD3wtPNpOnyks + yKEOsQyp5ilz7DFozACCcCzMisySWbDe4zn3vIhVUUASIhGraLadeZLSTDe6IVf15H//P/+vd/3M + 3+1TshOJIgAgYgcGAXCZTOtVw8W6zxCAsbHPXQer8tnM2PNZ3NrGxuLxzfrwdeeOxLgNjvt/7NlC + bx/jcmiaBuulsXeHfJsvn6My3vc9vJfYe/SxQi03Wqa1Gc/irOPIkXcfUdUx09p+vR3OXg4VEY37 + GdsREdG4ftrSTimNH/3eBW5Ps3+3n5l57wRtrU4pYb3yjOu5PQig73t73PpeDMMwPtN+sGfuvaC4 + zMWFc84555xzzjnnnHPuqIQQmNmabceuAtYkSERjyfJbIh5VSAWQQsgMtaG0LCAhEcQGJ+/64Q9/ + 7LF4bDE9uYiaAgqv21iVBWz/Yt2UVx0XlaEsZKNyVQ7Y8q3CgaIWzUAfsFBFwJa2r50vcO4c2iUr + QpgoKkiOlAneyuqcA/bcmB47Do1/Gm/33Pw7beecc84555xzzjnnnHPOXTO0hvWQE6xH99h3y5+2 + kSB7b0OMz7/8rYdx9Jz9wMwhBJtmzllErLKk3Zs+rDd5CMYxMnYz/Xln3pbSOAbnGmaEO+fc9UFE + 4/hB7AkocjeRiz4yL0/vnHPOOeecc87dhlbZCqtfZPwiVpAUlCRJmQo0KzjWj5954m/8xE+deeLr + u40IisDBUikAKSWplhhZoAqoagjBSoqJiGVa24OXmBmvM+acc84dhXVd1tV4w3UasR+XAUBJuGKu + uM+JQy2Je/SLzXPnt766Pf3asn5maHbSpO0nbRe7goLABaWQrL40Z81Cwgwbus6Wm6Rg0Wjl/UOd + QlWILXoskOXxoBCUSZhFA1GAshax2CUllIgSVxE8UbiWySQeX7QdbQxtPNeGXgNXqDntyTY8wNsG + 2RcyIYNEsEqMUoKQEHqmXKkGy7ZRMIHtr0CBFqglghWUgmL5QUKivD5rPJwvBTLnzHk3nAhCGAjD + hCkvsMmRMihomrVfyg98/LEP93Fe19UwtJJzFaMqZQqFg5BYshxBMnEmJgiv3uItaAyPsJqxIYRh + GHzksXPjpetuLBeRZSrvLa6yf38JASSlHtjtS5azAPjUJz/zi7/wHiEOdVNAttsskiwpZk/aF1sc + 5O6V+w1slb+kSoBKZlJoUclVZBHJKiHWSuGBzz70nl/6n1MB8W4OeNu2ANaFXWCZ1kRU1/U4/Rjj + uKj3ds/r+378pKz74t4OkM4dnht/s7zJ+uC6mwgBgFj+sZ15s2J9okxETMJZcwlppz1XUK5uh7yq + zEUCZYDsagQBEDo+OVXlhiSoKiBKhxsbzLtbkojlPYOgAUAurVbdtjz5uTOfPp/nYYKUEhVUDAIS + JKEUFkuDiBobmX7Ha7/zeHUiauyLaESoJOWliKDoZb5EVPaACBWBCORi9iCKQsYn5KG09YTrJpZl + mqTZ29/0g3k76bBaznbRaBeB9ogwhHYPzABIrRYbLBHSLhpV0fGijduf/Nz9cYOypLFz/DAMzDxe + jiosVhaWnnt4H9b4oQXm2WQWKajSupnDZmWVCS6AEHg31vfSbD0kAEqqWrh00mE8OyQBoMQWfQns + CcgFboYjxY1hldwOoVUzBwmzMqwpQYuqRq1m1Ubk6nkmtQ8Z91SroHiIrRKUM+UutVrWqce3d+yu + 7gl7BiCEJElICXbLD2In+wchIiEEsqqL0BgCZ9qcnAQiAKG9+3DGfmHl++PVjEPX/0vKZfcUaLUZ + KgGQ9Q+4aKO/mrazW9WeXbQd8S76xPduIes/2YIV4FL7QCWsd7O+nN21IEHAyiyAQglKQgpSYRWG + sBKrHbfB633+FX2/1Gspyfh9/QXW1SotpEIKMGmIh+esoQAAIABJREFUpanTdNofn3UntvrTb/+2 + H/6bf+Vdb7nn7ThfYydMyiQIkKFamEGRhgIKmEx5Ps9Y99ggvWD3pLTeu0FYwSosABAjikqmlKrc + 1e0fPfyxD9z/r+mOUqpOK10ul5N6aoMzQaKrxcG7pyW+KbqDY+au67quq+u6qqoCDVwVlVTKP/yH + v/SeX/pHOWPoBfqcQ+zzsc5D1t5nrXtd13mL3nUzduTC+t5wjPHWrkibcx7vhdvIdk9GdO5IjNm0 + pZQYYwhhMpnchhUWbN87nU4t2HUsF+7L52ipal3XdorCzHtDx527bY39CInINooxfzrGuPfmfSll + MpkcdPoxxlLK5ubmuMXt3Rnu7Rawt5eGc84555xzzjnnnHPOHQHSsQ/d+mazAMIEiCBWqONbf+8j + Zxg8xWowFK0G2V7UE0/pMt2WrnRubMgZxiovBJBOc7ljZ/Ght/87SD2yqECAyNS2ixf2cs4555xz + zjnnnHPOOeecc845t2scEm51OW3croVbj6OxYoz26+XH85ZSbHjdOEql7/u9Y5/Hf7+JxqHbGyci + K+9rmdyXH6fGzMMw2HOGYRgTxJ1z7oZC+wNQVdUYhDwMw02033bOOeecc84555xzl5dSYmZmtrJ4 + VVU1TXPmzJmf+Js/9cUvfpmZQwjMbE3iY3Eqay8CkHMmIrubUEqp63pvKVRvR3LOOefcTURLEs12 + 2sMxSFUW8Ww7Pb8Iz3TVdh/nPe906AYdwDkEVhIbbG5fFyG98AsAibIUlsIovP4XhQpUpJRSJAkK + KINBBA4IDBJoQixhVjY2+uP1fAvnZi/afMXQSjVtEMOgZdAU6qs/7yILLFoHduz+BAFlQh5Tz24c + q/w1vnDJEwgIzBVDhiIZQpJC/0z5+qcevX+p222ez2azGCtJ2RKdS5F10ocoqY7RWjfY+z1UnoPp + 3H7sKhh7rm3t6vig0/kn/+Sff/hD/2ZnexFjJAoxRkLYJzfkZgoTaZrG+sINw6Cqm5ubfd8TUSnK + zMuu/cmf/MmdRVdXUQSX6TJnLQxj/zprnRg7GapqzrmUklJqmsZ3We5IxKOeAeeOEilbkN8FRbVI + VJmJLZ6MgpzdfkZVoXxhDubzu/CEXiwejRSBQKKnTpxUJdUCWsW9HDQ+7YAE6zxUVpZVjHdREqkk + VemhL3zq6e0nwiyq6jD0s0kjWhLyYEXHCIpSSAXVdDp97WvvyZopVJK7QooqSc4NT6HNIc29clbt + RFLNE0EPXb7i5S+94/ixRGe3ZYnxEhQsJIWhdLkrH8t0ASziFqVIkv6PP/eHb77nLRParBCFk0bJ + qpNQ2bWkkAgJQ4HMGg4cWntVCHx88zjvBFFRXa+nRUCXDttjvcQF/AUTXOdYd91S16BMRIFYlRSF + 9qznB43+dWsidMEIDFWFggNPpxtNnNrO50BrkfI6lJ3AtAquXmcdMyDz5Y6cLKsTyusRu35Dek6e + N7GCtO9faLSkiITIREUKmBCJZcCp46dI161Mez9O5atOFre909jB3b0wcqWXWKusXL65rlqdu4ZW + qe3rb0Eix4hCp+q7/sPv/0svfsmdf/Dp3z1XntoenuGJZOQuFa5BEaKAUMWINoRSWVZnmgISkIzn + Y6y8OusGAFiAVz3lrm058rlFf/8DH3vxHS9962v+4vaT/emNuyjTIB1XVHMtQ7FDmxAEHLToqoGf + 9YDn5+72VLKqoqlraoKI5CR938+2NnPS7e2dd7/73b/xG7/JDBFMp03b9lfxEiEEG4tr7a1Yj0++ + pu/D7cs6csUYreH11s4ItFHrtsph3a0thHBrv2vnblgppa2trZ2dHdsGre/peCy4TVh8ctu2WB/+ + mqaxiGtfPkfIan/YwZGI+r6fTCZd1x31fDl3lEopImLd5mw3ZbHTtqUAqOvansPMV7G92ETsdNQu + DSy+2noS2DgEEbGdof3qnHPOOeecc84555xzR4QADmr92rH+piKFq0oJdGyKfv7MqY2XL7vu3GIW + IEBmBSTsdn2lQqBVd3To2IdZVz2RrpgSRCHW/zkoWEEKBu6K0zNtxjAgJ6CSwKkbZtONa7ggnHPO + Oeecc84555xzzjnnnHNOVcehuyGEYRgs3zrnnHPe2NiwIpKXrwNj43/ruu773saYWCB0ztket4nb + eJObqKTMWGEzhLBYLGKMTdNcZpBgXdfDMNR1bUt1fOPXa36dc+5K7VeWyvbnAGxsoO/KnHPOOeec + c845525Suk99+KZpuq6jUJWSmmbatq0K/dzP/b3PfvYhZt5NbQCsRLw1Ew3DQEQbGxvz+dxqTNmT + h2HAuq0ppTQmXjvnnHPO3eAICMQqSqy9DMqphL7XhVZiUV9Klq8JUmAY+mEI+wZ0Xmr6KkEzgMKS + 2R5BECaN0xhFpNdOCYgQRc7IBbOIvEQkbMbj1E90WZ2avOQb7nrVd7z6u15++tWb+U7KTZEAKlL1 + daxEbrP6xnZ78zn9TUopIUBVmWGV6lPKj5/58uefePDUy+4sbVPzpJdeKJNyILBGAIVESIIKKWMV + 9nFbxPTYqbunxDp3SapaSlHVMYLa6jZfxaTe9a53/dqv/dorXvlNW1tb8/l2t1iePHlSysW5Lftd + ud9obBEslsvJdFpKmUxmIdCzzz4L4MTJY/O2Wyzan//5n3/qqacADEO+fEjN2HpgnQy7rgshWF+7 + lFJKKcY4Jo94I4M7EjfcandRj1vPe3eHj0GFlGiVAiusUAUIAlVSQZ6321QR4XIxyZeh9ipQrEtu + UQYpnzxxp+XzESnAgBx+Eq3FrzKAIACUIYmyVGUuZz/x2Y9SU2KMQ0kcAOQCqBKT2nbJAi4UJbzm + VXffcfx02pGZxsiV5Mwh15FLKlBavZdr/V1FYxOHvhWSSJwolKRvvPe73vfHXw4clTIJSFkISrvR + zpfswq+KcU9DtLpgSNSfmX/p809+9jtPv0V7VU5ccSiVCvF4eUYKyqQMKtclNlhI9PjGySARPAhU + +aLLGwGtysCFC1fOvbHXqgooQIICDspFRebLHWsIgDIJgRhEIFovsgsvGi2j97ZNSr5CF5/srj8B + 0bDKUC8A6thsTY49PQQNwMGTOK0RR0h0zwekBCUsFjvKKqREBFW+PtHrNzwlAWTRzi3h2Iow6oHL + JtrnS2XcEygk8anjd4IEEEtwJQB6tUcKVWD3euyCMUgHmqDyVc7BLYZWGfDPZfvM/XZnpCCiyy5z + voot17kb2LrQrI4rNmN9ykMDI8VKpj/4xh/6xhd/4/t+571fWdJ8eZanFGNJ0CoEKaVty7FJJX0C + UCgyWARKGbQKWCUF755FrDYitSbqivp+6IWOnT4xX5z7zY+9746Nu+4+9YbcFS4UYlEiRlABwEpk + Z3phnWWtfqxzV6aqqpzz2bNnJ5OJDRibzWZ9n86f23nXz/7sRz96//jMtu3rOg7DweKBq6qyNr69 + AcPWJnit34q7BOvjZZGB1rpqY6H3a1C62T8XG/do3dds3bvlk7ydu2FZePPOzg6A8U7b7bw9VlWl + qpagTERWgMOXz1Gx7F5b4Fed0evcLWYsG2FFJabTqW0XdvZoVYfsXHEsRXTQl7gox3ocn2DleOwJ + NhrBewY455xzzjnnnHPOOeeOkK77V9Pu+FUFwCG0KVdVjLnHLH5ts/7a1/rXzmrthnWPVKVVHzzS + VQ89tp7M5sA9M1cyoFBAV92hWQFFe/78yZN3/N//3r/7V3/v/6PjJ0V1MpkNfVs306t/884555xz + zjnnnHPOOeecc845t0dKqaoqG3USQkgp2RgQACISY2zb1gaDMLMN7L3kdERkMpksFgtmtvqe4wCT + 8VWwHt4y/nrjs3Kl9i42NjbsQRu8tt+/lFLsCeNStQFu12eGnXPuCu23XxKR2WwGwHbUzLxYLMYd + oHPOOeecc84555y72alqXU1sVFRKKYb6x//Gj3/0o/czo2matm3HcClr3LbaegBCCPP5nIgmk0nX + dWNTuapaC9LN0vLvnHPOOWeskL6iiGRFIVIAzGABABEUhSjqiBAQGpSDJLSwgiDYk5yl4EJMwNC2 + VR1ijIBIkSKoGdNYUUdTROrqRrdecefdb3jjm1/7snvv2HhpHJrN+sTy/JIRQggUKZXUpbYJ9bVf + KDc+vTiGrRTESFACRDSTVtPpJOf+45/9yHd885vzuaqqZ8wsKDm1dTVDEqUolJSERVgBC3o7ojd0 + HagqEcazd4/CdG4/djksInbBaz3lrAj/QSfVdeVv/+2ffu97f+V82anqePr0Xcvl/GbvPxZjLKVI + KVVVzec7McZTp0498dWvbR4/8a9+5f99//t/e5UPeQWRu6pqvRCZWUQs09r+ZEX+c84hBPs4bvbl + 5m5GXjPd3eaYVVgBKMCr5F8IEZPF5kUs805fltxgnel7FWGWDACU7X9JIQXT0Jw8dgIgZcugBVE4 + YHjpVcyHABAQAwwhFSVW0q6aP/rUw2fOfblvliIRxDHKkEpkMBAYpFBBSDQrs0068T3f+tYtnGTZ + msqxmqqUB2imIMpUeH0Zc82/I6JUdZgFiaUMTRMbTvfe8+YPfOKDIYugXZdCEyGxyMN42dSJ9c2A + VWi3BpnLsx9/6Pe//fu/u4Jm7UgrcFUyBV2tIrqq4Cbr1eDQk0214MTGCUpEgTjQKpWXZL2u7haA + 09VSYtZ1evp4fU7roFaAuKhCoPPltrISMxBUlZRAIFKQkuol1kTPtH4+u/Hn69Bcy9oUQQiBGSJQ + VRKc2jr92FOVsMrlViH7097FLkqrvHFarwC7f2LdbnfASqREJKLYN7f3VrX7fgmg9R5bCIK0aOfg + F9I8ICEEkaIEZhBBCxqenty8gwYBlTEF9uJI+CunDKz2WURksdbXokVDlEQvzly/TYgtwCtZjGS8 + Bck5MACWCICZp7QZpdI8mX/l7Ddvve7Hf+Sd7/vIr37yK/c/s3xydsdsocsulUkVRAtlBNvxKgoB + INBqZzg2bpMyKwRiZ4tVjT5hyJlq9NLv6HY9bZ5anPn1P3zvO//jV+Bss0XHqyYs+2XgSROnklXI + Js5BKahabdyrLYbrbi8i0jRNVTVYpWlySuWxxx/78R/761967InV5YBgY2Patu0+mdaXO5haw5/l + 0uWcrfvRNTqUu+dn48OtXdXyrWOMlx8LfT1n75ojIotFJCJLT7e+bmOLs3PuurHbaVaOwcKDb88b + PLZfwvqAiPUNNl8+R0tVQwi6ZocJu0951LPm3FHaW/RnZ2cnxmiVccYnxBjtFOsqThrtcgB79odV + VY1dl0TEpmn9AzzT2jnnnHPOOeecc845d/SscXTP3fUMhCoKgM1N1PKffeA3P/c9PzB/4ut1DIwS + BVj1lYSSCkiISUkJUMU6JFv3v19/abQak8wKCPK6zxErauB0jCd3dpAG5CHGiap6prVzzjnnnHPO + Oeecc84555xz7hqqqsrG7dpQccuxnkwmVoYEwDAM9iv2jBm5pK7r7Ie92dWq2nXdZDLBejS6vdyh + v7FrxMqV2tAYERkX137Pt/zvcaC3DQC/zLhv55w7KvuNtw0hLJfLUoqI2IjpjY2NMbvIOeecc845 + 55xzzt00nluSXRlAn0rTTJc7O8e2TnSpe/e77/v93/9DIohgTOrKOdd1PQzD2DCOdTE9u4+wmp6q + qloLEoC+762k1fV6h84555xzL4hIVhIiZmZRBOFYqn6BIqgb1DFkLr0g91AFAThIopowALAiFA5g + AIUlc1YCGhqkoEcUrmmiRUQzoanmmy/aetm33HPvt7/mO7/xjlc0ZYvbKu40pdWB+yZMBKWggAU1 + MZEW3GY38HhVHWD8FPa8f+utkZKU0jdNLSE/8tSDj3z9oXtmb0m9IiAQUslcqyAKRAgEBC2sELCO + E79F7U2L8GBr5/bT933TNKUU6+5l3SquItNaFTHi4Ycfve+++97znveUkvqclC86itx8+5yqqtq2 + DSH0wxBiHWM8d37n+PGTn/r0Z/7+378vBOR1xE0pxVoVLjmdMVgEF+6dRMRqYlua9VjY/ybqauhu + GTdH2XQ/nrtDoQxlEIC0TiElQLCOxxMoWJ/Z+ZqgX6VdHuiiRAFaZyEDQmMWMkLC1mRjY3oMhQEo + q2ZlPeRLnt02dIaCdBWaW0Ju47lP/NkfpCb1kLpiTZ0wqoggUIUKiAABlCmGWFeTE/Hr7ZmYt8Pw + dEUNBRVKfe65CUJyGJHWBCg4Z6m5CSUW6VnQ6vkFLV73+rv/+PP3j4scYFzxBc/erqKF81At/uzM + Z57a+eo31C/XIlIScwMltaxay2i8RKLwIVLVk5unY6lUcwETldUVu13V7bPKsF78JyUIhESZuGgR + kp3ljlImVkKQAlVara1aLvXubvFryGtJGXRBsLHV6VvFihOVTC86/uL4ZJVCPsguZXf5C4EvSi5X + BWO+3FEuYPVP60K5QBbdQkmsxYvoanKniSULSEEMFpDg+PSOab3FAwiWMc9KFlXOOECT2h6rKG4m + BEIgov1Pf54/PFtv44hmveBnAdZtnc9nd/CA0u3WDOlue6vjhgKkDICUJEOFps3GYj7fmpyKBTqE + v/yDP7r16RMf/OT7zp/9WjhGpArVJsacYLFgRAISUHzeY5EU1DX6HlVEaLDsW4252Zw+8vRnP/hH + 7/+P7v0v8zwVGUSkCbFkYH0GRCisICAT/IjnrpC1ePZ9mkwmKaWmaR544IG/+td+fHs+B2D9hwAs + Fi2AEKiUgx1G7QjSNE3XdRaDt/dxd9jGgeL23TJTQwj7JRHe7J+LiNR1nVKyZn3rx3bUM+XcbYqZ + p9PpYrGwO21Wl+H27EtKRE3TxBgXiwURjSHWvnyOkBUHseIpdlj0TGvncOGpoJ03llIs2Xq85W8n + lnaidaCJ239ZoQrb3OzSwMKtmdlO2+ys9Vq+K+ecc84555xzzjnnnDswBi7u5qhAAdo8TKgGM0LA + ZPJkNd2abR7P80kpvBtcbV2pmdZd34UQVv3SQYqD94hXJYh11Vcd+/QHqJ4/+zIcw9lz2Npg1EQs + Ar6KPqDOOeecc84555xzzjnnnHPOObcPG28SQpjP55ubm9Pp1B63ylSWSL2zs7OxsbFYLC4zHRvm + 3LatTcGGrhCR/Tqfzy3W4qYb6WwVSwFUVTU+uN+4GxtFaMNnLNN6TPV2zrmbgg0DDCHYmGgbMMje + W8U555xzzjnnnHPuVtE00/l8fuL4qb7vf/EX/8F73/teAKqwOlT2HetmIma2TCmrHxVCSCnVdS0i + OWdVHYZhLPJmbenOOeecczcFBRKEIitR4EpzCWlyOr6Ukkzq2Hdd0sRBm0oRMrgoab6q9BtL7lnl + ZxGE0HU6rdBUdVPqepiEIcyqrVPNXW97y4+8/EV333XsLmlFz1EdNhpMdNC6aYY+NU29TK3kJKxN + 3SAAt2NR9gujqBQgMCNnVUGMCAEiKCUxy9AsP/rA773+B96ah8yaYwhNCJIGYKqAEDOEAVIB8Tr8 + 6NasWqyq1lXHysx6CKZz+2maJudsPcTsOte6TBx0q6mqkFJhxq/+6vvuvfcNP/qj70hDDpEhelPv + Z7qus9hv60+SUhHR7cXZ//rn/pvxOUSoqjgMeb9Ma7M3WKRpmr7vbSHbwrcgElW1JojDej/O7e9G + rJx+FcXinbta66Rixd7MalVlgrIWzk8+80TmQbUQBb3aY5uSkIq9AgvXCKc2Tk+qDeSohHWsSwXk + F/6WLmNVKUwjAFALoFDMIX3l3MMPnflkqlWVQlQZIAqKQZKIoCiIWVkzAOq0evY9/+J/DLnmHEmi + AFVTDzooimrCoQRar74XRtSKep7EqmhJsVuEnSG20giAIByEoczKrHKZUNm9fftt/ICqKuU+yLY+ + +8kv3H/qW05X1EgKTASigt0c6yCr3eb12EmRMMLJ6alKmiSdrhN5ocKAnWldVP3tksXgVEFkl+ga + WFVUSZbdokv9hhamADCUoCASZbGXXifsjjwr+YqMUdNCsKSmgACFqioJc4DQi0++LKS6VP0VNHRc + vMxX1QBXjwvpqhWmoGy32wVJkQnMyrdrKO9upLuCQSIoQqkdFtrYar4KBT8YQoFizLkU1NTceeyl + UWzYjIwfzAvvfq6qtB6btGeFuvz/4KItdAxlVrq5r8pesD3vnYSInrs4Se2LWa29jADii7KxV0vz + sOfWuaPBKljtPQVg25swQKCmmvTdMGs2Gbo8v5jF433bvu31P7RYLj/2hQ/O+6ebRnKWimpmUiFQ + /v/Zu/cnSbLrPuzfc+7NzKruee3sG1jsEguQ4CtAAxRfIiSakkmHCMoOKizKIVu0SROkEBAgm4Ac + oGCZT0EUFfwLFKYtykaEBMuASNtSQCaDJCgRJPEgsCCAXWCBxQL73p1nd1dl3nvO8Q8nK7tmdqZ3 + ujEzOzN9PlHRUV1dnVWVVZV58+a952tcjWFUAXhbwmjaMvuCPTZbtaDJYIYaUkJuIShL0dmx+W9/ + /N/+R6/6ngfmr220yZTJ2OcrrhZEAMMUrFdjuxtuYbsfj2PHTpw9e/bo8duGYUhN91v/z795z3ve + c/780ggwqIytZTMwQ3XfW3wz8ym1tVaP7SQi/9xe1VcULssHfnk6oHco3cJJzz5Z3edy+8Gs55V6 + iGwI4XpS1e3t7aZpaq2ehLp+Vunw8FOMfd/3fe8jawE0TVNKifXzMmrb1s87DsMwhfW+3E8qhJeZ + F8SZKul4c93Maq3L5fL2229fLBZfS2Eg3wZ6lPV6C81/euNt+iZGuHUIIYQQQgghhBBCCOFlNPaE + 8jhE2+cCCyDALLedAgKkGeab3/fbH/qj7/2L7bl+o5Zp+B3ZOIXYwEogu2iM4n7HG5tPQq7EACXU + pCCDAalr51Lv31r+wQ/91Td99MO0MVNJHCebQgghhBBCCCGEEEIIIYQQQghXj9fi9PkmR44cAWBm + IpJzLqX4JBRVPXr0qE+X85kjl1uUmc3n82EY2rZNKQ3DwMwpJSLyhfsD3UTzSlS167pSihfNnDKq + LzcHx1+1F9ac7h/zCkMIN6DLVTr1TfT6poyIvpaJhyGEEEIIIYQQQgjh5WcMQIkBDIvh+LHb+n74 + 5//8f/+N3/g/vJcocRJVP0EwVaZa/+kFZr3InleRMrOpmJWtif7wEEIIIdwUlFTJmsylKHOTlO6a + P/A9r/3+8/rCYnv79LkXnt8+tdPv9KUfaFvaHXQGq1c+hZzHfDYIqbICbISkSMCsAxFJP/Q7tqEn + XnvyW7/3dd//7d/wvbJsUHI6xR2nxIxBBpFEVGQw1r7ucKLNvNnXngb0fZnl7tqtnxvYlHqgAGAw + gydTG4wTcYKqWVZp+s898dBjL3zhlfm1MyGoNSkNVY0VpDCisSbALd58nUIw/ZxvxFqHsAcPvJiu + r/+6L6UIACJStV/6pV/+lm/55je84Q1EpmQAezrSFAQJ4EUJiS+zywWUEZGIAMycT58+fccdd53b + 2vqlX/yHjzzyJQAqY6TdMNS9c0PMbDab+VBDEfFM62mYSq2ViDzv5mYZYRhuPTfoJy+SrcP186I9 + E5mpCZCNtFI9vf1cQa9W2SBfy8DC1f+S8Yzmx7vjbZqvblUz4gOHZl8hY8Czij0nlY1UWIfcf/rL + n9i2UwORgBd9nzO4zTs7dZb8KRGIlLhqrbIcrHCylNmqtW3bS9VkS6mzWYNaxozwaxBqbTTmxlJD + Q94Yln2esaTB2LO6scpKBIzJ2EjpMluRMcfa/Pru6FIxtbl+9At/+IbXfcdd+T6WDIGqTlvKpJ6H + q0aXDH6++hLSkeZ4Y3OW85RIM4jAFVi9M/7DCArwnltNoinxWs0waD/I9qDLNh0hSmREbD541rD/ + KL9wSaQwZmZTMU8eZobybbPbG50X3TnYEfr07pABhAQCIFT6ul2oF5SMQ9eBcrlPrJIZmaIMslzl + qhLMDpD5bQYwKDGKQNBQe+LInUlbMqwqOxLBXpwrfwBEafr5Evfc86+7Ed/QQ5jJ7O+FAUp77F15 + d9+xWp2X23cA0H0X3AzhJjJ+tpWUAQU3ifp+2TSdiS2Xy7adE8gKH+P0w9/913bkzJ986fcpb6sN + RlKJEgEEYehuhzYDDBqMoFAG+2OQgRWZUXu0DUCoPZjBCaWKYZtn7W99+F/+xA+/7aTcwdb2y76b + bRatRiBTNsCyUQWUEcnW4YpsbW0dP378hdOnu6573/ve9wu/8F4AOaOKH+tAFUTImWs9yHbeJ6H5 + SCPvYJ2m5obrwMy8p9X7c5n51p4TSEQ+E3LKtMYqKzGEcJ0xs6p6cnCt1ceVHkIpJTPzE10i4ie9 + Simxfl52XgHEc3aHYdi7ZkoIh0HOWUSmckI+eQCAFww6e/Zs0zTe1sKBTlN6W1RVsZqHAMC/er5t + 9EdvmmZnZydGBoQQQgghhBBCCCGEEF4+bNPowtXZde8PFUgCr0bQJTQdjm4+Nu9esTPTvgdVvz8b + YPBx78kAAkPN/80OMi7Wdp8NkxGtumdr7TPo6HJxcmsLfUEtVATz+YFedQghhBBCCCGEEEIIIYQQ + QgghXELOeX2qsk8S93kfPglliqD2v15uOT7hl4i8xKRPM2nb1v/qi50e6CaaV+Kvy0M4+r73TOs9 + ipaq6rQyicjvHxkeIYSbSM55uVxOmzufM3jgYs0hhBBCCCGEEEII4Ubj/T+/+Zu/9d73vtcMRGBK + omKralFenGoqJDWVovLbmXmKnuq67qKEvOgPDyGEEMJNRCFGTa3aJW5584GTDx69c6ZNzwawDY2e + WZx65Muf/+QXPvql05/dXp7mVveMfbnMo/CYJkbGbEzGWXnYkaN89Ftf/cY3fcsPfuPJ12/0t9OZ + rqMZG5sZoIkZnI3MTKpZN5+d3zrbti0LbAA3aZbmbHvH0NzydnNzUkLKXIqf1kStaLJtl61EZx56 + 7KN3ftPdMz6uSwFzSqmaGIGNCaakzHbYgow3i6gpAAAgAElEQVQiEDOEy/Ew5vVbRMQHwh14mSJ4 + xzv+7gc+8IE777wDdmGa9c3GA1BUbblcHj9+2/b29vvf//4PfOD/BiElSIWITUNL9s4N8ShrrDIO + pkxrIvJBhv6n2F6Fl8sNlwG2Pn7Lf06V5UO4BgykdGE4sRGalEVLr71weebM05qGlA6ypU5GDBJY + Nd39bwH1+YG7H6y9MmUREZFZ8zXtg6+QGRElD2/glCtsoMUOzvzRQx+2uUoipAzADKXUtk1KQALY + 1KqZpJRyzozxW0nZii0sFePaNBApAHt077X4SYBfmkSifW7YtJKCFUmRzINIFeSHsnu1QlYd/bho + mCgRCpZP9l/+/OnP9FasoEkZZEpQP6wyZs2w/BIPcJWIlMzN7UfvPNbdRsaqmpjFs/cAADyF864+ + XbuRugbYuMaYQICfIKlQZVADI332zNPcmlhV1ZSSVWkSQSvgIeikhOvzSm8V6snxZF6yb1x1iTJR + Uq0p0zAMrPmBex5MpSXjaUaKKsyuYA4GXfqIXkyR6PTWqe3+LDUQqYlumukrV49vyRW4INJeuZ4+ + f4oSBEKJRcb49oOpVXLOGUQlP3DXq7lmvnAPQgYjPVC49fh58IaQp4Lt8Uyn13hRBrNH5jCvb+L0 + EI6JJzJAU0qeG0QJZvbi/fjuaiQmJEISsWk6wUXrzXavRNM03FLWP+i+BRNWYR2sooFgUEhukxKJ + WJKmW24eG27/z77nR+8/+rq23zzSbJRSwFJTHZJWhjJ808jGF24kdXVhgJMiAyhAQWYwoBUpQdj6 + vPPFs5/+zBMfrTyo6mxjvqwLIwWUYQQokSKTwRvz13N1hRsfEZlRSs1qZ5rMSIyK2Hy+8XM/9/O/ + 8Avvnc0yABGYwQyqAGCGK8+0nlpxq0e0WuvUP2hmU5dfuA4uGsh1GFo+fuAwfcbiwxbCy2X9qMFL + V+CwlldQVRHxXn1VnYbbTgdiU5WNw7l+Xi7ehesDo/FS5zIPYNr5+vtuL6uXfJ4XPeGX1yWfXrg+ + pq3QdOZxOgvpf/JtF1b1JvwN8goUFy3Et2zTfdz0jZuue7kiM/MTQGY2DEPOmYj8lr7v/f7rhY2W + y6Vf8SczDINFky+EEEIIIYQQQgghhOtCRKbzGtOcq/V64uvdgDcpH91qWA3LXv0gUIeUMA0nYqQW + m/O/8Xu/e47btHHivGBBoA7em0lG7MOAvDILQXi87IsP0jZCFs7CWBsLTUSAsg1HZPjf/tIP4NyC + WpYY1xxCALA6jTL9nCbjTKfkRMRPkt4Cm+4QQgghhBBCCCGEEEIIIVxTtAKgaZrpdrtwctzekzum + kxQeZX3RTDpf7PoD3SymYjhY5XxjzylRY12ym+o1hhDCRaYZhdPcw9ishRBCCCGEEEIIIdyMvOs+ + paQEASVuVADQH//xn7z73X/fjAxQQ1UDJazVgHrxNAQvSGVmtVbvLPITAVO3f+TphBBCCDcgG5Pv + xqLBUdHxIom51trlho1pIPRpsx7f6G87Vu6Z79w5O3/y3vz13/3A9//YD/7tH/m+/7oZNpM0l1yO + 6gVtIW8gKUMytMEqU4wb4WwNl4bOzL7zvu97y19619/6rre9fvN7Nrfv4L5NxFmHpMuEPkFUi5hW + mBBLSotSc3cEaEWsTS0LJY2mF3yiv5/JFBkjigDkDIPleV7Y9n94+Hf62dmdstV1c6KkqsYVqGzM + mqZQLbp1vxzekvf4IVVtmqbv+zj9G8JL8q06M6+XNJ/GTrxEkXkiYq5iBjDj6aefe8c73uEFn4lI + YEoQkfW0l5uFiBhTbmd9qZ99+JFf+ZV/DAAGWa0kz765fJPDt9tkq+BqAKWUtm2n3ga8qJp6CNdf + NLNCcASYkncjo9aaUmpnaaDlE89/RZOCTVV5ny1pVVM1IsuZU4LvUZvU5Tp/1e2vaTCDUs6teTzf + tS+zxZRLX7UWIhPKA1Vslo997g+HtKypGikAnYqRAQDzFI0MZdTxSRobwQjCMB6riRlhdcRxTX4a + YARlFFYhrVzF66gZyECK1bujnm54gMOezDzI8lw+9SeP/uHQ9JVEtKdVrDUANoalMev72h9XMbNV + zdLdeeyuhjpCIuILGgwGrF6pAUr72KQb1VPnnxceqgmYwWRmJpWIfE0qADBIIy3yytGldquqCjVj + MiIwJcozbN6+eScpq44tyZwZwN7Z9uvvvBIAZQMrzAjMwlqpnNk+UzHoYW5Vkq2lD8NIFWV72FKq + SruJ1gfoM2RGSjBDLZrQsOQ7Ttzd0ozWElv5QHHW6w8C8zKPV3BsQEp2ie+m99P5gQoIZjKF8Rwq + AjMzxRSsdYnDtrWtODPvliLde8mHblWGQ4NXBWGN1C9K1UiVRFm8kWwEIM90lnfmx+Xuv/Gf/Lez + 5THb4o1Zpyw1aU0QvlSLlmCr+rPjDcYAs3JSJEESJAUrABBBG9nOL/zRw7+3bduabJBlzmykq02f + 2WqLewt3c4eD8WS4lNIwDIvFYpo8vLGx8cwzz7z1rW/9F//i/wSwXNa2zQfYPfpU2ym4dNq5THOS + /czE9GSuzqsKL4WZffiXnxa6aIp4CCGEEEIIV4KZp2605XJpZqrqw+OWy+V8PgeQUkopicjU8ldV + b3keoP3ZNA0zd13nQzSaplHVWmspxctelFKIaBiGtm3j+CKEEEIIIYQQQgghhGvNO/1yzt41l1Ly + kNSu60opOWci8uHlt8B4PAMEq2GpBoAJnMAtkA0gIPmI2ISuw8bs+aNHHhtKe/QoE5ZLGEEAxTiS + WgnCPrLIR7eS7nOKiv8vA2zjaHAjKKuStpnbNnX98t7tHoseiyWTIJKtQwir7bZvnAH4mDFgnMXq + J3RyzrXWqAwVQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIRwqnkI9n89rUREjIp8j9qlPferH + f/zHRVTEmqYB0DTNHvPFPBgbgBc79QkLt8D8shBCCCEccgyQjTO7kykZknKWtq3z1Dd5mG/I8by9 + eZvccwfd++CJb/i2B7+d7NIlN30GpyfCqPoVE0VfMFQggRSNNe0wa8/PXz3/xre8+b//kT/3Y2+8 + 8y/cZa+ZLY7zokMlhoIEJMAUkKEgXWVnRDXOl/Ki9ukwVGv1hfLVLzz7EM1skOphrICAhA1krMTC + AMB2iCI/ojEfwgH4tH2/7pWZ97izl3TGKrbZDJ/5zOd+9Vf/SUrZlFJqAHTtnJmHYdh7UTcUZm6a + jpC8dvS73vU/7uwMB1iOl5hmZmZeLBZe5SZyVcIN5UYszxHF2cN1M0brGa1+TFWuWKRoruf708+e + fc6ymgntN9QaYE4AQACZGWqFCrimDRx91R0PJk2q8P5omF37T/4U26mUqYJqsi1+7mOf/4OBeoEZ + KrHRFGqolJSTcVIkg0eteqy1EhQsxEoQgjCEoQzQeLdrcQFBCAOjJPRZS9aStTKUpgOkcYPGpnRh + BvCVriDLlLhvtz/1+Mef3nqS5jrUpecNA0zTcdRaiu01lVIyQa7NA3e9OlkGoLCLXthFn0olKPFu + vrVdPoKV7KnnvoIswmP5OCISrUQ2rfX1f70Oses3vcvlf4sBTGQgI6IEbm1+z4lXJspEbF4QkAiA + yEvsAWlVrW+dqlLiiqFwffbUU8bFSPWwZu/y7ldk/BYI1bPnTwvKWPeQE9tBstpJQQYCm1HWdiMf + u+fkfVQbMr5oe6MEPVAYvPdf+Gdg3DVc4XO7TLCr94cUPcj+62ZnJn6sWmq/duMl1oP3keWciS5Y + 52tfxtj6hcNoSrYWrsaDUfXrgLJSU/LGcPK1t73++77th5rlERQS8wK14+7Ht5mgCqq7m0iCrjKz + jZSML7yAjFnBzILSt4s/e+JPH3nys5KroBDZuK0jBVQJepC2Xrj11VrbtjWztm27rhMRI9peLE6f + PvPTP/23/7/f/t2UkwG5afqh0v7LlfZ937atF0Jt2xZASsmT56aiqJ5vF6clridVbZqm73tmbttW + VYdh8LcphBBCCCGElzS13j21GkDXdVOr3lubi8UC3oUuQkSqqqopJZ+rMJvNDtD+9PF2U1S2mfko + DW/cAvA5D37ocRMNswghhBBCCCGEEEII4abmPXXefQdgNpt5f12tNeeccx6G4RaY6aAXjYozwBgG + VEBVCD1hAIQZKWHWfd+HP/T0PSef3x6agTYadA3AQGIjKFCSVh+HbBePar5SYzy2TmMvlczItGLR + K1TmpveL4tw2VA7xCNkQwgVSSrVWn6vpW+a2bYdhyDkvl0s/j+Nbb5/4GkIIIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCGEQ0IBSumF02dz16pqkzsi/tznHv6Jn/hJgFNuDChFAC6l7LGcnLNXmgWQ + UlosFkR0C8wvCyGEEA6b2H1fhAyNcitIBjIzHowLoGQKtUy54xkv06zfmG9vvmJ+7yuOvSLppUv6 + +7r12fnTaibCrEPXQQSz2YwXab5z5M3f/F+89S//vTce/ctfl96w0d9rO51KZuY5c0OkpJVV2GyM + +9Ex+QdKEA+9NqrKVdIg6RDWn7+4QsDKWjzZGjNwpm0+89Ev/HvbqAOKUfJmLUEPT4g1LkwpivCI + EA7AY6q9DMtsNrOXCtnkVQQMEYnCgK2tnfe9730f+tCHfOJ/KaWvpSpmGxt8A8Y5G8P4xdmXi6Eo + wcz6vv/5X/yFRz7/aMrZgP1GAKuqr4flculFp5umia1TuKHciLHWiAZ9uD5IQaqAkYf4enqfAmia + ZhiWYssnnv+qNbWSFC0p0b6yLdnAIGZPqzUrYEMCoHznkfuOpBNUMtRUwMyqNV0cVnv1mVnOOXMi + ol6XMpdHnnro6XNfrCSmRKZkuoo0VpACq8TjdTTdh8mYDWPu9VhT7Fpd4MmjnmE4HYvadJmep+qB + 1iMbS6k5s7W2hfOfePRjQ7sYaElsbMrrccJj0bRrvpkyM0ZCSfff/XUyCFHSVXTFKruXp+BqA1/2 + A3SphoeSPvPCk9IWziZmRQWJ/UF1XMW82kdoZFrvjzHGQNDxhqknRVWhKUl3352vStYws0chqiqN + ocuX3S/zFFK++rRPTVhiqwRJ5bnTT2mS/Uc03gJYwTB+UWeKMuPUmeeMDMBLHtvswQwiYOaGG2i+ + 67Z7jnRHUQC7KH/a333sf0PEu/9ulnN7iWOGl14mrz5sq/9gq3WwQ9msIiIzmyKF9j7d6ylBpJbW + 1rJZxAWFw2Lcm1yw1bGx7eUNxLH7HkowKFtuhvniWf3BP/fDD5z4etnmbLsNWdapRq0CdVzYaiem + qy3k6v4MMGN37wYvkDuDzMtHPvvvl+k8Z4PK7jLHJxMdK+EScs6llJ2dHd/pe8XSJ5544s1vfvMn + P/lpMx8zdPBAOGb2+OqUkg886vveD7Lati2leAbeFG539V5Z2Iu3mrquA7Czs5NS8k7YEEIIIYQQ + rsTUevcjhak3SUQWi4WZ+V+ZudbqeTYA2rb1LGoAHo1wsEdn5rZtu67znis/xPDGrf9aaxWRAy8/ + hBBCCCGEEEIIIYRwJcxMRDz31H96l93U++fjBETkljkfPY7UWR+Ao+OYZIFWQAHxMfZtg+Obn83W + 3X53w3PpsVyAUu6lAjDWkqykcZlkRMr7DbfeHba0irX2kUVdl7oEMFrT2QvP/+5f+SH0PZY9LEY1 + h3DY1VqJaD6fHz16lNZ0Xdc0zWw287v5qZw9xueHEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC + COHWs1gsmqY5cuRI35cjm8eWy+XTTz/9tre9bbFYlCKllJyzTxzbu2T9ennzvu+7rvMIruvxGkII + IYRwlUQE3qUwK5ERmRqrsSj5/HIQEYMISEpNTbKoLTenXzh1uQXZCMxgJmYmIgaGJWygY+nI8hl9 + 8Ng3v/WvveuH3vjX77UHN5Yn82LTlkyaMqWUkplJVY8+03G2Oa9ShxRQNmVUUAWJkRjZlC4XAFwy + fbJJSSA0q5/5yidfGJ4tTaEEVRBAxkYwWlUaIB0vt671pCr/uIYQrpyXX/aizX3fe8T13nWS/Rvn + /8LMOfPOzvAP/sHPPfbYl5eLYT7fZM4AatUDp8Zcf7PZbBiGlNIHP/iv3/8vP5BzrlWJfD3so5qB + F7iGx36LYFUSIYQbx41ensOm5mcI14QCY4qeUlUWAGNuciJkefSxh/NGMxgERrTvz2GtlQ1pTKvN + TTNPKbPQg/e9DouOkTMlrZZzhgrB9hWbvX9atTBDVUWkplKanY989veXzbaiMkCohEpItgozLswl + cUmojMpjDOHqr0imWbURdIJZQVdBCgNfowsZbwzYHLAxYF7QVXQVraAVbpSTjkXNdHXwc4CtBhtE + hAg8s0986Q+f6h+nDau1sCErjFS5KouRrUU+X0MilnPLku86cY8qiKio6VS+zRiePm3YrS9H42WK + Hh+XZS+6AKe2nu1lh1pSEg/GICIzgvF4ucCtfAx59egq03r3JgaNoe+qZkbG2dr77r7fxETEc1BU + kVJDNNZD3EVrFwMbyJhWuea+WCIyQAlgee7sc2ClxLHfxGprALYXzj5vbEoQU4KfKdznsgxEgICJ + GKwlPfCK13DNpImNAVYyHbcM/h3c9/dl6sXwK1dyRvNFLvjOjv+auNZK+y0SefObQqxLKd4FtvfK + nDXt9H/e8oz+5XDo2NhyIGMykJHvaNiUTT3f2vvuKyoyJeXj6fhGOfFdr3vTEbst6zwp2EAKMmZl + MihDGVjflY0NFVVSJTVSv0XXNp6qSgRh4Ah/7umHnu+fVC5kSOZLMCEFCUi9Fu6LWizhUBuGYT6f + Hzt2TFVVkXP7+7//4b/5N/+r06fPTo2jnNucW4B1/81bb6ptbGx4/6n3mfq+Y7FY5OwdoNWfSdM0 + V/GlhT2klKZe7I2NDQDL5fLlflIhhBBCCOGmoapN06iqNyy9YU9EKaW2bUsp3vhfz7Np23YYBgAe + jYDVUIkDqLV6cPWUtUBE/iieb+2THy7uNw4hhBBCCCGEEEIIIVxVRNQ0jeee+uA977XLOU8TpYjI + 73AL9NclgADYakzPxBgEgyqq+sRjA1KDefPj/+HDpyt6rSnl2YyrKbf5ghVx8AF35A/EZmwGYyXy + wUo2SBUIw2q5G7ht6ywWSyigh25IZAjhItMJHd9K+0kWH8o1baWnc0Av5xMNIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCGEEMJ1l3MrYj6l4Pz589vbOz/902997LGv9kMF0ebm0VpVtRKZmTTNZeO4 + vMCUmdVaU0qeKRD1ZkMIIYRwCxhjrwirzAjzVBeFKWSoferYWIhrpcWTzz++R2SVrYKTsJZy3SW0 + dVafan/wm370bT/0P3/Dxp9vzt3eyLFZO8uNcOqJFkQFamKpohF0Nl5aj8xgKEMYAiqAAKKrhAvd + f37cTW8sC6BXlB1mYGOTollOL1946Mt/qptVICiaNLGRkgofuum3UxTRy/1EQrgpmRkze9GVaY7/ + Ja3P7ve5/wYG4fnnT73lLW9pmkZEhmHwqMRSbppYa69c/cmHPvWLv/xLOfNYi4by6u97Z1le/NeL + 1meURAg3lBsuAyxCBMN1M7YTST2zD2RA9TDaUkrXdUj1S098QUiqH6/YvndjZjAYERIBxiowURH6 + +vu/ESVnbjzRNoGg1zrTGgCIBaSkDIBn+tS5xx954s9qMwhXQH335aneq/jBVU0fAtaen42/VfhO + z5AUSa9t0jMbyDIb05TrDIyPaMxXIdFQ2y6bmhmE5entxz/71T9NG1ytNopkClIhNarqCanXPkPR + zBI4SXtk48SxI8cBMn3RFtJ49fNyz+fStxvpzrB1aus5YRUz9QxXGu885gGP/39lx6WH3OrLMn04 + 194rnm4kogROku+9/b6maWo1AL4d8BBekUsfwXqONXCJxHE/9AVDk50+98IgAx3CPhQAu2niF3xc + FXrq1PNgM8DMlMbaifsKemZD5kxjLxhlNPe/8tUolCjBEoxB6p8BAx8g03p8/qv+CzPKOV+6O+PK + WklmMB6TrUsdDmfPiDGZWV+K/zoFXV9S0zRkMDOi5JvN8ZsVwiHANu5l2DzTeky2ZvP6sOz3gREZ + jLSwcpdEZM5zbOHbHvyOV518DS07/69kSMpJMywDMAIbWHFR1/Qq3Ho8VyHsV6AMU+SMXnC+397h + c4889VDRRWZOCgBCMDKgsimM/VFCmHRdd/bs2WEYSinM/MEPfvDtb3/7qVOnF4s+pXEvUGsdhiE3 + TdO2+z1+8dLVOzs7ZiYiU4I1Ec3ncyLa2try+0TPxvVUa+26zjteAZRSZrOZvxEhhBBCCCHsS9d1 + PrJBVf2MftM0fd9j1XfXdR2AYRi8wdn3fSmlbdsDPNZsNsMqdMEfiFa8x9gfUUR8JsPVeYUhhBBC + CCGEEEIIIYRL8f5Aj7L2n1hVG/EiI9M4z1rrzT4kgIAETOfUbRp7ST4oXDEOVNUEhQHEmG1iNn+q + pZ1uE22ztVRTFalGUDIGko6jZw1sxC8e7LwHNoxj1ln1wjFGg6KbdyqAYMZ6kvEbP/Cfoh9iUHMI + wU0bZD+ho6rM7Jv0Wisz+ygyH+UVQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYRDom3bvu/N + jJBE9Kd+6qc++9mH5/MOADNvb2+3betTxgCUVQ3zF/M/+YQFrCYy7HH/EEIIIdyYpqSSyB9xPsG8 + MoRggHlUmWWAGcmMigyUoKjo7OnzT55ePKt86amaq0xrv24ipoqkqd05eke972/9lZ/+q3/+v2yX + J5v++Dwdb7kbhkWp22I7hkEhCgEnStnnqpOtRT4Bq3gevujCdnPP979WVquODVBj5qrgDfrE5z/W + 846RZG6SMhkbqZHQKrZJd//1VjOlDq3Hrr/cTyqEm0xKyY+CpzRrr76yx79Mf/Wqy7VWImbGl770 + 5Z/92b+fU9u2LcAisrGxce1fwdVBRDs7O29/+ztUUKsy5/lsU1T2m3ejqp50A8ArJJhZ5KqEG0qU + QQ+HnNe1olWg8xjfayCFnDp76sy5U8uy5AxjHKBhmRKbQRVEUEUpFcCRjc27b39ly3M2VtVVBTS7 + 5kG0pMxmJgktwIW2//SzH9nW7coVHne9egJ+/EWGrEMjNSuyIAuSgrQFGKTGgzIkQRhCEGrVWjaQ + 6TW6ACgJfeI+8bJBn9FnDAmFIQxhGF0Qxnyg9ak5E1WQaZntfPzRj5xbnGHmVRajGZmyeJ73dcDM + tWjm3ObuvntfSQbmMb76oqbZ+NqBKU/X1lbFhQfYEx20f+rZJ707wEs4rU6N+DfCs819gTZ+LcLl + TR+5i/esuur/4LFVbUJHN4/ddcfdzLuno/b1EBM2KLiacoZAz54/s+h3BJcIQD9UPKIVAKCl9qfP + ngIpMfzNOVg3gaomIhWw8bEjt9158h4GM9LaN0v9yteeA3+ZA4YLPidXEsttBjPzJJ6v7RndfPxd + 9rqiGHsz93pnEjcXrGGLFnI4fMx74ZkNbJyMk44buGSclJKBjQGu2XouRst++8xmnp+c3/HGb3xT + ZydynSf1TGuQMdtukzKtfae8ibK66NoFyuO/MBEJimid95/+4ieK9h657csUVoYlUwBGHIe0YV2t + 9ejRoznnpml+/dd//d3vfvf58wsRGFDFAOTcEmeAa9UyDAAu1U6+LBHJOftehpn9etu2tVa/8ciR + I0TU933TNFEO9bqZGk5ENAxDzllVD2H7J4QQQgghHIzPMfBO2lpr27YpJWZmZm9VepQ1gGEYtra2 + PH+amb2zl5mH8eBif5bLJQB/iKZpPFYBQNu205I9YDvGFoQQQgghhBBCCCGEcK1571xKyYuV+Eln + n5R4/vx5M1sul6WUWus0bOAmZoAAflKdxnF1Rqu8a0KCNtAGlqb79wbk7/+Df/foEbxgw0bGrOka + TsIwoBF04sNZx1Hl++XjIYVMyPxXH8aR2rS16BtuZk1eDn3tt04URW+QGM8cQhhHCvmZnWEYUko+ + gbPrOjPzOa5N0ywWC78eQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYRDohRp25kpqeq73vWu + j33sk8xYLHrmLGJeNmoqW9o0zeWWk3P2+C6/MzPXWve4fwghhBBuQHtHbx5OSiiMyp5szYoMZNYu + SUdoiZKlXEh69H1afPJLH9/COeVLl3wnwrSCzWAGZmzixCv1dT/2F97+7ff9xbYc2dw8Kjzs1K2l + bhFXTpaSpQaUocmKqZgwSraeqWdUNp9LnhVZ0UwX1vFCmjkCZS6wWhtrydaJGABl+uqpr3zlhS8j + SQIlTWwsbMIKjEF1hyol/KYvFhHCdaeqTdOo6nK5bNsWQNM0e3yVUiIznbLk15YDEfvAB37rgx/8 + YN/3OWev8XIdXsJVwczvfOc7n3rqKREFICKL5WL645Uvx2vaeEGb2Wz2khnhIVx/N34bK6oOhWuG + FGTeSiYjQzJk/1J0bVqUrcdPf3GHt4rWLhPpvr8tSpxSowJVMJAYyXC0PX7fyVdt5mMtz1S1SqGE + onLlubYXPgSU/IV4JjfILggw1t0cYoaxWhUdmFmsnqvP/8nDf2hzLSRMIBujks28EJuvIoCUlJua + m9q2ddYKZ+FkqwzsMeyYPQGUjJNeqwvZKtPXmJXJmIxJGZZhDWlDltmQFBcd9nixM7ZVTPNukiKv + ohDZX+xOX5vcoYCZtR0efvLPnjj/1Zp7kDAUICNcz8MLTqnWmim3mu49+cosbWtNUvYVzwAZjFUZ + gPIqOvkKCduQls9tP1NKnyhTQjEpUKN00WpcCzy/8XcZV9XqawXgMmGHa1+3ceUwSHXMsGYlVYKR + GCsAGMPYlKRoS7P7Tr5qkzapMhsrIFYZaFYBJf4pXUWqY4ypJt9ejSnmvgVIBlbLAKBn6ulzdkas + JBDAfodxQ7F6qmRMAEEJApLVa7zp31siITAAACAASURBVFz/yq/nebOpsmxj+2w5g7VXuPYtuSBm + ddpEXGC1soailNggmZvbZ3efbO7sqIFU/19DgmUlVdKDJqz6G6Ge25qQFAZ4NCzv3mH17JUU5J+0 + 1TP17yyrf/AAkICEigwFxS4fS7/6eNxCSH3nVEkKqlgC4IdktLa6fJ0ogY1a6pImMzMWW61AAL7a + d3dAwK22rkKY2pMAjX300weedwOo/VcAgLGnxnLXtiSwBX/H6950Mt2Ta0MGQPVFe5ZxuXu2UvxB + /NFULRHm865g59FnPt83i4EXvoUkIzZea+ztlVgfDqGUmp1+2NlZ/uIvv/cf/sNfXfQCIGee+uJq + raYVUJhh/z10XgLVlwNAdewSzTkTkY808qDrqTRquA6mzlZf+VM/9eW8rE82hBBCCCHciDY3N72h + 6D99/oDnSfstfr6/aZqc8zAMfd+XUqaG6IEb/758z7ROKfnj+kGHt2xTSj6BYZr/EEIIIYQQQggh + hBBCuKY8DNXMfFy3qnZd5z2EOeec8zRU4NawfgbdR1EaQOCEtXHtBOQWOWOz/cpdt213s6qQvkLM + ACNKujvqmGx95O2V8mGTa7+urhA1iW3QWuqso24Yvk4V585Ci+0OGVJAbXot9qJbQgi3It9Wd103 + lZHyczpE1Pc9EfmmW0Tm83mMFwohhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQDhWmrALm9J73 + /E8f+tDvMEMViZOq+hyxlBIREREzeznZSxqGwQtMMbPPX8g5xzyFEEIIIdz81MiMALARg5THQBZW + gRjanAy1Jlnm4eEnP127pVIFxsAvZSh5WFj2G5EAskTorDlW77iz3v/W//xnvv7E60/Q3Z1sbp9b + 5pxnG41aJSIzE4OIiYiqEllieHwSmREUq6Ax8yio6YIxGW2/r5am2LLx91V6k41rwLD7WvwFruWa + XfDz5cK6il3DGH3FBkCN1GhM61itNA8fUbXKwLLvt+jco88+UrMOMigJUP3tZvPcswtinm5ae0WW + mEVB1xAOzkusMDMzLxaLnHMpZY9SzCJmhpQSABFhZp/7zwwiEOGd7/x7X/j8F6WaiLXt7Pq9kitE + L66awgD/r7/+z37vdz9cK1JirFYLjdvlfVRZ8YI20wqc6lGHcOO44ZL5CGxGqgqAVkWJiG03uHf6 + 0hofuozVcLXVumRYQ5yU1Rqzhi0nM9I+df0nn/iTM80patkkkSa29NJLvFAxNspd4rKDlGWjafun + F9/5mu9q68wGBcANVxJLBk56cZjqS9DxGEaVDPCDK7AxaQNLACupkSmpEisaRVYSsKjVtMEf/eIf + v0DPbvMWNSBFsnGZRMRInqK6I8ibTT+AdLOtR2fDbF65G2on2lVu6qwp81w2k3bZaraapckyv2aX + tlXtpG4UPtK3m307G7pWmrZ2jWzM6VjqubPWCohBTbtTAYBtDIWlVbL1eLxJMGSz1qyFjcXXiCGm + jKy1airpOP+bj/y/9VilDdte7jBl0kYr5zy7XmXpmJlZJQl926tf3y6aVtqkLAQhsHIyKEPHDSHT + mL2q02V14LR+GQnX5ZHFp7/yqXnTzqgpNkiWmrmYsXL2I1KYx7sKkWHfn/+b2/jNGnOFdy+rvyux + gmEJlvxP3ssgBCMlIzIC1KhKlgqBEVkCmCg13NAiveFV35lf6DbkiAzITTtUbRgsYMCIK0MIZDkZ + A7uhosmUoUoYEkqCERpL7ZCayiKlHls8/NxDxfpE/h7y2NkBf7YNacOW2MAQpoEwADK+lhuvSbAv + YqCcADBzNRWRxFZp+cXzX+iPLhWaBcxctUgC/I6rjiejC5NbaS2xfJWcWhjUNbVaHui7XvOm5txG + WlAyUy5CUJsLZkJqXNhs7NPZp5SSqiZQRjPPHTdQk6SclUEeYA/CBU917O7RvHr7tKpQw0gwzY3N + GW2P5Q7OCavSePDjWef+oErsHw8l3k1Pv8kzYsmQWUUXC+wsIYOiybO02tNhreqlkRoxaT6Rb5vp + DIlqKhUKaK01peRrjMdw8amj8Ob+soRwEd/brXU6q5Guepx5uvj3hgyNouWkg5qZqLY0a8/NvvvB + 78mSxFAz0FElEVgigo4LXyVnv/SFM4aCGTe2FG64tMNDT/3p9mxLWUlTljZLAyRhgOp4DiPcusZW + 1vjLbp9Abli0CEQgRUVgAlJio7xYyN/9H971z37jfV6iODepVF0b8LPWMDa5ZDvZ+QAjvzI+PlGt + dcqxBsB8wR7B0+Zo5Rqtk7CHabVf9NaEEEIIIYTwkrwx6a16PwrwVuU0eGK9kc/MHoTg12sdD06n + w4e2bad77vGgvgSf0rA+hsCnPWB1YOKh11jlMUz8cf0f/bonNBx0HYQQQgghhBBCCCGEEIDVeX+/ + 7l186z2EF/UW3pQIYAazT5DyoZK0msRKYEZiJEICePwDA0y4/Y4f+Xe/c7ZpBSQMMCsBxoZslmBg + oLGarfA+hyAaKYBklIxAagQY2IAqGQbSTGSDnVS677lnfu8H/mPUXiBjZ2hZAGWAFKwGQkEAWRsL + cnOPhwwhXJJviodhmG7xcyvTiRLfXKeUzOym326HEEIIIYQQQgghhBBCCCG8fPz8i5+L6ft+/cZL + uuiszTV6PuvPKoRrR0SmfJqpAIVPQAsH03VdKcVX6VS+40YT8/VCCCGEEEIIIYQQbi6q2jRNrTXn + nFIqpRARc2ZOW9vLX/nH/+Rf/V//2gBRGCDmhccHQEWKmZmZ9zavl4pqmsY7r9anJPgkhen6dX+h + IYQQQjgIP9fj1SPjFPM6BpIh6xQRXUEFVIwqGhKTbEYyaGunFs8/9vzD0vagMRrLs3sKs6Fha2oF + ZxQBN2gb2Fl8U/rOn3nzz9/bPLhpR2mb2tocSR0Vk6KJGyMCZUJLaBMyIxGUVIzIkIzIVlkwbEpj + JNd4mXI09v2CSZMZYYymZgIRkoGMDSxoRboqzAARCmNpqMwV5KnR5tlP8GSfMTpRMU7RJwaNT46u + 0YUUSZAECUiZM3VsDUyJURWWyQieec0GS3kACqmRddSm1Ax5+5OPf3zZ1NJZbbY07WTVtjakjSEL + sa6SRG4JU9gUABiEE0BqJh4l2/d9NOdD2JeLyq2UUtq29WEV64ktFwZdc62KMYh2NeILrAY1iOK/ + e8tbzm9vEze1KHNW1aEsOUGt+ndWtFwir/a6hOt5CLcBpdacWzNS8Gc+98h73/uPVImAWn2AnABq + 2CvvxtcJEQHKDEDNZL2fwa9MvQ0h3CAum1p/AzlAczCEK5PaxmBURY0MMGKCkUnlfofOPvyVh2im + lgRqKaXSD9TyvopbiWpuG6n9bEZlKRuJj7e3v+au1yXNAJEZCL5ABZIR6Gva87FhVVWMAQEZSA1M + Nj5nUuQmnzt/up8tP/XFj5dmaRlF0QAw7KZ1GoPAhmNdWjxfv/7ubztCt3GfZ5SMdlKuwlWIBR2s + BcCoRD1BoZ0Rk7GRXvWfQAX3bCBt2ZKSypTXWxkAH+8p94+/8OjpxdnS8vGNI7Xf8uDXMcF0bWOi + XvLswihxSxikMqUmNwPKueHss/rE46cfhT1w4tgdRa2W2jazoa/EZFW+trfrpRUdWxJJ6WR3592b + 9z4lXy0grwRnBECNoAT2nMj9LFy49iIv7Dy33Z/b0JPMCmYYqRg8Kxe7o2mNWMFsh35r7JGftvbG + kzd8dbxq7F0YDOgYFb/etB2vkCFpvu/4g/ds3v+cPumJ4V4ksBSAYev/ZRi3EoRkYKgaK0EY5t96 + 0YYYiopa2/7xU4/x/SZ9QfJPhAIehrxqWxsIDFKDgYBbZdQ0Eck4s4WYmWCqakmePPfVIfeAkhIy + GSkRVD3OmscCiAAw9rMAFyRST70nqaFSyjw3uW9effdrj9DxjtsiAsAIpB2gxktA2Zhs3+vVzIjG + mTMM7pqZqhLBlzY+ipdcJAZ0972zqVsNQjCGQFVhIEYiIuFhe9geP1TG05aQoKsuuTGtmcBKmm6F + j4S/INsZlpXEKPmHnw3r3WGr6wpgM29mSQbx7j+mDNmdVOB3XK2Y/bUEQrjZ7H68L7cxkFIzJ+bM + lMyqVT3Z3PEtX/f6f/uFGQMGDFUyOKVUpDBD7YJl0WWWO27rAAVoVZ9WVHvrnz3/9IK3Nvh4rpxU + hdlr12ocJx5ii8ViY2Nje7Houo45AxCxWvSrTz3xd/7OOz796U8TEQhNzqUUZr7U+cK9Pj8pJRFp + mqaU4lPOuq47f/78tXo9IYQQQgghhJuHiHgEwjAMPuvAh1N40HXXdQD6vvcDioMNXqy1+pgMM2ua + puu6nPNyufQb/QlglX6dUlLVKVynlDLNsriaLzuEEEIIIYQQQgghhHAroUtedUyXuKdq23BK2Ng4 + e/z41mI5W/abmbX6iB9WUhABxoSkZgeayskXDCuii25ho060k8Vdiw6LRZ4fQQKgSBmGRDSYtsYw + wPRrHJAfQgghhBBCCCGEEEIIIYQQQgjB+dwZEWHmruuWy+VsNtsjroOZh2Fo29azQIZhmM1m01yY + rx0R+fKxqjweibPh2vFJZAC6rvP5YiJSSnm5n9fNKuc8rcaUkk9/u4rbh6sl5uuFEEIIIYQQQggh + 3FxSSsvlcj6fP//883fcccd8Ph+GwRiE9P73/6t/+k//F6xqzPrPyy3HS0XlnJumWSwWALwLOhKs + QwghhHCrIlsl4IypSAoCQEMdmqaxuiCmksqffelTvWx5BtrqP3cXosCxzSPnt7Y2jkCWqNv8xvu/ + +0e/4785Ifc01rFdasb3hTfyZW4f/3rpkCw1uvT9L8sYIBjD2FjhmVwGAFWrJWraWUMJdRgKuMXR + oxv91hJETP4czQwwweo8MpmaGRvM4MMWrnWzMWVSWGWIKNeakUAEsdRCYQAaRgKXQRXITUs6SAWg + UB5o8dz5p08tT7fcdTQkQ9JOjQFW4CAx4TegMY/p8md1SeHZYBYt/BD2bblc5pyJyMd4DMPQdR0R + +e2q6j+ZmdkDrS9BVYnIR3s9/9zpn333e37t135tNm9TomHQ48eP7+zsdF23vb29ubnp97y+r3JE + ZCLlxLHbt7a2lstl03Snzpz9mZ95pxldPFTNC63oZZ+nh3+nlGqtquqj6XzkWwg3shgjFQ43SqoA + hFNKZAAysmXdpjOPPf/FM+dP8W1aUfuKzZy4aQ11X4tXG4C2VG27WZWlVr7vztfeeeI+Pj3mkbIx + WyboeNizX8YgwNQPtZR0lQYIQAElU0AZBFMQmNqiRY7Kw8/92ZeeeiSf0JZI1JQwJaSSedirpor5 + 1uaxnWN//Tt+7JXHXzeX4zOaDcN5TkVzNUDQAQnGDGUUNvVNyjWKtTZS9ejxcU2ZUfWriTJMiJdb + w7OfeOwjv/nhD5wvO1UKJx4PgYFxRY351l7pTNcPUZVgBoOmRABBDCpn+yc+9fk/uu+b7q9GBEsw + YiOzhpNYuUQxt6uK1IiSklXNx9qTD9z92me+8kTKBs1KWhkgkCEZ/Oh330+H7OzWqadOPX7byXsg + mYVAxiDQGLVBgIfzkikftgH8xrwKO7RV/DxIlWw3BHG1okACgDWR8fhNMmZcLm5TAWZLR9sTr7jz + gaeeeZwyqQgBRrA0Ru0mZTI2QNY6Q+xSKdRK6mmOZgD0q08+rt8ulQdG48m+gGJMsCYjVlIGxmje + W+htZaYqkjnBDERIpKpI/MSTXwZVMwMxMyAgggAggHY36RfErL5otXiXlBSd2/zuo6+888S9vMy1 + CNhXKwAFKY13JcO+SyLaeiFH442NIxAQCPvsx0mAqm/NzAhEBtLt7fPY3H2lF6U7v+gQ5xao50i+ + Y1sstw01XS5Ed/fuurl5FGBTMiNGAuDHqBduVseAeVxcQDOEw4WImJmIADZDHaTL6d47X3HH8TvP + 988opBfLADNLAee10wxXhg3GMDUiFohAnnzmSftWVVJlWe2UFbfE1iq8pMvtBGezWa11NttYLpeq + pZQyn20+/fQzP/mTb3n00S/5nECM53lwgBg5H2m0Hom9s7Pjs85CCCGEEEIIh9w4jGw1lsLr8ni4 + 9TAMtVY/oPBDib1nMlyOLwqAmfmwg1KKl8PwZQLo+77rOq+/M8VdM3PTNF4h6P9n796Dbbnu+sB/ + v7+1Vnfv87gvWbLefumFZdmWZIwNjqGAhIRUoPJPikkKEkjhyjBFETKAU5WpMEmVhwnwB1VUzR9T + NcUAgaoJj0oIEMAY87J52MZI8ksv6y1ZupLu45yz9+7utX6/+WPtve/RlXSle3SPdB+/T23dOjpn + nz69e3ev3b16rd/3nL5o55xzzjnnnHPOOefcJU2ziQQUIKQP/fZvffZbvvn63Hd9HxmBOiLRRgGI + qAj7PJFzY9Bf+cZv/2d/+ddAj8ObkABoGfpJO4HVoc8CQLga7OvjPZxzzjnnnHPOOeecc84555xz + bo9EpM5hqYEfXdetUqtf8vmq2jRNzX81s67rzvk8lzqPJudcC6HWChh7KCng3CsqpdTysqvAm7q/ + vdHrdWGrR6uZlVJijOdbpjUAn6/nnHPOOeecc845d2EJIY1jmc36q6++dmtrS1W7rsuK//Jffv1j + H/tYfU4pZVWo9sxyzrX/uZaN8lhr55xzzl20TBb1/2vIDkgTI2EitBg4FDFhjtt33/sZxrCMYULN + OmoKgFxCFpN+Ftdj028P69pd1d74jz/0vZe1b06lwfyNe3UvQQqawsZAGGAZBlgE0UzCzjBSt0hg + QBcBbU98bdpNavbPIriaRE3fyEMGQEIIkiBALAdR7FuGDZFhJSALFGDMgSno+mjzopkCC4uUIjKw + MAQBWWixWEop5+Hk9vEnnn348suPoIionJqAT61ZS2cXE36hWY1ysYU3dnWcu8DUMWCr/+37fnWx + HEIgqaqrsVuq+sISH6fGdC1Dr3OMMef8+7//8fe+95f/1f/8kel0ezLpdrZnKTU1J3s2m6WUXp9X + 92JjySHF559/fmNjgyyllI997GP33//QSzz1jD0Ga2tr0+k0pTSOI5ZDZTzT2l0QzutYa++tc/st + GwwWxEQAQhVQK6H03fBX9/1Vu5ameUQDFRSUFNtBzyLW2qghYtSeIeYRXZpgO976jjsx1g9aBQIM + UlOna6zy2ay8mCgENVyTq1wEBWq88eIKUEwAAwtNosYd3cab8ek//2RJM7AEA7Sm9kIBWBSjshgB + QqZy8+Vfd/3G2zfLFXE4EMcUchuiMhQVNUuwBiANwQqxv8nHSlEEpSxeJosxg0bDOB3XJg3C0ITm + 1uve94f2hznlKfsCrc+XU6nPucabGkAokIl6mQQAqBd+ZsNQLKKbxDzbvuuBv/q2d3/X9s52xy41 + YczzLnWmKnzFoNTXqiZSFIqWEMrmTdfc+pmH/0TAAhqRuYgjDwo1qVHKOJv4WwmiGO97/Is3X/3u + sDURJi0aAg0G6qm96JJl9SNSlXpqU5xKua2HmNVMeu66xn6p7OnT0QRDc/P1t37uqU+lEAjtMwYB + ArSmlavUFdDTI4cXxJZ/SKgoSoUgWz629dzx6fNXxgMoQUxOrT8VFMCW+ZACxFO57xc8BRVFJcRS + CiPNSiEGG5965jERUyw2gtVce9V6sJyWWlwjn+s2Ue7qTTOoaYNWZu173/k+saTGUnJKqT5RUDue + CMhr6XAhaaZm1jUTWn0HX/F3ahfPC45WEoCaFYVKkOl0G+sQE9aijYCYKutnBJbtaiUwnFVLcl4S + GIRxOj1JFopayadtSiMW4e6EGNYna5ptdTjUnqTdJ6L1MFQ/LXUOWvuGiilLCTHkYmM/SozvuPaG + R+69D6mPUiyvOmfP+g+YQeoHJUkSAc8de9bEjGpU5elNt7s05Zyb1B3fOrm5ebBt234+fuYzn/nB + H/zI8RM7AOpQoTpmaM9zUFfhczHG2tmnqj7D0DnnnHPOOYfl1UGMcXWZYGY557W1tXEca8GdWqZk + b9cjwzCklGrdnzrmYBgGkiml+odItm1b/3rtv6olgeqvd11XS6Wcw5fsnHPOOeecc84555y7lEkI + MAAZItg8+ETXvu3gQTxzTAw0mBSTOu7w1Yyffa26kt82FJzYxhWXg4QIKG0bNRcu+kVZ//NOUuec + c84555xzzjnnnHPOOeece+3atq1lWMZxXBUMfbnCgLVeVoyxfl3jQ85hIcG6DvVPjONoZiEEVfVC + hW4/hBByziGEEELd2by49WtRp7ytqnbEGM/PuGifr+ecc84555xzzjl3YZnNZgcOHNje3q6pV+M4 + zufzP/yjP/7Jn/zJGpTVtu0wDH3f156fl+vlq1WesCgRb33ft23rRWidc865i8zizrLf9AMALPPO + FIsEG9acnSQcx16NWezZncefOPZgfFOYFciuPKxgAFAEgOacxdJB2VgbDn3fd/2ry+UtzN2YS2I8 + fza1EQYqqYRYrLHWhmjQfhjW1mXYKaXHZZMGo/QnwzXdkWE6l1Dj30RVTSkmJEkhGSgikTVKY2F/ + I35yzmyYU85q0brG1q3ntBzfmX8NawUJQ8GomlKrpRTtJRgJklGQhGrzex+9+7ZrbsUs0pJZWOV9 + EHr+vFP7oV4CkITBQ62d2wOStcZy/brWQzazyWRSyybXp9Vr8Bhjzi/dHpLMOS+/hhl+7ud+7rrr + r/nuf/gPAFXVYRjW1zfn/fSNvRhPKalqStL3IyC//Mu//F//639/8dP4SsmV0+l0telW1aT3aZ2d + O7fOx1hrkotT1iXPt3b7QSEGBUmaYbQMU2RYL/1RffpLT9xV0mgFFKQWYpzNZiGe3X4oAaaQEJhj + KPFQd8Utb7kDswYQo9ICrSYeEISd/TWG1AhVAFA79VG1SG8VKAy0xXcEKMXSevOlY3fd8/RnZF17 + m3MABVpjTE3EhFZzk+vy8b7b72hTGHfmoXSmttY0IZbZ0EuBQWEZCDQQSujyb+0LIhpDjaauWcIE + QBOVdU5SSfNerWk3D15x/dtv/MxTnxxjNgKmdesAACJMQa3BrrQaSwwsk0q56+sExGA5TZ/afvRL + j37h9mv/zrgzxDgxqNrIQigY9vfaSsBRC0OkJc7s7VfevB425mWHgQYZJQvRFhAQ20vYaqGm1r7y + xN1/P/2jyC5a0DIGstSMbBaw7j+QVxfVfHERagLVJIPFqIDAAi1ikSU/ghlQJWo+dD0ateYQL/pT + linsS0pdfNdCm7tb3nLrxucPnsQ8UACMRRAUQECNteYYzGrksK2WAAPEULhI5GXAOBRNEEEpmpkf + Ofrw5VdfJ8tWQaCAYbHLxsUCEZbvKvc1kP51Uzu8Apuic6oVWok4Od06uf2cbGZbKPXt4QtTUVfN + 5zJGWhSLzPDFlqeSiBYP2JHb3vI+jskMiAGkWN2KhQZRUda3ZrE+e2BmMEuSWmnmhVi++TVyW4HT + mll7qaxlkZqIXswKg+zMT754gy2j2U+1hKcCuXf1CV6gjJEhbs+3GAYilzLSIEI1W2wui1iEfCug + 690aMkMIwgCloXaysW6lZbq530V2DgBUNQQhqbAgqZWIopLlputu+ZMv/kEZc2wkD1ZKkQhVnNWR + w13/iiBTSTu+c7zXvoRSiu7+yKIJLrnzk0vPiz+PTAAU0z6XjY0DWvDs0ef//M8/9aM/+qN9v+i1 + TCnlnGu4NXYFVL96IlJ/pQZjYzlB7rW9GOecc84559zFoI4DqHctSyn18qFWJ1lNS6gjBvDycxjO + oF7CjONY/3c126H2b66irFdDMbCrRka9hEkp+fWLc84555xzzjnnnHPuHNKhSBPUirQJqdteO3zy + xGPrhkgzoogpEa0OZa6zefdxZdpSDk+3MUxhw5CRwRijDrOuaeuYwFKHPdexnbIcJ+icc84555xz + zjnnnHPOOeecc+7smVkpJcZY584AaJrmDPUAV7U4qzpV/xzWD6yVBGKMNVBktZJedNjtk5rRvopO + r0eET93amxDCzs7OZDKpU+QAdF1Xj+g3etVO5/P1nHPOOeecc8455y4QAiAl2dnZadt2e3u7bSdk + uOuuu37iJz46DNmW5ZvMrCZei8jL9SfXKk+r5wMYxzGE4MnWzjnnnLsoKWFEzRoTmC7SliJqDJmp + IlhbPn/Xp8Z4YrBcAKPUnC8uJ28HAwwhSVvWJ9sb3/v3PnJNvGlDryhRBhvS2SVU7DulKc0WyVtx + GdIjKWF6UruAg5MJdgTTcNCOTMYjN151y8Hm0OHDhw8ePLSxcaBr19rUxRhjbEgRrNLiFkVH9/W0 + USzKkCRyaIbBBgCCmOc8WZ79v37jJ5/ffmxYH4mxCERGMwWQFTEg58xMtSJN+cpjd8++8Ts37XJq + MsoysUgXsVaXzLALH2Hi3B6sRk2cyokHjh8/3nVdvdxu27ZGw+ScX5zWV9Xwl1VFZRHp+/Fnf/Zn + 33XLLddcc9Vksj6O/fb29traWj/MTo03e92TwoY+p5RSiltbWw8/+vj/+dM/fVqzsRpEdOaopLpl + 8MKxbZ7D6y4I591YLudeX0JaMUWxoDGK5GClGe5++G9O2DHk2dpGOj6MhZi0oprDWRa2KgUMsFKi + rOk03PiOd12xfo1sdTBRqhgIBIUKCgTcUwyqEVxEkOoiv3bxA5jUcGqYiAHQYrnZDH/6Z380a05o + 2DGFGAI5wECISs3bRs3DVhxZu+qm694VxiYyNWTRMY9lPuu7STQIEGAJRrKeDqyCCOu1xzn+lxCw + Ro0KqEYCgRYCpYmtFrTYNMR5KR+481v/5nf/khysbh5To9BWpxpa05pr6uuprUXAUBSMCA0jOfZZ + BNrlT33hj99z8zfovDVl26ZhNu/CGlQR9vljvsDq65SGWS7bvPptb77hxNPPmahSVdQAGMSwiOk7 + qxhaohRYKk9uPfLUyUffkS6Lo4wGqFmAntobBeAlGOZaU96XG1RrNjotwESMxKkYa4EoBBZevJVe + Pm5caQilvezAldceecsXjj7DvwmTbwAAIABJREFUNYsRIwIgRMauoGXji5eheEEXjKKGpAhKUSZ7 + 4PEvv+e6r49sxYLWbHLWU9larA9a+zTstGVe4DHGZgKKISCoFRMroTz61COjDWCpzZppIaEKUk57 + vXLq7Tu1bWuYcW08IiN6vv3ITVe0VyftSraubcd+CASxSCuniQAFYtx9EL0qJAGjmClhQuNmd+Ck + PguYvvDQ5rK9qi0AgLp/7n4aCUCVWjAGkZM7W6ea08Ur1RqSbQSgNFnlfBtluftdsLuESQ2vPrnz + vGIQsWwGo5LLq7r6ebd47whsrh+giSAQwUBVxBddyNVD0TuZnKu9HiGGUooVIwmTYOmqI9e2WBvG + OQKlJntFFIPZ2ZxA12OMi/4UVVWUIffb063LgxpVAUAuna5t93LIQHIcSgjh13/9N/7jf/w/AMQo + Y1aS4ziSrJNjV+HWZ6XOgqudm7Wbz+eYOeecc84556oYY51vUEpJKdUSFTnnmjaN5RCBEEKtV3K2 + g5ZKKV3XzefzWrtnFZVdM63rQI06TaJ+B0DTNLUeSi3H0/d90zQ+TME555xzzjnnnHPOOXeuSBP6 + +byZNNAB65v/7Pc//tn33Hrd5lqZ9sEUgNhi1Kvt/xC7qHqwn/7+d/7d7/jbzzXdeh0QGVJSFKEY + YBDFqSHe3lXqnHPOOeecc84555xzzjnnnHN7Vqft1693dnZijG3bniHDYxX4sUr/PbcZwOM4ppTq + FBsA+/EnnFs5LUO9fuEZNnumquvr6wBqdBDJVXr0+cbn6znnnHPOOeecc85dQERkHMec84EDB3LW + L37xix/5yEd2dnoKYki1fNP6+vrOzg6W0VMvaW1tbTqdYhHBtSi/7P2Bzjnn3MWkxsG80WtxHjEI + qGJGQw1dUkIAM4sxjLRp2frb+/6SG3le+tB2qkoDqWKLSJ+ggMWAdjip33b7d91+9YfkxGZAwyDl + vEu6UVDBTEAFWiAQEICKojGsaSPzpplO3vWWOz743m+75tDb1odDrXXCSNJMVNU0cIDkQC7SqWii + MKgZVWg1KXw//g0am3FCctbMM3pwFEma2zVZf8817//rx07286NpM4wogykUKaEoJNBguYwAYmPP + Hnv86PTJy3kZLCplkdMDFRhfIZv1IlGjTzzW2rk9MLM6ioaLODQVkbZtzSyEICJ934vIsg7zyy4n + hBBCGIahHo+TSfvww4//mx/7sd/57//t2LFjXdd13drW1lbbtqswxtdfjHE6nXbdWgjhR37kR4bB + SNS0u+pVNiM1MWd3prUPcnMXCu8Oc5cuMUAXlztmFi0laTT0W/rcZ+/9dOkGDRpCsALNyDmHhmeX + 8UmAUIUhW8ZGOHL7jR+UoQ0aCYAGmhgIFTNQDS+XgHumv1BfirKmk5pSlwshTcSiaBAjIEq1VB47 + 9siXHrurrI+DDQiQeNpnlQKlrl3Mm3fe8vc2+BabrofSoaBLXOvS+iRZLlqKjtSsms1yKWUsZRy1 + DGr79Mhaig6qc9OZlR5jwVgsm2Ubhjz0amVN9CBnh95y+XveeuRdMu2C1sjvbKJ26qRFxBYF1FYt + oFFqyikJMzNYoKpCBbnJDz73lfu/9iW2QVnzuzUKX4fbCWYWAtUygISuKWvvfcf7122TAJiVWOwz + i73orC/LSWTt52n77oc+X2S0ooFiZrqMVBYDjTSp4ejn+OWd77T2J6zipbksukdAjEFjKCmUNpQU + ShKL2NVArA5lMdRda5kbrTVsGACVnIf33PD+ZpxYLhRIDCah/i1QgSKmtafAuDvDeLE+VTbF8jgO + Ab32D33t/u1y3KgKEQ1AhHHxfKoSRihEEWsDSNQm5MJGKMWsLOLYi+gY+68+cT+DFlMAFJghkKUU + knWrAqBBTLh8LN4mqkntWtJF6vNoHdbvuOH9Tb/eaAtILkVPXcaUmlZOlb1WQdQ62r6+GhYe6A4l + pMX7dcZF2q6fkqCBAAWkGa1gPLl9bLmEFx/Idc8+tauftsALFovZye3nMuaBFpadRHV7wqQ+DEJD + UBzcPCQINIFCTAICGfC6FNl07oJT+4OUaqTmotmYGTRtxMNHJlcENqWUGCOoOKtA6yUCyADqpxWU + img7s+1CLTSj2ep01y7B85NLmwlMlKIUYRyHAvDf/bv/7WMf+ykAKYWcFUBKqWa/5Zz7vu+6bg9/ + KqVUhxMBIFkHFdWUa+ecc84559wlLudcI6XrUICmaabTaYyx3jKYz+dmNo7jqgTP2S4/xjifz+uf + KKWISK3TUZff9z2AmpltZjVjW1VXo6NWdXmcc84555xzzjnnnHPuXNmZ7rSTjhDEBm2LyeS59bUn + y5gFNAu2mBNbaMsRs/soWjnAcZK3YXPYGDNiRs5ZwTqwI0AAGYChzi5XHwXonHPOOeecc84555xz + zjnnnHN7NI5j/aKUsr6+3ratqp6hvOYwDDHGWnu0FiE9t7U469Jqxc/Vmni5T7dPaqZ727allDpp + q0bgmNuTRfUnVSwrQTVNY+dfxXCfr+ecc84555xzzjl3YSFDTQzYmfUPPfLoP//+Hzh+chYCVBdV + ZDc2NmqmdQjhDHVlp9NpCOH48eO1uBPJ87P/yjnnnHN7s0yBcS9hd06NEiKiVGvHrz597zOzp4bY + Q8wIgxghBhCFKAQNsaQwdrdef+c3v+s7eXxtXdajsd+eRws8v86kVNAHZDADWUWLqHIEs85wOK2F + 7fbatRv/+Xf96//pO/6X6zdvO6BXNlinhjLa2Jfcm2UJTFEazSyjajbNhmJQiJEWUMQKULAf/6Io + yszyVIZeSg5aYtaJygFZ/4ZbP3j52hXRIoka1hQIKEgohQEkUkDW+djsPPzM/UUUkEWiHhRYZLdd + xEfI6ob16us3eo2cu8DUeOaUUh3yMZ/PQwirD1Zdpj3X8RVmtoznewmquhp7A2A262OQz3/+Cz/2 + E/+2nawjyNZ0Z/3AZtd1q1ix0xZwduGhZ0FOPUJs19YZ0v/64x998MFHY4RZTSA9u8olNTHHzHLO + thzqVlNvnDvPnXcxYC8+lfePc7d/xETqJZJJMFHLJ4ajX9t57PFjDw+cabCd2XwtYqPFOKqd5UUP + DTFAMxoJ6PWKjetuvO42m0MWvdYKAFwmzO6RrRZVU0iNWJ73i1iCJSAqogJFytj2X3j4cyfLc71t + Zy5KdakuMp5pSqhKBhBz0wyH7rjpQzLbbHRzIuvMarn0s+nQ90IGhECSDDQSpFFqlHYw2ad/jSyB + WagRGmERjDCKMZTYkGKaGXO7ljduv/7OQzgYi9Q3gvXikKc+mFdvptRMaxNDhEkIUWHzAaqIEWw4 + K/M8mX/mK5/KTVHqrJ8Twcyg+980BQkh5DxYLiLBZnLz1e/akMM181h2RUUKlMhnl2xtiFFGFKyX + rzx2T8/pgJ5iQA1R5mLBpx6XHhbAABGLtEiLAGRV384CLMEaaiMWwotih1/xqjsyoJf3vuPrD8Qr + mKXez1p95BkzmIlc24e6eOVL5A0XgwRRwAwSZa47z+w8tYPt2h2grCfZEYjcdbZtpBHLd3b/Trtf + V2KLyxUliugYtp88/iiSlLJ8PwxkMD2tNd+9h9dfV+OpGHJCaQhjOJgOv/O6dzd5DTmkEOfjEJsE + QGBgjYM/B/0tJAMIDZvtZkRT12fxM4PYqX2A2B13rSAIyAsiro1SMvN2v2205esCgEVc/SLVe/Xa + L4bdADUKl5aRp8NOtjmliJDk7mabhhpSHxS0uDHZEIkwmhEAyTqF6RJt/Zx7eWISJeSciyqDxNgk + CWSIFhqdHFx7U5LWsqUmkFTF3m7TvOD6TxBj7PNgVFCNWHXX1OPzIu7mdmcQQtja2vqhH/qhX//1 + 3yjFQuA4Lq6yanRcKaVpGgDz+XwP9wv7vq/zyuqQozr2yKezOuecc8455wDEGOusg1qXx8zW1tZ0 + qes6kjXlug4aONvl1xEGOeeaYy0ideSBqtZKKFheqpCs1z5t29YY7NUSfNykc84555xzzjnnnHPu + HFpbXy+mBoACCmLzHX/wRzsbB2YROZwad7gYzGN80dywc0lMmzLfzAPGjF7RAwVtargY4rsaLoo6 + rtI555xzzjnnnHPOOeecc84559yepZRKKWYWQqgzZep8ljP8So2qrc9pmmYVjH1O1Ik2deJMnXqz + Ssl17pyru3Hf97XWRN236x7o9gZAnXZXvyil8I2bB/dyK+nz9ZxzzjnnnHPOOecuLOM4dl2nqltb + W9///d9//PgJEqWAZO093t7erl18Z64rG2PMOU8mE1Vd9WJ5v5Bzzjl3QfOP8jOrSTc1uWcRXkMF + lBJn42yMsy8/eo92ZSePbGQVw6lcRuQYYE3Ik4N2xXd/6J80+VBrGzoqTLsY5FQIznmBsIBMIBhA + KNUkm2RCN6TRY7jjug/8k2/9gVuvfH+zc3iSj7DvpESaRElNbCepiRIxltwPkRIpgRZI0ARGQSBE + ECkish//SgAjLJoFYQhEKKVoGa3YDde+800Hrm9kollzRoyhkWADckYNbhCBCIdxjm586OkHihTl + IurDuHjvnXPuDOoIimEY6jV113U1p7n+tI4oq+O4+r5fVU5+RTVKRlVF8Ku/+mu/9Vu/NfR5Y/2A + GY8efS6ltD+v5pXN5/MYml/4hV/43d/9eErMGeRecpdqYg6AGKOZiUjO+dVvH+feQOfpuYGZmVkd + 4CUifq7v9glraKWIpAiTsczbN8knP/s/NA4WShGFRMsRA4KAPOvcvH6Gg2tBd0qH9oO3fUuTD2C0 + GASAQbDIx81Atl1po6+aAgqeGuS9iiI2oxaBBWFSBEiwKDnkWTz5F1/8M6RxzEbW37diljPWUpOL + 5pJjIgrifO2OGz74pu7NTW4n1lifE2IwBsYkDVVoAFRQwAJm0JSKXUGw+/KgARpMa1J31EKM4GyU + E5p2CraCzCfEpOcH3nb7ZXqoKSIKAsOgIZoyIxRbZT+/4N0UmMCSFlGibVGDGPNoSGEMO/c8+NmT + +dkhjohBUjMMOaR9bz+zlhBoWmKA5tyFyaa96bbrbk+IZUAbk4BqGBUpieazHl4/ZpUU5jZ7/LmH + n5se1TQWKoMstsbiA6JuGV5y4dZUMKsNwghLyCFYFIBSwJwaUWPJNI3C1goChWYSUHSkLGZiADCz + ZY/J6RuQRJmXI+nqd19/Z9RGDFrGAIoKaoK1qEBrlT3s6nNRAYFVR0yMHIqG2rCYGYs1+Z77/2bA + WAg1ptSVjBha1PD6l2CowcwXsjFruzahmATkUjToVnn+8WMPj6YUGGEBYqJFVu8OgOXefgpFi4IC + EEKYYq1p0JfNePDGK285snZFhzUdFZB6oxGLLOj6NnHPh4kSBVbMzEwkWrED3SEbxbDIsd6dZL9g + i19U6uqN1UVLWX+uxXLq5JnnnkJU1SEEqqqZMSxCcZYv+3zq1TsHVNqQmY8e/5okqmYtJjEUwAgz + 0CAkiTLmJjSb3WZgEiOVsmz9VrOYcDElfjt3LlgpMUYTFpjCzExAKENOb9q43LIVWJ34J3tqEeuR + Vwd/1K+H3M/n04uupXKvSp2EVvsNh5JNAhGE8YknnvzBH/zIJz7xJyLBAFucGb1gnxuGoX6xGgy0 + mud2Wodd7QOt3yS5e+Zb/RFJz7R255Xdk6vrruu76J7tbgEqn7vu3B6s+vBPs7tjf9eVuHPOXfBq + r1q9VFlV06hOe2a9CVXr79SGEbs75XYtirvq6WA5LAPLlOs6EGE1mG/1HAAhhFoXY1UeZfe5Tf3F + ugK1itA53A7OOeecc84555xzzl18dk+dwgs75erXq767S4cBBVYoJ4a+AChADmg3nukmx8V0Itak + eQGBmIhSAvb3/nUwS2qH5/2vftO340SPMdch+cGUprTFeNsIpDrKUs56/L9z7gKyGt+FXTUmdt9k + WRWics4555xzzjnnnHPOOeecc3sTQljdjnk1xQDr3ZnV3OdzXouzzr5ZrcZLzuhx7pyou3Hbtti1 + p/n+9lqcdvyet0US6u3m1Tt+3q6nc84555xzzjnn3CXFUChWSkkpjWNZhtjQSAVOnDj5Az/wLx9/ + /MlSFpWNd5daqlWYVl+c1t1X/601mvCiXiznnHPOXbhq7B2AGGO9kb07f8Ttip2u20TFFNA+j2E9 + zfDs57/yqRyytCglh0CKGVWJkNBP0aWJIWBovvsD//RKecdEDszLaFIkZOQ+nWfhR2IQs46LsAsN + zACIoIjT9NaNG//xh/7pdZOb5cShyXhZGiapdDQRgFZQVMtI0yCWohDj8tHXB2wOm8PG/XtkjrM4 + TpPOo8wl9CGUJCWqwvK0/fD7/wFLg5FtQBmKDiWSqabsBRGRUowBaIaHnrpPk+ZFzp2Ry1Cti3o2 + fr3nW8tExBhns5k3A86dLZI1hbqKMZLcXYNllWxdv1krNtchN1gmWOOFl+o1SkYVqggB//7f/+QD + DzyQcx6GYf3AZp+Lquac6/GbUso5l1L2MoSjRmougjVPWZ4eiCpSSqvc7raZfP7zf/uf/tNPh4Bx + NAASor5U8uDK6pWmlHYPljOznHPdOGZW863Pev2de92d18Pj/Chy+01zCWDOWWLIIY+x/+rRex/8 + 2pdMekCLqEHEYtCGL84TfUWGLmHneDnSbR5pjrzz+ttkltabNTHAliWyqKCaGG1P11TUGk5tL1w5 + AUUCkYaMYRwR0JfZEOZfeOKuY/mZbL0AQpoBQRjQNWE6HWJE14VSSrTYjQffd+M3xtwEA01EBQiG + BEuGZAgGKlGWj5EsCLqfTYoRBigBi7BAEyWNWqRYo2OYlzCajSiaxuYyufyOt71PhrYVREOToFaw + CP1dLXH30oVG2iLg1haZ3zDCqD3mM9n+/H1/NTbTwQoYIVzdh9jHl2ymqk0QoRUd85DXeOjW6+/Q + bTu01vQnRowmoVHBMOaYzrrsGilZS6/jTKZ3P/g5nYyD9UrsSgSkGMSIZbDupcMAxlBgY+6F1qRE + oGTLqhZw9OSzcVPGZs6JzspOauOsn0ME0HoKuCqDdWoKxGkfaDSzcmDjYDmO9938wVTWupAiYxkz + TURhhBLcFTdsXHxz1zIAYFQDQIT6JjFy27YefOoBTEwlW5AxKyzqCNNArk6v63IVgEBBvdDTQkMI + Ozs7gKoOqeGI+f1Pfmketororo0mYkLUlhMAaBCAi2RrNaoJ6twBM6giCXaODwe7A9wJ77vlAxgS + NbSpzcMYQPJF/WHLRZ1tDvKqW7NG3YjJ5uRQtIjV0WerhcN4pnRXcnnrFCiwvgyzcT6WGYIWFIgZ + aWb12uxUw7FKw77wKZG1n5fZtMwyhoJFdxiWcbmBNDOKBQpzOrx+RY2Tf8mFnfb/9BKX7pJHg5jU + E7PlMaJikpDWmnUy1N4WWRx6r+EPkeSpeLAXt3v1b1/gH1/uFcQYU0rHjx835fr6pplNp9Mvf/nL + 3/d933fXXV8M4VRM5pnvBdYztJTSquo0yd051lj2co7j6NMI3XnOzFbJhTHGcRzbtq1x7G4PSilt + 247juLoLUm9RvNHvs3POOecuKiJST9hUtWkakgBSSiJSvzOOo5m1bbuH85B6RVOXxuU4jzo4o463 + GMexXg2tqgg555xzzjnnnHPOOedOU/vZ6lTk2k1XvxNjnM/npZSccx1mkHO+BMcVEFTVSdMCyDsD + mhZd+1BK/dradK59Ll0bScxnFkPa9/utBBVd39+sgu0pxGCGOqibqKNhgyEsR/r53V/nLlb1rkdt + rldjw0IIq8mo3JVk8EatpHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnXruu6+bzedM08/m8 + 67paWKmGQs1msx//8R+/554vm5nIKxRDTimtArdqrJ3Xn3TOOefcpYkGGgyEySIQh2o0FR2lf+To + A3M5mVlsERY2mhUJMMUw4NBm2n5+1trGDVffdvOb3nMYVzILxJAMorBsJfM8y76hAooAiEpRU4JE + MNnAwe/4+n90BFeuzQ9MyobNQ7JOjGKECSzWUGzUFGhi16OGpGYwgwrYC396Lh8AVIpJrikhy5eU + aWDurti47uuuf3fHCTUEEiYSmzrFVlXzMg5v1H5nPHFsfqzEYoIaVgSome0tMe9CYWZ+wu/cfqg1 + WGpMzM7OjqpOJhMAIjKOYwih7/v6tGEYzlwPmZTt7fmP/ui/2d7eMWUtF7C+vt40TSmllNL3fUpp + Y2NjPp+fq/WfzWYhBBEJIczn8wMHDqiqGU+cOPnDP/zDw6AAREAi51yDul9S27Z935NMKY3jWANE + ViWmY4y1/2H5Sr0utLsAnHflOfzIca+n2lksIpl5W7fCYX7yb37vRH4WzGLLWFkNtL0cKWIi1qQS + dTt8/S0fONxe3qJjUVhZJCgvEqkXJ6+v+ZpKcGo9hWokRUQJE9OQSzd89v5PHy/PQIcEREYtgJgS + pRRVxBhKKdYj5ckNl9/69itvDsgqvclYBJkxs8ucZE4y25FNpmTBEDBIHKXJbLSGIO/bI0vITJlt + ZpuZFM0gcQgcY5jDcoIGIlOGdmKX33HTh9dw2GZRChJQRhCALNNeX6KlqXm3AKGEAYZIA6AFJYf+ + s/f92Q6eRVQ1Ywz2ojTbc0+klBJExEAWM2t0/Yarb7324FvTvG0NzCCDxFDKHlpzCYgAGIAmf+6B + vzjJZ3MzaqjdB/KCsNsLPfF4L6SoxNSmAJYeeW55FImpWRugk8snT/cPjevHj/OJsjYdQpFJW0Sz + ZgYYtViueeC7E3DFarOweK8oVkZtS3fDlbdcdeBtrR5ArxEWVABo7R1gDV0GgF0HOGiLJdcfkSCD + malaSOgx/epT9z2/88wQhiJ50MIQs1JMBBR7YWvzOuzJrwtJTVEljaKKLG35wkN/M4SpUQ2ySLZ+ + +cZ8kTu+q30gEICgEEUY4jsuu/mma2+1DAjFQDMsEzRX7cnyi70dL0qamRGBJtBwZOMylqDLLPMz + nB4tupNqHHvt/lPAaAIDipW+zKf9VpGcbVAqSYXAxPQFS5X6mXAxHPGambf6E9vDiSKlfsvMasqu + WQ0kLzSNFAzxzYevealT4uWhQZXFxnn9XoBz5y0Ci/MlwKhKKOvZrIrFSVpXra1Z2fNlnQEmiyj6 + WkySJiLxJRrC2l/vLmr9OM6HYePAISW2t7dLtgceePB7v/f7vvrVRwHkYsNYAKknQmfY62pnX+2y + 3NjYAGBmOefao1dHEWGZFlxLnTp33iI5DEOMMcZY49j7vu+6ztyedF1Xb2zUMvSv5vaGc84559zZ + qoHTOeec8zAMpZQY4ziOWJ7d1XEMfd/bnkY7hRDqTIl6GrMKt64jOerQh1KKmZ3D4RfOOeecc845 + 55xzzl1MauJp/bfeSazfzznXKid1PtXW1tYZ5hpdrOpYyk6kAQIQNxuEEW/a+Bef/tMdayZomxy0 + LxKREsaS1fL+rpBBM450XXv0GQxT9CdKKiUxUwZYAVCAXAdyCqHn25xn59w5UW98mBnJ1ZTX3d8H + UIeE+WAw55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+5Ct729PZlMapGlcRxVNTadMczn/Uc/ + +m8/+cd/boAa1aiGtutebjnjOIpIjFFVQwg559lstsqXcs4559zFiqRXnN5tV2iRqKzCzgxUi9Zj + +oWHPtfLToapCQBVhKhjrykwKsYhb7Qbab7x4du+44rJdSE3NmYJOkoeZZRQY2HOKyTaMgLakslM + SAgQxnTdoXe8+y3vW88H27ENIyJMWChQREV64SMqoiIogoK7A9oKpZAK2acHgKS5K+OkDF3JXUZS + jTYmK2kIR9IVd97w/nZYT5pUYVGKKUnqIrqahAlGxXTcefz5x8aUQbWiNAACIyS8sW/P62Z3KQnn + 3GtXSiGpqmtrawBmsxkAVSVRay+3bZtzbprmZT6FBTVqTcSAhx55+Mc/+hOxSaoqIs+fON7nEUDb + tjVophZwfk1rvCvhqOs6AGZWF7u9vV0X/tGPfvRrTx8FkQuKQg2AlLJqOuS0gLOaaW1m4zjWcGuS + x44dqzWo61aqydbe/rgLxXkXa/1ifji5/VM/sUKQ2XASB4d7j95zzyN3hU0xKohl7LRij2GWglE2 + 05FNXP6BW7/Fdixa0Ky7Y0SVastrNdoe/orW3M1FtO1yIWKo6VaxCUyYlR1049PbT9z3zL19M6NY + rK/IoDAF1GopsZJHdJykofv6W76xHSY0CAZwVBalKlEoClHKrhWAiQI01qhd3bcHTl3SmNScWiMN + kgvMQqTQIAhBI/vJlQffftPVt+k0Sm5jPfuoQYl1g9sigHbxbnCxJWX5LhQRBWpFM0XRVB4/8eBD + z34FnY46Qswk7HfbFJOowpSmmgKihDznBIe+6d3fPjxvl00OJ0oeFQwMyHu660EyBGoqT249dN/T + X8BERx1BJWqkpNBAU6XqchNdOoZsEhsRAANsDGIicZaHqcynzc7v/vVv/uanf+lk++ROd/QEnhtj + b0GHkrMVEiISQlh9fp12arzoklFatsaaOHTf8M4P63bbShsl7E7otOUuKi9aCHVxGIZACKAGpRZI + IBrdyse++NDntZllGYpokCiMpNBs2W6sHhcDJYpqM+kKilJn+WRvW48++9UxTGuqsS3+qxuytvCL + BvO0tlcBM5iBQDTaKJvthk3lw7f/3aZfMw0IMgx9F4MVQGk0pVptmgCjCkDo2bbntStzdf9SVI5s + HrH84qW8wpmbGUzrSzAACDBBYTm+dQyixQajQeohThGxUzuX7jWQ+7xjNJPx2M7RvswXkdREtry4 + Sq3x1laEhQDG+KbDV9NWV567D43TE3NXXYPOXcq4OAR0cfpEBTMAKyaIqopgZoWv4VCpR6uZAYTC + zJqQaEITGl4i39pdvFJKNZ4thESET3ziE9/zPd9z7NhWjNI0EUDtm6u1R2t90pezvPQL29vbJCeT + CcnazVez5cxsOp1iWc+waRhoAAAgAElEQVTUufNZ0zSllHEc6+4aQpjP5+L2ZD6frzbjOI6llJoB + 6Zxzzjl3rtSbNXWEQe007vs+5yzLIQUiUi9qRPZy07b++iqSoS6QSyml2k8YYyTZvfx0C+ecc845 + 55xzzjnnLmW1Bw/LuiSrkNRaoKQCsLm5CWA+n7+xa/sGUMBAQz+bQ4BJOxdBt7Z94PDTY5GmFWDW + ow5rDeFM4zfOCYuQYpeV8rvf9i1oabRp6U8fAWn1/y+qsbLOuZU62CPGGGOst13qjNZVYan6tHp3 + xstLOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHMXtFpJqVZwqhVlZ7OZmf3Mz/zMb//27wEQ + gYiYmUjs+/HMSzutKtTeSj8555xz7kLhgdYvqaauKERXuV2gUTWNJ8ux+x6/t8SsMDNjDbShloKI + NrIrc1vnxq1Xvfdd19yOPpS5BkMKoZiOWigSQnpjX92LiDEWJbDI4QogCqQ0d3zdh2S6lsYuosnj + rGlhyGYFJrBYc7te8MDyYQSoXOWbyn4+UEM6xBAUYiJWv4mEFPr4jituOZLeHEuLQomxz+MqLcsA + YxChCCD6yNFHRpkbs0ApEBEyXArHyCrb3oOtnTtX6qT+emSN41jrIYuICGpyX86573sRGYbh5ef7 + C8lhyJPJJI/4+Mf/6Bd/8ZeGPpNs28lkMgFQF5JSWiVKnBM551KKmbVt2zSNqpZSfuk//+ePf/yT + 41jDBwGgZnKfuV5BXUj9om6KyWQSY2yaZneYCMlxfIX+CufOB+d7N5l/krt9pbAC0zJaHOfrx//b + X/yarg8ZfaEqa3pyVilFtFbjOqvET5qgJPbdB975rZenqydobBxCSEaKiRhANaKQxlPXGXtSr1gE + i+xOFdYLiDyU3kIeZKeXnc99+S+Olee1NdJCAdVogJoZQhMzMM9IqevKxlXr19501Tub0kUFMRKD + sBf2grlgCBiCjQFDwCgYo41Rc7ASVaPlZGWfHlFLtBI1E0YoURNljSYhp0Zj1NgUJLOIoHMm3bzz + nX9njZc1thasCQEQFEMBjKKUXe+mghksxEjk+i2jGhEUQUUCRs6m4fjn7v90CTsljBlW9r+8kZmZ + kIzIFDIFKVl1SLe/7RuvTG9FLw2DmRUY93TKVIMuzGws86Hb+sv7/nQq0xIyljnuBGQVWnmJ1XRT + CBiyas6DCJoYKFao23lHN8qf3fuJP77vd/7ysd/7uV/73+85+uezzWPzbgtNkchiuaCIsEaSAKuA + cKHJ4lrcRIyl2PpkvQwlDOnOGz98eby+1UlYFNFbBM8Xwmr6sgoA4yKOHfWwX74nZjBjYARQSkYq + 6MZ7HvzsGLZKmiPkAl1dIRMqUCLvyutdNCAXtKwFDKpqLIzjQ0/ce6x/vg9DkVx7wZY7tS0yrU/9 + qgKqVKMqUWzZBQSgpKhreYdvveKWW699D6cNgMEGQgVMEKgBiyfrsm0CsuzpeDEzM1NVIghkszsg + RVbLX3ZR7Sa7d4mqvjgqrP5ACIGJPX/iqIXRgkKsoCiMFDKcvkxeFJHnVEvDM88/kTki1B4iLA5H + AwmaCZSmVEqOl22++ZUOAT8ddW43EYMRujhfqu2nwiSPi0h4QxEDAdoqBvvV4eL8A8srQTMGi10z + ERMx0shlunz90+f61bnzSyk2DJkMpZRf+ZVf+ZEf+dfDoCmFMWs/ZEDIUMoIKGnj2L/cclJKwzBg + OXIoxliHH5Gso47qR/Da2hoAL2PqznN1F62F1Ovot1LK7lrq7qzEGFcpkqtNqt4OOOecc+7cqWEJ + 9QIEQN/3TdOYWc45pYRl7oLuilg4K/UXY4xt29ZLaVUl2bZtHTilqjnnejXknHPOOeecc84555x7 + ObV7LaVUu9SwHGQLoE6cWvWzdV33Bq7nG0MwDhnM7STOh2017aQFJ9/8B7//9BWHZw1DG0eFKmKM + WnYPTz33lMzk89v9FZO03m9j2A7IXWgIxjrsOQC1t9WwSrd2zl1kzKyUMpvNptPpanrn1tbWfD6v + /wuglFJvlHh5Keecc84555xzzjnnnHPOOeecc84555xzzjnnnHPughZj7Pu+zhSoX7Rt+/M///O/ + +Iu/XJ+gilIMkBCbM6TbpJRWhZ5WaVJef9I555xzl6BgIFAEhVKTbViLWabp144/evTkM4gBgKnV + aM9S0DSwQWXkWliznfD33/cP14eNoEDQJqaEYEYwQJpB9byKRFQAQXLNzFCLgmCQERNuvvOtd6Jf + D0hADk1R6YsNZiZQgb5ExLQtHzVJykijGE99fx8eMMlMI1tlLIwj48iUmQpTROQgR9JVt15/Z+hj + wxZUiWBdwwClKGiQAIjIE88/NsSeogKKLWLRzqs365zbfXVgHmrt3LmzCnmpmdMAhmEgaQZVqGqd + 4q/LWI3TfnvV8hgExGw2a5pI4j/8h4/dfffdIYRxHIch11Tp2WwWQji3RQPMrKZ7jONIMoRw1133 + /NRP/VTTxLqGNdNtGHItKH1mtYehZleXUmol6tlsFmPEMiJnGIa6oZw7z52PpwWv5jh07pxgDPP5 + PEbpDsTPPPjp+5+7ZwjzXkflooSVEUUGY1aefVUrk6jNwfbyD7zr2227ayCNWMkWZLL70FOI7i1T + looXxp3W4ECBQUtqgmrphy2LudmQY7On//a+z41p0KSAQSFakoAwEQyaVSCNwNJw0u686f2H2yMp + t6ItrAVkGfw8giNQQBVYMARDUASDmHL/M1DrhZkYjGZS45YhJtFiiwmHIqrCUUIxwjRed9WNVx95 + O/pGhyDLNFMsSpSdnmxNZEEGlHULESa5RhGnIBlz7cYvP3L308ceia1CqNzf9lOJnDNJYWMWLBdY + iTEGTA7JNd9wyzcPWyNJCVBVM+wh2ZpkoEAxmmJzuPfJex599hEk0HSZQKmACgpYauLvJYQqkaUU + MzbSatZhGFLH9rA8tvXA7/zVb043jz8Tnnw+PPn//o//+//7o//nufLEydnzTRNJKkyJUjLJF1+R + nkq2ZspZmxREw6Zd8U23ffvsxBhAQFeRy0VQBGIiuxZzWoyxqgGEMoQQgaFAqZbGrx1/5OmTD1sa + TUqxjGW2qNQjgEbTuiawXSGiFywyDHmESIiMLb74lc9n9hrUJBvVTGDx9F8BTgtvXmxbAQGYaAlt + 2NBZ/ODtH07DxkY8bJRB520byjgkSWJihKGmWaMQy4BV20NZxnqtVUoRUv5/9u491rKsvg/89/f7 + rbX3OfdV/X7T3dDQzSOAATcGbIxjGzkmkceaGclJRh5NPM4ktpyMLY/8nCGjkTLyZDQZTf6ZkSKN + ZAmNkuAkjmNwDMGhQbh5NQ00NFDQD5p+VXd1ve695+y911q/3/yx9jn3VHVX0VXUhVtVv4+Obt17 + 6tx993uvtfba60u0NlmfNNPF/53HeqhjaJpZTbY2AqBHjj4LVhKYWDEtZna226WXfkaskRqlp55/ + QgVKiyNqkTtOBDNjAGpkmIb1azavGy8Opy37Geth3AbnndHr3GVneRQYG1Aj4g2kIpL6noIsL38X + 0CprQL2sAQo1MmbjwHF9ukHGtQXcXVFCCCJSSvkff//9f/AH/1sdLzql0jTNMnq2Xs7OHf+2bA2s + n0wpNU0TQjCzvu8B5JzrBFNKPoypO+CIqFYVa+kRQIzRQwov2DJOshahl6v3Bz1fzjnnnLt8EFFt + 9KsljbZtVbXG4fR9X2sotW9E/eZ8p18rTTnnWrsBUPOt648133pZh3LOOeecc84555xzzp3DMAwA + RGRtbY2ZQwhEFGNk5trUFkLY3d29AocpyQmxDQZN2se2JWZLAAVwOH5o64U83+3T1taaZhBx3u/+ + 5MYwuW59jee711JBNpr3UREhYkpWO/8DtOywe8VtL+euBPX+SwghhFC7fpVSmqYREVVdfZ6zdgj5 + Ac6qc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOee+R/P5fDqd1iGbRCTn/Ed/9Ef/7J/93/WJ + gWW6FTOnYSDms423n3NOKU2n08XgybYc2NM555xzl7HlcNP+vGFFYyQzDLySf8SA9bTz6NNfG9CD + x9VWi0o1JMKsBArUxb/yijfdec0rqdOGSUhLKTkrFxa0hSSXg5XoYoTEUIGSwlKAUrFg8drNmyNd + vT69QZW7NAsTm5dtImEOZKAxheOMF8jqCmSMWeAgY6qpUbYvXwEuVF81iwdKXBAMwTSLchw23nr3 + uxqdRpLUDRIAAxNqflZWqAIKkD6//dzAc0ADy5jxbJyKXa4ZIHUnriPPw2OtndsHNS+jDrPMzF3X + mSEEFiHVvevvuerdZoDEGFMqWtA0/Ku/+qvffvw7Gxsbfd+nlDY2NlQ151zDZS7WnE+n09ls1jRN + 0zQnT57suu43f/M3U0I/5LW1tTp2dA2lrl/PZvm/TdPUQOu6vEQ0nU6JaGdnpw437eEX7lJxQMsE + ZxxCfkV3+0EJxGwEZn7uhSfv++JHylY3y3OSoMRjzCtBBUUUqJGo53HIMDCJ03vf/K6rm1tiXseQ + I6MUgwlMagVDAUMwBML3EpO5rKtwzd1US0xFORsXyFC4P/z4V07MntOog2UzsAFmkUAZTBgKSiCW + Votc3V73Q3e/LZSoKahuFdsqtl5IClmRpJyME6AwghGrsElNHGRjQyiI+/SqCdowViIlKoRCagSA + SGPQgFyEs3KfaZ6blEUjb7zx7ntJW87BlMnANa0WjDFKnIHFsGWkoMxQMoaN6adsLMoGpWAl5pP9 + sa9+80GlWYESh/1OtjYrMFZjcISa5UKBWSXM1t/2mh+7busGmEENxgqAzzuKVoiYmQhgpDicLMce + +Opne+1AhceUXhBKDbeuQZMXfRkPMC3UgTNTC5vmIRJi4dnzO4/927/4w+fT43yD6tU4RvO0ufvA + 4x//f/6/f3L48YezJubxEqaqIrK8fo17mzGMa1w6Uey7JJHZuGyHt9zzrhuuutmMUJN3lWFcAKtt + EMZjhugyyb7uwFbz2snM2Ji5BoEiI3V24stff6DwTCUZCkjNlMjGEHfTxUmHl3N3STMiIgLIiE5s + P/fYk4ctKOIyBTzAIgCquzGtnHJJa5ix0upeTlQiW4MUX/fqN979iteji6KtESAGAZktp6AEgA2s + Y9OOXsD5vLZfhBBqBYMg02aytXnVYvpYbiN7US3DaO/NuicQjbGytVVEYc8+91S23riAx52SiLRe + OOxFW/+ST7bWQfunjzxFArW9RqKx3ZNZcxEmA5hsY3r1oY1r91YC6eKkt2R7jYPf9yVx7qDhWozE + ohxLBsA412+2t7eZoWPgNeyCziVmOKM5pYlxc22TldkYxrw4YPUKK5pcmVQxm3W/9Hd++Y//+I9z + HrPShWUYsiqYeTkCac753PFvZqaqdQBTAMMw5JxrgQ1AnU5Nt62jVDt3YNVCY+0M13WdmW1vb5v7 + HtQV2HVdSumi35ZwzjnnnKu1jxBC7Y7Q9z0ziwgR1eJHbQ+sXy+gHFLrOE3TYFG16fs+xlgftDCz + Ukp9EqMWfi7y4jnnnHPOOeecc845d1kYhoGZm6ZJKYUQUkpmVtvZVLW2v9X319fXr8BhSoIAgMKU + i0K1FGKAIjYOHYuxE2tbdNvdtN3sUt9MJvs6M2wIJVCn3bzbzPmD7/05bCtmigwkIBuADHSEgeBN + os5drkopOed646M+6rm84bK8G1I7hvnNEeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPuUhdj + DCE0TZNzZuY///M//93ffT8R1NBOGlVV1RDjOI6TnnXEYjNbX18/efJkHbiJiOpA7t+/JXHOOefc + 990iqcSTRl6ajRE+DGNlnacThx9/mIKkGthMIAIUbIQCkyKEjXz1T77lZ/Nu1xBTKawla1LVUELI + US1YaPY7z+u8GGnhoQRABqbCBsloqLnx2leUvoG2yXSwPnMHVoXAopEaFeNsnI30zBdggI3BHTz+ + +OKPXaSXklqNVaIESqBBSY1YAViWYjzEW66585Zrb+VCZrDlbC0fszVmYy04NjvW2ayUJGRWVFVJ + WK+kh/K98O/cxWJmOWcskq3rNyEEs2JmpVjTBDMLgYmofhLAizPyJASYpZwMBmAY9IXj27/7+783 + n883Nzfn83nf923bMnMd2/nCZvbFb81msxACEalq205/53d+7/HHnwoBIjSbzwCW0NQEnGHI58j1 + q80UNQZ7Pp/X9VBzuOtq2djYIKI6WPTKenDu4DpAZbgz7BXoX5y26F62vWL6MmPTwFoTPU9vVKXL + IMZyzJtUQmGtOaBk/JI5lEowwjx3YUt27PgXvvGZZ048dqo/HtYIsreulv/aeLAo6LQA0fEDBOPx + z7AFtsDGXOJ18eb3vPGnaR4iTURkyKlpGlVdyVNdJlKfP2OAyRiolQdlAxuREnNdhtI0bCgnZi98 + 6fHP95Nd4mwZSrAAAExkGWxoW9aCYchTWr/nptffvHYbJyYiWIA1AK2cK7Qub41xXcmHHteqErRm + u178r/WvjPWxOidkRMZsAGlBQWQjJE1GypC1tPHWV927Fq4imdZF4BpNanVCK+cWAhsIAI0rVvc2 + MZfBmKGUc9t/5YkHTuajc90l4UWWIgNMwDIZd/m7BFDNHl7k5r58bGBmkCYtJETCZgRQHiyWjZu3 + 7rj71jeE3CJZYJCEVMxeauc8WworG1QzikJBgnmGNumBRz5zohzJYa5UjNQIhbjGe5MRG+9tdKxE + wJKClLC6jAczJnmRJ11/ItW9F4DTMmuNlFGEzYxSIW6mcWv6Qnr2kw/92ePHviZbaXuYzTOoxUAD + rQ/b+ei3Hjs8dIlIGGO+sqzEob0oJhcl63R9Y7670wqv03QDG+9844+hi1JCDSoH9sY+5DEPe3G6 + WOxRbCCCEFRzKYmIRABDptKF2Zcf+0KyDpRICliNlYhIhS0AXPcVsnGPPXBV55Wj5owNt/caMRtT + Kk2Ig5aO+seOfuuF4dlEu3ra/l9PHhkArTajAEpqrHXzC4ACGBNxCE2Z27vf+N7pcM1GOLS7vcug + Me1SkMvAIIDYeJk3fsGLW89IHNhQjBRAI+uH1q5mEzZwPdGduR+N+dnLHYMMpajZaZUoIlKyozvP + d+iMwBACpN4vHXNp69pgMhmvKQcwKHY8z2D1FDSGjNt4plViJRCgXGa8c2T2jFI2HRuGDCBiNgix + GUAEQ0C7ETc3m0Ns4Zyh1ZdXpLUtNjyWZb9FU+HijMWmKyfE7+vcXRqu4OrJskhGVovMZKBCKKwF + /Wx+kqFWkIBMAIHP/9AxA4zGo56MmSM1a3GNjVhZbK/E5S5r44n9uSNH/+Yv/O377//MMBgWZaOi + 45XOzFJKWIxMeo5mxGXzQk22rp83s9X7iGZW36+jUTt3YNXG6BBCTV9W1bZt9ezd5ty5LVdgPQmE + EFTVOxk455xz7iJqmiasNBS3bdv3PVbqIEQ0n8/rjxdQriOipmmGYag52QAmk0lKqZSiqjXoOudc + e2DUH51zzjnnnHPOOeecc2dommbZpJZzJiJmrg1uAPq+DyEMw1Bb2K64+7O166Xaol8rixAMEKBd + +5sf+g9ha7MUNCxdtz1Z3xy6bv9nSaE6DdhQveaF45j1UEZREINABjqg/SCdcxeNiCz7gAGoJ3Az + Y+aaZr3sS3ZGJzHnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzl16jHfnvUg0lvvuu+9/+K3f + FYEZQuCuG8wsxphTCjGiZmKd5dGiEMLu7m6MUUTq42M+/qRzzjnnrmwKKI9PZrOR7mD7ieOPWihZ + eyUlAhmgUEWMsRRg0FdsvvrOq+4Jts5gM9Rh/0MIQpxzzknrk577bpEYUrOf6/djaM34/1kX72eF + EcBWauyZImLt2q0bptP1Wd9xkKaZpKwhtsVKtmXsaM0BehmJY/UD+/W1LtKYT2SEZXFXIqvmiDi1 + tVff+PqYpm0M9RHbMamHwQwJZETKuj2cmNvOgIGI2IiNmFnpcn40fzXH2jOtnbuIiGg5BksIoQY2 + q2qNgwEwDDkELkVrnf1s0yk5gwhAO5mgJpEJPvWpz/6f//T/mu126+ubqqhDLl9I/X08e7/Eb4UQ + mqZJKQH8h3/4h3/+Hz7GhJxRioGImEvOIlJKAZTPHlFVM62ZuZQymUzqm3WU6eVI0WbWNM1yJGrn + DrgDF8nGQoDWqzjRWMokCIz3XuO75x0TewWyMYHYVkZnWq5AjO9cLsl8BIgyAcqaxTKrErOxKHMp + TJZyL03MBiUGU6ZcmjSPO4/Mvv6xL3/IYj9paEgJXOo6YwMruIZQkxprXVNGMLAR1yxVEArAAX1C + jFFK1C6QNnGY/q0f/Tvxua11m7LpULiQGKlaD6iRwpgNBGXoSnL2y8fQljUSMiMZZSUlbcga0wiM + UUyC5jvPPnX45MO77QuwviFkwSAwwIrFACgsq0AYVHby+37k5+RE06KBJaaeac4oZCCrabgCEIyt + pk0TdKyeaU3BZFPGvn2tqa5UQAWoIaxMBmJNOqDlTotSA2rFQtPJdd3V1+fr3vTat/bF1CQKSocG + EMtiWodXq1m0ohCtKdQwzkbjKGxKMFIBWQEYOfTf3j388PNfLHGAqJFCmCEMiUxkli2DrcZ+E5SN + 2YSMlUypnO8pS0CkhULK1BdicJTEa5hYJh2a97zlr0+6Q+vSkKVSMgIV4kI8JlsvX8AZcddszMZk + YDUhtgwtCBFzymn92J898C/T2nZuulSKxOkAKoiiEjOJLWKhl/nWpIAREiExEqEuIytEIQfsEsNk + whpFmY0JqmTKJUtRNiOlMdkXtKiRk6Y2gKhs97tlwifs1Oef/cx9hz8ytNuJOijWY5N20IaYkS3Y + T/3EewWtZWITyxqkGYZBQqhNGGe8AEgTu3nPzDAV7dZA77zn3TeFO5u8KdowcylZAAFSyRSEjUlD + vfTtJRxTTShXFjUp2WDKZGxEs9i9oEe/evghIKv0aHKmVIhhLbSFxXoE1TYIO2jXU1KlMcKejHnx + Img9OJXqazyQRWWNIgbVRnbb/v7HP7ndPsdtKbn+QkMGQgZ1VjPDFaJMGhShMBeuBzsIMEUUgVKf + EkTvvPlVb7/lPVunbrCBmkkIyjTAiIuIihkpK7PSGEpdW9yMjeh8r61EAnCfujANqoMQlUQ3Xv2K + MDQxo7GGDabKAiIU7B3RNdN6mWwtAiUtKETGXNv4jLgc646cysf6opaosdAYaxmICiiDlIxEI5cp + lxaAkR2sIhYpoZBZ3WkVomAyDgUTROqVTbISYqvEkUnRf+mpLwxru8TaEmqdrKQAa0jRzXI7bQcl + A6M0r7zhbpoFUTmznFkZr8ag2+mNkpcuHaPB1UiXbakwLM7t4+VjeWK84iwvc2DAsCxIn9Giuvex + K4sSSWg4l6aAS2NoTJpMqYTdI8ce59I3jEGQAiSilPMLhSdDYMmDmSE2ILGc8223vspyjKWJymxK + Y4MvG4IheL71pYbNqNb3mZmIiA2kBUZBlNhYCggcwOGbhx/9+Z//Lx559Nu5wABiFK2Fg3HwYbNS + j8raTIlFfHX9Wlsn672r+hdrk6WZ5ZzN7IybB96L6DJDZ/eDnrXvVR0//Yzv+Rzt2e6clitwuW+s + fn+5qoHo9Uy4/MZdmLoC64q97Pcc55xz3wsiWnata9sWp5frav0lpfSSl5X6sfrrq6WXZX1nGAas + dJDqVjJjVnN3AJRSiKgG8NTPl1LqN8tHLJa/e8Vl8zjnnHPOOeecc865K5uIMLOZEVG9DYRFKioR + LXsmmNmVeH+WADJBbLAGwFAQFS2w3mB9a7sfWoCBlpoyn7Hs810zUhI11pzB8/JKypifQD+DMCIh + AIpGIeOM0xXYv8u5K8eyL1A9M6/eXlnel7kST9rOOeecc84555xzzjnnnHPOOefclc18PHrnnHPO + Oeecc+4SsDr+M4Axd4YFapkFEijnXJ8OUFVjMXBWPPSVh//bv/v3FMgKEHIeR0lKKQGaUw9oyRkr + A0sunz4QkTogbc5ZVesQtfWZsh/IKnDOOefcflte5WvKpg8dvMqIIcxkllOARaFh6IqUBx//Irby + XI+FJhUqxJJ7NELG1CMFRizxXa//yUl/XZO3Sm4KcQZBzTQnHtCUILBc9jnihMegEGMAhUvhDCgb + Rw1RgxiUtHAqnACwBlFBQTZwJDM0YTLs6KGNa7PNOOasxJgGXSuJOFhNvxlDv14yxeYlVijv34uN + RSHKsLh4jF7ZMkMVZoGzZk789rve3exsaWKJNR6kPnsLcM42KMxEeTo89fy3LOSsiDKxzNkGcLlc + 8z7MLIRQ42bNLMY4n8/9yWPnLq76OH8NbGZm5jAM4wgtdcxjZl4Oj7wIUV15kQIFxv18ANgMmkGG + f/7P/9+Pf/yTQ1/MKKUynU61JMJ3Gyq5BvstXxjPz0GanNSUgjQpJREZihpLKvalhx763/+Pf1oA + yOLsoGaaAS0l1ZlUzYASWdOEZWpVDa6uhY3VqIK+75djHdQRpy+bkBR3hTj418mDP4cHHOsi13b5 + zuW6VsewyZrxqcTA4sIDIkHBNddcc+LEMXAhQSmFBIPMduXYn9z3r/LavCuzAuOAegInY1ZmBS+y + QrEMuzWAdLXaEAJyRjPBkBIzN9ROy8a9d/3oK9bvulauayiamYHVCFBmrGYisp0ekXg+FtUwA2VC + DS8lmKihT8NkbTrv5yrpi1/7XBdmuQEDomPCsRJskdVJRFSwhvVX33zP9Wu3bNAhTSqBQQNQq15j + FvLqUtvi9SK6b1+X09flGqj5vnXT1+RRmNS6Iu1ik7Z+6HX3SmkDN6VgbQLTvXTF1YnSGcmdVkOv + 699iFIhAphjC/P6HPsnTMi+7WcsYYpSHOmIdCYPEFkdcDZpdTPa8t/JiPhWwQnurumkaynT9+ive + 8bofb4a2tWC2MqjIw1YAACAASURBVPW6gezF0zktB3fvfwnMEAkh4ER6/utPfemR574+NB1ams17 + 4ZYQAa6Fv7oUdTqrtUpe/H06qDdfyMDKhJqXnI2KkirBiGFCFmrUNy3jomFmNPSqqtND7bw5+Y3j + X/rw/f+6n8500plYQNg9PmyuTfKcqYvv+eGfbMO0kUYgpgTjZcbVS86PEkqxEEIIwawIFUm4im58 + 5+v+qu00Da2nNCBAdcw7KaoYk9iZjZeZ1tV4usOyNByMgAmODUcf+NpnStMX60pJWXURcE6LWPcD + HUyyt4+NZf28t2ePS8IAyBQwLRmUB54/cuSb33jmYdrQIaMNMAQFAwrKKwcDYFyPTQOUxlhrAEIo + qUDtqulmmLfve/d/1h/VrXhN3TfqyXCZcIzxLLS8qq5shfPDZEwktQphBFWNNLn+qltiaWNpyBhQ + IijD7OVExO5tWTIYaW6Gp44/yYFUDapq2VDUxoocL87tB7O1aIyVhipwxtKXUmJoQwgK64eZkiYt + hctjzz8yo12zQhk1f4eDaAEBMWLQUkwJEUO49brbJjSlc2yy896alwTGeDJXkC6uDqdv/gO5Mxw4 + dsWtJiNkWNJEbARlZhjlokXs6M7TRWYMI4MBhWCGcP5rKCdt26AGVfRzE21uvf4OSpFUALCtHpOX + 5eF5mVNVZhYRM0spLePTlplqImJKwzDcf/+nf+EX/tax4yf7ftnIuJfje7bpm9n6+vpqAawOMF3/ + xGQySSnV1r1hGLzlzjnnnHPOOXcA1RpN7W1QA7CX+dMhhNXOCqWUyWRyvtMPIZRSNjY26sRFpNaS + akeH1W4QzLyM6nHOOeecc84555xzzl3R9vqaMo8d7dUIMwHayYn1jaMBs5Ko9rPU/e1JrARDnpcc + Ng5NDO3x4//xZ34aYllTARcQSEGQsaMJX4FdvJxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 + 55y7FJVS6phIwzCEELquExGOTRoKc3jkscf+4T/871OxUjBpm3OkUdcEqTqOU00KaNt2dRRcZlZd + BCv4+LTOOeecu8IYUNO2iIzIAIUWiVyiPfb0I9tlh6OBSkExJjKoGgVShRimtHHnTa+RNJmEdTKG + sdKYVGWkhYyh/JJRZvu8TEpKBlJmreE7aqQ1komNg0kwsBHUoBDlhqbTZkrIoAEAIGSRjEEKygBW + A9p+4AggYyOGBR3jpkDQpAVcS73hmsn116/dHBC1YC/Fg5ZfVUmVhu35CSNTmBXIGJJtFxyc55xz + ZxiGAYuxlOtYx03TnM8Eargql2K/9Vu/9cwzR4iojqWccz7PSQFj3jb3fWqaiaqmlLa2trquCyGI + yGw2+7Vf+wddl2OUnJXprKf9GGPNuKkNDpPJJOe8bGQws5xzKSWl1Lbt2fIKnbskHJTSj3PfOyX0 + gswIJUxziAWMkjhn1r7QZO3QC0ePr22shRapzFturGhudz/+wJ89dvSbncx7aGGwICUAL103qOd7 + MQStlSJWAgy5w/q06WYIgbPuroVwVbnpp978N9biISLKOasqLTBfvOOOipIVokIMKMOUTFlJSEkV + ZC1/Z/tbDz/9hWI5yBhKvajOwQiGAAulmJhMhskP3/POttnIIFXQPg8rtt+U1EhN+ZXXveauG+7h + HLQgJ8i4+sdI40WSMYxWK0nK0GWieQjBDCkhF0iUx5587Klj38nojYSpCaxMmQxEARYWtyF0kRls + IGUjIFzYgpBxrSEqoXAuMhTtI8Ikr737zT99bXNrTOsoBIANYrUyCTawguvM2Glp03XNgBQCIzVG + KbBBo8QQ5NT8xH968KPzcLKnWTsNUIgRM4bcK2XjbJSVslI2UgUryBDUGkVYHDXKKIxy0KqdhAJK + hVOWlEULAxaltKytlBYmK5usEBBpLSeJcTrw7rf7h/708x84Mn8icddxpoZmszxt25QhZXJb++r3 + vOG9a7K+enR/1xtRZgZhsGQtzCwWp3ro3tf++Cuvu9vmxgIOMIYaMzOsgGolH4uvi9cZK5qUTMmg + qtzy4ecf/vozX6HAXGgSohVNUpKM+Y5KWrhg3M0OEmOYwKISG6lSVkrKZRwb0QTWwFq2ACgoKfca + tadeNocHvvqJneFELkUYNcaYkQl5dQkXq1EFmU1hrOC68UtB2zY6FOzoT7z2fbdN79navGqnnNrv + CHCFEZGNLVOshRtq77jxdtGpZTGxxAqGKVTPu/RmZEPov/nkNzgSYAoYgQRKRsZkrGAlTZKKJABk + dHAayKo6n0aqXIyKcTZSZQzQHC2hD5IZPSNp4MT26HceUSS2YAozgEyCqQ1mRMLZMmAB0iLeftOd + jHjQlveAuWJXzpnn1zORnnZ9vXKQJagJZxgog0wVHOTR5w53cacEqHEDjgroeR9bRlCYMkyghqgS + 8/RVt74GRWpRTfeurlpz2S/y0rl9ZrZXRCQiEQEHI5nNZk3TEBGMVe0jH/noL/7if7Ozs7tMUGPm + ZevbOZrhmHl3d7e25aWUmqYRkVpCyzl3XWdmXdfV/9rfRXXOOeecc865C1JKUdVSCoC+77Foag4h + 1P4KtaZTq1Rd153v9Gs9y8xSSkRUn6xYVr5CCPXNlJKZhXCB9zWcc84555xzzjnnnHOXD8L40Beg + 9ScjlECKFgDyT9z3F0/ecN2sDbCsWhqO+z1H0rStULdzsomx7dI6gNBj7AkSBuaBIEBjy/l3zjnn + nHPOOeecc84555xzzjnnnHPOOeecc84555xzzh10zJxzNqUgDRGtra0NQx6GYTqdPvvskV/6pV96 + 6qkjNQai64ZzTKeO42RmzCwibdv2fV9TpmrCVimlJlt71pRzzjl32avDOX7X/KArjZmpGQAWUtVi + ShFd2n3iqceLphjFxtxrAsEM0CJGlJqbrr/j+mtvqsWtOim2Gn+GlViT7xbzcXGc60/YYoOzMRlY + LRqCmhRIARdrSDYm0xpMxisvMhzI8JqXSOVgYzNjCgxGsY21Q7fc+AqxWAP1XnIqZuXY8aM1y1xV + RWTcypevMwr8Zl4DcG5/LYcyXlbA6+jK382L8vdA29u7v/IrvzKbzep0JIZZN/8ukzHeewEASiml + JGYwo2maUsqJ7VPTjXUzO378+G//9m8fPXoUQEqlbVq1s15Z6jDOMcZ6+eu6rubg1BGeU0oiIiIx + xpzz5X1edZe9A1gMcu4CGaEwlJiNWSFmBAVZYcRmcmp7lyg2MabUNy3NhpO8lh56/LOf+PJH+FCZ + YZcnUEJSKGDgenTUEzwDZArAwAaQQRQMBbSGQwegn6VJC03aylR36Cf+ys/cuXU3D5xzrm3TNVzt + orZQK0hB2YgNjHF+tLBBEGKcdfO4yZ/55ie7eLLXnsGKoBiDnJVQGAZWYjJM0NwQbn7THW8rA/el + hBBV7UDWlM6DBTAkzNt3vO5H0cskToYEonGhjNQIrGADDDrmfO/VLUFjeYXUSMgMOaOwyhSfevDj + tKFgUjXTnqmEEMQilM88r1IeQx1NLmB9EmqtlQE2QpGknHKZRyaZxZvXb/+Ru3+86TYbmgiEjEmZ + DGxMy71XwcZYzS0mKI8Lm01JiIHcKRdqJ5HX7KEnHnzw25+ztUFDtlyQkbSowKjmpherwdhjODor + xCAGAaROnpBB+QI22T4iBalRLpwLa80BZwusIqVhYxgXGncDNrBRVkIIfUjHyrN/9pkPHn7hwfYa + yqEDYz7YZApSarDeDIfe+5afu9pulBLNrB7jtOJsc8SMUkoyLUYACwLN4/Xxlve89b0xrTeYEIEg + RZcBkPnlNr6QgrRokjUepvOPf+ljJQ4Zg5kRm1HNJq9njHo6OnBHuhLDgoExBrGX8VAC6mHBRry3 + S6txTlK6OHvm1Le+8cQDk42YFUyiaZzemeMSEgqPu3E9xNgCLJAhRMp93pTp1XTju+9571a+Lmct + 4xrbZ8ZWwGAiMQNruPbQDYfaq1FCppIJVmteBoKc14SVNPHwracOJ8wQ1AgKBtfEdGYNABdGlkE5 + AeM55CBhMoJRzSM3zvUKWFg1oLOUyyABUaxob40+8cKTR7efpwAYBWqYUQDibNBSDwOCCHOi67du + uHbjespEdsCW+AA5cOeHA+GKjlJWCBSFgyiKWTFLxpSlHH7qax2fypIBBI1cgl7QeopRZl3mCBg2 + 5JpDcu2Nh24L1mg9ddcTOilqkaOWzt2lI4SgqrUpLYRQG9pKKVtbW32fgjRd133gAx/4jd/4TTOY + jdHX9a5GrUa9nDa4+sm2bYdhqHe2aoh1fT+EEGPESmybc84555xzzh0cNbIai9tJk8mk9leoQdci + MgxDfad2AruAP1Gf3IgxxhhrjnWtJdVeGvUDXm9yzjnnnHPOOeecc87toeWzxAAYFmCAQgywhPXN + rzbtbgyIIXKA6r72P2fDbN6HdspEeeivatr1bsDuTkgzUQD1QYBFH3DvWeScc84555xzzjnnnHPO + Oeecc84555xzzjnnnHPOOefcpYOISilEZGZ93xPR+trmkSPP/fIv//KTTz4LoG2lhmTx2Z9hqlHW + dcxbIqqZ1gBCCE3T1LgpLIZ7Sil9fxbNOeeccz8oni75YsyMlcTfbCVxf+TYM7N+FgIToRTUDxAh + MGlGQ5Fz+8Z7fhiZCDoMHXPNjeDFqyZlfR8yrVVftEmXAUYGGI8zsAjqqtHmdYlgBDMYU9u2gKFm + 4ZiRqSiJMl86+Re1WEskJakY33X7XZQlYsyUPTOnCSCiF44dY2Yi0tMCrS/nVJpliJh5qLVz+4+Z + ax5NPUGFEC7suDNYCPz1bxx+//vf37Zt13UEWVtbO59JMIxVNcZYarp1SiKytbV18uTJEMK//5MP + ffSjH+/7UpsXvmvjQE2wNrPlNVQXmUzLYZzNrCbyXMAiO3dAXM5lAnelIWPSwMZKapSBvVK+BSTk + jfXNYSdTkaKJ1vtHXvjyh+//YD89eSwdSaHnaUiKogihWT00aO+6pqsphgRlZDIAPJlMul1rmxg0 + 6Knwptvv/ck3/QydimJxmcRWi6eqelEvG7kGDCsIY/5rVtJiWmBZ0nOzZ7/w2P39+i6k5KwGNhrr + P0ZQghJg3FDkPrz9rndeH27MiSCBJJ5vbOoBpDCBTPLG62550/VrN0lpJ5N2SONwaYsM00DKy0zr + Zc2T6qYnxVho4BgRGsxzZ5PypW999kR63oKVklPuzQoZm8GMmKRO3GocLyelMg7idkGnXDKue7KR + Fi7KSUIRw9TWcCL+6Bt++o5rXkuzQEWk5rHXPdYYxjUPe3wZk/EyulsJhZDVwBRjjBDLJZWhs91h + ff6RL3zoWH5uOx0LkYhoyH2cRB3HeKvDvJmRGgBjQ1BEICzy4A1UCOVApX4aYJQLF+Uxt56UQqFY + WEwBLayFUQgAREU0AEhxOBaf/8Q3PvrFb3+KNudz3q7lxwhIgGmWnclb7njXW175Y9hdpxJXM60B + nLtGSoSs2ZhIJGcN2oShlVn7Q3e+402334uuKfP6McumRqq8GBlw+RoH3FvZylhuI5VIs7w9b3YO + H3n4kecPlzDknCILYEalJlvX5hEABzLDXmBiAMiU1EgNbCuHEkHJlKBsUKCPw7zZve/BD+3aswPN + Y9sMPYK0vPjMeDI3wGpDEpSBxcFOBqrJ1gBnrHWH/vq9//mNuOVqXJP6jLjv7YwKMgMXEoiREMQG + W8fGrVffGTHNlhPDCFpDuCF0PpvMKA+hP3LqOy9sHyEpSihqxdTG2HsykJIWSUUGnHbhOzDGs9le + nHDd0UtQEzVTaBaQie7y9mcPf3rgXQtaSiEEGJtBTVlATLkUBZhBHb36prsbazlf8tc7d5HR96Gx + +xJGREAtf9qYFB/1xHD80aPf3JUuUwIQIKKhtsufN0FWKME0ynz66utfv2aboqEQlNVouW0UUPYt + damprYe1gGRmOefav2cYMjPPZrN//I//1z/4g3+iihCjAbUDUCllmWl97pt/NcG6Rrv1fV/b75h5 + GIZlM1+dQs45hHCOSTnnnHPOOefcD8pqP4bt7e3aX2G1qXnZJ6NmXZ+XZeeGlFKtJcUYa22r1qfq + +wBqT4iLsDzOOeecc84555xzzrnLiS17XgK5x8YU64f+q09+Oh/amg8JItj/57rXQrMzmzVta2aa + 09Wp/Ml7/hqOdehVDBFo9ubWk62dc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecO/CMYZyG + sr62mXNOKeWsITRmdPTo0d/4jd945JFH6gfTUHICgLMM/6+AllL6vgewsbFRY6WWY+HO5/M6sFLO + GcAwDHX0Wuecc865KwuTmRmUiCCsYpmGx595TCI4UNJSAGaYlUIgooYhGtb5hntuf0vqtZEgpIyy + lwSEAxF7dHo0BgMsRWDcs/WBe6EkKEyJqIAQpHCNf1KQEsAGMRb9wS/IOazOHEFUlUGsrAPuvPWV + klk0kPGLk78BgO3k9gnU4DpSkF5RMc9mHmzt3L6rGTS13l2/xxhydLZfOOuoIDkrB/63/+7DH/zg + ByeTSUopp/POJyKiUspkMsk51+GXT5w4de211z/w+Qf/0T/6X5qGAdQ00WJgOWv7QA0hZeYzxoiu + IznXiavqMgrnfOfTuYPjQBeD3EVzkMJl9w8bghIrGWkt9wPMRgCGod/c3OznA5GEEHiiz6Zv/+ln + /9WTO9/Mazu51RKsz1kNTdOUUsgAKJ0lJ88QFAEACLV5ej506+uh7BSZt7et3f2zP/JfrpVDYQik + 40VxmWZNRMwX6bgjVRqvqwzABGCQGWUjychhg774yGeP9k/vYkcmbGbGWhdgsSA1xJdCiVt61Tvu + /jGcjIJIQS6Da5sBFKjvhzVduzbc+NbXvKM/qYKmJljrXgAwjGpALrSG5qJu2cVXIIQmJx0yOFBh + m+lsHk498LW/RJNDhEQmIlVoDRkHaob0YkZqGjrvTe581eN3MUGCClvDbB2mdugQ3/Djb/qptt9o + hoZ0L2FimVZc9w5e/rqNM2IECQygFIUaMxORoiTpddI/s/PExz734SHuhHVSThwllbySnTxOalxY + kIFrtnrdudgU0IOVjEta2GqOO1kQlaBBDGyZMICSctG6/UzIAgBrctee+twTn/jIlz+MTethfYEI + NGMSQ+4gOVxD1/3VN7+vGTYbbMDGZNzVTOuz1UfZVC0zGXMQbktmqLQITWnW0uZPvPVnr5VbpJ+g + aIikK4HrL3t5AbYMJOnnsnvfl/7jvNmWdQxlIICMATMCG7OxAXbALhPjnlRbMUiBeswKLMKEjAgG + SqAMmIKVUablye3HH3r8c7ndTTQUUy0IFGh15dneD/UYKQwDMyDjCgiWwPP29Te/7R2vfs962Ug7 + w8Z0XfO+781EZGZk4Nruw4GzSA533fraCW/tBZkbERH0/OZHSdGUJN1jz3w9h56iGdM4qfEDrASj + srhCHLjyoVG9ni/PhwzAyHIeiCzGpgwoRnEtPDN/4htPf7HELluvqgQozAgwEEFEzEgJrNTq+qtv + fp3kQCZ8AJo4D5wDdQ4/iHTx9WCdP78PVBVgqNVzkZIVmT/2zNePzl7IEZlMSetBS2ft3nHO6Vtp + W6QCKGM3/NBdbwupQWEjLaxKAOoh7cftJanWMsaSp6qZiUjbTodhGPr8a7/2Dz7wgX9RiolQSqlp + mtXfrc189bfONv2aYF0bB7ESBVd/JYRQp1BKWQa5Oeecc84559xBs9rZot5RKqUsv2maJqVUk63P + 1S3jLGpHhxjj8l5VrTrVcOu4kHO+aDeznHPOOeecc84555xzlwVa/a524hPkYchrh9BMXzBCy33q + k+b9fnJBc97YOrTddU0QtRL7+c2nZjixjWwGiC06SzMA9Y5wzjnnnHPOOeecc84555xzzjnnnHPO + Oeecc84555xzzl0SmqbZ2dkRkRjjdDrNOU8mk9/5nd/51P2fzRlNw8xj3FTThHOMexxCqGHVOzs7 + y2Fsa77UdDolovpXcO5sLeecc85d+oj8cv/SiKhm9xAZM3GgHNITzz1GwcysFDCPmVYAVFUYeZ5v + 3rxjM9wQEQHEKDkPywkaQQlkXGOG9n8JdCWTi6j+QIsMsrqMxmxcv5pZ/VKX3ZRUFbJMLtubYdbx + t/Z/ES7cIi8MUIUSGweOpHLt1vVbzTWWePWTS0ZqTLvdbqGiZBxkkdRAfPk+kn9GqoWHWju334io + ZloDUNVhGM4InXmZYogActYQ8P73/88PPfRVkXie+TIMcE2uqc0LNSUnxvjkk0/++q//umr9DAg0 + nUyXGTdnU0qpIaRm1rZt/aZOsGbfNE1jZhe8yM4dEAe6DOQuqss/sosMsbAoK3FmJKJCBEAUkTDs + zgOFGGNf5s8PR/795z/4xefuD9eWU32abrISUgYzGISihMxYyXUek6O5lrYLcaGV/GPCoIDwFJtb + +aafe/vfvmP62rKdRaSG2tbgtHoV2YcYAGUzMgBBIUYKKmamgqP9Mw8c/ss8yQNArFwH54IqLeaB + oDIAFofJm1/5thsnt4WhYWOzMgYWXuqYoGSdreXJD7/mXdfEGy0VCad9pBCUxiHLjFhrWcFOW3gR + IaI0QI0oYqBeNstnv3rfifnThTuJLahVrZnlUK3FC95bz4u5Od/Zt8WrImNRIgNKtpKt6Hrc7E/i + Da946713vXMjHxKVxWfrhq55wGMKLNsYtr2sNBqBA0GRc1bNxmbBqMFOnvOG/eVX7vva0188heeH + sBNbHrrEKqyRVcSoDvpWA+AXc1uTreu8HrgTjgKZocSwKKUNuY1FghamZNKpzJV75WJgRYDFwvkU + PfvV5+7/ky/8y5Pt0bl1TBBFBEegzPNGCNNh+t43/+zta3dSjgamBQD1wD93rAhZipFyzjAhaWAC + yxMS2omvvuZN77rnr90Y74gDGAUMJR6jyk+bHgO8EmG+9y6AXBAjREjD8OWnH3zwqc+ntldkUQoq + qA0NxqIBFxCbvf/YlMzIlvnoAdbAIhmzgTEwEiiBFBYT8/H0wv1f+8QOHZ9T4UhdyjHGomOYJWwv + Gx6L1VgbtgqDTAkZyACmdNX17e1/4+2/MNm9SgwSNM1TQ83+nxINsMDMQM2MCeCY4t23vmFdtkjH + 2GUzE+ILaOkwKiWWh7/90C5OJCkkzJDl1jcAYDI6WGn0CwYUQqktgYZFKx5TvTAXZWuZpgXSh/Tg + o586pk+UmIqBGESU1ET2snWNjQG2eF170x3X3RW0vYzbyNz+0JVvDtz1bv8xjMmYMkc0gRpF2c7P + f+Ebn+44ISIDxihQJTDThcRaKyQAiojJTeu33H3T65rcigYjFLLFmLjjdetgnrXcORRNLIBwgUFY + mmhGu7u7J46f+sVf/K//4uOfrKVfNRKJw5Br016tRtVE6nNPv36gpmXX7kFt29aGwnr1TCkxMzOr + qie0Oeecc8455w6gnHMIoe/7+mOtuZhZznk2m02n02EYvpeekfXWVUqpPmKx7OqRc8biuYuUUq1D + 1Tedc84555xzzjnnnHNXOgMZBBCoEQphqD36TMNkrQOg7amm3Y2xZ8RJs69dUpWgQt2pk1NiWOFA + 00lY1xmQQLkQaq/aYdHn8LSu2M4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc865g+LM4Z1T + Sk3TcGyGorNuiO307/69v/+xj/2nIAxDTqoFW1sbAIYhn2NY2ZxzSgmAmZVS6qhNzLwcn3ZjY4OI + +r6PMfo4S84559xlz5OtX6ygpinpmNBJZaD5U0efyDaoFiOIkJmpGRFUQYpQwu03vEaGtUDToslQ + MMbHwAg6RkgAAJl9P1OrzhFXQUY14ajV0qiFgqBogAhj04aJoKCMlWwvGMHkrFP8ATGCLVfpSuS2 + mY2ZCwgRMdjk5utuDdrw6bHcy0QeszKkPmsulpmRL49wupdhGSXmsdbO7bflgVbjYGKMp06dOq8L + cR0jJOUUJADIGd1g/92v/P3jp07ijODJl8Cnv0BEk8nkxPYpjmG36zk2a9ON3/+9/+nJJ48QoR+y + hKCgWdebnWsmzWwymYQQaghO3/c1wbr+Vx3nuX6/HOrZuUvUFVEycN8Nw/jyGLCJoGKKmrBLPEZg + AqwmZoPObZJmkxN/+ul//eWnP182+rnl0GB3V5nRBAoc+lnfNgG0N6CWjbHHYaVcrkYA9lZa00I7 + arpD77rnp992+zvjbE2okYXVMDZc7BgAMhaFKMN4mcWcNVlIjz7/8KPPf0NFY4u+B9V6HCkAPb1a + NUkb77zn3bZNG3FDCEVzCOEyiHkbhmFra8uGgo5vXb/99be+OWhEGf+XTQlat2/NRlRg9ay4XEVd + GmKMMQJAMSTqO9l9bvc733nh8In+uUSWLahBhCTAkJZTqPvhYs+5wDqzkiopAaRBlEWZgK6fra9P + +75fj5sxrb/vHT+/Va4OpaXFXqoMIxSG0l76Hy/qinXR+l6ZuWkCERRWUIZig4ECepundvfDf/nv + nu4en7c7A/WxaYBAJmSBjMlIDFxXXm0RIDUypWV7wZlZyweBgsiiqIgGVoAUlEADkGmxcow0S+rj + 7Jg+8W8+8YfPDY/bRt9TLkrrzVqaqTAYaObrd1/zhre/5l2H+GpSqQXiM+qf50qyJwVKEyT3uRRr + 4gRMqXSqucVa2J385Bvfd9vaqyb9JAxgRmF9GSdpPeMnMzBosGHenPj4Vz76/PAkrxU2iLIYMVCb + EnS1AeKgUFBh5HqeZQusAhOqAe0AyJQUNe2YNUt64oVvffZrn+zDzBokWGiEI1kZtyxZGI9uWv0b + i2UnBcDQWIR3p++99+dvbF+5zlupZLCRklDY1+RjJQUbsQmBTEtJDIoIonLr1betYytYDASASFmI + LuDsnJIqDd965usn8tFknZGhgJT+f/buPUiyq74T/Pf3O+fcezOzqvolCSQM4iHeb/EyAmMwxhgP + NrZn8KztupPbaQAAIABJREFU8AYxu4zNer2Ewzs7rDcmYsLh2H82YmNjHLYDds3OhO01fgIGbBie + EkggQDIgI8ACZKxGr1Y/qiof995zzu+3f5zM7OxWd0vVVndXd/8+UdFRXZWVmTcz77nnnHvu7ysk + WloEZSfeiSuzfrtN5nn4upNy1AMrWDH0DSKQCN613B6JD37prpumfER9AuA9mFUExA4AFKKJFaSo + MTwwuGZ/c01FlT5SSKoxDyMrU+GX3eeHiDx5zghwzIg020wPfeueO8iBHZWeQKIsECI6i9hpR5AE + r2gweMqVT9sX9lUaHLOQ5pUhS9mXzUWnREoDyDkDYPbT6fT7B+9761t/7itf+XsovJ/HV+ecmVkX + ymmtcidlqu4MnHM559Ixa9u2TN6VYLayWqh00uzMgTHGGGOMMWYX8t7nnOu6BiAr01aqOhgMNjc3 + yyipnGk6i/WRZbS1vM/yECEEVSWiMuCq63ptbS3nbCshjDHGGGOMMcYYY4wxx9fr6HwJegYSUFZ+ + IGUHYDj80b/52IPsdVDF2J/750RVCD64LgmItGvD1rGP/+SboHF1LZfihCWjxhhjjDHGGGOMMcYY + Y4wxxhhjjDHGGGOMMcYYY4wxxpjdrFSUzTmHELz3v/Vbv/XJT34yZ6QkIbhSR3ZraxyCA3CGsuKl + yNIySauqqlJqaVmftvy2qipLnDLGGGPMZatUsyTRJLnXOKPJ4emDWSMxmEFEOZeoFxBBE4ZheM3+ + J1cy8uREJKVU1X5R2pIX/zLOYwCenHgtuS4SvuR4kgiz+pAGa+2BvZMrNib71sf716dXDWf7B93e + QVoPqXa5WsSKqZIIQ1h2V2TPKfOklEtUh3NOkiKDNUgnj9/7hICGTr0BkjVnTVFiRlam0km+5CM/ + LJDCmPOpFDdWVWYujUxd113XncVdlUQb7xnA/fcd/V/+3bv6vt9p5G5KklK68sorNzc3R6NRjPF3 + fud3PvWpG5eJNynNkzr5keLguq5LKS0LO/d9X2YViCiEUEo6l6DSnW+rMbvIrouttZ3qsUWkJa9L + RErLVerdX+jndW6QKJIiOXFOKyIHclkVWRqqHAuv9ffl737oa39x68HPjv1WpAyAFIGBDBJHCZWD + 5MgK0OJLUZK/lViAlFA3LqU+J6qatSQQwIObNHrmFde/6WU/46c1eq3rQZdTeeXLwQNA+f4RY9ge + LWUlImKf3cDV5WAce2FmuB6D7tNf/iivibLLEZWHCJyDqmQVIuISC+rglK676llP3Liu5kGOyUFJ + cnmqj83zvHCCr9u29Q6cdJD2vOKZr6aOSEHkSJVECCIkGQKgHNnLH/LqqImEGTlnBiQJMdij1W0Z + tJ/84gcHB3gSI4UByIGRpXVeAFnGS0MdUN7xHb+eQiiJsyV0lpV8Dj4HVlc19UzaVntVGvDanrj/ + X73253kWKLOjSgiiYI8kiFrCm+dDQVJmmSdBBgdJmqMQQQPEAR5gOAIgvZ8dnH73z2/6oy3/UIuJ + aMpJvGtIgKg1V15JulgxlYTmMkIv2ekKr9iNp2HcPLjXlzcoc86cBAKRGhVlzin5IU+r7Qflnj/4 + 4O+M/QMaukw9Kj+Lmvs8quouIlA1aq/6l6/6pfW8J2T2UFBa7ull3yndRDn9eS0m7btZU9UAksSI + SDVlSqRUpWY4XX/ra35+Tz4w1A1JAECE8uISoHpyZrbSYl6DAIAVnkEZMebQ8Bib/zT+9he/ffPM + b8ErogYJNVeKPGkn3nuix6hdeuwQEiGTgpVJiZQJwioiiUF1NZTMbcphEGaY9H78gU/9id9IkSQT + 4AisWWbEAiya8dU+j4IAETCTKrSEbIq43l3/pB96+VNfP6CNrs3VcNBJrIKTLp7maT5mFBkQVZUc + 6yZkiZDMwiGFH3zhDdw6lyAJ3nsRUc07TdKtGMyY0PZX7r59ottw4tlpFpAIJQBOqUrBZw9A6NHE + qJ8/QuiRlCmgCuqcwpEyKWfWGY/chipF7WbV9pe+/bn7xnenqhNVZmSKUdpQc0q5HNKd477TIdeY + +Jc954dCP+S86z7854FIWibLlp4h8xlm0047XnCORZSISgNY+pyXXGeelknvzKw6b+dFBFDiS7df + fXqeWVIOVGvGuN/iYf7ozR+KfgbWHNUThCCuF5dFhGhnPSACSFETZAbfh1df/yruXE1OUwRk3vQp + Qxmgk9t2s5uklOq6VtWcc5k3LKMVJSfgGGNVVTnrdDr9xp3f+umf/pmD9z6g8z8U1fLm4nRDkpwz + EVVVhZUJhKZpljcoj1WSrUvSW1H+ZLla6JJrr4wxxpgLhhbK92WsUQYI5QYxxvLbciLQGGPMmS3P + Ii1ngJeta/lVGe9gMfwpbezqsGh5yzICOmlNw+qsdfl+eZVFaahVte/7cgFG+cly/Ue5ZdG2bfmm + PJm+7y/DeRJjjDHGGGOMMcYYc4nJOa+uK1idZyvOsCj0UqaASlkFpBCFYP6vAyou04f18KHRevae + FHwuZwrLEmjNghgrh6TZSX483MZ4gm7sIKlXCNx8OS2BLdzamEvT8lwJVs5xYOWCqZxzWTx2mTbd + xhhjjDHGGGOMMcYYY4wxj4XVkzKlJvWj+ZOUUjlHU4qQ2PUmxpiLS7mUb3ka+tE0fcYYY4wxxhhj + jDHmLJQ86VLnudRdBxBFfd1Aue/SH/3RH7/3vX+4rF0XY17ON8d4vKIdMy+v/1p+U0ralvnqEMK8 + KO7i70MIOLGAnjHGGGMuYcsUITt5vaqkcpaL65lZWb93/z9qldTlxQ2EqMScAYBTINIzn/gstI7E + hxCUKKUECCmEoIs00POTkawkK5E9xxMrVuPhoOzUpV7e8PJ/8Y43/IdfueG3fvWHf/vXfvS33/7a + 33z769/1qz/1m2uza+puv09DJx6AsGSXM8fMO84DOj9IT750nkhFhJmJnMZc8+DqK56gnS6CxoHF + 5fmLPxM4HNs66isXc++cyzk7F877ppwnZSCAxdIX51zXdWzxJsace6U1XtZVLiWOy/eDwQA4OXdv + QZZf7JxAmZHSvEG++eZbfv/33l1avBhzVTV93wMoTdmJ97DCcRv7GPNgMOq7dPttX/lP/+l3VJEy + ltlsZfZA9EzrQ5ar4MrTLoE7KaXldj38G2MuUnacvMSV8MvlGt/yw5WW65KKglOgalzWpCqOEGMU + QQg1HHfStm58lO/91Nc/9Ok7/2arOpyrSJ6wDPrNnoVLIS0nx7vgCghBwfNAQ6Z64NppVzsOIYzH + U8doXNAtv5+u/tdv+KW1tNclrlwV+4RzP8hQVSJHGdoLaQarc0EBHXRf+c6th9r7W0xTShV5VTAj + JwFQjsgMBwELqHMvue6VI9mLngFAkne0ONxe3B+PcjaCvXpimvhr91939cY1lQaGY+ZA8ERcdgJB + 7ud/ddIQV8pwlBZvpkIJwkh+dqj9p7//p9ukRmLMUktBU24hmeZ/B4ChXssD0MN6LY9mEwjLhyaA + lFkZGTlnceoCAaDo9vmrnnbFs69/xsvX/b5+LF7ryvucAYemYSWR45vAxyO3wQBKiLsSMkNK9mSC + SELoaE9/1+Gvf/CmPwt7Ebn1Az/rpkTUNE3qY4qxDhVJZiSCgJKSAGWygACC7qLPDyuzkBMu76+S + CGtmyYSs8K5x4p2wCzrFkUP6T//fJ/7gCN17rHuoGZBmZE3DtYY8zdpurQr5GL/h+rc8Zd/zKh20 + 29NA5In4bPd4UgipUMolSzgL91in9Sv9NT/16p/HZl3rIBAjwwEezMSOSERPkQW10nhphCfnQLPU + jw4MtvPRG+/4xMHp9zqMQ+NSHyVpQt5zxUbbtrSb3iwAIGEoQwAidVAPgJFBua68QA8f3a5H69xU + R9qjfp/+7c1/sSX3z7CZqOSrl8MfFjNXDD1eJlEBJSQBEzjDg5g591rJ8InrT3njS9+8D1d245hV + oqoSM8ORnpcu/7KVmH/Dyhz9c699/oHqqqEOfPaSEpE6t7OnwwrPvu06beItX7uJ1nLPsxi7UDkl + KU0cKTsJToJQmYPbRZSgnhNRziopQzIks4LIUa6IQidtGswO54MfvPHP6v0hrcToCi1CcAFS5CSj + ius8HNG+Zz3pBZUM2ratKn/6B7+ElXz0R/RoG4fLoOofq5w8C6CqWeLunFY+ZyTniBJM6NVtyD/c + 9/ffvOcOqSIoL9smISgnALzz14YSXKQD1RXXHnja4/c8YeCq2XjiHZV2XGiXHbDMaVRVtZzFK+0D + EZWzOG3bNk2TswL4yIf/9hd/8Zem025H8edYRKxVVaWqZTFQ27YhhFJOupwVEJGyMslm7owxxphz + qqxdKAf6spZXRKqqKn2Auq5TSiGEEoN6qjOFxhhjdqCs/Cvn+9q2LSdBylKMtm3LygznXDnFU9pn + AGVwhBPTdx6lEAIzl/a8/LcUIYoxliDtGCMRlTGajb+MMcYYY4wxxhhjzMWrTKZ578uUl3OuhKTW + dR1jLMsPUkrl5xf6yZ5/J60BEgdxEIkK5toDfY9h/eDacFP0PJwOYgUryrJOBoLoMOW9XURMbvNY + cEQEBbIoiET0Yl8Pb4w5peWytHIKwzlXVqzlnImonCjx3qeU7Dy1McYYY4wxxhhjjDHGGGPMWVte + KlIuJCxXpugZASg1r5d1SOx6E2PMxYWZ+74vBUz6vi9VTYwxxhhjjDHGGGPMY6tMHW9vb9d17b0v + VZWcc03TzGaznPNHP/rR//gff5sIj3gtVymI5JwLIaSU1tbWsMhksflqY4wxxphTEprHczrQPNKO + ZLM7El2rdNoEk2FYH/CoRqNKJWOUmUnnYVhSArYWl6Wfn3Drh1NVJSFmJSIlhqu42nD7nnPFi164 + /+XP3/+y5x142XMPvPSZB17wxLXrrqgf53PtxAOkBCFRksxSsup2mRNeUFKAREmE5l1fBnmqWcOe + 4d6aGyyD9lYIiZBkTV1sS9wVOS75EedrKy6w8lpdjuUijNkFcs5l7dlsNsMivObMt8dihyVCXbu+ + l/e85//++Mc/Weok932/trahqsvA6VNhAGtrayUlp+/7d73rXW17pvjq01kGdTPzbDYr1WnOos6z + Mbuflee4xJUWs1RmWf73Ep427SSpVw1ROdbeeWJEiilN62m3f/vPv/hf/uaOP9d9kxlPxm10XEOZ + xbN4Vs/KTkE4ngu7GCcwAJAIiUBjFq8U1KU488SeBv0x/3j/9F9647/dpwd89GvDQZ9bZiY656nh + WZWIoE6zEilIQK6jPjZbt3/7s5v5aPZKog5eBKoggWMoQQmqyuJ8DFcNr37BU15apzWAyUFEGORw + KXxIcs7koKwZGnJzoH7cC5/6sioONKlIEkHO88iHxZkJAQR0fHx7PNEcQno8B14JyfVbOHTLnTfq + Wp7mSRiGtp9VlVfklfJtDDioAwQ4mx4JgGU4NCtYmdSBQwJlyuKyaMxdqvPwivrqH3vlvxjl/fvD + lTrzuaPgnGZkKTntJ4ZqK1hKpvtJjwVSVL6OUbhB5OnEPfSluz73qds+2obxVj7MjUR0k9kYTFVo + JOfY9XT8pVuGf/uSQ7x7EBCEvcBpAlLmFJ1ERmLv/Aha517ZiTTtg/F7f3Lje761/ZUtPQwPFkWH + QNyldqJtNXSy6V987Wte+dw3YrrmqQneU4xBZOfTIlwq64EyKAmrEAsxKI8GFXUylLUffOaPvfjJ + r/OTKiTvMpGwJNWkRI7BqqX838qbq/MvUq4oSFJlFaDvO6r0UH/fx7784Um93fK4hE3W62Frujmo + au1l93UJylPi+WeJBBSJOtEu59SM9o67nLymYfz6fV/4/Dc/PtWHkrbEAJMqaQYBNI835nlC/ErD + FkKTOvjsXeQcZRDWBt2VP3XDf/O0vU/nKTGzb+qcSASqQnw2sfQ73945hgKqZTyS+eo91zzvCS9y + W01DtWYBJO80/BPopmnv3vVj/eah9oFvHPzqjLfcQLP2mbNSBjIrWDxJAFjKAWU3Kav8AXIgz8qc + QEpwoV4bz1oZdZPqwQ987g/z2ubR6THvqLRvSsjHo80BwCuCBN3y1z/1FfuqqyRxcCHGfrdt7/mR + UiqdnbO+h9WR+TJR6ZIo2/rw14Rx4qaVfpdIKvUQLy9OqgF16GLdbekDf/nJP9ZRnslUKLGCBCxQ + Ws627+zgwgJENDrCsfCaF/7IyG1IUh9cORPBygCUmJRJy1F0tx28zFxJOKuqqqwNwmKVjwjW1/fM + Zp2I/P7vvfvf//vfTAI5vm892gHU8uovACUjs1SRJqKSpoZFamb5rTHGGGPOnVIQPIQwGAxSSqXb + XA7HTdN0XbeckS4H6wv8dI0x5qK1nJcoqdUA6roWkfJzEQkhLFdmlNOCIiIizrnSCDdNcxbzGKWi + 0DIqW1VLEaIQQtd1AEpVjqqqsFjzYYwxxhhjjDHGGGPMxavMgC0LbZeTHQBSSt57733f95fj+Q4C + qKzsEYI4oAI8mENAgnYdhg6j5l994pM63JMynevLdOfrdQkAQkbIxIpRTH/+ytehU8S+gyaAmSQp + c9j5iktjzEXAOZdSKtd8lpa5LI/33rdtW86PlNb78rmc3hhjjDHGGGOMMcYYY4wx5lxQ1ZJpXS4b + 6fv+DNenpJTK1YU555IUckkUITHGXEaqqkopVVWlqjnnqqrsojljjDHGGGOMMcaYc0E1M2Pfvn3j + 8bjrOhGB88puMp4x+Vu+8IVf/41/V8rtO+/PPNG8rH0UY6zrejwew+arjTHGGGMeHcesIgCB9cHN + +yK3WnJbtOR1gUsfSpm13re2v+Y6wENURFSJqOR7La/iPH+XcwpBaP4Mjz9PQJCJiJlLyqlmdeSb + 0Picg0iTqYpaKzfkvSB1PWmJIlIhUYLMA5LOed7cTq1ev39SNpaSKAEgZs9C+9aucBTKH5Ubr95e + CVnTrJ9lzcpgBpHThydgX3KWNSJsXGDMBVSCY5jZOVcKKZ/59t57Yuc8i6LtsvPcdfl/fddvHjx4 + b9v2VVW1bRtj7rquaRqQnDJ6LMYogthnx+E3fuN/vvvug6HycnJLICtfpyYipXBB27ZlIiKEYE2K + uSTtrj6QOUdS6ufdZQWRXsLltGZdp47JSdJWNAJJXJRR3KwPvfdj7/ny92/Wve3Rfjs0WN+op9O2 + BAaTMik9rNsNIUBR0vLKQEIVMWrtBzlK48PQN/EIrvJPfssNP/+0vc/lqQvwR44dG66NNCkp87k8 + cAiJkipA7EGOiXKM4nIM3XcPf/OuQ3dG31FFzCAtsa5wBE+AzHMIPKrQDa5/6svXeR8iu+DFEcGp + Us7ZOXc+h3zngqp673vJIqhDk8b6oqe9Yqh7fSYmLVHWIoAQERaRqSdkPy8GZvOsa1LQPE8RmRHr + 7q4H7vzeoX+QQYegfUrOBVYmKCGxlsE2owzwdh4Ty4uHAwgQIRWSTGAfFE5VCXBQUsk98oSvGT75 + ja/4ydCO9vB+6j0lqgO6DkrLaGwA0HngOpeHOCEReYEIUVKP7NdURt1ff+6vvvSdm7HRTfhYrFq/ + 5skhqzgOIdRAGbbqPAtesbj/3XWIYQGpgLJwFM5CIsSZOIHaFGPo+np2JN/7Vzf+8bce+lo/2Mq1 + uAqxw8AzEjSDGZT8taNnvPllP7tBB2Zb0SGEqgI4552HWisDDBIlmU/NwCmorsPW1pH14SjPiKZr + P/PaX7x29NQwrmsMA9ckTpIig4gcnXHEK+SJlTQEtFOBQ3NFdetdN3/p7lv6Udvy2Fc0mW1FjQAT + ndwGXnACFqx+dgVIoNTFNjQhaichj2nrGA598KY/7QZHxbfkAeeJHCDzA50AYCiDEiDHp1qUU0qD + uqHE1PuhW9ft+s2vfOsLrn5FPpx1FpuqJqKcldlnFSl5qufaie9BZggoaJWOyg3Pft163l/LIHBQ + Vdn5sCQ4zLppNQq0rh/9/Id1rZ1iq0WrlEBCEFKweIDLB3LXtf5ZSEEE8k5Zs0oSSaTbceIP8KZ/ + 4DN3/O037/0yhn01CDmDlAnIPH/j5u2SAoIqhaZbv+G5r01j5cy+Ckku0ysHUkr//D5huYNS+w8r + 83EXvUc6ZJfoXACXW7lDIXEem+2xsM/P6u33f/ZPj8i9YzniGgIlzPvVIMzrxgLYUX+AlNdCrWP3 + pD3PeP61L9fepYi6Dopceuy82qc547SOubCYuaza6bqu5FzWdd00jXNuOp0C+N9+8z/87u/+ngiq + 4J3bcdNRRive+7quy0+6ritt0TK2raS4WVlSY4wx5lwr086z2Wx7e1tPdOzYMVUtZwdLAGpZ4GuM + MeYslGhqLKKjlwWAcs6z2UxVl4szUkoldwdAqZpRvi8RDmf36MxcVVVd12U+pOs6VV0dkaWUFueV + jDHGGGOMMcYYY4y5+JQqtGWBQfm3TIUtZ9WcczHGUqn2wj7VC4AA8HItEAOu/EwZClQgUjhBU0Hy + oayxHmQ6H+uHl8tBWAFQnfWJnjEdA9kR+ZLE7RzsMjBjLkVlBexgMFhfX6cVdV2HEJqmKTcrp0iY + d9dFDcYYY4wxxhhjjDHGGGOMMReRGCMRrZ46r6rKe3+623vvq6qKMS7/ZHlFjDHG7Cp6GuVaubKC + aNmUWd0SY4wxxhhjjDHGmMdcSimEMJlMQgh79+4FQESTyWTPnj1f/epX3/GOd6iipEiUekpnuKtS + 3a7MXZfitCWcwuarjTHGGGNOj6EMMJMvQTDq8OCx+7OLwulUt/esbv/GlQHsQVqi3MhBuQRMK0Gp + BHwIQKx0YfOqMjIAVRURCJfn44kB1gwSClyFEEpfUUhKANzirxmgc5o3d3bkYZlSQlgGemcREpVe + 1wZrjFMnxSqVF0C62AlfFudAT0rPWZwWvlBPx5jLWtkfS8tcSiufeZBeCiznnJlX1qoRjh7b/rX/ + 8X8icN+nvu/379/vnFtWaX64KjR9l0aj0Xve855PferTAPo+nUX5gfJ8yoaU52+tiblUWXmOSxwz + l3AvYn3k1De96D8PTbOWs4y7SXbR19Jj60i676i7/49vfO/tD33+KD/U+o4I0iPH1DQVaB5WuppX + qiXTGiXT2pfcWVBiCBPqQF3MkmnAA4zpCn38T7z4Z17yA692W/XG2t4+dVxVMWvfdRWdupv+GGLm + jJycqGdWVlWqc+u2Pvv1Tz8U78u+V43EmlLvmAhENE8fZqbMIPKjeOD6p74KE4YymGNK5IODyynx + bgu5PQsOAkgmgss5I9LVa09+yv5nVloFZgQmR14DZ9KSYE1SBmLlqwykhSCLaGlSJuESNZ0Z0ecJ + b332qx91G+00jatmEHtAPaswMpZBquCzzVxkVpA6UgCilJKLyeVeFOqdBs7kQHXwPjCyo83mlU97 + 7Suf+cM0rvf6/doSgxe5EuUeZPlRF1qMLheZr6xgYaif9l098G0LH9D2Ues0bY59+Et/dcs/fKod + HnN701Y60qIHU59y2UBWmid3Lz41u/DjU7ZdKSmnEuULdVAXVfqq74aTw3TwfZ/8z986/LU0mm7l + FoHaDiCEUOtMNsLIJ1/3Gz/50p972ui5MtG14TCJzLrehyqJ6o4Oqcql6ZH5xApIiZRJuY0zX/Pm + dGttbaPS9ard89/++C9fpU/gWYBwcHXlmvJ+AZBTx2kzgJiTq0LpwQ5qTrnfnB6ljfw3t33wW5tf + 60fTCY0zZBAGKaVdFzeirHAKVuLyOgEAWMGhqadxgjpuyYMymrz/03949+Qb3WAMn4nmww8CmMAK + FYJ6IQil1WkRAjQnD3U5jNxeOta89nlvfM2z35wPVw2q2jlI6rtZIDShilkSzsshUkmJdbH7CKDE + jgK37hkHXvDia1+RJuo5CPFZlHwMwfd9TtR31N1z7Ltf+ofPYk/u3EQ4z3Nhy2TZrkyIJQWiUiot + ek6SRUmJxOVZvbVVP3jnoS9+/Pa/jM0kUT+dxCasO2EWKJBLo7fc0RJcql7wpJdcs/7kGg0zdzG7 + +vKrNApgcfHYP+ceVgfJZcB/6cRan1HZcCIqkx4X+umcX6Tjdsut4wg/cOv3Pnf7PZ+fDTZT1Udq + sehOkLITOAHKdPZOsHLQUeg33vzqt1bTdY6NC6GNLZGykpPjE0ZaTlNcFp+4i1KJtS7FQ0MIKaXZ + bNZ1nfd+Nu3e/t//8gc+8MEY1Xvu+5SzLvrMxfzAdIaAeWbOOaeUyoKh8pNyKiDnXEbBZc0QEVlZ + UmOMMeacWp5CK3ML5YLtcnn28pC9vHGJuDbGGHMWRCSEICLOuTK8AlDGPuXyiTImWs3dqaqqXHFR + IhywaLTPQkqpLOZYZkIQUXmUkm/tvbfyHMYYY4wxxhhjjDHm4lWWN5QFBuWUR5kN896XWbWyFKHc + 4DKcB1OCLpZ2EJjUQz0AECaTDgMPZASPvfs2R8Mj3ic6T0tSlZCJIrOAAZnODkO2oNEDFBMlFSAz + wZYYGXPJWZ4oKa10OXlRFowtW+nluZUL+USNMcYYY4wxxhhjjDHGGGMuciGEcnFKuU7Qez+bzc5Q + kVNVZ7NZOZtT/qTve7uu0BhzEVHVUjKlFDMpJ50vk5JKxhhjjDHGGGOMMeeT975tW++99/7IkSMh + hKqqRqPRrbfe+iu/8is5ZxUwl2uU+BGL/zvnyuVgAErtO5uvNsYYY4x5JKRCDBARkQPR0fERcfOk + LQJYeZHexVCGVnv27CdRlQQoMxP5NM+oOiHlhudBXeeaLB+0JD2V75U1I5eFDQ7OwSEjJ+2VOucj + uw7cZmozWtFeNDMyl0QkAaTkJDm5mHINMrLSIpVHpKmGta/pdPlKJESaNJGDIKsqK9wlfTV+qdS6 + zNO1GFpjLqyyFK0UBzjzLZd7a9/3iwxWUYV3/o47vv7bv/2/p5g3NvZubW2tVhhYZNwsv+CcSynf + eusX3/3u9+SM0l6eugIB4RGbw+WqkrIVVsrAXJIupm6QOQulV1TK2QPzZvE0PaRL4MPAOakq7V3f + y8xQtC1vAAAgAElEQVTHpofCfj1G977nr/+vL9/zuVmzPaOYGd5BetQ+SOpLXrWQAKo0D/0VgpRw + a1Sl6tZ8yAQ4gBRK4oIfH2nXceBNL3nLq57+mmrc7BtcNZv0CbS2sT6ZTIb1kPI5D9pj5qy5J4lI + yMxE4tvvH/3OHd/7WqwjhwxKQBJRZg+wKkTACmIFq2b/xL3XPXHjOpbaOZ9V2hwdeyVWVZz7539O + CQl77mLvXPC+brvpsBm62ej5T3k59chJooiyC/BO/GKfWDnSK6CsdPx0BZfg55JsLVBC79CF7lvf + /7vvPfgN+MzkmRpIyUEvn6MT7m+nyviclQEGaeacXEou9SJMIVDjEmtMKkmcOq5HaW/YHv3YS9/8 + 7GueR1P2UkkrvIzI5bKBIidkTErZNBKwMIsHmBxnVudBAgJmeYJ96b78vQ9//i9uu+umw+mBPIjJ + JWFiV8WkUD9P/CUBKeuuzLQucfUsQjrPsld24lmZa9a1+KDe80ef/IM7D//dlj8y0QkHKJABddz2 + KYRAPfOx5vXP+4kXP/EVftwMKTAErB2kJ4Lf8ekoIRaCkggB6qGelMvuKU5Rc5uyiqvz+rWDZ/7M + q39hQBu5h2Z49iQkKbOC6OGdWgagxFy7PnXOudiBmeu6HreRRnigO/ihL7z/Qfk+1lNVVSTkiFVV + d90O7wVeSISSlMYZQRAE6hpINeH1yYc/+75v3PeVrp60vk8EFSBDRFRBBEKAVlAHLF6l+TYyKSqH + vu1yBvrquU942Rtf+rNusx7KRoXGESNmpxI85xyTKPtwHhpEJRbC8nBcCkFKlI16X2hHNzz/dQ3W + cpachRzv9P2adWltT7M9UXjFev+Rz33wUPt9twEgkYKhQBaWzKK067KtWRGUKia4HJESGFyTqyVk + 2Zj+/QNf+Mub/ks32s5B24iqCrntnYKUZdH2lkaJFcEF9P6HXvKjVTcK8CRCjrOqXAq9oB0gIlUt + QdQrP/ln3WE5W4/T9jMvNcuNXY3ou0z4xqGJ3z5y5/s/977ZcDz12612cFkJpWlihZOy35U9a0dN + ih8fjdc/81XPueYlNF0jDWCfJCstTgmc0PzJ/DBqdp+yaxBRVVWleGjTNHU9+M637/6FX/iFL3zh + iyVJTUTKEp+dKkFupZA0FnN2ZccscdpYWTb0GG2TMcYYYx6VGGNd1+W8GjM757z35exa3/d22bYx + xjwm6rru+z7nLCKljQ0hdF2HxZRFWZzR930ZN3VdF2OsquosHqtpGizCIcoD0QIzL1dl5ZxzzqX9 + N8YYY4wxxhhjjDHmolPm2UrtkmUFk7I0qBQrKbNhZZXU5Xa+oyymzcv/CJdF9krIhGatTjnBhawK + 73/sQx/ZGg6jO8dThaRYrPkHympL5xRXOULuISn2rXOemVLSmC6PBW3GXJaWDXI5USIizFya9JQS + M8cYl8vJjDHGGGOMMcYYY4wxxhhjzNkpJ2Wcc+PxmIgGg8EZzpsvbzAej8tVLZfbSXZjzMVCT0NE + yhohAOU0dNu21pQZY4wxxhhjjDHGPOZKRSNVbdt27969k8lkMpkcPHjwne985+HDWynCe845DwYD + EKnI6VJUvPflirDlDA8RlR/afLUxxhhjzOnw4urrckas9KOm7URpfk15iaBa6T8R1O1Z38sKRgZA + 5EBOBYvct5IgUQIqLmRRypLDUrqFzMzsHXkiR+SYgndVFQYh1M4Fx8G5sHodegmno10ZuXUay0Ce + xeoO8oHDaLh26psTiADWnKPO3/blpl76pUSXRSQu9BMx5vIlIlVVlcan67oz10kue2u5zbK9GgwG + SUQU73vf+z7ykY+0bVtKCixjax7+mDHGGOM73/nO8bgtPzrrfBxVzTkDKJUNVPX0j2vMRezS7xNc + 5hRZRPq+X+0VXbo9JFHkYTOaHG6lxWj/8MY7Pv57H/o/vtN9lQ7E7Xa7rmuJDho2NkbdpJ1XsmIo + pxKbKoQy1FFA4RUOcFAuicAMuAxJqnXSwBvDx736eT/6+ue+YX+/b8ONZltTQaiGG0eOHBs1g0Go + czz35W9IBFl9TBBS78ltzx689es3bsmWDFg4KzSTKkOFJDOLJ2EGJIEci/CLrvvBQdobqBLSDAWR + qMuZQgiq+ZGfwO4mUABMAcpEYDgX157+hBcMwwhAFCQFwzshlnnoOy/iq8u3y7vixdBxkTMNANmh + c90Mh2657eOR2iTKVBMCqRDy4n7knxMQy8qkjpUVUE7JxeQyHAu8S+yzdwKRFDVlqO+avXJF3Y5+ + +vU/e9X6NZXWja/jdOUFKRXcSErwpJBgUdPNCbtcsTooU4U+5dpDeww8EeFI3AyP04k/9IHPvO+z + t39Cmpy9zFJfj9ZcGGAe+w4qm0z/rE0+R4QQGb1DZgHECVWZq+RIMe6OPtAd/JPPvPdrD906GR1r + /TRlNHWTVV2NxGglcWDXh5f/wOve9Jy31tP1EHkgnGbbmYUGVUfIzgnt+JCqYKGSJ+1IgxMmiKpW + w8Es5VYSSIKQ315/xXWvfdkLbti3sT9FWbbh7FZOhJ2YbC0AArVZSJmBrkve82CAyWybNvKdD371 + v97+kTEdi23foEkp6a5711hASlBKSllJBaxaq9ZJuJOuo4du+cpHvvD1j3b+sKxpz8gKwKPswvOJ + MA8N5YfKKFmoSvMPqs8YBM/eX33lU37uDf+m2ty3hrWRa/peJFMFGnpHmlLumSrVcO67TAzMp+eK + XILPhb3UNK6etO+6Fz7nxY48lER2eBwnEKOL7WhEvcYZjTfl0Edu+uuj04eUShsgSpLnsday2zLO + CQhKgaCcMmflBm4o4sdxfNeh2/7s0//PYXfvzM8SMBgEVmiOToUBhReU0aCUXHPvq2c89TlPvvLp + PtUQEU3e+xR31Yf//DhehrX8f96wKO/oo77aoyyD58vm9Pw80zrnvJoOfjlQki62B4/c8/4b//QB + vXc2GG+m3KwjCzJDAJAQxGXvclVuv6P7J6XH7Xviq65/XdoM63xAcxWT+KpSVVZmOT4pQxAlLbVy + H9ttNI8J732pB1p2kzLfd9ttt7397W+/8867coYqQlWxCykJ84kzd3RSx+bUSl5aOd0FQFVLgjUR + lR3Te18e+nLbT40xxpjzzDmnqt77ErBaVVUZHZSTebPZrJQLB1BVVYlcNcYYcxaYeTKZlBY1pVRV + lXOuDItKw1uirAH0fT8ej0v+NDOX6RpmLoOmnWrbFouZnxBCiX8AUFXV8p5LwLatpTDGGGOMMcYY + Y4wxF68y6+Wc67quVKddljLZ3t4u5VFijCkl7/2luw7/1GR1JbTOL25NjB7oEwCo823fO2IwY9Qc + Gw66cx1rDQghM5TACicMcBP5wANbN77xLWjbUHnkJLO+8lT7y2VNmzGXGyLq+76cMen7vpy5zjnX + dV1OYQMIIcxms7O7stQYY4wxxhhjjDHGGGOMMcYASCmFELquI6K1tTUAqlrSXk93+3JWfW1tjYi6 + rgshnOH2xhhzodBplHPQyzVCbds2TVMurzPGGGOMMcYYY4wxj6HpdOq9DyHUdT2ZTKqqms1mb3vb + 2+75/gOlSG1KAsJs1jkX5gES89iIEy5cKmXuSvElEQFQrg6z+WpjjDHGmDMjIgYkZUdMoimlNs0y + xZWomkW/qySYqB8N15mUVFRV5zFVvHIx+krEsp7zi83PQOcZ28cFeOpV2x5t5l45KUWhmFnUKVhL + ltcy8OJiuTZdUGLIIEqiTKrK7FVo2Awe/iLM/4YghJgTAKJ5WNHl48Qkb2PMhVHKuVRVdeY6yaXo + cQmaCVVTDjqzWVcS25xz73rXu+666y4i8t6fJipLADDzr//6r99774ODQa2AKFKSk2cYHkU+Tplt + KM1I0zSqetnkc5nLjsVaX2C0zJk93jSxLH4qJEICkpIPN/8t/PyNO/4rkDIpk3rAL+5BhCQrqWrM + nWCeUkkK6LwrLIDSMtZWlERIluFzDLAKwCzzMi5OhYBMUGItjw6sPNtTfD0Mn/Jfmr8ay9dlfucr + N8PpHmR5eyHhKh9rDw32VW0Yf+DGv/rrW/7yQRycNlvjtBmGlFIKHERkc3MSAqrj1WkElEoWrwJQ + 6OKwMd9Mmj+ISzTE0HW1nzSves6PvuGlb2niPpqGNJNhM/C+6rpuMKhV0ng8LuFtOzPf6vLoJ7/R + Ky/I/EsyADAzsQqJuPTg5J7bvvl5rfuItks5CUTg/PyelYKAMyNmkHJI9bOvfSHPAmUgZgeqfJ2F + +pi9Z2XSZRDyyoOecuL+/Dj956oon/z5vwBrJu8aEcSc/MCNp9u1H+xtDly5/gPr1T6mAHJKAlLo + Ms36hAcEFm/K8lckmO9HSAJ4dDS947u3PTC+J9WTSb9NjgFmXXxoKIOyEgCC+tXXbbE5vHjOx/d3 + LIbZQuASp726na4M6hMRhVB7X4FJVAmI47TXX/G48ISf+MGf2tArMKsHVaATtmt1SL/yYpIwEqAg + iVnEoReoQ8rKHnCYpsmYD8fR+DNf+8T7P/WnWzhMe/T+rfvEJSUFhBUl8JuOp6LKfKNOfJse6SO0 + 0jLoyf+e1Hosn/9JDQ8dfxrHH0tJdJ4hzQALSR9mXb21Fe7/w4/8/p0P3KYb3Vim6jBaH41nLRwl + BSOM/IaOw+Oaa3/+R942bPe7VDNCjHEwGIhkQJg5p52PPMsWnTCUF1Z4Vx0+fHQ4HDrnkFPt6kaH + cdO9+ZVvfeYVL2zSiHvvKBA5KInArXwBDGUhUU5d7ENAznnUVMzY2po559jJJG75PfKFb37203/3 + X6s9PJUpAp20c632lk9q0k9oe3dicZxaNF/HP/kn79dUItJL9jp02foJIbksdd9Vm1/89mc/9uUP + jt1hjHKfS4Q9MdQ5ZQchZEBK1HW5z8W+RQolIWXXVYN+zxPWrn3zD73linDNFf5KnWZN2XEg54WQ + VfqUyLnBYBi7c366sbw4SpyJyx7PEFYE76bTWe0b6dwNL3rdOh0YYt0lR7rSb1AuzVeZGyqfqbJL + snJ5z1xAlxCztqnlJmLU3/btW778rVuT74Tj8jCqJ7YXKx2MxdfJL+ipD0+L/57BKdqBlSZx/vGY + PxZEc6JMJKRC6jT6bhOH7uu/+2ef+cP7u7tj3XcKZvTT6FicVyEhFafshEmYlUngsnfT5o03vFnG + 7KQKXJFzXdctI392j/IKlJeovOy02Pse9rIXDz+4LHerU7S6rJ6BjC67fr5rE5OCTzo6KGSRec8K + kJCu9goALPZYIFKfWRi67NbOW+/5rRa9WTq5rT7507V4jitbnUF53u2cR5WfZSdETvG5BT3sa9mW + rjRN5YgWlXKe72gqyFliSjtOhFq8ZgBQXjJSkDIrs/rypoOyUGZ9hGn3eSee5u3qia3rskeEM71i + ND9Yl+096WNT/ry8bsIZFLObHaUH/vOH3n3v5O5ctxGxGSJmlHzpRcO9fFxgOXdPAGHRW2CAV5ss + JxyyD7mp4ug1L3j9kzaeVmtDws65nHOZAYfyvB3HorO005fenEdlTo2IQgjeV21Mn/nMTW/77/7N + 3XcfLNNrzOj7vswDnsUMfglLizGWBypzjsvJx8FgUCIzS+JaCOEx3ThjjDHGnGy1XDgWJ/zKIbiu + a+99OWTHGHfh+MsYYy4io9GoDKDKv6UWRsmTLj8p7W0IwXvf933XdTHG5SqHs85sKPdfRlhlqA5g + ORArpTpKs2/lOYwxxhhjjDHGGGPMxa6EoapqCboWkbquy8yb976c9bjcriw6cfkRry4wDR4ActK6 + GsSYkTM2Nr7nuHMOAJTnX6uO/1cBPeOy8NNSkpWF3fPFSJXIVcTDY5tQRd+DwHUFwKrNGHNJKm11 + Xdd935fTE+VcSSk1RUSl6c45DwYDu8LcGGOMMcYYY4wxxhhjjDHmrJVg16qqyjmXcqHKGS5RKUVC + l2UEyh+e9SUtxhhz/olIabuIiJmbpsGiyIkxxhhjjDHGGGOMeQw1TdPn1HVdnxORm3b9L//bd3zn + 2we9R15Eb4CIncupxxmvC2DmruvKZV9935eJHZuvNsYYY4x5JApIViHHGTmhT9JlLdFmJT9CFiHV + wgpS11RrxAwmkECFFhE5wlCwgAFa5uZcQETEIIBFpISnAmiqKrAjVQacMISgSvOL3cuGlvRuxkpG + 0m6hXJICV+PGFk+YACrhaKpCRDlK5RoSotN0olVzSr1qVhIiIprneF2q7CpjY3aJUsil7/uyBmM2 + m6GM/c+oFHjpuw5A3TTlh3UziCmnjP/hHb86Ho9Lg3+6e/iDP3jvTTd9DsBs1pWHO7tFIOX5LycW + lvWZjbn07LrpM2YQKSCPfFA/Y8bbRYEgTpUUCgFYiQXIxLpMU4aSQiBOwYAAGbWCBRGUQJkhVMIs + 1UNdCXnNnBTILgPitYoqxyZHea9GgWbUAmLqoWnx+nkRKsMFgvA8sreUnFpk6VEVgCROBTG2IoPA + DVRyzI4yHY+/A07M3lM+udSUMmg1+3b+d2XrGCU+UMoEsZIoBRVigJAYSgoiZVBKAoC9I8d9yirC + 3rFPnW/RzG4/+Hefvv0TX7/vq3Ftkte01UQegBJn0cwEXyEDEIBAJcyYMA/fnQ8XRHLPzMysSKrQ + DCQMeC1u8VXhqh9+8Y//yPN/cr0/EMcYVOslYxgsFYsKAHJ1iJp3lrZHQqqAKObvJiCgrrw5KEXA + 5rnIVHaA7FSzaFQHkaab8JG//dxf06AXNwFDFUwghSpIkzqGb2bdzNWgHi42T7/62ftHB9w2Vdk5 + pT4pmJMi1FXMHUgErGAAcjwNsaRZKo4nIsvyXz6Xe6UQlpnrAsw/OYvsZwDQMj4tAcZMykEIgkyi + PkdNoQ5JJo7o+U9+9cE7jqgTHcbWt5TziKAJiZGxqLamAgJByjclXhokQJJlQnwGMfUut/XkY7f9 + 2dt+4oDrNwR7ua9ImBmZEjGEkXLyroYGUihHUCqh8no8m7PE9yYpcY/zd7jEFkNJWAk5zPc2TZ6h + hFjSyoUUcAzhSKRV12i79/rHv6q/If/xzf/vZvcgNZS1ZwErqGSEZpCHgJWEFUJgILsklJTAJbKY + WQiiQkANQJEazHg7znDLd248Mt76sZf95LOufu72scND33AmzcLsvK9y0izKnhPmo1Zi8uRFRJKK + yOqZG53ncQorACbFagU8VpYT/13+VgkCVkBInAqXgFGAlBUiAnYBzDKPqgdJFIreexIvAnifq3go + 33t/+49/9PF3H47/1FebKtJ4RMW0m6pHr9pUcFN2k/rx7glve8OvHXDXkNRQD19Sk4WUfFJAQWDd + 2cxC+TwvtiszspadTmR9uJa6PpQPWspCXGG05+gTf+4lb2/6wZf/8eYtHBNPjryXnqXEpjsFg4kq + Vo5th+BKOLSklByYgigyEZxH1rFQf/PXPnHV6OoXPfmHhrIfkZzzkrJCKnYSk4i4KmSCLk7ZlfeK + VVmghEyii5bhYeHlJ2DlEtdKCiUIkGmeflr+GgBBpLRuWm4vUCHSCAWD2XUpk+9i1crG5DO3f+zT + 3/jQ0fqh3GifE2sghUNUZNV5WK4QRHuweNQqDtl5prZP6uArcOL1/vF75apf+PG3XXfged19s2qw + rs5nEThNJMIEgjID3Pd98Mx6DgcDi5BXCAjEmRiUWZU15qyhqTpk4vqatae/5hlv+tSXPxTXqAuT + iAQBEzTDOUcOXc7qQQovIGEnHiSJRAhZwATnQYQeWXlzbY0+9qUPXnPlNU9Ze9aVzQ/IjEjFBxcF + 5cgLVVJmckSsqiJZVdmxUFoepsvTB4nAn9Axo/kR/8TNXPm9lkHa4n4WRxwBAZjPXmXN0rPTJgTN + krM6aYJL2cdxOPqlg5/+2O3vv1//Ma4lFTiAMjOXCGQoI2cM1eWEkofM5IZ54yde+rNXyQ/sqfdp + S+KZyEvq1JU5uN1CiBUOygI4FZ7vgvM0Xyk9Jl10nfR4qwhAqLQIguXhW08YhQuBlalHGFaHtx+Y + 6VYOJOy8etZYemKLPni5TxGCm/9k+YhMCnCiILGHZyjJA+NDve/WtEISdrUSyiYwREgYKhAGBMLz + KU4ADHWYB1qL8jJFe/m0BaSgXgCFF2LVAEAQGTtpcueR2ItDRdk8SHnoE3svImDAgVQplZsLQSkp + aQ45q4xoKCnl1IHidDpOmnY0s8y6yLRWn0lK39sleHGkDplZPImKj86xiu+73gVeaWb5xDsrHQiW + RX9xZT+bfzaElj3w+TZitd0u3Wsqz0pVKStBywwy2DEgKU0JqRpwirN7x9/93b/9P4/VhyJts5Pc + i3NAObugpW9TPpXztG8lZAYpnMIJXKlUS5xZIgkzGu/iJA/cKI2Tw+BZV7/4dU9/02C87glRNon+ + f/beNNqWo7rz/O+9IzLznHPvfU96egwCYYNkYwyIAoNHBjMYMMZ4oF1uPJTLXt3tWu12u1cvd5d7 + rTK9uvuLl1mu+mBXVXvZuNwuu7rL5TLYqMUsIWhsoQEhhAbEJDQhPb3pDueczIyIvftDZJ573iCs + J5CekOK37no6yps3T2ZkDDsiI+Nn3rFFJXJriZAfYzCb6mMcfz4lOTM9z8jhq3thTIApiaOu63xV + ee/n87n3HsLLtm2a6aLrhf17/+a9/8f/9r/vLXpgqGTS/lH19K7/ej5mVlVmJqLVwBwz588ikmcI + ZcUaEVVVlfdZKTOL0/opQkop55O8ZO1TbQ3xQqFQeCKwaoVx6rPA/Dn/W9rlwnkhxwbrz5tyhHke + T6lQ+EbIsW6uUfM0iJyf8/bT8jYzrxQOzBxHectq6kNVVdlOnTtfD/eleefc/1qfM5EVPvmAqtr3 + fT6x02LyGKNzLqUkIvlzfgOkxO2FQqFQKBQKhUKhUCgUCoUnJusjV+tPOta3PKUgYHzGs++0lvyi + AQCgdp4A72uIoE4/9/Fr7rz8RabGvkFUs2imeX6wQZBfBYDmGUcpT0uHsp39289KfsvZaZ4xRSBm + IzIVhE0w2g6+xYTAgLmHe+G2UCh8SzO8gNr3qy35mcXqseDqndIylahQKBQKhUKhUCgUCoVCoVAo + FAqFQuEbZP1pyyN8STDvVh7TFAqFb0XW39crFAqFQqFQKBQKhUKhUCicC4NqAcD6Ws0hhNlstlwu + 86pHzjlNUNWEJN5DzZKB3X//G//j9Tfc7BzHMHooNC8oHU7/GmZVOOdijHmFpRCCmeVFjU4TV5fx + 6kKhUCgUCmbw3qsqYFyign0UhGhJnOtThLe95XFDZIZm7wOvr06pBKXgJn6z7TFxQqEFJSanZAls + oyqFzJHFLByxs/gOvpms+ylsLf7Mpma2QYfADMCSpaQKAgSaBUlZyIPTDCCCQfWCs9gZzjMOpiAl + JIYqMdQbOGkUJ4akGmqqKUWK0simGLIfCoZBPEdqQC4EMQUiqEa2lNQ5x3j49Ui/1SGivBQqE4tI + 13W0b+QpFAqPH7lvnrvtq+XBsys6b8md+pV9Ji+APK6WrAC6dgHAwFFTruTue+Brv/4//MYf/dEf + MROz77qu8ZWI7O0tmqZJKd1+++2/8zvv2pdEqQJI6fShhjP9OPmz9z7GmO0np10IHq0eu1B44vOU + W1npCUYW24kRGw2awNN3of11mtjApgS1wVk42ApXexKUs941fzAo0rzb7WzZxl7NnFRehNQA6CgD + HlaVIqwrHXmUPeff7y76EKMw1XUt3pkZVD0xGbGxGIsxGdOpVrn1Dlm+CiPNGtqsKB7Ni6N9cd95 + OfypAQpLMJAIO2a2hL6PzFw1tcKW/dJcQq0dFnu0fcLd974b/+OffvTffPbop8IFu8t6ZzfusgcN + CT1Ymgc18LASFithX79tIEPtK1WYKhNZABTeSy1N2MZF7plv+d6fev1L3irbk3AcB2cXmclwNEo5 + 5bO3VYFz7SYlRmLLXSyy4bxys6Y09MSMsGrKUpc2Z5uh7ZJ0odm99b4b792+q6XF+q0kW3X3NIQw + LNBD8H3znIueR+rImLMzHdEojd2tYdWwLIfl4SdnuJXu8pwu7pvCkJ75AnnMyGN2zWcbQUqIZGBl + GBsl4wgYKLIll/iSw89z3bSiOqUUKSmDiJ2NLb2tEliHjqIBNqYF6ar3OPO1JSSOC9753H03funk + bbFaKEfhmlCpQlOM1hlF5xwZZ4c65awxhCuM4aby+hXS6LQGdLgjxmwsyqLMNmy3nMeMcwoYkpKq + qrPKt9OXXPKKH33FT2yEQ9I2COQqJ7XEBACTWpBAWYidL5WytjN/O9h49GrDxkyYGEuNfbXsJidu + uf/av7z6Tz5++5V0aLmDY2mSqJFk2neRjR2xJa3IV65y7FJKXdelZOJd1dT5itdqgP1azk6rGej0 + f/NvhzQhpTEfKihXpPkSxDsW9H2/XC5CCuJYRCqqEIyZI8UdOrrdPHjLsWv/zyv+5dfSXbtyMvrB + 3i4ML1QLiSLsYaobs3jw5974y89sLrGFsEo+uUQwympWiKl8A13sXLfnSzYAtn9PVzWnqHPz6aHw + rLf9wM9dduGLNrC1Ndna2+ukIlcJiwh7IdaUQt+mlFbvgAz5FpyTKjG4wjL2ybdttf23f/efvnDy + 5t3qaJoue136SjzLsm2bpplOp8vl0rOQrdYhHJJaGba/IOF+vv06GKlyTBKVY3YYU67lDKPxevBe + D18ChcWUEpswqi4kN/N7fKxtjl1x3V/ecNfHj3T3dj6YBxgMyv5kO7UyBwGIIc3bdumoTh1PfTPz + jSVQ5y6Qi3/+zf/VZRe8QHbkYL3V7bWDUgVjrUeUyxfZ41Hd0SmlADBiUzJ4IVUVETbP8/qVL37d + pYefr9tkPYjgnQg5R6yqISaiXH7Hap90tKcP6Sxjs6SMrlosmp0/f/+/u/P4rdt4qJc5XGjbPQ1w + 7InIe2HP0WIbuqCRnPjGr6lwlYamf/xSrH4Uq38NOSBZpWG+6asLN4KS6lC6VYScYwZZDA5Uu5j0 + At0AACAASURBVFogy0WXFH7ie9fP/d5Ruvuq297zvuv//b3dbcHvDmp48CjGhhLgEQ1tu2TCpGq8 + Vk3YfJq/5GXP+f6L6mdbzzHGBCMiz57xhOzpkfKoYCbDaWtrnqrhZoau9lkTNwOnFE9VUqMIRO99 + 13XLOE+iETHlKCCtF+x8S8cMOW5aO2Tu4eehWBjpvJ+3aaFIjsgoKmniaKR54VEFwVjzZzpjFNZW + 0w701I2rKDcHt2dc0yPEVjbuMZ1Wo8tjBtK1ADUNZ6gMyy2vKAhKapY0dNGSeD+JwMl+t6eg9HBf + fHbY9ke616NQYgcWYjbhgLiMrcJcVedrZmM+tXXYbziGaGG/YV39lsbyeEY9NiajUUpIwWJMqirE + zjnHTAqBaFSwuSnhQNx2D/79V6/64yt/f7t+cO5OBG6BxNmWnbCe787UxGdtNhnIVpfL3rs+oIuJ + Gcu9xVZ16On+krd930833VYVJmwKCkBkG+6gDXXaKZmkOK3PM6TCPjsCZ7OZqu7t7WWlZdd1k8nE + zJjcu37v9/75P/8Xe4u+8u4fPORpDLOIVFNKROS9H5//YWNjo21bVY0xEtHXEbAVnvSEEEQku8+z + rs/MykhuoVAoFAqFzGw2Wz33FZHVCHmh8KQna6TNrOs6M4sxVlXFzDlyruu6ruuViPrRdalWnmwz + 897XdV1VVZ4IgjUBdvZYZ/X1SgKU/3Z1hEKhUCgUCoVCoVAoFAqFQqHwRGZ/EikNPzSardfnl4Id + qhpNc9JJmjWLsIiWFMbNBMLJMOx7ltlFj2bong2i40QsMpD2nTWa/q83vhlqMAVb3wd3ztNVCoVC + oVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoXCNwHvfd/3eY1QHiEnzrnl + clnVE2b367/xG1df9QkiilGxvsz5GkSUF7xV1ey0zp/NrG1bAGaWUiorjBUKhUKhUDgTKjbrM1AC + BiEPGakiRQ2j+WKwPu3/O3isyHNNJEkBmBDyWq8GVmKMNg2AB8UXnTdrwBlWjpXea/9n/bejmIxH + p8mpOownCEPaKkgHlaExzJlyNJgpkRErEQk5Ry6/g39K1s/2KwOZQc3M9h1JTxnJ85BpnyqXWyg8 + EWHmlFIujG3bmpmq5lWLzSyEkNdJXq1pfFb6PipMHIdg13zs2j/5kz/J0vrZbBZj7LpuY2PDzHZ3 + 5//sv/01nGMtl5dods5NJpMQgo18Q5ddKHxLUdbpOZ8YOJA34qyoZnD252nWWxpAYMvqaJCxEYha + JlViGBl5Mk4EgxL1bIPzzxkMQEIixCpt75wgyXpJM1bN9sizQcbQCkNY2St0VITqbNOhj3GZQuw0 + BouJkrJyzV6JyU5ZYEpP6xuQ2sokzUlpFLUa5S8drbRnLEplSJpImOBCCiGYgLyfNLXv+uV80XLN + NpEOHfs07+dfPf75v/jEH27zkbZZBu7RGHtUCV2HyoEM2TvLtuY9hRoN4sYskBYDoMt5X3lP5myp + FRpBSn2wNl1y4LK3/dDPvvDbX8pzmbrJZDqLyyUzJ7LhCggKfnQC1ESIAiX1qWMwG1jJDEaS4A2I + w7pbKlAxhaHmptvrpwemR9v7/LT70A1/u+C9ntPQ1ctO8ty1sMiApd450gCOjvv6sue8UKNLxL0L + oNhLUiK1iagTdawqnIwCgGH43kgJ60P5a1f6mI/Us+V+20r/rKCV5jMB8IPletW9U+U6EYyjUhJT + MgVIlL7taRfXRBWhtQDAGKqVWu4/PdLOIRmnRZjWTavL6LqlzD/6qY8+87XfUadQMTEJs4B8QoKa + EFtShoKMTXXo7WW/pkM2SUNBEAOMSF2Wo9sjPx/AoYocF7bwNaeQtvjC133Hm8J2eP/n3jt7xuRo + 96AKJhN0SwCJMXSXbfgfzj3+YaU5VUCH5ePGn5qrkEKw1k/UuLtr+ekrbzpy2z03vfXVPxsJUzng + fe1UNKhTbbxfth2JI8ARkWdyElPqw9w5lyu98cLHGzb4OFcL152FlRiXDF5z5cOJnAKRKI9pVI1b + zvdAOpk2DdUhdF3q2MTFmk0COrmwP5m++r5r//Mn7/iYzmKMHYsHI1ifDAz4oF4dzFfayLz58df+ + 5Hc+6/lu3oiyQGgYR9gfSGDgsR8TUQcSnh6Iz/7FN/36f/jY799499UHL6JOtFUoyFOshDglM1AC + mMaQlgEGwYiVFISoEAeZugfuf/DwRP/j1X/41tf99Hc97fKJHtpE3Wtf1W4RljHGjY1pv1x4V+VK + XgmJWBlK2auqYuNNsbWHdWckBUETm3JU0tyciWaPNQFMmkMRNtbc4iQ2QKHkZCI8XbRL9byj291s + +6qb/+bv7/jI8e5rKrEWgnJMKVIPRu5PDFJ2qBLy8KAIN1OJfT+ZTLp5n1K68ILDxM1bX/0Tz3/e + i5bHWgo0aWa9hNo388XC1WKGYQQMSoM4+zG+vUPmx6l1KbORKTw4hkRqs2ZjMpXXvOxHvvaRe49S + WHZxc7Zx8uS2n/psoBcCR85Z1Eg7p2SQxJIt9VBNgGXTOXoXUe8m0/de9xfLV+xc/m0vn8ULGtm0 + zjt187ArnpmcOZAnYgvaLUN0LDkiIROA2ZiUsz9baV9CMzRAZyvLwxUaA0icRcuwoRlN0AQ1p0Q5 + UyV21FRuomJH2uO4sL+3/dIV1/7l5+69Tps51wkAKXKOMUYcGnTtOxhhegF37bKdd1t0aNoe+qnX + /fwzJt8uXRNiz96xGAAismhPqMFktjExeUioXPUpCBCDANCcM8EgJtMcG2DY1XKC5LJAQ3yigIEi + SJWZXBVSu7O3zTKMpBsT2HJJ10FCrEOVa6Mm/hQ0fxMTLEEJO/NjSiHBSChIUB4HNy1Xm6uBy9wa + Dt5oAKDExkpqnNaHaBOIwIAADqYAg1Q0KjGf20gukwkAMbMxCs7Nq46lOyHnQ83xtyKxqbPkFFXy + ZBwZZJEoOhUXamZnDpH2vrZ7LE7OCH3/IZTGcVsaby7BgEipteAoOucSWUwAccWO+iQKo6FyG64q + x89juRsbUAJM1gJRGiqXNZf8qTEbmxP1IkIuqfYxdJZUqKrYM0mncb7cTZvLE+n+T972kb+742Pb + 1UNRYrJe83OE/CAhLwy7dmQaozIAooCxJDDUGIoIODJoFxtBFyEe0vg415958y8+b/ZCt6zPKT0L + 55f5fL6xsdGHtu/7yXTaNE0IQVWrqolR+5De+c53vve9f1vXEvrU949GV8bMVVXlSUIhhLzFzE6e + PLlSF8cYsyatzB96apLHlwGIyHw+d87Vdf31h5sLhUKhUCg8yXi4WWLMnMODGGN+aO29z9Hj43yG + hcLjT56QQUS535TfuMjvZvR9H2PMMXOeLSEijyKEzocCkGeB5PkfWV+djwmg67q6rnM/LnfZ8pl4 + 79u2bZrmm3rRhUKhUCgUCoVCoVAoFAqFQuEJgMjxpr5/b+9Zs03XhaQau9Y5JIDPmIkmll9ufvh5 + w2cj75/ftpBhkqoyrJo6l/hgTAg91EWeVHWZSVIoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQ + KBQKhUKhUCgUCoVCoVAonB+ISFW992YWg8LUzCBsRk3T7O3tvetdv/eBD3wIowD74Y5jZlllndcy + ysuOiUjf96vP6zsXe2WhUCgUCoV1iKj4KB8OUzK2bO58mD0AY5D5Stg49QkgIqJkBKasuTqFUcVR + +ObD+2I1UkCZoWpEg5UqGwv/QVmDWX69n4mE2MzsSRw9n9Y7KG7aQuF8sd6Xz1vqus5+mbxseN7o + nMtKmofDex9COHDgwPb2NgHO4Xd+5189//nPf+UrX7lYtJPJdGdnR0SJ5Lf/xTvvv//IozvbGONq + PXOUcYbCU4yydv/5JIsDlcCmlAWEsH0xIQZDHcxhUNCpoDMomUtZJj0eB4CRiSkZACYDjCApoDty + 8n52JgIzijFGZTA0S4Lt9DiSABgxDMRGqgTjZIReExRCJOy9r330LoojxPEIZGrIYkvw2czWMGao + GQSaHY1ZSDx6kXnNZMs6ynQl20U1MbmqcQSJMbbLJdeumsncdoNboum/eO/tn7juY5+778b5gSOx + blEjKvoATqgdZhPWVsmYjQEoqUGVh9QzUpgjy45VJagRmNWJWR8sUcUT7m3qpk87+Oy3v/pXnjm5 + tFrOXKglCjQRAFNwHKJ2YxgpmPeNy48cJlVmJsRs6TRyMIG5cfEtBUUjS1A1iIoDz/sWdZAL00c+ + fcU9yy/u+QUaZ1C2SGMik7ERk0GIyYwTqKOJNc88dLHNzYBEMNYoamBYgjIrxJAsH4FtzGNstHZz + +bTW8rEOvXnIb7mDlM/NMKgah7Ma3eQwIJApQymBYvaas7GRm7rmwo2Dx+N9zgOMyAgJZJSFkY/4 + ZNC3ujl1i4goNrnA33zXzZd/+TM/cOlFy7CoUQtMRCRVMSZ2nJDLp4IMlF25eegiwrJWk9eKg+z7 + Ph8hxhqtnk66uIxkRNKd6C/YetqbLn8rKrvypvf4zRpNXLRpNqW0NCY4gxESZWfqvl1aVGksm4mR + CAZWcOh7EdKEhfb1BHB0/+Irx+45dt/ffO11L/+x77nsByf9NOzJpGok0nyxO904GJMmy+pT05QU + iZkH1b0NTmuyUTZ/ztGXAgxjgEBDkVbSZd9JLSzcxaXGyMziHBKk8Xvdtm0ubnvwxvd86i++ePJW + dyH2wpK9g3MKC4mJlfMNiFLFzTpuvuXVP/GPvv3lOmeJlXZa11WfIgCQDgXscelfEyDM3W5fV5ML + 5fDPvPrn9Zr2c8eut2ppgsTGFCMTDM4ASIyAJMvFhDS3IAAMiArx2Ovb2eFqZ34CHP/iQ//2Z177 + C2947ttP3n9sOt0wmEarJ5O263xVUzIAZFlzzmtuVM738fQbd0ZFYAAstwLDfScoAwQmUyDuVzLZ + 7UoA2NX1yZ1FXaHeqre7B2Kz/f4b/vM1n/1/02wv1tE7MEsMqgb2GFTj5mAAlIzFBsE2iXUpGCFo + qGVivczmh97x1l9+0UUvb09EjnXjNtt5j8QmcM4BuYQO9QhDyVZW4McSirpK27W6TlW9OIpWi489 + dSfiC559+Stf8rorbvmrCw74ow+dPPS0C4/uHReP6dS3e8GbI+PEUUmHWCAXE4oARBkAWBWIrFYt + E6e72/avr/2LvbTzyu98Pfdc6dZyvpxsThRJEzTHKaYpJwcbGRRgAikrmCkLrCNo33xD+w09ra4l + Z54sLzeOq615fGuoc2JfOe+802QxqqmRiHJqaReHl5/84tXvv/E9D8a7cKCNKZHC5QpkOEo2FjMA + ZnUOi6CWsFXN+GT11h/8qRc+/Xtot0kRjshVpNZrehQ1z2MPKSOBlIwB05zCxrmiXmXEUW+snG3G + NoiNc2liYDzCkERGyPZoAL21qO3BEw8kVkCJzVJiyorwU89l3GDjvdtveAEoiIf/PbZ9RBqKe8rZ + WZ+r6MFvLoOfmxRghQ6Sbmgup2YMUiUdayomA2hoFskAJAz3KvGjCTdyADO0O7ofpK2Xa12lYT4x + MiVzlCMxA4wExmY11Ulp2bc20bse+oJOOsU5aJ+UxmVY164j16fOk/MEKCWICAGsGrreE9sgAt8P + VEZHeL71Y69gdaMw1CQMW7VT+Re0v2G4jwLSLqSuByURcnVtZkHbmPZ4g7jp73jglg9/9opb7r1+ + Xp9wG2TBzEAE0NB3gBkZyZk9C4MRdOhyKqBjikcQmADCrCJrue43fuT73vadF10ui4bVP/L0LJxn + jDe3Zu2yayZ1CGFnZ8c5JyLOOXLuyJEj/92v/8anPvVpACklGJzjGM+5PVXVtm2JKEusmTk/3Eop + ZRlhdqThETwmKTxZWc8Ps9ksb1TVMrxbKBQKhcJTh4ebFJLtuTFGIloZfNennhcKT27y5AznXP6A + 8d2M6XQaQmiapm3blFJ+8eNRHL/ve+89M3ddl1LKlmsiGt4hiZGIVnNEcnze931VVfnPm6ZJKZUi + WSgUCoVCoVAoFAqFQqFQKDzZEH7zhz98y2teMzu6vRlDXftlpxxRTSprk1KetMR0LnOuzoIhEUDE + CkAZCmi/MD+VrWWLvTm2NgmISZ2U+SSFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgU + CoVCoVAoFAqFwnkgW6CyCKqua+dc3/dg7rpuOtv8l7/3rj/903+vCcwIIYhISqv1xE5fE8nMmHm1 + VpKILJfL1bc45/JyRiEE78vixoVCoVAoFE6HqLiW92FDgjETgcwSgBjjP/hXIkKJzIyYADIjQIlG + 4RRwSgh3hrei8A1wZmIqYGTKPKwmysyWzGDZzbQS/522TD9pvjVEaliVi7Noap5sEFFeebVorQuF + 8wUR5Q577rznjjxGY3SWVQNY9fQfjryi8vb2tohoSjGCCL/927/953/+55deeul8Pp/NZhr0j//4 + j9//gQ8TQc+x0OfVlfMJm1lW4RQPTuEpRdFan19U+ZRR0SzbG2M1hyHilgSAmIAqOVBMrAASKcBG + 2e3LZgwwCGSDejRRG6S956Ev97pMBpAlhcKEBacuBTWehDIiwAQ1iln8nCgaOJk5g8Il4z7ELlit + zMSJQhouYRD0jZ68U+JSJWMogCoR2bBCvdGgR1XAaDxK1lISjECmYomJoGQp9QbmbKrkFm1Iy346 + //LR2z920wfuvP+zsdrTZ0RzsQtAQtNwzdz3MXaISRtpBsUiwWCJokGVNDHE4BKLSk7MRABp4ynF + aIbJhFwP3Wte9G2v+snXv+PpzbO749FLM+FJv2xV0qRult0iX6ONfttH57oVRWUOyJevgXNyODYB + VEyBTikqWSIYJJIgmlS0qHbv2rnzAzf9bbs571ILdmZMxmI6nkxWSzIzp9h7R2y0WU+26lr2wBAD + 1MgsJYIYgeJ4DWLkV1lSBz/umF3HjDPKmKHEj2n0PUgoc8LauG1o/nO+WrXhrMh5KYKUzdhElMlE + VNHLMw9d/KX7bnGEYDBDMPMi5+pt2JhVy3YuDgqcWJ7Y2nr6Rz79oUuefulzmu9kUOgwocqZMwUZ + E2kkZO87GwgqBrKecsk1NdKsfkwkYGcEQTons3XUJKpMVQjdxDUeFeZ2sDn85he/rZ13V33pyqVs + 13XbRp02dVyGrIKmwVgcswI526VlvJGkTMTGzKYAHFlkKNAqoplM4mRi95684z9dc8/Nd/x/P/zS + N7zg4pcs5nWXmgsPH9o5scfG5IQdmSHGnpnrqkohYkgEsDHZkD7ZSou1m3wm6xks5JXtDDRqwI1U + KSWKi9CKUeMrxw5Za07Y0ZM7k+Mf+cx7Pv65K/f8gzKL7SJVnnqLqhqVAW64gqWAwIZpf+C1l7/l + h1/8prRNTdzw2jBJCokJyrp+LjpYyR9L7bGxKioWaKSOnlZd9ktv+q0/++i/vvHua/jCBTsFEJOZ + wgHC2T8+nB0AgtooDhdGTDCFSb9xsDm+fWI29e/52P+TjjSvfuGPmsR+qUJ123dNPen7noWzjp0N + DBVVNlZwrreZTs+jPKiLT9nM5iQONwukwFDJGCXjlCXSSjCw5awA3ttdzg5M27S3Y/dvVw/85fv/ + 7M5jn2mnc9RRDWpkKaVkzCCCEkydwSlHA1yCGCQ5JW2jygQq2Nlpn+EPHpo+6x2v+ieXH3z5/Hhy + Ws38hnYmJiKubXtXiWI1XqYMJiMZtKyP5UAK6WDSzUmY/zUA8OKQVEjqanps9/jmgQNty6958Rvu + OnLXzfddN5sdaK2VKWJEtwwCGjXGbMZmCiCxGilB2QA4NiAxWBNrYPTcM3oiveK6v3rwgYfe8JIf + u7h67uaFF8x35gAxORFnagojInFeNWXvbwIRA9mlSwpKoHi28az1LUOuSGRRFFCn7BQusigxWAnO + z+Z9u0hzrippKjVStVgv7tq75SMfft9Nd1+3nOym6bKNxoaKoZEBNopDrUEKUwZISM1iwsG6oqP+ + e7/jVT942euq7QPOKjKImJmlNpCwVD7BzqWufVyg7FMfUs/AoP14C8CQmKQ0jtJmZbmNA+JkYGMY + 52pWclhCSlonCUtdxqo9cuKB5FO0SOBkOvz12VAw5xhg3CHr3jGEPqxIO/Oj6vqe1UWRrCU2BzCy + FhrA4B1fVUea40YyKBSUgxEdwgrKC48yjakwlEKKhHMNNhQUV6rnsY0j4JQDjTuQESLlFgpsMDiF + 7xmJYRZIDcakmtC7Ktx37I6A4+eqvU+cZfP7cSMZyLRf7GqYc/QWEpHV7B0QDVE0jCruvKwrWz5f + Fs1t935IpiQGGA/yajIAOQpmPiWn7xvSFZ14FjgzIqIE66hbyFy32iPd/R/9xAf/7rar4mTBF5oA + iY0EZMhma1MAxsbIMvLhdo3nA6g5r15JjftE+7GcMbhCaDENrppvvuqyH/2RF/4k70yFmydceXxK + 8zA3Y+1R0HyxaJqm63sAm5ubzBzU5ovFsaMP/Oqv/urnv/AlAE1dqWrfRyI5p+AWpw7b5UlIKaU8 + 4r8a96/rOsvSigvtKQsROefMTFVV1Xu/rsorFAqFQqHwFMfMVo+lMc5KP7+nVCg8PuSOUpZJZ8V7 + nrchIqt+Vp4hgYd3w38dRCSltCpcq+6bmeXgPKus16cnrgL1ruuY2Xtf+nGFQqFQKBQKhUKhUCgU + CoXCkxARTDa+xu4ZtauFtOsnjY9tQNsbiRESmKCcZ37aMDPsHMfuKRESw8BumJKdlIwIByaTC6Mi + GdooHpYMZRiyUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKhUHjcyOvW + kiK7D4li0JR0MvExphASg6bTjXe/+9+9+93vHlasVgCm+2vWnr56bRZa5zXEsrt6tYwSAOdcFk3l + JUnz8kePz7UWCoVCoVB44kMPZ+B4ykOUX/YGxuUrv87OAhIQZ72UWfZrAMz7aqTCYw3DsroKIAgU + REyAGTPByIyIycy60P8DB2ImEgxyk6dQAcnLpZ7vsygUnqKsOuwikrvwALJvfrWIcV6suG3bvOjx + wx0q/yqlJELOc9+me+956H/+n37r3e9+92QyCX367Gdv+f1//W/ES0rpXG1zebQhL7Oca4wQgoiU + cYbCU4eitT6/qJiBFASyXPHQyglnhMF4l2WfpGJQiAwaO4DMCEoGo+y4S9nFR8zGebUm9eHIzj0B + QRXiGU4tka5VlrRebxJgSlCMcj6jwfToHTEIkZQJNQlRZNNuCWYjG07BBqe10hnyz2wpHL8E2Heh + GYHtLG5UMrCBkjEBAnVKYub6jsLSFr20t95988c/e/VXjt6B2bKb7Sx0IQLtUTkA6JdqppWjuvak + pGGwFRqZZnkwsZEOJ5F1mGajb1XbFp4wqyTtcB233vRDP/OaF/+0LKbt1/rDB56OYMvtvdrXlZO+ + b4nMsplwuLZ874Zb/MhzAxlzEgYn1sRZdsj5hAbtIimbGSkbJ2IA5kylb/32+//uPXtyYkmd2/KL + eVdzRcbZdjmoFs0ZEBATtGbx4mdVw4lqcRwJJvmbiHPeUmRVJVwiwsqQCVUafsvDTR/OjeycNd7n + Cq3pPce7CYzOXgBZqZhTZjg5igyDgVVEHamwOVIjkwMbW5Rt0jkCkVHPeQ4oVVjual3BEgygKt5/ + /O4P3XTlP37VhX7z6aKSUnLsHdiABMvK9szgjSYDlE01y6WJEqmBEylD1c5hmTYjdbWbLxdSkUed + otXitVcsbRYP/pc//ItJ4jV3fmipFG3eszrxydKaoHTIv2zI1U5OkMG4qUzQymeDOJgBJ040BD22 + PDaZSITd+uB1X77i8y+99Ptf+dI3POvgc+9ftlsHDlpnSXtHIkwVsyagjzJk6f1KYLCcArRmSTzz + 2nVNdz06RBlQIxZLeQc2sKuICGIRmqyFKDOCCzff/ZkP3njFl/du9Yf60HcxYlpx2ykLQARSJgeV + 2PYWMXUHfuiFr3/z9/1E91C4aPa0bpHEXF3VbduyXz+j0UD8GGOkVVW1bdd4R1qnxWRDq1947a9N + PzG74Z4Pzv128CAGCImIx27w6EAdyKXVVdXOTr+11XTL9mTfbl1QL+Zdn46+74a/OjHffuXLX79V + H/KJJ9XWfLerfQXo8ENKxmJMCiLEoQDm+m7t31z6jEE6/Ju/XYWMCToIzSnmvx7qZMCIYQRjhlPS + rcNbe/FEWx+/7d4b3veJ//tYemDudtyGb0OvCgMYBDZyAKBp+PJVTgagpMbqBGZISxyqDhzUi375 + rf/Nt8sL9Fg9IRbxcZmEvPe1JWUnMQZUp1QEqyruYV3r3zwYKzU677uLVb341AcNdqDZCF0/8wcE + 7sde/pPbuzv3xC8/dHJ7etgZx9iiJjLS4b4biyKxKqtisL+TKRkLAIPmwu7gJmgXi0j6yduu2jmx + 86Ov+PGLN77twPQiCqyqasbshCgpYh9E9o2kWWidxcMgBvJPro2xSjLNV3QKOuxsgIFN8vgjE5aL + ONnaJArbYZvrlia49/6vfOa262+46+qj6Z6l34kSo8E5OLBFSSQ86J910BUbDByDOsczIdvxl134 + XW/+3rdW3azGzIyEjExVoxCzc4ktxiDkzlWV/JhCltOHjQjGY2SVLcWrgq2rLKNDxlWD7sdTuQyu + 2aoVYoBSVB+Ozh+YxzkaZeYsVc51v9J+Y0iWK2eGsQ4V75Dag3ibYEYwBlJnu0dOfLXxhwMlb7yK + HlgBqBJgylCQ6XjmRkRnS/YxeiEyNrBCeQxOGAYkBZ1DC0lqq3Qb0279dpOx0RAIAEjEuTpV4sRQ + g8IiI4mSKFKMaMmz+HgynNiZHwms5zR6YDQ0YWT7MRUbM1BVrqrECQNsMaVkjkhEApKuolYbBOH5 + EjRrrsdMkW9flCEa5zE9gVOCnPXkI6gxEidFn5DgrHfdHDs7evKqaz/0ha/d/uDiHrsgAS4vlwAA + IABJREFUQGKPzhga4Rgw5B5FDsHWmm9dnRiG4r82H4RG63UO1nq4Vtxi9qoXvOFtr3i77DQXzA7v + HV9Uviwl+62Ec05EdnZ2Njc3Acznc3L+7rvv/qe/9CvHjp1QhffUtn0uJivb2SOn7/vsIMwjjN77 + 5XIJwMyccymlPP7onMsby9PBpyyr0Wfv94P1h3s4VPJJoVAoFApPPr7OpJAQQg4p89Pf/OHxPLdC + 4XzhnMsZPqXkvc9K6RhjnqiBsQ8lIqq68ls/clJKTdO0bZunfaze8chO67quu67LXvm8BUBVVVmt + naP3ruuqqirxeaFQKBQKhUKhUCgUCoVCofBkQxzEPzidxb7be+jERsOpDa6ptOuNFOuW6bW5xI8G + 4zz7F2bD/GOmE8dObmxsfOBNb37z9deha6mZfqOXUygUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVC + oVAoFAqFQqFQKBQKhUKhUCgUHhVERESA5UWKQgiTyUTB7/2b9/3u776r6xRAXfu+jwB/nUWQVNU5 + lxcyCiGs9syu65RSXtSoUCgUCoVC4awQUVHZng2GRjNjZlXNnmMgv8StZLCV54IU0BgDAGY2JICJ + 2Gxwjj4OzrLCqS/qZ0w1QZORwGBmYDa2tl+uiQkxSG0IZFBAyDlyDCLjNQ3TOfj1voXIxtz1LUVr + XSg8Eajruu97EclrGgNwzsUYU0q5kJ5Wctfxrg4hMDsRCiGoJhFSteuuu+kP/uAPfuu3/pednd1f + +7VfWy67hz/G12M6nS4WCwAxRgBmlhvKR3elhcK3IkVrfT5hgCyyKYyVNEt5s6IVQN5ohEQwUiWF + sag3sBnMYKaAARFQRgABBDOG+UQMk8j64N79D+7c72rqCWZKBGYOIZoAxjzq9PahQS6bA0fK/zVd + LtGIccWBuz07wSQTL9640QmZsA022UEzu5JxntpnUACcdBzpHXdjZFGfQbK4cdQVk7GnJlnf2TK6 + NjTtifjglx764l3Hvvzp22842Z+I0uOg9tYTpabxGsPMTVIfElLtnCKFoL31ItnWDICzIDwLkkkH + U6myKvdsYBuMmK5Cw7P+KH3XoZf9F6/5p8+74MXLo9r4mW8OtDu9mM6aDVjqus4IrpI0+AJ5daGg + uLblnCAyJzqIpbMOMA3OcwewqJI6D0mE4BfbOHLNZz/whYduC5OUPKCBBaJKxgZnUGUFFKoAEkeq + 0CM5lhhouYhbqChCwEYGcDI1MBkbNBFHokScfZDrSlcCEqmc6n59fLSv698IG4S4g996/XwM2RMt + BsCREaUKJgpO1Ju4Zd8xOUsGB2IwRVWcUzdJGYvUw4MTk0pd+3m722zh+ruuufhZT/+B573qGc0l + WHo2ilmZTRFEPPS6s6eTGGw0qh9JE5shGSkQFWA9N9M2CcxMtHaCTtuldhCb+oaDa4/iHT/4yw3P + rrnzg+FAe3Tva5iYSUQWWmeLvOXzhAFhlXNN2UCmAEIHZggjRGhIrqJJJbFPyml7sdtU1XRrcv19 + n/j7Oz/5Xc+7/LXf88bLNr57Wm+JcghdjFRRXVPWTzNGr2carcYYZPb7RebMm3FaYogBUCMFLAkA + InVkEvYS17VZWGLBsxj87me+cMPVN37oK3tfwoaGjfl8uWymzsFCmyonAMwYCIaogThWFx+45KWX + fN8bL/9xnjeb2EpzqmiCZLEPzokinHZ2j4cNl7S3hZtw26uThmNougb94V/4oX/WfFw+v33D3e0X + Qg32lIyjJpitiiSPQtOc09Kyv2CzaRe9Fw/Ych4N8Iea7f7+933lP9y+c+tbvv8fv+DQy2yvnzVb + HGHWgRRIa/V2HqwYfbdQMsbQiimIB2Np9rVDkZuD7D42GOXd3CDFzs5aA0xgDuZhLrru+PyePf/A + 1ddf+Ylb3h83F/20Y67my9bJlFWVFRJNBp+rKSARBh8dA4SYOEaBMZLBdTjQH3jB4Zf9kzf86mx5 + qAlbXiYk6LpOyDW+6ts+hNBMK2IXrVOyx3/QhAZlL+l+hmKFqiYRSYgWdboxW5xcbF5wcLHdXbr5 + 3T//ul/6V3/7u4cPHD42f4gbVA1Cq+Be1JE5MTZSpdg7VQYrROGSioJz9UJgYK8D13CCVCtVeuvR + T99z5Rd/4AWvft2L3nLAX+Rco22KIVau8SRiFSXC6JUf/cfZGezZfC7dp4mKmbA+iKhkZOQHRa8a + rGcwEakDuJpVx/eO9/VSDtp9y89/6vqP3vyVa4+1Dyxi66eeYd7QQEK0NiiIRChrltedxAB7dg01 + tkPP9M/+uTf+ykV08YH6wsXJKCJGJMmYHPvKOPUWFFFGJ/cTAmOYO30LcMoqnpZHbw1QmId5qFOK + 4EhIWUWvAHNMQ3iZvdRIhCgpNN3tt37OXDJSZiiQ3dbplG9dLwXj1xIIcX07kzMz5Wh+eetXPvPs + F70kxERGrDn40ux85uFoCoMM1RFDOdflBDNSEOn+KSjAWdNuBDVm8HhpOMuQ6ddPz5xgtq5dH7+G + BmP2uJXGP0kGTWTmukQhiipF4V6cqS3hOTXtF+/5fBt6mZxTWz1CClMaW2GAFayCvbBIoZq4TXK1 + dogRApDs53BQNoIj0bCJ7JRSp6RB8ikxGcTApvttPYDcLtjwt0acCIFCX817v7ONh75y7I5P33H9 + bXd9LpFGSj1HJFTiNaXQBWKYAxGIcLZBV1UaVOL7W3IWgANidINy20U0XXOBPu1lz3v1W1729s10 + cOK3ur1OyLHRk3Xk+luEc0t8Zu66bmvrIIBl3/tmctVHP/abv/mb29sLACIUemPOE4PIORdjf64n + FELIOrQYY4xx5VrLesLV6H9xWj+Vyc68nCUAtG3bNA2KvrpQKBQKhQIAwHsfY8xxwkpxnf+3UHhy + k3O+mWWVe1VVi8ViOh0MLm3b5iiamR+d690517ZtLlMppTzBQlXzlMSu6wBkqXbeeblcZoV29mrn + ML7M6CoUCoVCoVAoFAqFQqFQKBSehIjg4MFfvPqqG59/6QsIEARA+p4ZBsCMlfNbr7z+HsM5PuEX + 5TwrgA35vWgAUHvabGum6XjqkTpMt2Aa+ujr6pt4fYVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAo + FAqFQqFQKBQKhUKhUCgUCoVC4VTOWM/WGEDfB+ccOc9EKVo9qRS45ppr3vnO/7VdDstcd10A4Jxk + ZdTp0LCQcowxr240m80ALJfLyWSSFz4SkRijc46Z5/N53qFQKBQKhUKh8HVhMyOAiIglOzvJ2HCG + yQ4gg5LG1CtFEQkhGhENcr10up+m8LiQ/S+mxoAQmaoZwJQoztsF6GF9E0TE5LLFPPsjHr+TPn/k + pQmynvZ8n0uh8BRlvcMeY6yqYRmQbJmZz+d1XWcrDb6ugT7EICxJg6pmc01MBsA7/sM//JPnPvey + q6+++vjxk6pw4swsajrzICvlzZksFgsROXny5GoV5aqqigqn8JSiaK3PJ2Rw6hiqABGMWDFGa4Ot + EIASFDCGGlkiT9mDiyzuTWIMGqy4eX9jNYiCo1t+5f7PP7hzPx1WJSCBDSRMKja47PQ0EZ+uHKi5 + o2AQwMCVaF3X7c78jrs++2H5my06dOnFl3z74efM6ICohzFh8GSTMUBsjPEzGfPgYVRAbIxcEwFQ + MjUyGty0WXA7+ESNdKFJGpqnk19+6M7b7rnpS0c//8D83h09qT7philSMmMARJbgyIW2E3JCsJSM + 1DkASPs23P0E3vf4GkwGlS8MbODU0NJ7PfAjL/2x1/6jt1wQnmkn/QX1VDsVJpaKTVUTKLlKjLhP + 0cQZ8sVmAXTEfgo/Yk0mqTLniN2IB1k4oAQyAxjwbCqj/tCk23Mn7zp554eve/9yOleHqLCEWkBJ + 2TjBKcUhwVkBmEAc+hbO0k632O13LqojqBN4MTUDJZeI2SgR59sDSqN6VocrGtyQUIBpMJrjFGnp + Y8Iqq+qQN8+SgMCY4MSAioKNAIY5gzeCcowuRAnHd4+DzZQEBgYnxBjOyd1gQDD4CkhOVEIIVe32 + 4vF6Nvvg9X99+OCFWxduiQo6jpqIRQajM9gGoWMiGHjl/d2/DjMZvJ50TprVxWIxnW5AKcbofZUs + qGqvqYJzsXK77md/6Bc2NzevuO6vN6aHOuwlikYQzU7NldJVE+vqbmaxPSPCWFzdhV5A3lGMSYOR + pLxjM5UU0pHuSF01rqlvOXbtHe+7+bLNF7zsO17x4u98yYHZQbSSYq06IbBTh5VBltTIci4lk7MX + GePTe7xjPswojfeEo1F0WzLXE1aFk/HITbdde+2tHz8yvydt9OHwfKfraqbaNW2/TAm1h1GyBKdJ + EkhdpZMtf/h7nvOqt37/2+uTB3zfMCpE1FXVhZYcJ+2VV9UUw4j3k+70W/lNRAHVAIi4ug+hdp4U + 3iTO+R2v+a8/+OkLl3f2Rxb36wREyUyJsfKcKg2FdCiqhrBoa1d1XV/XFUHatp3zvCdMLlh+fvum + I1c+9MPf/aOvfuEbRQ7TnDx5HsTVDrlI0WA1XjU9DIUNYub19ovHzwqktQUIx2ElZnNKCqNEBphx + AIISopvffvcnP/KZK+7avYMv0F0sjSDUi4hjiWpEZISUkAiOIYKU614CjBNpYiQGgNpc1W/8wLe9 + /sde8faD/TOnOIjAwYKHtwT23LZLETdrJvN2zo5MhnocxgApsWQB8/51ffMhG4sfVqN0pDAmcMVt + WNRNRUTbuydn08357nKjPtAvdp+z8bx3vP4X/uzDfzTbnCXt9pZxNkEKIFOxlaVXjaA0PHs1AkhJ + GVCfkAxbFcDU9QYKC9rlKac4v/qOK2/54i2vfMlrX/ai7908cDDOzWKsbcokollrzUrK0MSwfKbq + FDTksdOGGod8OGpHSQEmZYCNYqJknA3oIbFG7e1QvG/nrk998qqbv/rJY+Ge2OyFCjJFG5M3OKbU + JwO882BJpoCSggdBb27N2VnF8+oiedY7Xv9Lz55c5ufTvfliOtnIy2JapKSmllRDcqGqnZ2zZfUx + hQFBFpOP4UQez8uhy6iYZkAHefQQ2GHls8eYAwgwaHaQGykISbrOzW+753NRQrSkCh3LLghGwPgt + uXY1gMBGmos/bDgTAEbEoIBoRNzoF++9/Udetgxd41JNxAoFIENmOMUWzzkuGXIHw0AgGIQYSEYA + VBHzVwxi9KFk4pxaxkxOJQaT0aqVGStzBFZgaHfZGEY0hBmWWA3BiACIGfVJnAsSIne7OPm5r968 + 1JYdh3RulUMWbNOqZiYoxSjd393ysW4ZNv1Fz7n4uU9/+jO5qlysPZykrObmtcun4TjrbZDl4in7 + DcAgwDYyBRJDAQUMY9TNhsTai+7G7a8e+fwtd91wxwOfOdY+EHzHM6SUVFFVDYDlco8czZq61y7Z + EDYT0filyKU85zFk7f3YqZH/n707DbbkuO7E/j8nM6vqLu+93tEAAe4EN3ATQIrk0OKQEqWhRI4o + 2RpLmvGYoQgtnIlwyA7Li77I4W+OcDjCXxR2KBweeRQ2hyMrJEscURqCEkWIAAmSg4UEwcbSQGNp + 9PqWu1VV5jnHH/Le2w8gsTSJpUnkLxCI7tf33aXqVlZWVub5a8p7wZb9fzhFFTfG7YG3v+K9v/gT + /3QYD6CHC1Xb9sPh+PuIPS5eQkSUUnIuiAgRfeYzn/kffu9/7Dp1DiIQMe9ZJI/R0/eeHvSMcgRa + 13V5XM97n8cH8z/ln+SMNOdcGc572dr/lei6Lmdal+9DURRFURRZ3/f5LrWI5DTr7y/Btyh+6OQL + KGbOUe5mNhwOVZeDGLnb7L3HamZVXp7x3OVLvPVKD2ZOKeWLOO99/td8vUZEIlJVlfc+xri+rMvr + QJ7XD10URVEURVEURVEURVEUxRWAPSoGB7d50ON8O9OmBiKesqZ1uRoir1z4vibf5uUJSpLnY5HB + ubCY7dXO1ZMpxNAnaAzN8Hn5WEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVR + XJYc/pQLH4kYEd11112/8zv/ze7ODID3nJISUa5f9AzPE0KIMQIgoul0qqqDwSD/k4jkmrQAzKxk + WhdFURRF8QxykHORscHMQGDOGXPLv+5PKlkjtqhRnOS6lWbEnCPanpSQsn74i/MRXn4YxqB1uhGY + zJiJyMAKNdKIfp4mT05BW4b0KUAgB+fIE7l17g0RPSW+sCiK4oUwGo1ygEi+hM+X8znvZn909DqH + /ns+iXOUk3FCaPq+dc4RWUqakjpHv/u7vxtCSGkVkPo0mdbP8CZz8WQAudhyflcl9KR4WbniyoXn + VKp8KOYjc13f/EcPm2d1ZGAyYVVSo2VFeYZKSnVdA9TFRGSh4T6KOjVLZgook7GBoKxoquF0Ngl1 + ZSytdL4Oi242ixc+f8tfNAf8RAUMIoAoqqzzAWEg00vde4PBA9Dcc7TEYAUTYKx917mAhVz4yonP + eam/9E0l44MHjh/YOnr8yPGrj1xzcOPQ5uDAOGyOeKQLC2gqq71WzgILQ9SMFM4AIiIGkISSUqeU + 4JOiFxc5iJK0/WxvNtnt9+49feKR8w8/fubRabervtcQE0UEJTKI8jJPGzADoEjwnJDHnXWVsLoM + 1SMCND8QnkBGquYYKSEYyKE3qMBjXPVbbzv67g+/8+OvO/YWTJ1zVXCcur2aa8mnNDJQWmZvG8x5 + mFtmoJLmIOJV8vhlJGQYaccz55wkNGGYkjpyMUbvvSVlZqbaVFWT844d5nTx0cWJf/M3f9hWM1QU + owwHIc4jCda55Ua8jA+0ZRqlKIgRSWY8+eq3b7nqpquHgw2VGtEhgcmBA5igAhK2jh0AqGre1mqU + T+15owLrYGYGYC9Ypm8ml1oCXoXpQmFk8J7b2byuazMhIoNAqUIVo4GC81UCEkWtur6ebaczD529 + v+XODX2fIgMw48tMMzECMRLgFWTw7EQiVxZtOtH4J1/8I7qJPvCGD3e7OXTUHLiWwGCFJTIxUSZz + MLYcLOFBmrQOQZOwwTmX7PIu3Kqqyfdd1CkUIMdMClvoYjgc9YuWt5ufveETo2rjT+/4N491J6sN + mrbmAryvpCNHDkxRopGAldhUl3GbMDWYSCBuFAJJLu8LhQMgLCBlJkbHbUtzeLT19t3p7LfuuGXz + nsNvuu5tb3/Nja8+/MYDdKyKo8aGPgVnZgYyeAOYiAjMORMTAIx51UGk1aWsYpWaC5cgyQmYvFbQ + HNubzPepXlzQJ+49e9fX7r/1gbP3TmRXQsQB7V3fGVwFEosxgsl5WziA4AgMVMmHbrhlV3/sA//x + j7/xQ3SxqdOQEdiYmLoU2TtBVGfrcFZeHma8PBZe2DMVw9VKBhMKMDEyrkxdctjd+vn3/NqBrVf9 + f1/99NnZCWy2MakyAQyCiMDgfBARS1pVTk1AMO2rAJNk0CqERIlZW6AeTnfjQ3/97T+897EvvfdN + //A9138gLDYbPRBkCCGFkiOlKBpd7jsboMYgZuZlm2CmmveuwUBmRI6tUwWzI4OJqrLBkWf2BIOn + hS5anVQbFN38Wye+ceudX3h4565FvSdjmUPVwQimcGSwhYMmEwaF2otISpYjs9lBkJJDqFkjYo9N + N64n44+/+5/8xGs+ckiOhTRE4p6jDyzSec9mQp4U0kqkCpoT03Pu8mrTv1gjiwwsh3LWg2xKAASB + e/QwuIqjdc4HVXMITRr/2FU/Pn/P7N/d9Wdn+ocHG+gNDgjeSDVKFDY4eINFrHtSRjDSHGbvFIjQ + hIrICBEt1ZCArp5PdHLqrge+9Mjn3/2m973j1e8+PLiaFuJT5X1D4sQUJubUyMwZyGkEmReCmZHZ + Kox4mcdsEDNRKBHBgch552Ifk/TEQFAJ/Zx259i+88Hb73nkzvsev6fFxDcmPpq5QEhijpQAVQMB + xGamllRReQdVMhBREktOGw6DfrSJo7/yDz/59uPv6c5r7WpuuNOFgwOYmMBQUhACGP2VN3xs6y66 + gNRIyZQBJufhNElKGmqvSs4hqjgfxTrVBCJGPlXDBGzwns0sqYA1WgLUhf47D9/18Ln7W7dgz13U + YeNTm5xbf9uXrS5bPvPmc+7qFL9MmF6KMYKUK5pPJ4+df+jU9slXjUbaeae8upefP4axI9WUM+kB + FTMjUkuMwMkF9kxOJYomciC2UPsuJoCNmAxKzLZ6abqMmCXdNwpq+0bAjUxIe1m4yue+jZkNqmYx + m9W+gooh8ir/uVLnKCA557i13TToT01Ofu3kV2MNUWVap0g/OzKGeTYmA6g3hhFSUNDuma67+cRp + 0mB3s6vdwY2DVx06fmR07NrRdQeHhw4dOrIx2GSqTIiSJw0W2bvaU8PmIblXQUwENTPNu5C8KklC + H9FbEOEeXuClt25778LjTzx2dufxbz/8zd12Z9JPkkvJp1STwpEqETNrkjmgoYKSddIZLduT3C8E + CMa58SSo5Bz0fAoiKEAKeKhCSJUhitrCOG1We8OP/th/+v7rP3TQH2unnedBilZRoJTjsZ/7Hi5e + JPmqnIjyBXtKKYSgqskQmkEUqerqf/mf/uff//0/yJFkshqgW4/ZPbN8GDJznkjEzDn2LE88yjOQ + 1klp+VfWf1inEv6ojhsUzyrv+vyVqOt6/w+LoiiKF81yDGc1pJ9vtq3zStd3BPefzYvixZEzrbGv + 31i+hMXLR/6250D3/d3m75YvyvK13lNu0a6fSlVDCCmlfPm2vl7Lp4D9OdZPWR+SH+CcSymtp4ao + 6v5M6zyVJCdwi0i+KnzeN0hRFEVRFEVRFEVRFEVRPKv1TY2n3OwAkP+cx8TKUPOzIIYfdK5uhQeV + wJAU3juYGkFYlQAiI4OtJqM/5/lXSsv55KyshOTAQFAwYLCKPVHaiPEzH/7oP/nSLRg6QNcz4oqi + +NHjnMsL0PYvYc23OXK7vb7r8VK/06IoiqIoiqIoiqIoiqIoiqIoih9WedEHgL7v81qt9b3177a/ + LMD6nvv+m+/Fy1BerLRehfp0NWef9xddr3t6hm9sUXy3/QulX+r3UvxA8iyvdZuzvy0qiqIoiqIo + iqIoiuKFkFIaDAZ93+eM6r7vc41ZOC8pmcB7Tx6nTp361Kf+xfnzO6vfWlYxErkUNBVCiFEB1HXo + ughDCG6daZ2rG63XEWBVXmx/naWiKIqiKIqMiLC6abgMbC7xvSvOOYm9d2zQXAdymQ/4vbePEdls + NnGHKHWyKkdJlx5P+tzTOoofkO3LRjEzMhAcsYqqeWtlPm13bXApwn3/rmHyMabRaNx3qXG1iRmU + Lif85YfLOo9WVQHz3rdtu2oYiqJ4CeTL9nwJny/nzSxPLROR/RUD1vk1uQDyeh5aHkAwQ9/3WFVC + BmBAEgMgXcw/iZKe+vL5kasmIFc/zkWS11UL8rBDTszZ/ytlwKF4+ShzPV9SxrDKjJWSUBJSo9z5 + U7CHUIyR1GpfKylFUIrKSkSO1UycGZmREhlP9xa+GoiZIonvtZrToP30v/0/WjeJaBVgg62yYZXW + cXHLevRGy8w/A5aVmxSECoAzNk4wKEEcjHqji715Zwngqeycunj/nWeJxXnzI7+x2RwYh41rDl87 + 5OFmvbXZHDgwODAabA6qxrm6Hm2IkUFEUpI2Shd1HrWd7G1P+93dyYWLe+d3Jud3JtuT2d5EJnFo + M5sbohs7ZhUISIl5XUifbPUngzCE1ZZZpEwGmK7DQc1ADCaIoBd4mGNqexsPWVqw+IEftlO9Zut1 + H/ngP37b8fcddVdj7qVLVU1kqhbZeRGzHO5Iun52AwMMW74oQwhgqBLI3GV1RJ1zYDKyXiVJrKrK + OThSci520Zi5ITPds71e9ybV+T/63B+cnJwImy5aNDGK5g21cyIEQMksZ0/SMh0wthhvNvOubWqe + tdtfu/+W1133mhuueafNG5Yq0KgJPhn3fZ8sVZ4sJRNj7xyg0cSMicnRMsoQfCl+lS6l/L5w8rc0 + vyAbA0rGzjSlxMrDasDEMXVExARmp0lDNQD5RUqddTRUaSYTnPvrL//ZTjxDmxZVcik0ByLYc8rc + ewqDEJaxxpRyuHly3bn5qb+49Y8huOG6d9fD8SCMFrsL4iEnB2Zidr4iaNJOUjQiR0QIgX1FIVkH + NTWBu8yobQDQVWAn5ytDI/VNPVtMBzSKXd+eSR9404cOvuLQ//ulf33PmduPHK7atk+ila+7GM1y + oC9IyXRZLY4IxlA1BYwYJPl7j32dJSWFwViNVHn5fVOXOKQdOXPbyYtf//btR5rjbzj+1tdedf3r + r7l+6DZG9WbDDQBTVVU2JvVMlJ+W4YgobxiziGWXTo2QZ4wLi43Qxs6Sel8x7NzumfsfuPvBM/fe + d+7uudue8G7vWxmYEpJBDM5QOagApFVVzWPX9mgG8BVojqEc3JQj//wf/ebrDr/N7Y7Qe89hmddO + RgZhEDRvnzWyVRQx4QWP4TS/SpY1JSEWCLFxZfXeE/N/cP1PHz9+/DN/8/vfPP215tAoUZzHPtRE + zDGqIQbvjTDvpPbAepSBFMtwbgRAFMaIvk3antjbuXDHE7ffe+tHfvwXXrHx+sOja9E5aaWxECr2 + qGLfsoHIERPMRCRJ7sdX2JePYmxmJqY+UBc7EFU+ELsYo1jnnbTWtrKwYYrV/K5H77j1P/ztySfu + 12oWh9u918QQZsDDACSQisA7VEx9shgTMYwdIFVAEoQGaphNteH6uD8cZuNf/qlPvvnw24+5a9E5 + 1mCky+sBLPNq8z5VwMhWf+XV1mEG52PqBY8tt3xqZpAyWFfDdfmAXJ53zMOI17m+0W3Y4Q+96adF + 4l/e8ae7/dnWL5zHdG6NS9UwaIpJ4A0koFVzogAROH9Gy/nn0Pz8BCUoA0gqe2S4d3vvkb9/6O++ + 9sU3XPXmd73+3W++7q2TmVZVU3NN5FQ1qZiZiQtusE6y4XwdSJTvCIOUiMDKpMTaXxV1AAAgAElE + QVSmgJJenJ/1tQ91SJwuzM6fePBbdz90x8Pnvz2l7QVNY93CaWdQQ3C+8jXSIvdSbLk7NLdyzlHb + dYPapQhL1jTeRfDcHeKj//wf/+YbDrxDdvyAGo1wVY4/VwBCbKTGicy85lT0K20AWY1y8LYaJcBA + CmONvVjwvnIOxA4kAgNpTHNjI3ZERGAHB2Jy7ECiUckUfULvKu2tP7P7yBdu+8uZ7FSbvmNxAJRI + AQc8tXUHsK+5oKf+XJL4yovprO02h26xN/3s3/7pf/azxys+wm7A5MkY5DyziYoIUYAh1wklAkDO + ERt59t6cpD64UIVAJIt+tphPfaiFFPCrsdHV8XiZW9M4wVgBxvoAUgDs0IRaSQ2SetGYEtg7Rt8z + yIjgwA7LEqoidWjmcRLr9lx/+g///A+6wTyibwZVWvSX9X7YmIycma3OHUI5yrxViwYWWEQ6t3j0 + 1GMnaq39JFRWe181fjAcHNgcHzg4OjJsxgc3jlVuUIdRw4PKDbyrgguewyB4S9JrjLHv522UbiHT + ThfnJxcm3fb5ydlzO+f2Zhfmca4QcR0PpeVWKiPvzLHCYMoMWBJWyj3J3A3bP/psABi2HklROBDA + DszoBV0LZgwqxB4hgBwkobHNeNEONMc+8ZO/dMNV7z3gjna7ainUg6GJJuts1TgXV5qqqvLcoOl0 + WlXVeDyezWZ5pE9Euq77r/7L//qzn/2r/GBm6GXuxvWA3f7gtPzDtm2xmmPkvp/ucVEURVEUL6x8 + t885tw5MzZNRAHjv19GkufBEqRVeFEVxJWNmEckTCquqyjMzcs50/kmesVHXdf7DZdn/bOt5Xbme + 0f5M63xOed4/WlEURVEURVEURVEURVE8szxItb8orYh47/O6xDxytV7jlO+DFE+LEBNCVZ8FHQVd + TSydNp5VZDnH/9LsIFrPYn1e5L2mgialjd0JFh3GY4MuJyYXRfGjJd+hXq07tRBCjHH/XYb10tBy + n7ooiqIoiqIoiqIoiqIoiqIoiuL7to6yjjFWVZXXCT5Djc58ayalVFWV9z7fgi+Z1kVR/BDJkUtV + VeVsg77vm6YpBU+KoiiKoiiKoiiKoiieVV3XuSRdLj1X13WuKZRXbIlajHF7e+fXf/3Xz5y5wAx5 + mrq1eXWA9z6l1HWxaaq27WMUAOPxeHt7W1XzUq8yaFMURVEURfGDsH25vkTkV4WFV//8pMwSIyi0 + SwsjNRMjytMDzIxtmX+0Pzp5HYdXPE8UlJMHc0AeG6nSMiQu10YwMxd4EmdT7Aj19l15H7TaU0TO + u2r9czaYvcBxTEVRFM8mlyPOQwo5qiafaNZFjPOAg/c+peftFKP7MnVyQeYYYz4/5glvqppPjiXT + unhZKdM9X0pKOViRlJHYhNVYyMBgM6t87eCTCJtPfaRAgeuUErM5MoKp9aTMVLOrmmY0aefmbU6L + rl607uK//Ys/vH/yrUUz69AKYApmgMxM8quT5XhmLOOsl+HECcYggBjGuQOqxoblA4QhnIC0fLj0 + BKbA5qlXm+v5C633rb9372tsxAgMx0amRICaJSJbt7OkqgoVhVa113ztYaoQkPIQ5mmeWnXmA6uT + pClGAPCsRiBjMrB5MjCUDKQQDyE4YzbGKnKPoMkSOcAggHPwtVfV1OloOO4WvUegedjE8U+86+fe + f8NPV2nTSRV7IenqxlfspY8AeosgryTYn6d4KcyPCcqXgrQvv/aNsXWOiNgZO5gm4UReUq+cqsFg + I8J24g5t9Wm8d9u9f/vZW//4bHo0HAJXNToZhMBqliCUM611dZ3mYSlfGwzcYLEtw/Ggiy1qPZdO + /flXPz39se13vvKmzcFR6vz2rIWFphk2nqWPG9VGXPQaFewducBEREkkf3uZcoKyGuU9AgW/cMnW + SjBKRoAxLfevZwMZNX4kMTJTSsmh8t4raUoxkRrapIicwphpo3tk+zt3PXTb7Q/8XVftceXN4L0z + UVUQ8eoTPSf5KwewEaIThhLgFEpoaiKH87PH/s/P/e/vffN9H33/xw/w4WZr0LVz5ysyZ0YWAaBy + 7KpGonquYpvIOCYh8uTEMfd2eVtTKa3+uN4RrICqJlVtdFA3aZ5sKm8YvOWffuA3/+qOq+944Paa + W/X9ottrhk5IRdUMZGzqyIzI1AFsQiB0qxB3L/kSNH/NlpmXSgAZWHKr4i0Rgx07rtnc4kx3//lT + D33j0c/bl2lr48hVB6+76vB1Rw9ec/jgVQe2jmzWW1WkoM6TA6AKM1vu6Nx+ECinDpslk4T+9PlH + d9oLp88/9vj5U09sPzbpLvaYG3ctt+YAx+a8ihOGMVeOvHTUS59MTSnEwaByqdceqccwHXhF9YZf + +/i/OCrXHpSrOpWItB7pUAIDSsqGVZT7d3/VX+hIXM4vSoAzASUhGIKCmWmrGU8u7rxy+Orf+Ln/ + 7vPf+Iu/vfPPrN7ZGLsO4h2FyncxtSk5x82oTrFDDjZeNv7LTHruXDABAw6okYKejqfPTLYfuPmh + a7de+47X3vSO19509dFXtAtetFRR5ciBiUEGsDE78pVn5rbtiSg30nn7gQhIFqejEAxVStHgqA7J + tVM7b8PF+cUjdz/wta9/58uP7zyKgelhm/UzyoUfjUm9IxglEIwBRkrgZBUTKJjj5DRG6SNCwHQB + BxyuDrrd0ZsP/dgnPvrLVzXXDWTctSLS17WRwUfv4fTSLjYlGABSW25qkOW45+Xef1EsX4cNSonB + ukxVZ8CQj25KIKgmgEVlY7AhXd/tdB99+8+Pm/H/9Td/gAOkwz7UKRlSF6GomZz5pEpGq6RkCEFy + mUZTgi4/qi1/qAQYGvKuqaiiLs4et5Nnzz721bNf4sg3vvXGoxvHX3noVVdtXrNVHQpa+1SzmUpL + 3iEfHwaFmJmZEFsesTJWsKmmpKnHbIfOnz5z6sSj9518/IFz0zNzzFAT1broFq7ylWsEIiLsNVHq + JTkGVjssf3UZUGMf2Fya9DIYAB26uR4fvyL0o1/90CfffOht/XmquRmNNnZ3d6HmQGQBgLokToST + F67EsbJeaTegSbDMtBZQAjRnkAcfJMIUSUVUEEgdyHEgIjOARKFCMbfQRAhuFhfVANQAFHmoj576 + zp/8zWdO7n3HNlPSrosaHDt4o2jr3qAxoKs0a+TKoblP9ZQBRWaoJleRmi2ibG74e0/d+blb/uRj + 7/6FTT4cfANxsWPWULnKu8ZS7oVajj9XUmYGYxGnta9j3wXnyeA8PLuqCr30tPx6rq5Z7LIzj41U + ORoA9VAX8gFuZqzdoqsan7q2rutBqM2ExWkntQveeyUspOukN2/OEZj24p4N+lm1+799+n/dpdMd + 7zBpO+vD5TYTlPJ4OlkeqAUICghBTRUw55QDwG1EtLbeiK3OzAAw9Y/StqOLZGYpgog8eaJl1wjk + HLNTQM2w6rKSJhOxRI7NqzEUIlXSWn3lOfBON4VTT+zYyABJOfF+ubfWO92WGee0OgyxbkYJAJLA + VzBD24IZW2MHuK7rnYNGWHRDbGEnvOOqd37iA7983earBm6gPUx97RovLvZJIUpUBq6vTG3bVlXl + nKuqKiXd3Z0wcwie2T92+vHf/u3fvvPOuxTwnuPTDuQ908G7HhwMIXRdB6Cu667r1kN1+6cK5cWr + z9+HK4qiKIriB7L/NL0/u3o5jXg15TdXoMh3/l6y91oURVE8oxzYk1JS1dxce+9jjMxMRH3fO+fM + LF+1fR9yLND+ORl5rgYz50xrrNKDuq5rmub5+2RFURRFURRFURRFURRF8Szy3Y316tn1z1NK+4eq + JpPJxsbGi//2frgIoAFg/fjNn7/rwx/sdy5udD1E2QdbzioxBwCsvJxMclmTz9nAZoDCnLM84xNs + IENwZJrIUFe84Q3WwQai7EuibVH8yMkL7AEQkXMupZT/mpeq5j/nW9WlTFVRFEVRFEVRFEVRFEVR + FEVRFMUPYh3sGkLI6wfxbGU6VTWvQMkVRdfB2EVRFFcUe5r6fqpaVVVu8cysaZq2bctit6IoiqIo + iqIoiqIoimdlZnkgxXvf933f9znuwYzG4/E0LQz49d/4rfsfeDjnVD3d8+RKROtk65Q01ykiop2d + nRxzhVXJu1zs7kX7jEVRFEVRFD+SCI4shRCefjIAAxCKk3bPyJIlNiZ2pE40uuUvrfLv9v1K8Txi + u5SfZUS0CsvLPeQ+ChFx8FH6c3unE3WAApyDZvYzIyZfVY0D52QSAJwf/CPqKakWZk93r7goipdG + PkJzPWTnXJ5s5pyLMeZ/ylXuAeSqAqsQq2d+0n1/fpqH5gkhuTRBfqGcaZ3LMldVlcsU5PIFJRyn + eFkpGRIvJSMIJwOLS0bJ+EllsJgcGdRMCNEpmOrgg3OEZGYKMYOyCYlRN5Oub6R3bRxMHjx/z598 + /l/v6RN77qI6E1YYmEAGyrFzOWN0X67YMtEzW/YpFWClHN2au4857xM5FBM5ZNVy51JzeqkAYjEC + 6x7Y/hZ1/etERKvM3fysE1FmOOfy6LCoggxGftxoSr3G/MvGcASi/N41R/YyQcAgKOk6BY9MaRXL + DUIdgkIUqgZJ0Jg8c02j+Y5tuGNbYfPGt930U2//2SN8TboYtoaHZ3FB3lxVWYqLrg/g2gcF9NJG + y2mj+bUAysGECjJaZhPyMv3xcjqjIeTBdBVTdSKsHBSVmMm2TKh2E935+okv3/LtLzw+e8A2WuVI + DouFkHEVWFPPjok5mRopSAnM5gFPSGRwhjpU3WLmvFUbVT9dPLh9Yv6V2clHT97wqnddf/Vbm2pD + O0q0cCCBdK0PCHUzcEDfRxNiZoBWZ0pmu5QDnZOtX0i6uqLR1asvo2dT0phSPWiIFUwWSNTa1PPA + ieuUkLCYYnrm7Mnb7rn5lrv+PW0m+NRpYscE36fIBGa3L5/x2ZHB5VRHUiMY1BnyRm4XVoXU+2l9 + xN31+Fe+83/f867X3fj+d/6DYwePWu+QQkAd0Djj2Hf9QivfMOCcYziFgFUs9mLk3L7c9GfbOrTc + PmSUq7PZMrYWqbONja2+b9t5Wzehj+B5/YZDb9t4/9FXH33Tzbf95e7i9NaYZ+22b5AiVssHvBkZ + VC3lzM1liLWxEec2AQBo2bBQPiABUgY8G1ehiZJEolBylVGtYnGOVhttMT+7ffqb5++AVM6qyo8a + qo80BwehGQ2GTTOoqsq7qvKemfsuqaU+pT62i8ViNpvOFvNFmk/jrtVJfB9p1mKSgsGDPRgwhZmq + OjOzRAqJqlD1HuOmhkPXxW7aOw4jHtZxeOOr3vtL7/tnzXRr0x1Z7CUKXIU6adyfbI1lWvz6u077 + Nr4BT017fd5xTntETl9mAJEBqFmfOh1w3S1osz768+/95WuPH//zWz69vTjd2wxe1ZlzCE0AMJl1 + VbXsXysAyl8wZWMmYqoVIikpAZ54AILstedPTKcPf+2+v/3GX73h6Bvf8bp3Xn/N9QcGR6T3hMoR + m8CSmSjMOaV6VGsONIatzlYGiiHEzhYxIXniporUnjr/8MnT37nvsbtPXTxxbv4YD8VtoFUVA48Q + EwB4YQKcJV2lzlcN2imgqBwntT52yRkIwcMiDlS1TkI12fzJG37up9/28wfsKnSByfXUUUXqFNGc + Bspf3P17jRTAavCFACbDKtk6J39fXpLu97GHjQAsw+lped5l5EBouhQzbKRkaOrB3t4kwG/VBxc7 + kxuvfV/10frTX/zDc+lxN1RzCoUjJnNQeGKY2fIYhRGWUdOkueHLLcb+NrxLKbACiKwG8r5qaWqO + br7n39VoGh2PMd70B4+Mr7rqwDVbo4Oj0Yb3vqqqOlQhBE/LpOy+b9vYz+fTvene7mx7Z297d3dn + 0u9e6E6Lb61WDTFtdsYqgBr8hjNNXUwiwoF8cMlUFCDwvjMqG8iUKbUtzKMeInUYYVTr6Ii96ld/ + 8ZOvHb0xbfPQjUx5Z2enqrxniIjLRzWprqKRyXKTpXKZYckvJF0mN68zrVfDeCJixuSImROLOFWn + mlpTdaYGUXh4IjhyzojmOueDthu3BbOed7/xjdtuveNLF/oz7gCk0kVUBbwPJuIJliuKEp6met/3 + 2D7OcTRNyUKNtsXmkMMB/bs7//rs6dM/cdNPvuPNNzqtI9OQN0R4NpmOqjGDACJTAA5EgCByHbVS + z97DW29mqqraC1x+XUfLvijn09BlJ1uv/rDua5MRlId1wxW37bzrF8GJgyNz7NDGni0qoaMotVKT + os3btBhuNf/+tr/8/F2f3a3PxNGca6QpNke+i+ly3o4qgbHsb5OBVgenc04JphAIjByRd2CmiF4g + lD86OQC5sxxGDkACSE1VDTAGG3I/GgAzmDn3JYygqkogIjDl3mabkCLqhm3ZBKioKsEILm/sfZnW + +K7OnhJgbOvdwUgJjlB5SMJiIkxc+6FKNwxjTBs3H33kpp/9yXf9jF+MXDdY9F1w3IQhC3ddBCxU + rKYgeu79n+JFU9f1dDodDodm1nVxOBwy82Q+O/34mU/9y3954sSDAJiQkoYQRCTHVD933vv8W13X + 5eG5rutsNbSfZwvlkbt11FlRFEVRFFeIfMrOZ/88wXd9829dbyKfwYmoZFoXRVFcsfKVFxGtiwf1 + fV/XNTPnRj5P42Dm9U8uy3oWSGZm62kZubZRnsaRzxSlzEdRFEVRFEVRFEVRFEXxIlsXN1lPS8hD + VfneR34MM+dM61Kp9jlhwnjj4nBj88zZreA0SooxkAdAEAAGZs0zwezJa8KeVV4RYXkpAa3mQAIA + VPN0y74fTnfRzqFjx2VnFcWPoFyFKt/aiDEyc0qpqipVzQtW88PyavxSpqooiqIoiqIoiqIoiqIo + iqIoiuIHke+nm1m+k56reT7d0pK8NkREbKVkWhdFcWV6uorDuWBxbvFyc9c0zVMKnRdFURRFURRF + URRFURTfU57Mn1OgnHNEaJpmsej2dqf1YPArv/Ird9/9LQCDwWA6nT7D88QYAaSU8v9zlVpVFZE8 + btN1XV3XAMpigaIoiqIongsiIqISZPsUq2hfNksA8hJ7syfFLuUUm5xbp5DJbBdOjUzMeBU/Z0/J + /SleIMu0rFWaNdEqwSZnuJixKoRre/zsKeFen5znkgPG2CBmTNSEisgtV+2/yB/kxZVnvKz/uk6+ + KIriymFm6+v9fJkvIvsDrdfVjEWkqqrYX1Y+1NNq2xa5RgmQqzHnn+R3si4+sx7rKBE5xctHiZF4 + aSVjVVJjVZJl/pwxK1W+Tsk66ahy0c/TQFu0MxMn6gwgB8eOvbIl6wRtazMe6YNPfPvW2754xwNf + 8Qdtr7/gtihpjuVkAATT3DcigFbBfga9FOoJyv83KINNYarLoM113zSney6fpiKGLKMoARAj51sr + Azk407AvahpOc7r2spNmJgYys9pXZqZqamYE41xHhruOTBwDziXHxgAULMtkRYMKIwHCq6BjaDCQ + 5YDhVWcamiS/HJwhwHuqnHjqB1dtXPdjr3/f+65//zX1NfXUhxTGlU/t3rhphBDNegjMXFVXxn3b + 0XKONOf6WWTLZF8CGIJl1q0uQ69xmb1vUnELJQVxMjOmzkRpHv10pz9z4rFvffPkNx/ZfmQiu31I + aSwJfRTjBFKpQxDpo1hVQUjF1mmdqze5jGptyagKLonF3lzdiKUz7RM793/h6yduPTI8+tpr3vi6 + V7zp2KFXbI4OjUdbSNb3aZ4WUBeaUKE2cybM35U1+AIHWn/vV1ECA0rKTFz5znWddguZQdU8MLKO + Z0L9bDY5+dh9d534+qnz98142x00CalXxITa5Y6IeV+bydO87NNyCiXktF2GCuAVbDCDmUXXJ+xF + 7j3v3v7Q3h0P/t11r3zFq699/fWvefuxjWuoa2xGg1CPBht923d9qlzTS1JNng0hAoBc5h0RUgBO + Axvvv0SsqEIkVRVLHTT4qk61XKRjm6/+4OsPv/7oGz936x9/67FbNzfH8/m0DtAEI3NEyiwGU2ds + xLYu+gbo/kGBZT253AgoszEZQKntd8AwD2KKgKjlWOy6qRUGjdCelKBo1SbGZ2bkXQhzR3Bmpvl1 + iPLSBjARGQC1lKCJhbeqZGLcEycOxGxiiAoBmECA9xII3ojzSzP3yVxnznxtfkxVZaN6Pv7IOz72 + kXf9bJiPCb6ViJqhRjC69DGXgaC5VeFlEOnqML+0qV/ATNx1pjJBYSyojNQ4AtE7H+cxoN4Iw3k/ + 7yZ6w1XvO/6xaz/75U+funBit92jxnr0i3mER93AFDCGse3LJhdSJQR2ZIF7hyhIBCZlawb1dDbr + /AJ1d/fFc3c+8sVNNzw8uubNr3zP1vjYsSNXHTx4eLw1dsRIZKqTZHlgjhywSgQ3lpimu7MLO3vn + z+6dfuj0AyefeGDWTWjgeu3Fp3BgCCeiC2fghGQANwoChNHnEREDDIg9mMFAUkCTIwuOXfCLvf7Q + 4BAu+FeN3/jzP/FLb7n6Rkwqx7UxyHFwzkS1EzKinP3+5PZkecqw/YMvS5Y3+wvJwJp3BwEkbDnw + lgEiY6gHGSjR6sTNhnbWBl8754zZx+Gm+Xcee1/4cP3/3PKvprg47yfR+to1gEVtBRLIX0qnXWaE + KwOyitCm/IUwOIIRkgc7dUxBWSMjCnHwxObJIB2mvc4u6pmHJ/fRxHnyqspETG7ZZUiiqqrKTAID + lBzApmRCGkPkjQasRJGsU1PTZYchdpJ3AjPAllIiRu2RlNU8SHPnBASQElAH9ApdYIRRNd268doP + /OIHfvUIH5dt8skPxqPpdOocDap6Np0ur/FIAWMDjNnYiJcp71cYgrLhSd0wc+wrIxWkFp0Gncg0 + DBhRXHQQsrxTWYS63iSi10as7ifV+W8/fMdXvvXFh8/dF/0CYzE2mLFHFVijai9Nxcn0qf2W5Siw + LldV7PtXWr5SYo95gjF8g+3ZZBxqf8TdH+966O/vv+7+19z41ve+8bobNv3BOg1Ho43p3oWA4M15 + u9RAiOtTPd3t5w0fDH0T0NQusDViPdY9vh9kSxrIPIxIPZkj9Xl41CgtYgdSHnsz7VNPRt4ZPNw4 + REjUPrk+Dbo57T6x9+gTFx69/eu3nJk8Phvtuk3M5nO0ODre2N2duIb1OSdt51z53CnN25V12csm + VQc4JoEZmGLnkpkRDypFMEumpmZExKAA0l4AOIDy/wksAEM81EAGM0AUUDMSsxDqlJKIGME5V7mq + cgCUuiS5tWVThoXc5BLBWJfdfqXlfyD47/VZjeAAzieWiJrqqhpo5DhLrM5jfP3GO37mQ7/whuNv + 6fekqR2p1W7DSWCYpCRIoWL2XvqWqQzHvKT2f5n39flF5NChQ5PJbDAYMPsYo3PuO/fe91u/9amL + 27uOScTUMBqNZrMFgNWZ9LkeGut5Quv8y9lshtUyMO99njOkqiGEUma0KIqiKK4oRMTM+8uFq+r6 + nN73/TqsVFXzg1/qt1wURVF8DznUIY/sYrVyI0+6qus6XwbmEb98XXa5z5/TsonIOdd1Xf4JEVVV + lV8xpZTvHeYJHEVRFEVRFEVRFEVRFEXxIsvFZ/Pwl6rmKtt5fVG+u5EroXjvS6b1M3N51kgVEJoH + F/FNWwdwcZvrKoipEEgZBkCIND/4MmeHaZ7gS2AzQJXARnlKqBhcIDOrE14r/MWf+pkPfu3vaTR6 + AT5lURQvsbw8Nd+w8N7nGWV93zvnnHN5XWhuxstks6IoiqIoiqIoiqIoiqIoiqIoih9ELhK6Xvrh + va/r+hnWCapqXh5iZuv063W+SFEUxZWPiNZN37q5K7HWRVEURVEURVEURVEUzyrGmMvG9n0fQnDO + xZhms0VdDUz7T33qU7ff/g0AzrnpdA5yeJpICGbO48zMrJqHay6NPOfH1HWdUsq1jF6sz1cURVEU + xQ89oh/5AN/Lw/siWsysqios74s99YFY5mvI9t4FsIHNTAXi8kYlw3LV+PrplsEbL8KneLkgJbNL + oW3G+zevmTnnhK3T1jXukfMPC3e2TnJ/8g5VVYKr6wGWKeb0Mjk0iIhA62ktRVFcOYgoFyI2s1zf + Po8M5Fj69f/zIEDf988ye+NyJnfUdd11nfc+15PJwxpE1LZtLiyT58vlSvvf/ycsih82pTL4S4oU + kJzLuAyKNueUyVjVRBOC0Dg9eOY7XTVLoVdKY6ohUKVoSCLzbj5bzGZx94lzp+49dddC91reo4O2 + nWY8wkLNMRggdQDMhGBGMMCt+0j7W1J70t+WcdfLnigbOGd7kilWCaBdVGYQgZkMAC17XznlOgcx + GrC+6tCcRAkQ5f+I4Iygmpb5sQRmJqL86pyMg3PMBEKKqpajSDnn6l2KHdX81slybPald56fdVCF + +SRW5DebQzpDmuDVV7/mHTe8551v+o+GsjWIm37bewu1C0wKL327iGbwrgoelYeRJBgc5yhb42VQ + a86xRuL1hqTVtroU5PZcr5SUTKR3A9fL4szemZ3FxYfOPfDQuRNP7Dy825/paE+rmCqJPiUHgReN + Vc0QDewdaS/qAizwokveg4zzdym/Acv55d6mEzt0sEqzPsbUbAzatq3H1aKfdjJfdNMnTj5+23du + YfGj5uDW6ODRw8ebMNisto5sHDu6eXxA47HfPDg8xCmwLnPG2Xi1QfRyK4tdFjbWnKoOwPKWV2Eo + pZ5SS+3p849E3010b6/b3pnttDZ/+PTJi9tn5os99ip+0ftFx4uoyRTGcAHkWLoUgiNG30W6nBaR + bZU5ygBYkcPYFUBTh1kXh5uj+aKb62S0kc7PLtah2j79yN2Pfb2+/a8ODo4d2bzmqgPHjwwPjfz4 + 2qOvSnN63SvewjFYCzWiBKxi/J7r+wHUOCcuK8HykWtMxs65vu0ReDAYJfTdoh/IYFAPFpPozV83 + eu0nf+43vnjnK7/4Hz7Hvpl3E/VRSRMnMCnMNEeHg0ywahnoqaHAy8xhI8TxObUAACAASURBVCgU + pCANNSdVFaTcLvDyf23s8h+Y4RzIgwxqsCFFLCJIFSYK4+WkXoFAzAzOGER5cIJpEWfEnsnUJCaY + gRyYwQoiECAJmiBmNQMeXdK6qfqLMYjbarbirr762PX/ycf+2fVbN0xO98N64H21SHPnSVr15gHk + K3MmVfC+VHXe/+Hzlx+XX1nvcrlVsKiBc+x2bnFijE3TOHVd2xkwDBsD2hwONv7zn/kvbrv7b756 + z5fPzh6zempBk4rxukliAGRsnPL7T1Cz6M0554PzRKQqEWm+2GuGjpzO++0Zod5warNJNzl1z+NO + aiLn2Q+qwWgw3hxtDpvRoBki9/UlxRjn3aLv+yj9zvTirNsTnbuhoI6tn7fUmQHeG2BGJOYdsweZ + qIKMGAzE/c07gK5FFZwQqWgd6sAsfdQ5DtExd6F5//Uf/OmbPnZN9RrMq4obEY2WoBFiUCXjwM55 + EhNYbqgVtI7yzUfQcl/n04eSgmDQ/dGeLwTLJzUyWjV0avmgcQqshuEuNbMh1H3fV4Mmdb0Z1TqK + Md70yvdvfnzrj/7qX53c+fbBo1t7s915nA+3PBuZqoKNloHQT/ow+eBdneXzC1U1+h59b4HNOyY4 + ExWoD94gyXojldypWFruIDYQMftLM/IVBqgxgc3IBFADIQLwJARb90kcgQliIFC+SkxJVeHcMk4e + lveOKi3PsoGADtyNNun4T77r4x9+20dH7abu8qgaq+ne3l5V+7qu+y6yMRsrYAArkTEZASwE4nWG + /RVh1S1kIQV4lbnuYJySEluyTkJPY3vk5L3BnFpyvWOhHFiusDb1i26+SPMLk3P3nbr31IWTvZ/S + WOJW11EvCqcggvMAoFEDO8/oesWTv+ZPbtWWPYplwjw8G4M0itY1oqCumiSxtflwXHd9m6g7sT25 + /wv3jP3Baw6+8tqD1x0cHr7m8NUBVYBj9gwyI4CNeqv62OtbXnkTZrAWKZpjRxRgkZedxyx/dVUv + b2iTl0eROtY88K3CMbnY+fmDp+8PGyyIRGZmbNxLimRiSdrF7vTimdmZ09PHHt9++Nz00apK4ruW + tJvCDeDMTSaz0WDc2vyydnH+AiutTxy5QYaIEoGcERNUnaOamIguzjt4eO85T5XQZXvk2RFAamZK + ubMHmCHJ8smJoLk7YeyJYoy59i4RKSwv/+Oc8g6Y5YRsEsCUkmoFsK6+kPu+G+ug67wxsdodRIAC + AhYm8QbvMajNH9s49uPXv+8n3vyP6nYTO/7w6GBMUyYKVIsQoD44MxcRRZLxlXQ0FvuISNd1KaXF + YlHXtff+5ptv/p3/9r/f3p7uPx5nsxnAg8FgsVhc1vOHEHJGWt/3Xdflgbm80CtXIC3rVIuiKIri + iiUiAPLs3nwHLt9py0XDc/ZDvvNX5v4WRVFcyfIUjdyMp5TyXIpcQqjruvVVW57k4ZzL7f9zt/6V + PFcDq9kbOeKaiPIrrv+1KIqiKIqiKIqiKIqiKF58eSmRcy6EkFJaF0DJM+SYWURms9lgMCgJqc9I + TSKZYlD92i1feuBd7+rNtOsdM5FfTX3Nc9EYSMgzny5rcRiAPNVyNf9KAZAaTGFEzqLUk+lGHeDC + 8/jBiqK4cuyvHp7vbohInnWmqiFcOvbXy1ZfsvdaFEVRFEVRFEVRFEVRFEVRFEXxwyyvCsz30/OS + k2deVLKu8onV2sNSK6AoiivT091Hrqqq7/s8X0hEcqNXlkgXRVEURVEURVEURVE8q/WISk5+atsW + zocQuq77vd/7vZtv/gIBILoUWf00Q815UYCIiIj3VUpJVVJS5yivHcgPWEdelfUCRVEURVFcFrMr + KpbkpZT7UWxIZmYWQshpcQByLsX+3GsASrrz/7N3rzGzXed92P//Z621956Z933PjZfDO0VSJiWR + InWjJduyZEt2ZLh22rRFENRoATeNgLYwUARtvhRBEATot6JokSDo5Uv7SW2dFnUVJ46RxI4vcVxb + piyJknWxRFqkxNu5vJeZvfdaz9MPa8+877mQ4kvx8JxDPj8Qw5l558zs2bMva6+99vPfPZcxRlFa + VDXAhK87ks39kHhpZJLVaDwQUFMlGSRr3ut3Xzz3vRKyXj1WXMQkhNB1HUYxJSGQTPJtnPU8xSkd + eXgdJ8Y5d1V1mFkIYTM8o5Ry9JC/1nup5QVM37Q9Ty2JrKohhJyzqpZSUkqz2QzA3t7eYrHAMRMk + nXsb8Fjr64zQYGo1XNMCLYoG1h7VkMd29XL//P/9r/7nZw++uRteURlFE0xEIoNQpKCMuS9lVORb + bj158dzLKqWRlLpw0JdmJpZVgQSYklzn0a5zCWnr5GkCENphXqayxv5twnHBdZykGAiIihIyT8Wy + qo7FrEz51iIStOZPAsCUIGimVImsjTU1o9a2WjZDCBJFUUN4MarCFKaIhCiUMIMZjAgxCGMuNV5P + qUpmUYitc7iPJO2tyd6Fcac52Yzz5vzi0Tuf+OTPfPqhsw/LqtHzYdYsci6ZGppufxhyP7RtSm0X + 6h6ooBTLSpPQzGZ5HKgKyDqzWgUZMEIFWrONpy+NAECOdQBlRJxdHM790Td/75//8f/7kj7XN3u5 + KQMPmu0ml9F0sGwkmpgQOIyBI8toGbkoECAJA4s2KEBQhTVBBcyAZhOjLhWLk7i4u5olzlK73L04 + a+PBsC9R0KIvq+V4ECKipCXOvZTxledWbdOF3MRlE5btLO985JGPfeYnf3Emi2acQRvRQIsAk0IZ + jOX1x3i/AUHjYZI51ViK5Bz7IS6//r2n/8/f+Nz58sJB2tNuXGEfibM4H9DLbAhRM8YypYJKLhpT + ClAzk4AQ0Y8rC8esiMYpCZ4Gqb+7oRAGHVdj07bnXtqfLVKzFZfDfncb9g7yDMkGW+aXz+2//O3l + 1+KLEoGQhX23KKf/o7/8n95z4pETzZmmzGUwmBUZ7epHelebHJOkALSIKUdAxEgTMckoqWtQhrxf + Uuq6ljnnZbkQwNNNMxa5cEF/6j3/zkP3PPEvvvBPn37uT5ZyfinnB2YSEiAKySFk1lD6cKTvoB6m + Tos9tYgCh+mw+yttE5quCaYl51LQECFISKLV4WkjIbUUw/oQTgykiRDQ1DTFUEopMIGZwcygaAUo + 2RQiwpAoLMVyPwQgJmmCsGGJuRQdFWaQgP394eTJ7bhsm+Xi53/85z/92M9jtx1exla3nVGGchBi + yKMu5lvL/b0mrsverSNmN7N6PdPrH6evrFMo8jVBk5pEbAFFtDBL3eJYQjZVZh3YxHmaLZfL8aLO + 5mfa5uTPPnr3u+/4wO98+Z8+9czvnVuuZA5ShqIKhdU0U61p8UakgJJ1MBVoIAE1UTM0Lcax2ICm + gcw5DKVXptnK2j0xCIIgXFCwF1uRSi0mIiKRtJqwa6bZ1KKxDYYyjitka1qJbRw0m+UYAUjOulKI + khqKaeAIAMxalwdDKDBIEjKmVT+amRhwMGI13pruvH/2/s/89F956K4fyResPygNsF8OkqQ2Js0l + aBDpCoqhFMs55yTzGh8Mm4JyN/nB699RddrTFMMV3TRvNgUxfcB0V6bs2k39yzqFEBOFQEK3mF+4 + sNt1DRvZG5db85MXXnzl3tmP/Bf/9n/1j//o//nNP/l8OBFPnF7s7u+LQIRGrZvoaR01AKDGIzuv + mnGuBLRHrA0GopSimkOIMUk/7ltNtTeAEEKEJCWYGVQBBYuqwUwBIWkgSCMMVtOISQgzFWZQQ0GN + og8EqVJyLkUDNcQaba5BEVQBNWuUYiI1v1kU48W4Y7c+uP3+n378595/34e5G6JisVislhpTbFiA + cvH8hYCwvXVqf7W0qIAGk1CEJkoMQU1U7Jon0x8LNQIgVLhp0oiBxhIiIcXa4dzq+//oN/+38+Wl + i/kCF1GpQUAaBapZy6iqITDncX5yXji8cvFi3MJiZ3b+wrKdQRXFgFEjYwpNKT1ZF4raKAV4GCc9 + xRhP6csqJqIBACWQKgF9QS5MbYOyvLDsKRDk0DSI4UJe7b7y/W+ff6pJablcTmUESSOUMEgsodnn + 7e2dt/61O0+GuyR2OTOwdlvrZoGt2wFabcIeY2bWfygmVCOKyVhkHNNy2ew/s/et//W3/5d9XrhY + LkijJkgSRuQDG7qYtqzDqMtRew6lVZ7UIfT9oN0WaFCLq4PcBa7KEsfcNtT4+ml3aaIUQ23lmtLK + 1H2PYAYFiflOzKaqeSyoEfIkRLDZjdb6jPWOmYFUAnV3uV7VAYQkIAuLqmrdMwqCAIQYAikqxSQC + xRQqlBqPrUpQRVgbPIpLGyeb/b5QhqW2gq3uxLjPcd/O3nbbE+/6yE88+ImzW/eWgxDZtPPZsD+E + EoWmHMwooaUIYSVrYUlN0PG4c9S9Fdq2HcdxZ2dnHEdV/dznPve3//bfHdcLYR3ZU0/GvYFMawDj + ONYrVGtTcBzHWlq0Fh6tHXkxRhHZ39+v/XfOOeecu0GEEGpz1MxqvYn6UFUB5Jw3VcLraOM6Dtg5 + 59yNZhgGEanHdzHGvu83NYOGYajHaymlunl/AyOx6hiRWtSjhmTXtOwanl2HbsQYQwj1I3zQhnPO + Oeecc84555x7K9UC3E3T1AEM4zgCqP1Xtb523/f1eR+08HpIAAJgEV27SnEAQhQVYZHN0HrBehTy + MfsC62A2AgUKSlACKAQoEkouSJRZlBCClB5QqEK8srBzbzf1StR6trqeg67Xi9bzDvU19bJVv7zc + Oeecc84555xzzjnnnHPuh1SvMVHVnHPTNDXZWl+lPOjmr7VQQL1TT8q/tVPtnHNv0DAMMca64Qoh + +BbMOeecc84555xz7nWqPcm1uFDOmeT2zs6FCxf++//h73/u//hHU41kmplJSK+WaV2N4ygSaz/z + MAxcFyOqtWo3lwl4prVzzjnnXg9yynHwaw1fA8l6dkxETOVquWNK2rmLrwzjmCw3kgCzYkeuEzdA + uc5s8qzra4I1Z0qUh9k6JNWoitiImj7/wnd3lxcw00t+BE6JJQBEJErTtjPJ76y2NMma3uLXHTt3 + ozk4OJjP5wCWy2XXdSRLKbVPoO6ecs6bwi8ir55ldMytWgihlFJvc861z0FE6vg3kltbW1iPnatZ + OT/M13TuJuLL+nWnmwDLoJEWaqhlLiUHZZKU5GJ4cb97YdXuZ0EEoFCDEhTU/lISpDxz8YXtbREJ + q340xaxN4zjWFxQz4bplRLC25e0whW5NjtxRw5FDhRrnScWljavVqmeCiAQhAs2MVj+RJMVqV299 + WyOYtRhAgBQI6mtQjzbqnVyDgtEIGBAMpigKrSWuRNSkL0UYaQSEgJjQtKbtmkERxYRWM30jTUJJ + M9l64My7n3joww/f+eht8c647OTlpimNFAk5mEBhwzCEGGNKMFv1qxRFDFBLoYkhjMaDfmhkmks1 + EVzscIe0abUfmUPHPVASZMZZV9LwUn7+fPout0tOthrQlyGl1EirmkvOVkYxQVaEhmQMBkBi6LUs + e8y3UYbpHY1KqFGnySaM6OYY9o1pbNu0GsY0C8V0OY4UNItgpgdDhkIiSosBoykWizRrd/Yvjnt2 + TlLBMKUkTmG+EIBiRzIar4FpSTLRI2GKdXmDGJp8Hs8dLM4PXV+6YVVyjNgbD9iJQEeDAhJhYB6V + MZqx70sQhADS+h7b23Ec8uufHuW0ZNdp2CTE16xgM1ssuqGsbIQGvLKL7QVWqzFGMAphJRyMVCik + oIltWebmRAwNdaW5z7E0IRA4Xkw4TYxQFuM0VZs03H4cm5ja1PV9n4dRWjIGDqWMWSjb4eQw6IOn + Tpz5xB1fe+GLv/Y7//vFkg7slRJ6IQEaaWqyPtSU9RyYVoQpZXyaCZtQ+aYTK1gNAxRBkAQ0lFGL + qgiEEKIApgSFYEDBtH0AI82gRc2sz8s6b4UgIUBZx62SYIAaNGdTisQ2zmjQkocxAwohQrAIySEt + m21rcT4+fPd7P/Phv/zAzsO8OJvbDimlgBFCzUOp7dEYI5BhBEG7etztW3+sOaXAUgU1/DvQZCq5 + JaXGR0VpU5RQUr+nupL7d957xyfPPvbC+//lFz7/1b/4ouVCGYvUjgXU3PRptVXQQBERqhVVE0IC + SkETASAXZFiIYpChDGjNAGqhTYuEGMwQRbKZ6bTDMDGSRgxqEAYKW4pxsMyaV0v0I4QaIqjMYz0q + 6LTWE6yTdqRbxMyksLGUpGnHme7le8/c84nHPvXk/Z9uh514MXUSi1opJaQgIjCtiSk0mFmBMSKl + ZLregEyEV/6inNJb35IOFcP0c4jalDJbd751RYMJj2wQSilasLW1lfNQytg0zbDKi7iT+6EJ3S98 + 9N+/7fY7Pv+H/9f3v/fsyZ3Tq/Egx2ySN99l+rI1PBsQO1xzCcAQDKhPGihgoJW87JEacJqZqCeD + tRiIXFADb0kggkYzAqY121o49c5swrDL1HlFoUJqODwLAQshpUCzgukSpmAs698gCwRa9/KSctv1 + 2x97+NOfeuwX75y9C7vSIILjwcFBDIuxZIqSnLedGVerlQhK3UhPOcecAsuvcWb5sZmsN+OXdNoS + RhGzsioFwZj4Uv+9PL/Ik+NBGHIoUFPNhAYgCCKlFBPy/HggUXbONKtxuHBuOZsjZ6BGpguipJzH + YczddlzlLIaaW7wJL8el62B9WEPrcsnddnvhoAfAxNXQdwntDEaM2XLukyC0MZuN5aIpMAekbseg + hkwo0Cp3MNvNXWqTDEKlquZgdQEMinXeNmy6ozhm6rGY0rDeb8OIIjnHvjmJF1fPlhP9GPet1b6M + rLMvos9Y9UgpoWmUUkJW6TVrO8P+EiGhH3LTpFlKy72D4w5g2GxtLm04aakx1IIotERRy9moGFcZ + MkVZ18+qkfASghJUUyKABSbGAoWRsAIRmEFYl3RSzUyL1mj5OL1POVzZzUwJGBkpiDTNWO/WBQBk + 3dJQYJ2XPa1NkQBGOxm3sAr5fLzntoee/OiPP/LAY6fT2RP7J+VCAMWMhbmJSZCSsHA0oC957HNI + MaVkoKm+JZtch/UW5qonh65itVq17Wy5XCrkf/yH//C//e/+fggAQIEpaoBljElVl8tlTSk77gTV + SqMAalLa5squ2p23+ZOXh3bOOeduQHVcbz0DR3IcxxpiXZ/ZZFoD8HNvzjl3w6qHYJshVnW4Rtu2 + Zla33iSXy2VKqV43ctz3J1mP9eqeAkDXdavVqv61Bl3nnNu2FZEQwhv4COecc84555xzzjnn3rCm + aeppjk3ZlNqRVcdn1kzrWlQF66Lb13uSb3Q5l9i0SOmi0OYdzcowRihtuj6ZZoACpJodcwBWHba6 + GUKOOiSMmgtEUHIRiOZhtugwLDHMkAJEMI0/F2D9j32gknM3rU1kdT0fraq1NNVm+1xHndXX+Ebb + Oeecc84555xzzjnnnHPuDVPVmkSyKRIaYyyvHjdSX4P1+RoRKaV4Iqxz7uaiqnU0UQihaZpaufh6 + T5RzzjnnnHPOOefc9fJ669bmnFNKIQRVLaV03fzcKxd+9Vd/9R/8g/8JQAySs4YYS86GcmXcyUat + aJezomZakwaEQKwvJdh0U9datZ5s7Zxzzjn3w6ixQmKxla5hkyQNOuUiARCVKcZCVKLu7u+vytgZ + JcDMWKtXCgDUCDmDKCCYkrE9PfhNtM4KE5gERb1wXgFhgEGtVyGYv/fyd3tdapgix8UgBgMKp3eJ + EhuLKSQiAOtYnLdPm/rVg2ymQKJ1YM1bND3OuR+sZlqvVqvZbAaghtTU8sg1YRpACKHv+xqC82Zt + sWrfQq2fvylWQLIWYa5jRcysTozX1XfvKDfc4k4GMtS79eGr9ldOgWo3fYURoxUpMAmFNFEZlQAj + pMljHiOts6WtxlDzX+t8gXGKk1zTpsWQ1QgICOQ81mRKAMpiBkwRk5iSJTcRoVNUrR55PzPY9NLN + U9D6ftMTUgBQAIXq4W80hSAKDZdOIWFELQijamZmNaeQAiBwCiojQVIAFFMzbsKriVqEioZAkKZW + zEwEYDRqKbkUpJiQKRZjbsPQnoinH77nvY/c++gj9z/alnmjsyZ3adXSkpBZGISFWak13U81GyCG + GKPVGL8AoJiZABKubFZOad+GAAQ9MjNlWm6PkUksJmTsRzErEqzdTvuabUQrVG20cKXFWBgAIqiK + QDEiYKxN/LEYMYuwZY1/RuFYCKkRhVAziKL0UIMkjCjQwoicC4AoAFCGotOxBUwRCVWwwagj8t52 + 04hEG6QZZ7F0rIuNFAXNIq8SlP5mqtXLTLSIAqAhWGhKCBqNgSVZGod0kCPHnGcBYw/UuGVMh0C5 + ALAgMC2mJQYAUEU/aNOiP06mdZ0gW08YUKaHZgZQMOpYF3grEMU8ovQgUCzHEmgmphaQCRWkpE2J + ed+6sIgjohaEHk2ycozlx4A6Z8SoFo5GgBs1BBbLJSsDQhAooCgSaWIUKqIpd3kq3vrBWz/2vr/6 + 6L/+8m/9/pd++4XdZ8ZulWNfODKUKMGKKmxKJCWAukmqibRToTmZQgcBat0KUQBOvQYmWH/+erql + pnPWXMzNPDUjKDX4VtZvLsapop2xmCqkblvMFCZqgJpQGRhCoKoalCSIOHbbL53+wP0f/eDjH7z/ + 7INzOdEsZwEdTEwpECsKBAo1F1gBodOatLGeZOLoqi0mU6G7a3ncadRSM0VN150w6+en4noEoKog + EDRDYWgRx+VMx9OP3fKT933q4T/95r/5//7sd7/z0td11vfcW6Gwgyr6ghRgGQkRxilU0qACU1jd + PQBCsMCKEYVGiNUsc66npnYtad1RBR4NUDYTgjQKCFOzEQZOscaIAcGgCqjFIDBoHiNN67nFCDWM + NdRcVAqiYq5z2W9v7+776Ic+/qEf+dgt7e3Sx1AaAlb3KwRMLJcCSCQMGQU1i9fqQqrrTdbRX/bI + 4ynWV6bt+bVtb6ig7uMJSzbNz7r5siOri9T5bAAoAuiYAxAokmsHHdpm6+L+hVhO/Pi7/tJdOw/8 + /p/81te+9aXzePl88z3ZEQGHodAwaxoA4zgKDciwTbi5EWA9GUvUBpmpKSFAG4D1hUWH2/u6+5h2 + f3K456+vCGabNJx1ijYVzBolQFi0kForyhWFCIpCDSISQ4Saqho4RmNAVE2jhiHEIW3j9Jnmjn/v + F/7DW7p7T8SzdiDUYCJkZIAxCwUIgBYQBMSMKjbNQw0qBp1CeeWarr/HZTXAnDCqUsEiBgKCEEN3 + MJbUbh2oZoHNtJ/trcIyA3Vnz9rQMqhhtLpcGSIyFcNgREoo4/TjmALAYCsI0GI15Lp926SWY92W + 000rcdr3qXGoTfHlsk915cjLKCjlsI+YAcVQLAOYFqbNOxh4eBxiB+1Ba3tRG1nOZBAJsrKDpo2h + 19rXqdSRcb35PebKSC0sRBEVajBLMFDbUJIVtNL0tgywEKQoamMpD4gGE2RmnbYAoGkkyogAWEYj + QMkHZWQ4TmsPmwj7zcqkxvVM2azxOrX01UBC1v28OGyYg4DZlA9PwKaNqglr/LfCQCi53mXUFGoi + 1IZ+AblekQO0Nv1pQCHFpjfUw+MF0c2WgSKqagUCDYZQQigxltTqolttPXDn+5589OMP3PneWLbs + oJlLJ+P0OwICs2JZRXQdXM+ABqJQlOkMhdz8x3c3Fq6X0Eu7y9d3js7tqY0twjzVX2YpRVKTcw5N + mxVZ+Tf/y7/565//jdTK0GsILOVwA5rzFGU9DKvXnigR2VQU3dyqas5ZROpfaw9dHU4EoF7i5aOF + 3FVtKob7kDLnnLu+6nk4s00P2dRpvNk4+1baOedufJujMABt29ZnNhvwemhWQ6mnfgJyk4QtIqoa + Qiil1Pv1+K5WIDKzYRhwJDl7k2m9eU8Afd8DqAFCIYSDg4OUEsn6njVJKKV0NDfIM4Scc84555xz + zjnn3Jtik35ae71qR1Y9/UGyFt2Gx6O+LgKkGNs6uOl8gwspbC9zqxJYBDoNOGeBBVhYjyd7/YOw + 6tAqTqOTIYAGNdBWhBBdNB1ykHk3yuc+9am/+ju/jUVCMw2jMoCQ6YoIORw4yvXfnHM3kc0pjLpl + PnpKenO+wzfazjnnnHPOOeecc84555xzP4x6tmVzzuUHFuisF48cPUfj52ucczeden305ryz1yZ2 + zjnnnHPOOefcO9XldWt5tII3DzM46mD+0MS9vd2unZtZrWH7+c//47/39/6bWhI5FwVRcgZg6/J0 + ZlajqWuHTK1ZZGb1Si4zK6WIHF7ztelw3nTdeGk755xzzr22esF4jLGUUhsOJD3PtjIhlUaoWuSM + fbx9+9YXLjxjQQphgmiICpr0UXPQLGNq8Gff/vbH7r3PMKCUYHOFKGgMQQWImYHURpUoR+JJ3JtA + EQARCwE1vQWFWSDITBLYcr9c6Jvl1/7iq5q0iBZQDG0GgDFMsdbBBKPddsstumJgylRqTjECKMdM + XLmBKWskx5TAZDUXaRwyYwop7a+WlCmpxzl34+i6rt5pmgbr4/1Noo2q1r05XiOW/lX+8Gr9D/Wv + 9flaP/nov/JwHPdO5iOlrjtRwGqw3dSsUaMOuTAJkUSabBlUEaGA+ZKwyCu95pbs6tu5V3mSP/j9 + Dl/2uhBQPbL9lcN/PGoRwybRXEBKALTYAK5zg81QMkAxMS2JUSTYaJqNbOYxtaEb9sY2zM+ePPvI + ve99z33vP7tzb1cWsozN/jxqE6wJmHLTC4uJXrY/uSzV8so426tbZ++tEwl/0OtfnQhjJEWLDaWM + FmEKMwsMIEysJsjySEe+1YBBAJf9WusHtv7decWfrvbo8DENZbRa8ssERfrBBjNrUosxUCOoQFFq + DcG2TW7itbTOc1WawKRmkTIzSFMkaxh6SM5ogIYoUqMeX+Wbvkm1x15tdvLyP5oJjKCJoCDApkjm + AFjOmRaRGYUhWm95yDkecwKnpc8Ox/LXeXXkJZf8QEoBp8U+aAgmL1yaUQAAIABJREFUUaXJUVbh + Zx79xQ8/8tEvfOMP/uDp3332lW9JOGCHfti1AAkIIZjQSlGzoxGbR6aCQtucZNrUeiNB4nAzYOsI + 1pocbIeLUE22rDnxIigwqtXwcJIwAZHaNJYxZyiMNBEYMwyhacblSgq7OJPSaI8uzM6kO/7dX/il + u+fvOn3ydJJWV9BMMxrJGpttMkULs2Z1w141VvPy5fytCcS19U85JYNe8fzalNYcUwhBaK0OaqOc + mt39sQdOPv7AR5765h/86y//9nde+WZc6KpfKYd5I2YWg5hZVgUNAiFqqipr+T4DFGIQmpAphKFk + yFRecHN7dDLqxNYHhCYmADCddnmbbZSipguvXz19naIIQZTIqhTEAIwo+zjZnT54YXVycfvHn/jU + k+/++OnujjTMZRlFXyuZ+Iq5hFfZXtUk+Mv+8Vtzeczl4dk2PXn5dF7xLXWqrGgwYn/3YL51IiMv + L+49sPPeez5x31fueer3n/7tr+996eLeK2CZdaLIB8uDYogdhhr6HGuOqSQJOedhsBhhNU5YEAmR + qdtP9apTI1dsrhQQq9tqKk02y0lUAEghAlCzogaCwSQAgpyREmgcRzUdYgwhhLGUABzsYitgHk7Y + AU/J7T/5+Kc/8cGf5V674KlYZlqmQ7xiVMjhsmTr5eLIMlCfKVS8VevvMemROwqo1ohx49gPIQSD + aBEgUmJIooDI0QXj8NewS5967VbipS+4StPikn8u6/z3w3bDVd/nVT7p6EOaEoMWaIylaUKDADMb + dYgIYoIaqi1qlAIh9Li/mlLFRAGBAAILsAhELQghpBD3x7E/MIsQAchUM6JD3XcpNvv0y+Yj8Xq+ + 7w+cEZf//4rX/MDm+KW3telM4mhi8OG2GkrU+3U4iNiYre6g5TBAG5tzKwBoMKOWYlaoCGikWBOa + TmboGcZw6/add99633vve/y+2x86e/JerFLeY4N5YodMan/Zpsyo5ejjw9/UL0d8a8gVdw6RHIYB + IjHGPGrbtqsxxxhN+dJLL/9n//mvPPXUUwaMg4Io+ka2obXjr96vXXg13gzrS7lUdZOO9ka+n3vn + qSF5IQSSe3t78/l888z1njTnnHPOOefetmqXQz1wa5qm7/tNzM9mqEc9+iuldF13NMT69Ygx5py3 + trYADMOwiRSqAzvqkWP9dBHJOXtxEOecc84555xzzjnnbhxm0vdD1zZI8ef+2T956skfPdG0aVwJ + xjocdQqQpsISAKC89htegcA0GkoBAQiloWmxWgG0KFJUO+XOxT0sV+jKNDAYmAbMXHqRgA9acs45 + 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+5Sm2Tr18qesHUJ5BhjCGG57EMKv//7v/d3/s7f + HYZ8ybU8RyuEm5GsdYpqraEaJVWrGG1qitaXXavv55xzzrl3Eg+0voyZIQigIhGZlsPObCecCwxm + VCXMEGxqwSlgogPHl3ZfyOhnDKVoEBDUq1yoretbv4b7zaGQyxKXxLRGgonIwXAgMccG55bf+86L + 3xhkYBBTgyGoABhFi0zN+oZxu9sRiCpqSc9NI/ztbXNYocZ3wNd17u2jBtmQzDkDSCmN43isd3iN + /oetra1z586pau3T8HAT5yqv8X1dmcAiRGEFIBA37ZaUYmGBZikmo6TcwHSFMYQ3K4r3OpgS9ngk + XnsdBC3CmodqZkULFWQxMU1QgQBQoICGaBYhtBC1CUOLQRJmO/OTt526/Zbts4/d+/htW7efXJwK + JdkY427byiwx5cGAGputhlFZW4mmEHmL8kp/MGXu9SDHvcwVpEAsRhQFTKwUAIYBNKtzUgGDyuWR + wm8iM8QoCs2GAEiMCNLr0OuYREVUcBgIShNAjLh2ydY0ERMoLNQAPTXCqEXKiFGDjiVDYoyiKEoE + UtWukgB7nSgxCsTMWMQUhmIYAVEwtJQYmqSiRRRgDSNXu9Z5qxkAIIAQAmPSVEy2Qli+vLtIJ378 + wZ9+/7s++I2/ePpPvvzHX3n+i2VHx26pamMp2oNEkiAitOkArC4AZmZSk0DXC4OhJoSSIFnDsI+c + kapRvlKoZX0cdzQ0NKtCIAIJBsDMzNQMy0EFSIGRUUTMyoCcDSUPjGglNmM7X528a/Hgx97z8Q+/ + 72O6kiRzIo6DsogwxpDExMr6Q99WtFgpRUm0wWBBV0jh5Dyd+vi77vnQg5/56ne/9Ltf+hffeulP + +3gh68X9nEuDIrCAmhuO2jeEacYEQmQKus6aTREIUVwSa33lVNjhXBUZ1GAws5pLPqVH2xSADAJC + isFMi9Fis68DxZoEZNg+ZtadSWcX/a0/9cmf+9CP/MRMd2ywbmxBDLkXad9x3VLUzRxex4rLbLYo + WUG2caZ5hJT33fvYu+67/yt//qU/+uoffO07Xxyb/faUaMNejC1yAUVMJQ+FozaiKbKLVNVaNzLI + lJE7ZqgiBByuvyabeS6WuV6J1hsuVULs6C02t6NqXdhqcrASpW4oIkygZkiAwgKKKhVzjae72Xgx + LcIdP/ahn3nyPZ8405wNy6aVyAItxWAUgiYm5NtqSbCaGl4LaoowSNYsalJEl9QQJULjYX73TYeK + Weg622qlEwBW1Aw0kkpRiBzJ+X4DmdYA5MiyCgCsveFqKKoKoSCUkBmpZoCZ4m1wYuGS7bPBiAAW + MzErBhpqg0MVKQlr9LVRVVWnmSyYcoUFgAkZYgyNtrLbtGWx1Z2645Z7H7znvffd8e4zi7MN5jKk + hSzSORmXQ6faNRm62/d97Ob2Tts+34AOD3lercEzPZ+1tLNZyUaEEMLBwSo23dCPzzzz7Gc/+9ln + nv2umYmIqjJISmlY9ceeFjMR2YwTAtD3fc5ZVZumqb11pRQR8ZFD7nXajDMrpdTQO1X1bl/nnHPO + OeeuqVJKzZYWkb7vAWyuLalDPeohnqqKyHEzrQHUNzGznHMd/1Hjq2usdQ2xVtWaou2Z1s4555xz + zjnnnHPO3VBIdF0zjiUJMJb9rlueXy2srIeZUutlA+sBpMf/BLviggoBig2IgAgAUR1b2ikQeRos + fcmAsKuOSfGBKs4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc85NLqlhazx88rKrcMxsb29v + Z/vkOI4xxqe++MXPfvazy+UYJGS9epHnWq1ocz+EUIOpUkqllGEYSI7jGEKoYXtv8jdzzjnnnHvH + U80hBFMTCVaKoZw+cTu/G4ERRxKU141A0MQwPvP9r41P7Ob+RGKnMBMTAwxKAFkMNVjEvPn2JtP1 + hfkwYJQsMBoBjBzZCiVaxFef/eKF/ELakYNsWGdCcYodAQAxCQgnt08GBMslhAARrcli74wm9zsh + wNu5t42cc4yx5k/XfJwY43EzrfHq/Q8kz58/b2Y106R+XK2i/OZ+EeduOl7m+/oSIIiJHia8rvto + VSkQBNEws/m8LLKgRck6GgtNjHoz3ppRqWI1xVpQA6imyFuShJoqah18QVguB4oIApUoGiw2aBo0 + Z7ZuPbN129233nPXrffdeuL2ndmpWZw1OmtWs1Q627c8lCRNE2bIGIYhhcaoBlPWNOEal3hj5dga + LSSUaCQFiX0MMNFAREELqFkAR1BhQos0mtm1/L2gPSkGLAGItiwxogkhAjAqDAABASiYwhGv9cGh + 2HTMAwBUowBIbRNWgWPkmKJE5CCl0KyL4bov85vbIirMtNjojFBoLjDBjJZWF1ddL6IRSjNTLRAJ + IWi+lsczVHDKc6ZBTGombiyihadmt2YZlsvdNs5vuffsY2effKF/9p9/9de/+tyXXnzlxcWiS106 + 6Pfy2KdZ2+eDKf7TYGaEobCuYlPcdc2xrjeHdeFqKTpVoi4/xiuikesDAesHFJhN/wFIhiQUxDJa + P/ZmCA13mqYMiCWdkFt+5Ox7nnzwJ99394dO2C39bh6oEDEjkAIlMFJZtAjCtC2grvtHrix4d/MJ + IYw5WylNjFGilqCjlF4i2+1u67Hbtx+44z3f3//GHz79W09/+w9nuHhh2EcyESg1l9EMEoBQC/yB + hBDQKazcDFh3QBy9nQKQeRgov+mnUF230gkx2BShakHE1l0ealoMBBQsGW1YtCIho+zpFrbec+ej + j977oQ8++GPtsBP3FsGaJKHvR7Bsb+0My2PHat68lFOH0bT6GKRuliFUIyhGy5QSQkghLmZx8eT9 + pz/wwJPf/v7Tv/env/X081/MMaAd9volGxoNYrOmJZQll6xqVt+//uildiIRlPWGH4AJKAahTQHb + h7/1pWvPlI975PZQXa4IEnU7ISKrpULRNSEy5lUx5Rw7coEn2rMffuITH37fJ0/GO7maheUiZIGa + lQyoiAjFTMxqhqXeWPv4YxLoejbWXxhGKISwkrOyzBYd0mLOLRuXqU1LPVDcrO1DGvM+Q99xZCBM + S86jRIhEgxhEIXa4fX6jc7S2WKbWCwCIoQmdlDAsGVMnqmW0YRhDCK0IcP3nzBu7BZQM007W6i0A + wJBzjgApkSCFBEVoGA/GgECT2q4kJEqKIZRMKkwZJc3b+cmTJ8+cuuXk7NTDt73n9hN3n9w6U4Zo + fdNy0XBLcgpk3s+mZRFmISotk6VbzJcZ5abfqb69XbJypdjmseSch2Fo21ndeX/jG9/4G3/js88/ + /+J6BdLaczf0b2Tn23XdarUimVIahmEYhppJBmAcx3q/dt5tHjr32mpnQl1cx3GsEemb9PQr+Xkj + 55xzzjnnfnj1wA1AHYU2m81qdnUppf61XhlSXxBCqM8fy2U51nUoCYBhGJqmqS+oLf86+ONN+27O + Oeecc84555xzzrkfmhliCugLTp262HZsVXIxU0wjDDfDTM2OPzDMiMNRqoASwSAGLehaaA+Bpmae + 9/a2uy0MGaoFEAg2g4N5eFsv4Xg7jBt2zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7jqQ + rcXOMGRVe+657/3yL//Hff8DgqZqcBTJnHMNlIox1vtHS4bWGkT1ldf2GzjnnHPu7csbElelqiKw + AolUFgC3nL6NJYqNNAhAQ82/mdKUzRjLd15+eoXzM5t3aXsc+8jImqrCbETAwCniur6He/NQYVID + gYxQaFOCEQMLGxlYlrr6ynf+WLZGFZSiuFosK02YeWbnFpkurF//sm/rdWRKTFvfX5c1dc7d6Gqd + 4ZppvVqt2rbNOb+B93m1/gdVLaXUT+n7vm1bAJ5p7Rw81vqGYDX3FUoVTLmqhKQYFWZLtMNW3Fsg + QqgxFJVyQ4TmvYGQZBhAM+X6fhVAVYhIjbU2Y421jtI+fOKuWbO9PT+xs3Xq1PbpU1u3nNg6s5W2 + 5mkrZAkao4Wgwn1CiSJlVawJSZoUUIrmoiRjbKYMZiqtTDnMOqWB3jgIWCl5OXKIWzyVx6IosCBI + GA1QkwwWpcJENAEC5mv4q0GAGJIgDKUUGcJMdzouOEZYxJRE/NbPpnrIerR6GIdl1lXYDqdDiXkl + qpqyBUEexhthya+3RSxRxULKnZhCQhEtaIE4s51T6fa2D5FIDDA1mJYsU2r4taOHAZ9TXDoAsSxZ + TcGIRdsgqMxwcs4Tv/z4I/0Te9947uu/+9S/+rPvPz1rwzBb7R6ciwtmlvoeYqAigLRQimzy6pVq + VowGAwmV9QTUI15TI2yddH34a9ccXEzV56gQgoIQQsMoB9TBBmYE6boFNbGPcjE9fObhD77nI4/f + /6FT7WkOMezFXgajzNJWMaJo7cYwEEYoIZcvyQriGs/6a08wsuFcogJq2UDGKClEBZZjP4uzRsLW + 1vyhn3jPuSf+yle/+6d/8O3feeHguXMXzvVluWjnoQu59H0/hCSj9WpQQupPGikSdVSYKHEYCg4A + aiKAgtP8s5qIDJhOXREBhEFUzEzUGAMARSlmKlQBA6LFeZnjgFy2d27f8+SjP/6RRz566+I23Ycc + sAmdigJDaBaztBiXeXmxhBhv6hjjH4YRalNeeM4lhkYkspAhRrbjuDrY3Z91C9H07lMfvv9TT/zF + +Wf+9Ft//JVnn3rh4NlBd1fYNRmQSgHGjCCYdV0pIwCqZVNVGBACJUjWAmzCrVVr9DU01/jzaYIO + p41X6ZERQGMbi6mZaTGzutZJDdbdgsTQhjGMB0Mqcub0LfeeeuhjD33qodvfu9WeLEuLYxdyZB5n + TWumCCYWECIsmppZIAmON/nyUCdeDm/r9lAE1KxahjwMeW4nVnt7OljXxnLztg8tdrJ1pruz9KSZ + BBOApBYLECPXTQ4lICa8ZBF7fXOTl0eqB0PQOO6V7XAqlpjQDLkgyqwgWNC8Aq7/nPlh2tuX3k4W + Nf9JaSgwqbeitq2plaZrulladM18PtvZ6k60aX76xNnt+c6pndtOLE53zUKYaEJlyKKj2SuchxjZ + oKiuxlJ6kdSGECI1l6EvoIqJspjHEt9wrrZ5rPtxk2EY5vOtGMs4jgppuvlv/MY/+5Vf+ZVxnNai + rutWq6FputVq1TTNMKyO+/E1k2wcx729vZRSKUVVSa5Wq67r6gtijJuUMudej7rYhBBqWt4m5do5 + 55xzzjl37YzjmNZH/bu7u7VNXlOuqxjjOI4k30CmdR3kUT+lPpNSqsPdzKwO/qhPmplnWjvnnHPO + Oeecc845d2MxAMimqUlou5eaRpt+dXFsBcrpImRCAagoTOR4g/1s+sdQWjjyPGeRq6V2CTZqHvZO + LU4tV/2vf+bf+rk/+cLhEOVp1PTmX20+WjzZ2jnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz + zjkAr13c29ZlbDfP5Kyl2Mvnzv+1/+CXDg5Wqkgxjfm16g7VCkJt2/Z9j5o2t86ZU1VVrWlSXlzI + Oeeccz888g0EXLzN8UiQDMVIntq+jSXScNgUpCpgBIBAMwy7+r3vnPvqme27bAhgyKVEBACZACyY + AigMChGf328eAdQAoCbWGUETADSEFPfygbX5uxeeffb8t5bhwmrU2IYMA1QhwhrABRrEyBJPb58h + w6a2J6Ck2Nv9OnuSIGHw8ALnbi41doSkql5W9PhYb4Ir+h+O3qmZ2SRDCK/2Js69c3hP3A2ANc5R + jUFZu2LNrOReTbg9O/2JD/zsLj4sbRpLNis39aFO7QIGQEPNrhYJJJOEGGNKbdu2bWraSmZ6kFLp + yCAazIJYEsRkTbk4CkK0QCXUCIhICIHbYSh5KEVEpAlUM1OrNe5FBVpb1wRgDDYd/NwgxNjYLFIe + OftY+khzIHsWDSWasWEQqMoIqBEKgbViAg7XcnmglqjMFgezEhnTuLi1uafjImigSU0Kry+t1cGM + 1zJD9Mo3NwGEKrM4v+vE3Z958hfLfMigqjUjk4QieuOsL3XtpoWUW8LAZQk5s4Ex5pJW8zPN7Um7 + QALBoFn1Wgcr1+psNXG4hsoaSMP29vbu/kVTzmZzkvu7B2bYmZ3W/TyT7Q+cvfX9937opfGFr7/w + 9Je+88ffevHrL1x4voTeQglRRISAqZZSKAKDwUxABghhpUDJw1Wv3qkpnFpv11+7dmLQUAqSSGAE + gQJVjKpWdKbdjG0bQl6Z9N1dt973+GMfeviu997e3TnX7VmZcxmgZJCahpr7WnFOpncmBUSgmV1l + 6brJ0WBmIhBGVVVkNcu55LGPMQaqZdrIaJ30W3eE06fuvfvRhz7w8up7zzz/zLe+/fU/f+7Pz734 + ckyz+QyljL32hT0CDXkso6oRY5K0zpoVQGECqvEwhXez7tU7RqWwRgxSzczEhGBeZWFKMkuMOkKN + JBtr717c9f7Hn3j/Qx++fX530y/S2MVzjShCEJpl5GK6Wq1MhWxiStDxuszq60IMyqlfp/4AdVVS + Q2wbHZQWkqSSx341xhTPbN22t1rOm7kCw9C/e+fRB3/04Z964tMvHTz31J/9m++8+PW/eOmb/XIp + s6ChDCXn3VFBhsCARFpQ1WzFsk7rL49s8Kf/8XDCNlsuHtnP0rDZphllNWQAASGIBKZAijbRooyB + Y5QSd5oT995138MPPHLv3fef6e7olreF/VkagoB51TcJbZv65UETUo1KV6VqAUiaiKndzCs11zuv + uk6ZYB3M3Oc+pZQkmOZFWvylH/v5vtktoS+Wb5z93XEpWUps+0XDBmpgiQEjqWYKEiIwpRDFAFCP + jlc4xqcAod7UPlONsaRbZ7f/7JM/b/N8UPZHaExJJJVSAkxu5v7E2gt82XMApLa8Q0gpNU2TUoox + JsRTs5PRRBgFSYy0GKwRa8toEZ0gSknYC2YUCBkMQtKslGFUy6QFgUQCWS3nolTEpomxUdVxHK9t + a8a9KY40hFJqd3d3AVksFnsHB7/2a7/2t/7Wfx0CRCAiY9bVahVjs1qtUkrDMLyBT6uxZJtOuk3f + 3Gw2A7C3t7dYLHD1Jdm5q1PVGGPf923bAiil1OXKlyLnnHPOOeeuqaNN7nr6r7bGa+Z00zTDMNR0 + 6totfKw3r/8qxlhKqaNGar51DbcWkTooJOfsl50455xzzjnnnHPOOXdDMiEQA9rZL/3Gb3758Sdu + nze22gw1YU2zLgSkqPFYVyYfGR24HgBsJMRy6RroAAVSOzvYv7CIabsfoIWb4ckGENN1u+sc65t4 + rJhzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz15mIxAvnz//1v/6fPP/8C2YIEsZc2qZd + Df1V/0EtSVRLiQKIMdY061rCqMI6espjpZxzzjn3xpDEzRw5cQ1RRUgSFNUp4nqnO93Jdm97mxDl + o/OO5FBW3Uy+/OdfePwDn+xLjimVYdzkTxFKKABFUgqgnmz9JgoqRijURKfAOKhRi44QxSw/9aUv + 7I4XBlEkKJXrJV/XmdY0EZOFbJ1cnK65UGYKQKQmj1y/73YtXVYK1cw81tq5m4WqikhKCUCtPJxz + 3oSfvn6v1v9Qn6xFj2t4EwAz83wT57zS9/VVqyopMRoBgZnUZnmTUj+ojZa6+WPv+tGcBklxuT9s + yYxvKMzvBjGuC0mJgZMArI9IJoIe6BFUmtIEFTMzHrbtaEMjEgWEmagqVNXI0frh/2fv3n4kyfL7 + sH9/v3MiIrOqunuuuzPL3Z29kV6vKRA2CJqwBAOWTBgyIMOAXyQCJEzDFmGSFm2TAmX/B6Jp+0EP + 9ItMGIYfbAomIBAUSVEm1yQlkNyFKd7AFXaXu8vd2blzeqqrMiPinN/XD7+IyKy+zXRt13RV9++D + RE5OVnZe4nIi4sSJ37cWE2ir034hQVCIlJNQOAflKqcO6EsUegyk2spGsW0/1v4b3/aJT44yohGp + Dc2SAVIElWImIBJsBQhkPFOb62HLuR3KxvIAGVNqxJp6knGSFG2ao0o92fRiA613zGSei4AAYikB + HOWp5rm//Km/ihWgKtSmV6XU5aWXA8WEOVkjBHVTtRZVE3QJstGD8sE8rBSlYhSBqsHqhX4foS4p + syYG8fWhvnnrjW69alMzjL1Vy+skkocypFUCEwbIIM+njzz3wRe/+wP/zoDTV9755jfe/MoXvv4n + X3n1i29t3xzTNreSVjQdRxvNCgGBQHU5QOO84M4zSCEmOJOGK1RQlZqgybIi0RQmCs2SU5Oasbmx + fu6l5z/x6W/7zCc/8OlnDz6YxrZu7WBcj2PdWkmacm5Qs1hKIkAVoYgkAUlYNasQE5HdeuQN7NXf + P6SWpkmlbPtCMGvKkpMIGhmqDd0qDcMghUfNtaFnGfWZ68880z/7gn30Oz7wXeVDZYuTb7z1tT/5 + 6h/92atf/vpbXxGc9HrKrqJpIUNNVRVSDbDEsy3AUuoPd5mMohQBDaZQQKVmaVdpLUMjp6umHj1z + 9MJLH/rkt7/0r3/4uQ+/ePCibKk1t6drZWIRtZS1GYcxpdzklUqtLKlVk9r3m0ayXuXt44PyAGln + c2FFFRvrqElRaymSU25XTa3l5GafDg/GcUsbs1o2aMkre+7ZdOOT3/npIZW3N29+5fUv/emf/+FX + X//i25vXt3LKlqXWWithklLWVFHFKpS21+DrMpfnHHrdNdJnoqx177ERXdvWSq0qJWvRVNpcVp2t + Xzj60Hd87F/7zEvf+cHrHzqUo07W3dDJthMeAnncnEDGa6u21nE43bZNVwsFalNnECVV0S1EYHK+ + 8ONLZ2moCcByzllRSIwUpu/61L9pnYkwl0bsqp5cr2pjV22Lrq4UNNa5+VDCu0cnMudSP5BpZ09I + MYMqvM81Yeyebp777k/+5e4ojVaMUM05rbbbvkG+XDsQD+guGzEq5nwp3xLvdZhqPfXdclWIr8Ji + IkQrIoSQsFE5AlAImbajtusDTcrRjKVpG6CMdRARM9MmJ+36ypN+k1Lbrtc29Be6vxrexf0bwzv2 + 4bdDObr+1DCM/Vh+9mf/l3/wD34WQNO0m+1QzQA0TVNKxTyy50Gpas751q1bmLsCx3FsmqaUklIS + kaOjIwCeTxzhZOE9UlUz67puCc/z5+PkUAghhBBCCBfHD9n88A173Q6llO12++yzz242m29lKEZK + qdbqUdYiklIqpfjnAjAzERmGYf/LfOs/KoQQQgghhBBCCCGE8LCUYcxdhiao4uAo33jq7a+/fC1B + iSoCH1K4GxPOc4zcVRJ7AykBVENmEq1iQB3XIp3qUakoJaFyNxIPJgZYmsY0qgBccq9DCCGEEEII + IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCeLLNJc/9f3bVa2+LhcNcHf34+NaP/Fd/50/+5AugAKzG + Jjf9bZnW3H9IrynkgVIA3n77bRFRVU+2JrndbtfrNYDT09ODg4ML+JUhhBBCeCJ4UUQRuUT5ZJcD + yZRyrRXKBDlsn7qxfu64viqcYmi4dy+eHJf7L3ztj//iL731XL6ebJUkE2JS5pwaoygF81XbkU/x + cAhVaQZQKyDJshBVDSCr5Q4vb7/xL7/8eyUVzUidbkYTAYixNv98AAAgAElEQVR5Xhg8+sXyjdUz + 19fPyqmowgwkJUk1kwdPgbkqPL5wqWYQyQUhXBWeP6KqJycnh4eHAPq+P0fsNHn3/geSHpSzNAuR + aR2Cixrfj5oYpEJMQBPVOey1lKJIqVlZX7p8w4aTbrXOhrZktataMomCFpC59d2F6lGnoziAgIoA + oImQmQqjACJAoijJSjERqbVWFkAkqaZMstJUVRJBMTMAqUkAzMyMAgKqAqGHXvu3uFzHMF237vs+ + jUjaovbcatZOCGEVFEUFzETJpqIDIBcZa60ELDU8yKuyrdtax7ZdAR0kqyUQvrjafAx50TidnDAA + AlMkzJmprar1+Zo+298aRKSVrhkzTIqaXaZtvRJCTdYARu2mWGstLMMqr21rUJhYtTqCAEU8CPbC + vo8lCA3wwyeKQcyg3VE7lN6GklKSJAVFjMgy1gJAkBs0qSapBlwzGW8cPv/xa9/xPZ/6K1vd3Bzf + fPmtr3/1lT979ebL33j9q731Q+nNTJKKsIJmRZLa2Q4IP45VUjlVhRMmpQoVlMyGlrRq06yvHV57 + /rkXX/jAi09ff/oTH/7UGocHuLYuh+121Ry32ToRKZvSTu8ltQiNSbKKJhrNiFoAoUFMVERAXq52 + 4GHpbWvK1HY5d1allEKaJir09Pg059ymdhzHlNZtWvXHYyuplaMs65pLlw8Pn7nx0vPfPqTtNp28 + evPlP3/ta19/42uvvfXKm++8eTrcohRI9fVxyrQWTsHz3mrPdtHLZlkgIjCiapK8SqtO1h9+/uMv + PPVtL33wOz70zEtPdc9lrpM1uXQHt7rMprKUoVCQU4Jqpa1Wh9vtlqTmXGopNqZGU5JL1pxfNAVU + lxBxmreGJpZyw0IqVbKxltEU0nXdZhhTzqlRNUMpMK7QimgtyRq51n7wAx/+6L/1ye8dm5Obmzde + u/XNP3vlK2++8+arr7/y9s03ttseKJLNpBoqpFIMMBODTEdXJnM3E8/kWM/ZwHMZyvnLY5NgqdHV + 00dPv/Diix95/mMvfeCjz1974UZ+Jg1NW7oVDzpbS0lShJagalI6TRBa34uybVfFhNKIJgUMBlTR + QgzjWHI6fN9mxvuAEAiNmmDjOEqWdW43xVZHz5z2W6G01umVjbUuqVrZHuSubmunmTDz+akA4EHU + 8Id7oekPxBslEygMTEIoVWsDydeZ6rFlUUDLiNXqMI+jPniN1Esl3f37T+vm7SM/oEipgiTFKAqh + TRPMe/7JJOLDR2jFiFXXjuO2sDZNQmqGcSRrSgkiqkpKrQZpctvQMAyjXvD+THi4cs6np5tSyk/9 + 1E/94i/+sipEZLsdcs6eHDaOI6CeYn6O9zezzWajqsMwePawd9V5/NjSc9e27fJkCO+dqqrqdrtd + rVZ3jnULIYQQQgghPEQ551qrZ1r7OA9/nuR6vb5582bTNCLix5Ln2D+vtfoIEn9Pf9A0zTiOy8Un + Xdc1TXN6ehrHjyGEEEIIIYQQQgghXCIEKpq2rXWkIB9cw6b/xnbz0Wtrnm6xGwN2/jFa3h3poyaV + CggFgOTUsBYSqVUbi6rKsF3VNcqIWiQ1e/2Uxumqjat6XUYIIYQQQgghhBBCCCGEEEIIIYQQQggh + hBBCCCGEEEII77+7VBOi/viP/ze/+zufI/2SIW2bdhgHgfDe6ZFm5hWKRGQcx5Sm8topJS9au16v + vb5oZFqHEEII4VsknvEb9piZCLOuzMakUM3rdP1G9+zLt7IAIIRqMicRCGhIDfpaX7/16munrzz3 + 1EeHm/1BOjT2BBL9mm0FFVSTuIT7IRPmRKs6EipUAISa1qRyXG/+q9f+6JXjr+v1hrIpnmkNYC9O + TuBxaemofeooXfccKQ9w9dAukasadvNASEZyQQhXiPcbHB4ellJSSqvV6nyr8F37H0TEH3iBZQAp + pUi2DgGXMNba654v66c3BI9xHBGnMFfYkrdHeMKrSCYpTGlcHWrmFr4HZ3qFozuFAKeDNW+AZfcs + fDpMAalQCEYhpx1XmzJLhdM/nUrkK6FGgCkhSTEtMLEpZdOMguT5xwA8iJla/THsUi1VVcsJjrGy + qkaQSQEtqAoVMQEJA2AAxSoMU/zvhS0Plru6SgQ3w4FkS9VGATNx92MJexSZhfSTEtVaaeqQVtIJ + kUxzbSgo2puW9/s73YN4pjU9UJkgxPO5CUlSbUitVprJWJtSUwUk1xa8sCM3j56d1iej+KIFSh2s + QqEKonLKAfXWylclBVBBnaZ+ozV31rT18EDr0/LiR5/59L/9LKuWMfebenprc3J8fPPtd/7i+Pjm + yfZ4GLbvnLwz1rEv/Vj6UkczMxImq9yp5Kwp57xq1ofro2uH17v24Nrq+rWjp55/+rmjw6ea1IGq + VIXqphHz9OucTCi5KoRMSDDAYCJVQFWTYrCMKjCZmhjzZmfZttB3CqcW2F3hxtaAkkCIsVjZCDWJ + JgCmQk2aYDCCiiLbEVtZYzAFDGImIBNq21rKtTtIN66vn//Ux/4SP0ERDOP21snJreHmy++8fKt/ + 5+Tk5OT0uO/77bDdbk+HMtZafZNNkZRSzjnnnFK6cXSjze3R6vD64dPPHn3gmaNnbhw8c9AcKFuP + MBcC/fT9czUxoXk4Ya5iBYRUiG7rVrMKRQo7tIBhmBrGRzjB33+ynwkvAIVCQIr1Ioqk4CBQJAFR + WBo1GLQCEGEHAFQ/y6sDsorKoZWV6bVDff6Fw2//zLd/DzNVUW082dy6efOtt95542RzfPPkL8Zx + u+lPTze3Trcnfb8ZahlRt1bMO2EMniuTREVS42v06mC9Xh+s1oeHhwcHR4f56MNPf/yp1bNHR0dN + 0wlFTIQqVbVXtZyYjLJFUa3SqHIUmoAUb/dbA6wqRSFivsJLASpRAOTcPI7dwnNnd1IxM7KVrp6i + k/WU+Xx19w8pDQ9lQFaYFQpJiqoSEJuD0v3ecI+dkPtSoXF34E8KxBSSMVpGlwSAiuUOCacKTSWN + vDT7D+dwj8Om+Vm5/WkS4ltBERAUnXbMp/qvVpcXa6s045CUgNAKoA0aoKNNm03bbUYpZyLtw+WS + cz4+Pj46OgKw2Ww8YVo1m8k77xz/yI/8yOc///mUpFZCQMBzyO6Vj37/Dyql7EeR+fP+iW7pm2ua + Zv9/Q3jvlgg9AKvVCg+ylIYQQngoUkp+Wk5E/CwdSc8c9ReUUpqmqbUug4ZDCCFcdUuTvuyQ+4Zg + +ZNHUy8bBR/CtVqtttvt/pvUWpeDx/1hXn4guf94HEcAJH37QnIYBs+09iEmfd970vY4jn6MCcAv + TcF89nkYBo/cvshpE0IIIYQQQgghhBCuKu+t8p4oHwi3/wwAM4uTHe8uAV5BhnULrLrVO21zPJbr + qrnYEko9DUM+V1+d7A2GIgiICdTMFFSYGRJIU0WuI4YthhHNegA6v2QDJuAyGm2+OMH8iunoPQzh + SvBu/+V+aZ+Xa9B8THvO2cz2BxeFEEIIIYQQQgghhBBCCCGE985Ptfg1g17c06/juJe2bUspZkbS + T9/EefYQQgghhBBCCCGEEEK4wu6o+j5VmZOUUrp16/TGjRunp6f//X/39z772d8EdKlDPIwDAWIZ + +U+STdOM4zhVu90LozEz71v2akXYK1rrhYNCCCGEEM6HpADzWW/q/EyABwGpjsOYc662Fcu2kU98 + 27d/8Qu/V6Cng7WtqGipJh5GJlCBFVjGv/ijz378r35m3TxFoqqAliuFAlGqAqoxmR8uqiKZUUwo + ZB279eHN0+3qqNnU49qefPZzv5IPeTyM0rZNNwwbpIScUxkJWMooAxpRRfORD3zMNrJuD8Z3hpxa + wkSEKo9jvg+wjHuZ4smomoZhELlXnksI4XJZBqotD7z6vQ9Rw1zT+F3fZI7agQ9p89LHvh3055fh + bVGsOARcwljrJ4wCCqp4kB/U8yXnv3o6nCYKaqbAxChGQKgUu3L3wHSv1CmncJdWOE+RXZEpI0C1 + XVLpXq6h3Ll7JyaGbKoUE6Un6Yn5dFte5QG9d/nnl4CJUabtnBAqwJR3boAvHAp48OxevPeFLQ8e + W0wqqIpMgwqqwMDlayigVMP7eXyhymqiHtpIIaXORc2SEDrt++8vLZdi+feljmIQBUAB5ieXsmgm + hbK3zF+wOXjSCJ2SKM/yJSyZT0ZR6tJAmZgJPFEXTMKUCIEfzQJA1WJNvab1+VztGcOzZiiAUQwZ + lSPJiiri+ccAtEltQoLRjGampoqUJKOKalYkKcoeZhCqQDM7/7eG6eSWASqwuSVRkgJDBerUok7T + dmloDMDZVkinZ8SmX3d1WSNCJQAqTGDCLFRQIIk+t1CqVIgRqLpcASKgptoCgBhGNHN5ryRK8mm1 + sho++cx39tLXWiEmqlNS9nwisM7pIzJRKRBmrVBrE3NCky2nbYM6ZfJCDKjTPZKJQc3EpgZnWoP8 + pUggIEIRSwBUWPQJSrYWLptLnZbUvWWbYoAZAFElqkCo6g0O5/YHu1qQJibUBKSSAV+trEihFhEC + PNRnPnDjQ3ajmlZVGArEQ4KNKiJSxbYcPNbaPyKJiohIUk4HXaRv2KGqHQ7spq5krUNivwuqmZaf + ZZX0OSpGYWIBAColGZRQTzyGLms3lQB9z1avdmFJqs2Nj3ihzHk7R/FGDgoYJVENWQSQchm2dOe7 + VyDXRkHQCG/M1eef7JKtK/Z2kR/cssE13xX05V+o05+o3vRhLkt6pfe3p1bh9r+eKQW793oIzzae + XO6wPxxkmoKCRJ8dS01ZtWkKy242TVOc8xFNuHSGYbhx48atW7eOjo4ODg7GcWzbtu/HP//GKz/4 + g//p17/+MgCAKUmp7Lqu7/tzfIqqerxl0zR930fMcAghhPBY8hhRT632McFt2w7DAMBDrM2s1to0 + zZIqGkII4bHnYT/+2Nt/H7EhItvtdr1ebzab/ehrT602M7/yZH/Mx3vkIdZ+gUrOuWkaM/MqSL71 + 8aDrYRiWS1ZCCCGEEEIIIYQQQtjnVyR6gvUSaF1r9VETOedaq/c+LeMkw72M223TdQBqoTVAbv7j + 3/iNP/ne711pWmEZdS6gQqrcNj7pPdi7EMCHJ6n54FIBoaCZEJhGoTVW/9d//6/9Z7/zOWTLjdYN + UgcBhtLnfPDgHx5CuCw8/8CzE1JKKSU/C1Br9UbbT0y8a7JCCCGEEEIIIYQQQgghhBBCuA9V7fu+ + 6zoz86IEfnbGrwS50zAMfsHIfqZ1XM0RQgghhBBCCCGEEEIIjw2vMXu6HYahXL9+/fRk+zM/8z/9 + wi/843u9nuTh4eHJyfHyjGdae4khkl4myK/qWgKlQgghhBDCReNMREWEleu8/rZnPsJRpJVORWjF + YOJZA2aGrCiGw3X7B1/7/76v//oL2rVcVQ4CCiVBq9AguNpRMZdULda0rVlJSbqj9TvHx4RWNVkP + n/vj337r1jf61SY13cBTLVh1GL2cpwqQyJoSYNCiLz790dbWtdqUa16LUlUV7xIL+/iIsIwQrjqS + fd+v1+thGGqtIuJdCvd6vcflYI7OwTyk7f37xiFcNVGe4xEzJKUoYVIhyWP0BAaQWgxQqpqHGpoA + IAido+mu3r2JAtM99u8BnK0LRQBSIKNIBQXIAoJJp/i9OW5WDCgAIaaiygZIHtMHQqc0yCWId37z + OSv6UplyCIkpF5CZAoNCTIlEUapSTcREIaAgedGti5pfNuReAUEBDGBV2JQuqSQSp8JiSq3is+8C + p6oAPvermJIe+G2pAFBUgxAtYJBRgJIgFKHlennWF6uCKvMBtGQCoK/yzZLGKrTEBksW5EWaQ211 + DsRV4S7bUtnMpeL2Ij89RlqoQFUDjOIl4UCqUJOnJgOpqpWNJAo0qYhkaOu/yYYyrYwiql5LTkmO + pZhIUk2AkmLVl7RGEytoQlCRsiYxCsVjbucIeJ2zkaFiVZYKdUjTlNybmmfDj7nXpTF9sat/FKnM + uSYACgqKTrO1UDKQPI1UYQZNNPMMVOIuobFM3jLLfHStIp02SIfb7dDgEIAIqQIxwEhS5oKDAIDq + /4raygomS+cUYMZK1qwqZDIRQKeI9KYoThtWHRMtGYSafPGbtoWoAgqTAfC2yBT2xHR0uLsvpp59 + PP/fdA2QQlEbz2unmEmlmE3R1MBc5HHJghZYI8mYSMMUedskpRmsVBXINJe1ggAScG3Krp5ibpOI + B1R7BU8BaOKXJIlIQlrljhU2Fn/SK8eZGXW3Qu7vFcwhz0Koh3lDK2XaAQA8CroBs7LxbcEl3NA/ + CN19fykyxy3Pz/hqBYMmJk5blnIJtnTnvK9QgUFsbm+gpE0FTM1EAVWec1SBTyhMS5T51mWektN/ + 5+3g6NsUJfQS7T882D0EoEKgVNu/X3Y1px3O6XlIEVRgaRDm3eZlaZP9/4UCRJqe8c868/d5oi7r + 7zRbw6XjF382TbfdDimlWjkM5fd//w9++L/8sbdvHrdd551xm80GwF6m9QM0rUuepb/DOI4eIfaQ + f0kIIYQQHjUPb1hO3Xm0g//J9wc8oxTAarWKZOsQQnjsLYk+yxANL10kIl60qGkaP9j0JAnvFfTX + e4Uj316c43P9TUopnlThAHj5JN8YeRWkWmuMIAkhhBBCCCGEEEIId0VSVc3Me5yW3qpSStM0Oeeo + tf3uBI2fDzKumvYYAA3d+o22+8CmAKNM40/mPrrzji7ycU1CHxWs+4OB/a9GJKA1e7Eatj1WtULb + FnWwtJIudz5WynaD88xHJyriYukQrgA/KTCO43q99mf8DHXbtsuJac+0NrOobBVCCCGEEEIIIYQQ + QgghhHBuXdf5WZgl07rWe9b48RiS/TPvtdY4zx5CCCGEEEIIIYQQQghXlFABcK+kfzEbt1vV3HXd + th//4c/93D/8uf/N6BfjGO8oca+Kk5Nj71sex7Ft21orSY+S8p5kEen7PirWhhBCCOHieF7K9CCC + EwB4HgdBQqiqqZSa0/rDz7/U1lVftG0xWq0VkkVEAUsJxdCuuu043Bpe+/wX/8Xf+MzHhpun1JoN + iimOip5vhfMmi4R7SE2qtSY0ZmU7nGqHdT54Z3j9uH31d7/4WwNuESPTilXqiLaDEGYGzTAjkQQw + yWxe+uAnGqzqaI02qsoiJEWflGvrI9M6hMcAyZSS1xPwkvjjOIrIvVZwL3cMoO97745omibqD4Rw + HxFr/WgpppzCqkSVOVWUHsdISjHk5J2wUgEoxUQVZsCVvOeSPHvb/d3NAc+q0wMIPFZ5ybS2OdLS + TDxnF5grVRn282uvypbAFDpldAIQw9QdD6VHO4OAitlUiuui5lcFSioQZoNyCkA1USpBS6YgTJDM + YxTB9+EogwqPLN8llBvAKgNFYdkE0KI0EwhTMsgjX+b37j0zklLmpVFlzgUXLgXVkiGl6nPXLjiW + 1bDEzM+W5HgFxNSDrJfIyjkx10ymTGsTAFzSZyle1E0FWOUjYgRQWaXSxI/QmFMHwOaabwaSQjLr + GoCYzKstFSKJZRj9lVmy79KRNKuqBMUEoAr9u8LEDKYg58DNJQl11yDs9WDMy5LMH2iAzl/gCmfi + CtGYAhAY5/3gae7sJgWnV1JNUPdr/AGAN7wKo6o/hl8xUmhQUWnSPA8NJCupKuLpsCLJw0sa8YRa + lTEBKkImUqoIoSYCK9W/G6igElohhgqMgBEw0UTx72PQxOUsppmoilV62vr7NG0vhbPR7L7CGozC + uUTjFDdL8VdbEvMG07RQzIScsmaXAoxejXHejFaIJJUMGIgKslaATeoEwmkl0kxWUIzenC0Lz/Ld + SCZMC8NyklgoVo30HqqMpCoegO2p6N402TJPlaDMKynUl7FpMdit1AJmIIEZMEi90quwxxIDpjDj + vIJ4vvxeGU1fnZco+cuwpTvPPTXBfKs3BS0Dc6teDPlbn5W6H70stv923u751s0XLSXUkvCq7m/D + VyICMN2/n5cZQBWA+EbCxPeul1aF0wSZJ5n6fpcCJp4RLtO2cveGuH0eze8mpG+Kv8U5GC6Cd5l1 + 3brWSspqdfCrv/qr//V/+xPb7VArPQDMY8aapimlnKOf3Rt/ADavdtFZH0IIITyWpr6CUrzeRN/3 + KSVPKh2GIaW0VAmvtUamdQghPPZEZBzHpmk8Oto3EABqrZvNpm1bP1pU1aVKEeacCX+H7XZ7/wpH + 96GqbdsuV630fd+2bdd1/tdlIxWZ1iGEEEIIIYQQQgjhNiS9S0pEvM/KO7KW3qqU0jiOUWv7PRrL + qJCkKkALICesujfbVZ+2APaCrEV5jsGfAnIZNTqNTBIDdHqG0Gl8IQ1oqj292WLbYzzN3Q0QqdVS + e4ol7cB5BCXngZchhKvArxfNOS8N+CLn7BegYh6xFteUhhBCCCGEEEIIIYQQQgghnFutVUT8XLlf + JOKFQe9VOsAv6HDLCfe+75eLO0IIIYQQQgghhBBCCCFcaW3bjmNNqRn68o9/8Rf/x5/5n60i51z3 + +ofv5L3KXdf1fe/PLJdxAai1ejdyxEqFEEII4SESERIS1w7fW0qZSpJARi1NbZ5aPf3U0bPH21e0 + QQKKCJFhpoIkQJJqMo7ba09d/70//e2/8h3/3o3m+ZadWgZg0OoXgHOXehMeFgqMTCow2dTT1Y2D + YdjwoPzev/rtP3/7i82R9majbSUJiFqhAAnRZAaxmnOi4WB1/dmj51LfsZqkRIp4shcpj/tl9iJT + qg8ZcRkhXG2eae1FYHx9Pjg4OD09vdfrvRaBmXnN/KZp/MnofwjhXiLW+jLY35lWoWe/iUml6JT9 + OoUKm1mmmM3ppFfrHh5M/d5RlGuvNyW0OcR6SvimTLG4FAES0ABWmnGX9sgpkXc3cenfYe8TzjG7 + LowBPnPT9LWKh3fOodGUKbqS1Fq1Yv6JFzS/qrImAyxxjsKlx54CoiZmosn24nIF+j5NUJ3zGv2j + bU6AniZk1QoWIWRahS7F8k/hFG4tFVQiyZxTnkyF04INeoIvKGJysWHhNi0+AJZ5dyZpWzgHEgNV + zFKtWgCYkNO65WGZ/mIol1BbEFp6AxoREaXAkooIIFZLBZCFFQSQfKWULEygkCSMrAAJQmTVrkma + Gast5QI1pxEkKDTPek0EYCIVsDn2WMlsEGUGACn0xuGOriObnp//7zEgphiVMFGYVk0EfImaY4Op + sEQTg0BFUBMpdb+NnCeEkQbJIpIk+/wxUFUoJuKB03NcsafKAzQBpyBzkURQ1QACFJrHVU9H6ykR + GFUJRRJCDaqAsrRVPZu+IgPTl1cUMYOQYlV1mFYTUdP3qwl69AjYLoN2Dpel2jTT5iOfqQVRwGra + YkquneKihQp4DrQCCQDBKsXnfNYME9o0TVNKmjqKeLqMiAKeey1KFa3EAKk6nSHeKw8nEFaBkPQe + Gm9jsrQiCqiImNBsWW1xJrUaAFhFhMtKusTlAtD55y8HexWyPbtRuIp0+mkyAIRAaHu7LEqptoTU + T5nW5eruHy6/bEplZwYBGYQETFHABhD5FtKRZY5qxrRXsKuLunz4vIJUQExwmfYfHnh/++we7p17 + Evtrh0JMfZHzv80vn/dAdmsdz0w9X82l7tqT5YXLjplBDVRls7RU4fKolUdH12/ePL5+/fqbb7z1 + 8z//8z/90z89VABY8r3MzEf8qOqDdrH7xaXAVPdZRFRV4vRdCCGE8Dgi2TTNMAxLvQkPuvbHtdZa + ayml67qU0jAMEfYQQgiPNzNrmsbM9qsO+ZHm/pgPP0K8LdO66zoz83Cg8336sjHy9/fP8otVSC4l + kOLylRBCCCGEEEIIIYRwGxHxS4+8+raf4PB+Le90UtWlWyn6l+6PQG4SoDBwsKZVKKFyfOOp/uYt + 7i5p9UBpwS5Z+r0TgNMAp92YFpsvOlCI6fyurdlTpz22W9RK/zQip7yMvuPydUCI8byj1EII76ec + MwC/dtQftG1bSjGzpazVcs4iGu0QQgghhBBCCCGEEEIIIYRzSyktFwz6OZq+770S1F1fLyJ+TYeI + kPTHkWkdQgghhBBCCCGEEEIIV89UD/z23uDT0+3R0VE/lF//7G/83b/795IqwLLLtL799WZTfSGv + R9Q0TRlHFa00AN7hnFIiGUXqQgghhBDeP1SYKSSrFDOoZGlZJNfmoy987Bt/9gWWDTJyakei1lEU + taJp9GSz7bpVSf1rN7/2u3/62f/gu/4juZUSGqgYUCmEZRQfM/Cof+Tjg2K9DU2TUSki64ODW5tb + Yy7HfP23/+jXb8nbXWpGG2rVtm2sggYRkFPWnU1JQfL8sy+0OJCaVUwBVFPNRDGz9PheYk+fEPPj + e414CSFcIavVylfnnHOt9fT09D7bHS8XIyK1Vh//toRbhxDu6rHdJ7gSTAxSIFVYBPUuna3iOce7 + qDnfzbmi9/cP6PUX3HbznO8p6pt6dold3k4B5XTzfzjlcPLsK5bpune7ZPw3+u/dm+kUTwj0YGsx + AB5z7jHXFzbXlB5vnJMtN5W9CFVdQiLlwqenx7gunzEvCipUAYSqlnJtkun0JecF7pEv+fO9JGoi + kgG7xdfga7oaxSiFatRKAS4+dV1hfq9TlidkfgAxCiiksGotWj3T2oS+1Mm8eKglNUkUJWRarapp + NS1oEpokSakCnTIqvDKU5xpmUc84TCllTXWsVitIhWRt/JZUh+1YhmqFPo0IhSTVdNcJpPSadJgb + jSkm2aCEAgImUMG83Ax57w0MUiF3aZCvHEox8WmGJdPa5ymlUszETEBRIhEJFI8nX24AlFMlr8pi + ViqMCkugGpS+U25Wah1rraUOpZTl2hLMl5q4ilpRICYiqvD5rrkl1EQJpaAKxmQ1lapUZmFSn007 + HngMzLnsFJhc/bl1HvOGzFdeqlCVmqhKmW7eUPvq4E2oTJnWvrkRiv/D3Uyf1yDVLElFhKKk1Mpx + HMswtDm3OWeVBBGrqAYrLNWXtTsP0nwzBVBVUkpNk6r0lYMAACAASURBVJumaZpEpQmpMCFZK8t8 + MZL59nq3KM6ZxJg35QqbX6Y6rc4eAu27VSNkuPqrsMwbCptCgs+ewidAMYr5/sC02/Pot3Tnuvdd + CCm+lScETL6PAal767fi/MnW0/piYvPyJPPyn4VZLQlTMk0mMq8yj37KnHd/+wFuAKEVqSIZUkXi + fAOTIfkG1PdLfcrIvH91tyk8oe8miII5jjcvrZxz3/dt275z8/gf/aP/++///f+hVABo2pak1/f0 + ET/ny6KutbZtu91uvdyz54eN4/iQf0YIIYQQLgEPqwbgQ4Fzzr7/oKp+ci6l5M+UUmK4cAghPFG6 + rhuGoda6ZEg0TdP3PTAFTntZIr/mBEDf9+M4nm9jsVqtMBdI8g9auqb9mNQ/0eOIIr4ihBBCCCGE + EEIIIdzG+688ytrvAfgICj/f4b1Mnp96vqEUT5QRNloFIEltOIUAR0c/+E9+qU8+9hSYB0nbNCrs + wVBgIvOIZ4hfQiD0IUxKqPnASCEkG5+r/Kff931QGK2MHopduSuXg+kLxcXRIVw1S4PsJyD8OgVv + 0kspqjqOo6qW29b3EEIIIYQQQgghhBBCCCGE8CCWgGo/7bJare5T4ddPtdda/R+qql9+GEIIIYQQ + QgghhBBCCOEK465cz+Hh4cnJ5g/+4I9+4id+koZS7Nq1G/epP+wdxX7RFoClMu1SkshLD4lI13Vx + 3VYIIYQQLlTsbOwzs1oJD6eiJG2TJSn6iZc+1aQWBAyqGZhywkQwDrVbt6Y21L40w+e+8Jt/MX4T + HGXKyBDOcVdPaILQhUooNnpOmFArrHta/p/P//Krt15Gx9NyWtVya+QILEE3QoEgARBjYvrYR17i + mLTkhEQTcqqi8BhfZL+/1vsAmMf654bwpPDBbN7V4JWN78M7Hzw0xzsl7pOBHUIAzmR5hvefQQpA + kcIlvhDNnBhLQgwKJkI8DFeZrnQ4HO995HC3Pxi01ylCF56mrHO+qRHJ31M8GNim55hkirrEMq2E + mEtXmRedohiggO33hj9q6sGcYtOyQAAw0OiZwFRyTn+kF9VKF/f9BcjVhNoVTQYFqn+0Th8OwGNE + PToXflB5kdtcCpYMVwCeaQ2YWhLLWlZCITuTSjQGzyW4LAerQggtIVW1RK2ic9AsFKgApJrYfk00 + 5YV/eQWFcwL4HIwKsQrgzmnnqxbhSfP7q9jycvPWDDQIOVDg769ni70tJf9IwuvHieVGdMq1RZ3+ + SBo1JY/BNpnCJ0oFrWadWgZCQa0yfw2m+YGaAKK+zOgU2auYCuHNpgV6zo6d43Ihl6p9eDAEhoR5 + HprHPwsIQPw/EAJFdI43htLkjiWOAhH6vCoCYJzmpECYMO+pq6ooRTKAqfLXtP+tIgJQRCwRU+MF + LqmonOo/CooQCssV00KDlkwQJvpa71Hr8MhxZTIkJdSQn8BdfTF6Tx6S58dOT1P1tjWXCqj6nyRh + aqsXCkBhMi3q5s2OiZYyUFJOqkol/DwvUauN09ZJIDqtYPNb5eW4a+mdISqASoKkCcT8XlKqMABJ + lBAFxBc2UwDNHc2LCSjmmcb+M+d7qCzx3gTM1PwnX931FwCxm5Pq640HhFMgsF3fF1WqRw5f5d9r + EDOp876ZznPfk5L98ZRc/lBW93krpsLkBUwhBlRqgagBxNXe3z7bDNz/hygAX7uUu0XLt9p32w/x + 19/e0pyVDVCqAer7AWdDr8Ml4RU8U0o/+ZM//su/8msA2qYtZsMwQLBar7ebjc+38/Wp5ZxPT099 + bJCHZLdt64WeQwghhPCY8WHBIjKOY9M04zguydabzWa9XgNommYYhrZtfSfkUX/lEEIIF0hVT05O + Dg8PAZRS9jOqa60ppWXAh19tcnBw4MESZkby3OWKttutfwQA3x6llGqtbduKiG+APGA7Dk5DCCGE + EEIIIYQQwp38/EVKqe97HymxnNTo+947tUoppZScs58ZebRf+NJTCn10bpMbjBvkDjmPKU2Z1n4t + MpXiwxcfcHARlQITE8CmS2kh3I2U8isxfDRUol2rpTs9hdUskBYcTRqRlJcBsXvMgHS1B4+F8KQQ + ET8NXWsdhsHPC/ilpyRzzgCapllOW4cQQgghhBBCCCGEEEIIIYRz8DMyy/WDft78XUsQ+CUkAEju + X1oSQgghhBBCCCGEEEII4YqZ6jbvan2T8qUvfemHfuiHvOBP0zTHx8f3eQOPjwKZNQGoVrt2vdme + QuABVCmlzWbTdZ0XCPLu5RBCCCGEcNFUlaUKkoiQKlQxKNKHP/TSqjs85c3RAIVIgmfmiWwr1wdy + 67TvUtKmfvPW137nD3/zr3/8P8FIy6gCoRAVZhSByFXOT7lcTJCavD09yW1LYtyU9qj705c/98// + +DdkXdFwFJpglWQci+cXZfHwHoogAySbnD/20Y/DRD1pzmpKGQKSmhT1Uf/ICyYiT2CwVQiPJR/P + 5hWPh2EYhsGrEN/nn6xWq2X8G4CUUtSNCeE+YgfuUdI5QnXJ9xTPLAQJCEUIneoueZhw2mX7Xc17 + E73XzVMMuXfvsdMmBlRM+Z2Yc5XV8wgBeCC4wjzkUqjKrH7MQ3/mfnPh8vDvrABFwN23nn4C1WRa + KEAFxEMZ/Z9exL0SYjmZwkPXdxmQKlNIs6eJ432bwLaf5ckpQlupYlmZpm/pj6lpWa8uwZK/d+/L + re4vmSZm4qGtoJACilHMLnjvxWciPLYYMs9JGmBCw/JlaEpOmdbTCufBz2Lz7cxCQBODVElUpSSI + GIUUGsxgxlpZyV208fxLraLWOo42mhXAVJGSeObEUM0zEQn1FEZf37GEIC/tAzKgYF6yUefpqWdn + x708DltGX5xMp99OoXcaCGxek6Z5xmnBE29/1O/n9xHOp/0SVKGqUEgSSbuDbpIQI1lrLaWkmap6 + VUeSZgUAvcUQiAhEPA/bv68SCktkQk20dPvlK55YTF8+KWqCZYValoQnz/yrbwsk32sep7/7CksF + M5iBPK0mgE5T2hSmMH+xEqoKscJSShnqMNRiLL6BEpFpcwQDqnE0q2ZmFTTxmz+eg+QVVEFS1aRN + zllzliSqoiqSNCWhkqQvbLfNS7FpkZQlj30uIzk/fizjcvd+1DQZDZh/824HYNqCXIKt27dyv8xz + BcRk+fnzn6aF7VvCZTWhAFBCqFOm9bRBFDUVS74XcfG/+qLvlxvu+xpg3grfba/DJ47vUWN/11rm + tlemXfFpH21KuaeCor6gMvpiLidNKb322ht/629+/y/9yq/llA3YjqVU879tNxtgKtksIudItt5s + NimlUgqAlJJfa3q+hOwQQgghXHKq6gd0+5nWfhrPi4OXUkSkbdtxHCPTOoQQngSHh4d+AOj3HjVt + ZimlJRCIZNM0OedhGPq+H8dxGc/hORPn4O+/n2kNwEOyvYJSSslHkPifQgghhBBCCCGEEEK4k4eh + kvSTGmbWdZ33aOWcI9P6vTFaySI+MJmloF0jJTTNSaOnjdR5wDLVzjfI30c67e6wP5Z9GicmgNo0 + Nsw226dzg3GQYYRBkgIJJtPo+OnfqL9ZVL4J4UrwtrrrumEYvNvfz0GISN/3fvWBD25fr9cxbi2E + EEIIIYQQQgghhBBCCOHc2rb10zFN0wzD4Bd93Of8i5eO8Zf5pYX+4H36uiGEEEIIIYQQQgghhBAe + FrHpBuzXOv7zr33jv/jPf/j4eFPGKZcacwHb+0iaqlXvXt5sT/1SoCXEer1eR6Z1CCGEEML7bLpw + npYUhlppFczSPH/w4jV5upGWRGEVYYIoYcamk5NbfdPkcazSSp+Of+tf/rPT9PZWT4oUeGgFQTGi + Cv1/fTdyCseZw7mWNK7bAjWeFLZke0ATp5snpOxNoiWfRZVq/bhq24F1kLGueZLf+YVf/7/G1a0x + bUcrmkACrKxIqioiJkp4YjkShLmzow/deKlF4xl4RBUBYGammi46H+0Rum2US1x0HMJV5+PZcs4+ + Jk1V759pnVLabrci0jRNKeU99mOE8CQ7Z2Xwi+M1oFTFrKiqWblnjNZjkGFJVRiQKhI8WpK71D2l + Zs9xlpFT8KTupfrZVbzXd9s3M4FyugewXxuKU7ThFG5N8fslpFCxO9SYklOx978znTvB0/KvLg2b + 8l0FNv9u5XQ0pfNPBkAxP5xQXuzy4B9a0t5iJ6aEATovq/6n+fuc+7e/J/Pv1dueoYgBSJvpywt4 + +dYUitW9ZXL6Dbs5CECMaf8HXvT0pK8OvP1eqYCBavCo1KlsnGJaZTiVhLO99GPbvSdMmQDY9P29 + NB0A+AMVX4TUznYPmGdmz0/5gez8EGkqYkfzhFPabvIszebem03PcPc/e+vLHd0Su0Xr0rYPD0YJ + w37cuC9yZ87J+fxbIoEpsCk317BX4s/nmsy9CCJTIrZQdjUBKf5IZFmo/Q1Mln9HGHRuQGxq54nd + akGl2PQlBaDN7217Tfe85BDY++ZPHM4TZF4ll+ljvoZO66mdbUXOrBf7T3I/GloUAFn3VgCfxGlv + e5rO/FE8rvxsdwx2e29LHc+pZhzFaL5osUIInStCUuz2+GIFph9ydpW8I8wbYkDy9udKr7++IQP8 + R519HgDmVnpqqfDet0SX956q01ZDFSN1aoyItLQGhN3ZeL9H+3vX+0/abkO0a/2Ay7gX8eD3d7rn + a+47WY27oPH7OLM9B5aCsfW+Xymcz+1T+y6bwWVRFyulrFbtWEspRSU3TbMdS9flL3/pK3/7b//w + l7/81abNw1By05RSpnSxMoV7me9pc9ml2zXmSz/d9J1UvU4o5p4774nzy01F5LZ3COHhMjNV9dLh + IlJKOXcMXgghhPNZTsJ5Vuj+A+ylk+4/GUII4fHmB4De8vu1Ir6x8OdvG73hB5X+V1VdjjeXaOq2 + bT2d2vf87/Wh/mIfTbIfXO0jJv0NzWwYBv9iJPcPVP1Qwi9u8cfLUcbDmCQhhBBCCCGEEEII4crY + 7xHyvqz9Hq24NuldCdBJnoaAJkVa08e3pPTGSl5v0kf0MA+1llsiyNqMtag+WC+cwgzIBgBKIQi/ + HNrfZm/kpABKbImn1h1Otmg3WDXICmYfl7zrcEwA0t4Q/hDCpeZttZ8+cH4uYBnt5s11Sum20wEh + hBBCCCGEEEIIIYQQQgjhQS0hIm3b+oP7nH/ZP8++XFoYl36HEC6h916sPE46hxBCCCGEEEII4TEh + d9TtuW8FbxGO45jbxiqaJm82/frw6JVXXvn+7/+BmzdveRV6z6KGACpC8QH8JJumGcdxKR8EQHMq + QzXQyHEc9wsTLSLTOoQQQggPl58PIpFzJqkqZlVVI9PWjUTKSStgVZJWgQi0NN3x9e/5+L/7i3/8 + 5bqC6ChIoFqpzKAx5xYlpazbumk63Ny+8X/+1v/+/X/thw+3bVdajCikrBszSwalgsmoFAWKaaFW + eOYIYchKBacAJN65v/r4oqCKAdoVzVWSAcCYWJIVVCSoKirqODbaJclSDAXtYfsXwzv6lL7BN379 + D3/pq8dfqtdOq2xVYYYW4IhG1YyJmkT7YWhXrBjNoCV/5oPffa1/LlcQA6RIBm0LgeZU6tVO97k/ + VTXQzEyQc7PdTlMshHB1pZSWcsG11nvm2wK3vcB3Cd6/LxrC1fQY7xVcEVRQCSV07sC1/eDJObnz + tt2Zy5CW97Ay9s7wrM1d4iZ1d9sxis337+puHeV3ec9LYvpdyw1nF4M7nlz+1cXdG8XqfPPP3I9E + XZ656Azm+6ESoBRK4fQlbW/FuSz3nG/zM2cXTqpOt/dxevpacI/7+ctMX2x/lZl+y7Ks7v+0ef3a + +zm6f/M/4R653e/6u5V3NonYm+N3+esd09Pu+fpL3T48mGVB8lmAO257fzWfqtM8ve9c2M3Hc30l + 3NnO7zsz/c/Onb358ihbm8vjHksp77i/13px5z/k7c3UPT70rrcHtNcmvMs34e3h3Pf5DY/P+rub + ZWd+0d3XiPlPV/p+2QhOP5PAtG+8aw0eOt+EzTsPt23LHuavexTT844fe7f793K7z3vex/02yuFb + pg9wFE89ODg4PT0tpazXax/Q03Xdb/6/v/0DP/CDX/rSVyGotRIwM4K4d41g72JrmsY72kopIpJz + zjmLiAd9+StLKVHBObzPVLXve0/CG4bBY9eXDuU7PervG0IIIYQQQtjxGGmSfd+TLKW0bauqIuLH + sF3XLUHU98m0vo8lJ9sPbLuua9t2OZJdLn3xHGuPvl6KKPm/Xd4hhBBCCCGEEEIIIYTw7naj2irn + 0WAEkPRv/Oovvd2lk8GG0rddIwLWsVkfnuNDzo61lv0nb3uZANfW7fHrr/8ff/0/RKnoewD9tlbz + gU0mMMDqNHJM7zuGMoQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYTHnZiqpqQe/uRVbb/5 + zVd/7Mf+zuuvvbnZ9F4dd8mCslpJHh4e7qdDeWEfLyU0DINXr/XaoY/mR4UQQgghhDsIAarJFD4l + lGt4+hPPf3qtT3dJjbViaDQ1mgBAIJxuFFS1sdn88au///mv/vNxtRllo03uuoNxMAAUmpjJ9ClC + VWahynQpOgEzMQgp4BNWNl6my9oNMANMtOoU7N2kbGbjOIpI2658b9yMbdtut1tZ4Za8/eW3/uA3 + fv+f4NpwWt6pChOoQQnZxTmZGbquBUqpbBSpdp/+0Het6nWlAsUEwDwvn4zwysgmCCGEEN6jJ2LP + IIQQQgghhBBCePxMiexifr4BAMQwP37n+Pjg8FrShia1chzrP/u1X//RH/3Rl19+LSWYoVZ6ZPUc + JH93pZSmaXwY0NHREQAPG/NkL1U1M5Ikx3G88N8cwh086E5V27b1TOtaK+/hUX/ZEEIIIYQQwo5n + WotISglA/f/Zu/dg27KrPOzfGHPOtfZ53NvdeiCQBUJISAiBkAUCCbADFJBgHAoS21EwrsIJcXhU + MBhSARcmKsLL2KmkUuUkOHECBoEUQAYZEEiyJNADvVqg94uW1Ho03eq+3fd1zl5rzTnHyB9jn31P + d6u7b3frdt/H96vdu8/ZZ5211z731NlrzjXH+Hqf59nMIse6tTbPM44CrWObBytCrAG4e4xea63x + vO4e+4xnUVV3V1VVjTFFKWWappzzZ+8VExERERERERERXe0EEIUoIAJTh0ZtrSpKORjGVjCo1qXm + jA7M64NLfURe227Ou+qY1sgZgGaFwmEAEpAAB/pmc9bXEBERERERERERERERERERERERERERERER + 0dUoes/ebwfasJ6nMg4AzExE1uv1T//0T7/tbTfOy4yjTDgz22ZUq+rBwUE086m1DsOQUtq2q8VR + B6FxHFU1mtkSERER0aPKAHTJhqSu4ojUX/H0+U94yueefFLpu2gwQ5Pe4Ih0aiyCKm5iCtcuOGd3 + vfbPX3HzuQ9POwet1PU0ndy5Tju6tprnlmbXqm7JNPcy1FXqY7IkAKRCqsli0mybsHBtUNjYPZt3 + tan0g6Eflr4kc7HUsSvjiMErevc45S5jObMcykmd8ulPnXn/77/mxTbcdVBPocABd5hn88FFTWBq + ptZsgXqFuSPbzgrXPfVJT4MJoC5xDi8OgSc5ysO+Wh1PJWBMARER0QO6mk8LiIiIiIiIiK4iD+7K + yu7u7rIspZR5rr333/qt3/q+7/uBc+emYVBVAZCzmlks7sH9zqPHmqGU0vnz50VkZ2cnHhnHcZsK + BmAYhof60ogeot67mcXvXmstAudiBdtn9GgfLxERERER3U0MKnPO2zIVdz84OCil9N5XqxWA3ruI + bEavD1KMi8dxjP3E2EFESik551hTFmNbHA1+l2VJKcXHq9Wq935/T0BERERERERERER354Bvq1Tk + 6FEVDKtz41C1IyscgKaSxtWlXWqijtasONK58xDAAUCzGCBRS7NpxGMGY3ENERERERERERERERER + ERERERERERERERERXYUuIsr6+MbjOC5LHYcd1dy7/+RP/NNXvvLVIgCQNG0be7r7heqho8S4cRyX + ZYlOoTlnEXH36DIUfYSiaygRERERPWrEFO6AI5lkuIhrJCB49d1y8hlP+us67YqLZize7eiUTwFF + 001JtnY12bebz970ynf8+zPlzjM4a8X7UsXEoV3NpZs0SIeYuIrnZCVZTgaFAc21u5gLTO77aK86 + 4lBAHC7WUltya8lcAFdvPkgqWiI8IqWkjqnPbdXO57Nn5dMvf91LTs03T3LHuAcXOLL7ylHgyaAu + iBsSZqsuKDnpNH7+9V903fiYZMkgBj1qBaCAqqsAeg0kPcfAhKHWRERE94+dd4iIiIiIiIiuFHcP + 8RKDbB5xsXvcevfWrDUTSb/4S//yn/2PPw9AFctitbqImMEMgKaU7ucpSynLsgBorQHIOa/X65h5 + n6YpHoy8se02RI+Y+O2Nq0GxOm2e51i19hk92sdLREREREQXxBAyAqRjUBn3KaVlWdx9mqb4Ko6q + Vh6UGC/UWud5BjAMQwxvYwQRQdqqenyksCxLRF/P81xr3e6EiIiIiIiIiIiILpIchVk7rANV0ARI + GcPqdkfeHadlnYFarQsOpuVSlxkPqYwmT1DBtEaboRCJqmkTbIKuAej2w2up7JmIiIiIiIiIiIiI + iIiIiIiIiIiIiIiIiIjoHlTyMrfDw8NhGH7253/+3738DwBE+59uvfe6ST10F4cKzGwYhgjem+e5 + lAJAVVtr0VBIRHrv7ORDREREdNkwiHXRLgqoAuoRt5xkzs9+yvN2+mOzD6Wk6kAGjjYQQBwSZ4ba + DnU97R6+69a3v+qdr5j3zusJn+ZD6UktiWWIufae5q7VxBwKV7GkLuJQmPi12DHeoQZxEReHdKAD + gCuQVfPS22JzypaLq6qbzrXLdXZru/nlr3/pbeuPzPnOPti6N80wKCA4CrQGzAEHpIgBWpCQ5Pz4 + nKd8zdBXCYMjd7mQaR3HcxVnWm97mR5vaspgayIiovvBWGsiIiIiIiKiq5CqDsOqNfuhH/qhf/tv + XwwgJZhhGHJKEgleAIZh6L1vp9TvrdYqIrH6J6VUax2GIee8Wq3cPaUUu4oNIleY6JEkIu4uIhGJ + t1qtGF9NRERERHRFyDnH2XvvvZSyLEtKqbW2XeoV+dMx3ryfcet96b2vVqv43ojK3u7HzMZxjKco + pWwHszFGBjCOYyllnmeuOyMiIiIiIiIiInpQfJMPrZFvHbWwkIQ8fu+f/Mnth2dXO0lUi5be++7O + cMmPx0yX5eTBhNogvrQuglYXAaKBDoAECByIel0iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiq4ke + D657QMuynDhxIufhl37pX/76r/8GgJxVFYILsXCqCsAd7lDVZVkiuxpArTU2MzMRmedZRKLDT7QA + IiIiIqJHV6RTd7V+7AxRgSKKGU86+dQnP+ZpqY9m6A6To+aQm5NBi5sLmqIP/Ww6/Yb3/Ye33/TG + w3RX2hPNkmzIvYgrYF1bS7Wm3rXFHsQ1WRZX9Ws0OdGRDQogGYohuW1+tKq1dsBy1taW9XKgK915 + bPl0/cSrbvz9d9381tP9Dt9FV1SDCSBRLG+AbwvnTVDNkQDFsu778phnfcFzBtvRri5qRz9yAwwq + V3uvTT8WZO0MtSYiInog1+jJGREREREREdGVRRwXOb9vAhPtLrefuvOF3/33//QNbzSDKnpHKWle + WjekVETSUayX3n8cdQRXq2qsEFqWpbV26623bg5MRFXdvdbKOGF6hMXaNVWtteacI9na79ujfbxE + RERERHRBa62Usg2uHobh8PAwRwkLME1TjDSXZXlo5/M552ma4il676oao9fY/zzPAFJKZubuOecY + 1canOBZ9TURERERERERERBfJgQrUo0+SWTYkA0RRClZj31+t597M4D5C27ToJb6SLzmdKMPemXOv + /NZvRZu0pKUuY8lwh8MVLhBHAgzom0xuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIioqvPReVbd0iH + /OZLf+tf/R//uncAaM3cBCoQ2WZaB3dEK9roIxSthMZx7L2LyLlz58ZxrLVGP9thGC7dayMiIiKi + iyGOyJM2MZcWj8QpolsbJY917yuf/rW7eoPNooq+7QPpcKiLuRrEIBBBGvN5X0+rs3/wppe+55Nv + Pu2flgG5p7Hu5F4A7WotLy3PNc89VRcXV7GUehFP4iqOS11vflkx0SbJUNRK6al0lO6KBjSDQ2RI + o3peWm15PshnPjV/5HXv+v23fug1y860lnlqyCuIwB2KBqmQCl0gBihc4XAHFK2i2PC0J3zp48bP + W9no/SiQXO52PIJrJVeCIQVEREQPiLHWRERERERERFeWi5riv/nmj7/whS985zvfPU0R9AtV1NoB + RKAXgFjlU3Kptd7XfkQ2Vxgi2ToCsN39uuuuiwcjSFhEttljRI+YYRjil7mUsizL9vfz0T4uIiIi + IiJ6YDlnMxORGJO6++7urh1ZrVbbkaa7R+3KgxLD1dZajFVV1cwixzrGDgBiQCEivfdhGMZxjBjs + 7R62g2IiIiIiIiIiIiK6SApEyevmBkAVO7vIee1SVklThkjtVsqlXWdiglqb1+XxKZ1YKpKa17EM + MI/lN7ZdhePARa7IISIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIrqSPHCU9fGNh2H43X/38p/5mZ+J + lj+lJIE43MyiM0/v/d7tgFJKvffYYJqm+ODEiROttVJKSqm19hCaCBERERHRJWLaTTpg6hBXcfVW + d4bRDuzZT3nudflxpe+ssshRu3cT7Qo7Oq8UR1/QWl/tyrl6as53vvz1L/mr+eazcodr1QjPdnWo + QV3MtJs0E3OoIwNZPes1lmkd4qedLKnnZKKAuEMMgKKIDb1BBuQb5Db76Ove/+//5N2vWJfTc5qG + /bELlhk5wRsACBqkASZusWd1TQnisBnXjTc8d5B6bQAAIABJREFU/UnPHNpOwZBwoa+mAy5wMYWp + 21XfcJM9RYmIiC7SZRc3tX0Xj6lGvqkTERERERER4WiBTu+b/GlHjw9aayml2rvmvDSTVFIqN954 + 43d+53d+8pO3xIodFRVot+jBu1lOFONud69txtEAPO5LKfGM8RTHA8AAHM/A3gZdg0N4epRsf1GH + YYgP+KtIRPSI2a4ePn56YGYRERofb5NBiYiI7i3GmzEIjTN5PXKPLUXE3eMdR0S2A9V772q7n3h8 + G4kdKdfxJhUfb8W7VZS+iEiMMo6nX2+/MQ5g+w5IRERERERERERXk+2cT3xwfBIpPt7ONdF9EWBw + 5M0PUpEMyaBwQfcE3ek6VFOo9t5RdO52qetcyzB4rWmeduqC1gZInxd8hnUFXGlAdOWJ+fzjy94A + HL8oICL8u01EREREREREREREREREREREREREREREdIwBF1baR8GUiJgh5yHW4L/h9W/6qZ/6Z9ve + crV2zSkKho6v4T/enCda5pZS7r2Mf7tZzvnebYWIiIiILilVjROebYN9QtR+A5DqukCih7AASFms + t+J5X088/1lfl+aVtJQANcDV4C4GhSvEoU2ya+qSVDRZHQ5urR978av/9cemD5zTU321dDF3Lb6j + LXuHmAPmYl2tK0yTSxJX92urylsd2fsgSJ7ctEuGJqj33pJp9lwP+jCsZFc+dvZDf/jul776fS+b + dk63cd2kTs0VAyzDkBSCCzd1SNwAq8jACknW6XnP+hqdky9dRRQW4dkQ24wLpEP8+ADhauLu0bnU + zMyslDLPM0ckRERE94Pvk0RERERERERXgGVZUkrDMKSUIBZXwtz95MmT8zynlMyws7NTa3/5y1/+ + X/3D75umpbWjREk38we4KuDue3t7x3O5jgdSxrR7RIjlnHn5jYiIiACklGJhsbtv861jyU6cNqjq + tnv48ehrIiKih0ZVe++RQjEMQ7zLlFJi0DoMQ63V3cdxfAix0zEKjr2JyDAMAJZliS+llGqtpRR3 + 374DEhERERERERHRVSDmhaL9SkwrxSM552maeu+ttWie0lpj55SL5cfuAQO6KFKaV3t3tl4dAhG5 + 5NNs6piXSSHDqPtFcHCAaUllsO6AQlyjosY3/6z81yW6UsSfj/hz7e7b2fsIusaxlGv+3SYiIiIi + IiIiIiIiIiIiIiIiIiIiIiIi+oyiac84jiklMzs4OBiG4X3v+8CP/MiPnj8/DUNWRSzPb60ByDlv + 1/DHgyIShVe992EYoldtfJX9eYiIiOhy8EjUM1+RsgsgTdAvRBq7dhiAnXHX1v7sp3zV9elzxjbm + noBsUBc3gTkcECTVYZV2tIt274bZD+vq8Kaz73/xq/7vv7KPnNZb2zjPdfKuu2k/Nd0pK4h39Ca9 + qzW4wQEorq1/IIEVMa9z67PkJCnXptbTUHZaa2mVxscMf7X+5Gn81R+/9Xff+P5XndU7Wp66ogsA + dei2Jl4ACNShBkDVoTCBqWBEkfPlOU993i72Ri1ZE3oTmEZWhasJNhHX15Kj/tlERET0mbE9BxER + EREREdEVQNRTFgF6a+6uqpFyfequM2XcEUlmWE/Lr/zqr/2TH/sfDtZ1qT2u7mxv2CzrsQtXiY5R + 1YODg+jsWWuN/GxVLaUA6L27e+99nmew3ScREREBAMxsWZZtsOg8z6UUM9suOwYwTROAZVniUyIi + oocjAqdba621ZVl67znnWisAEVmWJUay8zw/tPViUWATCUaxzwi3VtXItMbRADne4IiIiIiIiIiI + 6CoQSyDi3o9VIrbWVqtVSilaq5w7d44XOy6GCxA3jzhrwKFAA1DrN/7xKw6vv2HtruOApRcRvcSV + n+NqF+LLZL4+hAPd+rlzklMUOItDHFBAFLjGip6JrlgxUe/u2+5X8ad7+ziAWAUXuddERERERERE + RERERERERERERERERERERHQ3YhCLXOppWtxltdrd3d1/73vf/8P/3T++886zuaR5ad2gKW+rf1pr + pZRlWURkf38fgLu31qIpULQDilX9tdZIwiYiIiKiy45r3NRN0SBmR/HG1j1O8AaMT9h94lc+5Xk4 + V4qtxEZARYCjHGzHIMjee6+9iA4ZBpT9hBvmj87v++U/+l//4tSbzw6nynVJYO38ckL26tlZOyBu + alV6l97F/FoMVzbDOpVFh959nmtrPYntJewt4udx9jZ85PTuzf/qd//5227+0ymd379h1Y8lQkgU + yAPiUINa5E/kTQylmDoKgCmf6I95/jO/PveiDldv3uMbt9X919TPXUScodZEREQPhDFURERERERE + RFeAlJK711p777Fkx93NbGdnZ1maSJqn+nM/9wu/+Iv/wgx61GdXRCKLKzzgjHlsMI5jrAeKrEoR + MbPee0ppHEcAZtfU5QYiIiL6zFR1GAZVPTg4ABDnCe6+LEssJl6WZbVaARiGgR3DiYjoYYo3lxgO + xxh5nucoazEzd1fVeLuJCKIHK759+4YVO5QjpZQYMuecRSTe4IiIiIiIiIiI6CoQM04Aaq0xIxQh + qTlnOwLgxIkTAKZpenSP9koiui1XMSAB2NuFlFMplxP7Pq8F8HbJKz8PpsOysxoyRpFf+Zb/GEtL + u3ub+tw4NAegDo3DZbI10eUvIqtzzjnnuEzQWhuGIf5ux1cBxNUErnMjIiIiIiIiIiIiIiIiIiIi + IiIiIiIiomueHYXW3W2NvYjs7u6mlJZlqbXecfupf/zDP/LRj39qKEOUBcW6/e3G2/uU0vnz50Vk + Z2cnOtbGNu4eLelKKVGiRURERESXGxeYAFBxFQfgkE3ttaRcmwOWtej59PXP+obPGZ6Y5x21DBVR + qEMBmDRzM2i0fTRPwNJxWKc6VL9u/nj98K+/5v+68eY3rse7Jj2rg5v3sQwiEHFXQN3EDN3EINda + ebebtWZLx4Tsw2oYVmODn57O4IQtJ8+98/Y3/YvffNEn6wfrztm9k8PBwYTjpfEwyOYU3Tb/lHkT + VR6h10CB+oF8yed++VMe8wxtqVrv6KqqsGQKZBP1a+2nDgBgsDUREdH943QeERERERER0RXAvZu5 + CIYhQ1JrrXezjjSMInb6zLmf+ImffOUrXyOAqvTu2zTr+5gjv2e/TjMMw7AsS0ppnudSyrZfc6wi + SinVWkspZvbQEsKIiIjoKtN7r7WuVqvd3V13d/da6ziO0Sh8WZZhGADEKcS2ezgREdFDE+ETETIN + YJ7ncRzjDWgcx1prbGBmMXR9sPuPrAsRiXFxPCIiwzDEM7bWtsnWn+0XR0REREREREREjyZ3F5GY + VjKznHPvPS5txAKJWDiRc16tVo/ysV7uTDarVBQKQB2IOmbvMwwYTxzknTN3nS7AkAWq3i5h4qwJ + xpSW9TSsBHXZXxYsDc17AlQSOiAQOHQTvE1EVwJ3773HhYCIslbVWPa2XeEWf8a5zo2IiIiIiIiI + iIiIiIiIiIiIiIiIiIiI6J5kU85jZrXW3rtqXh9O3/3d3/Pxj38yaVrq4lAc3VJKZs3dSynLsuBY + sdV6vY5dRReg3vve3h6AeZ5zzmw9R0RERI+6o9aFciEXmACHRKz15lMxcXOBpp2pHl63N547PL9b + 9p+49+SvfdY3/OGf/57vLmZNARHAEaEHDV1VpEhrZkAp6IbaUFPPJw7Xftdvvvbf3PLpT377874r + m7fDkr2ISEKCwwUu7nCHGESupYxlF7GUAHOF+WStm4usct71T/dPvPJNv/P6D/1Bu+78grUoDg5q + 0mwKE9PItI50CYfFv4WoQSEAYgOoQ6ys7OTzn/Ef7bUbVIqLN6CUhKpwNREgQcwF5qIOyFVbiuvu + cIgofDNmebSPiIiI6LLGjttEREREREREVwAR2SZs1dYAjOMoIgfTNK2X7/kH/+B97/2QKszQuw/D + UGs9Pj8eEVz3M2MezT1FpPcOoNYaj7fWpmmKvszbXp/blEoiIiK6lh1vC+7uqjqOY2tNVVU1zhZ6 + 77EKmScPRET0MMWq0NZazrm1No6jmcUb0DzPpZRItnb3+CCGtxdv+y1RNgNgHMd5niPiWkTiGbdf + JSIiIiIiIiKiq0lcy0gplVJaa7G+QlWjOlFVe+8HBwc7OztMSH0A0uEC0Ziei1hrBVYpoS1I43rc + 8dU4JFvWNUuPBS2XThfokOq65125flhhPeM6Sbo5NpE4zO0/ahwsEV3W4npB/DWOqwa997gebWal + lO2W8Wf8Uv+dISIiIiIiIiIiIiIiIiIiIiIiIiIiIiK6EhhwIdMaR1nUOedpWn7wB3/wpps+EqVA + KQ+RUR2ddoZhWK8rgFprrOfvvUcDumEYoiGPuy/LEg18oh/do/QaiYiIiOjiuCRLvs0zFgO0Ns1l + d661aBos98P5q57xgrd86M23+HmBiUEFArigJTP4QaursaBWNwyqpiLWkdAdp86fuW6/velDr77r + zO3f/Ny/9eTrv3jXTmKS4kOBmnuHmTtEDC4ieu3EDbsayjCW3udpWevoeTfdOd328dtu+oO3/M4n + 1395MNxp2psjC1Y7xS0ZogGmQe62H4dGRjhgEDNAHXDYnJ70uKc884lfkQ53RMS0OdDh2SEOQ4YY + XGNoYNfMz56x1kRERA+IsdZEREREREREV4BYuBPh1q211WqVUjpz5sxdZ8/9nf/8791+6k4AZrGh + LkuD+IULDBcxT25mANw9pQSg9z6O4zRNALa9PqMZqJkxlpKIiIhwdD2+lDLP83YBcUopzli25xUA + hmFgsjURET1My7KoaqRT5JyPv/ssyxLFLaWU7fD2we4/Mq3jPStCsiMtO97UzCyeN6UUT8EYDCIi + IiIiIiKiq0NcwhiGodaac661Aoh5oVg7Mc9zPL63t/doH+wVQrxHaDQgm0Urht5QMjJOu05i3asI + 3HFJp9kciPLoBRhz0b4gAQ4FDGrogClUHHBAbdOdh8nWRJe33ntcjBaRnDMAM4vZ++3VgbhUzfJy + IiIiIiIiIiIiIiIiIiIiIiIiIiIiIqKjkpl7KqWklA4O1j/2Yz/+xje9BYBABBpp1hAREQHW63Vs + XGuNPjzRIBfAsiwA3H3bC8iP2bahIyIiIqLLiomqQ20wbS4GOGCAdktpGKbprv2x6IyE8vgbnvi8 + L3v+H33g5po8VYgDCkmALt1hgKlIVqk2z2YCTQJ3EeyfxDIdnPH5Hbeeve1Pb/nmZ/+nz33KC3Z8 + P/ecJSVIgldFExcRubaKQVV6WSYx81Rcdufb682v/8tXvf49r76j3daHWQdtHSVJbz6l6lIlit9l + c+cAXAGFqIsBDWICIErmfShp57lf9tUn9HFlPdY0SdHmJt2Lq7pAFK6QHinYV3FLzeiPLdiMay4m + qoOIiOgax4Y7RERERERERFeA7tbdAECSqqrm8+cPP3TTR/72t3/HHXfe2dumF+cwDBGvBQAiopuB + f6zpecBnSSlF308A0zTdYyVQ9G4WEXb8JCIiIgAiklLKOe/u7soRVRWR3d3daCAe3cNba8y0JiKi + h2kYhpzzdkAaeUIA3D3edERkvV7HpxeGxhdNRCLTWkRi/LtarWqtvXczK6UAaK2N46iq8SkRERER + EREREV0FhmHYTgG11uJiR0wQAYhM62VZYkboIcw7XZMk/kuAbmpZFSkjKVbD9/yHV2EcW0N1pN1L + Ps9WrS+97e2VdrC+YZqxLFjmo39FVagcHbKzFpXoChEXqXGUWh1/wN1dVWOdW/TGig2i0pyIiIiI + iIiIiIiIiIiIiIiIiIiIiIiIiADAdXszwzQtL3rRz7zij1+jAgP6trwmct/co5wqMq23S/Qj2Xq7 + tr/3Po5jNLA9ysIGM62JiIjocrA9OaG7M8CASEe+oKRcp3kcx7r0oazU0tB2X/Csv7HvN+zZyWQ7 + bhmAwd3hQBpkPS+12lDyWGQQpJSswzt6QxcspfX99c0HH3rZG1/yktf82unx1Nnx9DoftFy7OgBx + hWcXmMA2/1B6dAMAiEFs+3V5hGKYjx/D5kji8Lb3x28XRSxuJobRZ133/WXeO3j7J/7sV//4/3zF + 23/rlHxMrlsv2Zq3VRn64ilJKtIAl6N/r0ggF5jA5ehxMXGkDjWYQKw8Nn3uc5/yApnzkMfee0op + S4YLNnu5sDdA7/E7cHVjuAYREdH9u+xOC1JKMeeoqmAPESIiIiIiIrpq6b1uACAivffIg9y2S46Z + bs25da/WJZe59te/8c1/5+/+l6fPHLYKAN06BEudNhcnHDD3bvfouRsxXfFBPLJarbZfjfF4JFu3 + 1o5vBiB6NPM6HF1W/AiOkteJiOgRZmb3jnBYlmX7sYjEymMiIqKHT0S2JSvjOMYjcWUZR+PWWut2 + xdjxAWxsFt8eH8foOx5x93j/2n7vNE3b7z2eYwSg9x5vcMuyxPYxlN5uefzNkVlHRERERERERESX + uZRSrM0QkW2zlUhFjcUbsdm2xoHumwIFyAokAN7EW0znNWTkEWPGTl5MEuAFh631S7wCJefcO9q6 + PibL59116tXf/E1Q64BDFSKe4KkLFoHdo8iZiC5v2zVs8Zf5+OWA7XUE/tEmIiIiIiIiIiIiIiL6 + rJMHcnxjtgO+xh1vWKGqj1iGTfTTCNu+GUQXaVtQtl1BxD9lRERERERERHRlOArJ+4y3nKS3JWUx + s5SSu0yLpbLjkv/n/+V/++2X/Z4DBnUg5WzogMH75gYDLDrqRHVVFGGZWWstJk9i9m87S3y8HRAR + ERHRoyvOT+KkhX31j5iiQ7qJuRhcAXEoYG7zkN07JKXDPmkZ0jzc0D/3v/i6f7h37gmYVzu7Jw4W + LI5xzNZg7rkgJW1V0VKCurWUkATSkQWqqLL03eX06ta33fq6f/47P/3aT/7RHSduPXfi7Pk8WdYs + K+mac15s6W5I2QxmUCTvEJgCSSQJYN1b1e5ZVADIPRo8KqAmx2/HYqfv4zzZPvMNBt3c5Ohe1KER + Jn0UKX3s5qJIKVpbwuBdxUvWpOpmvXdHN/HmtaPZMJ0tty6Pu/PDy1+8+E2//P++9n9/9503LifX + bUTtSAkKtL7kAoPX7inBFa6b19I1DsAgTVN3mDi06lj3Vr5vCm/pO577whvOP3Es47nl7FBW3sSW + XrS0ZC1116pSBSYOwPyeP8mrh5nlnN09Lvuq6jRNHKkQERHdDyZJEBEREREREV1GYvFN5GOllLaX + u5p57zaOY9Z8eDD95m+++Gf+p1+4cBXsoi+HRUzXMAzLspRSaq3TNJVSzKz3nlI6PDyM8irGT9Ll + r7WWc57nOdLsSilxiYi/vUREREREFAUwsX50GIZ5nrf50znnCCXathdZrVbHQ6wvRs65tba/vw9g + WZZtNnZEa5vZ8USNGLx8ll8hERERERERERHR5SqqWAEHRIEOODAbxlWCeFNphrIqZoZ+CStdBUC1 + UVOSLsANS7vhcI1WvVtKKtCjA9zEWQszrYmIiIiIiIiIiIiIiIiIiD5Loj00gGhkUWvNOT8CneLN + 7Pjzqmp02LjUz0tXgdbaarWKXxgROV6eRkRERERERER0RVuWeRiKqk7TQc4DgL29/WVZXvKS/++X + f/nfOBAtavf29g4OD/JQ2lLva1fDMEQP22VZYiKO829EREREVyAD4NvrYL6psFY0+ObDLgCQehmX + 3S/93Oc+5wve98abXnf+7KnrH7d/fj5/cNhWAzyqyQGIbSvGxaEOcTgAQVdAFpdmuszT4e/92Uve + +5fv+hvP+aav+IKvrAum8we7ZX86nE+euK7W3uZltdp193lel5Jqq0kEQBLJOQvUDHOrKaKeLzg6 + fsfdH7QLH0eA993v1dXEjrbc3t/dJvXZ5OjVbe+3G0vWpS0+d1UdShERq22ea0ppGFaeUfvcffax + Q2wt58+mU2962+vf8p43fnp9i+8vvjNXWcwimnuz882/jsOOF8DHs2OzQa0+FCRP1n0cVofrteby + hY972jMe/+XX6eOsG9Qj86JZi9gLiMWP5e4/KyIiIiLgMoy15sotIiIiIiIiujZ85ra8EYUVE/3u + bmZRNZfHwQyq+fBg+rlf+Pnf+I2XAvDtvP/mys0DP2tKqfe+LAuAWiuAcRzneY4KwGVZWmuqama1 + 1sjiIrps5ZxrreM4uvvBwcH+/r6IMCuOiIiIiIgA9N4jW1pV53kGEM1EIo4awDAMsY2qPthMawCx + E3dvrYlI7z3iq2MoHQMTM4sUbY5TiIiIiIiIiIjoGuLbPGuLpSwpqnOjkld1UUXKfV2zaLqUB6KO + VcpznWWVDqa+NwxaK2ofXdENsikWTvcou2VFCxERERERERERERERERER0cMTFTelFHdPKc3zPI7j + I/C8USh0/BFm6tC93X+/00hjim2i3wv7oxIRERERERHRFeB4qp/cq9utKlSn9XL99df37rXWVFa/ + /du//aIXvUgV3RAtag8ODiAw+8zNckO08YnWtfEI++oQERERXd3U8q6f/Jbnf9sHPvXuLod1vUDQ + GnZXsCnD1bSZtijXTg44ZHN2uqk0h6CrAXPaVa/nP3DLjbfcetOHv/idz3/2C5742M+f5sN9e7yf + 6+Jd4ev1OS05DVJ71ZIUYmZL7wqXDFcxiUhmlQsV4vfKot4EQOiFc2PXzTnz3e/1wrcfvz/aiRsA + wTa+G4CoH23pCkhXr1JlR7MpDFYhBvEyqjjQu6/rwVoOywnF2G+545N/ecv7Xvu2Pzhnp2ZdDydt + Gdu6zwaUAu9Rp785wRYYYIoLxe/qKqYu6NoA5ATvSJostbP17FB29qe9b3rmtz5273N87RE2AcDd + VfVavugpItGJ1N2ded5ERET37bKe5uPbOBEREREREV1rUkqllFpr7z0CeksprbXzh9PJE9ffdttt + P/7j//2b3vxnZkhJ3P1+F/x8Br33lJKIRO0fgHmeRaS1FinakXutqjnne9fsEV1uYvqo976/v+/u + 0zStVqtr+QoZERERERGFlDaBOFEqs7OzE9nVvff46rIs2/YiMRZ+sE9xjxzr7Tq1bb8bVVXVaMTD + ChwiIiIiIiIiIroWOAA5qo0VIAKtHb64DOIGGfSuVb494wnDDtbzUeXwpTLXuaTclra3yj63vdUO + 4PAGUQgiazvhqJSYaw2IiIiIiIiIiIiIiIiIiIg+G9w9GmVEd+xxHJdlyTlf6v4Vsf8o7Ukp1VqZ + aU0XL0rMeu9mlnPuvUfJ2KN9XERERERERERED1cp5eDg4MT+da21Wnsp4xve8Iaf/dmfNUPO2s2i + SU5rTVOy+23C4+4559ZaSikmANm0loiIiOjqJq790D/nhs//1q/+2y9744vPplNNcWIPbUGxLC4d + rSe4AFG4bQpkB0yawzYX2wQ9WbNDz208OUztzjd96JXv/sibv/Tpz3rBM//m0098hfdxHHfGvDpY + 1+5IMgIuPbk4XATSrKM11ZRSso5N3jMA6FGINbZB10dfsight6MHHCrH7iGbbU1UfXMPAGgXXv6x + /0ec9tFzGWCACqz1CpfmyK4iKKXAFWKuvm7n224bT6RbDz554zve+hcfuvG2u2724aCP60WWqc2W + kEeYoM7IqvAckdsSL0ruGUGhnuHWFQByQqtoreWhLOuOmp/3BV/73L/2fEwanTYjZiKSJh5av82r + gLtv8wp48ZeIiOj+XRm9s92dcURERERERER0VTl+McAvrMLZljlFdVOkTU/Tsru7/4lPfPK//m++ + 7wPv/7A7RND7vaa/L6LHrqrGlYPWmoi4u6qambtH4jWORX9xME6XuW0daeTDtdZ2dnYe7YMiIiIi + IqLLRa01MqcBnDt3LuecUoqU65BzrrWKyENYYxcFNvEs8UgpJcbR7m5msc9SSlTjPPyXQ0RERERE + REREdJlzICbakkTdr27KfR2SxWvHmKD2za/9w7f+zb9pd55/fNGhXcLyVxOM406tc4b0qaUynj44 + g6H20hUwaAME0G0ON+xCnTIRERERERERERERERERERE9VCIyz/M4jlFio6rbuptLalkWAMMwRLJ1 + FBZtO2kQhftKWuq97+7uppTiFybnfHBwsLe398geHRERERERERHRw+b3mv1wHYedg4P1OI7d5YMf + /OD3f//3Hx4uJ07snTt3AEBEIqPaWhcRx2cOe4settEmF0DOeVmWR2bqj4iIiIgeLeKym/fO3nnm + a5/xLTd9/GNv/dQflevHw9Za74ObAOrqZi0DgDpcIitB4RnSADMBAAVmeNa5+axZVyfG0/38Wz52 + +7tvfvuzv+Arv/rLvv4Lr/9iHK5y3h/6Ca+6SieXpXVrkiyXnGHdqjdI2uywSxzehUPdngfbJuh6 + k9ngR180gR6733LAZHMPmKIfVZ2LewZ08+3b3UuDeLw6Fx2RVQdx9F7N29SriZnUllvfbx8//dE/ + u/GN7/zI2+6st/fVguuWnBegWbacsDgOK+AoSWHxXAIggrERn/jRbfNiNBl6wjxjHNGqqftu2T/Z + bvhPvuI79qcbci+imnOO83wR2SZTXFNi/IKjrA3feLQPi4iI6DLG9tlEREREREREl5FIwxKRqJQz + s52dnQ5573vf9wM/8EOfuuWW2Cwmvksp2/Ssi2RmUUAVlxBEJDKtAahqay1yuWJ5UAQGE122Ih8u + fqt776WUZVlUlYlxRERERESEowVkIbqNRBuaaIgT4+4YBW/XnF28+K6cc+89orJjhB6VNtulezHE + /my+KiIiIiIiIiIioivCZnJON1W77jIkOJAHDOVU0s/b28eZw6MNLpWDeb2zGqXWlHWp886JFWpN + vXnSDiRA4vguJFsTERERERERERERERERERHRwyUi4zhu86TNbNvO4pI+7zAMUeYzDMM0TavVin0z + 6N7il+TeVPXw8BBArbWUYmZ7e3uMRSciIiIiIiKiq0CtdRiGlIq733HHHS984QsPDpaUcO7cwc7O + OC8t+uTEtMn9J94Nw3D+/PmY8QMQUXkTz8CDAAAgAElEQVSP2AshIiIiokeeAoK0K/vtoH/7V3/X + ba/+8McPP2je0ip3tOQAVFzV7SgZ+tjFuKNsZkRBdwISeoc1W8taDN7Xa1+/+ZY/vfFjb33y45/+ + gmd945c9+au8ws9No+/u7u61RXp3mEJVJZvA4IBtI6uPCtUVMBNVGFxdjsdd+9HzQyPg+l5dJ+XY + PWAXXoIDAriqb9KlY78CBTbnzOIYkVrtzZaui6wco9c0Hfi5D378/X/+4Xe85+Z3HeKcnui+P1c/ + cG2uWCrqAi3QhFIAR9bsy/Yo3LVB2tFnx36ikXXtKm6a0R05qx26H8g3PPdbPm/nKeN0IpXSzeOs + 3t23mRTX+HVPhloTERE9oMuxg7aIPIS+4URERERERERXqrhE4QpAVUVk6W29zL37uLN7MM1//o53 + /vAP/+htn74DQMT3AjqO4zzPgAK4W4ffBxpPR/pvhAHHADwq8USk1ppzzjnHqqMotbo0r5nosyPi + 4rZ57awpJSIiIiKiEJ1u5nkexxFHsdbu3lqbpumxj33ser1+OFUxMTyPKGsRSSm11uJ5AcSIe1mW + 4wfz8F8UERERERERERHR5UyOClT82OoVUcDRkyggBxWW4KNg5Qen89IvaecaB8ZxmJdFzcekOqR9 + 6K887xu/921vk8dkzSl3QNAUC5COUq6JiIiIiIiIiIiIiIiIiIjoYXL3KLeJvhYppQiZvtTP21pL + KUWjjHg69s2ge7uv9Srxewsg5+zuqspYdCIiIiIiIiK6TB3rYftAFIAJptoE6bbbbvuu7/rPpmkZ + xzLPNeV8uJ5ju5SSqtZa7yfTWkTOnj0bs22RbB3JcEy2JiIiIrqKGdRaL3nEtDxp/8l/66//3Ze8 + /v/x1a3n/HRL6I5iUCB37WpdASBZE1Ngc+KqDpPYFeBoBusYMso4mFmtVmVCns7c9a73v/YDjymP + /+pnfv3zn/G1j0mPnw7LnpwseWW99y4oyUsyawITj1NQPcqojnNjFRw/T94EN8jxBIcj9zqZ3uzI + BI7k8XXZfG8cfzyXOBQKKLzEp9JdU82lY2c+V8587M4Pv+2mt7znY39xaj5V0+QnXbKbt9Z6AnLW + 9WRlxE7R7tY73IGOpS+DDkCHAGgQg0So9uZJXdDFTJo6xFUNurL1jFXB0FfPuO7Z3/Cl3ybnyzis + au/bBIptCuS1mWl9jyhrBmISERHdP/bOJiIiIiIiIrqM9N5Xq1WHAxjHsdb6ile84p/+5E8dHNbI + se69p1TcfZ7n7fWAi3c8divSvAAMwxDFVDs7O5H4VUphbR5d/rYr2CLZ2sxyzvezpo0XjYiIiIiI + rh055957ZFpHGUw87u47OztnzpwppYhIjIsfwvi69x4jkdhnfBCjaRFR1Xj2Usrh4SEzrYmIiIiI + iIiI6FoRlbES/9dNfbFgARQYh4LzM2ScvAx5J3sT2CWNkl5azSUPwDTXpJrW8xeeKJg6mqV8lL+t + cMAAAZTJ1kRERERERERERERERERERA9b1OxEdU+U1TwCmdbxvCJSStl26AbAvhl0D/dTRyYix3ut + DMPAWCYiIiIiIiIiugqklNz97Jlz3/u933vq1Gl3mFUAMYnXWotWOTGb13u/r/mTeZ5jum+baX1t + ZuMRERERXWtEUu+ekfzAnv3XvubTX/7pl/3Frwz7edFmiu5IpgKIb+rKcVQ/vn0kAqHN0A0qWnaS + uxzMC4DVarUsVUZp/RC5neq3vuLtv/3md77mix73tOc89au+8PFPfcJ1T9I21kUkrUQHX/romhyb + ZOoLIda6efbtxb2jD8Shx85wbXNs93yZLhFZLQaVu33HJvK6qx1FZTvEjurou6Wp6nT7uVve86F3 + vuvmd3zi7EcP0tm+qtNqQvYOwKBAGSCGNts46tzNqqUMVUCgSTQlaS1yrF3NBB4F+xKHuinbNzV3 + JFMAbUEBcJiv08d921d/x/X2uNV4Yj3VlEVVVTV6bG5zKHrvD+0X4CogIsZ4AiIiogdy2bXPjmVb + 7u7u0Vt8uyiQiIiIiIiI6GoSK3Vi8GtmEmt9NJ07XO/u7i7L0qr96q/82i/8wi+ZAcA817gucjT1 + f39z4JGtFZcNtpcKYqkQgJRSJFvH6iIRGYYhtonEL7A2j64EMWW0TbbextQREREREREB2Na9bAcL + 20vP8aWIpo71dlEq4+6r1WqapuM7iZKbqMCJbeJLEWV9/OMYa7t7jL7dfVmWqMZpraWU5nmOcffx + /ibTNEVrnhihL8sSkduX8mdDRERERERERET3FLNAMcMjIrHEYvsIADNjp5WL5Tg+v2Uwi8JgBZKi + lIMyTvWsanK3+9rHwyeAwN17QxIgQ3ZEdpYZbUbflaMt0oUCYiK6MsR0+vZ++/c5JvBjvZy755xj + Bd2jfbxERERERERERERERERE16IoqIn7R8y9i4mIHhR3P95rhZnWV6JItY+Pj5cNPrpHRURERERE + RPTIiDX2tfZSSkyRTdO0u7vbDOfOnf1H/+i/vfnmj8coWVOOcLu433bRiU9x1HIndhiPHB9fb0Nk + HrGXRkRERPTQlFLi2sElrWi++knqbRlL6j3v1Me84Onf8pE73v+2v3pduR5n57Y/JphKXZJj7khx + tU0MAHybHq1wTQAccI1TyyENAKyaIokhuZpbw5x29bTf9hd33v7uO99WfHziY5/8rC967pd8/rMf + u/vEwU6sdCWHOVuG+IbABCICEdtETcd9hGmjyGC+PXc13P081n3TN9JFFFBI7iIOhxpgAodackmy + +CwDTKbFDjE0yf38+dO3nPvEjR99y0dvv+n2229zrTqglsVQk+jYi5uZtjhCc7gCBWbIMiAZ3KQb + AIGLt0iw9ntd6zbD7qqcOTPv7KlnXxavva2G7NVWWO37Y77mqV//JU/4chyWvFOqzg6BO47O4beN + Nz+7vxRXhOgaYWausk3oICIiovty2cVaExEREREREV0jhmEQkfV6nVJKKU3rZRgGF93b25umKWn5 + qZ/6qZe+9GUANKO3B7fzWBUU9yISxX7Ranl/f/+uu+4ys+jd2Xtn22UiIiIiIiK6phxfVRap0tGi + QkSmadrZ2YnROo6ir7ej7FJKrTVSrh/UM0ZDEzNrreWcY5FrjM0j0zqCrpdlGYbhs/xqiYiIiIiI + iIjofkXoaSRYbwOte+/jOM7zHCsrYlaHnWofUJT0igMGCAAFmooC6PHZqkDGv//qV970FV+DabnU + xzOWsp6rJCkpW225WKvnIcsmwzptyqGLwGBH8dZsbE10uYscaxFprcXSu5hd773HH+2Y8I8/3Y/2 + wRIRERERERERERERERERERERERERERERPRKiu+ze3t48zwDW6/X+/v7h4eQiP/qj/+TGG98JoJRU + a2+tRRed+9rVNsR6GIZlWTZxgayrIiIiIromJdEO1N6zpsOz9eT1N3zH1/29u15z57tOvfX6x4/n + z81JZCdp835ib3X2YEppU8kNOZZs7dCjWm7xqEm3+FijOD2yn9FbMhcDzF3E9cy5Mze94y9f+ZY/ + vGH8nCc/4WlPefxTn/m5X7Kb9lar3VIK/n/27jxIsv2qD/z3nN/v3ptZVb2997RhEGIxMAJhkADJ + w2hACCGWkDx4RhgJS+BnAWaRrAAmwoMQgccmZokhIAj/gcfYMTPMeFgmBDMRMGAWsYxHNgEYCbHI + rGOh9amfXndVZd7l9ztn/jiZt+v13v36dXV1fz9RUZ2VlcvN7O7MvL97zvl6QoUZ4CqSAJHIhXYF + oA4Rre565KNsdVOHCcTcBBLbJ3C4A2alTWpeXQUikrVK7W0cfbRusmY6nJ744BPv+/P3//v3ffDP + P/rEhz82na/nyoFdsNPWJFGIl+ouyRWAuKplE1M12zwfEFPZ9rMrDHN7/pYJHNvnEEhJ1uthuUzT + VM2QW3jCNJW9dCqvls879amvfNGrm37ZpvbC/uFisUBliPvVzbs5REREdFUnYDwH386JiIiIiIjo + /tM0zcHBQc5ZVeGatGmXWURQfBzK4ap/y1u+5Z3vfGdupRS/ItP6eocE4miEw1S1bdu+77ENtFZV + d3/iiSfcPdK5YnZnDGh+uh4qERERERER0T1j7pCJ/WIAXdeZmYiklCK4er1eA4jECxGJTOv47TRN + i8Ui9rVv9X7jRkopkagRAAzD0HVd5F5HpnX0CN2xx0xERERERERERDfB3VXVzGIlZ14FiiktOefI + TD3uzbynORDDbNroqd02goiYQh1Yi+XWm6bFqpnabm0fWcjTuw5WyiSOBioiE4BG6i7QTMg2AoCp + aDaII7FwhujkiMX2aZqWy2WcE3OyolhusViAdXFEREREREREREREREREREREREREREREdH8TAzZx + fVuqmodhGIah65bL5a5IUtV/8N1vfcev/j+qMMNUzIGc8zRN2GTpXT7hNudcSum6bhiGyLQGwExr + IiIiogeTOmwc2qaZirnIYleHoXzKIy941Yted/H/vfDR9fuzT9UNTVbTwwv97k6upcQVNxyXEqwF + QNmcKTBBcmQDABNMipLggAnUoVApMJ8s7Y9pdVAfe/8Hfv/fvL/VqTmz+/AzH3rWMx9+9rPOPeeR + s8965PSzzyzOYdTG2tY6KVksaYWgERG3ySTFB1oRURFYFYHDREVk81nX4DCvUg5kVdMkySyhr4eP + r85/+IkPPX740Q+cf9+HLnzgw0986LDse1NdqmX3hV1cr9ICqZXqbobcQERKPAxXBcRVTBMMYnD1 + +UmAyfapiHBr+Pw9AwpXiFUbVZGzjqUuUrPuJ8/Ya5fpYndufMZr/vrrz0yPdN3SR2Awd35wvzp3 + Zw4mERHR9Z2AWGsiIiIiIiKi+8/h4eFiscg5i8jQT6vVSptWRHJu3//+97/p7//93/3dd5sBjpQk + d+0wTNurXi/T+igz6/teRCLEWlWnaXL3WmvOGdvcLACc3UlEREREREQPCBGZpqlpmoiOjlgLALXW + 9Xrdtm2UFapqKSVyjLDNw4hb6Ps+pVRrvY17V9W2bd29lAJgGIa2bWPfPH5MKUXA9h15sERERERE + REREdENRRwFARGItKBaI5lWglNI0TbVWZlrf0KWKlid1dDqABl4hDu3hLk2reeV1ufuwry48rZvk + BTtdY0MVkabrhv3V7iJjmuB2tMN3E8LNJl2ik6CU0jRNznl+AZ9t52oBQPSWsy6OiIiIiIiIiIiI + iIiIiIiIiIiIiIiIiB4QUVSvqru7u+5SSiml/Pf/ww+8/e3/V2RaA4gRtdfPqI5a/WEYdnZ2Ll68 + aGaqGm1Wd+eBEBEREd1BInJZ5zPdKgHEXHOayrjc68aDfv8Dw+d8wotX//ET/+sv/uik/eKh5cUL + hynpss3TWESvuH4kW8vlzdwa4dYKAFXgCpdNx7oJckoqblr6YglILUwgBrd04Bc++Pify2ONltzK + Yil7ne4895FPXOa9M92Z0zsPnVmeObNzdm/nVNd1qcsioqqysbn3lJJ5GadpGIa+79frdd/3g68O + yuOPH3zksfMfOX/xo+vhcPB+wlBkLHnsa19zzXtZs091LGZQtK0gKWotFRBYggKleCRpm0OhEBPX + 7b/ETcg3xOIZgEfANVxgArhGprUgRRe8JkzT1OYkpskkp64eaLve+xtf/DWfsPspi2G3lFrKdOrM + w6uDdaPsmd+IXmMRccZaExER3YR7N9b66GrmDRc3iYiIiIiIiE6W5XKZUjr/0Y/t7Owsl7ulHKpk + VX33u3/vTW960//3Hz4QF0spVat1HAAFADHgmofABJeO1cyBW3GwpNZaa40V83ndvOu6UgrjsoiI + iIiIiOjBYWZN05hZSmkYhoiUjl3jCCiai8/iwjiyi911nZlFiNHt3XukWc+3H/cVrTvuPudbxzlP + 8ZESEREREREREdHNEJGmaQC4e+RY11pjvSgWc1R1Xq7hus31KbaVK3NlyzY4OkPWB6u9vZ0OHQDo + cv9g1fd9o3j6nlB1dG2ahqlJrdVah+HZuzvtE9Ovf9FX/afv+XdpgexHsrh9u8FEdG/LOQMQkXiV + FpG2bUspZhar+jhyLIAv2kRERERERERERERERERERERERERERET0gJimKecsksZxitr7H/mRH/nR + H/2fctapGDaZ1tI03TRNKTXXGqGTUnL3WuuFCxfmcbWcW0tERET0YBKga/JhPzQ7uyXlCxcPTp86 + gwsre9w/9zlfvP/5q//7d/6XJx5/bNmeGn1MCeNU0pF+7Uhrjg5uPZKtIL45uyoGhQvEoYZcIb7p + DF0fjm2HpoEmlIq6iWiQRiFS4OrZLZfeht4O3PDRj/ylak5QmMDE3ROSKtxKgqhCRJDmtlOPCZMA + IOayiT0uMk3NUCWGUqrspiOxiSYiKj7VdRnhjqZBznmczAoE0omIOCrMoA4RBeCCKgYxj63fPvZ4 + Ni7rdJ/DrcUMULgpkJvcD0UbuHu/Gk/vnbVR/UC+6AWveMmnvVQfWyzanf1yAGDshzZl99sclXl/ + Y6o1ERHRDd1zsdaXxVcz0JqIiIiIiIjuS8MwNE2zt7eXUlqv1zs7O+th+rVf+7Xv/M7v2t8/UIU7 + UsqlFAhSznWyTab1zRnHsWmaaZoiqatpmvV6DcDdc8611pjdGZVG3PsmIiIiIiKiB1DXdeM4prQp + FlTVpmki6zr2lLuuG4YhLlNrHYYBR1Kub8lisej7PuccMRtN05RS5nDrICLR7cM2HiIiIiIiIiKi + uyZCT2utsQQkIpuO01Ki7kJVY+mmlMJ1m+vblJ7M/ZxHw2TNT+3soBgExTRr0o975PAjH9odSvd0 + NsbWWpuumYYi8KbryuFqD+3eUFCRYlN9m73NwhmiE2WudouFfRGJF3MApZSc8zRNsRQfBXJERERE + RERERERERERERERERERERERERPe9lFLfj6qqqm9/+9t/8Af/SUqYionIzs7O4eHh3PlzrUxrAKWU + 6KiKi6WUYk4OW6uIiIjoxIlWRBEB42yfgjqVRlMtZdG2F9ercbLFYmc1rPSJxcs/6yuG8tGf/bdv + X5ulnbReHzRLeNlc0eb2bYfLkR+PtqE7HLDtOcl0G2tt5041k01TgTs0QTXBs5U6lKIOVaiqZgXg + Lu4+lIuqOg94BCAiIu7VdHv66DZYAoBNu6puTpigpM3WuFV4tQoxwNB16maRN71sk6qWUoZVUQFc + JEFF3KxWqEqTm1ocgAvmTGts/yWKwwRql/5h2pHfAgaBeoFAHCg1CURlGK1tO4w6PmZf/IKXf9nn + feX0hD+yc3Z9cdjZ25tqrbUiqfBf+xXc3cwYa01ERHR9nM1BREREREREdAya3MHRtnkYhpwbM/uZ + n/mZ7/3e752qRW1P0zTTVCEJ7nCFlEtXPjpI1y8/A9A4ADFNU+RvlVKiBiiOo8TITt8unzPTmoiI + iIiIiB4cqnp4eLi7uwuglNK27fyr6KLpui5+HMcxGnKi08bM3F1VbyPTGkDf99j280QeUuQktW0r + InN4kpk1TXMHHicREREREREREd0cVQWQUhqGIUopYq0GwDAMsVgUdRc5ZzNjicUNOAD4kUDrzfMl + AkO08KYMYHrpL/30O1/8wk83PH2x1iboDQuFShYvqFWSeCMlOcQFRwKtBS5HtpaI7m0iMo5j27a1 + 1nEcY7291tp1nbtHjnXTNOv1erlcHvfGEhERERERERERERERERERERERERERERHdDYvFYhiGnLOI + /NIv/dLb3vY2ALVCBKnJh6tDCOCYpklEYtbNVW9HRGIATkzjARCF+kRERET0YCpWu64bhkk1ndo9 + t1qtm5z2lnvTuFqf95c//6vd259990+u/GO501JNAHWYbBvPBRC9FCjsCsDmnx2Nm4tt06zVBYAB + tj40SWhURKRUTKO5lCRYLhtxMzOrNpkhoqkFqRVEevSRnnFHfArOjrhZYJO3bdooxAG4uzvc4YAb + tIpuo7VdBbCsKhnjYK5QAYCxuHsBkFRyzsmKw90qHAqIuqhBKgCBwiHb7Yh7d4lka53b8j2isy9t + ugGWHABqQddqb6aKpm2wLy/4uM/58s991Wl5KKfF/uHFncVeXUmWZnmm/diFx7tmocxvPoLB9kRE + RDdJb3wRIiIiIiIiIrrTVLWfyjTVdrkzTdOP/I8/+j3f8z3DYLUgZ1XVaZogAneI1FKuchOOa6yD + G4Ao/ZlnLkcNkIiIyFwVFNM857hrIiIiIiIiogfB7u5u7AjH92iwMbOU0hxc5O5N0+Scx3EchiG6 + ceLqt91mE7d/NNMaQIRkR7h1Smlu6bkDj5OIiIiIiIiIiG5FhKG6e2Ram1nXdbFSlHNmpvUtECA6 + ZQEAHqnRJRp5HdNkAixadGncPVUUgJtE9++d17Zpmibzoil7KQ6MZVQUlBF1AoAEV9RL984WG6J7 + XbxWd103jmMsp8favogMwyAi8dJda10ul6yLIyIiIiIiIiIiIiIiIiIiIiIiIiIiIqIHg07TJJIA + vPtdv/emb3/zerC2UQAp5TJNEOkWC2xn4JjZtW5IRA4ODqJ6H0C56kRcIiIiInpgNE1Xiqli6Fc5 + 567rAAzDsEjdwne64ewXfuaXvvyFr+iGXb/YdL6rEeG8ad/WuX3bgSqoiqpwUUDVVV2T5VyzuqoD + MKCYminahYqgFDdDltzmrtWcNfX9NE3VbNPFHlkNonC4GeIrzjSHGUxgYoY4ARNABZqmaqV6qW4O + CEQhAlVN3mh8oUmmYmLVptGaRrIA26wHuMJVUhNtre4QQc5oWwDo+xrPgLqpQx1qiBOy7Xx1mU9E + vvWlPnf1zfMngGYUNxuwo3v2uDwzf/zfevnffk73iX7YoqRmsTSBFQd0XPdtbphpfVXsOCYiIrqh + e27mzpWTntyd45+IiIiIiIjohEpZUpapDLlRRxV1TQBgouaSmm7dj9/3X//j/+a/+4GpblKqS7FN + fY9XwBAr3X7F1xGbM8Q1iceBEjMAc6mQmcXYZQDziZRSRFxzv5uIiIhuQ6015xxH5VNK8Ykiwjjn + Q/VzCOh1ypeJiIjuvqNvW7FrHDvLcb6qHt1TVtUIwIjTc6dNXBFAG8WDR/a4rypuYZomPDm4OiKR + sH0/jdBrXFH6FvcbV4zTUcJ4u88BERERERERERFdbtNBCmC71HN0peiyVSO6Khc4ILAESyiAGRTI + m55gESyS+ACMmHZlWoplE1TxGj3ArpsvRNC1R+g1ALiK31r/izq0WGNJVaobJAFI6l3tsT5EfwjB + vtcRmGwUqFi6408IEd1x8VI8juN8zlwpFz/Gy3WM2eLrNhERERERERERERHRA2Wu9j9aeH+0ev/m + RW0/AHefpmnuGriWtm2naYoDFnNL3W2YD3nE0ZA5MuS41FpjA2JqwQ03Jg61z885k06I6MSZX//n + H9m9dRLxb42IiIiIiIjuewqBWZOyYjOpRiSJyFS9Ov7kz/786x/9u+uxOtBPpimVUiLTb1j3gNU6 + AeZeRRy4NPQmblxEYr6ciOScAcR3IiIiopMrjnez3/C2WVVAXVNummE8AMakpop+nNpmKUVO2bkv + +ay/+eUveO0zyycvDveywQXmaFp19zoazHMSU3O1qsWluBhgyaMhvUDiu0WgtQtcMLpZgjSAmNkI + G9UqzBqFCwywSH0WCOAGOEQ2XxHbIMD2r90gcQ0DDFZhNckmc3vzudggDnEzLa6j6xjb6RExnVDM + Y7ayu7tXURd181JRTeACExTHZACQ8yZIYhOqHYnagAkEc7K1uRZXEzdxeDXfJlCIIGkSiDlKQjHs + 5dPd/qnn4lPf8LJv/CuLT22mvcZ3BQurqRpkoZaKm2WwX34jDhrGMV9VnabpurNCiYiI6N6LtSYi + IiIiIiK6n6zX66jyUdVxHMdx7LpusjoO5fTp04+dP/+N3/hN//LH/w8AbdPcxu2rarR3RtFPrTV+ + dPe+7+PEfCYRERHRHRRzwAF0XRclyHGQPs6ZLwNgGAZ+GiEiohOq1hpvecMwuHsppW3biMSotXZd + 13XdOI6RkB3JGbdqntPk7k3TdF3Xtq2ZRfHrPM4p0q9TSmY2hyrFdTnpiYiIiIiIiIiI7gXbjt1g + cYZDHUCsYCUUuIgBFdIYli5HDiG5AhDgyqbwaNC9DWqqmy1wQMQ1O3am+mOveAXGir60khKsUx2G + wZ3t6EREREREREREREREREQnWORqTNMUJfdRkx8NbrckyvjnNoGmaeaugauaLxA9BXHJ24jTjrEA + ccUI0q61HuNY7W0UisRmqKq7X//5jIkKcZnrP2lERMdIrg1A0zTuHg3U4zgy3oCIiIiIiIiI7kEi + 0jTN/v6+iJw+fXq9XuecV6u+6xbnz59/9NE3Xriw70DTdA6t1a91O+4eS+vz0Nqc8ziOd++REBER + ET3NeKznDnCdv1s0iaNG0PNyuZymKrWRIe+ND33R81/5ZS969XI408meTdhr22ll2VPXNCIyDBWA + OJJDHAKDmMlmeuOVn1kj2TrYpv/cABO/7rxHv9rX9mZu+jGbyaWvzQZc7WJP6q2/8t6vtYWXN9Qb + xCDWtq1XiEiT8jBhta7VRXMjFdm6vF7sjWdf+4pv+NSHnr+HszK0qTZqCa4m2CaFExEREd2+fNwb + QERERERERHQ/O7V3ZhgGAKvD/vSps7XWx88/kbt2uVz+6Z/+2Zvf/OZ3v/sPRNB1uV9PIvBrFvxc + XcRciUhEWEVHqJnN8VdHW0PdnUfRiIiI6E6ptcYnkMj7nIdTmFlEfs7nR+71bUwAISIiOnaRaS0i + 8UYWTTgi0rbtOI6llJgYFcOn4o3vVu8ibgqAu5uZu8fsp/k2AQzD0HVdDIGKLqDYkqZp+r5fLBZ3 + 9EETERERERERERHdDgH0inMAM2hqAKAYqkJEkwuatJ+liig2/bfRwDxTv1aL7+1Qh8AVphWLgmeW + Bmugy93k8Aqb2sWOAXq1UG0iIqVvOqwAACAASURBVCIiIiIiIiIiIiIiOimiwN7MovAeQPS73dKN + RJfc0e4AEZmm6VqXn6ZpDkMN0RHgtzg4IOcc/XpxxUgTOfpY7rI5lDqldHh4mHOOPsFrXT6aI9q2 + jeaIucHwbm0vEdHNutbr8/yWUUppmoYvZURERERERER0z4pBNIvFYpqmapvl2XPnzv3lBz74mte8 + 5i/f/+GcNaVmGAbVnFKapuGqt9M0zTRNsSoSy7/XWQwnIiIiogdU5DpfGdPsOo3VRuzu7U6aLqyH + c2ce+oLnv8Ta4e2/+WPnHu4uPnZxd7k31lGX6tk0spsdyTYJ2S4oSeEqPjd4G+L03HV+9F4FjuuE + TJ8Yats/I6tbEInUfT+2bTazfixNi9ToNNrQ26l8qpt2F/XU1/2Nr//05/xH2NeDi4enlw95vXTg + 0wQK2/zox1Nncq85WsnjG8e4OURERCcAP0MQERERERERPY0uXrwYiVNmtl6v1+v1qVOnFoudd73r + Xa997Wvf854/AOCOvi9d19z2iva8Fh751nNv7Zw0iW1D7FN/REREREQhpVRKcffI+0wpxYcNVW3b + FtsYThExM/ZsExHRyRXDpI5Og3L3w8PDpmlqrREpXWuNt7zbuP1xHJum6boubifeRkWkaZqcc+zy + d10XNx7vtuM4zu+8i8XiNrK0iYiIiIiIiIiIniZXr01RuEESILq2gq5Fl/cXzagqBnW5LNP6jjDZ + fGHTvwwA4mgMWK9QCvoREIhAFMBQyp3eBCIiIiIiIiIiIiIiIiK6e8ZxXCwW4zhGfX50tN1enX+t + tWkaEUkpzdX713H0ktFrcBt3Gpu6XC4jJDsGBRxXpjUAdy/bo6i7u7vxrEaHxVUNwzAPOognP+K9 + j2v7iYiuRa/BzHZ2dgA0TRMXOzw8ZH80EREREREREd2Dcs45ZwCxlN22i3Es58+ff+Mb3/ihD304 + 1pWHYRARVb1OUnX8ap4dFyu6TLYmIiKi+0wcgj/urTjBHDAAYhBz2UQhmBgAd8+aS28+yhK7fpjO + pHP/yQu+5G+/8u/lJ86dSc9Itcs5H677OlV1iGsyTY7kUAeAoigKU8AVroACuukJ9yPh1jO5Viv7 + iaPxbKjrJuVa0HRSrJhZzrCK1aFVx+ndM36h3Rse/ubXvOm5p58nq5zG5vTydDIBIHCFAQawPuMG + GGtNRER0Q4y1JiIiIiIiInoadV3Xtu0wTHt7p5fLXUBLsXe84x1f//Vf/8EPPmaGU6eWcXRkGK5V + uxOHBK7J3aMLtOu6aZpKKfNxspzzMAzR8Nk0Dds+iYiI6M7KOR+N8Dw6qKLWWmt19+jlZpkyERGd + UPHWFnvZMVgqvqeUxnF0977v51rV2yhVi8km0zQNwwCgbdtxHLGpe/MI0p7bfsI4jhF9PQxDvMNy + PAoREREREREREd0jto3Ac6OKCwyw6i4tHBBIq10tBV37up//uSllFxFX8fkqmzoZEzjkSLvxDepn + rqoqqgJiLjYlmxQuACx3GVKRE6oBjiaXqS5zvk8amYmIiIiIiIiIiIiIiIgeSFFaf+rUqWgEiOL/ + ruuuFV96Le6+u7s7TZO7R5ecmUU7/1V1XWdmcz/dNE27u7tzY93NM7PFYrFerwG0bbu3txcZ0nfr + +buciOSc40mI5oVxHK8z7Ht+yHGZ6IPgcHAiugfZNaSUVqtVvOhFx3S8nh/39hIRERERERERXS43 + 3eGqd2jKbSl2eHjYdN2b3/KW97znD2tF0zSlWCx3z0PhrqppGlWttaaUSimxEtI0zd16HERERERP + Ox6zvjPE/Io2bxfUam27yGhkSJ3vdXVHDppTq0e+5ONf/ejL3nKme04VPZhWzY522sqouWpyiBtg + 8RdjQFUzgYu6qEPhCs9iedN+7pt8a5NLXyddVVQ1wNSRaxZvxdWBmnwSaIOcW7VuocsGzfCx8VPP + fMbXvfKNz935lEeaj5s+5mfas4u0HPtBtyHjgLkAwiOb17OtYznu7SAiIrqH5ePeACIiIiIiIqL7 + WQRcLZfL1WqVc845/9RP/dRb3/YPiyFnlIL9/bUq3OGOxaLt+/GWbr9pmjn7arVaRcNqrVVEokf0 + Oi2yRERERE9FKSXnPAxDfN6IE6oKoO/7xWIxn5imiWXKRER0QuWcp2lKKdVam6aJSOlSyjxoyd1F + JKVkZrEzfku3X2tdLBaRja2qkWkdtxNzr4ZhcPemaeIcAG3bRjvQ/Bbcti2rZomIiIiIiIiI6J7g + c7S1AgZslss0SakuSRToa9nrdqEFizxoqpKS+3Z56/LgahMkhz61LtoqACLQGlXEBHmaUAvKgEUL + FQiaJrFVl4iIiIiIiIiIiIiIiOhESynNpfU551KKiEQb/i0RkcPDwyjsj+b9pmmuczvDMETLf1x4 + vnq0ANy8uaegbVszi86FW934O2tuHjzaHnitvolIhI2uQzNT1bnNkIjoRKi1AkgppZQA5Jxrrcf+ + UkxEREREREREdKW+73POKaXDw8O2XaSUvvGbv+VXf/Vfq6Jp8jBMAGKJA1Bs89uuNE0TgK7r5lVx + bIfL3a2HQkRERPQ0umw4n/ulLmi6bS4WHzLhUNVSStZmkdIwDW7aNjsyaDnA5zzzJd2XN//7r/yo + Txing7H2TZNgJo5oQvdo/ZZNSrXLFaHMrgoD1ARXdqCfXC6bnncXM0ChANThjnWP3VMY15jG8Uz3 + UFmZjIvnnf3k/+Klr3/e6U/TPjWyu1hmG3B4cLHpWsABg8ST49vb5fHNjaOjQd39VseEEhERPYD4 + MYKIiIiIiIjojtP5ywzL5e5U3SVN1f/nH/vf/sF3/0N35IwyockJjqjwEcGtZloDmKZpb28PwNyY + qlsppYjaijMPDw/ZLkVERER3UJQdd11Xa43czZiaAWCxWETzdpyIqRzHua1ERES3q5QSkdLRq9O2 + 7Wq1yjnHLnbf9+4+TdM4jrdXrJZz7vs+7iIGnahqjL4CEHOvIjPb3SNj28ziRwDxFnyHHzMRERER + EREREdFT4TiyTCY6d62IKZCAVhpHggqa5qDVIWlVufwmAAC2PfvyPuSbo46mQh1FURTiLo6iAHCm + FvQ9kiK51amUyYHJ2I1KREREREREREREREREdIL1fb+zswNARKK7Lecst87do8g/yvuxDfa4jrjA + fJW4+q3ebzQLNE0zjmM05Ykc50DtaFiYpin6Kfq+b9sWwLW2P6U0jmPOOZ60yLSOvwgionuKX8M0 + Te4evdJxTry+Hff2EhERERERERFdbmdnz11q9bZdFMN/9da3/fIvv0MEZhjHEgsal82ouRYRWa/X + 8zDbWiszrYmIiOg+w8M9d8DVkpJNrF124zSt1utSPWvT5UUrXWNN4zmt289YvvDNr/qel/yVl+9O + 51Jq1rUAhkiqRq4KEyRHipGKUlwMsglp3vanbyKfN1txJBP6PlASSsKURpdRYMmw26hNOlVIQh0n + v4DPfujzv+mV3/GJy0/b84ftYqNjl7HsD8dnPOMZ7j4/XRAXZlrfCGOtiYiIboifJIiIiIiIiIie + Rl3XrVarWK3+/u///n/0j/5bAGYoE1KSaaopiSrckdLt7KSnlA4ODswspTR3dcZxsvgx7trdd3d3 + 79SDIiIiIsL2w8YwDDGWwszatjUzAKWUlJK7x4lxHJumOebNJSIiui05ZzMTkXnI1M7Ojm0tFgsR + iZRrd4/3wVtSSonvc3tP7OOb2dzkE++5IlJrbdu267oYcTXfAutliYiIiIiIiIjo3rFpet32CwsA + mFvJmsThxVtNgJbUIqfDJq+zVlGHbHuJ/bJ2Yn0KLaICiMMEVV2B5A5oW7F3MPzcV3wV3CCuTcpN + UwG7PF2biIiIiIiIiIiIiIiIiE6SxWKxWq2ir83dU0pzI8AtmTsIIu0jOuOuU7cfv4o6/2grmKYp + 4rFvSbTpzcMBbpil/XSLxxVbNQzDYrHAdYf8RoNhPIGqGpePqxMRnQg5577v27YFEK9m8fp83NtF + RERERERERHS5vu9j+UJEfuiHfugnfuKnaoU7YiU7htgA6LruhjdVa43V4FjfjqE3T+OmExEREdHJ + JK7qKi6yCU52Fxys9hd7i8VOV2wyVAA2mZioYyGLdLA8vX7Wa1/6d1/1wq95yJ695zvi2UXrJppa + 1ZEMybcd6WKb3OvNiRB3t2k3d+D+OHpnEv3vZoqqm/Z89WZ9wU7nndPykF7oXvZZr/i6Vzz6TP34 + XTs37ePs7iOYtE61XXQXD/ZTUhNzsfkpUddLgeD0ZDzsS0REdDPuuY8RsXB5tISLM7iJiIiIiIjo + 3hc1PYCq5oikAtQMU3WXtFqtv+VbvvXHfuzHo+8yZwVQq8f3KNop5aZqd+bd5Dm72t1rrZF6Nfep + YtvkecNGWaKTa+4J3/6nwzRNcxUdERE93eLDRlQtq+ocxgkgYjgj5hNAtHATERGdUPHudnT/Wrcu + u2QMK4ldlRjDhCOtPkdvar6dOH+eXRV7N5FjPe/pzJcBkFKKHOt4Iz6afj1fMTYgVgzu4PNARERE + RERERHR/m9dS4sTRxZk4Pa/h0HUcTaSeF6einiYahVUFBgEMitQcZF212XMzuhU3JHVFvXQLR1tn + n1KjsTjEoY6mYm/EM/bHZwyOWiAe0dtl0+hMRCeAbOHIajy2q+6xVI4rltmJiIiIiIiIiIiIiOgB + MR/YjaMGcQj4yiMLR0vxL3NZKf6cjX39y1+WQn2dy0c7QGyAqs5zAC5rJTj2+QBHGx/m7JPrbFVc + 8tg3m4joqVgsFnEiXtNSSnxZIyIiIiIiIqJjVGtt2zbWjecJtCIiORnUoP/yx3/yn/7Tf1YrRKCK + WJmei+qHYQDMvc5L4jE/B9uV6u0c3atU5hMRERHdB9yRc45PUMe9LfcTEY+OcpNW+9qPGL3xCqsw + JFTU3Mg0DU2Sbup2Hj/z6s/4W9/6su/8jOULpV94yjX75CaTtrVpFVbhQBKoQHSTb+1uZpvmb7vv + /vYka6kQQVaUAgPQ5kmk2nS2WzYXutMXn/nVL3zDV3/+68/5M5uxQ0mNtHWqruICF3etNcXz4wDU + sXnmHMLxk1tmFtNBAaSUhmEQwXXGcx6t5yEiInow3YvLgvwoT0RERERERCdOSmkcx0iWirofVe26 + rpRy/vz5173udb/+678BbBasbzLB+qrmFW13b5omFsRzzqo6N9lyz5oeEPFfIFLcxnEEcPQ/AhER + ERER0bFQ1ShgNbO2bWMnvWma2GFp23aaJnfvuu42YqejcShuLZYgAMQOUa01pTRNU+wrcWwKERER + EREREdHNiPWWo02JcU7Oue/7WmspJUo1ohTkeLf2BIkj937leRFOHb9WffXP/uy6aS6MQ9ct0aTJ + a3VIunSdp9g56xAXJEdyQCBAMmkrzkjarQXixSbUybw+pdBsIrqLzCzW2909Xp9j7R2Aqo7jGH3j + 4zjO87aIiIiIiIiIiIiIiOgB17ZtJHNEV3Lbtsd78LfWOjdER5c0OBWXiIiIiIiIiIiIiK4Q/U2L + xQJA3/cAlsulGQTJzH7xF3/xrW/9vlo3gdbXGcM5B2NP0zSvkA/DcDceAxERERGdfOqqrrL9Doi4 + RLK1i1UtJqVqqVpczMWKj9WGJLrTLBd10R7sfea5z3v9F3/zyz79q071z5CDbiftLHKHasMaiwyp + 8AorKCNq5D03mpJcmWl9f8Q2j4NlBSq8oOuSqvRjEUl5apvV7nPzX33jl33rqz73P+/2T5/C2eQL + 9YxNI7ybuImZmIv5pedCxBXOUQjX436D2Z9Rz1NKiT5lAKrK/SYiInqgsIyViIiIiIiI6A4YhmFv + b89dVqtV27aLxeJg1avqH//xn3zbt33bf3jfB1UBEXM4fLlcrtfrW72LlJKZRTxVfF+v16WU6J6N + 8KpY9WZsFT0g4j9Cznn+X+A3PDRERERERET0NFNVd48d9nm8VHT1iEgkarj7bdeoxfpAJC0BmPOW + VDX2krCNuB6GIRqTiIiIiIiIiIjoWmIUS3w/eri5lHJ0aWV/f//UqVN3f/NOLgUM297Xo4fxBTBA + kOJ0my54+bizZ/oLFwEThQPpDpW9mMAFAJK7OI404pr5avAO04GlPQAKbYACsFmX6N4nIrXWKBma + a/DGcWzbNr7PcRSxVH68W0tERERERERERERERPeC+VDCYrHo+36aJhGJDI9jISKRaT1PD4gNO67t + ISIiIiIiIiIiIqJ7U9u2Fy5cUNWU0t7enpkdHh523dKA3/qd33nLd3yHC5qcpqkCUL1msnUskqtq + TKcBEFX3d/OxEBEREdF9Rjz6uJ/0GTR+6FI2M6+DqBYfh1XNdecTuud/zV/7+Bc85yU/99s/9WdP + /IHtrqXxPe1Wq2FnN4+lQJA7cfdxxBrWNHAH3AC4QFyvuJ8TSRw7OdXqUhtXsalAJKs3U7MYzn32 + Mz//a1/6hofxTDyG5+w9+/GLF9rFjsXVAIUBBomHfynTer7xE/y8PP1umFwQ9TxHMz5EpOu6p3/T + iIiI7hX3XKz1ZaO3iYiIiIiIiE6EruvGcez7seu6aZrM7KGHHv75n//57/qu//L8+QsAzJCS1loB + 3EamNYDI642u1FLK0Zmbc2xVnDn/SHR/m/8XqOo8iNbdmexORERERETHpZSSc46itIivHsex6zpV + jbFTsf8SfT52rWaga4urzz+6+1z65u5N08Q+UcRpM9OaiIiIiIiIiOiGonNBROZai1hayTnPqzeq + GpnWfd9zyeVmxDF7BwyaAMA2jbFy6fumdXjoy7Lbf7zfqdOZvZ3Dg1WToSIOB0RcXADXTRftrdcC + GABBciQHABeYAo6UNWfBsjX4OI5tanNq0u3cAxHdbfG6HUvll6VW11rNTETi/MiBUGVgPRERERER + ERERERHRgy6lNI4jgL7vI7ojjg7HmXdfjNeLLYlz+r5PKR1tFiAiIiIiIiIiIiIiunjx4s7Ojqpe + uHDh1KlTwzDs7Oysx+nd73rPm9/85r4vqpimKoKmydNUrnU74zjmnEsptdZYnR6GgfX2RERERHST + TOaRiaq+yU52MXG4ODax09icAEqxLOqwgtp0KXtTS/IRZ5fP+WsPLT75Vc/9V7/7f/7yu3527Hpt + 007TjOuVCERggDtEoNuWb7/ver/VVUfxqi5QEXjGhA6LXTv3RS/4ipd/1pefKQ8tpp2myYf7/Znl + Q4f9OjWbvwKLWGtsU64dm+b4bea3C4Otry4CPm54mdhvigmfZlZrnYMPiIiIHgT3XKw1ERERERER + 0UlUa8057+zsmFnOrar+83/+L972tu+LZepIqyrFcm5LKW3XjcMtJ1tHf2zf97H2PY5j27buPg9N + juisOP8OPzyie1KUwUU9XAyivf7lGXdNRERERERPt9g3EZHYARmGoeu6qGPrum6apriAmTVNcxux + 1pGWHZkcwzDEOSLStm3cY1TCYRu/RERERERERERENxSx1rFcY2Y557m9MI5Kl1IA5JyZaX0zBIAB + AggqkABAAYNsWmFFFQ5xwIG9PWkXw3T4UN6xUhXomjSuayuXR0x7nHODdtHLRa+yCxwQhwGTqgmy + l2E8xDB0cGk6uGI0yYor7peI7jXxEh3N4QDcPZInRERV5+qgKChisRAREREREREREREREQGIuOim + aaZpmqbpaJ70cZm3oes6M5umiZnWRERERERERERERHSZiLIGcPbs2VJK27br9fp9H/jgt3/7mx4/ + fxEAHF3X1FrH8ZqZ1gBUNdqjwjRNnEtDRERERDfPxQCdf1RXbNKV4885XTl+KzZZbrPpVK0AIiKS + Um7b4fBwuXM6HfrXft6jn/3xL/zp3/jJP77w722nJFvmhbpb36+LeLvISBhLkSd1l9uluz7JnaPi + qmO3t7OzPz1RalmmM7LuPuPsZ3/Vi/+zT3/2Z+qQrUrvY7O70zS+/7H903uneh8UZpsefkB8jvuW + OdAagFikX6vrVe/6QRMtxu6uujlxw6vM+03RpMxiHiIietCcgBXDm3lHJyIiIiIiIjpe7j5Nk7uM + 43jq1Jkf/uEf/oEf/CcpoVYsFu26H81MJJVSRHUchs3E3lsR5UTxHUBkV4vIcrkEcHBwsLu7Cwb3 + 0oPEzKK6bhgGVW2aJqaNH/d2ERERERHRgysCrUspOedSSkyYcndVHYYhxmBF3kacuNVitfkqc9Fb + 13XDMMRygYjEPR5tJSIiIiIiIiIiohsax7Ft25RS0zSllGhhUFV3j7WdWuvh4eFyuYyga7oe334X + +OZPAAqxWAvLcuRiUzmchp3dU82q7/vVogFq3Rz1d70sYdoAnW/v5sxtuSZQwAUmcIEITqWMkmV/ + wrJDEnNXMNOa6OSZpqnrOmzjrmN9PtrF+75fLBbHvYFERERERERERERERHRPiBjpKMiPPGlVNbu1 + Zv87aN6Y6AW4F5K2iYiIiIiIiIiIiOheM47j4eHh2bNnV6uVme3u7j722GNvfOMbP/zh83EBMwzD + BCDnrKrXWmo2M9mECaaLFy/GVJz48e49GCIiIiI6seZAZXWdc5TjD4tv4uoCuLgAaNrW3UuFayOu + U6lWvWmwt7e3f3BxZ3mm/9jBJ5/67De95lN+472/+o7f+YXD9MRqfVCsNu1u29pQ+1KqNJtm9Puw + +Tvbuj9cdLv1oJ7CuVe++NUve/5XLten837jDl2koUwfu/DEInfL3WU/9mgEgMIMl5rn1SOreTv6 + QMzEXByAwZhsfZmYGgFABNcJw4wCHnePnSbuNxER0YPm3o21ZgQRERERERERnSxd1w3D9PDDD3/T + N/29X/iFf4VYngaGcQTQtotxLKLJLzW4zsv6N9Xyulgs1ut1hFfNUzgBpJREZG9vD8AwDF3XxXTO + O/zwiO49ItK2bQTFYfv/ImrmrnX5u7uBRERERET0wBnHUVVzzrXWnHPsp8+/ikikpmli+pVfp6jt + GmJZICreIiQ70rIjPNvM4n5j5wjcDyIiIiIiIiIiupEItG7bdpqmnPM0TQBivaXW2nXdMAxx/u7u + 7nFv7AkgMAhQFVfrda2AwwRIArjCgbY10XHoyzjtdt00DtXRLhKGevSKcxy1AXrTi2q6DdiuabM5 + Emc64Ggurn/8xa/82t/8LUgZ2pK73QrofdnbTHR/SSlFQ3iEPbRtGyVDKaV4SY+198i0jl8d9yYT + EREREREREREREdExm0Ojo8A+DjccY6Z10zSllMjVdvfrBI0QERERERERERER0YNMVc+dOxcryW3b + 7u/vP/roo3/xFx8EMEdTxyibWmvMp72qSGIrpUzTpKoxneYuPg4iIiIiOsFM4BJ5yttAa4+Ya8Wl + lAUTV8xpymJjqS45aaNZRM2tuE/76/Vid7EaB0lNp2fTevmlz3v1i573BT/92z/xJ4/9wUc+9hGB + CMzqaFLblGqtJk/u/vZjK/a4U2oqtSm+xukLyy/8pJd95Yu++lndJ9aPolssoVrh01Db3KYmOYDO + Rx9baQTweLZdgEizVjgUMMC3mdauBa7i7Ku9ihtO/owCnpTSvHvFTGsiInrQcMWQiIiIiIiI6A7I + ue2nsl71b/iGR3/znb9VgZxRClRhBhEZx1FU3StEccupVRCR/f19d49G2VjXniuBpmlqmsbdYy4n + K4ToARH/s9q2xTbTPf4vHPd2ERERERHRgyv2UOaqtcg96rpu3lsXkfV63TRNDKK61dsXkaZpxnEU + kchYWiwWfd/HbyPoupTSdZ2qRkPRHXtsRERERERERET3o8hDjVWXGOYSCy/RYRiZ1uM4xpFoM1O9 + WlwzXUYu+zNOacRSX7pYSmjag93d6YnRVmNFcke7TNO6ZhUXQDw9ucYm+pxvnrqbWuRbGxDduS5Q + Raf5GZIwjDjb5rZxoFa0bCwlOgmOlgxhmz9hZlE7F6/ki8UCANvFiYiIiIiIiIiIiIgIwDiOOWcz + i4PCpZSo8z/GTZqmqW1bVY3ug0gfOcbtISIiIiIiIiIiIqJ7UCnF3c1MJJVir3v9G/7wD/9CFdVR + awUQmdYxgkZVza4e8hc3Ms/DiSJ8IiIiIqLbY+K6bQAXByRSlqHb3OvJamqySJqqjWPJjaaEWq1p + 0jj2bdtVs2k9NGnZyG7K6fVf+o2///53/ea7f/OP/uL3D9YXd3fb0oyr/qJuJ83HnahvWtfVI2z7 + 6psnvrnMkQ2+tQfo2xuXK7IkJLbm8ssrXCHzp/FLd6i+ubxEEDVUXVHkuac/6eV//VUvft5L91Zn + sN/utbsw7cuUuza5TMO4XC5LGS8eXNzd3fWpzpniwObZ3tzT5Zui/Kw/u2zH54ax1mYWu1fzTlYM + 82TkBxERPTjuufe8qLIVkVj3jAVQLm4SERERERHRXRZr9B6HAS4dDNguQ6tO05RSA0A1m9lY/GNP + HDz6d/7OH733vQY4MBUAcAM2q9XumxIfv3Kp/6jYF47g6vlOj5YHxTYcnbkZ05O5+0wPoHlAbXSP + M9OaiOgui/X8+Xt8hpkTHeJEKUVVmfFAREQPFBGZd9tjbyVSNOKc2HOJYrU4M95J47dHlwXidBxD + V9Vaq7uP44gjhXFzpvV8mwCGYQAQgUwppdVq1TSNiMRtRjJT0zRHc5ju70ymeHTzh5Occ631OnEm + 8du4ZFQscNWFiIiIiIiI6D4WqwTz8Y5YeImajRhoHheLJZpj3M4TQudvCUjRnSubhtsGeinXWoC2 + wblH/uYv/8rvfc7nyMqGYk2TMRYHJkkuSF6TuyDaeeXKLt/rM9lU/ogLXAGBa4K6lkGltHmUAdoj + tQXaAZkrQEQnx1wyBCBenOM713KJiIiIiIiIiIiIiOiqItAa21L8o8cajkW0FcTGHI0SuafMwwBV + dQ4CjzOvdfla6zzMdxzHaGS4e1tMRPTUsJ+IiIiIiIiIiI6LIonIOI47OzsHq/2cc865lKJNO4xj + 27Ze8c3f9M3vevd7k6Je6DeJAwAAIABJREFUGnILHJk2E0Nr50m2R6fZAKi1zuPg5gVzrn4QERHR + fUxE4B4ffsxq4ief26UOQ6RWA7DIezbMqdKXohOOpEeLe3X3JEhZ4eYFCoUjS7ZSBWi1hcMMje3J + B7oXLr/kM7/wJX/6V9/7b/7oX//e+377ifVHu+XeWA6lLS6AwR0KiKNWVIFniMIEVmGACFJSMyRR + MfdqXj1vP/qOqNfKwD6yxduHKygKAyAW2dhzljYAd0jc3fYjtyE52hrnqIu4+Wjm4kiCpFATr1JH + zdK0ze5pf8ZXf/7XfvozXvDIqWf5QfIptW1njlLGlNTLpECbch0ngSzaRZ2qRoy4XzbowI4+KHU1 + mHjCkXzxB1xUvGxme+am7/uUpNbrlejEZIlpmub9KWZaE91r3H3OnseRQTHXunwM8o3TpZSmaa4/ + ivZeE6OD5+K9cRyPvfSR7m982yMiIiIiIiK6BZEstX9wsFgscs4XLlw4d+7hfj3+8Z/82Rve8A3n + z58HkFKKWMeoBLryNq5/F23bzpFUIhJ5S3f+kRARERE9ZfHRKBIdUkoppfnIVhzr6vt+sVhM08SY + ByIioquKIpgoeWzbdhiGOTYpopex7RqqtS4Wi6Mh1jcjlib29vYAjOM4RzTFDKyjA1bmvOc7/Ajv + Jaoan1Xio8t1LplSmnO+VTVKee7754eIiIiIiIiI6I6R+dvl56Sj54h6u5TFojdrstai0X7sly4/ + t9O6eHIAcoOqmyu2JFqEBRB4BqAwc4+OUy+HkIJaoYakYEM6EREREREREREREREREdFW9DvUWlV1 + bhW8TsaJiOSc42K11mgzjGr8u7jVRES3j/1ERERERERERHRc3L3v+52dnVJK27axQGFmTZyo+O7v + fuuvvOM32jaP4w2G00Y4U4ysyTmbmZkdzWOLaTOIoEciIiKi+x0/89wR+qS0UMOlTOsnuSJT9Opd + 4U++NSTLre+M+2Pu2hc860Wf+JxP+oLHX/xb733nv/uTfzu1h0O/KtJLNvNxqkiKZpGTmbmX4u7Q + hJQUQDXzClMDoAJJgMLcanXVJ2/Ktf9RqMOAZBB50nZGw7qqOByAGyYH4AniIrWWpmlNyjAMJv8/ + e/ceY1ua3vX997zvuuxddU6fnm6bGXOxjG0ggxMCiSObQEgQiSJQJPJf7BAbBcUeDQwG7AwYLIQI + FyETS1hRsAKGiD8ibERMCBc7ls3N2Nhk8OBLMB7fBmzPpefSp8+pqr3X5X2f/PFUrdl9+tQ+p053 + 3U5/P+ou7VO19rrttdde+13v+/zU9Wo71VlWNZ+oU3unudfY+m7/8m949xf/5n/3t9359Dtfss/W + 0Wl8slKq7va4us0XCqgmzRrAM9sTC30DnZ483xBx/UbR+a1pGncvpSz50Ldle2MDSymRaR2tRtGp + 77pXDc8t+kgBAAAAAPAYfk4Z3Fpr27YvvPDiZrMxy/fuvePkePt93/dPv/br3v/w4XHXdZERNY6j + znKnLiSltN1uU0q7yVXLwCcAAIAbJec8z/M0Tev1On4TxSai9oSk+MmwbQAAzlNKidE+KaVhGCSZ + mbtHHLWkrutimmgxuOj8YybuPs/zUk9qnucoC7UMN4quNs/xh3WUksk5d11Xa40t3XN94u7RFBN7 + rG3b5fIGAAAAAAAAb6HqNRfd7Q6HzYNGNSulpL5ryiRJu31lnmFYWHLJVW2ZQU2SaUryVUqHlu96 + 1TRotL7JkkYvrWXGbwEAAAAAAAAAAACApBjm0Pd9hKCsVqtxHKMy5nlPqbVGx/vow980DZnWAG4R + xhMBAAAAAIDrUn1+8R0vPHjwwMwODg8fPHjQNM3h4eGn7r/28ssv/7Fv+ON/42/+rci0jro0e2YV + E6xWq+126+6RaT3Pc601ithE6HVKiQwkAAAA3ARuddJGrSWpHNfDdPeL7v57n/cffN5vffdv/Scf + +Icf/tRPf+zoF+tqW1fTUXo4WHGbtVHXpC7lquKzq/rsbi7l0xHpbqpJNXmt7q5W6SyjukrnjVpP + kszVulJVkqqSTgO8q5uqqU5uyZQas1TN5amYsnxlY/bZzVKnYpJpKvKipq4Pm4Nuc/iSPueLP/8/ + /pIv+k/eefjLNp8YX1y/w4rN85xSirDVKEd5Fbv77crdb0uKLXAtbsspKJo1JDVNM01TdFFLKZ0X + CeTuER601ODt+/4WdQWJRp6c8zRNtda+78dxbJrmtrxeuI2e27LUAAAAAABchtVqdXy0SW2Tc3t8 + fJxT+x3f8R1/5s/82aPjQdI4jtF9J+dsZpEddSHRrh0NQ9vttpQSWVZv/ZYAAAC8OXE3LjpAlFJ2 + /9T3/TAM2+227/ta6xIeCQAAHhF9YiRFP5j1eh3Z1fHZmnMexzG6jNRaY1zQRRfxSI710qku6knF + BCmlGIP0vH5kT9MUeyD6IQ3DEMVlzps+9vmyiyStVqulDxMAAAAAAADeKsmSLI3b+SD1yo2mYary + YW6tkWSn/8stRgm/Luj6aZgr6TTZOqlK7sklZVl9cPSufq3jre5VuUqZaPwBAAAAAAAAAAAAgF19 + 38cAhKVPvvaWMY04WHc3MwKtAdw6jCcCAAAAAADXxd2naco5N01zcnJyeHiYUnrttddeeOGFv/S/ + fetf+2v/h85q0egs0+ix84nSNO4+DEM8JQrERT2ZpaU3mjt2G34BAACeY2ZGmu1NVq2OZWxy6nJv + pbPtlKf2oD98cXXvC/6LX/XJ4WM/8uF/+YM/8X0f/tTPrPvs61rGbbeyWqZSqiRLcvfsSiYzualI + 7nLJq5spZVORxTHwhv4ObjKPnOsa/5QrKZkrmSRVl05/qdQmV6qyeJ7MXe61dq2GrVf3rje3Ztqa + 1WZtd7r5zue+9Cu/+Nd/6W/4/C/5Jd3nlIdtc7+7162PTx6U5GYWSRallOWiHW+hR/q3kGwN7HFb + 3h0555OTE0nTNEmqtR4eHh4fH+95yjiOOee2baP7xzzPq9XqtmxvrKe7RwNOrXXp0AJcEi5HAAAA + AAB4HKuP/saTpGEq7Wrt7skaa/Jf+St/9c/9uf9ZUtvmUrzrukifir47bdtGq9bTc/f1er3ZbB48 + eCCp1kpHHwAAcDNFjwczm+c5HnRdN89z9GPOOfd9H79nwDYAAHvsDvJ5+PBh0zQ550i5Dk3TTNMU + nQ4vOvOmaeKTemmgaNs2+ti5e6015tm2rbs/x90ZdzOtJcVVyn5LDZolA5tLGgAAAAAAgLdcmedG + KeV+W2tXR6m0q07z7GeVdnQ2Hsxj6K+ULjhALJKt46k1FZlcmkt5+eCuPvbJH/qvfueX/MsPltay + cplnaxjEBQAAAAAAAAAAAADSWcGEGOMQ3em7rovI6j1Pib/GmIgYZnhlKwwAbx7jiQAAAAAAwLVo + 2vbh0dELL7wwjqO7j+PoKa8O7/yff/Nv/ck/+WeV1DZ5moqZuZuZSY8vQVNKTOMppWjjjd+7+3a7 + Xa1WkuZ5bppmaQYBAAB4vsUtbDOTbkeA5dvTnburYRjGcZuVO2tSzdrUqXR5Si/4L/mNn/efffGv + +Y0f/tTP/vBPfOBf/5t/9enxEyf9q2Memqy2becyzZOnpL7XPMiT4vaeV1WXWUopuZ9FVoedXg/V + lKS6+xupWJVSBF0v01eT1Tp7rVVmSkkpKZtS0lTUNGrUpOGgHOeDeveXvfSFn//OL/ySL/pNn33n + nS+0L9Zjja95n3JrdrJ5mNucGos6kLXWuIBPKe3Wn8Rbwt1lMrPqXmu9JTm2wDXY0x/sRpnn+eDg + IOecUprnOed8fHwcLSGPnT5OrXGmjWq0UaH3FpXejZcmVj6lJKnWGg+Ay3Br3hsAAAAAANwE4zi3 + rSVrpmn62q/9ur//979bkplK8VrrdrtNKUW4tZldNNM6bDYbd3f3eZ7bti2lpJRuS3MeAAB4u1mu + Utq2HYZhudG125s5pUQPZgAAzrP7lT96h0SXkehr2HXdOI7R92VPd5nzxLOapoluizrLt45w65RS + fGTfro41zyzqyCxdNvdXyOq6Ll6IyMAehqHrOtpnAAAAAAAA3lpN08js42X+lXdfGI4euvvBOJYq + pSQpSXbWHmaqrnTRTOvXDSy21w05no+OXrL0se2JyuzSPNemaeSvewYAAAAAAAAAAAAAvG3FYIR4 + fHx83DRN3/f7a2K6+zAMfd8vfe/3x2ADwE3DeCIAAAAAAHAtUkqr1WqapnEcDw4OpmnKTfO93/u9 + 3/AN3yBJrmkqd+7cOTo6SinvybpbStNEE4ekaZratpW0Xq8lHR0dHR4e6vYEVgEAALx5ZkaW7U2W + pJOjjXtZtauu6evkXmSWD9pmGue7/UvDuDnZnvzqu7/u3b/l17368P6HX/3ZH/zZf/Lz9z/86U+/ + UtO0Wltth3HeDsejJ5nLslKSVTN38xSZ1f6G69+IsnZTPftrjDJ3qZ52jUiyGkPd49lNc5r1aJbN + VWsts/uk1ro8d005eHH1rs//wnf/2s/99V/4zne/fPBO26R26NNGXtSklHNSLSnXlFNcutdam6bJ + OS+FIvEWeqR0p/tFC3kCuHGiM9tS+j6SrfecP6PkbCllOSEs7SS3gplFSeHYzKVeMbHWuDzPf2Vq + AAAAAADeLE+SqiVJB+s77v6pT336q7/6q3/iJ37ybAKrXpcWnAi3jvsB8zxfeGnu0TAUTWO11j0Z + SwAAANfIzCKvupQyjmPcpYtLoNVqJSlu15FpDQDAeSJPOso26SzW2t3ned5uty+//PJms3kzA4Hi + 0zmirKMzSrRUxM9aa3ya767Mm9+oG2jpguPuT9MFZ5qmpeOOmdVa+76nKyIAAAAAAMBbzmu1Jv22 + 7/8HP/ylX/qr7vZ351Q322qqySVrXOl0/K+SS3qW4biuVEySstckVZeb+tS6KdlcpxN5alwlp1ne + UJMHAAAAAAAAAAAAACTtFPEspUTMSdQ9iKqgbxRp1ktiSoyMiGGGAHArMJ4IAAAAAABcl3meowG2 + 7/uperc++MF/9s+/7mvfv92Ww8P+6HiQdHR0IiWv+4a+uHvbttM0dV13fHxca23bttYaMzezO3fu + SIpCN89xnRkAAADcJp7u9HfmedZcN9M2yho3uanyeZ6b2vbpoPG+niiP6dA/67Pvfe5/9KX/6f3p + 07/4yX/zkz////3EL/zIR+7/3Nw87A4m9WXwk9ndpCg36NWzJDutPVhNUtVZiPXpTzt9XC3Gs0uS + eTp7IEnJZZ7m7WxmSbm6mZtZ0zfdOh18tv3SX/sFv/6LfvW//853/PJe99pykOdOD3JjjRVrTJbd + NU/zJmW1XTtMo6UmiiIuBRIlvZmak3gi7vwCe9yW80/Uve+6bhzHWGffG1lvZqWUnHPbtlGDN557 + dWv8pkV0d5T3j8e3KJYbtxFthQAAAAAAXECt9ad+6qd+3+9730c+8pHtdpLU5GYus5mllOZ5NrMl + zfrNZFpH81B0A3rrNwMAAOBNi5t2fd8Pw7D8RmeXQOM4Rt0KM+u6bqlhAQAAdjVNU0qJTOslelmS + u6/X69deey0+TOPjdSnw9PRKKSmlWqvO2hwkxQCkaMqIpbdte3Jy8tyPNVrKysSe3L8/m6aZpimS + v/VcZ34DAAAAAABcIzNTk9Q3R/fuHH3qfr/ZJlfbpa1Lcvck1Womea6S5BceDZd0OsZYuSapyGSu + Wj3LSvVVm1SKqmpKEaB9O8bbAQAAAAAAAAAAAMAla9s2hiTknGMsYRT6PG/6YRi6rpPk7jlnSXTC + B3Az7SnHzHgiAAAAAABwLaIWSjxOKX3wgx98z3ve8+DBJmcdHw86bdAwM6s16qU8fj7RuNE0zWaz + iVnFc6OJIwrBuXvXde5OuwcAAHi+PUPVPlwLk8bN3FibWku1zmnOTSOrw2a7Wq3K7HXyxros+ehS + OmjacTt/Vv85L33WZ/+ad/3a//xL/stPT5/42Y//5M+88pMf/ujPPJzvHw1Hs48pJTOX5MndZqlW + U5Ykl1WXZLXGuHKrJrlSjvXxlFxSMjdJuSbzlDznmlRz4yl53+Xu7uG9z3nnL/28X/F5n3PvV3zh + vXfnoU+1TWOfvEu1TSWbknk1q0XVa3UVSyb5VIvl1s5GtJdSIthitVpN03Qdr8DbAmcD4Pkwz/Nq + tdput5LMbCkwe9573N2j6K7O2l7GcVwq9N58sao558i0jo2lzj8u1Y1rLoy3QRz9kqIb63WvFAAA + AADgbScGVbZtO46j5ZRzq9kl/eiP/dh73/vej33sk02TXGqaxpVcSV4jYsrdH0mzXr7YRsedR5Ko + liClSMXWWdefGLS5xFkBeKyl8TRGBi4NStHM+vTNSvFOjHfl07dHxbDqi96R2l3WRZ940afo9TfM + nrhpMUFM8zS33h85lV3LRj39gh5Z2ydOvxwPT3NILNPsLuhC3uRGXehZpZSn3/M3/zi/6PuX43x3 + +qc/zh+ZYPfxkmktafeGXM45LqXiphf3ugAAOE9Ua9JOI8ByuRJ/ijpQ8dmdUorP7qUzzTKTUko0 + OyzTxJ92P6DjcfRZdPfoXuPu4zjGWKN5nnPOwzBE0vZuh5XtdrtarXR2YTCOY0RuX+a+eXZRNWZJ + Ck8pTdPUdd0y4Crn/EgDzhvnEM01tdZlz8eXzUeuD2m9AQAAAADg+RCtK9FyEs0Cu7+RFC0D17qO + zyMzlap+ddSvNtPUHazryWaaqhqLRGp5ktVnDJv2JLea5JLk1ZRdySVprjU1jZlmzfJZpiwxGhW4 + LaKZevm5nJ+XxttSSnTVW1qJAQAAAAAAAAAA8AyWu+S7kSp7jOOo11dXuLGDDgDgsXarviwFUXG7 + UJIeAAAAAHCTReTSbo24CJnejEPbttNczewTH/n4V/0P73n11aOm0VIZxd2jXJykeGpKaalhu9S5 + jUoy0zRFX/rdzBdJUUOGFg8AAPA2EddOZ6X5pNPCcde8VngMTzkludVJbimrrbMk65sDn2VWlVPR + LMXQ8zKnUtu5WpFbMzUv6KW79tKveOev/i3v+u31P6wPt6+98uCjH/nUz3/0/kdfee2jn7j/yqeP + PmHrsaRSVdxdqpa8xvGQPlPROg4Ns2RyL7WxlNSkYjblVV7f7V48XN37nJd++Tvvvetzf8kXvOvl + X3bY3W1q50WpNN1rba7ZlSRVk5uk2ZNc7qqSzCU15lb9dMSr74xrjyKQZFq/5ZbMglo9N800TWbi + JADcdksZ3iiuGy0h59ktzLs8vi2Z1pJqrUtB4Piw0FnzDnBJblysNQAAAAAAN0Gtte/7o6Ojey+8 + 42izdZ9r0fd///f/0T/6x1555dNtm6OVap7n0yq654i7Vl3XxSDMiESKrKmYINKPpmlaOv1cwdYB + z422bZeKtI8kiu3GjLVtO88zw88A4Mqs1+vNZhNXQUsuJgAAuKgIT4rHkSodX3nMbLvdxgfubvR1 + 9I+JrifTNC2Djp5e9FCptUYsdNu2tdbIdY5M6wi6Hsex67q3eGvfOrXWpmncfZqmvu/NLL4Vxs+Y + 5ol7JvZeNOPEiCx33838XrollVJItAIAAAAA4FaL3hrN6VjE00DrUkrf98MwNE1TSonWEipuX4q2 + lbUldauDw+NX7x+2SV6rJJe5SUo11VT9mXe8J2l5+mnhn9x0bnWa5F7UJFVZllcZ3XaA2yByrM1s + nuecc845Wq1LKXHSjob0OHVf98oCAAAAAAAAAAAAAAAAAAAAACCdlShZ6slEv/dpmlar1Waz6fv1 + Jz/5yd/ze37Pa6+9lrP2V0aJgjAxt2ma7ty5c3R05O4R7+TulEMBAADAreAWyc+P/DpJp7Gj1apU + 3c5qy9sslaRqNZs3qbbmTXKrJm18bffecfiuL7j77tpN3s2TxsGO/s0nPjzUk5Ph5Pj4+Pj4+OTk + aDsO8zwO8yS5pJRSanLbdm3bNin3bbPu1vfu3HvhzjteWL9wt3/xbvfCKh+UY3W+7rRu6kpHOZXs + NWVZOls3N5m5W60mU3E73QRXkqyqiTyLpLpsHQAAwM1x48pzUOYJAAAAAHATTKVYKW2/3oxD0zSb + k+E7v/O7/sSf+BMnJ2PONk5FUtv20zTpNDf38fOJzKRxHM3s8PAwOvpEctLh4eHx8XFkPUYMEpnW + wEUt9WfjndU0Tc45Yt5qrZHxFsXHr3tNAeDtZbPZmFmcoiP3MQIhrnu9AAC4NZaEpGWAUN/3tdZI + WY7g6s1mo7MRSmYWmdbx1xiwFAONLrrcmMk8z5H8ESRFnHPkXkem9c0cv7REbrt7rHCEfEuKfO54 + 0HXdOI575hOtN0useCRaRZT1OI6x4ZGMctlbBAAAAAAArsZyozkaEJbWlej40TTN0uyAt5DXap40 + qKurOtbTu0quxiRXcpmfjkMupmrKrnROF53zJFWTy+Um92Rea9Iwb/tVn6valFW3smqesiR/47Bn + ADdONGJP07Rer+M30eTbdd12u12tVjrrU8R9agAAAAAAAAAAAAAAAAAAAADADRHVS0optdamaZZC + bfNcq9swzu/9ve/71x/6WUkW8Xqv87rcu+hFX0oppfR9f3R0JClK02inXo12itgAAAC8PZk97toK + N0a16qlKkqflsjW54gK4nv3qM1e0npqapCpvJHPJrRZLklJSq7bxVOesMmtT3H3Snc8++OWzFd2V + Pisuql3JpGpm7l7lUV7ALEdpwXkqUjKzJDPLVmUn5kXrbuVVdXaVaqaUzbJJPmqWavLGXMmTPDWx + EVarVUnF5Jaq1ZqUXPJ60fHyeAbxPcjdJfNT171OAADcbDcu1jrERdt1rwUAAAAA4O3rzp07Dx48 + aNveLM9T/dZv/da/8Bf+V0ltm91d8qh6qbMs6j3fYpduPUdHR2YWhY9zzsfHx5Lu37+vswyq6FEE + 4ClFb7zlnbjkW0ep8ZRShKpGFFnf98MwXPMaA8DbRnR3juAHd2+ahlrhAABciJlN09S2bURHL40G + pZTNZtN1XbQ2pJTmeY4vQTr7CI45ROPDksp8ISmlruvcPb5PDcPQdV3f9/HXYRhyzhGw/ZZs7Ftr + 6WmwrF7sq2XnRED4/kzrpmli25d9GF82Y6SWuy+R3sMwNE1zM3cFAAAAAAB4GvFNX5KZRRtLNCYs + rSs552maSilkWl8Gd7cqtYdH27nW2qzaaZhSUnI3/8wg8eSakvzZh43HmOUkmZRS1apvx3mbJZWq + zVbToJK07t+arQJwmeZ5btu2aZrlBL5ommaapngcbcXcpwYAAAAAAAAAAAAAAAAAAAAA3BA5592i + JdM0mZknK/O8Xh9+xVd8xQc+8CNmiuDF/VEtUTglCqQMwxADo6IjfZSpWUZFtW17JRsHAABw00W6 + 7XWvBR7DrVYpWV0ugZeLYTt9kCL52iQpJ69SPk28tlqlyMAepzmbJ1mWJWuTukbmOpyH5JbcPSaT + JNVqSnI302l5gdPk4+rWdQellFKKezGzlFIyN7Ph4SYmzrmNAOxavPjs2d3cveaaqmrydLbmp2Nc + Y8HO0XdNzMylKCUBAAD2uIlxWVEHCgAAAACAa7TZDKX44eFqu93+j1/3h//u3/1/JHVdM4yzpJQa + KZVyGoC0pzG6bdvo7hNhSE3TbDab+GdKaSmsGem8l7xNwNtFrTX61UWR8ShlG289AMAVaJomrn+i + RHhcBQEAgAuptbZtG99rhmGISOlIko4hQzGOKO6tP5JpHbHNEbb0bEtfPr5j/rGsWmvkOi/51vGb + N7mlb61SyrKvYt2WMVfjOMYuGoYhJt4T+72EhccEh4eH2+12CbiKZOvj4+PDw8NlbwAAAAAAgFvK + zKI4i7tHc0EpJdphopEkWgmWpoab1h5y26WclaVOxzmlttPxUbtuTk7mdZJUpaibc9qGYxfNtLYq + JVmVuUvmVcrybKrTsO37NKq2Vd/+O37nf/M9/1Avv2MyNcZ4dOCmi152ZhZnaTPrum6e51rr0otv + aWPnpA0AAAAAAAAAAAAAAAAAAAAAuCHcPUpltm07TdM8z33fe8q11D/4B//QP/2nPyRJLkm1Ksqb + 7JlbjISKyUopkWYtqWmaKFZD13oAAABbQosvOkgZV8hcybyapOomqZokT8klJfOcPOWazVMMNp/z + 5FZ3pi+SZLVdJXOP6+5SS6m1VCllqavyGENuZlKVzJJN46hkZsmkuBCPeOph2HgymWRucbHtLqnv + 15Ksurt5cZermlksVOaqpiQVq6a6E6Etk5Kn5LFhShyN1+Gseqf2fs0CAOBt7Xa0Ie5vMwUAAAAA + 4C3n7i+++OIrr7zyu7/yv49M67bN4zi3bZtzrrVGWczVahW9gs6bzzRNUexYUuROdV3XNE1kWkcl + TXdPKW2326vaOOA5kVKKpLFIVluK1bZtG61J0X9umiYyrQHgKsUVTkopkjUDlzoAADyzvu/HcYxm + hPicbds2spn9tI9jL2kcx2h/GIYh2h+eYVmr1UpnoSCxIDsT37xiiRHvdAPHLOWcx3FcrVZmFrvL + zJqmiaab2EXxeLVa7Y/9jp3QdV1K6fj4OH65bLKZHR4eSopBYpe6UQAAAAAA4FJFe0vUcImfkuIG + dMRdR6tI5Kfu6R+CZ+bbE/XNV37vd5/4PBTN2/ngsK0mf/3OTv5sY3Sr5En6zHBiJbfUNWmeqqQ0 + l7snG1VpHi6emw3g2iwn5GgwjzJbcUqP3kTTNEXPomtdTQAAAAAAAAAAAAAAAAAAAAAATkXZlgi3 + rrWuVquDg4OTk5Nv+qZv+tt/++/1fZbkrlo/M/FjRSmVUoqfifoqtdZSyjiOUYsGAAAA2hmNiJsp + ucyVquWqXFP8TDUlly1jxHe4SUrVkpSk06BrUzWXl3pasFFyS25tya2nriS5Tv+rKlVe5aWUfr3q + um4pTihPZ3ES1cwbU5tySik31jRN06ZpGuZ5nGqZfZ5rLe6eLOd8ugmuCK6uJpfc4j93cykyslOu + KXm6LZGRt93ue39ghocLAAAgAElEQVSpogkAAPZornsFnoxPdAAAAADA1Wua7kMf+unf+973fehD + P9c0MrNpKm3TjtNpCeOcc60eIVJt2+6mNj4i+gxFjrWkmNLdx3GMiKlhGFarVQRHAbiQpmmWtqN4 + PM9zzjnnXEqJArW11pxz1Ku93rUFgLeJSL6MK59SSnR05lIHAIALiSjlCE6e53k3o7qUknNehg+N + 42hmBwcHEdRRa3X3lNKeloo9ttttLEJS27bTNMXXq67rIig62jdqrZHqdNPM83xwcBDr3zRN7IeI + LYlE6mXTtttt0zTnJZpEPLakcRzbts05T9O0TN91XWRcufvN3A8AAAAAAODpRaNBznkYhrj7HG0g + koZhiEaYeZ7neY5bHgxdfmsVr/neobazmskP1/10UoZ5PJ7UqJhal7miT0B+pmElbi5T9tMhy3V5 + 9Uptkky2rikNW/koHTbi1QVuBzOLrndRbyvasUspfd+7e9M0ktq23Ww26/X6ulcWAAAAAAAAAAAA + AAAAAAAAAABJipowUTNTUtu2v/ALv/Adf/v//kt/6X/v+lRml58W1SzFo7CJJKk+Mp8oudk0zTAM + tVadhbQt46RiJNRu+RoAAADgZjKprbsRijXGgy8jy6upWq15uSpOyVOuSzJ0ktXkFs+qNbvkOcli + fLm5ZF4kmZ2OWZckq2Y2DKMkryYpmSVLZpYsKbm73Ocid3czmaVkpiRLFtUG3CN6olavSWpdVSYp + edLukPb4pcnOtsc8krlx1QjBBADgidKTJwEAAAAA4G0kSala+tEf+fEv/7Lf9aEP/Vzb2jxrnr1t + 2mmeJO3cM/CU0hJ09FhLOeOoehxFM6MXUdd18zyXUlarVcRj06gNXNQ0TRGeGm9JM4uOesu7KR5E + xPW1rikAvI1E1GVkRuacJUX+5XWvFwAAt8zh4WF8o1m+10iqteacl4CliFVummYcx2EY4itSPD2a + IJ5BzH8301pSNH1EuHXOObKcb+Dn+3q9jthvSRFnIinWecmjSinF4K7zMq3jubEDm6aZpmmapr7v + Y/poCIpZLW1EV7FtAAAAAADgkkUY6lLApdba9320wDRNQ6b15UjZ0jiNvup02G+msWxnd3V9Ppug + SlVWJZl/ZrzuM3NztyqruZG5fPDsWvVJGuT1zc8fwBWIc3Xf9+M4RjN1NNKa2TAMZhan7lLKer2m + /RYAAAAAAAAAAAAAAAAAAAAAcEMsNWzNLKVmux1/4Ad+8M/+mW9KjaaxznOV1DRNKWUn0/rxUkqR + aW1m4zhGHU6dVYNZStaQaQ0AAN7mGBt+W5jLajKX1SbXlGpjnsyTlJJLklt1qzVVt1k6jbhO8d/p + ZKmxzpTNcvJGnqtU3aqnqqSz0an+mTzqmnPOOUclgWSNpFpr1LE3ycyalJumiQv4qGTo7lOdpzoX + 9yp5cp0FQKbT6/fPxG9/ZvM8Mdj1WiwJ4ruZBQAA4Dw3LtZ6Nxss/plS4hIfAAAAAPCmpVrVdZ2k + eZ5TStVnV/FkUy1F5ilb007Fq9L3/ZMf+LIv+12ffvWBS+PkLrlsKrNLUq11PvupUsqS4bTEHcU/ + +77XWW+eSHty92maIlFpmTiSlmJivv8CFxVvLkm7LUhRmjb++pT3ipbJlhC4WuveZ5x6mrdtrGTk + qMWyorPg08z/Ter7fpqm2Lp5np+4trsBeEvr3J7pY1vicdu2cX47T9yRrbUu0XHTNEX3xwtJKS0h + 5fM8xyu1P7Z8HMflUFk27TxL++Syaft3QqyAmS3rcDV3KCNmL5YV97a1847Y4xk+a274cX7R9y/H + uS5+nMcEsVFxPROXLrFpjxx40cdCZ4HWi0f+CQAAnsbuZV58mO6mKT9yJz0+heMabElu1s6ncLSK + LDM5T8whrj12r+giukln158Req03XADvyYp+a8UaxsXJsuhY+u4IK+18SYy/RqLJE+f/SPT1MAyS + zCyGfsVlXtM0ZhavwiPDunQjY78BAAAAAMB+yzd9nTWh7LbAMK7hUnjq2pWXSfPYtTmnZJLm1FZr + q5vcU6nm1dyfad+bm1zF5KYUwdhW3LzOkpKlppRJ3SyNcp3+B+Bm222SjUFnUYfL3ZfG26X3AgA8 + x+K8t/xz6ToFAAAAAAAAAAAAAAAAAACA65VzjiKQKSVXSVmWPOrfVpOnPFVPTfc9/+Af/aGv+yOS + yqylFMp2u5XkXqQq1bY97Rsfld90VohmKfyi11fdjF8uhQGvaosBAABulhhyqLMB48TZ3mQuVasl + ve6/ajVyrCMlOrki5TrVyLo+/Wv9zH+qptlnJTdzqZrPyWpKs9mUVHfSpiXJlE3ZTwexu7ufLi65 + 5WXQeaoeE0iezFLUsc5KWSn56X+mXGVVVm3Jrq7JlVzLNKdb+vqNwltld4e6yU2u5FKVm5kpV5OZ + jeOYkjgZAACwR3PdKwAAAAAAwBWZ53kY3My6rkspqSjnXFyr1WoYppTSsJ1Wq9W3fdu3f8M3/HFL + TSmva13ef+cp57zEHUUPnmEYIvDJ3cdxNLNaa/zyUjcTQJRrHMdxtVpFv7p4A+5Juu37PiLKYvph + GJZ+e49VSokgt5hnVMg9b+IomBudC2NB7n4FxXObphmGoW3bWNs4+cTj854SG77cd1+tVtGv8bFi + q+PUF3WBu66bpum8ZOXo71hrbZpmHMc4FT9lfPiuWmt0CIiZRFz3njjnZcUi5C9WeM+i3T02fKn7 + uf94SCnFBMuOXQ6nS5VzjpcpFhcv9P7Y49jqqOasJx0MuiXHuS7+/uU418WP893TSK21bdvlOieW + 3rbt8tLvT/4GAACXJD6vowkiPuLjKiISneODPq4bp2l6husTSfM8L31z27bt+75pmu12G79cLi/j + IuGyxzXFOkiKy6SIml6tVpe6UO20Di1X17EO8zznnOMqLnZU/Il+zAAAAAAAAPuZTO4pZTXNttba + tb6dVXXWulSls0DrZ2pocaVq9WyAqSVXteqS5bbO1eRtyvW111SLYpkuJ9oauNnijvw8z9EMXmtd + ug1E0/HSySFuuNNOC+B5FZ0ku66L8150W6L0IAAAAAAAAAAAAAAAAAAAwLU7Pj5+8cUXx3Espbi8 + lCKpaZq5arvdrteHXbv6R//oH3/N1/yBUtS2eZzKY+cThWKiC/0wDFE7LuoN3rlz59VXX42Ct03T + PLGuIAAAAHBjnY4Ctfrozx3p0aGiVftGn9fHPeWZ1y995udj/65z//QGBFpfIU8yL3GYnP/yAQCA + xS1I0qKACAAAAADgzcvZ1us+AilLKfM8T9VTk0qZx3E8OLhzcrztuv4bv/HPf8u3/GVJXufluU8T + xVRK6bpuyZ6stS5B1yHKxvV9H2GThFsDlycy1dq23Ww2TdOYWXTCO2/6yKztui5640Xk2/6eefGn + Uoq7L6Gwe0SHv5j58tyL9vx7tlayJUqtlNI0zf6FxobHDon+i3tOVpHduzvDJVjusSL4NiLuYm/H + 3nuGjZrnOc66Uap4mfljJ456nbsLKqWklPa8arubv0QAnmf3gInUwHEcm6aJ4/DyxGeZzo6liPF7 + 4kLjcI2nPPEIvBXH+TO8fznOl/k//XEeeyDCGnX2WuvsiIqfS2XwZ9hYAADw5kWmtZktV2JxMRCN + FXFpobMvCHHhdNFFxKx0do3k7tM0RZazzi4YhmHo+/4KLgniOieCpePxer1+ho16tkWnlJbrz7hg + yznH1Vp889IzXQwDAAAAAAC8DdVaay1Nk1R96LuPbz/9zv6OD8NTdNV5ipmfBlXLpOQyl6TkqqaT + eTpcH/h2tO30S+/e0eCSb0pdtclEHCRwoy3dEqLJuuu6yLSOO+CSouuCu0em9dP0/QOA22g50cWt + q/hlk5ta5v1PBAAAAAAAAAAAAAAAAAAAwKWKCiTb4WS1Wpm1pZRhKuM8dt2qbfta9GM/9qPvf//7 + 57lKms7JtNZZ6bkl2Xqe56Xs2/3796PzvM5qytVaqQIHAAAAAI9FCCYAAE904zK0KBcCAAAAALgM + 2+12vV5LirijpmlMp8UrpXRyvE0pve997/ue7/keSTnbXC7cvhxlMaNc5uHh4Xa7lRRRkUvMUgQd + kWkNXKqIWEspRbqYme3JtJYUU+oshm15q+6ff8TFLb339pfBjdizpWzuknN2qaKG79K5sGma7Xa7 + f1fsBiTHU/bE6EqK5LY40dmZPRPHgyUBTk/ab4/l7m9MidsfF7ek7kXydAQAnzfxsslL/PMTM86X + IL1YsTicLltsS6RTL4fWnuljVy+Hd+yQPbHHt+U4v+j7Vxznki5+nEtaTiDLZEuae+wcnTXv708K + BwAAlycu23ZHGbn7PM8HBwfTNK1Wq+12G5c0EUR9UeM4tm2bUhqGIeKcx3E0s7jwiIFPfd/H0i+7 + 356ZxSVNSikuP2JlLnu5MdYrLn5KKW3bRkPQsujlCnC5jLzU9QEAAAAAALjtUkruWTK17f0m66UX + Nq9NhynLlzhGq3KZ9t3KOl9JSv6ZTGtJ5pKpX68+uTl5ueleXK9evb/5v37b7/ivf+RH853kcjEi + FbjZook7+iTM8zyOY3R+mOc5+gcu/QeOjo7u3LnDOHMAz6vlRKezU996vd5uNhQjBAAAAAAAAAAA + AAAAAAAAuF5RlSXqBB4dHfV9v16vm6Y5OjpZr9c//VM/+573vOeTn7zfNHLX/j7v0zTprNpt1B6M + CrdLob9hGPq+l0SmNQAAAAC8kZnV6u4MOAYA4AmooQwAAAAAeFuIlKNIFTpNGKp1mqam6do2PXz4 + 8A/8gT/4gz/4gZzN5Y9kWj9NS3NUyZQUvXyOj4+XhUYlzYiNJM0IuBpL6ury/t0TJxY5rBFLllKK + Dnl7Ym4j6Dcex8T7Y9tKKUt48JIz9wwbddG7Xjnn4+Pj9Xq9ZNqtVqs9cbPLZEsMm7vvScY1s+Pj + 48PDQ53FOWunX+Mb7e6rSEq+0OYslhuAsZ4xwz3dKB9ZpbZtY7XP2662bZc452XNl53zRsuhEqHC + 2tmBF9qui8Ye62y3L6HakSR9XjJxhBcu++qJHU9vy3F+0fcvx/kywYWO83meV6tVbE5MttlsDg8P + x3Hsum6JEnf3/RnhAADg8kSq9HJ1lFKKi8Oc85K7vEQvP0OXumjuiJFOOhs9pbPrlrhCiNSQmOAZ + rm8vJCKlJcWlSNu2Oecr6C04TVNsezQERUrKdrtd9m0M/eq6ThLXRQAAAAAAAE80F885u2RJv/27 + v+tHf9NvXmcbxk1vWapSkqokl6rp2YrrVFN+Q6ORu7+4Xvk4nzx8+PLBCy+nVslMsktv2QLwZplZ + 3/fb7TYaxuOX0TFvs9nUWmut0YQbmda8qwE8r9z9zp070SFwnufoz9Pmppb5ulcNAAAAAAAAAAAA + AAAAAADgbW0uoyXrcjdNU7Kmyd3xZmNmd+688OGf+7df9VVf/clPflrSPKtp21KKn1Owbin9F2Xi + oqrtI9Vj+r6P3vXnFSEEAAAAgLcnd5dORxnXWom1BgBgv2cr7HO5lnLbeqaC2gAAAAAAvNGS9Rjl + 2zabTc55vT6stX7oJ3/6y77syz/4wQ9KKsWbpokopgtVtFxymyJZU1IEGkXXn2EYzCziMCP2CcDl + if52Osty01nGrZ1D0na7jby3YRi0NxNXUgS7xrt+t/HqvPnHWSXOA/FAOyeNC23XhdRaDw8PI104 + Fh3Ra3u2y8ymaYo1XJJrz5u/uy+hubFPpmk6L+s3phnHMfbANE1xpl3Ot09veWKsamzXnvDgvu8j + ey+mWVb7vPlvNpvouCkpVjV25nnzb9s25j8MQxxF2+32GV6vJx8BrxeHUOwBM4sH8Vrvn3+8ZPH0 + Pdt1W45zXfz9axznFz/Om6aJ7bKz1/rw8LDWumS6P3z4UK9v2wcAAFesaZr4NI+853Ecc87zPC/X + chH5HAOQnuEju5SyWq3iubtR2ZJqrXGB5O5t2+750vEWWi7/4ppnnufIk76CRS/x1RGIstlsdoO9 + m6bpum4YhqtZGQAAAAAAgNvO3SRN1dX2aptPtE1774VOn7nfV9+Ku09V8tfPZ7MdttttrbXL2aYp + zVXVmmVYKoAbLKUUHTOapokm4t0eDtF3Iv66dOQDgOdVKWU56UVXyZlMawAAAAAAAAAAAAAAAAAA + gJshyqEcHBxEFvV6ffgLP/+R973vfR/+8M+XIjPlfFq09rw51FqjumApJedca621Rj2Z6DMf1eea + psk5k+oCAAAAAOeJb0xUzgYAYI+rqCj95kWdketeCwAAAADALTZO21qrUpPkUy2pbZqme/Dgwc/8 + 9M+9972/9xc/+opcOWczm+fo0+NLnuVTLiICsyMgKroNxXMfPnx49+7dyLbMOXddd1kbCUCStPS6 + i/fjdruN9LXz3s5mtlqt4lnxIIKKz0vGjfqPS21cP3Pe+pjZOI5939da3T3y3p4h6e2iPQWXur0R + rlZr7bpuTztbxOC1bRtnsEiqW6/Xe/bbOI5d10VOsKQ9WcIxfdd18zzHHlhepou2+7l7SileJknz + PMd27XlKTBk7IeKB9zxlvV4vE0QfzejQed7M41BZQv6WB8/wel1o+lilvu9LKWbW9/3+7dJZkGEc + tPH0OJgf6xYd5xd6/3Kch4se5+4eOyEOjDi3LCHWOee7d+/GDKOXc5x+AQDAVZrnuW3bJbi667qT + k5ODg4P463a77ft+aZp4hvk3TbPdbuNaq5SyXBWklGqtkTCdc46MkCsLC4mmGDOL1Yh/XvYSp2nq + um4cx6OjI53lfMe4r5RSXICtVqtIVQEAAAAAAMB+bbOe5kmmez7/O9J73nXv8x9ufmXTa97K0nKv + 65kHk+R6GmhdpWQyl6TkemG1KvOsuXQHBw+248/94oe/5qV7PxIB2G9+qwBcvmgQjlZrSfFgtVo9 + 0uVvabgGgOfP7ikuTn2r1WrgFhUAAAAAAAAAAAAAAAAAAMB1O607lxqpbsZhnueXXvqsj3/841// + 9V//4z/+r6orZyvFvcq9Skk6N9k6ysVEpZdxHJfib1Ftb+k8T5gLAAAAAOwyMz/7rrS/sjoAAAjn + xpYAAAAAAPA8aZqmbdvIemyaZr0+fO211/7ZD/zQl3/5f/uRj7ySTG2bSynzPC8Zn0v851MuIpKT + 3H3JtI5G6rt370YNzZzzPM+RBAng8izp8pJqravVKnJ27RySIpPe3ePBOI77k2vjbW5mkaAWSzxv + /pEnHe/9nHPkvT1Dzdzz5r+HpCVWLSJy95zTIoMt9oCZDcPQdV085bEi5lZnsdlRJviJsceRmzsM + Q845ekledKNSStM0LXuyaZroYXnecmOVpmmK50pa4r0fq5TSdd0wDGYW5+1IyDtv/m3bjuMYU0aI + YHwcXHS7nngAPCIOodiT8fIt0cvnzT9e2Tho49PqiYu4+ce5Lvj+5TgPFz3O4ykx54jc1lmEdkwQ + 4Y5x8JNpDQDAtWiaJq4GlyuWg4ODeiaSPJqmiS8Iz9A0ER/0S7NJXDxEnHNc/Ojs8i+uHN7KbTtH + 27bLEmNlLjvTWlJcG8d1l6SmaeJCNK6H46rMzCLTemliAgAAAAAAwHnmMkpqmlamT0o/8+BhPrw7 + z8Oj0z3TKNHkSv74SOx5GCVZY0cPH6RkSRreRHg2gKu0dBXoui6axOPWfM55u90uTbXRc4BMawDP + sTjFxeku+jhtt9uc6LcDAAAAAAAAAAAAAAAAAABwzaLYnbtHVZb1+vD+/fvf8Mf++D/+xz8QndxL + 8aVgy566eVEuJqrHbLfbKLgXNfSi2lvTNKWUqKRHSBsAAAAAAACAZ9Zc9wo8KkK/UkpRUDuaRPeX + n15qQ0uKUt1RPvvKVjh+xgrsSRa5VNe13GXpy364miUuiSzLP/X6GJUrtht6t/zyQul3AAAAAN4q + ES8aXy0jyDb63LilaZ6aptlutwcHd7bb7bf99b/xjd/458fZJXlVrUVKkh75ZvHGbzo551JK27YR + YhSLiPJwS9yjzlI24ylLh6HlAYDLFv3zlsS1/RPHezP67UmKFNs93vju3tMI8Mg69H2vq4p9XaJn + 45/7FxoTL1v0xPV8ZJNjh+9vDFl2bMx8f3b4HvHEmIme9HrFKj2yrD3rGZu8zDx2yP5DKFbgik/1 + u+u5rN6e9Vw2eTnan3gQ3pbj/ELvX47z8AzH+bKXYraPvOKxaK5zAAC4XvHRvHvFct7ne7RmzPPc + tm3cda21RkPKMqsltXq33SPu5uvsDn788pG7+Vd2yzjSSnTWknNl2SS7G7i77eM4PjLBdd28BgAA + AAAAuE3cc2rmadpKJ9IvbOqmL2bZNZtkUnK5SVJymSe3Cze5pJpqerTtyO1sttmy+UHOIvsWuCWW + NtilVTbah+Pn8sulDRkAnm/L6S5OgKWWJ/SVBAAAAAAAAICnFiXU4meEpjxxCGEMVVhKCC5Pv5oV + BoA3L0ZjlVKWIqjPPFQcAAAAAAC8HUT/9qXAS1QaMbOpeNuvx3Gstfb92qv9T3/yT/+9v/fdbtJZ + 2ZJ5rpJJ2i1s6+4xk6WgbhS2XaZZFr0s9JECcQAAANBngsxOr5HcXSZ354IJePsopTRtKsUl5ZyH + YUhJlIcEAGCPGxcykVIys1LK0lSaUopW1POmjyjr3d6rV9aN9ZGlxJpfwXLf6HorYr9xP1x2sfLl + hd6N0zazJ4ZUXZJ5nu3MIysJAAAA4IptNpucc3SsiXCmWus0TWX21Wo1juN6fTiO4zf/hf/lL/7F + v/wM82+aJr4CRCU4dz88PDw+Po6Ep5zzyclJfEcj2REAAAAAANxYKaVSStu2tdau6+JOd9zqrbWu + 1+vNZmNm0RJy3SsLAAAAAACA55cXSUXaSJ9yjTnt1uiRZG9iaIK5yfyNYww8maxW1Wpq3e90nW02 + 5XWLBQAAAAAAAAAAAIC3taWEWq01kqp1Vu1tj6ixEIUBRR02ALdNSmkcx67rJC0PAAAAAAAAzpNz + rrUuadbRjmpmc5lzzimllJrtZvzmb/7mb/u2v5mz5nNiTCJaJWq/RKWXCGdx9zt37rz66quR29I0 + TZS9vcJNBAAAeH6YGQOJgbezSw5UBADg1rtxCVtLMHDTNBEJVmvNOZ9XKTtaUd19aaiNKttXs6q7 + mcrx87pila9ruY/shHDZmdaSlsb0RzouX1eseMSx6w152/SoBgAAAK6embVtK2kYBp19XUoptV0/ + jmPO7TAMf/j9X/93/s53vb4G7tN+q5rnuW3baZriS2vXdcfHxzG60szGcZznOaUUWdqxJgAAAAAA + ADdNSsnd53mutUbLRoxrip+RaS2JTGsAAAAAAABcpupuybJ72qh+WppSmlO1quyn/XqSS2823Fpu + kieXTKqmaqpJpSiZuur31ittNp6kqxiJAgAAAAAAAAAAAAC3QxT0i7oKOedxHFNKMQDhjWJ4Qtd1 + MXEpZanMBgC3Qtd1EWUdZ7/lhHbd6wUAAAAAAG60JapjUWvtutVrrz08ODgw5W//9r/+Ld/yrS75 + o4NW6mNnYmY552ma4vH9+/fdPdoooixMrfW6ckkAAABuKbPXpREAeDvY7bKyhAkCAIA9blysdcRU + l1KizdTMaq3jOJ7XM3WJN5ZkZlF6W1eSrLxYkoyvbIlvdF1LP29vX3ZP4nmel1jrZVlLq/rVWwK2 + F2+8iwAAAADgakSktLunlKKrjbs3TVPct9ttzvkr/rvf/cEP/nhKspRKqRe9cm+aJq72Sylt247j + mHOOhKfdYZkxIJPuPgAAAAAA4AaKcUpxOz7uuY/j2Pe9znKsI9xaUjR0XPPqAgAAAAAA4DnlkquY + J1eaVR9Kg2pJavx0eHi101hr6dl74Pjrevonqaq6siRlUyp+0LX96R8AAAAAAAAAAAAAAJI0TVPb + tlHVLTJUuq7bM33EXcez4im7/wSAm2NPichSSq016qBKyjlTNwYAAAAAAOwRiSq78SinSrl7514p + 5Tu/6zv/1J/60zlrLtpT/DYiNsxsnucItI7CL7XWUkq0vg7DEJVhaKwAAAAAgKe03B2u9cKJJAAA + vN3cuFjrnHPTNCmlUso0TfHPPdNHBe3l43+JFr7sWOXd7mjRehsxxteVZHzZ27vHsslX2Yr9xhd6 + aai/snXYVWt9ZK0CydYAAADA1UspRS+ctm1TSvM8R18c5eb+qw++8iu/8t/+249I6vv+ZDPEM3ae + XSUpLu3PuZxfYp/cPb6TLt9MSynLwKSY+Bq/rAEAAAAAAJwniqrEPU2djVyKm5tmtmRad103jmNK + Ke6HAgAAAAAAAG8tS/IqV5GSK88qR/MwJ7VFLlU7/ZneRK98l6rkpnz2m+RK7qlaMiWp1tLI7khd + 1SSVt2K7AAAAAAAAAAAAAOC2a9t2HMeu66LAQtM0m81mtVqdV0LB3bfb7Wq10llNhnj61a41ADw7 + d08pLWe5CLSmbgwAAAAAANij1hpx1JGRcRZxbeNYrGt+6If++e///X/ITDtVW6L+7U4Vl7P6t1HY + tu/7YRi0E/+xPOj7fp5nM1sK3gIAAOCJuNcDvG3tRgdeY6whAAC3yI2LtR6GIUpmp5TGcYxIMHc/ + r0x2SinSlGutS5XtK1jP3bWK5cbFx3W15F5vnPayByTVWqMT3qUuNzr5Lc30y0t/Xd8Gl9f9ja38 + AAAAAK5ezjnylqZpappmvV6X4j/0//6Lr/mar3nllVcltW3ebAaddtmZLjr/Uoq7t207TVMsKL4C + RIp2JD8xzBIAAAAAANxYcWt1acfo+z7aN6I5JcpItW0b4dZkWgMAAAAAAOCymGSSx8Omqjw42Uyp + rsyryS0yrS2SrU2SJ9nFWquqqSS5ZKbksShll1XNppxV5LWWz5LWUiXWGgAAAAAAAAAAAADOREm3 + nPPR0dGdO3fW6/X+iWOCo6Ojw8NDUR8cwE11XpXIiKGK8VZRTnO73cYwKwAAAAAAgMeKvIwlLOMs + NiWt2/4DH+Q4vesAACAASURBVPgXX/EVv7tt0zhWnVVxOS+8ImbSNE1kWjdNE0Vg4pellFpr27ZN + 00iK/Owr3EoAAAAAuPUIEwQA4IluXKz1vXv3SinDMKxWq6UdNnp3PXb6iCiLEOXdz/7Lvg4ws8hv + 1k7E8hUs92aKzY9XYffx5Vkqpy/h4imlnHMp11NFqtZqZ5YVo1kfAAAAuBYxNCi+IKSUVqvVxz72 + sR/+4X/5de//I6+9diJpteo221FSSs04zq978lNcwseczSznPE1TKWWapliomcW31KZppmnqum6a + prZtL2U7AQAAAAAAntU4jimlGLkUg5r6vpeUc5Y0DENKqW3bqMYi6bruwwIAAAAAAOB5ZnJJSVJS + sSK59Op2nO82fpZp7Weh18/MTbPJTbmqni03JanWRkrZNtmL13d2q8NxO0jjm94sAAAAAAAAAAAA + AHgOzPPctm0MN7hz544kd48xCOdNn3M2s5g4nrinfiAAXJfz6kPmnMdx7LouCopGpnUpJUZXAQAA + AAAAvNFuO0PUpC2ljOPwix995au+6j1t2w7DZCnlnKepPFrxdudf7p5zjgbVaJq4f/9+BLVEVdsl + AOX/Z+/uYmXp0vqw/5+1VlX33vuceRnGCMeJo9jhIreWcEJy6SsUmxu4IQnj0ZiRJeIxYOERDhDz + kYkTCInDRLZskFEMthQpTiY2joKRkBVPQEQOniHATMB2wHjiGRgz855z9kdX1VrPk4une+3a3V29 + P87e3Xuf8/9ppt4+vatXraquru5evdb6M/yCiIiI6LZE5K1MkyOiK/xblQh4QSAiIpry6Pp6/uIn + f+nv/m8/ffriZXs0t1xUMEvNYugjRAXBsLacpaaZz2apUQGKqmBqzXtfFlgwSIrzpo1t04RoQbwO + e9j62vLLnr/nINtFUQvShBjbZt60kqIfGVF76K0jhgjJpqJmQWapiW3TxnSQ4xAhiGGWmtAkPxPE + zAJk7zXhkksuueSSSy655PLOyzfDYziS+zz+W0uLItlU+zyoHbWzV+cXf+fv/N3v+89+EAYRiGCx + 6AGIiKreYaM+4qiUslgsfOClB1f72KSjoyMfYNk0DTOtiYiIiIiIiOhxatsWq951AGazmTdoeGvJ + bDYb51gz05qIiIiIiIiIiB5UEPNOPBn4IrCI7SC5sQIpYlCRYAEeby236e1jAYCYAKuO/QAAASQE + lGIGGESCGN6XmpN+8RJY5mjXGX4Mo2TtAFGx+hciIiIiIiIiIiIiIiIiojeWp6d4vKuI+OQJOzKq + /U81YcUfyExrInpCVLVe9ERkPp8DYKY1EREREREREV3L2xNCCEDouu7s7OL973//u+++qGFpwzA0 + zWwYhh2FqKqI5Jy9PdYbJUTEb6SUfPqXGCOTrYmIiIhuaPyhSRANW+bT8yHMRPR0TbyGA6CwABgQ + zIxp1kRERNd6dN09P/mpX/3kp77H5/3hkksu97kMgqZt2qYJMQYRNdtzHeA/g4g8f/bsUMchxNik + FFNKMXp99rBdmE+Htjzm4zoc5DikGFPTzNpWQoCZmsUQJAQt5aG3Xo/ArG1T0/izYKoHOQ7HR0cQ + 8X0PIv5M+dF40O1qKRCpz0KI0Y8MrJhADA+0DD5rn5rCAgRBogQEeXZ88qDb3VEfiaGJKbVNlCAx + iEFhD71dKyoxBIjCoCYxzJo2tU0K4SDHIUqITWpT40egPlN7OP5+tBEkhRib1MQUUtz/EfDl8fxI + YvBzsp6re9hufUXEJs3bWWob9dcjxAD11+wNln3OEgMkQMQAg5Vlm9Xtyrnt0kR8W2qmBgQRCRJE + iz7odqeWWVViQAgi0KLqLXci+9m6H3Mz+FLNIGFzzTfD7qMxPg+njsP9Lj1H2kzL8jkPIQbN5b6O + /9btDkVDSnnIR8fP+kX3X/yXP/Tf//jfCAklw+eiXT52/I9xiSMhBKzGHXlqdd/3WCVbe5egEIL3 + 6fE4KACz2cxvMNOaiIiIiIiIiA6ulOLNFyEEVQ0heMtGzhmreaOwascIIYhISslXFpFSiojs6IhX + Rzr5hFNe7ENbq5K3zHRdt4dNT/F939sRICIiIiIiIqJ7FGMspfj3+tqE4m0jdZpaVcWqBeDQ9X2z + hICiVsospq6UDvht4Gz+/L0XrwKGYFCBWYSlErKghMlmqu1MQjDMihQxEy2CVIKPTTUgBGixqO0R + 2vfEPAcSEIACQIDoXd980Gowry0QTX0d3dL3iIiIiOgA6g9VhR9WiYiIiIiIiIiI6F6Nk1FuOHmC + r8ZIFSJ6inySGV7B3jCcn56IiIhonTzwvJtPPgzscdVfHtXnWVHD7U6hh66/8QvcQT38LL6P6/W4 + qo8CWI5tEQUgiDnnlNqU0mKxUC1A+OPv/8DnPveF+gowVQDDsJwURUSapu373geleF61wXxmGJ/p + BUDOOca4SssGAM+3BpsviIiIiG4gYJlM0TSNqoYgHtex/FJjq7UuvTE5FURvop3NETnnKKFpmpwz + RHPOs9mRqqpEiASDFvvVz3zmh374vwLAHw+JiIh2eHSx1s645JLLPS4hAjM1DEPJWQGo6v474a1S + reVLX3qx501vrQlwyJ6IPh3eobaOVedaMw8dXYY1PvRG1zaxOyNhP3wuQr9dj8aeN+1nQnjgX1F9 + z+r+ifj/pJSDPwUA9v3FXuRyiyEgxjjkstcaXOXP/jIGWSCCh748bD3g48NyKPUX8/3UJITLQx3C + 8gio4rY/3KckIYQYo/cMqO93D90DwMNmvPNBjDGl5HV40I3uMJ/PvQK1Dl437yrxcHx6WU/icf5E + TK3/0N2e3nnnnQctf6pblR8HPxOapvGOKQ9ak8qPf30b9dOgpj6vr3zL4z+1v8fHx69evTo+Pj47 + O/v0pz/9qU99ygTlTrO0qap33On7fjabdV3nu/Ps2bMvfelL/rHZ+wDV/j1ERERERERERI/HYrGY + z+cY/RJXo5c90Nqzmvz+k5MTj2XyZf15aMfvI15U27Z93/sDvRnqoeOdzKxpmmEYfGlmh8209gMI + wJuJSinelHTAKhERERERERHRzanqWisHAL9d+720bctA6wehSPM5zhcNkFMYMj4PfFHtK0MUAwTB + UCzo63WkjQp4SrYJALEA73ckEAVUwqDvNGkOrHcAutI9KUC8/30QKGpXfCIiIqID8R+k/Mcp+A9/ + q88rRERERERERERERERERERERERERA/CAkRvtEQAbrUkenpU9dmzZy9fnqpqSu0wDP/BN/6Hv/5P + /9+p9X2alL7vvf/nfD5fLBYA/J8xxvPzc5+R3qeFISIiIqJ7YD5GeXsihlgA1ITfSoiequcnz7qu + WywWs9ns/OLi+fPnFxcdAISkWkrRn//5n//Qn/wWn62gbVPfc9YIIiKi7dgiSUSXDpujXCfEP1Ti + Zp2Xfzw1/36SldcCjHHQRG23djLs4dyIMZrZeEN+EPYWurlm6/mwh/qIiB+EtU3v+dVp5rHBdqDD + v55m7f/cQ5zzeFu+dVWoHjLTGsB4+/u5NExdhg99Zdp3BUwvj/iVZ+GW1Rh6A8q4qfogee2PxOar + +6GPw3gT42va1HYPdNm7N9ceTpHLZ+EgJ2GtwPa/3rK0qT3w94uUQinquzmft4tFf8vigdV0bwBS + Sl3XNU3j4UzvvvuumXmUtadAqeoBk+OJiIiIiIiIiLaaz+ellBCCqpZS2ratmdY5Zw+HBpBSCiH4 + /SGEOvwpxqiqO363yjk3TeMJTycnJ6enp2a2h4SnlNIwDCJSk60BnJycnJ2dPfSmt/JD5AfND3jX + dX6QD1IfIiIiIiIiIro5/wqfc/ZWkRCCt5P0fe/J1j5BjLdF8Mv+A9B8sXgWpJRcEAw4B/Rkrqdn + JqvsaUMQeMr13Tax9V4ziECAAA2Wv+LoaIaadg0zQAGDwNdBWZXjPQI5Np2IiIgOy/s2hxA809p/ + qDLYU+8PT0RERERERERERERERERERERED8g4X+Juj6uf+GPsEGYBCDDcZGnw8+3my9tW5k67QPfk + 4a8mj+16tXF9WF5R7dWrVycnz/o+Ly667/iO7/jlX/nMjkuJD1CqE5IsFgufmd9DCvq+9yFOquoT + qjzU3hARERG98cRu+JXhMX7zIqI14xYtWf/KdX5+3vf9O++89/z8/J133um6zr92dUN59uzZf/ux + j/3wD//FIIAx05qIiOgajLUmIqylOG+9fz/Wop0Pzn/I2cNx2NyE/4z00Nvdyne5Vmk/RwCAz6Ky + Vg0cLuHbj//Wp+ZBt7v2ehwd/z3Eq4+rsX5jzw4Y9/uokoY3n5TdcbD35dFchpcOFQK9NQv5DjHM + PvclNl5Z+7/MH/b0HqcpV3uo0p43d60Dvb1fOf3Gx2Gf9Rlvd+o6c1+x1gBSCjkrgKaJpZS7ZVp7 + /pCItG3rPX6GYfDZ30opKSUAXdfNZjNf+Q6bICIiIiIiIiJ6UB6xDCCEUJOqffxSSklVPbgaq19q + vA2kBkX7nfWfW/lPKjHG09NTEfGcp4f+PcUTuFXVk62Pj4/Pz88PlWkNwFuQPDlARLxijLkiIiIi + IiIiehJyzk3TeC8Rbz9R1aZpVLXvewCLxcLzrX0uGL+T7o0EQLMagJOj43Jx+kXgVb8YIlTMB4hC + VEwhFu7S5qTbOxl5A5bARIKhsfK+Jh4BAApCBNTUFLicBklXs2v5rWBQCKfBIiIiooPJOfuYI5/N + sJQym82GrucHFCIiIiIiIiIiIiIiIiIiIiIiInoY4eqNe18+sgmRiW4gxhhjc36+aNv2B37gB/7e + z/zsfNaed/2O3pxm5rO4+PQvZqaq9YYPbgoh+LQqnOqWiIiIaF/4fYToqWqaZj6fv3r1KqV0enoa + YwwhLhaLbijf9V3f9T99/Kewyknp+zyft3dLLSEiInobMNaaiK7kFh8qQvgxbH0zRnqc7vzQ1ja0 + tzDpa2uyt2pMxXgfKt4b2/Z9D8/L2utxn6fB1k0d7vADG1Xaw8FYSyw+VJpytbnptTzaB+I/Vx/2 + mrzmIK9HjC5Bo23derteiMjlBJZ+j+43P1zkgBdUYHlNu3LPfio0PlVkdRS8o8Yetr7poU/bmxQ/ + PvL7f6X7dWzyff+21Zk4iczMM61jjMNQMAoWulXxfp6IiD8wpZRz9jiieuhms5nPChdjvGXtiYiI + iIiIiIgenM9i79nPHryE1bgm/2vTNGYWQiileKON51Jj1RjioU1T5TdN43/1NpOU0sXFxR72q25x + Npt1XXd+fj6bzYZhOFS7n7cg+ZAwzwJv2zbnfKj6EBEREREREdGt+FwwAEopPvlLvadpmmEYhmHY + 3UhCd6faNgl9FuD84rQFGuBlGTa6EWkw7110m15norAAUYMBELvyWAXEYGISLJXh2dB9mc+GJYAh + AsWAK9tT755lCMvAawOHqRMREdGh+HyFfd/XuQu7rosSDjkGhoiIiIiIiIiIiIiIiIiIiIiI3nLy + xPtXG+Njd7ntfKF260lnb3f8bz1/Kd2r2z+/T9t4d8enXpeLiM6Pj7/v+77/f/gf/2cRLPq849wU + kRCCT2k7nhPYzHxYE4A6ve2B55ImIiIierOoAPVbh3975XcKoqdoo/Xmolu0bZuaZj6fX1x0IgEh + nS9Ov/Vbv+3nfu7/bJqYc7HV1JrMtCYiItqBsdZENBlnuLdfLHwy/Wvrs4dqHGS7NV/zIFufIqP4 + Uw9XfujzYeoIPKojs4fjgImw3ofe7NRhPvjh33Ow9Fqsdb39eH7B3c8rYs+R6new53eotd/4b7v1 + rR0F8PB7Ma7543xO91Ol8fvsIzwIe+N9Vg54HNZO+Puqw1Qx9fz3pQc13TbTGqtUJA9nMrM6YbGZ + pZRKKaraNE1KCfv6nEBEREREREREdCveZJFz9iYOT7YupRwdHQ3D4HHXIlJK8UhmVfVc6mfPnp2d + neG6lhx/uKdixxg94UlVPXP64Xi/QJ+dP4Tg+3jADGkz84wrbyDyZqVDVYaIiIiIiIiIbiXGWPuZ + +BQw9U8eZe1B155p7b1QDlbXN48hNXHocwtECTCdC04Nr87OTJor64kCKnb7aY9EfVi5iU9ZFYIJ + YDAJwACIIZklzfOz89+/nGYoABoQALXRmHQZ3X57O6IRERHRo6Gqfd/7z1Jm5j8IliGzNzMRERER + ERERERERERERERERERER0b2aGkwUmiaUbD/6oz/2kz/5kwAkSCnqk6JMlTUeu1Q7ggIIIfhUKr7s + +75t2/vdDSIiIqK3EofaEL3hmjTzuSLOz89jbAD82q/92gc/+MHf/u0vimAYll/BSilt2w7D8DZn + 1hAREe3GWGsi2mL/QcuP7SP7PiMJt+77wQ/IZgDqQ1epHnPPoaz/PNT0f1PnwOFi1/exlccTxjnK + 4gVGFXvo4++n3uZWDhh7v3XT+4+Z96j7w53/h3xGNiOob7t1P3p+e3xNe/jz+bJ8r4AvD3Vd9elf + x0nb+9nueH9lBVf7cLwN1o5DCMFvv6nHocYI+Y77k+733KocDx/yo+TTFtdX0zAMTdOMT+nH8zZK + RERERERERLTGm+batr24uHjf+963WCy8WcPHMplZHRCVUiqlmNnp6SlW0U27h0uZmap6sjUAT3h6 + aD4vf2388U0fMFbKzLzpycxijF3XNU3jx/Yg9SEiIiIiIiKimxv3n6nd5EIIPv8LVj1PvPsTM63v + XelLAAzoTNvZbOi6ALz3+Zfh9MxWOdLLKOs7NbSoXHlYGP8risEMMLOZ4SQP/9Y8hUVGgJbLfGts + Tj4kCgtAqBu5S82IiIiIXo+IpJS6rosx+mfaYRiEc+0QERERERERERERERERERERERHRA5FH1nn+ + qXeYs3D9Om+1R3a+AYCOxpLAb+dBf/qn/95H/8IPChCWXTpDzpOVN7P5fO4TkpRSPNPaE6x9qtuU + UkppGAaPW2ua5uH3i4iIiOgt4h/Uwpb7iOjR8PaHG31rDoD63I/z+bGZLYb+F37hFz7yZ7/zC194 + N0YpxUIIIYSc1Qw5q9UpDIiIiGgDY62JCNiIjN2M8HxQdT73/cdpj4UQ6u4fpA7j3NND1aHWpFaj + 3rO3+NXxSXhAj+r4783BD3vleav1hbB2lXg446vf5u392xovvfX++7UZ4XzYi9KhjI/26+z+1NHb + z/vsuP6HfRJV9SAV8Pf3t/lMdmvHYW9p1vUjxJ6PvPfFwSqZye+8baY1VklIOWcvJMboEU1+G6uc + J6xmLmayNRERERERERE9Nt5k4YOU/MYwDN6IISI+zCmEkHMWEb+BVaOKt7H4alPl1/YfbzbxEvbT + FpRzjjHWfZnNZl3X7WG7U3xUmB8HVVXVlNgfg4iIiIiIiOgJ8PYTX3oLiWdX932fUvKuI95C0nXd + bDY7dH3fMIpiCAaFhagi8ewUv/vuz/yRPyKvzq6uaYBB/MbNu+isOicLYBJGw8zNSghRUNQgwdqA + 96j9wd/zFZ/99Ke751/WjqfbEv+Hrkq8DLSWW9WFiIiI6L7lnP0XOv+JqmmaMv27HhERERERERER + ERERERERERERERE9IjWmS/RJLhFWMc8PtHxs1qrkQ0vCJz7xD/7Mn/kOH+xSSgkhqEJCMJ3cBY+y + xmrOW8+09uXR0ZEPX/L5YZhpTURERHRPBMsg20f7aZOI7iz0fT46Ojk9PZ3P5x//+Mf/kz/3fQBi + RCnWNLEfiqqGkACoaoyxFF4EiIiItuM0ykQETAQNvm2Rujr9M89+PJ6szc1qPJKKvSV4tDH9etzP + wRlvZXU7TK28ZzXgey9b2ce2HrOHPtr7eq0/lmfQk+L3v11V3+5NN/2mXoJvexzuy22f9/s6/nW7 + OSsQSrHVlfz6z3s+PbGHVddMbn9jqpnWAOoNz7fGvrLqiYiIiIiIiIiw+lHJM6RTSqUUb9Pouq5t + WxFRVVnxh/gKwzBg1Ojhf/KmDzPzJhEAnmO91iZfE6xrgHSMsZRSlwDOzs7att3DESileGi0J04B + qJnWXp8a4F2Dqe5r014yRsehaZpSyvn5OQB/UkIInnLNEWJEREREREREj5+3LXhwdf3ib2YxRr9H + Vb1twZsj6L4JTEpAEVFojA1CI71FC7Jq0VFZdn4KeN0ORrIcdg4EKaoWRASmJnmYK47LgJIjVBFC + gBaLUQAU07jqGbR6PORN7WpG9BR4s7Y3eqeURMTbYxeLxXw+x6qzn08Kxq59RPQGizH6Vc47Nuec + eckjIiIiIiIiIiKi+1UHC3ikCkZ9+Df5bzS+9MEOAOoNIqLHz69gOy50RERERPSkTY0390+A/iGw + rnPnz4Q+sP0m5WyO5V+r0vjOHYPl/UPs1nVuVc5m3caTFaztkYjUqQnW+CPWjurmkP9rj3Bdf1yH + rR/X1567qT3y+thKnUxyTZ2oYbP8HU/ZrcqpVbq2EFw9kuNybjuP+ubmvNit5eyYn0E1YzQV57Xr + 17lI15678Rm19f5rq7Q8nqsYqjq9xtTLYYe147BWpR02t1JfIPVM213C8kiKmSkEwJWlBDFTg5kW + IMA8gmv7moAZtN72MkMMWJ69CoFZAQymslHCeIubZU6VAwFEYdcsJZipGkoMwWAwM6ipQdRUIRok + bX3s5nVj87KAjQvU7udr/Fg//pvnzFQ5glhKCQExRkjsui6k9pOf/NS3fMu3+FljZkDws8lUxxOe + +Hglnw7FN+c51n6e+FCmOlvLbDbzG5yxhIiIiOh1qCFGMbP/9Hv//N/4iZ/8vb/3K2fzRtVijItF + //z584uLC8vDyclJztk/rR26ykR0jVLK0dHRYtF775QYo3+jlxSLSWxmP/DRv/DX//rfbBoZBvNv + Z8OwbMKq3/1LKf6NDIDfGDfa1O9rfpuTSRIR0duGfT2JiIiIiIjorebdiVQ1paSqPpelT0m8WCyw + SnXir0pEREREREREdHA+o5MnKgGoneoADMPgY5OWHexWMR7eVa62bPjDb7vdtm19HFRKqWY555yP + j489znkYBp+mSlVzzg8dbh1j9M3VEX11YNh4BKyZnZycnJ2d3dd2faiYH8Ou6+bz+WKx8CPjTUxe + q5yziLAbIhEREREREdFT4TPSppSGYRART0Itpcxms67rUkqlFG+W4ay19y9nNMkkFCAiwAxIJqNZ + ruxyKqvXIRYACeaFjeacAgAEQ6N2VApyH0pvse3NUowGFC0xpOUET8BquX0WMyLagzrNn7eBe5ut + N1nP5/Nx0/HNJ5UjIiIiIiIiIiIiIiKiTTXKehgG7yefUtrx+4t3qvcBBfUXdmZaE9ETEkLwS58P + Vur7fj6fc8IZIiIiojfGVIzxbU3l1Nbyb9h3cUesMkY5OvXG1OfSqXjpEMJaJM/u+tSu8uPc5bVH + jYuaqo8geBjt8p8bnTnXdm3KWsxwvbEZQ1tnV9hen1Wf0qn6rEnpcr98OkrvuVqP55qpvahNIjXe + 2Ot55/PERrA6/jdPCh+X4/uF1dHYjIveEfudVlMojHdqapyFje5bW2Fc//GuTTUlbT0bRWStHN+1 + HfXfDEH3/fW2rLqVeohuft3wkn2iiXqa+cN3xH6vau4TgBQR8cEOqllE/B4RiCQAInH1ete1pceN + rxKU/XbxOVRr9URMREIQIK5eX5PLWhNAzWwYui3lhKSqgEBsbWn+WFkuzWBQMys61K2EKCJRkoik + YRhgAMracuoFu3Z9iDHW7uU7np1x6PhaOfU17supKVlMTVXfeeedz33+d5qmmc+Pf/2f/NNv/uZv + VvWn8mpVRcx0bcITf0ZCCN7s4JHqPnBp6xaJiIiI6DWVYk0TP/+53/nar/33/9pf+7Gv+Zp/p2ln + i8WiaZrT09OTkxNRWywWpZTj4+Opz5NE9HjM5/MXL1607dxve4ZI286HUr7whS9853f+uU984hMp + yTDYfJ4Wi8n5NmuUdd/3PqGEf1Pj9zUiIiK+8xERERFdS69fhYiInqw6zaX3H/LuRKrq9+Nqv0lO + T0xEREREREREB5RSWiwW8/ncx9TVUXZ1ZJ23b3gfOE+59lFVdbzZHTKtAfR9D6Bt2zovld9zfn5e + h2z55ny119rJm/EI7fG4U6yG13roNYAY49nZmTf13MtGxykpOWcfVejHP+dcSvFa+fH3le9lu0RE + RERERES0B97AUqcfqkMZc85N06SUasMI3aeUIBhgCokAikHQiRQJgMAMkGDh7t04BQDEBEAwCAxQ + wFuTRCwIEBQCDYKmZGQNaojl3IpIBAALWG0+1pDta2Z1I6IHVKcF9CbrlJJ39vO/1ln2sJpRjv39 + iIiIiIiIiIiIiIiI7qYGuzZN45nWuC4hyYc5+G80IsLf2YnocZqKE1PVtm39imdm3n1oPp/vuXpE + RERE9EBuMt68fu3d0f9wdxx1LWczPffa+oxzcLHxHXyq/uPA5npnzRuu/xz9dXv9Q6jlANvykscH + Z/suLaupV/d92UpQSrlajsjO4yyynkC8LCeXcSH+/y2BspcVWv7JzLwX+NYs59H6ZRRL7L1WL6ep + 3BTC9njvYcj1NBAJIvCidscbbylnNU+mhABgVZ1VfUY7vbuH+2UPWxFJsZajqlsPXNl+vunQ96Pj + AwnrOcGb291akE/UgNXT4WHLO49zwMapYkCfh1ofCSKQcN1LD569POpyDKAb+q31mXrdbckChwFo + Zu3ljquq6dqGNmoS/T91j5bXgRj9qOoyPryM48y3HR9vkRtdEABv1rOrinr1Jpr4DKuXlaxm0gAg + 7WxWg8z9Ti2GYiKy/QTClRhp3yTMJITLmpTLs2Nqv/Jqx9eub7XdUlXVrJSyO4bcVg+sr0nfM2/J + NLOyCkT39adCy9rUDFn/5e9+6X3ve1/O+s/++Wc/9KEPvXx5vjobpD6XqxdIODs7izGWUoZhaNvW + q1rPK78xm818xhKGpRERERHdu5TSMOQYJZfy/g/8iW//1j/14Q//qbaZz+ZN13UvX562bUpNQgyL + oY8TPmj7yQAAIABJREFU3yuJ6GDEv8Refte7uOje+973XVxciIgqRKKnUH/+d77wrd/+bf/oF//v + EGBmTZsuFtm/jo2Ku/yO7y0+IYRhGHy6SF+T39eIiIj4nkdERERERER0pc9izrm2HXt7cSklxsgs + IiIiIiIiIiI6rFKKT4ZSw5vbtvXxYDHGGqjsY5y8k1xt5ai96zyS+VbbjTE2TbNYLDzUuY5582wn + HzpVI7f31v0uhNB13Ww2yzn7ZDFd13l9ZrNZ13W7RxvezTAMWKWD57zss+i775uuQ+amxs4RERER + ERER0aNiZt5mUqcc8qaVxWLhX/y9x0gphXNtPwhBKTmmVoAyZDQJs+ZsloogGMTEEAQwQbA67dhd + BIMYIDAxyHLgqZjUkaxiaFXRDRhK1q6dnQBQoIlSiuGypccAhQAWOESd6CD8gtz3fd/3GM1q5xdt + bxX3Ln8+z/ih60tERERERERERERERPSEedZL7TC/WCxCCDtitPxnmhpOw9/Ziehx2hFPWK94frmb + z+c7YvaIiIiI6GmZijsF4F9ja1Lvbju+F48nM7xzfTz+eRUoe9NukJu5wpt1WKVEby9hLZbbS6st + A1sL3FoR369a/6ljcjXierI+Ndl67QmqH9TrcupYbdZn96ZjDOON1n3f+nzZ1fjwsZTSuBys5rpc + q+e150zda48Trndu1mf32VLXH9d563HbGQ8cmjSTYLAAUVMxFFgwqN+zZRlSgALBrAABUCCImCpE + zO9RBVA8aDnG7fNUTL0cUrxsfTI1AHqz09ULs9VxSLG9+rzXv25/nW4mbPvD+y6PYr9DEPGA6Kln + Z/VcLI8MoP6aU4WIikQRiMQYTSQCWorVNTeWxUzM1NcEAmCLRQ94OQZ45HcANIQ0XY6ayeqZWpY2 + DGVVjtTSAM2GHeWowh9V6+P1F4kheH1sbYtrS5ErvcHr0zoMNd4+hCAbx3Pj+Vo+0MxgyydLRLC6 + zIQY41py9sTzhbZtVfXs7OJ3/uXvfvCDH/zsZz8XAsrGZleXjsvbPgWK/9UbUVVVVX0oU4yRGWlE + RERED8G/YpdiAI6OZn/5L/+V3/zN3/zoRz96dnb2/Pnzk5MTMxuG7ujoqH5aI6LHbD6fv3r1KoTQ + NM277757cnLynve85xM/9/Mf+tCffPfFS8C/6C2/Nu6wbIwoZTablVJ8bkmf25Pf14iI6C3Htz0i + IiIiIiJ625mZd/SZzWbn5+fjMUUpJb9fVZumUdUd3VKJiIiIiIiIiB6UJyUPw6Cqs9nMozvatvX2 + ijoq8ujo6OLiwjvGxRjn8/nZ2ZnnM4UQbptpDcCzQDzT2gvxe2KM3pDiN7zj3R6633lyds55Npv5 + Fs3sxYsXx8fH3obTdZ13DfRDdF/b9elpsJqbRkR8yq06CtSfIG9BYiMSERERERER0ZMgIk3TADCz + GonqzR3eiuLtAP5Nn/1G7l2xElIyIPoUVIszzMM3/sxP/ZM/9IcAAEGAsJoRSAy3zqf1qaQ0iAnE + TFQFEBgAQzTAAgCDCUpS+5tf+8f+o5//RHpPu9BFE1KEWI+YxCAKAAoYPGCb8xUTHYiIeEu1txLD + ZyMTyTkfHx/HGL2dNqV0dnZ2cnJy4OoSERERERERERERERE9WTVGC6s+/LPZbCrGCaN0JTOr6dc+ + V8M+q01EdGc+UKgmkNXhWoy1JiIiInozXBsRvRY/7N0Rb87Hoa8FGN/h86RvdxwsjVG28SYf8755 + //hO/5K+qsz2csbf92uudr3n5hnbql55iATARjmyEctMZS/HaorwRH1i3Q+Ry9ViDLjyZNWdnYqh + 9WRurLqA2+gh221mZvuXhc3VMH2e1FjuteDqzXjvG8ZR+5q1kM343t3lrJ2K413bWs7U8clqKLY6 + 3gIESAAwtRT48yVAWEVEBzP150IkeqiwWfFnfCqWuMZdr+3mMGq/gh8iP2I7X3dmNn4d2NU4+fFR + 2hE3jtErfVkKIKlZ/mlcVZuMk4+pAWAqHhMOEVgwUYSAYGbBPD7cFKYSDAgQwNaXKTZmotkMBgUE + yzztmCSYIEowjyHXAgPEx0RsLFNMalkVnhAOMYFfM4IEASK8HCta1FBSbL3Oa8sYGrXs2/L9EgQI + TCEhwALETEWhWoqhxNBsrY/P/upzetRn1dOsR/Hhy2ZJM5uKRU8pjcvxXfLX0Sri+ko5U+2fF/1w + fHw8DIu+Hz7ykY/8xm/8MzPEkArG5+3lbY/B7vs+xth1nU/Y4lO+1EtEKYUBaUREREQPJvgHwNms + 7bru4qID8Ld/6n/9rd/6rR/7sR/NOefSxxjbdn5+fp5Suv0AZiLat77v5/N5jM3FxcWXf/mXn52d + ffzjH//T3/btKc7NEEKqbQM5583GnMrnlABQI+2fPXt2enrK72tERER88yMiIiIiIqK3mvfv8bbj + 8/NzHyHpfcvMrJTCcZJERERERERE9EiYWd/3tbHCY5uxHMCpTdP4MKqLi4txnPPZ2VkIIaXU970v + 77DplNIwDD40y5NCvMudB1p7Hbz7nSdt38fu7qoMRvnZwzDEGOth8UQTb97p+77Oh/X6/CB7upUn + pvjR8FxtD1PxxHE/1Iy5IiIiIiIiInr8PKnaWzlqdxEzyznXKWP8i3/O+bZzk9FuhoCIQa0JEAUW + GUczSIfj2MUAC6vJogx14qTb0G3rm0AFwQCxoMFjrSEFQFJ9vhiwyJhjfnQyQK1ECYCuZtC6UnmA + 2dZEh+Ah1jFGb6mezWbe9y+EcH5+DmAYBp/C7OTkxC/vB64xERERERERERERERHR0+S/s/gAAe8/ + Xyf23crX8du+GudqIKLHaSqWzEdjed+h2puIPzoTERERvTF2x0J778S6po9S37r+1PjxnPNaCK6X + ecM06LVyxmHGU7HKbjzYvz7EywkheDm1S3wIYWrYvX8S9qNRd2St8ms5slO74IXULdZ4b1+uJUZP + FeL7Wx9Sj+TacdidjV13bVz53XHj40jytQeOH1K3OB3LHWr9156g8Y7XrUydVzZaba0+Wyu/Y36D + rWfjWix6rerU8QkhiERY8Bhmw5aI5W1L2XaP+e1l0PIyW3gZZ74Wcaxledvsyv1BGhGIBDM1A0y9 + 7p7kvllOCHG57VW0ti9TTLIMcDZVM1ORUO/ZXL56eeoljJeAeZq7qpXi+Vm5FDVT3+5mOS9evLya + jn2lnpvlTNVnGLKvo1q8nFWu/HId1VKK5jzkXOo6m+X4tny74/trDWs5vi1Vm6qPr7m2Ld+vtXLW + tjVaous6nxh2/MKXUay7f3/3Y7Sj3bLrOl/Bg6tx9RJU2wG8qLrOppTiu+++VEWM8HbQICGXvGXA + yer10vd9vYD7RCj1ddd13Ww229skLURERERvIRFpmqbv+64bUmpFbBiGJqVPfvKX/+gf/WMf+9jH + /vAf/uoQwunZy2fPnnXdRRDOF0f02PmkEBcXnQ8o/vjHP/7d3/19EnExXABBVWOMqkUVbTsXsZpa + vab+FoxVg8np6Sn4fY2IiIix1kRERERERPSWG4bh2bNnp6en3v8So86XAHz6S08hOjs7Ozk5OXR9 + iYiIiIiIiOitNpvNPGypjgv1cGtPVvawJc+u9oGmnsAEwIfO9n2/eyaprTy3qZTijSc+IYvf9p55 + 4153e+h+5zXxI+ADekMIPpZsPp93Xec19N28r0xr51lWvu8+hMyHvXlPRz/UOee2bW87xpiIiIiI + iIiIDqI2d3Rd51/n/Zs+AB9zCCDn7L1H1uZsotdXfFIoBQogCaLn0Y7n0kcAUAQAAT7f1eu4fNZU + YAIFgi3/4P81QaN4lgtygUSBJiAFIAMZSDAEu5qU7WnXPCGI9syna/Sm75xz13V1YnG/RHtruc8C + xuHiREREREREREREREREr8N/N1dV7yTvydZTXfTrX/03d7/Bn2yI6Anp+97HZLVtuzZaioiIiIje + AFNDv+twdVX1NFMfuu7D1W9ejj+qDoGvyanXDjlfW8ELqdHR9a874orX1qxxreN06pHt3Z+9t/zW + 2m7mv+6IT06p9ezY1UMDYGZqtootXu2152hP9c9vmtkqgxYifkBMVWNM48rguskEUooei2uGELwC + tT5bhBD9TBiG7BMsjDOHN+pvZ2dnW8vxM8pTcms59bhtlvPq1avt5cS4tZzab7YGdXtpU+XUMzOv + +HFbyxe/dr8QxOwybjnnoYZAb49/fvFqFUd9JZK5xhvnPAxD9nJw+XIIq976y+Xp6bnfNivj+9VE + gmlB0aHvci69qRiKIELU47fHy9NX54YCC75c+6sW5NIPfclFt+3NjZYSVoMUDBPh0ZfLIDddc/cy + BjGYlu1/HcdzT0djX9Zn9zp179ZKvlqfoKamr7dfQIwynTENEfiZ6yvsuNam5Of/604BEiLMYAbN + 8KldEKKVyUEl/iozszr/yWw2WywWAF69evX8+XOfvSTGyPYHIiIioodgZkNf2mbeD4ucc9NEADln + AF/4wu9+8zd/8/d+75//uq/7uufPn7969eo973nPsLg4dJWJ6Bo+02YpFkL47u/+7r/1t/6XEJAL + YmiyWgxRls0Oy1k3d/D5MwH478JmVkoREX5fIyKitxxjrYmIiIiIiOitFmM8PT2tKVDejuy96/yf + taMeM62JiIiIiIiI6LA8q8MHK3rXOs/t8PsBDMPgtz27WlVns1nXdQBSSh6/5Gvedrtd14UQhmFo + mqa2oqjquC3Fh6rWgbIPxzeqqh5P4js1m83MbLFY+NhLr9ttA7x3E5EYox9Az7SuQ8j8yPikXXUK + m3vcNBERERERERE9NG9bwGrCL29X8f4kKSW/h5nW906hSRIMKEAEJBhmkFgEGtQgYj4hUTApdXKi + Gwomq0BsG8dPj0KyFQgQ9YmgxJCsQAssAkiIKIABDQpQgIQA8wmwoPDpz3ZND0dEDyGlVEpJKXl7 + uGcheGutiHhTra/Ztm2ds4+IiIiIiIiIiIiIiIhua/y7uWda+y81U+v7OljN0hBCKKWwXz0RPS2q + 2ratX8fath3/Bk1ERHQz6zGc97S8CxUEu9HyocvnkstHsnz17oupv1qQYOjykLu+y4PfI2pb1z97 + +Wrr/SiKGGo5fcmihhh2lzP1V1HrSy790OUBRXfsV3d+UWAoWmCiZkEipO6XqA1aSj8sht7XSZK2 + Xmu6i4uhFCulmEURExGzYpZCUEDMsqrm3OdchmEopYlxazmlz10eSj8UWPS4XbVsGiFenwKzXHzv + /P6t++X77uskCfWxdf1s6uXokActScLWcnTw+qh3V/cI43Lbq3BYdh+Pq6vfbUvQ1da9nACYQOz6 + R23yKF9VjHvI3iGsd5wHXIu6tpxlGvhGkPNUEHLYWey4Dv7PG655WR8AQAjLsGGs/jmVde5rTlVp + XM7yONtqG1eXyyO2sfRM6LWyL3OgN5a6tup1W5kqpxSrJSzDngW2SsueetSu+mwrB7U0jG5vKyeP + noC6F2ajp3i0nDw+GO0XICLjPHsPc7/hmZ/zuD7rfctvHnatBSmFrJpSGIYBwI7GUoH4EfJpT3yk + 0mKx8P7tz58/zzl7m4PPIeMrEBEREdE98k9i/bCcoa4UExFVC4IQwunp4iMf+a7Pfe7zH/rQh47m + JxfnXQpT38OI6JEIIshZX7x48R9/+E//4j/8pAIwhICsxb/u5dIDweeBDCHoRAOB/8knqyylmJl3 + iQHA72tERPSWu7dYa+99NZ4BxKernnp7JiJ6bHzmfb92AfDLF69jRERE98LfUr0Rv2bJ8H2W9m/8 + Sa9t277v/YT0JuMQgodY1zkuPZTIv+RydksiIiIiIiIi2icz82SOtfs9pcNb2OqsT+OBUn67Dn/y + TGsAvmbNtF6LfPZ/eqtIvTOlpKoem+331KlYvNmk9rTz+/fc8W6cqF3DpWrzDoDNPap7fW3idT0g + NccagDcixRg9LmVcsh+B2Wzm/+TcW0RERERERERP0bhziDd0jJs7OObwISQEgSIEzJYTVx2jwTAT + FSQpXTZgHhpVDW3MQ4m3LD/4vFmitoyvRhzNRwSYyeArAGKCkBS6AN4bcKQXQ0wNAJhmhALMLECD + PzSEOrMRTwyiA/AGXvMJyUbTk43nE2emNRERERERERERERER0etY+918c3TDmr7vwd/ZieiJ8xlp + fMgSbnDpo0fIzCSYoYQYfDr6cfLZVjUdDauuCGZWTwP/p6xMzZc11UvBx6DVv96kMpsl13I215kq + qj5qx1Y2xyROrbm59ZsHv21uwo/k8rBgV4+w8VZu2wlk63EbP9FbH7W2lXG/FKye5avr3O7Tztoe + 1UNxk/W3VqwWsnv9usXNErB9v3ZZSxPE6Dy5STV2VKz2BVrLLNxtbS98+O341K3F7v50ullONt2s + Xp2udrqgAIGpSAiCKCFogQSBBQnBVAwwFYgIAmS5/u6lINbrz83rY2YQMREI1Mw8k0ek3h4vMXq9 + rB1230R9RvwQLTM/NsoxwLdogMHG9w+lSAwiAYLiM/9CJISt9anl+F+LmWGZqWmG8X6p/zsELdvr + 8/L0VGFQK6ZQQ5Dg/UUhJoBa1pL7YSjZinrE7Nbl+emZwqyol1bL8b9uK0e2lnNxdu41KaZ+T4Ag + iBVFEDEUUyva56EM2dfcWk4ZNJeSh6Go1gBZNQsi9baWMuRcch6vs7bMwzBex8+wqXJyKX5+bJaj + pfTDMPR9UQ2eg2pWVGMIt6qPqW4tx28LUFRvUo7XJw9DUQiW925dk8u3bVkA09V5cquljkrwy9Ft + S9jcut2oJtuu8Ms/3CHKer2cbbeve9jVG14TXb+nLnfPNru23R3V2F3DtQ/pO+a43T397fivuyOT + b3Xw7/xM3e2BNw973ls5W2/vvnPbanaHryFby3mdh3tC9ign2zPUxh/S4KGJNdO6fpf0OWQ22xzY + +EBERER0Q+PPct5gNZ5Nzlu3mqYZhsHvKWXwlX0Gudq8r4YUkqFvmvhf/zcf+9Qv/fIP/uAPvued + Z0MpIUrTRFXt+8VsNivDUGf5g3krXAAg/lVImK9BdHPr7diy48uZqKnlnNv5TFW9RbrLJUYxlX/x + Lz73Td/0Tb/1zz8nQIhSihUFoGYoq4bwvl9g9ar3ywKA2Wzmc3KOLxTA5dySdfv8vkZEj4r/Npdz + rhclVWWvPHo49/bm56epZ1rnnP2dmBl1RPSE1Jnr61vvs2fPTk9PD1opIiKiN4THyQBIKY3fcw9a + KXpLqao3GfuoSM+0xij6aK2XPBERERERERHR/vV937att1f0fd80jY9TWiwWx8fHAJqmadt2GIYQ + gqcs33YT3mRXSjk6OlosFqUUH0busdCqOp/PX7x4MY4Aefy8EbLrOu9847HcwDILPOdcGyd9hakh + Zz5zgTci5Zz9p0M/zs+ePfvSl77kY8a8tXPcE5GIiIiIiIiIiG5l1UFHIcHn2pMCFJnPZq86/bLn + c2TrL7pGUh5yCLhmXqtdW1o+chl0ffXO6qKcowlQ6S7KfN5Agb7DrFlWVXxqvVrv+5iliYiIiIiI + iIiIiIiIiIiIiIiI7okFWea/FlVFjKkOl9u6fikFnty5ytENQWoYBgCRsJyFyEPdbGJ66FXCWp25 + yG+UUrzXkZlHxvq6k/G6JdftLoUa7ns5FG51z+r2prARYOxl+txK9Y76pxC2D5FbBnLbMmvOU2h3 + BCDviIu+8hgDzDty7Zpu25+LWuzuOPBxJrE/drSxJVVTVZ9+aqL+a1vxeOP1PfZCzCwlv997tl0u + RaJZMROzIhL9HhHze+qaqsVMVHOMzVoJvgwh+fq+FDEvx8uvay6Ddq2EkLaW4+urwu/xErx8QFdD + LcXX2VEff9RaOf5YL2dVn2WtpsoZrVnq+l4fEd3cr93HRxU59zlrKYMusytExMbl+BZPT8+nylHN + pVgtZ3k0ECAqiBCFBYPHEJez0wu/58pyeQpZKZrzkHMpJasaYCKhLgHxIGYzffXqdGvoaozJTNfK + 2Qy2r7HWU1Pmxib51a+UMgxDKcUnW5u6/rx68bKWvO3VYTl7AnJeXlHD9ivBy5fby/HtbpYz9dL2 + /Vp7+dfdV9VVOfXyu7UYhLBM5RxvRwTjR90k3bKWs8nDlzEKQxVZJbzeppz62JtUqWmaUspm2Pmq + kPV7psQYlyHjNzP1DuADruu77XgE9zhm/toqTdVnbWLAa5NNV8cHWL60xLNFt0XucsnlY18S0ePn + OdZt2/oMtzlnEfFA6zoVjGdaH7SaRERERE+eNy+4YRjm8zlWObUnJydnZ2cAhmHwFn7/kAZgc1hy + CME/uQ1DiTH+7M/+/fe//wN/6S/9d3/g3/j9RYfFog8BR0cn5+en87ZdLBbbcm3HbYxEdP9ibNq2 + fXn6qm3bFFPf9wgxSPrf/49/8OEPf/jsvAMQYlRVCEKMuvx9TUdLYJVg7ReEruvm8/lisfBvaj6B + pN9OKd28jZSIaJ9SSuOPQN7c9LSmCKYn595irf18rX0+6jvxfZVPRPTQ/IvE0dHRxcWFd4Y4PT31 + 2fwPXTUiIqInb9xTH0Dbtt6jlMnBtE9t23ZdN77Hx1r42di2rTcie4YTT04iIiIiIiIiOqC2bbGc + tAK11QLA8fFxbbWoDR13yLT2bnYi0jTNxcWF36mq3kMXqzFRTzGweRiG2WzmN8zs6OhobQCz/ya4 + u3HS1/fUcJ9jIoTgR+zdd981Mz8yOWdPzp4axk9ERERERERERDsIEEYJ0VLn39IwnHcnAdp1fW/z + 1EKkG/JJk0wfsF+3CiQEiOGin3/58QUQIkIrTUADGFCAEJYVvlJ5IiIiIiIiIiIiIiIiIiIiIiJ6 + BEq2Lpe2bQvMJIiEnEvf9z5eb1Mzmy9jX1VNlx2YPE7aV/AQZ19HVacG3MUUfSLp4vGzq6zWKzNK + 1/mmsdrUhtTO6pzUamZqHmgYY7RVsuFy3mpgRzzt1dFzyyjQEAIk2CoWdDzmLk/Efnta6/K/gATx + +NbJ+Tknhux5fcbbFQkhhKnuYL6mmmnRUkrO2RN5JyoJf4LqauNcVYz2t840tTYJ1VpVc86+Wi1n + fDxrTnApxbNPNmOJAfFa5zyUoh5jLIIabOyHQdUrW4Yhb5bg62yWszqEy2fyJuVsrU/9q9fKt3Vt + fVSLBy37muMS6jpm6uHNL1++2lpOCNFjm70cj21WLeNyvASv7cVFnnq+bmX3jGKbiRV1/RqBvDvV + oq6/NT759U3VZ2p4a9HbVWDH4dka2zx1HduRyuzl7A51Hq88tdpmOTtipHXbc7f2HFUhSCnbt7qj + +6rZLaJnp3bK467Htdqdbz0eWr4ZuDIVC72pDsEeX6U9F2FrCTrxfrE21H2cab01m3wqJGZ8Ad8M + sb55tMwdht4TERHdWc65aZq+70Xk5OTk9PTUzDxD0ac3CSH41zcR8VlKDl1lIiIioiepfpQqpTRN + k3OOMXZdl1I6Oztr27bve88X8Bn2psqJMfpse8MwlFLatv3MZz7zDd/wDR/7kb/47/57/3YIIUbJ + Oavi+OjZmZ5tNv0YhxYTvTaTy5bGzZdU1/dN0xzNT0IIOWuMjcTmJ37iJ37gB/5zVRwdz8/PFyGE + UgoQNE82G3r4a022zjl7i2X9J1bzec5msyc68SYRvdlyzv4JxzsedF03m804/y09qHtruxz31Gma + xpOtfbZoIqKnwhsXjo+Pc87e7lBKYaIhERHRvfBvtp5v7YkyuE0HQaLX13XdfD5fLBbeUuzx1fWr + qzcuA/BW4/pPIiIiIiIiIqL98xG2Piqp3plS8oaLEEIIIefsbR3eN+5W5Q/DEGOsQ6GwCrqumdZY + db31vz6Vbiu134LPE+FHRkS8q7H//Od753nVU+WYmR/b2vWwDswupXjP5tqs9FQODhERERERERHR + I1Qzra8IWITYpxSGnADNOYRw0ra562N4wH7dUdGoIiueN1CUhHPk5zEZ4INQM1CAJMsKs4s5ERER + EREREREREREREREREdGj8tnP/n8hxJSSzyFpZqWUruumEpE9uris1OBMXE3WBOCRyVNxyDX3eq0c + XeVbj1czs4uLix3l1GxmX3lcq3HNzezs7GxrOXXw4DAMwzDknOuIxa0bPT093VqOp6/5jGFejm93 + akjdVDk1DDXn3HV9fTampvn03d0MCZ5af2tQ7jgTd3c6bBWjrELJ18uRy3TvK8m+E+UEM1W9UgGR + 5QO3hh/fqj7jMn3H147YmhBkNT5yS1Hj+uyuksfu7jjOW8vf6tp86JuEH9f6rx3nqQD0m093N36+ + Nh+4+7ydLBMCucWUewK5DLOHSLCp+kyPlF0VtfFamNjilsLrFnfEQt/c1iN5bXb11sps1ucOz8va + KxrAVKb1eP2Jqopfqq9NQJ/6020Pch1+Po6jVlW//m++cVx78o3fqrY+5NoSxjVZq+f4nt3ljLc+ + XtPH16/tWs3M3lGlzaKIiIgegr/pxBhPT09FpE5X4hOeLBYLEamzoBy6skRERERPVUrJp33zb/oi + UqNqY4x939c06zpx3NZyhmGYzWbe2u8PBPDq1as//sf/xEc/+r3f+I3f2PcLEXnnnXe++MUv1nn/ + trmuYY6I7kpE2rY9Pz9v27mIAfihH/qhH//xHw8Bqjg/X7Rt6vshhGAI67+jXOWXBb8g+OXCf/aq + U27WeE1mWhPR4+Tz656fnx8fH/sli7960IO6t+bLcdyXt5Ny7mYienJKKfP5vO97Eck5p5T8x55D + 14uIiOjJU9WUksfGtG1b2/oPXS96u4QQFosFrvYx9V+hFovFfD4H4B8CawASEREREREREdFBiEjX + dd5e4f1o6+QX9QaudpW7FY+s9thsH/40DEPTNOfn595w90RbSGqFvceCT97hY71SSn7ofEYS3/2p + ZOtxO1JKqR7nlNK4WalmZu9j34iIiIiIiIiI3kxhy8jtEF4dty8u4u+bz8vLU8DnINSH7tKdTJ+x + iHq3AAAgAElEQVSdDugVMItogIBksF6HmbQ+ZeO4DtOzIBIRERERERERERERERERERER0QF827f/ + 2Rhldy7p2NaYXr//2qTYsWtTk28Yq+yT+G5uepxkjBvED28tOYRQypa9um3Wr4io3m7zvgnfOzNA + EIKEEIZhIn949CgRgVwGY0+sOvnwWndf1LyTrcXkq2eOrGKTzXRZ2s1CZzVv1lPq6qP9umbK8bxx + JvujlodhW9zvVqbLBwPmObAiUcSy17PW57pzYfP0EZF6Msqq/Lq8yWkisqwPoPWVawbxcrxW0zHA + tf6ru66UfOdJ3c0AQ1hGS68vJ2OYlx0MA6AGARQIZnp5j9mqz+T1S1seyeVtU5MrHRgxDr3evhew + 0al+eWxvK8jl7OK+8/VwbD8Oy/XX/7p8rI3v8fW313/qOjNe/2pY8tQeXHns5kNq8vHumYd3JCMv + j8ptbG5qKnh+R33Wbrgyles+wWeP39y3cXT01vu3Vmntrz6ae+2ea8vZXEdEtu7XTTKtx//crA8R + EdG9aJrGoxB97peU0sXFhf/Jpz3JOZtZKYW5LURERESvyaMcPZW2lNK2rbfqeNOBL8dz9E3pum5c + SCkl59IkfM/3fP+v/Mqvfv/3f19K6YtffPedd94RkdwtRg9l2wLR61t/HZlcvcdC0zQvX54eHR11 + 3bDo+4985CM/8zN/H0CMApjn3QBBFYC2bdv3C2wTQvBWRJ9z0i8XZqaqPmVlztkzN1+8ePHOO+/c + /74SEb02z1E6Pj72H2KGYfBPREQP5LpeDLfhs1r77M8ASikxRgazE9FTYWZrl6/FYnF0dHToehER + Eb1RPB0HqzCeQ1eH3i7+Mc9ve7Z6TYdyp6enJycnIlJPVCIiIiKiJ8qHVNWBtdcOx6ojxK4dbkdE + RPvkacpHR0feWbZpGlX1oUrjVOZV77rb8T61XuwwDB7/7D+WjX/0906397tfD0pV+773tsc6V4V3 + K2zbtnY1rru/lf/V3x+9x6G3F9UezKpam4/47klERERERERE9LqWs2b6rKXA6Ut8/rd+6Wu++ve9 + 233F0bGdn2cgNRFQ3G62vds5T82rZ+/5hycnX/fJf2Tv+/ICFDMxbYKIBQBFFAjRAMBEFRoQBJxX + iGjfxj+Grrk66ygbb4noDbd2oRMRWX6iQhld/8RCABQKWU0qbUjAZ37xF/+1r/xXVp/EiIiIiIiI + iIiILsmqud0EAIogB/nX/80/cHbRKzzVz1ZtUZPtS4eaem7H7wj1fu8ofvDfEa4d/zUe8/V4XHvc + +HsNET1+14ZWjm/zOvYY7Hh/3xRj9IFyGL3D7nge6wq+Tl2zFnLDCmx9K8dGLOht399rxW4bw1lj + Sl/zs0QNXXv9HNC1A7K6M05t11ZuUnhKqRbuaQR1o+MSttZhbbt+Y23TtT4YPSmre7YcmTrJ1Q33 + 4lYfsdbqc4eHX2uqwLXjc+ezy+d63Sxhar9ueABlZXdizbVqOXrLeGBsdCYUXLl6TOVAb6/GKqxa + REIIIjLk7la12dzY7ljfG77h1YrpLYOcN2uyLGeqPhPn4WZw8u6X9k3On/G2dtdnM+N58yJT/zhR + n93VWaZZ3+HltfV9B6N3uon63Oj1de0FZ+v7YD3fNo/b7o1uFrV1L3Z8VNtdHyIionvnn9l8wluf + pEVVc86emjZes07nQkRERER30HWdiPiceN4y4FPD+ecxnxduGIbdTfoppZzz2hx0IQRbtRt8zdd8 + 9Y/8yI98xVf8HjM7O391Mj/aaAe+pr8EEW0Yfw/aeO1sxFoDIaW27/t33333Ax/84Kc//Y8BHB01 + FxcDAANCWK6wmo1z8vXYNI3PG+mvfZ/J039Xqq2Fa9NvEr2d2DXicYox5pzHc+FilPlF9BDSfRVU + Q+lCCH4eHx0d8fQloifEvzDUX3pE5OjoiF8biIiI7ksppeu64+Nj77I/m836vn9aiTj01Hl3dm8v + bpom5zyfz/2eGKOIPHv2DKuvtzlnb1YmIiIiIiIiIjoIVW3bdj6fj8OnsUpcHkdZ3yHTetz19vz8 + 3JtBfDhu3/fz+dwrEGP0FpUn1M0ohOD1NzM/Yt6hsA429gO4I9MaQP2rmdVMa7+n9umpKzyhg0NE + RERERERE9Nh4I4u3rxTAgCiQBjiOX3p29AfDrPzuSwOaJmWxYbA2SHiwOdtnRfsvvvpXn70XwwAg + FSQRSFxcnM3nJwDGU6jq1IyDRERERERERERERERERERERER0IKUYIDGmUkqMydNtd6ZnelonLmNn + L++vgaCX/5xOwlgfYlYDQMf/HBd1E7ViInEtUnR3JmiNdR5F9O6K+50aIqdatxIwjvudiAXdUaur + KeHLENlStq+/un95rATiM4IW3T4qMOf1TJFl8q5h3M9r7UnZNNotEYSrsbuyebaIyNVuZWv1XxUl + y6OHiazxG8TchnGkrqquzqUbPRyjgOTx8R/Ht++u4db98vKwkXd7bWDt+vO7UkrZeOktd39yx0Z1 + tuXTbR6XPh02fH05XlTY9uRiOp1aRgfEliffXcK/a270shAzLXcJyPEwbIxiyHeHkQtkbde8Jv7q + q7HxtwrnvixKLhO+bxiLPrXCWvz5nRPW1w7O2v1T9dkalnyTat+wPpsl7KjP1oeM1x/ff+eKrT1w + qpy1sKhajTvs12bJuI/9uvMRICIiugkz8yADn6vEJ4Exs8Vi4fOfnJ2dnZycMOyAiIiI6HUMwzCb + zczMsy18irymaXzePA+39uhHM1tLrR7LOddPbjFGAE3TLBYLAZom5lx+4Rf+r6//+q//q3/1r3zV + V33Ve9/73sViAYTV0GYdLYnopgSKrQPzZf3VpAAEfZdPmvn/8+v/+AMf+MAXv/hyPm8Xi/7iYjCg + bduc1V/4bdsOfYkxlulW9GEYQgj+Xazv+/p7RIyxplkzkYSIHq1Siqo2TTPO9uIlix7UvZ1es9ls + GIaUkpmllPx9l5nWRPSE1En5ReTi4uLo6AirPjRERET0mrw97vj4OOfs+cEAmGlNe+bNxP5DEQD/ + AotVy0tNJGrb1r/YHrKuRERERERERPR2q+PwF4uF/9N7xWGVuOzNGj6qfHdC81ae91zHWvtQKG8e + mc/n/pNZztnbSZ5QbLMP4vLJFLzDMVb53HWd2p/Y15wqavwrobca1ZxvACml2in5aR0iIiIiIiIi + IqLHwwD16U5X/wSgQJSA1J6n5lX38ghI8/lisZBGJD5slHRUvLeZ/8aLU7SNQC2bpIhS5vOjiUeE + UfWJiIiIiIiIiIiIiIiIiIiIiOhR8BFkHuG8FrS55tq/4jUyU7ERv3pDmyGgaxGe44DYa21WYHf5 + O9Z8nfjYnRm0YdWVbLkMkgzFTPweQyn6/7N3r0Gy7Wd935/nf1mre2b2QYqAVKpSoRJXJZWqVEje + 8C4vQlUgFQoHrKSQbEtgSTgGwQGBFSNzsUVeWCBRgAxWMMKXFzYUJZANwcbcysgxAYyQC2KIhBGo + SgIhiXPbM9O91v/y5MUzs07v2+zL2TNzZs/3U3P6rOlevXr17F490//1f56f3bbO7qVKFO1iQbT7 + vexua6rass0H2I6YtXut6TPHzO6+VyEkkW6mvoXlUjXeb5u37o/Gk5+ANbO7XP+AlyGkZR92t3Pb + 5X337bbHvXNrt23h7P188d/3bnvl9z3513yYfT59rd32WPf5KT3gdu7zergjxFp3MtR306D9+nvl + Q9+ZLX0Ss3G3etg7s6gXS/3scgDeJ5b+ju34NbfFyZ/xiGc4Izr67Pef5dZbY+YffTv3Xf8B3w/v + uv6D1Bo/4P7sxs/fd5t33cJ9H/G2Fc5e577bueuP5YyM6ns9r91HOfsRH+Snfdt2SLYGAJyT5c8t + b3gSQvAkRTPz/i2ttf39/SX6+rL3FwAA4KrKOXvUhXfG8+BqVfW/wVprSy+4MzKt5bT1nN/RV1tW + LqWJSErhE5/4kz//5//CO97xji/8wv8+xnhn8i6Ac7W/v//+97//O77jO27e3KQUtts5BDETM5nn + WSR4Q0hf7v2swU9Pu5fTTGt/91hWWPKt+bwG4GVraYH7CG2HgUfwOGO6vCW0/43OL1oAV9EyL8Ez + rQEAwOOyJAQTFYxL5AMuu1NRd5d3P9ICAAAAAAA8dvM8D8MgO9HLu2fVzazWujtAcVunCbm19thz + qZdrhmGY53lZWLawm9ycUtrtxOGP6LsxjqPsjN353X1vr9Zoif9Ib5uusHzrM4n9R3dGzfOy8m2b + 8sGl5ZplVuLV+hEBAAAAAIB7WcqVfcFrm/0mX/YRFQolHrsuEqWLhKWBpYhKz9pSl5DG3LbbVYot + 6DzXc06Rtl42q/EpsSbWdMhiYimo6EkPRhWTrhJEJEjoQiE6cDmWlp1Lm+bdEV0vIxeRZdQdAAAA + AAAAAAAAj2CpffAzMrun0e/FZ+wv52i8eIEp9wCAC6VdREqdljBZE5F7/y66/61nrnBf3fojbGE3 + /PasFR51x+67/UdY8/7bOWMLJqJ997JbFe0i4bbr73V5Gj98GjJ9jzXtzFsffDsvXp7ot112qzs/ + txevN2m3XXP2pYm3Jr/9Xg+7ndP9ebh7nbU/D7a129e/7VLt/vc9c527Xtoj3evu25GH3c7t7pWk + 8VDJuub/mcjdJlCayH2PTzs9Aj3z467befC9epBHvP9GbkvuPmsdvfXbW9Z/hO08srvuwN3WWW69 + x6viwZKVHySD+cE39Ri3sxTI9969bv3smKi7buSMR7yzuP4l7vZDrYnHaLetgYeELdfgGvJaDDmN + XVkCgIHFkkeYUvJ+IDlnX7hClhe2Z1ovLWX8ee0uAAAA4JHd1iVv6QK3VH/33nPO/uljt/+e/4Xp + 9/Irzey2MY2Us5/sLqUElRdeOP7Gb/ymN73pDd/wDU+nlExba22V0/Hx8Wq18i0sVY1LW78L+TEA + V9XumMDJUGGXnPPc6jiOm80mhJBz3m7nv/cjf/d7vud757mKSK19yMNUZhFZxrdba6eHcDd7ccs+ + BrW05RQRH5La/Zi2a3kboYMEgJczAq1xkRjBBAAAAAAAAAAAAADgfA3DME3TOI4hBG/n5MW6Sx1v + zllPPezk1CXKep7ncRynaQohLHNefSZurdU3vsxK8eu9yZQQzwwAAAAAAK4lTz/1QkTvpu1ts1JK + 2+0252xmy+gK8ajnxERUulqIIqIiPUjNQxujBDOrImKtl5BPkq/PUZDebRbtpRULIYSoosG7DGqX + 00xr383w0tscAnh4/o7tA90ppdZa792LzEMI8zwPwyAiywIAAAAAAAAAAAAeTQjBiyB676WUYRi8 + CGLp/X1Xfp5dRFSVUzYAAOCBeD70VbwEgGtpmbPnU/gue3fwclRrDSF4UwV/kXijg8veL1wCVb0t + 0dxjonj3wC6v62mtlVI8enBZuOxdeyA+ud27x/TePdaaNz0AAICL1HuPMfpnz+12+8pXvvLw8NCv + 9E8fpRQRUdUz/sj0dXyFGEMIYZ7rD//wj3zsYx975zu/O4QQUyilrVYrT7BeKtOXFO1HaB4IXCu7 + B4h/aMo5T9OkKc7zbGYxxnmub3/723/iff+kFFuvx81mEpG5zCISY2zt7oeYZ9ubmXf49Bh7f1vw + B22t+Tq+wkU8WwAAriZ+TQIAAAAAAAAAAAAAcI5KKTln78fkwUi9d5/WtkRKewGe3Drr7gH5fNYQ + QinFH2uJ9BARr/Tzkr8Qwna79R0YhmGp7/ItPL5nDAAAAAAAcDX4mIxf7g7L1FpXq9Xy7c2bN2/c + uHHxu3e9eGeqINJEDu1GH1LIm3q0d5CmozqmVMocw3kOYWmXkCR0acc9vUIkenn6tkxjzqc51l3s + NNma4TTgMqhqay3nnFLabDZ+pSci+KW3hFgGwC93bwEAAAAAAAAAAK60cRz9FMySaX1G5tCSNFNr + zTlzygYAcDkIjADwxLoasY54snl1fO99nmfPgBGRlNJtmbW45pbeBcsLI8YYY5zn+XJ3DJdotVqV + Ulpr4zhO0ySnTTYAt4wr5py9X0qt9apkWstpTLvvcO/dX94kpQEAAFyYJdN6abj33HPP5ZzNbOnF + 51Xkfgr7jM+nS8l5qV2kDymXUn7mZ/7FH/zBH7znPX/ncz73VXt7e2Z2dHg4jmNQ9VPhS26ux13z + eQe4my4ifmyYhOXaqbaQB2+POa72PvnJT37913/Db/3Wb83FxnHcbGc7/agoJ40g/KNiuG3r/rky + xujJ1p4xX2ttrXkC/TJxhU9qAACcjd+UAAAAAAAAAAAAAACco5yz93JarvF46WEYYoyekNR795ZP + Z3d6uisvcPJCvtaaT7/zHGs5Lf70dUopSyCTF3T5CrvfAgAAAAAAXB9el6iqy9iIlyN6zzVfJ4Tg + mdbb7XY36xqPj4mpmIh5dnSQYdVk2BxPr8h6eFj3BmlzycPKShE9x+ZErZVuVYZs0kufhzAkkZDH + Jl2kj76fAC6Vv2/7KPptEQg+Eq6n/SBijF5wfjk7CgAAAAAAAAAAcMW11lTV6yC8CbiZeRfgu67f + e9/b2xMRP/keQjg6Otrf37/IfQYAAAAAnJ8lQsZL18dx7L37NcCitbZarbbb7dIzobXmQdeXvWu4 + BB5wvt1u/Vt/x0gpLanngJzGQstJRJmUUjwI8KrEAZqZJ6gt2WlmRlIaAADAhfHPmymlaZrGcUwp + eZlha235qyzGWEoJIZydae115R6J7X+dqqio/c7vfOQ1r3nt93//933+539+t/rUU0/VWqWZr+87 + sNwFwL2cBMCLLR/6hjzM8zyOYy39Ix/5908//fSHP/xREQlBpmkSEZ+pIjtH6F313ndHG1JKpRQz + 2y0x9nkvuwsAAOBO/I4EAAAAAAAAAAAAAOActdaGYfDI6iVmY7Vaeay1l+Gpqk+he9hMazmt/hU5 + mYQnIgcHB4eHhz7HrtZaSvEKqJyzl30uIUw+u+621G0AAAAAAIDrw2Otc869d69abK35GI5XKnoR + Y0qJTOtzpCYioiLaJYqM6fkh/JnPOujPbPYH0S4mWuZt0ni/Db0UFgcNvcjcVyJjGLpI2c4xB4l3 + a6NmQa5GlyTgieJv0UurLzOb5znnrKohhKV5mVebX5VeZgAAAAAAAAAAAC9DMcbeuzcI9lMz0zR5 + 2997rX98fOwtwv3M+/7+vp+Rv9D9BgAAAACcj91Ma9mpagd2qao3T1iirD3k9YzkITzBeu9erOEh + VdM0xRjJtMZtvC+KX5qZTxf3F89l79oDUVVv2OLvdaWUcRwve6cAAACul9aamS1/hvk5bv+r0pv+ + +ZiGmS3DGndaQqlLKaoxBJ1rEZEcUm31j//4029845v+2l/731/zmtfM89x7T3pSfh5j9E++y4Oe + /zMGrrDTz3rBTDbHU4yx1P4bH/zgm9/85meeeWEc8jSVbidrqqofv7fGWt9+lC2Z1n4weqa1f1Jr + rW232/39fZ/34hNaLuipAgBwBfFrEgAAAAAAAAAAAACAc+Qll56r4dfsFlANw9B79zqrEMI8zw+7 + fa/L8smyPqPu8PBQRDabTUppSbD2CXme5LFer0Xk8PBwf3//tv0BAAAAAAC4brwuMcaYc/Y+OCIS + QjAzMwshtNaOjo7W67WP8+Bx8Z/mychUFDERFUlB9sIf9O1/XuvnDrFOLUaZpK/GtT380NlDabPl + 1mUSeaHowToEWaVBgpiclrqqnesOAHhYS9svHyf3kXbPtF7GxgEAAAAAAAAAAPBovDwhhOBnYVar + 1Rk9uL2iwduCi4gnW3OSHQAAAACeMEs9uxenq+q94qBwPZlZzrmUshsVRiuDa8tDp+Z5Xq/Xm83G + A95KKSRI4TZexeNxZf7y8GHJy96vB5VzFpF5nnPO4zj6aOpl7xQAAMB14WHVvlxKCSGklMys1ppz + 7r2raowxpTRN0xmDGGbmay5l5iKyXq+3m42IqMrNm5vv/M7/46Mf/ehb3vKW9d6Ygi5p1l6Nzodf + 4F78APFjxE6vEZHVatVa+0c/+qPf+Z3fGTSJyTQVv97z4z3T2sealiPuTp5p7R/NPNNaRIZh8Afa + 39/3Pp/DMPjlRTxnAACupnv+ugUAAAAAAAAAAMBV5DM2lvn6Z58M8hWWGVFMhwKA8+ARGn7p81Y9 + YENVa61+k78h+3v4GZ2ezuAza2OM8zybmT9EKWWZWSunydY+DXd5z5+m6TpURj3U70c5nfK4LPMr + EgAAAACAJ5IHWotIKWVvb2+pWuy9t9bGcZymaRiGw8NDL2XEY7QMvqgUERHJJtKkJ2nSqnzmmd/6 + L/7L/+qFmyqiY5hbqLWNGoI8ytDZA+6RBvnDcfzXn/uf/YVf/aDsp020dR6ki2iX0C1YE4mSZYm3 + ZsQIuAw+YDvPs4ci+GC4nL6l+6nPeZ69oH3pSQEAT57bTmB5bw9v4th2/kpRC0GkSxdV8z/BTJLI + 737wg//xf/gfiZ3fH1cAAAAAAAC4qvT0TJ6piEhTqUH/kz/znx5t5i4iJzOxfSzqnuNLl9XabnfS + +J03vaw6St93fvtuzdel2D2fnnO+b8WBr7CcspGrlj0D4Po4+1cA9UQvQ2f8fr/LysKvnivkCThZ + yevtSfby69b9BBwyuPK8Il4erEwb19lqtXrmmWfW67XszO3kT+vryYeVRGSz2fhLgsm9uNNVf1Us + r3M5fS7eW+Zy9woAAOBaWT51+jnrUoqf2lbVpdefr+nViHfdyDLcEUKIMS/h1lElpTSXeVnzC7/w + v3vnu75rPeTVapVSaq35Npcuguf8dIEryJqqqAYR6eaTYdTMuoS//bd/4If+7ntLaXI6/BhjbP3k + OI0pWes+JplS8kYQdzUMwzzPrbUQwna7TSn5+v6eIKeh13L1P4QC54TfXwDck9yTGgAAAAAAAAAA + AACASxdCaK3lnH2WqodM+zxUnwYXQvAIanmkBl4+D8/MPG/JzHwiXe/dZ9H5LD2f87p0kvLiKDPz + WtAnO9MaAAAAAADgroZhaK2pqvfg9haopRQvR5ymyZtuL2MsdJZ5jPTWto922nOxikpMKWofk0UJ + UeZtr9pzHqTevVz8MRpMP7cF2UxyY1ytgnSR3kSjiGhoItJFgogpkdbApfFMaw9RkNNC2d770vdQ + VVerlYhQWw4AAAAAAAAAAPDI/Hx6jDHnvJydOaMNd0ppu936aRoPtPYyB9qeAgCAewjE9ALAVbR8 + 3PNPfHzuw512o6yX2Z64nrwQo9a6Xq+9jcYjNNPAE8+nfPsvF1/218lV+f2Sc/bgNN9/b/xC8REA + AMCF8Y+f3tDP2+gtOdbLX2hm1lpbrVZnZOIueu+9FxFZrVbb7dbM5jIPQ5rnGoKYyS/90r969Z/7 + X//+j/zwZ3/2Z9+4caN38Q5+XvZISSNwJ9OTz3gmQVXM1Kw307e+9a0//TM/KyYhSO+iqqqxNQsp + hhBqKa016ScdMs8+fud59oO9lOITV0QkpTRN0ziOchp775cX8JQBALii+DUJAAAAAAAAAAAAAMDD + WcqKvPjWg6tjjD7pbZmytpQbpZQ8Z7r3LqcTYc1snmc5mcZ6cr3fcamw8umqy2Z3J9X5t8MweB72 + kmy97MBS6bTsz+5cOi8CvCqlXAAAAAAAAOdk6XrjwzU+PuMFzKq6jMb4QNAl7ucTSU1ExROuVXqU + ICJiSbqIrkooZZA+S4ySJFnt4WT989qdZpY15XosVsRalxBC1yFKE5Eg0nxwzUSadBGJEhhcAy7F + bpdDf3P2S0a8AQAAAAAAAAAAHqOl4/Zydubs0zFLa2A/d0PDbgAvTw8eosY56JcJVV3yJ3zZC+ju + yq5fTPIwDF6iqKpLkaPPf8P5u9qvN58zmXMupfjfb2ccXLhWQggeBUTyKM7mv6D5kwl38mGE3dcG + r5Nrzntc+MuA7Cjcy27BzpV70/CB0OU3I69zAACAi+R/hu2em/a/LZeycT+t4GG3fpZh96blpMOt + Q2FdRLbbYzkdBZ7mKiLtdAD1D/7wE1/6P/+597zn73zBF3xBjFGTbuZ5HHMah6Th+PhYRA4ODo6O + jlJKIaR5nlOiRB1PkjtfzyeHR0rp+Pg4pbRer7fbbe8951xrtxhUtImqqql+6jOf+bqnn/7Qh35b + TESkm4hK600siEivrUsTETGTOwKtvf/n7oHs39ZaQwg5592bPNNaTkck+LwGAMDZ+E0JAAAAAAAA + AAAAAMBD2G633m5pKSuqtXrItM9X85ltfv3+/r7Ph/NLnwwnZ/ZAWfKqvaNErVVVPTM7xphSmqbp + tnWWvCUPWFr2BAAAAAAAALgKvOtQF5PTryDS/eogoqbSzc69N5GHbDfR2Qtom0jUriGc7JWeXvre + 3qXsFgAAAAAAAAAAAAAAAMDj0Xv3qGYRSSm11nrvS0kdRMR/FP7Daa15RPFl7xSuBjMbhuGZZ55Z + r9eqOs/zOI5+0F32ruESeMKHL0/T5C+G3SsBAAAAAACAK2QZ1/Ixz92s6xijn3fYTcZ92O1vNtNX + fuUb3vrWb37961//1FM3eu/TVGLUqdS9vb3e+3a73dvbOz4+Hse8Xq9LmR7vEwRehjwkfr1e11qP + j49VNecsIppizuPh4eF6va6l/97vfeSr3vCGT33qGc+0locZhF6i6EXEzPxw9kPYG2/23pfuoI/1 + yQEAcC3QxhoAAAAAAAAAAAAAgIewWq1aayGE3ntrbRiGJdO61mpmPosupbQkTIcQ5nn22W8xRi/n + vtf2a60553meVXV/f//w8NDMPNO69z5Nk5yGZM/z7KHXPnE2xuhbINMaAAAAAAAAV4uJqATRLnaS + Fj20MFZpp6No559pLcGkByuxSzQJXUTiadK1iJyEWqssV1DPCgAAAAAAAAAAAAAAAJwfVfWo5pTS + ZrPxK8m0Xni54jiO8zybWQjh8PBwGIbL3i9cDbclFo/j6K+iS9wlXKLWmhcmm9k4jqUUL1Mg3UAA + ACAASURBVJQGAAAAAAAArigz670vff/meQ4hTNO0t7fn3fzk1ojch9Kaich3f/f3fOITn3jLW77x + Fa98ahiGUsr+K/afffbZMWVVned5tVodHR0Pw0DALp4sdz9qhmHYbDYppRhjCMFP85VSLMRaZG99 + UGv9lx/4wNd93dfV2lKSWkREHirc2k9keA9PP6MxTVOttfc+DIMf7N4jlFhrAAAeAZ2sAQAAAAAA + AAAAAAB4CD5fTUQ8SdonsXnKdUrJp7H6RNXWmpxGUOecSynLlcu3d+WT4WKMh4eHqrparbbbrYdh + q6pPp/PoaxHxTGu/o6do7y4AAAAAAAAAV4J5zWkQE1ENakmbBLu4+Gg1EZEaumday+4j64urdJF4 + QXsEAAAAAAAAAAAAAAAAXF9eSefleDEyZ+d2nju7W10od2QVA2eotfpR5rWovHKus5TSNE05Z39J + 5Jy3223OmfdeAAAAAAAAXEU+fu6jWzHGUsowDH7TZrMZhsFTqHvv3t/vYbevKjmnea4/+qM/9uEP + f/hd73rn533e57XWnnnmuVe+8hVW2/HxcQihtbZarczsNLkXeJL5KSpvyFlrjTHGGNfr/bl2Eam1 + /ciP/L13fs+7zKR3sUc6JvyAVVVvwjnPc87Zb/KjXk5PKS7fAgCAB0cPawAAAAAAAAAAAAAAHkKM + 0WfO7U5Z81l0fmvO2cx8RqlX8i/zVlNKtdZhGDyR+q6WvGrPw04pbTab5aF9m733aZqWh26tbbfb + /f19bx/gAdvn9xMAAAAAAAAAXjpTT4sOIl1E+umSiSQVsSgiaheWan2iq4n2k0e1IHJLrrbvpMhp + CTltPAEAAAAAAAAAAAAAAIDz0VrzUj6vlTMzb0+/m+J8nXlto6r6j6X3HmP0SNrL3jVcAX5ktdaW + WtRSSoyR4+t6aq2N4+jLR0dH+/v7q9XqcncJAAAAAAAAeGTex28YhlprSsn7+HnCdAjBzDzoOufs + jf4eVjcptYpIa/LBD37ota997fd///f/N//tf33jxo3PfOaZz33Vf5BSUtXWWs7BQ3yBJ4fuvKDt + xXMKpRQfZ57nWVX9BNY0FdOUUvq2b/8bP/7jPy4ivUtKQURq3d3OzpbvfZqr1ppzLqUcHh7mnFtr + vXdV3W63Pqbth7wf/o/xGQMAcE3QxhoAAAAAAAAAAAAAgIfgmdY+s807PohIa229XpdSPO7ap5Om + lHrvvXfPpT44ODg6OvItnLF9v7uHVccYffJr793MzKy15pfjOHqQts+R3d/f7717ZrZfXsgPAwAA + AAAAAHjpgqdF96XUVKWG1DWLFrWLS48O1ofexfrdSl53mnXS9xUAAAAAAAAAAAAAAAC4WEs3fGKb + nerJtCqvJfQIcM8JuNT9wtXglbCe+uDFsF4qi+vJ3zemaRrHcalWTikRcw4AAAAAAIAryoe8YoyH + h4cHBwfr9Vp2xtVzzj6i/mgbH8dxmqYh5VJL7/JHf/TpN7zhje94x9/64i/+4s/6rM964YXDg4O9 + zWaTUtputwy94pqIMdZavUOmiPTe1+v13PrNF47f8pZv/uUP/N+e755SuCXQ+mF4Dv1yojDG6At+ + gB8eHu7v78vOkQ4AAB4KsdYAAAAAAAAAAAAAADw0D5kehmGz2bzqVa/abrc+yy2lVGs1M1/wazyL + +vDwUESGYZjnebn1XhvvvXuytYjM8ywiHmKtqj4X1nfA5/CllEopctqBYhgGj8S+iB8EAAAAAAAA + 8JKpSBDpp8siMsU4xZha8Z6IXSWcfzfa1GW/VClNXqyH7WLhNFeb/owAAAAAAAAAAAAAAADABfGc + 5pTSPM8xxqVojmb0rta6/DS8xnCaptVqRew3HoSZeZhKjDGEoKpezUpd6vUUQiiljONoZqWUYRi8 + WhkAAAAAAAC4imqtOedpmsZxPDg4EBEza615s76cs7f4m+f54OCg1ur9/R7cNBVVNZUQtTWLSY6P + N29+8ze+6U1f+e3f8a2lt6m2OIyl1nEcl9FX4AmkXUTEXizAt6Ca4mYzmZnE9O9/76Pf9E1v/Xe/ + 8/8Nw6rWufdeax+G4e7H3ZknuEIIKSVv5umHsB/Oy/kyP9j9wPcTZ4/5yQIA8KTjdycAAAAAAAAA + AAAAAA/BU6W9Yt8XSine/UFVPdM6hFBrVVVfkNO4a1X1lc/ItPbIajmdM+dbWK5RVVX1m2KMPk3W + p9DJafsJ5tIBAAAAAADgyjgtMVUVkaAiUUQ03MzDC0N+qs6pd9P7VKI+nh1RSb0/NTXZVvEKce9/ + a/3WPV2uP/ddAgAAAAAAAAAAAAAAAK4zVZ3neUlXJdB6V0rJc77lNIp4tVoJPyU8GI+LKKV4rLWI + eD3sZe8XLkfvfSma9vcQM+u9E3MOAAAAAACAqyilZGbDMHjDQM++9St9HMwzrYdh2Gw2jzAIpqpm + UkoRkZRCrV1FUpL3vvcffvzjH3/Xu97lA7A551ZmYdwe14OZxRhLaa01b5L5a7/2a9/w9Fv+5FPP + pjTM8yx+ULQ2z/MjHHe9981msxy8vjUz85abfpgvBz59OAEAeARMFwAAAAAAAAAAAAAA4KTEWkQ8 + cLq15t9O07RESvvCMj3Uq/R9XqnfZGbLyv5tax6Ac7JZO7VswRc8lFpEYow+Lc8vVfXo6GhZf2kK + sCz4tLzl7j6Ljrl0AAAAAAAAD6W1toyoqKoPueyOsfhoD86LnXwFkSBduojYF/3CP/uTVZpTUJVl + fOy89yPU+qqp/Nz/8D+Jj++dXN892brfVoRDFTlwGXrvS1Ndf3PwUfrtdrusICKttYt66wAAAAAA + AAAAAAAAAOdoybSWnao6uKXpP5EYeFj+4sk531m1imto919/ybcm0xoAAAAAAABXl56S0yEvuXUs + 3c8+hBDMzMfHbhsQW+7l9ea7G9wtXaz1pB65NBGRn/3ZX3z1q1/9yT/+VAih1ioxacoppXmeQwiq + 2nv3B6L+EVeUqpqZR1OrqgfGq+pUWxMdhlVrluLw/p/8p1/1lW/49KefldMmnCJSSutdREJrJy09 + l8Nqt7GDL992SC6H6u6pw+WgXka2lw0CwJMhxviEnbPzd+nlbypvCuGXuHTMGAAAAAAAAAAAAAAA + XGs+163W6pPVQgg+6dMjq8dx9GmgIqKqpZRlyppPp5NHjZFeZsWllKZpEpGcc+99b2/Pw7BLKUdH + R8Mw9N7neX5MTxcAAAAAAAAv8mGflJIP+8QYzay1No5jKSWlpKq1Vr/+snf2iWIi3XOj7cX4aPGo + aOsSRMb03BjnCyyw6irR7MbcbsxV2u6+mpjtRlrfussALk7vXVV99D7nXGs1M19YrVY+kO4l8VSe + AwAAAAAAAAAAAAAAAAAAAAAAAACAs9Vae++ttWEYvIBxtVrtlpmLiFcymtk9Ww6aqEoI8pGPfPSL + vuiLfuPf/GZrLYQwTdM0TTdu3Oi9995DCNvtVm6N5gWukHme9/b29vf3e++llHmeSykicuPGjVpr + a62W/r3f+71/9a++rXsfh3vwPGw/rESk1uoh2SklP+hCCEsGPH0eAFxPrbVa6/Hx8ZI63Hu/4Jzj + x86fwvJEvAs0Xib4xwAAAAAAAAAAAAAAXGsppe1269PafA5oCMEnOvgUB59v6svjOIYQPAyjteb5 + 0z777WHN82xmwzDUWodhGIahlGJmy6wRVR3H0Vdm+ikAAAAAAMD58VoXH5MRkdVqNU2TiNRavfpx + nmfiUc9TuCUp2kRUJOfDPNSTGqRzrzXtKqai0sdex9bEJJj0ZX+odQVeHnwAX1UPDg5UdW9vzxtD + 5JxV1QfSPdB6eUsHAAAAAAAAAAAAAAAAAAAAAAAAAAC4q6Um0RsDmpknT9davUrR6xnnec4511pF + ushd4nq7iYmEoNtte+Mb3/STP/FPgiYve5zn2RN+x3Hc29vrvc/zfLHPEng8VqvV4eHh0dFR710l + 5jSOe/tN9Nlnnh/yqtT+LX/9r7/7B/5PU5nrvSr0u0ivteacvYfDwcGBiIhZq7W1lnMWkVJKa83M + liMRAK6VGGMIoZQyDMPyNvhorY9fVlpr/dTSxodw65cJ/hkAAAAAAAAAAAAAANdaa221WomIqsYY + faKnz2+IMfbePbvIZ536wjKrI8boCymlh33cGONqtfJZqqUUf9wYYynF98qnjGy32xDCEzB9BAAA + AAAA4OXGzLziRUT80geFttutD/v4WE1rzWuGcT7CHbnRXVQkpBpiPy17Mb2IctNoErul3sVMlpIb + 7XL3bOu71JwDOFfLG7KPqC+16P6m3VorpXiN+jJ6DwAAAAAAAAAAAAAAAAAAAAAAAAAAcC/zPHtx + 4jiOZra3tyciqrper1X18PDQKxaX8MW7Wq1WqrE1E5Htdv7Wb/2b3/Itb5u2JecxxhhjrLUeHx9P + 09R7J74RV9Q0TTnn/f1978nZTr3iFa/4+Mc//hVf8Zqf/un/S0SGnIacRETufdAs3T4PDw9Vdb1a + q6jXCIvIer2utdZa/YEu5tkBwMtHa2273eac/dveu78DX+5evXQe1+1EpLV22XuEF/HnKQAAAAAA + AAAAAADgWvOpoqWUaZpEZBgGz8PwWQ4eieFTS0XEg45ijPv7+3I6B+LRYqeXaSI+kzWEYGbTNKWU + zMwjtM3MA7MfITYbAAAAAAAAZ1PVnLOPAi2RqD4sswz7qKqv4LnXePx2wqK7SJPTSpceQ0vBLqjs + JZioSTBRaaJVVLqKepa1ioS+7KGI6FkltADOkaqWUmRnzDyE4G/ae3t7Mcacs7+NHx0dUaYOAAAA + AAAAAAAAAAAAAAAAAAAAAADO0HsfhsErzV944QUROT4+HobBzDabjRczepvBeZ5zzncrMw4iYbud + W2spphi1NYtRf+zH3vc1X/O1L7zwwtHRUWttGIbVajUMgxdCXvgTBR6DcRxVdbuZp20ZhpWZtmrr + 1f6HPvRvX/3q/+Xf/b+/26qkGOa5zqWeHCnaT76knxbrS87ZG37WWlUkx7TZbkzMD72U0vPPPx9j + TCn13r0dKABcN6vVSkSOj4+97804jk9GCPTS/Lm1trSDvuydggix1gAAAAAAAAAAAACAa87DpHPO + 4ziKiM/79Otba8vUz81mMwzDEl90dHQUQvA1HzlzOqVUSlFV3wcRUVUPT1r2zTfuE+8AAAAAAADw + GPlQj4/GLGMyZlZrzTmLSAjBzFS11kp58AVoHnLt5dw9DC1e5A89mIiIBWnBXqwn9ypXPSmTteVK + ql+ByxBC8NRq7wHhtZe11hDC8fGxnJYs9t739/efjLJMAAAAAAAAAAAAAAAAAAAAAAAAAABwTkII + fjnPs0fqTtNUa00pDcNQSvHAxRijqp4Ru5hzFgkhhNZOipBV5QMf+JUv+7Iv+9jHPrbc/ZlnnhmG + wbsOAleOZ5Gu1+sY43a7Xa1WtdZf/MVffN3rXvfcc8/1LjFqrf3kqLl3i07vwHmyWoilliEPKSZv + uVlK8dxrM/PK4gt7ggDw8jHPc2ttb29PRJZ+xZe9Uy+V9/MZx7H3HmPsvft7/mXvF0SItQYAAAAA + AAAAAAAAwOc0iIiZ9d59ZkMIYbVamZlPcUgpzfMcQkgpedx1jNGnvs3z7LPiHornIbXW/KF9CyGE + GGNrzae3+lRXEfH8bAAAAAAAADxGPvYSY5ymyceFWmtmZmY3b940s+12W0rxwmMzcowfs1t+oCqi + YiJNRNRERGoYa4j94qqq1KSrlCB1KbXx+Grtyzp993oAF67W6kPrOWdVnabJB8/NzIsw/e3aB9gf + YdweAAAAAAAAAAAAAAAAAAAAAAAAAABcK9vtVnZ6/cUYa621Vm8z6F0Be++3FJuryK010B7KOJUq + quMwtnay+sc+9sk3vvGNP/dzP+ctBz/ncz5nnuf1en1Bzw14rIa8iiH33r3U9+jo6Kd+6qf+8l/+ + 356/eTwXE5HWTCSIRrHgPTZfdOtRY2bWetTQehORucy11ZPrzURkGAavHSYGHsA1lFIahiGEUEox + s3EcvUnyZe/XS+XtIGqtS8vlnPPtvy9wSa78ywsAAAAAAAAAAAAAgJfCMzB82UOsx3H0eWx+fSnF + l2OMPovOp3SUUlJKIuJB14/wuD6LopTi3/pGeu8etuET6ZY4pcf3jAEAAAAAAHCLcRy9vtFreHrv + 4zh6dXFKKaXUe/exGjxGJ5Wn/r8gTUVF4hIYbRLtJOFatKv03Xjp82Av/hN3Cd1k2ZUkEoJIFonL + rksQoyQHuGg+Gp9S8nF1L1ZUVR9C98F8f7sehoFxdQAAAAAAAAAAAAAAAAAAAAAAAAAAcIbe+2q1 + WpbNLMa41C2mlDzT2lc4o97cKx9zzmY6zdPp+iJB/uhPnv/ar//m9/79fxBCmucaQjo6OjrnpwWc + i1JK11BK27vx1Gaz+Vvf9c5v//a/UauoSIwnR8cwjq1WEbF7t+dcDqVuPWhIMYlI7+bH2nLEmVlr + bRzH83xOAPByVGs1Mz0lIk9M/HPvPaVUax2G4cl4Rk8MeugAAAAAAAAAAAAAAK4LM1sSrHepagjB + 06OHYfB1dhMvfHnJrp6mk9mivuayTY+jXuymUy+W+amttZyziPjlsr6HJy3XhxB8eutLeeIAAAAA + AAA421LJI6fjMyGE3WsYn3m8VHqUIlbFRILM2pv0wST1oCKiKr3NvYlmCSkEa82WlOlzYaH2GMOQ + TFIQkamKiJpIMMlNsnbR1qNVldo0tBCMVwRwSXys3uykOt0H8M1sGWz3b3nfBgAAAAAAAAAAeLz0 + fnZX3q3IAIArYbvd+oKfjPZCs0vdIwAAAAAAAAAAAJy7pe+f3FpRbmbet9CrF5dKRjFRURUVk2EY + RESkD0OqdRbppUwi3YuiTaSbmIiZhKjv+K7vffobv/nm0XYzlXFvv2so3U7CsIfYehFrYk1F1IJ/ + iex+iYh0vf0LeGlueYGJdv9qvYj2lEO3GqKI9taaqmrKtVnM42f+9Nmv/bqn//4//EddTl7krZ2c + XZ2njchpEryoHzJiklMWO+m36VXAXSzE2KzX3rpZt+4H4NLSU1Vva+8JANeHvyWmlJZrdv9oubr8 + jd2f19Le55L3CSJCrDUAAAAAAAAAAAAA4JqY51lVfe7CPM8+PbT3fnx87NMacs77+/ullBDCbvrF + g+u9+6bW67WqttZCCGYWY/R5EqvV6ujo6K7R2gAAAAAAAMB1pCInlaldukgTMZXjI1nn9oqD41rn + Uk1kWJ/7jqSYRKRV0W6ivlNdrJ9UzZqIdelNxJpIXappAQAAAAAAAAAAAAAAcMXVWler1TzPIqKq + 3kV9CS0AAAAAAAAAAADAdaOq3q5QVUspq9VqyZX000njOHpvQ1/zXtvpojGnWsy6/Iuf+4Wv+ktv + fO6551WiWDAzkbC/v390uBnHsbU2juNFPT/gDvpi9fwwZFVpreWcSymllL29vbl1lZhS+vDv/d5r + XvPaf/Wvf0VE7MySezPb39/3tp+utSY752RbazHG3vvh4SGxpgAAXCJ+DQMAAAAAAAAAAAAAroVh + GESktdZaG4ahn+bR7O3t+ZRQM5umya8spTzs9nPOPj0u57zZbJbYbJ8n2ns3s8PDw2EYaGsCAAAA + AAAAiKhoEBFTUQkiSbwitZs89ZTk/rG2sfUwjGsTOd5IP+cRtdpm1ZBUVqqyraveRVVSCCLxpFQ2 + iImYmEg7330BAAAAAAAAAAAAAADA46f3kHNWVa8+WwrNdrurAwAAAAAAAAAA4LoZhqGUst1uzWy7 + 3dZal5s861dEUkohhLPjeFutZhJC6L3/5m/+2y/90i/91V/9Vb/jPM+lFFWNMaYhH283t96173wB + 5+f2F5j3zmzVjg4369V+DPnw8Nhj13/jNz74ute97sMf/v3WJCUxkxjv2QUghHB0dBRjFJFSyjAM + MUY/ZGKMZhZj9CPr4ODgEfp/AgCAx4VYawAAAAAAAAAAAADAtWBmvXefxLZcmVLKOYtICCGlJCKr + 1ar37ssPpZTi0+OWKXG+ZY/K9j4mMcZ+6nE8JwAAAAAAAOCKCidfelLn+mJ9SxNRlf3xTf/y5zdi + Ns/bInsHo8j55lrnPPQym0qeu9yc5Nhks2kiTcRUJIjoyV6qSLzf1gAAAAAAAAAAAAAAAHBVeLlZ + a62UYma11t0CNAAAAAAAAAAAAFw3HrWbc/aehN5IcBgGVe29l1JCCOM4llJaa2f1FTTTEFJKvffW + LEb9zGee+/qvf/of/+MfjSF7B8SnnnrFc8++EDTFkC/q+QFn8cz1YRhu3LhxfHwcY845t2o/+ZPv + /+qv/upPfeqZnDVGqVVSCq3Z2Vvzw2ccx3me/XhprYlIjPH4+FhO23V6604AAHApiLUGAAAAAAAA + AAAAAFwLqjrPs6qKyDRNMUZV9T4jfqXPH/VQal9+KB5Z7Q8UQvBN5ZyXbiYiMs9zOPVYnxwAAAAA + AABwxZgEL1H1wOiTzGoVSVFSlHWWMdqYirV1TG0zh/sUtL402ueyDUOwKGPrP/M/vlr+dCNpnKVX + kVlOs6xDENXBZDSSrQEAAAAAAAAAAAAAAK6YcA+ttb29vRhjzllVU0pHR0decQYAAAAAAAAAAIBr + KKXkzQO9XaG3E5zn2cwODg5EpNbqWbwiEuM9y45THKxJjNm36QHAf/qnz7/97W9/97vfbaqHm+Oj + o6O9vYNpKqpqIqbdv+7cWljKs4HHo4vc+kqzIBasa06jmW63c6095zHG/H3f931ve9vbDg+3IlKK + tSpicu+Tql2k996HYei9xxinafLgaj9FW2v15GwRGcfRo68BAMBloU02AAAAAAAAAAAAAOC6WK1W + pZRSyv7+vs+ByznHGJd5bN6FRER8ittDaa353c3MZ5f23rfbbUrJt+ybba3N8/wYnxQAAAAAAABw + 5dhS5KqiJtHrW/Tkq7TaNInIFGxYrVproZ97/cuQUylVREJtB8eT9CBzEbF+usMi0nzB957aWAAA + AAAAAAAAAAAAgCul30MI4fj4WERKKb7a/v6+V5kBAAAAAAAAAADgGuq9p5Raa713VfV2gt6x8PDw + UOTFNN8Y4xnnlWqtOedpmsZxXWsNIeQcRWSe+7vf/Z6/8le+ZruZUxpUdb3eL6WJiNwt0Bq4SP5S + 9xOpTz31imefffYtb3nLe9/7I3M1EfHXvqp/3SvWWkQkhDDPs6r6MeJnY0WktVZrXe5LpjUAAJeO + WGsAAAAAAAAAAAAAwHXRex+G4amnnvKZbTnnUkprLcboN/V+Mo/zEZKnc86tNZ8ed3x8bGaqGkLw + cGuPte69xxiHYWDyHAAAAAAAAK45WyKiRYKImoiKBOlqTSVIFInHVvugTewCxtNaqUklRokh1LIV + nSWpig7S08kqIXqZrVKOAwAAAAAAAAAAAAAAcPXoPXghmIiklMzMu6vHGC97fwEAAAAAAAAAAHA5 + vIVgCCGE4Fm8ZlZrrbV61XPOOcZ4dqa1iPipKBGZpsm3WWoXFVVZr/Mv//IHvuIrXvOJP/7kXPvN + o+M8rk/v1m//2t03e/ELeEl2X2MWxIJIEAlmOgyrZlqaffJTn/6qN7zx/f/0n223NQYdh2xdxMRM + xnGY5xruXXfvvT3NzA8WERnH0Q+ZEIIfHZ5vbXYR/QQAAMC90EcHAAAAAAAAAAAAAHAtqGpKSVW3 + 261/W0rx2aI+uc2nsoUQHq3nyDLl1MxSSv4o/hCr1co3Xmv1dbzRCQAAAAAAAHCdqYhoF+lqp99r + 79JTzCpBJO6Nq+df2AwxhZTO3tRLF6OKSili2vM6ijYxCSJRJErfGc47rcRhhA8AAAAAAAAAAAAA + AOBKsXsTkVKKp1yLyDAMtE0HAAAAAAAAAAC4tszMY3drrTlnP5GUUooxerL1PM+ttaV74Rnb8faD + ctrq0MxUg4hsNqU1+f3f/9iXf/mX/8qv/D/jOHoGMHDpUkrb7Wxmf/RHf/wlX/Ilv/3bvy0iqtKa + TVMRkWFIIrLdziHIfV+2nv7ur//tdrscNa01P6zkNOX6nJ8WAAC4J2KtAQAAAAAAAAAAAABX1TzP + vuCzMG+bi2lmHjUtIj5NbWkyIqczO3vvy7185d67p1wPw+DX+8LSlGR35mg6TdNZtl9K8Q2O43jn + CsumHtuPAAAAAAAAAC/ZbUNGS23wsmxmlAE/dvGkpqWLnv5stYt0Ee3WxEQshrl91qDWqlg79x06 + HTvUGFs26VtRC2IqIiZy8hqhDAe4ZHrKl5cRe1/w4X259Z0cAAAAAAAAAAAAwMXz03l+dm9ZOG+7 + fa4JJMbDMrOc8+63lIABAAAAAAAAAABcW8upIu8luLQlFJEl2VpEeu8xxt77cqbJOxCKyO65J2em + Xqrce+8mIaqIrFbDpz/97Nd8zZt/6Id+WDWkNLRqrZpKzGn0Beuct8J5iTGWuVnXGHOtPYRkpl2C + afj1X/83f/bPftmnPvVMKZ7I/uK95vmkjNd7MPjxEmO8rezXb/Li3977ctQsD30RzxAAADwA+ukA + AAAAAAAAAAAAAK6qYRimaRKREEKt1S89ZKj3rqo559u60jy4eZ69qc08z+M4Lr1IfCZcSimlVGv1 + 7S/z5FJK/q1vhPYlAAAAAAAAL1tLAaSq+tiOX5NS2m63rbVaq1ca+9DT5e7tE0ZPv0RExERPwq1N + REWyRmlNROajbegh5FjPPdbaWpeQpIrMtWy2NyWpmEQLYi8mmpsEkXCSck37a+DC9d6XAXl/f+69 + ezOIEMI8z17BPs/zne0eAAAAAAAAAAAAAAAAAAAAAAAAAAAAXrqlwjHG6PXppRQRWa1W3hoxpVRK + OaPUMYTQmo1j3m5nEdlu5x/4gR9429vednh4OI6jR2XP8zwMg1dWXtATwzVjZtM0PfXUUzHGo6Oj + GzdueA3vdju9733ve/3rv6qU4q++nM6q2/VGna213rsfHTFGPeXX+GMJadYAALwsTYHmeAAAIABJ + REFU8ecmAAAAAAAAAAAAAOBK8umbnlfRWksp+Tw2z5n2KOtl1prnEj0U34hPg9udGLoEWnumda21 + tbbdbmut8zz7zuwGXQMAAAAAAODlySt4/dLMlsGcWutqtYox+tDQzZs3iUc9F3ZyYaIi/fRLpLdW + u6UoQfb2Dkrt2956kq5nb+6lilFMpQfRGF51sC83n5duIp6/fRK5XUSaL/WzNwbgXHhNe855vV7X + Wv192/sy1FqHYTCz1towDN4GAgAAAAAAAAAAAAAAAAAAAAAAAAAA4HFpranqNE3TNHkfQjlNud79 + ttaacy6l7NRQ7xRTi/TeRWSaq6jGlET1eDO97yfe/5fe+NWf+OSfaMqasplut/N6ve/R18Bjl+Ig + Fo6ONqW0vb2DaSpTqRLiD/7ge77t2/6mqE5zbV1Eda7ljMaaqrr0avAWoKUUb+CgqsfHx944dBxH + XwAAAC83xFoDAAAAAAAAAAAAAK6knPM8z6ovptmEELbbbe9dVdfrtYj03sdxFJEl3/rB9d5ba6WU + cRxDCKUU37IHWvsGe+9+02q1SikNw1BK8ZtijKrKzDkAAAAAAICXraU8spQSQlBVrxlOKfVTInLj + xg0R2W63l7u3TyYTkXS65LWqIeqYQq7WRfpxrflgX1T6SQr2+VFRnWcZYqpzmzfHsr+W3neHH9VO + i3CUchzgcvj79mazuXnzpu2YpqnW6m/ayxC9fwsAAAAAAAAAAAAAAAAAAAAAAAAAAPBYeA3jOI7j + OHqh+na79X6DIQSPtfbL+zYh9C2EELxS8saNG63Zr//6h1772tf+7u98uJSS0pBSmqZpb2+P2mac + h2mavGnnMAzeXeHo6Ojpp5/+wR98j5zmtQ/DYGY55zO2Y2YxRl+/lNJa82UR8Yh3VfWyX+8get7P + CwAAPCz+1gQAAAAAAAAAAAAAXEmttWEY5nlurS2p1avVarVaxRg9Z0hVp2kys9baw25/2abnYYjI + wcGBmfkkuVrrPM+11tZazrm15lkay60iMs/z2TPwAAAAAAAAcLm8Wjjn3Hv3IZ1lHCmE4MXDfv1q + tbrE/XwyqYj6RRDpIr1LEAliIqKqQaLUcXx2W46qrIZB77vBl6Y3yyKp6thCKUVaPUnaNllStZNJ + FGlBWhA77x0CcAd/i/bidl+Ypqn37o0bQggeRe+V7bux9AAAAAAAAAAAAAAAAAAAAAAAAAAAAC/d + 888/7wtehO55wN7t0OscY4yqqqohnJUP2HtX1dZa7z2EcPPmTVVJST72h598zWte+ws//0vbuaz3 + b0xTOU3IPtmannx1lX6uzxRPvJSG7XbOOW82mxDCpz/1mb/4F1//z//5z6eYRGSaSoxxnucQpEuT + M8t2W2u11nEc/Vtv42BmnnLtB4XfNAzD+T4rAADw8Ii1BgAAAAAAAAAAAABcST5TM+e85E/7DE6f + uzYMQ0rJU6gfbe7ablq2T4M7PDwUkc1mY2bb7TbnnFLym3wW6Xq9VtXDw0O/I5kZAAAAAAAAL3/z + PItIjHFvby+E4AM+OWcf8BnHMaV0dHTkIan/P3v3/iNLft73/fO9VFX3zOwebZyf/EfEf0WQxDQk + BmC4WonimpJFWhcyFJAgCWWRIanYQYIYkiHAlighkGxTN+iSyJJtmY4ASrQuhCBLpiiBFG1F8oaU + Te6ePWd6uqq+t/zwTNfpPbszu2f2zO3s+4VGb01PdXX1TG3PQdXzPB88Rs2ioqvUvKQiNfkq1amp + qbWqpq9Ft1nHg4NhPpkve3+ckw9BuT69OjhUlA+KcbLfu9vtsdSkIpXL3hsAb0BKaRgG773NbrAB + EDa+YRxHTtEDAAAAAAAAAAAAAAAAAAAAAAAAAIDHqNZ6584dWwghWHuj9z7nXGu1B0spzrkY4/n9 + 6SmlGKMkm5coKcYuZ0m6f3/7wQ9+zyc+8Ymvfe3Fg/Vh1w1X8d7w1hNCiDHmnNfrw9/57c++/e1v + /9M//VNJuWT7bq2172OtKrn6cGbfrrX0xhinabIFSfZ/hA0LtZTrcRwZ2gAAwM1ErDUAAAAAAAAA + AAAA4Fay6jS7t9pN7WrjJOWcc86WY5FSsgzsR2XJ1iGEruvstXLOMcaU0mq1qrW21pxzpZRaa87Z + dubo6Mg5N01T13WWogEAAAAAAIAbaJ5n733f99b0m1JqrVljZK2173tJ9vjh4eHFzi/hLBYOXSVV + +8/pI03yvVNTJ68uvO3/+ad376y/djL1fefbJe9TCClXuaC5Dnc3GquamvfFSbtbcyqSl8Il7wuA + 12SN6zHGeZ5LKX3fl1Ls8XmeY4xLW/tqtbJvAQAAAAAAAAAAAAAAAAAAAAAAAAAAPEY2YNB7bx3o + lmPtnJvn2R6XlFI6Zws2LzGl1Pe9nOY096shpeSdl9R1IWf93b/79z7ykY/cv39/HMcreFN4CxrH + 0TkXQvcrv/Ir73vf+/7Df3xxmpIkOWed/a21OeVh3cupVnvM724PtNZCCDaoM4Qg6e7du5b4nlKy + /xecc6vViqENAADcTPyFBgAAAAAAAAAAAADcSt77UkqM0TknqdZqCdM5577va63eeyvZ1C79+lG3 + b08spczzbJnWlmlkKde1VknOOSuksz2xsrnWWt/3lq7xGN8yAAAAAAAAHiPLQ7WzPTnnpTHSvjtN + kyWn7p8LwuPnJaemUOUtPFpZktKc5Tt591Krd/6Tp+bxvM7tx6K11pyyWkvzX479v/gv/ktttkFq + 8m3XfVMlqQYp2K4CuHI21qHve2trXy4Q2Dl5+yRfrVaSbAUAAAAAAAAAAAAAAAAAAAAAAAAAAIDH + wntfa40x2iBEezCEEEKwgYTjONZabR1rgXxNOWcbdTjPs5yTNE+Tc66qSUqpSOq68Au/8EvPPvvc + 17764i5qkMBBPEZ+GNa56kd++BPv/2+/5979k+YkKfjQWuu6zo7w9cFqGmc1OXfe4WezQHPOtdZx + HI+OjuzBruu6rrMxoTaxgbkNAADcQPwrEwAAAAAAAAAAAABwU5RSWmv7BWdWzZZzzjkvqy21aDHG + EIIVZUqyyApZgaZUa11Cpi3W2u3Yc5eN7O+DfWkBGLYRS7ZevrW83FItur8FSznafyEAAAAAAADc + WHZyyU4rLSem7FyQtU3aaq215aQQHgsnxabQ1LyKV1H06nrV0E4jo+MQ5YLCUBW2qfoQ6iWfbHO1 + huBKcHLp6XT/68b78r5vivLWfdOcpBxUnLKadYUDuAZ93y/L9uFs95yTBwAAAAAAAAAAr2bXEfZr + /q99Nq5N/rWGBe31Qdw01t8hyS6mLzt8FvtRLzO793tAAOBWcM5ZJ9ry5et+9AEAAAAAAAAAAOAt + aOk6DyHsP25X/0MIdsHdrpsvswpfXRvwoIChNjWpqbUm+XZ605xKk/7k3/3bv/YNX/97v//7udUQ + QgidnGt7u2EvmlKKMdZaSyl7O+ZfdcOTrDq/3E4fclWuNhXnmyTvfc7VuVCrvI8n4/Tf/ff/4//6 + v/8fuajujkR5J2m5eLrdjKfHZ6mSvJf3kqp1+y49vzaQwTLdbYCDXnWU7jcFAwCAG4U/zwAAAAAA + AAAAAACAG2EcxxCCc27Jn8g5hxByzjHGGGPO2WYh5Zy7rrPqTHtwP9n6rO3HGPfHP+WcnXO22RDC + MAy2Ts657/t5nttOKcXqPhmrBAAAAAAAADweTRYLXVVtqPmuPTrLSZJrkry8q9Yje/lck5Oqk2t1 + qGldklqx/XRaMqybU152HgAAAAAAAAAAAMANV2tdrVYppa7rvPfWPiCpXR9JKSXnnPVE7Edc3xwp + JevvKKVYl0dr7aF53A/x3s/zbOvM89x13VXtLAA8Anc27T6T7WN5nudXRwsAAAAAAAAAAAAA5/De + W6a1pHEc7dqTTVO0sYe2zjnXoVprliro/WkS9jynzWb77nc//9M/9bOSH8fRuVBrdS6U0paL+5JK + KTHGg4ODzWZzRW8Yt4cdnDnnp59+ehzHELq/+I9fffe7//ov//I/rU0xRu0um54/crPWujsy52EY + luuqR0dH4zjWWu1of5DaDgAAbgNirQEAAAAAAAAAAAAAN8JqtbJCzFKKFahZyLTdp5RijN77ruuO + jo7s8b7vJYUQLNn6/FkhFoZtWz46OpLUWrOhVLXWaZq0q6Kb5znnvKRZhxCsDNTuAQAAAAAAADxG + XopNrqlJcv40RLpKVXJKQU4KVVeTbu3baadNdZJ7RdtNPf3KSxJTiwEAAAAAAAAAAIDbYLVajeMo + KaXkvY8xzvOsc2NNL9Uy2zfG2Frz3tuOXfOP6VWWUOoQwmazmabJphuftX7f9znnvu+tJaTv+3NW + BoBr1M7gnLN0gWXGOh9lAAAAAAAAAAAAeOPsYpOkEEIIQdIwDFYksBQM2KUouz515oack+pu0Ulq + TfOcT07mj3704x/60IfW63UppTXX5EOMJycnNi9xGIYQwjiOFja821x91Q1PMt/sVn17xe+65FaL + vI/DsH7ppZefeurOn3zxS+9+97t/93d/zwpXcs52ZL5uEcswDHYhNcY4TZNVmDjn7t69q93czmXC + 56W9UQAA8JjduDJWAAAAAAAAAAAAAMBbUynF6ti898vgD+99rTXGaDWazrlSSkpJUs55nueu62xN + i8ReZie9JqvODCEcHx8759brtVV52vARW6frutaa1YMudXUWd72/AAAAAAAAAODi3GkytJfCrgW6 + ypflW01SlVPbrX7ZmtvtV/NLdnXbvXZ1y34DAAAAAAAAAAAAuDXGcVy6A3LOFr2ss2NNL1sI4eTk + RJK1RdRaDw8Pb+AY39ba0j1xeHhoA6+t+eI1TdOUc7Y3Yj9wxhMDuJn8GWqtBwcHkqw3zXu/2Wzs + Aw0AAAAAAAAAAAB4Xc45qwSwuYjLNfdSiqVZ25ftnEBrSXvDD+2a+/JESbXqZ3/m5775m7/l3r3j + 1WpVq7bb6ejoaL1e11rHcfTed103TdNerDUgSXaQ1FpTSk899dSnPvWpd77znV/4wr8dViu7Wmqr + Wez6Odvx3k/T5JwbhsHWTCnZPE9JMUZJ0zTZwusmZAMAgJuDP9sAAAAAAAAAAAAAgBvBJn045/aj + o5dytCXWelnNcqltZatd6/veCjpfU9d18zxrV+gZY9xut8tkqNaaFdLdv39/eelSymazWbZvAduX + 8uYBAAAAAACAtyT3yqTo0xhpb8nWVc275r1FXF/BvjTvmuzW5OVqebBbaqc74eU8/TgAAAAAAAAA + AADArdB1nQ2M7rouhGAdAdY+cC1yzgcHByGEruucczHGzWbjnHu993HVbN9aa6UUa9OY5/mc/Wyt + WS6srfPq4doAcEPUM4QQTk5O7EOvtZZzPjw8fN1QAQAAAAAAAAAAAMDUWruuswtPS6yvlQrYRSiL + ml7CgM/UilTtVmttrTknG8fYdT5V/ca/+uw3PvvcH/7hH9XSnnnmL202281mE2MMIczzPAyDTVB8 + xTZdfXDDE82pOtVX9O83r+ZPpnnKpV8dNBf+zx//iW9/39+8e/8kRD+Oo9V4eO+tUMQqQM7a/lIQ + Mk1TKcWOc5vtuRzYwzDknB8+CAEAwM3GGB0AAAAAAAAAAAAAwI1gk6FyzpY/bQVtpZTVamVFmTYL + ySrYnHOtNculPjo6ssK188s0U0pul4odQkgp9X1vVZjLrKVSyjAMNo7ENnh4eFhr3c/DBgAAAAAA + AHAZLMy6SG1Jtq4+NFedyiW3v1iHbnPyTaHtWrKdljjt3ZlHb+nXl7s3AAAAAAAAAAAAAB6TlJKN + 0K21Wt+B5ZVe1/7YzuzHplqPww00TZPlUnddtzzYzlBrtX4QSbVW7/04jsRaA7hF7G9ECKHrOudc + jJEx6wAAAAAAAAAAALiYYRjmeS6lLCMNvfcnJyeSpmnSKy/EP2RZf4kWbk21ar0e5rlaxPWffOlP + 3/XN3/LpT//G5vjk6aefLqWUUg4ODkop8zzHGG0jwMJ7v16v53n++Mc//pGPfKw5NSnnugzntCIW + W/Oc7Vg6u11U1a4Ix+aC2jVWmxe6TPi8ivcGAAAeBybpAAAAAAAAAAAAAABuEBtp1Pf9OI4HBwdd + 1y3VaUvJWs651mqPSDo+PranLAOnztm4DUiywSLzPOecU0o5ZyvftAK41pr3PuccY7Ss677vJfV9 + z0QSAAAAAAAA4LGqUpWTnFxTaL6ozqrFVTmp+FB9ccq+1UseeF6cr05O1bclxbo6vaJtmyYcAAAA + AAAAAAAA4Hax1oC+7232rnPuzp07IQR3TWywr3Uo2CPWwnDdP6eH1VqHYUgp2fDicRz39/nVQgg2 + GtveyziOq9WK/gsAN1A7g81bn+d5Wcc+3657fwEAAAAAAAAAAHA7eO83m41FAluhQgjBe++cswet + gEHS0dGRDVc8x34tgfU9b7eTJO+Cc65Kd+8ff+d3f+AffOJH72+2R3e+bkx5OycFX9RCCA9irV2V + I+L6Lc5LPvju5bv3v/197/uJf/RJOeUk55yPobVm1R3L5VHn3Dmx6JbLbk+x5y7Z7VZkslxjtUuu + V/D2AADAY8FEHQAAAAAAAAAAAADAjWDVkzakyTl3586d7XZr33LO5ZyXtGmbeWSPWI61cy6lZKud + tf2lss2Sre2JVvFmL21VdLbxUkqMcZqmruu6rrPN5pxtJBMAAAAAAACAx6Mtdw+Wi1QkOcmFplid + mtNlz3FfwqydmuSdpFqDmgVb73XN7jpxaKQFAAAAAAAAAAAAbrxaa4xxnufWmrUDLH0K1yLnvFqt + LDbVehluZmyq7ZL9xKZpWq1W2jV9vKZaa9/39na897Y+/RcAbpEY4ziOfd9rr93snM89AAAAAAAA + AAAA4CGHh4d2gcnul7Rg+24pxQKnj4+PLej6Ndn6y7Uquwq/P0SxlOadL6WN0/gDP/ADH/7wh196 + 6aXDw0NJMfalFK5zYY8daf7LX/7yu971Lb/+679Rq2pVjL6p1VKWo2sZyHnOwbl8N+ds0exWGWIb + seUYYymllLJsEAAA3ArEWgMAAAAAAAAAAAAALlFrrdYqyZKhSyn25TRNVmpWa13qJu0pVkBpxWpL + deaysn1plZrLZtvOsgVbGIbBFkIINoXK7p1zm81mWX+pn1sWrDBuebplYNs9AAAAAAAAnjCllOXM + z37P5LKCnZXCZWlylh3dJCnbsqq85P3c96nWK+haTbnUWlurbrWaS3K5qlRtx/11bJ8ufVcAnK3W + ap/JKSU7yW9XE8ZxXFaQZJMXrm83AQAAAAAAAADADWJNB9oNjL5251zXsFaIruuu/UqH7Yl1WCyN + FefEb9uaNzCfGwDeuNVqZQv2mWYNaNe6RwAAAAAAAAAAALhlluv+2g0zXEYpWqa1FQlYwYBdlur7 + XnsjEB8qGLCVbcZis55s+dokecmX0n7mZ37hO/7md/2/f/rntv0Y+yJX5GKMy4zHWqv33nt/Qwon + 8ObZeAQb7Gm/2WVKZwhBzXsXW3O1Vhe63/83n3v72//rL37xSyVLTS74XKok5/1ykCzHhjXt2gFp + R7KkGONDx7Md6vbqts7+GE9bmeutAADcIgzTAQAAAAAAAAAAAABcCpv9lHO2IjPvfa01hGCR1cMw + OOesAM45l1JyO8vUj4vFSFt1pj19miZJXdfVWg8ODqxgLqW02Wz6vq+1zvP8mN4uAAAAAAAAbh87 + PRVjtNNTIYTWWillGIaUUozROZdztseve2efRNaL6ppU1SSv5tQpBtWcJuWiofuqlJpCu/S+1YP1 + SpJvKuNJH7qnD9Z6+Vg+6kGmedVp9DaA61Frdc7ZVYau63LOrTVbWK1WdsLfOWf98LS7AwAAAAAA + AACAW8QubbTW+r5PKVm0KuOkAQAAAAAAAAAAAAC41ZZWRwsAtuGKrTXLq/beW2tkrXWJEH4kIegz + n/md55577g9+/3Pjdm6tpZSOjo6mKcUYc6re+2EYpmmqta7X68f41nCNbAaCpZVLWq1WwzCUUpxz + m80mxphKLqVI/lOf+pfPP//8vXvH85ztucvYhFbrWdu3fl6LuA4h5JyX2OxxHG2hlLJEWQMAgNuO + P+oAAAAAAAAAAAAAgEsRYxzHseu6pezMe7/UUEqyAjVbHobBe2+Vl6UUm75kwdiPap5nm+WUc+77 + 3oY6tdZOTk6siNM5NwyDrbxkYAMAAAAAAOAtq7VmZ66sCXO1Wk3TJCnnHGOMMc7zTDzqY9ecmnbJ + 1lWSilOSnJpqPugG9Z1C/eZf++dxOAhN/pIDpcft2PvgOx+8zyXVOakfdHxi++ZVnarXmd25AK6A + XWhwzh0dHTnnDg4OYozOua7rnHN2wt8CrZePdAAAAAAAAAAAgFuhtRZCsMvTkpa5wNe9XwAAAAAA + AAAAAAAA4E1Zpi/afMV5nm3Eoj3unLOsa1t+nU2pNtUmLbdc1Jy++uKLzz733Kd/4zdW68O+W929 + e9fFMJe8Xq+dwjiOfd97719++eUreL+4AsMwpJS89yGEeZ5PTk7GcQwhNPmn7zxzMk4x9kdHT//D + f/zJ9773O+/f305ztp5b773bHT1OZx5vNkHUWnctLdsqW2qtNsYzhLCUtdDPCwDAE4BYawAAAAAA + AAAAAADApSilrFYrSc45q3izZUlWlGaZQJYwYQtLUdpSpmZ1lo8khLBareZ57roupWSvG0KwuU6l + FCvlHMfRe3+x5GwAAAAAAAA8AVprpZRaqyS7t5NX1rSp3TmlUopFpeIxerg5tUnttH3ay3WKqpLz + emotV0/m5Mvlxor7poPVOqc0p6xWJc0nJ//obd8g38mODVW/7DUR58A1WT6Q7cx/ztkuK9iHdikl + pdRayzmT8QAAAAAAAAAAAG4da3ZYr9dd19kkawAAAAAAAAAAAAAAcKullGwSo3at633f23zF7Xbb + Wuu6LudspQIXiAcOIahpnmpK+Tu+4/3f930fTikfrI+8i6W0nOvx8bFTiDFut9tnnnnm8b9DXIec + s83wlNR1ncWW933vnDs+Ph6GYZqm73r/Bz72sb8dwmljvPfee99as7xq7/05Meo2otN7P8/z8qxp + mva/a8UtdoRf9vsFAACXjVhrAAAAAAAAAAAAAMClsALKlJKVoPV9bzkT3ntJVjfpnFuv15IsQCiE + cHh4qF2Z2sVip0sp4zhapnVrzSrhpmmKMVoVnS1YQecFYrMBAAAAAADwZHDOdV1nZ6uWSFQ7fbSc + nrKeTO1yr/F41dN863oaai1J8pJ3Xjm3lNQFHa27gwPXdZe9M2ncBqkfvILiqu+c1917mutuxxoN + tcC1c86llLR3bt97bx/aBwcHIYSu6+xjfLPZ0AYPAAAAAAAAAABuEbtm3ff9drtNKXVdJ2kZBwwA + AAAAAAAAAAAAAG6jrutsAKPNVLR4aQsJthmM9+7dk1RrfZShiHV3U1WTU4yxZKnpJ3/yJ9///ve/ + +OKLOedhGNbr9dHRUWstp9r3/cnJyeW8S1y1UspqtWqtjeNofbW11uPj41RLvzr48l/8h2/9G9/+ + i7/4TySV8iAr3Q683UI9Z36CrWYrOOds7RCCte7GGKdpCiHUWruuYw4DAABPAGKtAQAAAAAAAAAA + AACXwsKku64bhkFSKaXve3u8lGLZEpK2223f90s52maz8d7bmhfOnI4xppSsBs4GOTnnLJRo2Tfb + uBV6AgAAAAAA4C3ITknZWaPl3FFrLedsI8K9960151zOmXjUx2v5ae51qVYnBanZQ11061V2USmf + lFJTVrvcFhgvhT6WqcrpZJwP18NTzSnV3Q69cmcBXAfvvXXX2/iGYRhKKTln770NU7DQ61rr4eFh + KeWadxcAAAAAAAAAAOANs0sb8zxbvnVKqe9768UAAAAAAAAAAAAAAAC3l3WphxCOj4+dc+v12mYk + SiqlDMNgsxmtWfJRN95qlbQ8cRrLpz71a+95z7d+5StfKbm9+OKLpbRhGOZ53p/3iNvOfuM55xhj + a+3k5MR7f3j4lHfxz/7sz5599tnf+q3f6fsYwoMJCbVWO+qck3Nq7cyNLy/RWlutVuM4ttaWBt5S + yjzP1LQAAPCEIdYaAAAAAAAAAAAAAHBZhmGw+sXWWq211ppS8t6vVqvWmoUDxRjnefbexxgt7jqE + YGnTy0imR2I5Q6UUe2nbgvc+hFBK8d7by9nKlp8NAAAAAACAtyA7RxRCmKbJzl+VUlprrbX79++3 + 1sZxTCkt/ZzXvb9PpiY1Sb7KKVSFJu8kp+ZaUp3VtDpUiC3EesnB4sE5pRyiNknD4NM0f123Vmk6 + /c3X3Q3Atck52yWAruucc9M02Un+1pqNdbCPa7sQcIHrCwAAAAAAAAAAANfIOixKKXbhw7oqAAAA + AAAAAAAAAADA7WUdkdM0OeeOjo4ktdYswXocR5uOKKmUklJ6o7HT7sEtxCiFlKvcae98bfqDz/3R + N3zDN3zmM595+umnLTM7hDBPeTUcXOZ7xdWxnOla63q99t5774+Ojrbb7Wc/+9m/9vVf/8L/95VS + NadSqnzomrw7vSk4792DI+gszjkrX7l3716M0Tk3DINN8gwh9H2fc5bkvd9sNheIYwcAADcNf84B + AAAAAAAAAAAAAJfCsiVs2UKsh2Ho+355PKVkyyGEWmvOeRiGlFJKKcYoyYKuL/C6FlydUrIvbSO1 + VguxsCK5Jabo8b1jAAAAAAAA3ErDMFia9Wmzbq3DMNRaW2sxxhhjrdXOKeExcu20p6Uu/21yTWpq + RdYfG+Us9voC5wkfnZf3c9HhWqVUV6pLRaWpNdu3V+795e8OgFexqwYxRjv/b/HVzjk71W8XHezj + uu97zv8DAAAAAAAAAIDbZemAaK1Zk8V17xEAAAAAAAAAAAAAAHhTYoyttaXn0RohbdBi13WS7HHv + vbW0P+r2S6qSWq1SsFmLzklNd+9uvvu7v/vH/+E/bt5JvvnQmruSfm1chVrZ4RxpAAAgAElEQVRr + 3/chhHnO2+3ku/7ll+//4v/9T97zbd92/962tdZ1weYnWBa1d6dRlbXVWmUNuOekUbfWbNhC13VW + 0LJM8rSjyI7b1trh4eGlv1sAAHD5iLUGAAAAAAAAAAAAALxZrbUlwXqfc857b+nRfd/bOvtJEra8 + 1DhO02QLtuayTStiW+ynUy9ijN57C6u2Mk27X9b3u9I5e9x7H0IgjggAAAAAAACSliRU7c4jee/3 + H+E80mVwklP0lhFtpw2bVOS8b3JFpVNSnV1OvQ/+UgNqm1dzqTT1GqskBUXVIHk1S9YOUpRUVU8f + AHBNlo53G9CwtL4vFwXsSz63AQAAAAAAAAB4q5mmabnyOwzDhbfT970tLI0M/uw5vsu3lpWXpz8q + 24Jd5rAujItt57GwV7fLMUujxzm79FBTyTzP17v/APCo7Eq0fZTZfPbr3iMAAAAAAAAAAAA8IdyO + 9qYjalcnYBHXy5oWQrx8+VBZgq3snXdyWhqeW5OqWpFUSlk6tjfb+W99+GMf/shHN9upH9YudHOp + 3vvWmlx1vqU8Od+ailx9cDvldzdcJyfN0xRDiCHkMsvV1pr3PvTddp6q86W51cFRqfp7P/T3/4f/ + 6W+NW7viqZSWCPNaay4tt12P/HIru9+2HWZW8WLLSw+v9kZ32pd2KNqDdPICuGL2d3D/D+vrrrz/ + d/Y22q/KWz6ZgcvAP/sAAAAAAAAAAAAAAG/KPM/OOavVWGYP1VpPTk6WsrPDw8OUkvd+v5jyjau1 + 2qbW6/VScNlaCyFYidtqtdpsNq8ZrQ0AAAAAAADghmrW1mJ39bS7OUhOTc6X5lRVaqhSuIJWMS+p + udMlL1Vnydu7zq7mnJpEpxcAAAAAAAAAAABw4+Sc+76vtdq0ymmauq5boqYfyTzP1h9RSrGFc7az + jI22PNQY4zzPF3hR59wSodr3vc0gtm1eC9sZ24FhGMZx1LnDiK2pxFYrpfR975xLKV3V/gLAmxVC + qLVan5r33prUaFUDAAAAAAAAAADAFVuuVUlyzlkhhJUleO8tz7LW+kaiOrsYJX3ykz/7Xe9//1e+ + 8hep5GF1MM+573vv4jiOR0dHOeeu615RDuBopL5BnHNPPfVUztkKS7qus/KMnPN6fViLpml6+f79 + 9773fX//H/xIu9Cvrus6O67mebZjbKlgAYCbI8bonLM/kfZ52Fo75+/gsnIpxWr8hmG4sr198+yt + tdZqrfYpbSOdr3u/8CTj8AIAAAAAAAAAAAAAvCl930sqpdjsobpLdTk4OHDOWQ3cNE324AXGElm9 + iHOu67rtdrvEZg/DUEqx0rfj42Mbe3TOmCQAAAAAAAAAN4tTlaosPVrFKTvNUpNaKV2Imqqq70Kf + 0ngFu+OlWOWrQlWVpljVNds321vtEq8BAAAAAAAAAAAA3CgWR9paK6XYQOeU0sViobuus6nNNiq6 + 67pz+iBSSra+93554gVe1HKsbXmz2Ugax/FisdyPhffeosHtZ7harSyuu52tlLJarey5KSUbHnpd + +w8Aj8o+h733pRT7BBvH8fwYAAAAAAAAAAAAAOAy2DV3S7K0WgJLNbZgyxCCcy6ltFqtlgDsV4vR + z/Ppdz/96c+84x3v+OIX/6TW2nXd8fFxCOFgfbQ53tqr5Pzq+grCrW8E59y9e/dCCCmlGPpxO0/T + dHh4KPnNZjOsVy+9fPe555777d/6bCkXyaK240q7vFgyrQHcWDln+4A6Ojpyzs3zbNV6Z61vK4cQ + rLDNyuFuUR1Iznme51pr3/dLLd8y7Rm4DMzSAQAAAAAAAAAAAAC8KUvV4/7IpBijTSDy3lvpxmq1 + qrVeoIwjpWS1IMsoKNuyRWUvxSJ153G8JwAAAAAAAABXp0hZPktZkleRuhDbVBR6zSU57+K6Onep + +9CcJLmm0CSp+ZpClq/y9UGytfYXAQAAAAAAAAAAANwUzrklFjrnvNlsrNPhnBjms0zTNE3TPM+W + bPq6TQpLnPY8z/bcC7xoa+3+/fu2YMOIrQXj8n9yZxqGwfo19lO93dns599ac851Xecu+QovADxe + 9qk1z7PFAEharValvHp8PwAAAAAAAAAAAHC5+r7XLty61joMg3YTF/u+t2IGSeM47s9+fEjOVdJq + Fb1Xa/ryl7/8zne+85/9s3/uXFitDuY555yHYVivDk82o70ibqBSyp07d+Z5zjmv1+sQwnq9Hsdx + GIau6/7gD/7g2We/8Y//6Avb7RRCWCpn3jireLFo2K7rWmvb7fYy3ggAvEnOufV67Zw7Pj62P3/n + 16c554ZhsEBrG2jsnDsnBvsG6vs+hHByciJp/x8DwCUh1hoAAAAAAAAAAAAA8KY45+Z5tpKOaZps + eEdrLedsD1rphk0yukAZh0VWa2/OVEqp6zqrtrQNzvPsdx7rmwMAAAAAAABwifzef62Das7VSWpy + cVBuGtb3Yng5KF/6mb8qyTf5JmfJ1q7IZ0uxftDd1SSdrgAAAAAAAAAAAADghqi1LunL3nsbuHyx + MY6ttb7vl+faRMuzVrbuidd84iOZ53m1WtnyMi/4GsdQLi0hIYSu6yS97ltbvltrrbWSBQvg1pnn + 2f58TNNkf1POiQEAAAAAAAAAAAAALoNNVrTKAftyHEf7Vt/38zxLskhjW02q1iL9EO8Vghu3uRYN + Q8hZpZQPfvCDP/iDP+hc8D7WqmlKrbUQglNQ82oP9XK/9pZxlXwIJ9tt1/dPPf308fFxKWVMuV8f + TGP6l5/6tXe961teeOErtUpSraoX+nXFGG3U57179ySt12tiUwHcQK217XYbY9Tub+U8z/bX8DU5 + 56ZpsvVLKa21rutu17xi+wfAwcFBa63WatOer3un8CS7Tf97AAAAAAAAAAAAAABuptVqlVJKKR0e + HtrApq7rQghLUZr33mYS2XSPR1JKsadb4aOkWus4jlYgYnUhfd+XUqzUEgAAAAAAAMDt0OSkIAXJ + yTnJSavoa5aaVKtiVHQvpO29wedL7hCrTtV2qUlyrtW+ZtUiqclLvklqu4zrM6fWAwAAAAAAAAAA + ALgG3vuu66xtwe5ba9779ohyzt57S3S27dRazxkHGUKw2b6lFOfc8vRHfd2l1SLnvPRNXOMYSuec + tWxI2mw20zRJ8t67s3nvp2myBe/9fkcJANwK1p6mXQzANE18jgEAAAAAAAAAAOCK2cV6q0aw0Yut + tdVqJWmeZyskmKYppXT+UEfnXCmt76OkaSpd5+a5znP94U/86Ac+8IF79+4dHBwNwzql4lywfFBJ + r0q2xjVLKdmwzZdeeunw8LC1NgzDdrv9iZ/4iQ9+8Hvu39+2qhijHScXqDOxKheb8zkMw1Jy8/jf + CQC8OTHGvu9TSvZZF0JwzqWUzlrfcqytkE/SMAzzPFul360QQrA5z/al934Yhgd/r4FLwL8CAQAA + AAAAAAAAAABvVq217/unn37aatG6rksplVKsJrLv+6V64wLJ0zZbytKyT05OWms258jCra3SzgY2 + 9X1PGRwAAAAAAABwmzSpSk1BipZvXdVZz6x3clLw7/ytT798OExXO7A91naYitKs3bnNXZK1lxNn + IQEAAAAAAAAAAIAbxXoWrO/Alm2G4zkxzK8pxrjZbGxItPe+lGLTLc963ZyztTxYa8Py9Ed93WVG + sL308fHxfiPG1VsGYpZSDg8Ph2E4P2Z7GAbn3DAM9pSc8ziO9usAgFshpVRrtWwASbVW+0wDAAAA + AAAAAAAArlKtNaXUWvPeL5fdv/KVr3RdZwMY7UHv/flDHUtpw9DNc5bkpDQ3NfVd3G7TL/3yr77n + 2/7GC1/+8sk4Nu9Wq1Up7UGUYfNy9cEN1+rg4MA5N03TMAwn09x8qEUf/9j/8nf+zv+23SY1WVlL + KS3GaINAH4kdb/ZEmx26jPcEgBsl52x/+KxUbynwOEtrzUrgWmshhGma7C/pFe3um1ZKqbXGGOd5 + Xnb7nPo94M2L170DAAAAAAAAAAAAAIDbbZmjNI6jfZlSsnI0q1Gzag+rg7xAudtSC2LbGcdxtVql + lLquW61WlnKdc7ZM61tUJgIAAAAAAABATUtGdHBqknNSlUpV9FXed1GxvRT1ly+1A9ZV33x1zSKr + XVPX6p1p1pxUm04zrb1E9zUAAAAAAAAAAABwc9VanXNd10my+ws4PDxcehNCCNYfcVbCtPc+pRRC + sH6H1trh4eEFXjTGaDtvL71er7XrxbgWS1a3vTXbq3P6QaZp6vteuzGgkiyfGwBumnNaz2KMFm5t + 6+Sc+SgDAAAAAAAAAADAFbOBjcsVK++99/7OnTvzPKeU+r733ls5QYwx53zWdkJw05QkeS8reVit + +nGcnRSj/73f+zd/9a++7cd+7Mf+yl/5z8ZxHIa+lLJLtq6nyda4ATabTdd1wzDUWr33x8fH3/js + N33uc39ov1M7GLyPks6qbDnfcnlUuzKVC9fbALilrrFE7VE556zKbvnssnK7s9Zf6kCs/M9Soq9w + f98se5v7k5aZt4xLdZkzfQAAAAAAAAAAAAAAt9M8z7ZgBWoPlam11ixqWruyhiVzWruqFCvasEds + 5VqrzTCyWUXLwlI66fdiaZZqj2X7Vg4iaRiGV6+wbOqx/QgAAAAAAADwlvHQqa39Dl5bbq1drJMT + 53FVru4yrU/P7DlLuXZS8Gry3ss59f0cQ7n8DhjvXZaKU3Macv1Pt+lX//P/SqXumnGl5u2/9UEY + N4Ar5XZsebmyYAtLlMI5sxgAAAAAAAAAAMATyS4WxBjffFvBq6dAnnO9ePnWGxmUeT57C0uc9nJ/ + XUII+xdlXvcHa30oDw07BoBbxC4026ex9/56P4QBAAAAAAAAAADwlrVceY8xLk2Utdau61pr9sj+ + pMf9Rku7yOWcK6Xtnni62XE8HS9ZSg3Bfe1rLz///Hs++cmfDF2fSvM+ppTsWn9rzbv4RkoF8Lgs + xSq1VivYcM7lnF0MceiL3Fzqn//ZC9/47Df963/9h8vvtNaac6479uB+H+5DAa52eNiDzrlSyv6v + 2B7nOimAm6yUYh93yx+sc1a+7XUgy993/hzjahBrDQAAAAAAAAAAAAB4WN/30zRJ8t7nnO3ewntq + rc65ruve4GSiV5vn2Wrd5nkehmG/ik5SjDHGmHO27ddarVLECisfGvkEAAAAAAAAXJiln9r5LjsH + ZY/EGMdxLKXknK390k6RXe/ePrHccvNqak7N7TW7nCZJ+ypvkdKXuiOSilNxqk6x1afn9NScZack + 916dhHPgutRalwsH9vlca+37XpL3fp5n6yad57nrumveVwAAAAAAAAAAAAAAAAAAAAAAAAAAgMfB + Wt1zzvM8a5dAbKMgl6ziWqsFFZ8f8+nkS2nO6eWX73//9//tj370oyFENbdeH3ofnQsx9tM0OQVr + vccV8N4vP+15nltrrbXValVKuX9/k3P+0pe+9La3ve0LX/h3MT6IKn+1GGNrzRLQJdlIz/0ca+1y + XlNKzE8AAAAL/lkAAAAAAAAAAAAAAHiFlJIky4EopViRYozRcqb36xclnV+2+JpsI1bfllJasiWW + QGsrgMs5l1LGcVwKKEsp+0HXAAAAAAAAwJthnZZ2b72d9njOebVahRDsFNb9+/eJR70Mpz9uJ3lf + nM9Sdiq7++abXFOVilR8X5y79D2q0i5XWwq1DXVelaRW27LHzVsnDicogWthPfNd163X65yzfW7P + 89z3fc657/vWWiml73tmJQAAAAAAAAAAAAAAAAAAAAAAAAAAgCfDNE2SltTqnHOt1aYyppRCCG7X + it1ac2e3ZTfJx9AkOR+iP9lOP/uzP/f8889/9aUXUynzPDvnpmmyPk2ncDXvDpLsN9vvbDabUsrB + wdHXPfOXfv4X/q93/DfPbrbJR9XmmnW9S5J/KIMy59x1nf0ej46OJNnRMgxDay3GaENEW2shEFsO + AAAeINYaAAAAAAAAAAAAAPAKSy3a8oj3fhzHWqtzbr1eS6q1DsMgacm3fuNqraWUlNIwDN77lJJt + 2QKtbYO1VvvWarWKMfZ9bxWT9orOOcveBgAAAAAAAC7MejslpZS89845y7GOMdYdSU899ZSkcRyv + d2+fSE2SU9HpzVTrpHW7NapUfKjeX0mUtGtyTZKcaqw5tmRx1wBuAvvc3m639+/fb3umabIRDNpd + tggh2JcAAAAAAAAAAAAAAAAAAAAAAAAAAAC3mg1+7LrOvkwpLfHVMUYb7WjLIQTroD9Lztm66XOu + 9uWv//pvPv/8e/79v3+hi/0856Ojo5yr9/4CcyZxMfZLkTRNU6313r17Tz31lO/6k8344Q9/+Pu+ + 78PTlENQySqleX9e7qQdFSGE4+Njmx1qUeXLtM/W2snJiS40RxQAADypiLUGAAAAAAAAAAAAALxC + KaXv+3meSylLtdlqtVqtViEEy++x6rTWWinl3I29hmWbljMh6ejoqLVmtXQ553mec86llK7rSimW + UbF8V9I8z0tVJQAAAAAAAHBh1pTbdV2t1U49Lee7vPfee2vOlLRara5xP59gS1e0k5wUJK9WVYtq + c/V0jSZ3BXviJClUF6rzTfaqzWU51WX/Tve4knUNXAv7iG6t2YUGC7SutbbW7EPbmu0t0NqWAQAA + AAAAAAAAAAAAAAAAAAAAAAAAbjVrircJkLXW/cGMS4j1MAw2wvG8DTk1tZyz9xqGIYRg4daf//wf + v/3tb//d3/3druuOj48lteZKOS8eG4/Rer323tda+75fsqhffPHF7/3e7/3RH/3xUlSLSj5duTUn + +b30ybrcuq6b51m7YyPGuN1uLQHde99aa63VWg8ODrTrxgUAABCx1gAAAAAAAAAAAACAh3jvJXVd + t+RPO+eccyklS7yOMVoKdd/3F9j+flq2pUpY8aIVvY3j2HVdjNG+ZSkUVlp3fHxsTySLAgAAAAAA + AI+LdWaGEA4ODrz3dmKq6zo7MTUMQ4xxs9nQlnnZghSqXLVk61pUi6unHbVexe1ypy9NddJ+erVT + 8Sq+SmpSse81qdGKA9wUKaVhGKxR3zlnPfa1Vu/9OI5cSgAAAAAAAAAAAAAAAAAAAAAAAAAAAE8A + 59w8z9b8bm3vOWfrr5RkKdfTNNnCef2VTrELMfpaNU2TZWAHH0Jw2+30jc+960d++BN9v7Ihk8vE + SFy2e/fuzfPc9/04jq21UsoLL7zwnve85+d//pck+d1YztVqJflzfr8pJeec/eJCCCmlZXboNE3a + HTa2pi0AAACIWGsAAAAAAAAAAAAAwENaa8u9c87KE0MItpBzzjlbPsSFy9Es2TqE0HWdvVbOOcaY + UlqtVrXW1ppzrpRSa805284cHR0556Zp6rrO0ikAAAAAAACAC5vn2Xvf931Kyc5Ntda6rgsh1Fqt + 29YePzw8pC3zkjjJS50UJBWpyJdq+dFZkre8a6Wgcpm/geossVq+yTe5puqUvbKvcqpSW1ZtD6Kv + AVyxEEJrLcY4z3Mppe/704kJIczzbH31ksZxXK1W9i0AAAAAAAAAAAAAAAAAAAAAAAAAAIDbru/7 + JcR66al0zt29e1e7WZE5Z+vEfOVT/e6mGENOJZcqr77vnXMhxlxLKW2akqQf+qEf+tCHPjTPOec8 + z/PVv823pqOjo67rxnF85plnpmn64he/+I53vOPzn/9jSUPflWLTQd04zl3X2WFwltZardV7b222 + 8zznnGutlnVtwz9zzl3X8fsFAAALxioBAAAAAAAAAAAAAF7BqtBijM45SbVWS5jOOVs5o/feIq61 + S79+1O3bE0sp8zxbprVlBVmhm5XKOedaa1Yi6ZxLKdmz+r631IrH+JYBAAAAAADwFmR5qHZWKufs + nPPe22koSdM0WU/v/jkrXAYnOdUHwdHVB52miBdl+SI517x75DORF1Hl1U4bs+Uk1+SXc6CnDzb5 + K9kXAK/BOTfPc9/31j+/XMiwawf2Sb5arSTZCgAAAAAAAAAAAAAAAAAAAAAAAAAAALfd0u1uXZbz + PFuL5dHR0TiOtVbrlz+/KT6nIqd+6NRkcyBt1GQMUVKVjrfzT//0zz//nm/96ldfHIY1EYcX5ffu + X980Ta25ELq7949/8zd/+9lv+uavvnQvzQrRW9y49761ZsMQvD9zs3ZISNofGWrtt8s6yyTPvu8v + 9t4AAMCTh3/zAQAAAAAAAAAAAMCTr5RiVYPalSSWUiTlnHPOy2pLGWKMMYSwlKwttWjzPNtqS8i0 + xVq7HXvuspH9fVjK1+wptVZLtl6+tbzckqi9vwVLD9p/IQAAAAAAAOBNspNgdvprOYFm56ycc8up + M2vyvM4dfeI0qcpXSapSliRX1UlBck7Zx9pFOdeSWlZqQw6XGiXtm5yapOJc8q7J+eZiUahSa0ly + ttNF8prVikTOOXBd9vvk7cPZ7rl2AAAAAAAAAAAAANwQ8zxbH5CkGKN1El2B5YVSSnYB8fyJ7QAA + AAAAAAAAAABwW3jvrZvSuiyXXktrhK+1WpLxctl0b7XqvU575ZtUNY9Ju6u4NhMyldykEGOTuqH7 + V7/52Wefe9fnPv9Hko+xl3yt8t6HEJxvTUWuyp1eja3OL7cr/HncDPZzcMuFaX/2TZLUindNrjrf + TjPFY5ebQj9Mqch3P/0zP/dt3/5d949nKTYp590PuVap1prtvu+jVKXa91G7EZ2ne7BrvLXL5TY1 + 9BW7TDcuAAB4lbfeP+MAAAAAAAAAAAAA4C1mHMcQgnNuyXXIOYcQcs4xxhhjztkKCnPOXddZqZk9 + uJ9sfdb2bb5M13W2Ts7ZOWebDSEMw2Dr5Jz7vp/nue2UUqzcbT9aGwAAAAAAAMBbxtLV0pqUpeal + JDV5qUnOSSryoTZ32S0wvmnXg+uL85JCk2+Sa15SVauqu1249L0BAAAAAAAAAAAAAOB2Sin1fb8E + S1vT0NKgdKmsiUlSCEHSNE1X87oAAAAAAAAAAAAAcF1qrTZestY6juPR0ZEk59w8z8MwzPNsX9rU + x7PYpdXTyZClee//7M9feP6vf+u/+NVPbbeT9zHGWEobxzGGntGR51pCrF/jarX33jnVWu1neHBw + kFLy3s9TltxHP/b9H/2fP16bWtWccuy7s15jnmeLsrbfcmttCau2CaKnaeUpxRjP/9UDAACIKToA + AAAAAAAAAAAA8MRbrValFIuRthI0C5m2e6s28953XXd0dGSP930vKYRgdWlLmdprsjBs27IVMlpC + tj19mibtZtDM85xzXtKsQwgxRkl2DwAAAAAAAOAtwu215C6PSLVK6iSn0lSbq/JqTtHf60K65A4Y + 1+Sbutq8anU1eTU7LVpKVQ1ezqk5taZBzqV03jlTAAAAAAAAAAAAAADeqrqua61ZH5Mt5Jxzzu2S + lVLu3btnC977UsowDKWU6/55AAAAAAAAAAAAAMBlqbWGEGyAZAhB0t27d210ZNd10zTZmEe7fvq6 + W2ut2dZss1/96ovf+V0f+MQnPjFNk72E936z2dx5+pn9Z/lWfau+ybfLeZM3X1va4Ourbsvj9p9W + WouhX68OS2n37h2vhoM0l5Tye9/73p/6qZ/KuXZdZ7NAc0pnvaD33ntvv9yUUtedBmDbwjJ61AaB + WmY5AADAOfjnAgAAAAAAAAAAAAA84WwaiyTvfd/3NpDFe19rtQLEEIJzrpSSUpKUc57nues6W9Pq + 0pZitddkxYshhOPjY+fcer12ztVaW2tLJLZNpbF6x6W4zeKu9xcAAAAAAAAAvEW8djK0V6tyQXJ+ + W7OGXqtus+ry5XfMuiYnufb/s3evT7atV33fx3ieZ15Wd599uBSvXKlU/pM4rtgxwSgqIcmSsCKu + AjuOuSiIonyBUCZRQcoFQWBQUSTl2AKqTEwiExnJVgERAoNA2BBTQrIsEDoQwtE52t295pzPZeTF + 6DXPOvvsbp3du3f33r2/n1q1zurZc80151p95to1n/GMn5iKqTWR2ETEDkWk2bLkGEVV2jR1KcpT + O7MaAAAAAAAAAAAAAIALeYNsj7LOOftkokfNp02JiL+cz2y6npcGAAAAAAAAAAAAgBvhTR1TSh5g + 7DnHrTVV9caSZjYMg6rO83xBvLE/xcy8QaXsRmCbyP/8Qz/8373jO05Otmba92OM3ec+97m9IGdc + bD/cWmoxlThN0927dw8ODlpry7I8//zzr3nNa37xFz/cWhORnPOyLDGlizbamvcOHYYhhJBz9k9w + WRYfK6+1xhg9y9w3CwAAcAH+bQcAAAAAAAAAAAAAt9zajWU/OnotK1xjrdfVPJfaV/aywr7vvTDx + vrquW5ZFdtHUKaXtdmtmHmLtydZmdvfu3fWla60nJyeyV/uYLqycAwAAAAAAAHDL7DKt14ktptJE + WjXTXkxERfsw1FJk6N/8/n+e43VMgbGzPTE1CSKpNVmank5i1o/d6ZRFJHQ9mdYAAAAAAAAAAAAA + ANyXN0OPMaaUVLXrupOTE59b9Ej5q9daa61mFkLw5t03+24AAAAAAAAAAAAAwCNVay2leICxiPjg + 6doWstY6z7OqyheKN/bulJ5s7YOty7KYyFLl//z5f/Gmt7zlM5/9bLEWurQ5OhSRNdlaz25Nhfhk + 2eVYt5cFWmsTXd+ccHR05+jozrSUw2ee/bVf+9df8RV/5VOf+sNx7Gu1GGPfjyLBqlyQHe6NQ0Vk + nmf/rI+Ojrz5p+dbxxh9uNw/zUd4uAAA4FbgnwsAAAAAAAAAAAAAcMt5sHQpxfOnvbCs1jqOo4dY + e81ZrdX7xZiZ51IfHR3VWmVXnnienPOaiu0VbH3fp5Q807rWmnOutQ7D0FrzckYzOzw8bK3t52ED + AAAAAAAAeIq8dNExvBRyLRKilmoqEkSWWuJwKH2UIeVHPAPGs6ybiqkEkyAiJl0Tmao0lWU2kWHT + MZ0aAAAAAAAAAAAAAIALDMOwdseW3Rwin9z0SInINE0xxhjjPM8ikqPQADwAACAASURBVHPuuu5m + 3w0AAAAAAAAAAAAAeHQ8yTilJCLe8jGl5OOzZuZDt6rqI6dr9PUrqWqtte97EfGOkSGElNIwdD4L + /OMf/8RXfuVrfus3f9ua5lyJPnwALwVaSwhJRI6PT7fbubX2vve9721ve9vdu8ciMk1LjLHWuizL + ZrNprY3jeN4ma61rsrUPlx8fH4tIKWWaJl/uEdchBG/4CQAAcAH+bQcAAAAAAAAAAAAATwUzM7O+ + 76dpOjg46LrOCw3XKOuUUimlteZLROT4+NifknP2asULNu5Vax6DvSxLKSXnXEoJIYQQPOLazEII + pZSUkhc+evFi3/f+RAAAAAAAAABPEdvLthYN6ywXbUEkivTamUSJQbpUwqOdAtNUapASpKmotNhE + RLoa/rf/9D+X40liuru9a55+3cpeDDcAAAAAAAAAAAAAAHgZb4muqq01VV2WxecuPVIiMo6jT1Dy + B+vkKQAAAAAAAAAAAAC4lXwodn0cY/RBUo+mrrX6b30gdZ7n87bjrSaXZYkxqmoIwcxKKfOSTWRz + MJYmz79w96vf+td+9md/dteXkvRDEQti578P2vYzrUWkNEn92A2jhPiDP/hD3/It31qK1Cqp60yk + tebR49vtVkTWgOr78mTrGKMP0PvnJbvxehHxLqCtNW/4CQAAcAH+YQcAAAAAAAAAAAAAt5xXE3Zd + p6qq+uyzz3qlmoioaillTZv2YkRf4vWCqppz9tXO275nYIuIJ1v7E81sLXNc29DEGGutKaV5nruu + 67rON1tKiTE+4rcBAAAAAAAAwOPFVETE5CzPWkVEmrWSQlQTqdKHKBJK6CSoPcoo6aZiKk3EtNlu + enATSU2+1IJUkzIfbA6byHaatUuyn8cNAAAAAAAAAAAAAAB2fDKRT0cKIYhI3/c+z+iRkt0EJe/W + HWNclmVt2A0AAAAAAAAAAAAAt48PxdZavcejiKy51P5YRHLO3vLRF95Xzrnve9+UmbXWfMsiMozj + 6ekkJn2Xpqm+851/++/93e/ZRR8SgPhgUkqnp9tpmt75znf+yI/8+Nrg08PIzWyeZ4+jll3W+H35 + CmZWa12WZR0lN7O1q6dvcz/4HAAA4Dz8qw4AAAAAAAAAcAXWSeYiUmtdF/ryNTgTt8b6Kc/z7A+o + UQCA6+cFfyLiZYK1Vv9xnmc/LXs5oOzFTnuJ4Vq1Jnvf1/5cL03zlX2ztrNuwR8Mw+AP1vI1v1fV + k5OTdf21eHF94IVu69O9Wu6CmjkAAAAAAADgUVun6YqIqvolrP1rVn71DFfL9lKq13Em9WuJJmKi + KtJERZoECak9wlTrl6iImoTdDgXToRapVYKKNBUZx+E69gPAOVprfk7OOe8XKkzTtK4gIt434eZ2 + EwAAAAAAAAAA4Mm2TjkRkdZaWfsoX/iUUso6OYW2yE8zn3x0T570OiPpkfJiD1X1B33fX8OL4tbw + 6W/3zK0DAAAAAAAAAAAAHmc+FBtjXMOM166P63xMH8FPKa1T5kMI+5Pr/YGnI+9v3H+cp0lURGVZ + is8J/0f/6Ke+5uu+/vkXPp9SEgnLXFRi13WlFH+tEML+g6dwqr6qllJS7K2pWKjFarGUejO5e/fk + bW/72n/2z94Xo4pIiHHvTQ8iYf3gfMhyHXxfG3h2Xbf/Ua6f2ppEvq7me3I94/UAAOCJRqw1AAAA + AAAAAOBhnZyc+Pj0WsogIrVWLyBorW02G1/zKSwjuK1CCF7cMAyDP1BVbxcOALgGfu4tpXjVYAih + tRZj9MjqYRjW6j0/P+uOx07LZWOk12YuKaV5nmVX03ZwcOANO3LOJycnfd+31pZluaLDBQAAAAAA + AB4Vv4yWUvLLaDFGM6u1DsOQc04p+ZRRX37TO3tr+QiivXKZidju1/rI57+oSdhlWje1pmKiahKs + iS0ew60iwffKmI8D3IDWmqr6aIg3ODAzfzCOow9MeKEC0+wBAAAAAAAAAAAexjrU0lpbm1nbhUQk + peQzXO7ZCAA8EUIIy7L4NPllWe6JZgcAAAAAAAAAAACeIJ5j7Y+9I+U8z8uyPPvssyLSWlubCftY + /4Nu/5d+6Vfe8IY3/v7vf7K1dufOnWVZ8lJ9iC3G6NtX1VprKWXNY356mFnf98fHx13XhRCGYej7 + flmWT37yk695zWt+4zd+U0Rqta6Ltda1yecrdV3nTQ9EZJ7ncRxFJOfsw5oxxnmePQbbGyNc1/EB + AIDbhjY6AAAAAAAAAICH0lo7PDxcO/4vy1Jr9V7SIhJC8H4EZrbdbi9RpoDHk9edLMuyLIs/EBFm + aAPAtUkpTdPUdZ1H7IQQ/DvXuwWJSCllfTwMQwjBv5prrZ4/7XWED2pZFq+QK6X0fd/3fc7ZzE5P + T70JkaquVYMXlMcBAAAAAAAAjxUz8ytsPrA1juM8zyJSSkkp+VAI0zgfnSDSJIjfLLwUcK1nYddR + duHWj24fTIJpbNo1UZGqUoI2DTVIjlViFWtRJJioZ1o/4v0BcF8+IKKqR0dHqnpwcODT7LuuU1Uf + mPBA6/WUDgAAAAAAAAAAgMtZZ6n4PJRlWS6YilJK8TmGPsmltcZgDYAnyzpjzufr9X3vZz8AAAAA + AAAAAADgCTXPs7ejVFWfntl13R//8R/7b32hmeWcva30/dnebW+BiHzqU3/4lf/Vaz/8kV+bljxs + xiZWquWcVdXLBrquSyn5SzzaQ338bLfbw8PDYdjkXOc5T9NSSvvwhz/yute9/rPP/ckwjiayOThY + 8llJxu557Z5bzrnrOm96ICIeFi67ubT+RP+Un8I3GQAAXCHiQwAAAAAAAAAAV2ae577vY4xerDBN + k+zGtmutm83GqwpwC/hk7L7vu67LOfd9P00TM7QB4NrUWsdxFBFVjTF6PZlXmMUYW2uetePVZv7A + dv2AYoz+wEvTHkiMcRzHZVn8/O+vG2P0IrZaq7comqYphHC55GwAAAAAAADg2viQh8+z9Xu/yDZN + k19G82tf3qn2Znf1FtNdevUu2Xo3m1l3N19qEh5xz3O1s5uImPpeqFhooYk2kSYmZJsDN2s9IfsI + RSnFhz/8pF1rzTmbWSllHQ0BAAAAAAAAAADAJXib6f2h877vL5iHklLq+z7nvD5FVemYDOAxdN68 + 6XmeSyleQbSeyi5q3w8AAAAAAAAAAAA8xlR1GIac8zzPIrK2rBzH0cxijGbWWvOhsUv0pVQVVanV + vvmb//q7f/hHjo9PY+xCCCklT7P2soHWWtd1ayfMp8eXfMmXPPfcc94L9OjoTtcN733vT3/D279p + u92ambfrPD09FZG+771393m8+sJ7e5ZSfGUf3PRPsNbqL8T4JgAAuLQH/ucgAAAAAAAAAAD7Qgg5 + 567raq3DMJRSUkpm5j0Lcs4HBwellL7v9xM38aSLMZZSaq0hBE829XRVAMD18Po/L9QbhsG/Z9eK + NK/bU9XNZrPdbj2YxxOpT05Oaq0icrnY6VprrdXP/L4RX+KFif7tb2ZemHiJ8kQAAAAAAADgOqlq + 13Ui4pNv/WKXX+byq2chhNaaX3ZbH+AKqYi0s/jqKhJFRIJIExXTJiIagnjUtF3DNNr1822m0kTS + 2Ws20SYmIqLtLGlb+GMAbsIafpBSWk/UqlpKOTg4iDGuDRROTk4ODw9veHcBAAAAAAAAAACeWF3X + +UQVnzGaUtput+M4njc/1Hsu+xxDf4o//Xr3GgAuz8x8ANp/9EohJsUDAAAAAAAAAADgCeUtIn0q + vYjsD+Jvt9tSik+0349MfiBmoirznEXkh979w5957o+++7u/exi76WTrE/b7vq+15pyHYXgK45ZP + TrZf+qVfdvfuSdfp9vT4+77v+/7xP/lpfxdijKrq78k4jtM0+dzY+woheHNR73ugqrVWX+Ifsex6 + kwpNvwEAwEOgjTgAAAAAAAAA4KGYmdcorO2hfXnXdaWUzWbjpQmeae15ADe3s7gyXv3gQeatNf+4 + iS8FgGtjZsuyDMPgP9ZavUzQzPy07KVm2+3WE699tZOTkxCC9wby+0u8dEop5+yVcP4t78VtHmjt + ++DfCHQgAgAAAAAAwGPO+8/61S2fH2tmZlZK8Vm4Ps/TA1MvmA6Ky7PdvYqd/VdEgmjzMcWkuxU8 + 3PrR74u/oJoG3xMJKiZm+3trUWwvBBvAtfGm4TFGH6EYhmGeZ19+enoqIjnnrutaa4eHh+uEfAAA + AAAAAAAAAFyCNzuOMR4fHx8dHW02m4tX9hWOj48PDw+FXskAHlc+A+6VWms+GJ1S8pqiaZrGcbzm + 3QMAAAAAAAAAAACuRIzRB7y8QeXarFJVx3FsrfljEdnvV/kA2w+xttqlLpdcq/30T//sJz/573/g + B77/P/mP/yORNs+zmYUQZNch82mb76mq8zyP4zht52/7tnd88IMf9OVpFyXus2WnafK86vO2481F + a6211pSSd4H2Yc0Qgj/we5p/AgCAh0EXHQAAAAAAAADAQ1mbC/gIt4jM8+wD2yKy3W5FxMsIjo6O + yLS+NbziwT/QEEKMMaV03lxuAMCjMAyDn429UK+15ik7XjvYdZ2IeHmZR1nXWruuizF61eCyLJeo + 7fP8nlqrv7Rvwb8Iaq0hBH85X5myNgAAAAAAADzm/FpWjNFnxrbWaq2ebH337l0zm6Yp5+wzORkH + uXIqTbTJOdNsq0iRVn0dERELj/ITaCo1SA1iIsEkNUktBAvBpK8irYk1EfG9zSKLCAOfwPUrpfhQ + Rdd1PqV/v5OCiPjp2gcsnrYeBwAAAAAAAAAAAFfIR2TmeVbVo6MjETEznzR63vo+qn50dOTjOF3X + XbA+ANwUPYdPu1trhLzFP/PiAQAAAAAAAAAA8IQqpYzjmHP2sTDvV+kzNEXEo5R9Wr2nLJ9H73ML + KqGJmkiuxURqk24Iv/7Rj731rW/96Ec/mnP2qOw1vzmldE2H/dgIIYQQPvvZz772ta/9F7/wgdos + aEgx5ZxFZTzYmJgPR/qncMGm/G30xgjLsvj4poioqv8qpeTv+cUfJQAAwAWItQYAAAAAAAAAXIHW + WozRR7XHcfSSBf9xzbY8Pj5eH+MWiDF63UPXda21vu+JcwCAa7NWBIqIh1gPw9D3/brcKwhLKV5E + WEoZhiHnnHP2qj4Pur7E63pw9Voj6Bvxfwn4Ev/R43+u7ogBAAAAAACAR2gYBp/w6YNZrbVhGHwu + bkoppeTTdG96N28pvee//ij4rOaXVjNtGtrZEmtqTU0siIWmst6uhoXQgoiKNNHWznK1g1gQEVMx + Ea5+AjfCRzd8gr2IeHy1qvqQhA+O+Oma8WsAAAAAAAAAAICH4cGu65iLD8Rc0GY6pbS2S16f+BS2 + pQbw5FonSqtqCGEcRxHxGXMAAAAAAAAAAADAE2ftOdlaE5HWWgjBZ2iq6v7c+VLKJfpFt9ZEwjqR + c5lbDPrpP3zuq9/6tvd/4IOltK4b+n70HfB9uLX0lUcXzOwjH/m1N7/pLb/3+/9eRTXEJqHUJiJd + 30/brexad17cxMCrNfw9nKbJe0HHGJdlEZHNZjPPs4h0XZdz7rrukRwgAAB4ChAfAgAAAAAAAAC4 + AutAuFctmNkaZrlfPXDLywieJp5j6p/72jec2HIAeBTMbE2w3ucNMvwLt+97X2c/ocEfr9nVXnAm + Ir7mus17mmvsp1OvUkohBA+r9mK1tWTN11+/Anx5CCHGSMwPAAAAAAAAniBrEqrsrneFEPaXcL3r + EQgiQYKIShTpPM969zZ3EpKE4OuoSAhTjS30GtVESpPYabWmGurZTUxELKgFNdMHjLMNJtFatKbS + RKRq1yS1UGvIdQySRDSJSWlnE3GiKANjwE3xsQ8z8woEHxAxs/359t5q/Kb2EAAAAAAAAAAA4BbQ + HdmbRXIxX23/iQDwpPB6Ic5dAAAAAAAAAAAAuE28ZaXsUq7XzpP3zKNfW1bur+xMxERiSiYiqqIq + aiZNzm4vqdXEZFry3/xvv/1d3/8/zbmWJsVEYmoaQgieu2xmVkUtSFO186dra7v/7drp/u1sJvvu + JhLUWikhSkw6TVPXdbEfirWff/8vfM3Xff2nP/NcTKmKlWYS1Ge/53nxt3Vt3C17vQ72KzT841gb + jfqn6av1fe/rDMPgD8i0BgAAD4MuOgAAAAAAAACAh+IJ1j6knXOm3cBTYo1N9ZRTL3HgoweAK7cs + i6p6Yd+yLGvl2enpqRcFdl13eHiYcw4hXK6SrLXmm9psNqpaaw0hmFmM0evYxnE8OTm5b7Q2AAAA + AAAAADwsFdGzqbwvLRFRkbguVBENuR9Omm1zC1GGUabJokaRIKamTfa3cLkdMTmbDSwqEsSCSBMt + Oc9Sipi1aqmXpXjU9oPFZgMAAAAAAAAAAAAAAAAAAAAAAAAAAADAY8gbXXZdp6qlFG9EKSIpJV/i + 63gu9X7u9T1qkVblPT/xv7zjO75jmqYU+1KKN6/uus633HWdR1x7ovMTzcz6vi8lq+rR0dHJyUnO + +R/+6I+/853fOc+1H4bWmkkQkTU7/LztrM29fUmMsbVmO7VWf7voCwoAAB4FYq0BAAAAAAAAAA/L + szBFZLPZeK3Aze4Proeqeqa1/wH0fX9BWQkA4HL6vheRWmutte/7tfDu4OBAVVXVzOZ59oVrCdqr + 53WBqtp13Xa7XWOzh2HwwjUzOz4+7vveX+7qjgwAAAAAAAAAHkSQ4yEd92nzRUdTlrxI34vGuLQ5 + iKlJNAm2Jltf5mKmqdje84K0YJKajKWJJFly6GJrkpLUUqNwwRQAAAAAAAAAAAAAAAAAAAAAAAAA + AADAEy/nnHNeO1u21rwT5tpo+ujoSFWXZfF06vO2cxaHbfK+973/q97w+v/wB5/2QGvZJWdvt9sY + o2c2r+HZjzPbu4m2l91EqrUmUkqdtktrLaX+Hd/+HT/4gz98erqkJPM8v+wwz5+e7r1GQwjryv6m + rWnWMUZv+0zzZwAA8Cg8Af8sAwAAAAAAAAA8zlS17jCw/fTwGNRaq4h4gciyLBeUlQAALsfMvLws + xrguTCl5kVkIwb98x3FsrV3iizjnHGM0szUS27fsBYVe+ec1f+4qjgkAAAAAAAAAHlwMf/H973ux + j//f3eNx0BikFZFWO00iLYgFs3VdU7GHSp02tabWRCQ2OZirLE1SX2vTKKXKkCKZ1gAAAAAAAAAA + AAAAAAAAAAAAAAAAAABugZRSSsm7X/oSVfX7zWajqsfHx94SUy+cxN2ajGNvJiry7/7dJ1772tf+ + 9sf+bSklpd7MzOzOnTs55xDC2gDziaYSS25Hh3fG8eC55/7kzW/+6p/7uZ9vTVIS1Si7vOovuJ1l + WUIIrbW17+g0Td7neQ26Xts+0/8ZAABcOWKtAQAAAAAAAAAPpZQSd5ZlEZGu6/ajN3Er5Zz7vhcR + j1AtpXiVyU3vFwDcNqq6LIufYOd5jjGqqpmVUnyhl5R5Td4lyss8stpfyOvVcs5d1+Wc/VVkV+Lm + rvTgAAAAAAAAAOBVCyJDuns06J3DuVlQUZOlFU1RxUREVNRMREy0SagPPmzV1JqaqahIkBakqVjf + 7M7c5HQRa7ELVSRGUREfGAUAAAAAAAAAAAAAAAAAAAAAAAAAAACAJ5o3t2yt1VrNTHbdL81su92u + zYdFZFmWruvO206McZqWlFKMQURefPH0G77hG37mZ35mqSU3i7HLuZ5sTzWGw2eO5ryIiGg7u+2z + cP/bjbJX3Gq1rhtOT6ff+72Pf+3Xf+Ovf/Tf9H0QkVIk5yq7UGrvIypm523Z32oRyTmnlFTVI65D + CLXWk5MT2bWAbq35AwAAgCtE23EAAAAAAAAAwENJKa0FByIyDIMna+LW8w+91np0dORVJnZ+eQQA + 4NLGccw555wPDw+92q/rurXsTES81ExE+r5/0I3XWv3pZhZjFJHW2jRNXqnmNXB939dayWgBAAAA + AAAAcJOCSq/TOJxWM9HWxEz68aDk2V6RYG2qIqE9SLJ1UzGVdVNqTcWCSFfbl8Xhn//l/1KWYiJN + WrXaWuu7B74eCwAAAAAAAAAAAAAAAAAAAAAAAAAAAACPm5yzmYUQvNHlNE3ehTKl1Pd9ztmbVXo8 + 8wWtp2ut4ziWUko5m+p99+70d/729/7dv/PdwzBM0xRCODg48D7Gw3BuPPYTIgzDZpqW3/7Y77zx + DX/1937vk8MQl6WJSEohRhWRaZpEJKW0Nvy8L+8IOgxDjLGU0lpb32czOzw8bK15R1APFwcAALha + xFoDAAAAAAAAAB5KKcUjNs0spTTP8ziOHq6JWyyllHPu+97Mjo+PRURVPQMVAHC1Wmt939+5c8e/ + XruuyznXWmOM/qvWmq95ieTprutqrf5Vfnp6amaqGkLwcGuPtW6txRj9nH+lRwYAAAAAAAAAr5qK + 1FbGcZvrlC10XVKRPMfwIOHVr46JiJpIU5PUxJ5/4c9tnpGSTSxISKohSMuzcMUUAAAAAAAAAAAA + AAAAAAAAAAAAAAAAwBOu6zpVXZbFG00Pw3B6eppSKqV4l8taq7epvLgppWqc5ywSQgjjuBGTEMRM + fuqn/unXf903Tnk53p6GEDzs+VUnNIe926OlIq9y7npTaSoS4nt/+mfe8KavfuHuqarMc1WVoCGX + VqqJiKqq2jxvRVpK5+6/J17P8+z51t561N9/bwFda+37XkQ8bvwqjhUAAOAlxFoDAAAAAAAAAB5K + Sqm1pqqqWkrp+36aJk/HxC3mVSY5Z/+sU0pm9qrLQQAAr5aqppRU1Qvv/NwbQggheDGZV/WFELwQ + 7UHlnH0jZpZS8lfxlxjH0Tfup3dPvL66IwMAAAAAAACABxJkGJ9/8fNHR3eePTiYt9lMRKyKVZXq + k2NUxFQkqM+GtgeeMtNE20sTbUxEosmXDUfH/++fSj+Y6FImERGz0HVXcVAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAcJO882Tf997WspQSY9xut748peSZ1r7yBX0pvbNl13WtyXa7jTG2JiGIqnzoQ//3 + X/mK13zmM59prfV9r6op9ddycI/Q937v9/79v/8/iEjfJ8/7NhMzW7uD+hvibUXneT5vO7VWD7H2 + 9WOM+8/quq7rOu8L6h/NdRwbAAB4mhBrDQAAAAAAAAB4WCkl2aVdLssiu1qE83Rdp6ohBBHxezyJ + bEd2iacAgFfDvytFxMvy1uI8Z2YeNS27cr31ZCu7b9jW2vosX7m15inXfX9WmecPVNU3sv+F61/c + +9vPOfsGh2F45Qrrpq7sLQAAAAAAAAAeM/dcgtsf+PDHZnbPpTzcANOD/qBNS5uWPqhGya21oDWI + ibTdJUw1CXZ2/0DaPT+piEgwkWV72CcRqdKG1AfxwGtGOYGboTv+eB0B8Qc+XCIMYQMAAAAAAAAA + ADy0deRlnQhzwbzR/Tky60gNQzYAniB+Brt4gjwAAAAAAAAAAABwW63dJv2Bd6RMKbXWzKzW2lrz + rGXZDat5uHLXdeuz1o2sHTW99qA1MZO+D3/4h3/8pr/6ln/5oV9sEpZaJAYRSbEvuVnTtcH1K/au + 3TsR/JFJKfnOp5TWdp2ttRCCmRWTNIxzqcVku53f/o3f/BM/8b+W0kRkWc5qJEykia1FF2cLze4p + oth/x/w+5+yvpare5dvfCu8RKnsfyqN9CwAAwFOJNjoAAAAAAAAAgGs1DMM6TB5j9KRML0EAAOBp + 0Pf9PM8iEkIopfi9fzN6DVnXdR7DcIkk6WVZPMthWZZhGLwcTXZtNVJKKaVSim/fawR9uf/oGyHB + GgAAAAAAAE+J3TzYts7q9CUppWmaaq2lFJ/Y6ZfybnZvISbRJDYJFtREPL1axfSlTGtR82nJwUT0 + cvOT9ezFdttTa8HabmtNd4nXAK6fz/z3AQ4/P7fWvOoghLAsizdBWJaFIgQAAAAAAAAAAICHsY68 + 5Jz7vvfeyhfMN/EhdV8tpbROV7mm3QWAh+aDzl5E1Fqbpkl2pUQAAAAAAAAAAADAUyuEcHJy4k2k + 1+Ezrx/YH00zs8PDw/slUr9kWdo49p/73PHb3/7Xf/Inf7JLQ85ZJJyenh4eHsYY53muta7h2Tdi + u90eHR2FEOZ5bq35MXZdV2vtusHMTk5ONpvNiy+++PqveuOHPvTLD7p9z6heKyvWI6UvKAAAuFmU + ewIAAAAAAAAArtU8z33fL8sSQqi1erlAzpmR8sfTDVZyAMCtlHPuus7zFWqtKaXWmrfp8a9CD5z2 + lS9xEvYw7BBCztlfa43hkV17IFX1DJ5pmnwH+r73b+Ra6+XitAEAAAAAAIAnkV+L8/v9y3GllHEc + 1x/v3r37zDPPXP/u4WVMxCy15jnTotLObkGkBntpLVFRE1F50AuswbRpEAsejC0ipiKelL0T95/A + lVTg2qlqrbXrupTSdrv1hcuyeBFC3/feXnwd+LjZvQUAAAAAAAAAAHhy+ciLmXVdV0rZn/lyHu9h + bWaqqqo+fHNd+wsAr9Z5U/Z8rNnPeGY2juM0TfsVRAAAAAAAAAAAAMBTqJRyeHjoD1JKa79KLw+I + MS7LIiIxxpOTk71I5rDem4jP3R6GbjstwxjnuX7f//gDv/Gbv/mD/+AfxGQHB0cnJ9uU0jBsaq2q + QVXW6d57Xrnk6qUUcp7naen7vh+GeZ7NdJ5z6NJ2zpvN4bIs//Z3/p+3ve1tf/Znn09JSl4P9lXt + 4dqXW0T8QYyxlFJKoS8oAAC4QcRaAwAAAAAAAACulfcjEBFP8TQzz7cmPhkA8DTouu6evjweL933 + fYzR+1y01oZh8AozD6V+9byMr9Y6DEOtNecsuxxrEfEN+jo557Wthgdg+wr7PwIAAAAAAAC32zpj + dr0m5p24U0q7GbMSQvBMazrV3rQm1qK1sDeh18cXtd0TMG1y/7nKX1iwl+YN772AiTax4GnZYrvw + 7Eu8AICH4+dtHz25J7XaR0C8CYL/trUWQrj/hgAAAAAAAAAARw24MwAAIABJREFUAPCF+Hi6mflI + +jRNe+2n76Wq3r3adsi0BvB4Oq/zewhhPeP56W4cRz8TXu8OAgAAAAAAAAAAAI8RH0Gb53kYhtPT + 081mo6prk0zveznP8xdqKx1EWilNROapiogG+cAH/tWb3vKWn/ixH/uyL/vSWutmszk+PlbVm53R + n1JalqXruhjjdrtV1WEYRWRaSkr6/PPP/9Zvfewbv+ntebFnnjm8e/fkQbcfQliDwL1ZqL97/j7T + FxQAANwUenMAAAAAAAAAAK7V/nB4KaXWSiNpAMDTo9ba9/2yLLXWNW5hHMdxHGOM0zSJiKp6bdmD + ZlrLXoTDPM8eZX10dLSWqZVSlmXx79+u67xb0Ha7XX8rIl5Fd0WHCwAAAAAAADzufIps13WtNb9E + tl6XCyGEEEopvpxM65tnLUhTE9F1YrMG02gamwQTE2mhNRXTy2RaiwQRFQtqYc2svndrJmImEtou + 8xrAdfJTtJn5gIiZzfPcWjMzP2l7P3FPU6C3OAAAAAAAAAAAwKX5uIyqqqoPmg/DoKrhHGbmYzRr + GLaIzPN8s0cBAK+eqrbWfABaRHzy+xdqvg8AAAAAAAAAAADcfmY2DIOI9H2fcy6leP2AiHRdN89z + jLG11ve9Vw6IiEgTuWe6dxAJw7Dx+aFB1Zp87GO/85f+4n/x67/+0YODo+Pj02ef/eI7d77IqxRe + RttLt0fMG2UPQ5fz3FqLMZ6cnBwfn4poCPF//7mf+5qv/ca8mIjsZVq3+x3v/flbdHBw4L1AfcKs + F1rQFxQAANygdNM7AAAAAAAAAAB4uviguJmVUrquW5ZlmiZiAB5bdPoGgKu1lt+tJ9j9M62X4pVS + UkohhGVZHnT7npa9Ng8ys+PjYxHxGrX1O9er2TzdYbPZiMjx8fHh4aFw5gcAAAAAAMDTZ1mWvu9j + jF3XlVLW1rQ+ETSEUGs9OTnZbDZ+fQ83yjxk2nYXMtUk2hpCLVVFtIlZEBF5gKudwe6dK2x6tqSq + VG2iEiyINRGxQKY18FjIOXsrBB8f8RGW1loIgToEAAAAAAAAAACAh+FdpH08PaVUSlmnq9yXr+OP + fbVaqw/lAMBj5bzZc33fL8vi9ULrHD0/GQIAAAAAAAAAAABPs/0hNlUtpXjes4jknEWk1qqqy7KE + EPaSrV/GB+DMrDUTkVrNV/7cC3ff+tf+63e9613/2V/48/M8l1JETFVtncv96KOs961zVFtrm82h + dx04ODj43Iuf/87v/K7/6/3v9wKJcTyYpsmf8UDb9/fh9PTUzNbWo16eQV9QAABwg+ipBAAAAAAA + AAC4Vqqac/ahcTOb55le0gCAp4cn4vi9qqaURCTG6A9KKaUUr9XLOV8uI8f7ZXgGj7+WF6vlnMdx + bK2ZmarWWr2OzXfm6OhIVed59uSeKzxkAAAAAAAA4LHlk2P7vs85+zU0M+u6LsbYWuv7XkR8+eHh + IZnWN09b0yZqJtLUg6WDWlCRYKImTcV2t0uy4BNt1i1UlRqkhl1GNnHWwI2KMZqZT9GvtfZ976EI + McZlWVJKPurhmdYXxCoAAAAAAAAAAADgC5rnue/71poPxPh0FT2H5157c2dfzUdwbvogAODV8nPd + sizrGYxMawAAAAAAAAAAAMDTl0XEG1eqqk+6r7UOwyAi3vrSZ3eek2kdREKtphL9uX0/eo2B/3qa + yzve8Y4fefeP+pjd4eHhS/NDX8q0tuuZ5u1VEGY2DMM0TcuyjOP46U9/+u1vf/sv/MIv1GpmGkKa + pkUkpNiLyku3V6HWuk6GDSH4477v6QsKAABuFm2VAAAAAAAAAADXygsIcs4+Ou4lCOeUHQAAcNuE + ELySTFVFpLXmCdOlFO/147VlvrIXlj3o9v2JtdZlWTzT2ov2POXav3O9VM7jtFU15+zP6vve0yCu + 8JABAAAAAACAx5bnofrVs1KKT6P1y2UiMs+zN6vdv7aGmxNE+6pdU2mhVrUSRKSJNM+0PmPBLjdT + xoKIijaVYlqbSFUx0bOUa9mFagcReZjcbAAPS1WXZen73huIrwMuPsbhZ/JxHEWEDuMAAAAAAAAA + AACX1lobhsGnvXj3ZE+2tnP4YI2IeGtpnz7T9/1NHwcAPAAfevbzWN/3axERAAAAAAAAAAAA8NRa + c6y9cWWtde14OU2T7FpfXjijs4m0oMGkek7zsixm6hvXqE2kmLz7H77nv/kbf/OFF16Y5xxCOItW + tOsOWIxdamJNrOvH1kRC+sQnPvHWt33NRz7yr3OuIuLdCWKIKlrq8sDbj3FZFhHxt8ILM2T39tIX + FAAA3BRirQEAAAAAAAAA18pn864j5SJiZl6RAHxBXnux/8C7XewnSfjf2P6DV/L1Syn7T885+1PW + 2o5LBMri1Vjff/9xnme58PN60vmh+WHK3h/YTe4TrpQ35fHP1O/Xk8n6dy57H3pKKca4fvd5xILs + zmyttbWYzM9CuuPPXTeyvw/+49oDyM9gvhv+q/Xl1kTt/S14Ks/+CwEAAAAAAABPCb9Y55fp1gt9 + 63jWeomP8azHQBK70/TZUqtG2YrkzqSTpqWpiIjp2U1E9fKx0yZhkVBrkKpSNYipZOkliVrRKkEk + pCBiRbiWCtyU/fwDPzn7PWMcAAAAAAAAAAAAV2UdhXnlJJT7WpaltRZCWBtVM8gO4InjhUPreYwe + 8QAAAAAAAAAAAMA99uOrvamvB12/sn9vCOEs0DqISGtW/Ee/tVZExMxKNRMp1TTIBz/0S29805s/ + 89nnqmmxFvvOzEopIQTVYNVSiCqiFs67iZx3u1et1dsLeCZ3SqlZqS0vpVQzjd12mcfDgw/8yw9+ + 1Rve+IlPfrqZ7I6wibTaskkVaWLy0u0+78DL3jFvX7BfiXFPcQV9QQEAwE2h4hMAAAAAAAAAADwx + vEG5mfV97yHBXrkSQmittda83GSaptbafunGPbzkxbNdl2Xxwo6u62KM3j7D1/Gwiv1UWlwJ/7xS + Sh4GPAzDsiwxRrulYozLsgzDYGa11pTS+meGW2Caphijqq55CaWUGGMpJaWUUiqleMi0n2e8OMwX + 7idbn7f9lJKZdV3n63gVmm82xjgMg69TSun7flmW9Q+v1npPhDwAAAAAAAAAPME0Suz+ZCk69Bok + djLPVkrrUwx+hdVETURUTUT88SU19bnDZ3N9hzhobVLmKJJrsdZqle7cYSgAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAuLW8K6a3Ap7n2TsAxxjXVsDeb3Ov/2q773Zil3ZTuoOJfOo//MGXf/mXf/jDv9Kl + 4fj4NKV+Mx7G0HnDYbMrS3oex9H7iHp/45OTk77vNca+7yXEJjbN+R//k5/6W3/rW/7s+c/fbwPt + vCNaeQtlp6re8fiq9h8AAOBq0S4fAAAAAAAAAAA8MVprtdZaq4h0XZdz9uW1Vk+H9aTqcRwvjnH1 + XFjfTt/3Xt4hImYWQggheNxy13XTNKWUHvmBPWU8fTznvH4Qfd9vt1u9pbbbbd/3tVZVLaXknNfQ + dNwC4zj6GaPWuiyL7jKn/T7nnFIKIXRdd3R05Mu9vMyrykIIqhfVxnkYtm/56OhIRDwh258+z7Ps + gquXZSmlrGnWMUY/fXESAwAAAAAAAHAbRJGj8NZf+cCJlLxIJ2ET9Jku1qnu51iHJmoSHiLT+h5B + RNSSmZj20pKqRglBrm7WMwAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8SbwnsHcD9oaZuuv06619Zdcq + 8wK1mNhLKYpd152cTF/3dd/wnve85+joSFVffPHFu3fvxtAdHD5TPRZa2/7N9m73e4X7508vyzLP + 87IsrbVnn3324OBgezqn1J+eTmLhYHP0Yz/2nu/6rr9XSr2wXei5QgjTNHl/YxHxWG5irQEAwGOL + DuYAAAAAAAAAAOCJ4VUpIrIsi8fEioinycYYPfzVzGKMIYQLyjW8sMOjrD1ltrWmqms1jIfOyi6w + 1tfEVQkheGr4+mNrbbPZmF1dysTjZLPZeHqxiAzDILsA9ZveL1yNWqt/miGEGKOfMfyv2s8tnj/t + a8qurq7rOi/C84Xrj/fludcxxuPjY1Udx3Gaptaamamq/4/j0dcisv6xye78tv8AAAAAAAAAAJ5U + UWQMMuqLraRB5qUl1ZZrN3SynM1nDib1odOmTSWY+ARj31gpi5Yiucq8aDeKiASx3ToAAAAAAAAA + AAAAAAAAAAAAAAAAAAAA8PTwfpsi4h04W2trX18za62tPTbv3Lnz+c9//twNmWkIKcac8ziOp6eT + ioQg73rXD3z84x///ne9a7M5jDGq2jRNXdfZFSVDhxBKKV/8xV98fHz8p3/6p0dHR77k4ODocy98 + /mu/9ut/+Zd/VVVqFblUo1xvE9paG4ZhmqZaq5nRFBQAADy2aJcPAAAAAAAAAACeGJ7/KiJ933s1 + xsnJiar2fV9rvXPnjsfKrlGy523Hi12cb8fDaGUv03qeZ6+AIdP6yq2h47XWWqsXHuWc9ZbKOYcQ + VNWPV14ePIwnnZ8iVNXzqt36+cYYY4yquq6mqpvNxlf280/f9xdkWq951etTttutmZlZjHFNtr57 + 9+760rXWk5OTdftrwR8AAAAAAAAAPLksyJKa9HH44i/KRTbdmIoGDXl+2fXVcEXzZIJJNAsmapJC + fHazkbnJSZZlEatX8QoAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8OTxJqveaVNVvQ2mB1p7U9+1P+dF + mdYinlTtWdXTNHVdVBUzaU3+6T/9P17/xjd+7sW7y1Jyrin1ImIipm3/9vLtNZFX5F5rO7u94hC8 + jad3M/aj+aPPPPf617/hV3/112JQa9KleLmmxGa22WzWw6cpKAAAeMzRLh8AAAAAAAAAADwxYoxn + xSYiZiYih4eHItJ1XUpprVkppYzj6HnJ99V1na/Z9/09Cz0hW0SGYei6bp5nfyFcLc8R91BeESml + rB/K7dN1nf9xeg6xH/hN7xSujAdL+9/wsiweaF1rHcdxzTX3JSklj6D2XOqjoyM/21x8kvHEd/+b + iTHmnPu+Tyn5/z611pxzrXUYhtbOyvHM7PDwsLW2n4cNAAAAAAAAAE+0JqFoJzGcbueU4naaQupL + a12XapAa1FSaPsT2QxMxkbM7tbNbMBNppy+88BN//i+IBAlBVEXEVBhAAgAAAAAAAAAAAAAAAAAA + AAAAAAAAAPAU2m93WUrxVpw55+12KyJm1vf9MAwickEL1pyzt2kdhk1KKefqmdaqEoJ89KP/5nWv + e90f/dEfhZBS6uc5P+A+3ifNen3dZ555xnt4zvM8z3MI4Xd/93df9/rXf/ITn8qL1WqqknP1rqGX + 4H1HvSFt13Ue+325TQEAADxqxFoDAAAAAAAAAIAnSQihtVZr9coVVfVA681ms5Z6pJSmaer73s7h + ZSuquixL13WbzcY3lXP2mhIv9WiteQUMrtw8zzHGEIJH/z7zzDPnZZDfDn6A4ziGEGKM8zzf9CeA + K+bnlr7vp2k6ODjous7TrNcoaz9TtdZ8iYgcHx/7U3LOKaWLN95aCyH4WW5ZllJKztlL9/yPyncg + hFBKSSl51nXf9yLS9/2lK+EAAAAAAAAA4PFRWxGLg3Y2100cpLWoMddWNJQgNYip3H9i8QNSkyAS + RIJPDU5pDOHPHT4jn3tRchaRIqXZlbwUAAAAAAAAAAAAAAAAAAAAAAAAAAAAADxJcs77vTRzzt5m + 0/ttllJUdVkWb756QT/MEII17bpunudSWkqp63sT8XDrGPUP/uC5v/SXv/yD/+pDd09Ox4Oj9srp + 5PrK7Oom0lSanr9mSun4+DgN4+boGU1dFX3/Bz745jd99XOf/dPWRES6rjOTlHqR0PejnM0+fwDe + R1RV/S3yxw+0BQAAgGtDrDUAAAAAAAAAAHhitHZW/xFj7LpOVbuuq7WGELbbrYh4hqvXaizLct52 + VHUtask5+3NDCH3fD8PQdZ3HWouIl8I80oN6OnleuJn52ztN0y1+n1V1miZ/4H9axKXfJv6Z+hlJ + VZ999lk/pYiIl9OtadOqGmP0JV5YpqpefldKOW/76/8anmztT/T/d/ylVdVPejHGWmtKaZ7nruu6 + rvPNllJijI/4bQAAAAAAAACARyuIbEInVXqL2kRaa60u1iTFGqTuZiCvk2TscsMO2kRU7GXL6rIc + Dn15/nkZRgmx1aKi4dYOawAAAAAAAAAAAAAAAAAAAAAAAAAAAADAubquyzl3XSci8zxvNhsR8T7A + tdYYozcQ9pTrC7bTWmvWPCRbREppvpEUk4jUan2fcrZv+qa/8eM//uNrq8+HF0IQkVLKNE211ve+ + 973f+q3fdnKyrL/KOXfd4C09L+hvfB7PtA4h+HNba/5eAQAAPJ6ItQYAAAAAAAAAAI+jUsqa8+rF + KGbmWdRekqKqIQRfssZdr+Uaay71fflv71nHN+LRs77ZEIJXk7icsz/LXdGBPqVeGRx+i9/SNXt4 + /ZO+xQd7a3gRmIj4p1Zr9R/nefaPbz3PrEVyflJaTxSyO13IK05i62bvOZ+sm1qDz2OMZrbeq+rJ + ycm6/nqCWh94fPX6dM/A9nsAAAAAAAAAr7ReylNVvxcRVfWrav7blFJr7eLpsrgGKpJMpakVi7Ez + axZUu5Sl1dBMJYjEJrGJmrTLZ1rvHpro7tptVGnLcqgqtYlZiFGFvwfgxrTWfOQl5+wnah+dmaZp + XUFEaq0MyQEAAAAAAAAAAFyaj7ms02fWWVEXUFUfuFl/ZLwGAAAAAAAAAAAAAIDbas1pXhtg9n3f + Wlszrc2slLLfD9Ozq9cluyn8TV4WHR1EJNdiIiq6LKXropm8+90/+u3f/o5pWlRjNS1NJKSltBR7 + a+oNhNeen2uLTg/Mbq3N8xxDJxbOWhnHlJul2Oelfs93f+9//z3vst1E89Z8H8KuCqKJNFUTOes5 + 4AfuzT/X11rfjbXWwpf7Ie83NwYAXI97qtfoGwNcjH+sAAAAAAAAAACAx47XnaiqV2N4zUdrreu6 + 1loIYbPZiMijiJGIMcYYn3nmmVKKv5bvj5l5jYiqLsuyH1EM4Dbx/7VLKf6/fwjBC+M8snoYBj81 + ya7bju547LRcNkbaq8386fM8i4if8Q4ODrwwLud8cnLiJXF7JXcAAAAAAAAALk9Va63rWIOZxRh9 + fuw4jn5hsJTiM079Sh1ujO1u+8vUTCSYqNkaRB1MRB442TqsW7Yg90mtbsGaWBMTEWUqDnBTfIDY + R226rluHcf287QMoHpDgwzc3vb8AAAAAgP+fvXvrtWVbz7v+vK21qurjsLwtO3HCBTfcc8PHiB1j + sIyTi9hbQjGSvwI2siIULqIofAMbZNgK4QIhIWEp4CgSQQSBHAwCh7Atc7C2t8FZa81xqKp24uId + o+2+5mnNOdaYa5z+Pw31Wb1G9eqtj96r+lS11t4HAAAAwFMVQti2zSs+7/ueUiql+NyZd5E0TVPv + 3euB+hS8h34dAAAAAAAAAAAAAADg2zPym2utOefee845xuhFhnPOPgLB54q+Fjj61d38aD53a81M + pbTf/d3f/fmf//kf/vCHKc1er3ieZx+fkHPOOYcQvEpAztnLCJyenl5fX0/TdHZ2Vm9N05JzPiyn + f/Znf/Yrv/Ir3/vef6qbNOt36r2fnZ0dN9iLD4wMb39pvfcRaw0AAPCEUEsHAAAAAAAAAAA8Oiml + WqvHRfhAjRBCjFG3I1TWdfW7954hUWvtvW/bNk2Tx9l6e7yIxsXFRe99WRYfL3K/Tw3gMUgprevq + ZXRqrSEEH+52nHM/lpdlCSH4+cEHqOk2GPtj7fvuo+JKKfM8z/Psg/Curq68oI+ZLcviG48MbAAA + AAAAAADf3JgXOgpq+3XCWqt3E3i/gHdM4MGYFKSgZk2ecG2yrtRbaprbTS61J1u/I5r6a3VJzay/ + OdfGmtRk/bYdutsTAPiGvOPGzM7Pz72agPfkTtNkZt6B4qkJIy8BAAAAAAAAAAAAd7Msy77vIQSf + 7RJj9Jl3b+UdNJK89nRrbZ7ne5/6BwAAAAAAAAAAAAAAHjkv1+lR1pJ676WUUsoYV+BzRUdVzzd3 + IDWf5h+nJLNS+7wcZHZ1nf/3f/ZHP/0zP/uP//v/YV5OWpMUSpelaZ5n32ettdUcg2K01kotXT1c + X229WQgp53r62Y9dXm+m+P3v/+Ev/tW/+t/8t/9dnCRTqbIQvhrp2KSbsOsQwuXlpRccyDnP8xzj + FEKqtc7zbGYppVevXul2lusn/QsDAADcO2KtAQAAAAAAAADAo7Pv+wiH8GEoPqCk1upjNfQJAq39 + uVJKI6PCzHxUSu/9+vq6935+fu7PSw104LmqtR4OB0lmFmPc9123YTYxxtaanyh8rJgvjBPCayeu + jxJjPBwO+75P05Rz9ueNMeacvVWelr2uawjhbsnZAAAAAAAAAF7Tez8Oq+69ey/AmA071j9M+/BV + XZKpm3pozSQpdsWm1BTb6yHTd3/Pemhm3dRNzdRM3SSphSa7eRoj0xp4ID69X5L3pIwqBn4yr7Xm + nL3AwfHpHQAAAAAAAAAAAB+r1urR1JJKKT5TJsYY3qG1dnp6KmmaJn21mjMAAAAAAAAAAAAAAHgh + aq1jkr6X8by8vNTthP1RYbjWOsr/vpUPORjT/Nd17b2HoNb0+eeXf+2v/dL3vvd3Y5j2fT8cDrXW + Upokr9XptQJSSr33nHNK6fz8fN/3Wutnn3325RcX5+fnv/d7/+Tnfu7nvv/9P2pVJSuEGEL4ahz1 + W9rm7VmWxffm7fe5ruu6Hg6H3vs7sroBAAAeNf4HAwAAAAAAAAAAHp15nnPOtVYfsdF797EdYwDK + iIy93+EaPvpEUoxx2zYfU+K3Jycn/it/am/hPT41gEfCh6/lnLdtkzTPs+ci+NnGT0p+TtBtjZ4Y + 49nZmd/V7VC2j33eWuu6rp5p7WPReu/btvlgOI/Q7r37KegOsdkAAAAAAAAA3jRmivpdL649ymr7 + b6dpMrM7XPTD/eo+9zf0Gm7mH1v34Okeerf7SB4Pb9mJjWfPoSs2KagH9cB8HOBBmJn30h73F6eU + aq2np6cxRj9pp5QuLy+/Wj4AAAAAAAAAAAAAH2GUhx6TWbZt6723d4gxXl1d1Vp9Xkwp5ezsbFSX + BgAAAAAAAAAAAAAAL8GYqr/vu8/Q9/ED+757yLRu465LKSklqb3x8yOeGD2mi7YmdbWm3uzXf/03 + /p3f+I2Y5lq6pJxz7+YzTEMItdZSSoxxWZZSyvX1FuOU0rzvpdb227/9H/3SL3334mLtTd/5znfM + rNbeutU6xjm8nmndWpvn5AMkti1P0yIphBBjbK2NRnqBAsZLAACAJ4cyOgAAAAAAAAAA4NFprY3B + GZKOs6V9gzE2xTe4X2bm2bRjUEgpZd/3aZp8vedte7gFgGfGw6SnaVqWRVKtdZ5nX19r9SwESdfX + 1/M8j1PQ5eVlCMG3vHPmdEop52xm3gbdno7GKLpRCciTtgEAAAAAAADci2mavKz25eWlz1D1mbE+ + g3Tbttba6KHAA/vRHN4euvxHUg/qdnPbTBp51B+1a5OkblJ/fa5NC63EpnC0X2YTAw/Be41TSt5f + vCyLn7dDCFdXV5K8okFr7ezszPt2AQAAAAAAAAAAcDc+w8XMvGvmcDi8Zyqfd83EGH3qTUqJzhoA + AAAAAAAAAAAAAF6a1ppP/JznOYSQc26tmdmo6ikppeQVfX1AwlvVWkdCdkrJ9+Zrginnqq7v/cd/ + 95d/+btffPGlevjss8+8aEBrbZ5nH+1gZuu6LssyTZMXEAgh/OZv/uav/dpvXF9vIWie5y+++KJ3 + m+dZvc/L4i/izfaEoH0vo16xz2aVtG1b7733HkLY930kdt/jnxQAAOBbQKw1AAAAAAAAAAB4jGKM + 67rGGGutPkpD0vX19RhHsizL5eWlbsOn78uyLL33m6EqIUhKKfkQFm+VR27f75MCeFSWZfE6O733 + 1lprLeccQjgcDiPSPqXkg9K8zs40TTFGT5ve930MgPtwPu6t1upPPc5Cfhr0MWp+UpLkZyQAAAAA + AAAA35Bf9i+lTNO07/s0TT451qe5+hU/T0vd993v4qGYFNXUWmw3adampt5kaqamm58cVO3t0dQf + pkuhH/cC9eBrq+lHsdb99gfAt8uLCPh528y2bRuFDLwDN6U0Jv/fob8GAAAAAAAAAAAAzkstex96 + SskLLvd3yzl7AeixmZkxBQ8AAAAAAAAAAAAAgBfFS2j6BM+cs1fvdKWUdV177+u65py/tqimj1uQ + lEve8956q62a+ZRvtaYQwj/6R//4Z37mZ/7wD//w1atX/hAPnI4x+viFw+FQSvNSn5J+9Vd/9W// + 7X9fPk3cYq1dClLY9zLNh33b3tYOydSaJHm94puqyPNJrdnrFXjctWdvj5qlAAAATwix1gAAAAAA + AAAA4NHxOuOHw8FzI5ZlSSlJSil5LQxJ27Z5YYt7LG/hpc8l+XATf6IRYl1K8Uxr39iTtgE8M56F + 4Ms+IGxZlnmex/qcsy+PwJtlWXLOXqZHkgdd3+F5Pbjazzw+Lk1Sa81HrfmJqLVWa+X8AwAAAAAA + ANwLv+yfUmqt+cTXWqtHpUryS3/TNPmlwq+dGYtPrKk3SdajepTU7W1zYuzOl0+tSZLJiqm99iuf + kHzTjLGOAuzAt857YVJKoyfXAxW868Q7cfwcPs8z/SkAAAAAAAAAAAB3Ns+zT2yZpmnfd58y857+ + l5TSuq7ese6Z1h5u/a01GAAAAAAAAAAAAAAAPLh933VbXXNZFh0VDU4pHQ4Hnwrae/ct38VrfrbW + pmmyECSFGCX1bq3Jc6Nzrur6kz/501/4hX/jf/y9/+ni+urs7LMQwp5rU1cICrZtuTSFkL68uPrZ + v/yv/v3/+nd71yhjXGsNIUhNaj5xVa9PM5e6h2BLUozdnJcdAAAgAElEQVRxlANdtwsfFuFVSUeS + t5m19sZOAAAAHjdirQEAAAAAAADg/o1+cc/Hve2W/pGx5nlMy2+tjUIDIYQR+gt8E17DIsbox0tr + zceUjLhZ3R5B9zhc462H5IinHR9v3+xxHr/btvnwGkkppcfZSN2+ayOY/B6zyR+n8RpHMvFDtwiS + 1Hs/PqUMZhZC8OFi8zz7NsdHky+Pk4N/3UvyLcc+fWDZcJxOPaSUQggeVu0H7ziEffvxrerrQwgx + xmd/yAAAAAAAAADfjnH9bSy89brcS7iO/ST0ukmq6yx9FpYlt75VWbLQLbToP1NV7L2Zuql9zJvW + TF2xK5hKsGJjgrE1hZT3fhpmXW3qVVZkyvWTvEYAH8L7aHrv3uk2em9HJ4tuwxIeqoUAAAAAAAAA + AADPwJgX4xP99MakmNccDgdf8D535r8AeJz6ex1vyUkMAAAAAAAAAAAA+FhjjMFrgw2Op4J6IdDR + PTc65o576MZvc869NnW1Uke89HGt79r0+ZeXv/Td7/4H/+Fvv7q8jvNSZaUppHndW2k2L6f/6x/8 + s7/8s//aP/0/vp+Lp1SbbiarttaKx1qrV6l5G2KMbxYi8CKlkkJQ7z7RtUlKKaWUfJtRNfSe/pwA + AADfEv77AgAAAAAAAAD37DgCc1kWT6n04GdJx7mVz6OM8r7vIQQzyzmnlFprrbXjatHAHfgQk1pr + rTXG6INRHvB4mabJY2595IrfPsJhIjnnZVlyzt42PxG9Fqz7GPTeY4zHJ0ZJYxTO8+MvbVTVb63F + GB9t4vjLse+7mfm7s+/7+DReXV35UTNN09nZmR9Qd/te8/da0snJiZnVWkMI/vn3g/RwOFxeXr41 + WhsAAAAAAAAA8FXNUlCr6cd/4v+tl1fbNh+sde25N4VmJpkkUwtqoX9cprUk9eB7CJLdToL2aOtS + ilkoW9G8qJZacpNCEhf6AQAAAAAAAAAAAAAAAAAAAAAAAAAAAOD9Rknh1loIwQuBjpRr/9Xdy9J2 + laK/9bf+zr/967+2bjmmOU1LV5jmw3I4/Qf/4B/+6z//C3/yJ39a203s9DvqG9/UjPXaoa01b0+M + 0SsSjxbu++5FRB9hXWIAAIC74b81AAAAAAAAAHDPeu/7vqeUtm3LOXt2aQih1uobeMJla83MnkGs + 6TzPvfec8zRNpZR5nkf0L14guychhM8++2yapmVZTk5O/BPlqcAPwseLmNk8z8uynJ2d3dcrvV/z + PNvtYBe7zesdJ5/Hw9/KUkoIwQfoeJD5Q7frUyml+MgkDzP2V/qAn2e4eZ4l1VprrfM8j3fk9PTU + D6je+7ZtvvIO32s+PM7Mpmm6vr4esdnLsvgYtd77xcWFH7b29mFtAAAAAAAAAICvMv1f66vDT/zF + OE2t9CkoRtWgapL68ZXW8PHdsE1vCcPuJgU7PT1dYtCXXygqptQ7mdYAAAAAAAAAAAAAAAAAAAAA + AAAAAAAA8EF6755p7WVyPRz6+vrao6anaco5e+HWu+5ff+/v/We//Mu//Md//Mc511p7KeW3fuu3 + vvvd73oJUEn+FO+pB2tH1cK9MTlnb3lrbVSX9ZcwCpYCAAA8dcRaAwAAAAAAAMA9CyF4OuayLNM0 + eW5lay2l5Bmunl4ZY0wpjbtPl6e0np6eeq//vu8P/Q7gmVjXVbc58eOT9lCN8TElHsD8mD/kfhi2 + 1mqtHhR9OBweulFv4eNy5nnuvftYnBij/3mfJX91kvx9mec553znkVK4L2NM2/F7kVLyMW0hBA+G + PxwO/iX+sfv3d7n3PiKxfc8+8mycVdqt+3hNAAAAAAAAAPCMBSlomf/SP/z7f7S9uupNVdEkqQS1 + 8JVEauuyfpdk67eKMV68+vIkJp2dq1RJpb+Zfw0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAeN1xZPWo + SZtSijF6vnXO+XA49N5HqvRHGVO/f//3/+df/MW/8vu///uS/c2/+e/9jb/x74YQSilm5hV6e+9e + GvSteu9eM9zbXGv1ZTN7s7josix3aCoAAMAj9NHl1wEAAAAAAAAA7+cZmZ6/W2v1/nLvER+d1p5z + ebdu8sfGkzhLKZKmaco5P4/Xhbu5r+TplJJ/lnyHHn5s9mABAYfDYV3XWmsIYSQxT9PkC49HrfXs + 7Oz6+jqE4Mfmuq4pJT9CH4/Wmp8uvJGeLuxjdx66aZ+Ef3pDCP4ZzjlP0+RfFg/dtBfNzLZt84Fl + 27Yty+JvkA840+1Xm48bu8NB5JHV/r6bWWvN3/qrqys/KlNK+77P83zPLwwAAAAAAAAAnqlSW1LX + ib06n01L2KWSa1edVE2pSV2SrCtYu8P+u0lSk4J3Sd30efZae5pivXyldZNMsincV2Q2AAAAAAAA + AAAAAAAAAAAAAAAAAAAAADxn0zR5+U0vxZlSur6+PhwOZubre+9m5kHR27a9e09vn0Vupt4kab0u + f/z//PCv//Vf+Qs/9S/8wR/8gW5LhbfW1nU1M2/Je5rqpYaXZfFmeJ1tL0maUvLKol5H1Nt8p78H + AADA40KsNQAAAAAAAADcMw++ldRaizHWWnvvKSVfaWbzPHu39DzP+74/9e5nf3WllBCCZ396nzrh + 1i+TpxR/c/u+j9hjP4789l52fgfrusYYzayU4g2LMfoH/lEJIVxeXkpqrflh6OeZh27X60II/pf0 + jPAY43MNtHYpJUm991JKjHGaJh9H9dDtgg6Hgx/IZ2dnI66+teZJ5JJCCL7+DofS8YnLB595fLv/ + f8Bv53mutdZaCbcGAAAAAAAAgK+V4qQ0aQrb2bx92dRa7YpvXG7vd+t9tWY9ajy2S1JQaz3I7Ozk + dM5VwWSh1Wox9SYLd34pAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPBSeJHPGOPFxcX5+fnJyYmvH9U4 + t23zWOs7aE3TdFP/szX92f/35ef//KJ3SymWUlprh8PBawu/v7Ko1/JNKXnx8JRS791r/Hoh2daa + N9LTuO/WWgAAgMeGcvkAAAAAAAAAcM9GUGtKyTuYRzqmx1tu2+axuJ5p/Qzin/0l+G0IobU2ckDx + 0txXTHvvPefsYz48Kv5hk62XZdm2zcw8zXoE3D62WPqRmDvScx/tecZzrM0shOAt9KDrh27XJ5Fz + TimNF6vbl48H11qb5/lwOIxMa0+59rPNcZT1HeLhfW/+8b66uvIgczNrre37fjgcvAEe6957f2zn + EwAAAAAAAAB4ZIIkWVTQFkOx3pskxTBJbWzUTVKXzPpd861fe9YupXj96svv/Lmf1LqrSVUWFbmm + CwAAAAAAAAAAAAAAAAAAAAAAAAAAAABfx0vOenD1+fm5JC/fLSml5DWHvTLnycnJuq53eIqcb/bW + Wpnn2Sxu23UpRZKZ+T5TSp5O7evf1HuPMfo2Xsv3888/H1nXI8raa5ne8W8BAADw+ISHbgAAAAAA + AAAAPDeeYRlCKKWMnuYQgufy+q88NfPZaK1J8khOXybT+sXq98QDpNd19ZBmzwB+wM/Vtm2+0Frz + cSSSfIjJI9Ra83xcP/n0x5dp3W9PF7330cLnmmmt25c2Xqy/QY/wfXlpzMzjxn14mZnlnMf3tSR/ + j0IId4sh9+96PypTSv4s/hSHw8F37kPZOpnWAAAAAAAAAPABau1SkMWtlTQfeu8xpbzn2BWbJHVr + zdTuesE1qIeu0KUuySRZl6zlnM/nw7Su//lf+mnVHtKkrlLb1+0PAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAF46L+E7z7OX4vTy3SmlkWnde/fbV69e3aE+Z0rJF0opUtj3sm2b5zN6QVGvb+x1Qd+Vae28 + ZmwppbW2rquHcJuZZ1p7RWLdluMGAAB4Hoi1BgAAAAAAAIB75t3Y3jXua6Zpaq2N7OfjXufnEWs6 + XsXzeDl4DHrvHivrx8sjSYL3eNox+uT9w1Aeih+G42B8nMNcfIRQCMEXzOzZZ/qO12hmPpjp2b/k + B/HaAK/XPv+993Ey8b+/H9Tjt/6Q8SjfuLXmp6N5nn29L4z31N9QN776x/5zzr7DZVne3GDs6t7+ + BAAAAAAAAAA+0ps9d6NTb1xyH2seqI24EWJU75LFFkppirG3mszmqtRkXc3UpX6na66hy27jsa3f + /HjAdbSgkg+1nqlLJgV1pch8HOBh2C0ddb3ptsvGu3X0WDtzAQAAAAAAAAAAAAAAAAAAAAAAAAAA + XqDj+aHTNI31Hjs95o2mlFprvXefNzoKgeqodOeo/j12WEqTwu3PV9RaX6sHrttJqSEEf/bjlf7U + Xps0hDCKDHhL/DaEcFyJFAAA4KnjfzYAAAAAAAAAcM+8s9l7rCWVUl5CYisAAA9unudt2ySFEEop + fusDyFprZjZNk8cb3OF7ed93/0Lf931Zlt6778RHp6WUUkr+pe9D0Py/ASklv+s74f8DAAAAAAAA + wKPiVw7HXb+sF0LIOUsyM9/Ap5tyfe+xqJaOZg1b77Ep9tsMart7+njokjXrdpNdfaNLik0npZyU + qvaeHQD45LwEgHfEeNGB1tooAbDvu5cP2Pf9uKIBAAAAAAAAAAAAAAAAAAAAAAAAAAAAnorW2vX1 + 9TRN+757gPQ0TT631MxKKZJ672PlHfbvt7VWL1U6TZOvPD8/X9e1teb1RV/LwwYAAHiWiLUGAAAA + AAAAgHs26t2bmRe4X5blDt3bAADgw3nMjOcW1FpTSq01H3MWQvDvZU8y0O2X9UfxnfgXes55ZCGM + QGsfc1ZKqbWu61pK2ffdG3McdA0AAAAAAADg8fArhz5t1S/l9d79AqD39I0re7XWh24sJEldakpN + QU3qN0nWUujqpmbSbbB1//gUclOzrm7jsUF2s6vQtdS61KJ+symAB2FmtdZpmk5OTkopfore932e + 51LKPM+991rrPM+ctwEAAAAAAAAAAAAAAAAAAAAAAAAAAJ6iEMI8z17S04OlveLomFt6fn5uZvu+ + T9NUSpGa9HH50yGEw+HgyznnnLMXH/j8888lxRi90GiMkWRrAADw7BFrDQAAAAAAAAD3LMboVe+9 + 5zvGuG2b93wDAIBPZJqmfd/NfpQlEkJY17W1ZmYnJyeSWmvLskga+dYfrrVWa805L8sSQsg5+549 + 0Np32FrzXx0Oh5TSPM85Z/9VjNHM+P8AAAAAAAAA8AillHR72dDMfOaq56GmlPzKXkqJ6aaPQpe6 + Qr/JrpY8YdrfmibJukJ/x2M/4llCfz25uqVeU6/S7d5JtgYegpn13q+vr1+9etWPbNtWSvET9eia + 4bwNAAAAAAAAAAAAAAAAAAAAAAAAAADwFJVScs6992maJMUYPXb65OTEzC4uLkZ9gLvtv7W2rqtP + XI0xTtPUWvOpqV5/YNs2XwiBnEcAAPDM8d8dAAAAAAAAALhnrbUY47qu8zybWa3VzO4QnwkAAD5c + rXWe533fa63ja/dwOBwOB/9elmRm27b13j2Q5qOMfXougqTz8/Peu48zK6Xs++5RN9M01Vo9U2H8 + VtK+7z4eDgAAAAAAAMCj0lrrvduRw+GwLEuM0cxCCNM05ZyZbvrg7Oif0FtQk6n7WmuSwjfOtO6m + ptBMGvnVpqbgT2sqMikcZ2kD+FZ5F48XCPCFbdv8NB5C8HoEkrxqwJ0rEQAAAAAAAAAAAAAAAAAA + AAAAAAAAAOABlVKmaeq9X1xc+DRSn0/63jqf7Y2ft5vn2Re8bHit1SO0JfmtpGVZvMToJ3yRAAAA + jwNllQAAAAAAAADgkzgcDuu6llJijKM3GgAAfCKeKDNN08if9viZnLMnXqeUPIV6DCD7KMdp2Z6C + cHFxIen6+rr3vq7rNE0pJf+VpyacnJyY2cXFhT+Q7AQAAAAAAADgsfFJpCMG1Zenaaq1+q882drn + sjLj9LEIvd5OhfHJxDX0Zh47LUn2jftmu6nZTVR2k/oIz/Ygbd38AHhwOedlWbwSgZl5AYLWWghh + XVe6ZgAAAAAAAAAAAAAAAAAAAAAAAAAAAJ6iw+HQWpPkM/2vr6+95uc8zzlnL/Lp1QByzh+78xGG + PZ7C56h6zVJPuZaUUqK0OAAAeAmItQYAAAAAAACAe+YVk2uth8NhrKTMPQAAn5SP9PJbM0spSYox + +kIppZTieQY5Z8/A/liebB1j9PFnvfdSSkop5+wj3nrvZlZrba2VUrwx5+fnZrZt2xipBgAAAAAA + AOCR8Nmq48JdjLG1lnNOKZlZ790v9/llxrtdV8Q9M8lUrTWTpG6qQdVUTZJCv8m0ti7rd3i/uu9T + Mk+29jTrrhFrLUlVqh53/U1fDICP5pP/U0r7vtda53n2wRgxxn3fU0reO7Ou6+FwYJwGAAAAAAAA + AAAAAAAAAAAAAAAAAADAk9N7772HEMzMzLwswL7vpZR93yXVWr0G+J0zp3POy7JIKqVcXV15eQFf + 72nZoyVjGQAA4LmirBIAAAAAAAAA3L8QQoyx1ppSWtdVtzXxAQDAJxJC8G9eH/LVWvOE6VLKPM+t + tRCCZ8/oNv36Y/fvD6y17vvumdYecuMp1601ST4WzeO0zSzn7I+a59lTFu7xJQMAAAAAAAD4hvyy + 3sir9iuHIQQPuvb1ZuaXH5lu+jg0dcUuSe32DekmqYcWrIdudhtB3T521x5l7Ys3odUekq1mvUvq + ClKUZFKQ+EAAD8LM9n2f59mHYYyOIe+L8ZP24XAQ4zQAAAAAAAAAAAAAAAAAAAAAAAAAAACeoDF7 + 1CsAeJFPz7qWlFLyTOvjjT+KT0Hdtm3s0PczIrRTSrVWLzJw5+RsAACAp4JYawAAAAAAAAC4f97Z + 7J3QXi651vqujUcl5VEx/8l58yVQ1h/3wpNi/VYPfYz4p3pE0o5WPTY+CEaSp+rqof9uwDdRa+29 + +1gxv/Xv01KKh8q4MZgspRRjPE6g8cNh33ffbIRM+ze13dLR0f1a8rTf9SAE3Q5r82a8doiNRO3j + Pfi54viJAAAAAAAAADwefn0vhOCXE4+vRo4LiSPr+kFbCknqaipVez9r076XcDjpXVYUb+bGWFds + pmbqdptL/cGaqZp1SVZNNfYWutRTaCn1OqlFSUqy1KXYZC185DMAuDfzPI/lcSYXAxUAAAAAAAAA + AAA+Mfs6xxtT0xnAk7Ouqy+MyYycygAAAAAAAAAAAICHYmYhBB+NcHxbay2lfOD0f9/MS5XqqGbv + KCkwKgyMHY6FGON41D2/NgAAgEeGykoAAAAAAAAA8MBqrd5F3Vo7Pz/3rusRFP34TdPk6Z7zPLfW + Ukpe+v+h24XnwGNrc87zPD/456r3nlLyJsUYPRz3EYox9t5jjK21UkpKaST+Ak/Luq4xRh9JJsnM + SikxRv9g+/HoIdOllGmafKSXrzxOtn7X/lNKvfdpmnybUoqZ+W5jjMuy+DallHme933vt2qtflgd + R2sDAAAAAAAAAD6pm6u9Kakp9HByOPvy4nKKaYqyLpOkoB66QjfJfz6SR2JbV5Csd+uSghRi67FL + UlOQqX2lQQAAAAAAAAAAAAAAAHjySimHw2Hfd0lm1nsfFe0BAAAAAAAAAAAAPB4hhFJKztkrFXvv + 3rs29lrZXqd0FOyV1Htf19UXaq0fGJINAADwXPGfIQAAAAAAAAB4YKPzO8Z4cXHhndweFP0keC9+ + Smnf92maRj/9Q7cLT5vP9PYkaUn7vnt47UO1Z5om3UbYPuag6HmeSynLstRaRw430+bxRB0OB/8k + 11r3fR+Z036bc04phRCmaTo/P/f18zxL8lj3EML7P/wehu17Pj8/l+QJ2f7wbdt0e9Tv+15KGWnW + MUY/NfktAAAAAAAAAODbY0HWtnlaa05Sb3aP3UehK/jejvbZrHVTNzVTt9tfBqbjAAAAAAAAAAAA + AAAAPD32DtM0mZlPUfQ1vfcHnNcMAAAAAAAAAAAA4F1ijDlnL99tZu+pg21mXq3US5suy1JKmee5 + tbYsi+9qPJz+QQAA8GJRaR0AAAAAAAAAHphPag0hSJrn2cw8wfepJNHWWr0v3+fretroQzcKD+Ye + R2Ds++7TvyX5VHAPTb+v/X+UnLNuX51/5v3uIzxOPan3eA3DYvBE1Vr9yzGEEGP0Qy+E0FrzdHnP + n/YtdRtBPU2TH7C+ctx9Kz+EY4wXFxdmdjgc1nVtrfnR7ceOR19L8qhsf6CPSzteAAAAAAAAAAB8 + UiaV1pOZTk/+tG7/ouz05DRfX6dwPwHToavZzYLrJvUmqZk0Aq17M6lK8dH1EQEAAAAAAAAAAAAA + AOCOfA5jrdUnMNZavbT9Q7cLAAAAAAAAAAAAwI+UUkIIIQSvSirp/ZWKX+vy27Yt5zy6Ar2g6HFt + 7U/aeAAAgEfrXqr3AAAAAAAAAAC+kWmaWmu11n3fSymPMyv3XTzfd/S7e6roQ2UP4znxTOtSyr7v + ZuZTwR+qMTHG3nuttfc+lh/hcdp7H7G7ZuajbR62ScCd+feLf5LHyvGRjjHGGM1sbGZmJycnvrFH + Tc/z/J5M65FXPR5yfX3dex+HuSdbv3r1ajx1rfXy8nLs3+tTfJIXDwAAAAAAAAB4QwxRMWpJX87J + prnteTqcrEfdR83UTHfuTwq9h94lWddNkLV0E2YtVfPc6xL8rhp1iwEAAAAAAAAAAAAAAJ6W8A61 + 1tPT0xjjNE1mllK6vLx8hPOIAQAAAAAAAAAAgJcspRRC8L487+n72oeEEEopo+8vhDBKf6eUtm2L + MbbWvDz4p209AADAY0WZdQAAAAAAAAB4YCGEnPOyLNu2SUop1Vol9f40CqF73nAIwfvjvVPfXwJe + oPv63JpZa21ExpZSYowppeN022+Th2p7eu62bcuy+MiVx3acjkb632qappzzA/7dgG/CR3r5J3nf + 92maJNVaT05Ocs5m5re11pSSnzGur68lnZ+fe/j0+49Qf7h/Z8UYc87zPLfWPNnaY+xrrcuy+Ngy + H3x2dnbWWiulzPPst9/KHwMAAAAAAAAAIEn16irO6bv/1e/803/5Xyk1x1pODpP2rK4uSU13T7W+ + YePScg9Sl7VuaqZuCmpqfWq9hkfWRQQAAAAAAAAAAAAAAIAP8K5K9CGEq6srSTlnL1h/dnbmcw+/ + 3QYCAAAAAAAAAAAAeCcvgn12diYp5+yFi99TdPfN8rxeg9TMRsXRb7H5AAAAjxSx1gAAAAAAAADw + wDyGdtu2EEJKycOtJXlK9FMxWvvYUn7xLbvHz22tdZqmdV1jjB5n+4DZzP7BrrWOEScevO3h1o+H + n0w8CVhSzvnk5MSDfoEnykOm53m+vr7+yZ/8yXVd/Xj0AWG99zGGLKXkWdQXFxeS5nne9/39I8x6 + 734g11ol7fuu27IUPsjMb3vvMcZSSkop5+w791vKUgAAAAAAAADAt6bVHj/7TPuV1MLJYV5P6r7m + NU8mySR1U7ejXOqP5A/sJsmsh+P1QWpdoUmlqJYY4oP1WgEAAAAAAAAAAAAAAOCu3jUP2qcTSkop + 9d5DCPu++0RCAAAAAAAAAAAAAI9ECMHM9n0PIUzTNCqFvqvuaCllFOYtpZjZtm0jyno8PIRweXnp + adkAAAAv0OPKWgAAAAAAAACAl8kTOnvv+74fDgcP0XzoRn0oD/s8bnCt9WllcuMR8kxrSYfDwT9g + D3tQjFzbGGOttbVWa31smdaSeu/LsviEeTMLIZBpjafLj/ppmszMzL7zne+Mz7Nnt/tH3UeG+Wgw + T7n2DXLOI+L9rcZXlSdb+wNHlLVu0+t957XWlNK2bdM0TdPkuy2lkGkNAAAAAAAAAN+aGCznomXR + 2cn1vtV96+rTMnmmtSRZ+9HWd+pZ6rd76jLJZE3qocu6rGvyWOtc1Ru9oQAAAAAAAAAAAAAAAE9O + fzdJPi3R5x7O8/yE5vsDAAAAAAAAAAAAL8c8zymlWqv36L2n7qik6+vrUaR3lO2VVGvVUVVwMq0B + AMBL9ujiFgAAAAAAAADgBWqt6bYbe9s2z9R86EZ9KG+qh4COZjNNF9/QcVKsD/7Q0edqBNDqKJj2 + Y9ktSWNvbz51Smnf97GlJ1uHEB5nlu3xMdh790Teh20S8Br/ZOp24JfnxOv2609Sa22kSvtDfPhX + zllHQ77Gxn7XB4SN3R4Xkjje1bIsvhBj9Kx6vzWzy8vL45PMawt+yI+H+0nj+NQBAAAAAAAA4Cka + lxyPu7rMzK/++W9TSlxvfzzSMmcLCqlFiyHEYPVmmnGw3jx8WvqGmdbWFNrtdJvQZTH2ql40N1Ou + KlV7jT8K0wZuvH/S+3sc93rga7XW/G+Vcx5VxSWt6zo2kDSKEQAAAAAAAAAAAAAA8CF679M0Hd9l + yBAAAAAAAAAAAADwqBwXKI4xfkgdADPzMqevVSj1KqPeRUjPIJ4rn3Dtk6+Pp2CPie2jnC9T3QHg + hSPWGgAAAAAAAAAAPBnf+c53JLXWvCi8B0uM3NkPl1Ly6eU+pqSUMjIqaq1mtiyLD0/JOR/PQgdw + Z37YllL8mA0htNY8Jz7nvCyLD/aSZGY555E977HTumuM9DzPvpBS2rZN0jRNrbXT01MfPZNzvry8 + nOe5tbbv+z29XAAAAAAAAABPgJl5v4Df7b3HGHvvpZTD4eAXMEspHpg65uPhYRUFhdBMspuJkV3B + I6nDfUTYtttJx03Bo65rrjFonqdY62//9M9q3dSDSr1beDaeq9ZaSmn0Y0ryXon3uLi4GMu1Vu8x + +YRNfBa8voD/raZpKqV4t6+ft72jx8y8tjhFBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8QOu6ekVf + rwNsZqUUXzMqcvtC7/0O9b0BAM/JXSq/AwAAAAAAAAAAPIgf/OAHJycnkrwKucdO32E/pZRpmvZ9 + N7Ozs7OLiwvPqDCzeZ63bdu2baRcxxjv+WUAL1JKaV3Xw+HQe/dAa0m99zF4xWMe/NBblkW3qQMj + KmbkQHwUDzCY53nfd4+49jVXV1f9NuDEn05HGd4i8PgAACAASURBVNgAAAAAAAAAXg5PP5U0AlD9 + eubYYFkWz099mPbhdSZ5381NrHUzC5J1SQpdMt/m7jwj2/rN/mNSK7La+rrLc4i3VfPZN3kKPD9m + 5tHUo2/Rex/6O9LWa63n5+ettRCC95tcXV2dnp5+ey1+mkIIfq72rh+PEm/tNuS+d92ezFtr4/QO + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAC/H4XDw+e+ttVrrPM8ppVH712e4+1zs4+LAAICXie8AAAAA + AAAAAADwZHggbozxOBbXQ3A/lveaxxgvLi7M7OTkxAN0t23zcvOttVJKjPFuSboAXlNrPRwOksws + xujZ0uNIbK2llFJKHlrvCyPpYSRA3OF4jzEeDod936dpyjn788YYc87eKj/G13UNIXC8AwAAAAAA + AC+Kdzoc3621SiqlhBDGpLt3pdLiQdjRUru90xS6dVm7Sbe+3ydrCiHU0s4OJz9xWFSrpiRr9/lE + ePq8+8O7OfZ93/fdOx3sHVJKYxqwn4jItP4QPmVakvf4lFL8FO1/w1przrn37v28D9tUAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAHgQPpldUghhnmcvphFCSCl5iLWZresaYxxbAgBeLL4GAAAAAAAAAADA + U3J9fe0l4KdpGtkSH7uTaZpGoXNJKaXr6+sRSuF7vr6+9kzru8VmA3iNhwfknLdtkzTPsx+GPnLF + D0DPmJdUa/UUh7OzM7/rW97heK+1ruvqmdY+bsYD7H0YjedG9N79SOd4BwAAAAAAAF6U1pqOOhqm + adLtxczx22mazOwOFyfxKZiUulRD8G4dk6Ru6vaVzdobaz5o5z9KxDYpjD20JsVY1c1s//KVrq+l + plrv+iLwPHlfhp83zs/Pz8/Pzb7mU+jnluPNONV8LTPLOeuoT8fnTtdaT09PY4x+0k4pXV5efu1b + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADPj5fOeK1cRgihtdZ7773P83xyctJam6bJawUDAF4sYq0B + AAAAAAAAAMBTcjgcvPPb65XP83yHneSczcw712OMOed5nlNKMcYQwueff957n6bJO91H3DWAb8LD + pKdpWpZFUq3Vj9/ee63VMwYkXV9fz/PsqQ+SLi8vQwi+5Z0zp1NKftR7GySZWa11hBmMWGtP2gYA + AAAAAADwokzTlHPuvV9eXtZaSym9933fvT9i27bW2snJyUM3E5KaumKXumSt3WZat/t7AusKfeRb + B0kyhSC1GkPa9/2n/txPKEXtm1IUgbk4EmO8uroKIeRby7LEGO3dlmWZpmmeZ9/soV/B0xBC8NRq + 78ldlsXP2yGEq6srSd6J3Fo7OzurxM8DAAAAAAAAAAAAAAAAAAAAAAAAAAAAeHl6755pPU3Tvu8h + BElejPfk5MTnxY9Kv14rGADwYhFrDQAAAAAAAAAAngyvTh5C8CSJEW59B7331loIwauZ7/teSqm1 + 1loPh8O6rl4P/c4xugDetCyL51X7AdhayzmHEA6Hg2fJS0op+WCXlJLHXccYPW1633dPo/8oPoam + 1upP7XsIIcQYa60hhDG2RpLnZwMAAAAAAAB4IWKMrbUxDW+aJp96V2sdVyY9LXXfd7+LB2dVqiN5 + WpK6hWa3i7fr2/3NlylNkuKU0jz98E9+oCAxJxNvaK2dnp6u6+pnkrHyPQ/xk08ppbXmZyG6Jr9W + KWVMnzazbdu8c8enVUtKKfXe/bx9h34lAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHgevIL3PM/rup6e + no4yv4fDQbf1NB66jQCAh0e5EwAAAAAAAAAA8GR4JffWmhcl12219/dXhH+TmfXefVchhBBCKcXX + 5JynafKedV8eNdABfBOeMeCjVTzE2qMafL2knLMve+B0a21Zlm3bJKWUSil+e4fn3bbNo2g84cAD + aVprHmbgB3hrzUMOON4BAAAAAACAl2N0E7TWPBi11jr6HZZlGT0FfnXxoduLcHMTi3pUl7pkst4k + 1dDkb1E3STVos6la6Hazrvmt31W43fBmTeg395upW2hKobeoZmoxLLn0y9a/nJf0F39KKgq11RJi + 0P2FZ+OpM7Ocs3cy+jnEOx/fY5qmnLOkGGPOmUzrD5FSqrWmlLxfyeOrvfPX34JpmnzLeZ7p5wUA + AAAAAAAAAAAAAAAAAAAAAAAAAADwAvlUa5987QtjgnatdV1XM4sxllJ8qjvzsgHgJaPiCQAAAAAA + AAAAeGI8Fncs11p1G0w7usZ1m4P71j14eK0vpJT2fR9l5Ueh87F8/HQAPkTv3RMFXlt/fITO8+xH + 6HGogy/7BpI801qSbzmOaM+9Ho/yuyOu3qWUWmsem+1rxtHtadbj0OZIBwAAAAAAAF6scWFwLLz1 + +iGz7x6Prr7HbZm2UuZQfqzpOoQSe6+hlSDJQr8Jms7BXp2cX1goTTVIMZRoVbZbtykWWVWv6s2s + S2ZRCrGFXFtTm08OuZYpKamX69V6DNN8YfrnU/phlE5mqYQuKRFrjWHM6fX5vb13D7d+j9Hx4VvS + VfHhvJ+o9+59Q+P2uKuXTGsAAAAAAAAAAIBvqNYaQvDOrw/pfPEOr1qr97yXUo67bwDg8TOznPM4 + d/kJkK5nAAAAAAAAAAAAAMAjse/7PM+SWmshBL/VVydWj+UQQs55nucxqseLdfugoNaaVw+WNE1T + a43+cQB4yYi1BgAAAAAAAAAAT16t1YuVm9kIu31XprVuUyhyzr33dV3fDN8FcGc+xsUPq33fp2ky + s9bauq6np6eSpmma5znnHEKIMeacP/YpWmseZX1ycrKu6xgQE2P0lIjD4fDFF19Q+wYAAAAAAAAA + npmmKhX1cvUTP/n9624/fnZd1xpUTTlEk+YaYlOzdpXmf/KDH1xIq9oqrdJ2e3spFSnL9yVPHjYp + SpJ+5//8Y4WmsmqK2rLipB60ZS2LDotC18lBMSjFh/xDAAAAAAAAAAAAAMCnNIJdPabaJwf5dJ53 + PSSEMCrnjgUAeGzeX4Z7mqYxW5lTGQAAAAAAAAAAAADgUZnnedu2ZVlCCKUUj6kehbW3bZvn2WOt + zWyaplKK3/oGY8Gr+ErqvS/LMuKxAQAvFiENAAAAAAAAAADgyYsxSvK54iml3nut1cx8zZs8Rtc3 + OBwOuq2v8S02GXi2vFKDF6mZ53kcXKenp6Piw7ZtvnCHTOtpmnLOPj7m+vraV7bWlmXx3foZgCMa + AAAAAAAAAJ4ba1G99aIY/5fP5v/7X/oLf+W//E90Oikm2aSeVKNKUJeiFPVv/vkf9+zqKvWv3o6f + UajYpJt5ln/+x2Rd4TPtq9KsFpSbLKmZplnJ+l6UDkVKRw8HAAAAAAAAAAAAgOfEM60lxRgvLy9T + SsuyvCfTep5nz3/tvbfWjqcUAcCj8q55x6Nmtxf15lQGAAAAAAAAAAAAAHhUcs7TNI2qvyml1ppn + WnuU9bIsvfcYo5nFGL3kb2vNO8q9H3zfd4/E1m1H+RdffBFC8J0/6OsDADwkYq0BAAAAAAAAAMCT + 11oLIXhG9bquIQS9e265JO9fl7Rtm8dav2djAB+l9957DyGMEGtJKSUv3xBC8PErfrSmlHwsy4fL + OccYe+/jgR50PTKtJcUYvYSEP+O9vC4AAAAAAAAAwINq6mqtTnHS4fzf+p3/QtOkM9OcFKauqSnF + LhXdxFoH/W83D1M3SbJwsyC/fuxdQ2ZmZj0E9d7qfJivU4wpdUnTyV63Q5ymk6gWtBXFpGg6T1dF + E9NxAAAAAAAAAAAAADxfvXevfivp7OzMV7bWjqcLvabW6hv4HCKf3cO8HgCPzbvOS62109NTSV6n + O4RweXk5ToAAAAAAAAAAAAAAADysaZr2ffdYaxdCWNf1cDj4wsnJia/3kT+SPMda0rIsrTVf9vE/ + IYSUUq3Vd+gDfgAALxZ1dAAAAAAAAAAAwJMXQvDO8m3bRkpuCGHk2r7GN5bkmdbbti3L8m01Fnjm + zGwExvvB5QNWSiljQVLOeSx/lFHUxqNGWms552marq6u/PBPKb02zgYAAAAAAAAA8PQFtRbifF3W + E0v68c9Uu9IkBZVgFnPUblqmHlqRqqQe1ew2vtr/fa3jqMt6D+qmJslk67of0qFKu2pQmuLUpCLt + JZ8e5puHNJ10hS69s1o7AAAAAAAAAAAAADxtZpZS6r231lprb1bFfU3v3Sf7+N0x9+fbai8AfKh3 + zTuOMV5dXdVaW2teufvs7Kz3zqkMAAAAAAAAAAAAAPAYeAT1vu8xxpFCfTgcfNxOSj8KJJ2mqZTS + e/cBP/u+b9vmv4oxel1u/60kM/M9fOsvCADwiPA1AAAAAAAAAAAAnoPee4yx9957l/T+ieIxxlFE + I+fsmdajf/3/Z+/+Qmz7//uuvz//1lp7Zs73KxKJkVoTk9vcJCZC/4ha9UK8iQRKQrU3IRJqEYoW + /+C9iljQBA3EGhqCBpoKgkUSpYQYo9Cm/C5qscaLJCZtNf6svzMze/35/PHidfbn7DPnnDlnzpkz + e+ac54Nh2LP22muvmT17rZn1eX/eLwAfaZqmbdu2bTs/P9ebMaWkd6hW6FH0HxA+XUrRw/WuN7Na + 6zzPKqBRHcwwDKUU1ccAAAAAAAAAAD4T3lvz0Q0tTDaNdj5ZGOvmzYISpqvZZqX4aj6bK7WZ1UOs + dXuZb23enDNz5pyFo803a975dVmC2WDeavZmW8nVLA2pOFtzy8W23Hy0WpoBAAAAAAAAAAAAwOdr + WZY+LagvbG9Ra3XO5ZztkGk9zzNZsACeEE14DCGklJxzSrY+9U4BAAAAAAAAAAAAAPCCOu6qwa+W + OOecc1pea+1rqp5HpTuKwdbtaZrU1FeP9d4r9DrnrLIfAMAXi1hrAAAAAAAAAADw5K3rqkRbO0Te + 9nzrNyqlbNumMfgQggKtFW4N4OPVWodh+Oqrr9S4IaW0bVspJYSgu3qxywckT6eUSikqiLm+vlah + jPde4da9mEbp9bccBwAAAAAAAAAAT0wzM0sWa3Gr+dl8ceaHYGatWjNzZtVqsVqttVaDWTALzbtq + Vg8R182sWKtmzVqzbFbNipn6EAdfx+Rdy2FZJ/Nuf33hYzJz1vbrtU/NUm3DttpS4sYFaAAAAAAA + AAAAAACfq1rrOI59Ct48z8Mw2KEZ7utCCJrip7k88zyrDe6Jvw0AeE17i23bWmua8NgbfGsaIwAA + AAAAAAAAAAAAJ6eyHH12zqkXd4+s7p1+x3HMOauMx3vvvS+ltNZSSvM8xxhrrc65WmutdV3XYRhS + Sr2zNwDgy0SsNQAAAAAAAAAAePKGYVA0tSg395Y4W00mV18M7/04jscPB/AxVNrinJvnWV9u29YL + WexQAeO9V1+bu9q2zQ6NIWKMehY9xTRN2njOWevQNgIAAAAAAAAAPh/O1qWYWXDOm/fmi9m+WIlW + oyWzwWywIVnyNjk3WTVXvWvmmw/mnXlr3ppX2rWZlrnmXqRdt2BbMXPOSnUhWbWUzqyYW3Ns7nyY + trKZVW/OrHnzZvXUPxEAAAAAAAAAAAAA+CQ0JUdzf5ZlmabJDnOC3qhP6HPOaY5PfzgAPAmaqzgM + gx1mJmoO46n3CwAAAAAAAAAAAAAAMzP19VXLXzNTOnUppbfe7aU+IYSccwih1uq912PVqleftaY+ + a2RcHYMBAF8sYq0BAAAAAAAAAA+tj33q87qup94jfA40V1ycc7f/Xt2YTN5aG8fxE+4c8MT1N1St + tX/uWmuKmrbDgf34LaYbtdb+KK1ca1XNSn/z6oZS581MhS8SY7yx/W3btEG9eW+s0Dd1bz8CAAAA + AAAAAJ+p4wue/XqmHV3nbK31JSfaR5iZNbPiLE3BnJlZbJbMvJmPtphls9AsFgvFXI1Wk9XkLGqV + mx/NWXNm7nDDm3lzvpo1b+ai+cGat+qtmFUzF62Yq37nx9hitDC44UVONoAH5w50u48o6UafMq05 + 1QAAAAAAAAAAAPgwx7N7+sy7W6bqaE3m8gB40qZp0g0d00IIHNYAAAAAAAAAAAAAAJ9OzvlGI4sb + HTCO+/0652KMx/1+j1tk2NE8a93QZ825rrUeP1GPxB7HMeesDOxP8y0CAO5BO7BDd3fp7eLVQF5f + 6vh/vJodderonZTs1XyQ+Mm/CQAAAAAAAAAAjgzDoOvUMcacs4ZCbySkAgAelWEYlmUZx9F7r0O3 + Kk40kOm9TykdD0zeaePruqq5w7qu4zguy+K976cG5VXnnLXxXiKj5aqDMbreAAAAAAAAAPgg/Rqj + tNaUkLptW4zROVdr9d7ryifXIR+Daha8WTMzc61GZ86smTezoxfSlDfdXn3gTe3VZc7MeXOWzaI7 + bO6NF7ybf7lJfimAh6XDsgaMYoyllFqrihC89+u6DsNgZv0GAAAAAAAAAAAAAAAAAAAAAAAAAAAA + ADxC6rVrZvM8T9OkJT249CP7/b7NMAyK0w4hLMuSUrqXzQIAPhE1hFd/eDNLKbXWSik6NXjvvffD + MNRadQYJIWi1WmsIwXtfa1VL+Wma1ONdjTu89/1ZiLUGAAAAAAAAADwo9Y9e11XjoOo3rYvap941 + AMAbbNuWUlLr/1JKjFHDkHYIk1bKi1b+gBoXRcIoJ0bP1aMIzCznrKfIOXvv53nWDgzDUEoJIWj4 + kzgZAAAAAAAAAB9A1zY1eUOXHFtrOWfNu2utKfda8zF00RKn4sy8WTVbzJyzoWWrzVoNrobgm9YI + vlho5rVycfnN23rtSrYz07xOM1/MzFmI5qqZPwRXO2vu5cqmlbkyDTw4HZBTSjHG/X6vhb0IYRgG + Hbr7QNJp9xYAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3kjddGutyrSutaoJxr30+32bdV3NrHf07dun + tS8APE4xxm3bxnFsrV1dXV1cXDjnYow6dKuju4Kue8cktUsys7Ozs2VZvPc55xDCN7/5TfWW16Ps + 0HzezPztOwEAAAAAAAAAwL1b11Wppc455aSSaQ0Aj1ZKaV3X4+ISxUsrzWW325lZrXUcRzP7gHiA + WmspRSOjCrfWlnPOGuy0Q2HNtm3TNMUYh2HYtk13hRA0enpv3zAAAAAAAACAL4zyqnXJ0TmXUso5 + l1J0l65AxhgZzzo5Z9bMilm1+mqkdHWmV6fqw/cH3Ph4dWv9w5tZM6vVWg2HDTVv1VvzVrwV92LT + WvH1rQF4GM651tp+v3/+/Hk7sixLzlkH6j6ExHEbAAAAAAAAAAAAAAAAAAAAAAAAAAAAwCOkXrs5 + Z3Xcvb6+9t631u6r3+/bjOO42+3UUkPtfMm0BoBHrrVmZqWUi4sL9dzQoVtR1uu6mllKab/f6+Si + 3Gvn3PX1dSml9+KYpkkHfKWE6IaeIp7smwMAAAAAAAAAfJFCCIovtUNUqpKtddUbAPDYlFKGYVjX + NYTQq1imaRqGIYQwz7OZOeeWZdHKd92+zgtmpi2Y2cXFxeXlZYxRydbbtuWclSVTSlGo9jRNZpZz + jjH2UwkAAAAAAAAAfABN6vPe9yW6Flpr1RSOWuu2bX0aBk6l2XFCrTdvZsH6wurN/Mu5mM6sevPV + 2m3b7K96MG2pRq3vajMz18yaN//yWQ4R2O6VRwN4IKWUEEJrLcZoZq21dV1TSjqM9/nSfaLdSXcW + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAN7Ae6+GugolTSkNw6DWFvfS7/dttEFNxHbO9a4axz03AACP + x7Zt6r6uPhs5591uZ4f+G2am9ho557Ozs2EYpmlaliXnrK7y2oi6uOuwryU67KvBu9FDBwAAAAAA + AADwwBSPambTNOkSds6ZTGsAeLQ0vqiiFi1xzjnntm3TIT3GqPCAD8uW7sOfdihquby8NLP9ft9a + m+c5pRRj1F0aIt3tds65y8tLPZBMAgAAAAAAAAAfRjP3jqNPvfcppVKK7gohOOeUmXqP0/zwQaqz + GqxGM2++mTfni/PFRbNoLb6YINPslRzrG5nWzVszM2/agvl6+DCzoHDrZuYUYl3NqllzVpw1Z829 + WGj1tQ0DOIlt28Zx9N7XWlV7YIdM63meGUICAAAAAAAAAAAAAAAAAAAAAAAAAAAA8Dipka/6WoQQ + tm0zs/vq9/s2eq7W2jRNtdY+QfsenwIAcI9SSq01NT4qpaSU1nXNOetgXms9OztzzqWUdrvduq7z + PLfWQgjK/lAvd8VX55zbgY7/yrQ2Yq0BAAAAAAAAAA9sGAalWc/zbGYpJTu6bA0AeGxaa/2zc05H + 7BCCbuScc84amNy2TRnYd6Vk6xCCTgoa1IwxbtumGpfWmnOulKJ6F+3MxcWFc25ZlpSSBkEBAAAA + AAAA4E5CCGbWLzCGEGqt27bFGJ1zmtFRa9Xl0A+7/on71Mw1G8ySmWtWzIripRVl7Q4p1SFnn7PL + ZtU1e+XDjvOqa3MvP4pZMV/Mm7NmvtiLVZ05e/nhncVqvpmv5km2Bh6eptXFGNd11XxsTb3ThDrN + zTazeZ6nadJdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPCoqNOFO9AsaaVZ2z31+32jlJJmYe/3e7UC + 3u/39IcHgMfMOee9r7WqV5JOFrXWlFJKads2M4sx7vd7NXjvjzIzpVz3ZGuddMwspaTG71qZtkoA + AAAAAAAAgAelTGtdwvber+taaz31TgEA3sp7X0rRiKOZ1VqVMJ1zHoah1uq979UnfRjyTtvXA0sp + 67oq01rhMRoE1WlC5w7FaTvnNFbaWhuGQekF9/gtAwAAAAAAAPhC6PJjn7+nK5zee03/03INaZVS + dI0Up9fMtZtLXnK1mVUlXTvzh2kzffLMy1fxxuvpXoRdH5Z7e+XR3pq35vUFvwrACTnn1nUdhkHT + 7foAlsaMdNCepsnMtAIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPCrDMGiidAihtaaJ0uu63le/37dZ + 1zWE4JwLIai3xm63u8ftAwDu18vkae9rrTp06zDunOvdk3SjlKKOSaUUtXPvjTjUUkmnHm1Ed73Y + +Cm+NQAAAAAAAADA56MHi4quYt/+EF0Bb631QGtdv8bTEmPsA9s91+GkewTgfWlMUQfhPtxoZjnn + 4wNyP0rHGEMIx8kuer+v66rVjs8FZuYO9Ni+keN90JcKGNBGlGzd7+pP1xO1j7egxOvjJwIAAAAA + AACAu9J1SO+9LnseXzXtFzx71vVJ9xRm5s15c97MmzNFViezYKYvzZnyp535ZCFYsPYiqbodPrfj + COzjr5tZs2a1HYKtvZk375o/yrf2irM+3Eu4NXAywzD02/1IbgxYAwAAAAAAAAAA3J8+38fMlmU5 + Xvi29Y8nJa3rSq9bAE9Ln2Jph9mOp94jAAAAAAAAAAAAAMCjdtxfXYPOpRQt6XGhGn3u95ZSlGbt + nOsD07rXjopz3tbv91445/r+3OgVDAB4AL0Ne//yeGE/BdjhBKEVdGYJIaSUFFNtR+ketdbeN6mf + m463oDNUCEGVUSmlG2cWOisBAAAAAAAAAD5KjFFXqL334zgqKpXxyM9ejDHn3Frz3ivm4TjyFsBj + Ns9zCKEPPTrncs4hhJyz4upzzhpczDlrkNLMtPA42fpt248xHg9M5pydc9psCGEcRzscQ4ZhUJMa + uVF8AwAAAAAAAADAq15mS9txmLU7jph+kWztzNurudVv9ab7jjbpXy56w70AAAAAAAAAAAAA8Bk6 + 7qg7juM8z2amSUZvpNlDWq2331VfXQB4EtSzVTMovfea5MhURwAAAAAAAAAAAADADb0kRq19t21T + W91t23qD7hijCm+cc9fX1yEEM0spnZ+fb9vmvU8pnWr/tTM9RXW/39utfYYBAPfLOadu8P3Lbdu0 + sLU2DEMpRWcTHbGdc/v9/uuvv3bOqVbTPui4re0rVKKUomfsJzXiJQAAAAAAAAAAH0Wjp7rqvSyL + rnEzTfezp3haZdBqeKPWqsFyAI/cNE2lFMVIr+vaM6f1edu2GKMKXC4uLrR8GAY76stwSw8aM1MY + trZ8cXFhZkrI1sOXZbHDaWJd15xzT7MOIWhMVJ8BAAAAAAAAAAAAAAAAAAAAAAAAPDzv/bIsKSXN + GZymaV1XM2tvV0qZpkmPVbveE7bfBYC7Us9W771mX6aU5nlmqiMAAAAAAAAAAAAA4AY13TWzeZ71 + ZWstxqhSmXme1Wg3hKD+vWdnZwoiba2pK68dZWM/PLUINjN1GB7HUbdPtT8A8KUppZRSemHStm29 + 2FInjh56rRNNjPHs7Gy/3w/D8AFp1sfPq9LQq6srnQjMrD81pwEAAAAAAAAAwEdRKqqZpZQ0WZcx + yC/BMAw9xFo3eN2Bp6IfqL33/b3sva+1xhhDCCp8KaWoxiXnvK5rb0PTmzLc8hR9+PPy8tI5t9vt + nHO11tZaj8RW2U0vZNFCDZce3wAAAAAAAAAAAAAAAAAAAAAAAADwwMZxVAPE4y667u00P0izh1JK + fQ4RADwJOmqt69obi0/T1GdSAwAAAAAAAAAAAAAgpZRhGMxsmiY1Zlfn3lqrFoYQvPfbtsUYVUhj + Zt57JZhO06QOwKfa/xhjKSWEoB1Wx2DdBgA8AHWAN7Oc87IsKaV+EO7VSjHGWutXX32lo7QepS7x + OWfn3AfkW2s74zien59rSc65b4eECQAAAAAAAADARwkhaBh1nmczizF+wLVsPDkavfDea272h41h + ADgJjVk6546jo3uwdI+17qspl1orq+plGIbjfjQ3pJR6VY0est/vW2sKsVZvmtba8+fP+1OXUq6u + rvr2T1teAwAAAAAAAAAAAAAAAAAAAAAAAHzJWmtqfRhCUGvdYRhun0LY71WjW7JgATw567pqyvyy + LJpBqVmWAAAAAAAAAAAAAAB0GkrWsPIwDBpr7qU1+/2+1uqcG8exlKL2v+rgrT69euBxT+AHpv0p + pWiHW2ve+96XGADwAHQQjjGO42iHDu39ZOkB8QAAIABJREFUXvWEPz8/14FaJxHp55QPqGtyzqlv + /LZtfQf6xjkNAAAAAAAAAAA+lgKtp2m6urrKOWsk8tQ7hU/Le6/uEnqtW2u1VpKtgSdBwdI5Z+VP + 611cSpmmSQOWKnAppWhYsbWmXOqLiwuNbt7+Zt+2radihxC2bRuGIcaoTOtSioYtx3HUYUQbPD8/ + r7Ue52EDAAAAAAAAAAAAAAAAAAAAAAAAeHjOuRijbl9dXS3LYmbee/d23vtlWXTDe6+ZRCf9JgDg + boZh0AzKcRxTSsuycBwDAAAAAAAAAAAAALxuXdeUkoaYh2Ews1prCGFd16+//jqlZGattWmaWmvH + VTQ9uFSPOolxHNVAvtaqeFQGxwHg4akxu04KtVZ1jB/H0TmXUnLOzfOccw4hqNN7KWUYBjWTvxGD + fVc6TznnVBoqxIoAAAAAAAAAAD7WNE269DxNk5kpmvTUO4VPTqm0pZQQgoY6Tr1HAO6gtdZaG4Zh + nuezs7OUktKse5R1jDHnXGvVEjO7vLzUQ7Zt641p3rZxxd5rdHNd15zztm055+PGNKpiyTnHGJV1 + raqa3v0BAAAAAAAAAAAAAAAAAAAAAAAAwAPTPCMzK6Wcn5+P46jeu29bXxMMx3HUQ3LO8zwz5RDA + E7JtW6211qpu3bVWHdMAAAAAAAAAAAAAADi2rutxKHUpRT14Y4xKjFZvdrX81QohhFrrMAy9bfu6 + rifZeTNblkX745xTz2GaAAPAA1OHdjNrrc3zrC9TSqpcUvv33gFe/dudc+u6llJaa6UUBYLcVT83 + hRC2bVNpqO66rd08AAAAAAAAAADvI+c8juOyLD3kWJGop94vfEIaCNcgdDk49U4BeC+tNedcSsnM + dGPbNrWJcc7lnHvatHNON8xMKdfOOa2shW/UTwGqqtEW+hLnnHNOd+kAEmPUGcQOQ6T6/DA/DQAA + AAAAAAAAAAAAAAAAAAAAAADHUkqlFE3/aa1pOtItUwiXZVG73taami0yOQjA46SplG8UY1S4tdZh + niMAAAAAAAAAAAAA4HXDMOSceyKp0qyXZdFd67qqs66Cq733ZqaqG/Xm9d6fPElaz67B8W3b1KMY + APAwFORRa22tXVxcLMvSm8PXWnUS2bbNDqeVEILOO+obr89Kp74TFYJO06Ru8DqL9WIqf6/fIwAA + AAAAAADgS6RJuUok1RXtWquZ9czUvuYtc33x5GgwQ7f7YMZpdwn4kvVjrwKnSyn6clmWHimtG/1Q + rNRqjVDqLnWZ0cr6spe5aLPtoG9BN3QKMDO1qumfnXNXV1d9fRXTHN/QYaQ/XCcUej0AAAAAAAAA + eBj90qhzTp/NzDmnq5S6N8bYm9UCAE6u1qqRrG3bdKDWaFefdKd7SymMXwMAAAAAAAAAAHwMzQyy + wxSkd46bqxVvX43BGgBPjiZRavJj7z8OAAAAAAAAAAAA4Enr7U9bayml4z6rwO169cuN35nWWoxR + 5TQaWVamtR3qZzT6LH1mtB3mRNdaT/5L2N8Xdqj26TsJALgrHUJrrTrO22td3O3oVFJrDSFs2xZC + GIZhWZZep6T1j08iOq3osf1Z3vnHTK96Oj7a22vN5HUvsdYAAAAAAAAAgE+rtfatb31rt9vpOrgi + rulE8Nlwzu12u1JKzlkv67quJDoAJ6GBxpxzb5egsUlFVo/j6JzToKNzbts2d9Cby3xYjPQwDLoR + Y1QBTUqp1np2dqZxzW3brq6uhmGotWoEFAAAAAAAAAAeFedcKeW4p3YIobWWc56mSRdac86aNHLy + mYEAgFqrUhO2bUspabRaN6Zp6kkJrTUNh516fwEAAAAAAAAAAAAAAAAAAAAAAAAAAHAax7GOipDs + OcTAO5VS1M5XvzOa19xnMX98X99TUZviEMJ+v1dEdynlRvQpAOD9ee/3+733PqWkPu12aHxhZq01 + hVibmUKsh2EYxzGl1DM7jqOsP14pRU9Xa724uNAR/p1//zylMxkAAAAAAAAA4Gnx3l9fX/fr12am + YdfT7hXuRa3Ve9+H0td1HYYh5/y0BtGBz0OMcZ7naZpaa6oLMTO9PTVkqFFJvT3HcbTDoGaPYPmw + YUsFAwzDoCNAX3J9fd0HRPV0dpSBDQAAAAAAAACPTR/A6sNYuu7aVxjHUfmpp9k/AMCB917Hag1R + xRhrrZoQbmYaotIKtVbqEwAAAAAAAAAAAAAAAAAAAAAAAAAAAL5Ymm2q26WUGCN9A/D+ep9tzWWu + tX799dfX19fKtP74vr6n0lpLKW3bttvt7DBBGwDwMaZpMrNSyjiOOjuEENQc3jnX//bQatL/Sqm1 + qoHGfe1MT9QOIVxeXupGP2e9jb+vpwcAAAAAAAAA4FhrTSGmIQQ1ki6lMEj52ejDIeoDHmNcloVM + a+AkSikaj3TOhRA0AKn3po7AMcYYo96wutGPxsrAtqNamfcXQpimaV1XFaPoeUMI27Zpr1RVM8+z + 9/5pVdgAAAAAAAAA+EK01vplUn2pORg5Z++9RkOMaXgA8GiUUlSHoJGpnHOfTad7t21rreWcjw/v + AAAAAAAAAAAAAAAAAAAAAAAAAAAA+NK01rz3KSV1ZC2lkGmN95dzrrWu6+q9DyGcnZ3N82xHcaH2 + cX19T0X57mbWWqu1qpsxXTUA4IOVUpxz8zyrN3sIoZ8dlF3tDp49e6blwzCox9E4jimle8y0tsPh + XX2ThmEYx1EpIbc/6smcxgAAAAAAAAAAT4uuoU/TVEq5kQdwwr3CPVJobs91GMdxWZZxHE+9X8AX + R8fYbdtqreM4DsOwruswDBo41FHXObfb7fb7fSml1qpE6qurKw1efljsdClFJVkqRvHea4mKUXpV + igprnlB5DQAAAAAAAIAvh66X5pw1+1QXPEMIunaq+RiapNrXAQCckHNOI1MxRg1vee91lD47O+uz + +2KMV1dX5+fnJ95dAAAAAAAAAAAAAAAAAAAAAAAAAAAAnIh6Jmtqqpk553rKI/BOaqXbu20rmtQO + fSo+vq/vqeSce7K1GozfaCAPALgTHUKVx5FSqrWu66rIhq+//lq94hVc/fz5cz2kL1yWpW9E55R7 + 0ZvGH7eLv/0htI8HAAAAAAAAAHwSpZRpmjRI2ZeUUoZhOO2O4R4psHZdV/UHJ9MaOInWmsYp9WU/ + 0rbWaq0pJVW97Pf7Pn5pZldXV957vYX1+QOeWmUoKsxSAY1zrg9V2uEoYYeB0vv4dgEAAAAAAADg + nmkmRmtNmdaahlpKUVSqrrXudrtT7yYA4EWIdQhBI1OayKfl19fXZrZtm6b5nZ+fM4MaAAAAAAAA + AAAAAAAAAAAAAAAAAADgi1VrVQLxbre7vLwspZBsjfenXx47ihr13rfW1HH3Xvr6nkTPOlUzDfUV + 530BAB9snmdlWuus4ZybpinGGEJY19V7r8+73U7nDp1KlOxQa22tTdM0z/N97Y/3ftu23o4jxvg+ + gdnEWgMAAAAAAAAAPgldPffe92RrjVBq2BVPnXNuv98rwkFRtTlnNRA/9a4BX6JxHFX/oYoQZUsP + wxBjbK2pXkQ1Lt57HZlTSmamqhcNYb7P4OIx51zOWckudnTYNzONoR5HWZNpDQAAAAAAAOAR0uyO + WmtKqV/S3LbNex9CWJZlHEddUNXVVC51AsBpaVRaR+ac87IswzCs69pac86ZmUbHNK+PgzYAAAAA + AAAAAAAAAAAAAAAAAAAAAMAXS7NQSymXl5d2aKNK82S8J7X5PTs72+/3Zuacc8611mKM99LX91S2 + bdN7Icao79E5R6Y1AHywaZq2bUsp1VrXdX327FnO2cxKKT2v2jl3dXVlZrVWO+ribmYppXmedXK5 + l/2ptapvkvc+xqhw63ci1hoAAAAAAAAA8Kn0sclaa2sthNAjrvEZ2O12itFVfi2vLHAqqgXRSKRC + rNXHX8vtqF5ENS611nEcNZqo0coPG7N0zmlsUoOmytJWBoyKtBQeoFOA915fAgAAAAAAAMDjoZEO + DWYp/bSUolkiZjaOo65/6tIrFzkB4ORijKWUGKPGvxRfrRngzjkdtLXmMAw96xoAAADAB2jOXDv1 + TgAAHoSO+e3V6yicBQAAAAAAAAAAAAAAAAAAwFO3bZuZpZS2bRvHkc7YuCtlonvv1elXC3PO99LX + 91R6OHcpRTeO52gDAO6q1tobE03TdHxGUKb1MAzrunrvW2tK61DfDDU+0vr3ex5prenzuq7TNC3L + 8s7+G/yFBAAAAAAAAAD4VPo4vcJWj5fg86BXVvm1AB5Aa00jjjeWq2t/KcXMhmHQGKTGDvsDzUwr + mJlqX+wwWtnHLDWi2R+lL1Vu0hdqvFOx2VrSS090NOjHfC3vXwIAAAAAAADAY9MvYPYbb7zOSSoq + ADwqGs/ShD07mlB3PF+aTGsAAADgrm6kmVYz78zMXPu0yaZ63vbef78Ts3q7x/zzuf1V1p6//28C + 8Plph6Nud3z7TUfLepfNe9fM7MXjbxwqqpm/ubnmnbl24ymOS4Jff7s+4gMQAODRUPe942v4WnLa + vXpd30M1nNVIxI3JNcd0lx6lh2jJIxyqOP6Baw8f50sAAAAAAAAAAAAAAABwL1TvoZ6ry7KoFOQR + FnXgcaq1hhBqrWa2bZtzThHpZpZz9t7rLiWS3lJf9Ng453p91DiOmqNNHREAdL3etbV2fON4nVJK + CGHbtpTScUaDcqxvWNdVm+2PtcPfJ33hPeqx2f1PoPf5+4dzAAAAAAAAAAAAAPAErOvqnFOm9bqu + qlaptV5fX2vkMqV0fn6+bZv3/rhr//tTxYyZ7XY751wpReOmIQSNm07TdHV11WOwAQAAAAAAAAAA + AAAAAACfpftviQEAuG+Kvn7n5xuZ9+/Ti/G1TGsAAO6B936/3/eOqJq0cuqdeoPeuU831Ivwll3V + vT39+sZGHhXvfSlF04Kcc/v9/nG+BAAAAAAAAAAAAAAAAMDJ9dKaUsq2ba21bdtCCGrYq9DTnLMS + SR9nvdAb1Vq1z+M4mpkCuakjAoBORaE5535s7GWipRSdFNTFfZqmR3j8V4FuzrnnbXvvl2W5/VHx + 0+8YAAAAAAAAAAAAgI81DIOZlVJ0u5SiccGzs7M+eNlHB7dtu+v2U0rbtjnnUkr7/V4La63jOGqz + rbX+pAAAAAAAAAAAAAAAAACAz8aNxFN/1E+jffreGjee/WGe9LP02H5ur7+yb/PY9hw4rbe9d1x7 + +WZxzdt7x1ofHG336E3nzZzZcSO6Fw98+bDXu9S1m1sBAOD97Ha7eZ5TSjFGM2utPcJ2fmamnrOa + QdMbEb5tZbX/02p6iB7+UDt7B6017aGaKu52u1PvEQAAAAAAAAAAAAAAAPB49UIgfVaNkHNOrXrV + s3fbth4U/VSM41hrdc4553LOZua9f5wlTwBwEuu6juNoZtu2qW17CKEXYaoI1sy89845nR0eD+ec + zl+9RldnrtsfRaw1AAAAAAAAAAAA8AS01lprx8OBZhZj1Fim6j9yztM0zfMcY1RdyPvrg6P9gRox + 7ZnWZhZC6IUylJsAAAAAAAAAAAAAAAAAwGfp/dOIAQAPScnWrr08UL93pvUbtnNjC33jZiRWAwA+ + if1+PwzDNE1mlnMOITzCXn6imGrN39FUmlvm6eguze4xM839eZzfl37gpRRNRyqlrOtKuDUAAAAA + AAAAAAAAAADwulJKLwpSKdHV1dX5+flxZZF69jrnQgh37QN8Ktpt7/2yLOM49nBWAICUUo4zrc1s + Xdfz83M7nA7MLKVUSlF69GMrGW2tqbRVFa211lLK8UntjTgZAAAAAAAAAAAAAE+Ac25ZFrXvUeWH + RjFzzv2GmW3b1m/fifrsKDbbOVdr1bjp9fW1hiFjjOu6DsNwz98YAAAAAAAAAAAAAAAAAOBB3Cmv + Womn7ZMlm74xclVPd7zw0+3A54efFfB0uVbNzMy/5V6zNx0hP+4Zby7xzao+94NJ8/ZqwvWrx5lm + Zs2ckYINAHg/ik/WXJU+e6U3+HtUWmtKfe6fb5mn0yO6jx/yaL8v9Si0wwtBpjUAAAAAAAAAAAAA + AADwRj3+c11X732MsWdab9t2fn6uJr2696lkWptZKUU3FNraY1lvjzsFgC+HSkBrrWamw/75+bkW + np2dXV9fazWt8DjrRftZSUf4fuS/BbHWAAAAAAAAAAAAwNMwTZNSq8/PzzUWmFKqtfZ+N32MUHUt + d9p4b51jZjHGWmuttXer0edhGEoppRTCrQEAAAAAAAAAAAAAAADg8+baJ49Jvq9wVgD40nz88fn4 + COzbKzdeSbYGAOD+5JxjjCmlZVnGcXTOxRgfZzvXPj2n1qq5Niklzeh5ne4KIahBYSnFe6/bj02M + 0TnXWtNLYIcX5dT7BQAAAAAAAAAAAAAAADw66srbWhuGodaqGiFVFsUYl2VZ13Ucx3fWFz02wzDs + 93vdVh0RgdYAcMw5p1btasneG7+bmQ71MUbdGMdxWZaT7uybqTt9a02Vujln59ztR3tqSQEAAAAA + AAAAAICnodY6DMM0TT3TWuOXKmE5jrK+a6Z135ra01xfX6srjXOu1rqu6zRNdmjHE0JorWk8FQAA + AAAAAAAAAAAAAADwtLwtDNUd0kxFmaYPnDx9/HSfOlT78/PYYsKPX8HjfdNtXl/gTaqZmd4dzdvb + 3ynN7vgWuvUA8YbUzeZv2cwre/Vi0d12BwDwZVJHv/1+v9vteqayZsScetduqrUqmtp731qzQyPC + N9JdrTW1rH20mdYhBIWIq4uiXgi9KAAAAAAAAAAAAAAAAABuUGlNLx8ax/G4LmjbtmEY1J6355s+ + Ceu69rKocRzneVajY8KtAUDcgZmllLz3Cro2s1qrc07VmCmlx5lp7b1f11UFutrVd2ZaG7HWAAAA + AAAAAAAAwJPQhzPnedaX27apxkWDmqoIOR7mvJPeRkfbUVnJtm0ppWmaVCiTc+5FM/f73QEAAAAA + AAAAAAAAAAAAHsDrCanNvYwpde1FmrV/kIDS/tQAgNfpiK1D5Y3P9c7Z8K5HT7848Opof8sDOD4D + AO6b8p6naYoxllIUrvwIM62dc5pco+k57zmDprWmZoWaldNvPB76UevHrka6yu0+9X4BAAAAAAAA + AAAAAAAAj9G6rsMwOOfWdR3H0cxUShRC0F0qMWqtPa0inJRSrbXWGmNsrU3TZIcMbwCAmTnnUkpm + FkJQz/ZOpbC60ROjH1u9aK01paTG8tr/EELOOcbboquJtQYAAAAAAAAAAABOQ2UoZqZGMDfawbTW + cs4awlTlyvEIpW5rFFM0RtiXDMOwrmu/0bfQxz7NLMZ4PPypZ9RuqGKmjzXq4dpbMq0BAAAAAAAA + fDaOL8xq0qCWHLca15VV3XvSnQUAmHOuD3vpdp/1Z2allBCCmfVRNgAAAAA3VGve+VKKeee919WP + 2pp/7bLH3QNTP0RKab/fm1mMMfpwfX397NmzeZ6PS+l65Da6fvFK/yL1msDWmv4t0hKVCJ6wSZb3 + fs3ZOWe1jmlorVltpRTnvb36yuozGbr4knnnaq21tZRSztXMxnG4nvdmNkxT3cqyrWNM5n3NpZpt + rY27aZ7n3/md3/nt3/7t3/u93/ut3/qt3/3d353neb/fX11d6ei62+3Oz893u/Hrr7/+tm/7tj8o + f+Af/vZv//ZpmlprpuctJYSQUiqltFy89z64mouujasT35iG6+trHWSEIzMA4K76ZXzdWJYlhPAI + Y63193afwvOe3QY/7FEPTD92M1Nct/4lfNs4eO+6q+EY9bG9ffual+S97yPsjLN/sfpLr1aYpZTW + 2jt/he5Fn6/XvbMdJ9Ax7gwAAAAAAAAAAAAA6HoFQr/RK2FutOftk51VNaSyKOec977XR6m8vz/k + hPVF27YdtybuHTao8wHwpdGhrxeYlVLGcdRxW53e+7G6HzaPD559Iw+/5++k3dN3kVJ6n4M8NXYA + AAAAAAAAAADAaQzDsCzLOI7ee41f5pxDCBqM9N6nlNzBXUcoe5S1eskty6Iykd6t0sxU0eKcOy5z + MbNaqx5LTQkAAAAAAACAz1i/Fiqag+G937Ytxtgjrum1DQCPhA7LGthS+/ta6zAM67p673uH+tdb + 1QMAAADonHPNWRqHarZtW601hGDeFcUkNzNnCkB+mLDSy+ur3W7nnFv2c5ziMAzX19e9AwjeRtWA + oitaL5bHsN/vx3H0PrTW9ss8DEM46oT1wHIp3vtpmpZlybXkdUshKjf3JPsDPGZKTQveb9vWmnPB + X8/75lwIYV4X74OPYW0lOf93v/n7f/0b3/i1//l/+at//Te+8Y1vXO33zuw9i4ydWQhWi51Nw/d+ + 7/d+3/d93w98/z/2/d///d/9Xf/ouq7P99cppWFMtdZSakzRWvPOXwwXl5eXed24Tg4A+EiaL+O9 + 72nWOgPy9+GD6YMsdvjh35LYetx1V0nV9h7tF5mXBNHvgIKBNWMupaQWmZ+annRZFh1eaq3EEuP9 + Me4MAAAAAAAAAAAAAPhgy7I8e/ZsWZZepbNtWwhBXX9zzmbWWtPMaBVUnGQ/VTUUY9RueO/VG/kk + OwMAJ6QSxxijDoPTNKm00g71lr1+Mufck60fP30LZqamSdu29XK+W9y5/T0AAAAAAAAA4J1u9LW/ + 09x7tcXn4i1wV3rf9YYX73wTHb/XPrMsiuMI5Hf+KD7jn8Pjt21bSkk/dg1Yapyyr6Bek+ri8QHn + BY0aKn+lN/2ptfZAaz2FxkTneY4xqu9/7wqkLdzjtwwAJ3Sn86O92m+LUyQAAAAAAJ83zbjTpdHW + Wm/b3VrTQl1K1cVVPCEfdkmH60L4SK01HUzeueaNK5YUCbwPZV2klGKM+/2+L9f8bf0YlcnXpwsC + wOfq9fo0Zy8iSMvR3y+ueW9WrZpzTTl3zaLZ3/xrf+0PfPt3WHsa06cBAPermWveXV1dnT+7MLNc + qx3CzPo6Oqe4B/k3xXunNh8phJzzNIyu2TzPx/ujgG19fpi9evwUa61mKL16tlorzUII6i1lZqWU + 3W633+9P9S9StVprHdNwfX09DUP0wTVT1WJfh9cXkJzzMAzzPI/jOJ7tLq+uWrPpbDevaynFfHj+ + /Pmv/Mqv/NzP/dyv//qvLyV7H7eazSz4UOqLKNAhDeu2mpmzF4H3tVb9L+CCK6V5M+/Me59L1b8O + 3qyafc8/8p0/9EM/9MM//MPf8z3f48xqzdGH+fr64uzs6upqTCmlVHMZhmFbVnv1nWtWzcw1bwCA + z0X/q0yH+uIse/cHv/u7rvar/gBtrR3+b3jr9aU3Dnw8f/782bNn+gvWe695K2b2VNr8PXX676B/ + 2Vp7ZzvaGyMv67p67982dJ5zZl4SbuiTPVNK67o+wDP2zqH6DTwuCHmAZ8dT8bZDE+POAAAAAAAA + AAAAwFPRp1YdNxi8RV9HA4LUM+DT0XCzHdUwHLu4uLi6umqtpZS2bTvFDr5Qay2lqBBoXddhGE64 + M8B7es9jfkcDB7zTcWd4M9vv9+fn5/03p89+0vH8CcVa93eK9jnGeH19rWZKtz2K9wwAAAAAAAAA + 3DtirYGHR6x1R6z1E9JLN/rg5TzPwzCEEKZpmufZzMZxVNsX9e78AOM4llJ6jrVebnVV0G0FbGvl + 49uvfwkATxex1gAAAAAA4P3lnNVIXdcEdKW01noct4PHj1hrnASx1g9Ao2Y3uoeXUtyBlnDcBvB5 + I9YaAPBhmjPz4W//3b/zMz/zM7nW1pr5F3HIr5xZ2svPn5oP7ju/8zv/5J/4l/K6pZSs1rLlIabj + Nh/EHr+uF832fydba9WaxfhXf+M3fvmXf3ldV9UljuN4eXl5qjrA6N0P/MAP/DP/9B8LIQTnaq2+ + 3vx/jdcXEOfcuNv9vW9+c9xNLoTnV5fPnn11Pc8hxf/+f/grP/3TP/1X/sdfNbPofa61mVUz532r + tZk5s2m3a6XO6xKcr9Z0odOZNTNvzryrtY5j8t7P+0XPqP8WXtkHs+/+ru/6kR/5kT/xIz/6D3zb + 3++amWtWqtU2DcO6rmXLYxqMWGsA+Nx9ulhrO4ykhBByzjdSlvEAhmEYx/H58+fKGL491vrDZhgx + LwndPM9ff/11T7MehmHbtocZFR2GYVkWfv3wARh3BgAAAAAAAAAAAJ4EYq3xOPUhZrWnUMS1RqLV + ZLi1FmPMOQ/D0GsqHl4IYds251zOWcnWtVZVdp1ql4D3Qaw1PgUdCfUXgo7hajekJSmlbdvM7Kuv + vvrWt7516p29A+99CEEnIzskc9+OWGsAAAAAAAAAuH/EWgMPj1jrjljrp0ItI9d1VUeevnwYhlqr + 2vHfabD8BhWvHC+5uLi4vLxUCUtrTUUkzjmt6b2f53mapl5Z0lO3AeAzQKw1AAAAAAB4m1qrQqz7 + El2z1dQ751ytlWbHTxGx1jgJYq0/KY2v9TnSrbV1XVNKfZhYq2nWN29hAJ83Yq0BAB+mOdtK/d/+ + 1m/+sX/un11baYcMOvdqGJ1OJu8IqbsPeopnu/F/+rVf+47v+I7tet6NYwpxXdfgiD2+jf4/8t73 + S1jOuWytxvCj//Kf/O9+6ZfsEGd7Qt7Mm/3Ej//Yv/tv/zvPzi/yum7bNoZ0419gXl/gwOWcY0re + +8v99bOvv/q/vvn//sVf/MX/+Kd+8nd+9/dqqzHErZRmLYbBubbmzQUffSit1lxevOdv+dy1F12W + aq160704mFgL9uIayzDEH/2RP/4TP/6v/EP/4Hd8dfGs5s01S6pMrkdXUN3LswSx1gDwOfl0sda6 + wn88+ev1mS/4dHTS7zfmeR7HUf9cvO0hmljUmzb2aUdvXPl4BeYlQfTbEmNUg+b36Yz5kYZhcM4t + y6KjkI4w9FzGe+oj+PqScWcAAAAAAAAAAADg0SLWGo+WqnEUI6oOFb1op2ejyo0vH5L3/urqapom + O4yV9zBX4DEj1hqfyPHfBip1CyGmJPj8AAAgAElEQVSM47gsy8c0hz8h7733PuesL1XV+c7jPKcB + AAAAAAAAAAAA4AQ0kpdS6q0xNDq+bVspZRgG9XyJMX5YD5fjzjIaGb28vDSz/X6vTjEppd4PSP3p + drudc+7y8lIPpNYKAAAAAAAAwOdN/Yt1gVRLvPcppVJKb23snFNmKu3UAeCx2bZtHEdN59ZUOjv0 + Fp/nmaEuAAAA4A2aa835FJVpHUIIwTez4qw5qzc+Dgl1N5ff44dZNXu+X37qP/tPS61f/X1fuxCu + 9tdq3oRb6IpWa63HWnvvvQ/f+Bv/63/7S79UzIpZC655K2YW3Cd8EW99fduhMHK/zKWUGGOM8QFi + zICnqJQyjmOtdS15d372s3/hL/zgD/7gn/23/s3f/dt/J7dazbZWm/PN/FbymouZb7VueauluOB9 + CC545705M+e0xMfgvDfvzCymZM6Zc3FIerrWmgu+WSu1NGvBB/O+WMutztv653/2537wD/2Rf/3P + /hv/+//xm1stzdnzqyviAAEAH0nzaNTgT3/2q/3fiXfri6Gfv/7k8N6P49gXvo1enRDC5eVln3Z0 + y8rMS0JXay2l6J2ec1am9QP8Pbmuq55OfZ+99yEE6j1wQ3sLxp0BAAAAAAAAAAAAAB+pl82opbAm + Qaux8LZtulddLE6VaW1mtVZlWqvKgqx3AF8yFQhprpOK3Lz327bt93sza60Nw6B6yyc0n6LWmnMO + IVxcXJhZKUXno9sfRaw1AAAAAAAAAAAAcAJqxKPPqjgxsxCCbuScVd6Rc9627fY2MW+jZOsQgpqA + tNZyzjHGbdumaertLEspGmvUzlxcXDjnlmVJKakLAwAAAAAAAAB8ljRjpF8IDSHUWrdtizFq9p0u + n+qy7YddpwUA3KMQQmstxriuayllGAb1oA8hrOuqCd5mNs/zNE20pwcAAADeKA1DKU23S2m51GIv + 4qXb4aM2q82KWTbLhy8/xUc2C8Gct1/4hV/4/d///VLKfr9/29/zrplrD/vDesR60ygFWqvUcL/M + //l/8eeb2fnFRTPLpZVquvHpXsRbPopZM1NanhpvKdWMjlfAG8UY53k+O7v41V/9tX/ij/6Tf/rP + /JlvPb+qZmvJMaVmvlYz58w7M+9DMDPvonfRmm/Fam6tWCtmzVt1WqKFVp01n9dNb868bopq896X + Upz3024XYsy1lFqcOe98rRZSaGb/1V/8S3/4j/6Rf//f+w8uL69SSkft86pZ5cgMALgrnX10e13X + PtXlpDv1BdHI+LIsZlZr7U0Yb1k/pbQsi3NOnQ01L+mW9ZmXhE4lFvoFSCmpG/IDjN9pPDGlFGPU + 1Lx1XZ9QO1E8DPcWjDsDAAAAAAAAAAAAAD6GhphVsaAl19fXKqFZ19WOgkVPWzSlZ+8NijUlQXsI + AF+UUso0TXbUU0iTJtR3qFegqfDyCdURDcNgZqWUy8tLM+vfy+2Poq0SAAAAAAAAAAAAcAJqx6NB + SjNTh7hSSs55GIZaq/deWSn2QW16NBqq2JV1XdU7RqEsav2j1jOKZlGctnNOQ6ettWEYlApwj98y + AAAAAAAAADwqukza55boSqz3Xo2VtfxltgdxOwDwCGji3zAM6j7fB9o0tqWDtqYO0p4eAAAAeKPm + 3beePx/iWM2qNcWXOf9q3wln5qy5Fzfe6+PVx77/o9Zipdr/8/9d/uJ//Zf26xJSdCHcqJcjM/Vt + +v9BzdmyrZeXlz//8z+fxuHy6tKc+Rh8DHd+Be2OL+KtG3RmOed+tc0515xxnQ1fiKYD6WteP6Y1 + Z81ctrY2+7E/9RP/4o/+8b/5m3/LzOayNrMYoop7fQitVqvNeaulKCpe9cZKjn/nLumI8eJJW+tJ + lvv9Xi2KYohmVlt15ratVLMQ/Jzrf/STP/mP/+E/9N/85b9cvSvOFWfN+eZMbYsItwYAvD/NXmmt + 6W/+bdt0SR8PI4Rw/MeA/n645a8IBbtqCMbMtm1zzt0yz4h5SThWStH73Xuv34p5nh/mqWut67r2 + X1c16ATeB+POAAAAAAAAAAAAAICPEUJQyYQd0k/HcVyWReU3MUZlWmvlE9bV9/1Z11UNiksplFgA + +AL1FkPqCe+cSyn1JWrkbofyyBPv612oZk9H+HEcc87v8y0Qaw0AAAAAAADglDSC1VuP9ZYET93x + 9Ptt224fk9OF3T5HurWWUurji5+f/qLr0ny/AQCfgVLKcZc3O9SR9LaM0g/yMcY3NoVZ11Wr9bOJ + Dp7uQI/tGzneh95xQw+ptSrZut/Vn66PJh5voZ+V+hMBAAAAAAAAwOdK10u997o8e3x1t1+Y7VnX + J91TAMBLxzUY/UhuJ52/DQAAADwV1ZmPIY3DVl9UlEXnnRJJzVn/o/r4hm4750Ow16+QtBcfL7OM + 210+zJpZNgtD/A//3J+Laajm9vt9jNFc9Vb9oa7cNXtT/PLn7zgW11ntH961FH3O2Xu/5bLmcnbx + 7D/5qZ+qzbZl1Y+35lJzeedLYNZfQa8Pa96aNwtm3swrCNfs6BfjwIfgQzAzZ+54s85ccD46a4d/ + 1tSf60WBpXdv+O6IxcXnpTmr5uqLX+0Xv+gv3r9WW821VudcLtWHWJqVGH/9N37jn/oX/vmf/YX/ + sjg/t9piKmbVLJfszJzVWjazalZbzWa1tWKumqu15VK21rT6iw/32ocdRVm/eZ9b20qu1ppZtWbO + NbNcrZi5NPyf//fv/9i/+qd+/F/7039vv68xtBDWXGuzlMYUhrK9eN7m9GE3PgAAEOdcnz1aaw0h + KOZWM1nMbBxH3ehL3uZ4ANd7/3mPEfSfRv+u3/n9vvFHWkrRj73/SaDI4Vu2czy96J0vyvFTMy8J + PQm4v+sf5vehlHL8RJ/HjHU8GMadAQAAAAAAAAAAAAAfSUPPrbUQgloKaxhaPdhVvfOQ9Qx9Urbq + iHptz417e6UH8GjVWlNKPZT9TlVtwNv0ZJAQwo1W7b3YspSybZsaE/Uu7r3Q6HH2I9J+6jSkfG51 + p7/dY/xOAAAAAPz/7N17sKRpXSf43++5vG/myVPVBc1FQYlVhJl1HXRxd8NlQdxwwAu74Q3a+4Yz + G864o44xMYMzjDvrxhqjzIjCQqPSNhcvLc1doUEFWQUdRmjBcUYFQscBwe5WoKmuOnnyfd/ntn98 + Tz791qk6eS51qrLOqe8nKiry5Ml88803Tz5v5vP8LkREREQ3j5QSkuHx4+nIVMfkLNYzsNQxDMOK + 5Y0Qgqpaa2OMWMnLOd+YM9HHQlW7rsP8+3w+v2Gn3YmIDqvrOmttHdZUNcaI4d0555xDBAlCSbz3 + OOXhynFn672275wrpdQV9BgjxlI8CgJE8GPTNMMwlKV6qh231iYiIiIiIiIiIiIiIiIiIiIiIqKb + zcWLF5umyTl662MMpWQranKRUjQXo0aKSCnWOTVGSqmh3TklQXjbZXG/uMURo4FVmkk7DPGzD239 + 0l2/rKpt2y4Wi/p7w/5Te9ja2nLOWe+yimv833z6U3e99u6r2aAZvYgIetz5AY3uijhj1Rg0t24n + E2dd7ZytRYwofmdFTClSckG3bNUQQt/3pZTJZOKcQ1UUopsNGrebIiml6XTqnMtSvPddGNS423/m + 5d90223/6cN/KtZGKUkkpFhE3CgPRUf/rscOq1W1xtki0odBrO1jetOvvfVpz3zGvX/woUXf+7Zt + 2/ahhy70fb+xscESRkREdEA5Z6Tb5Jy7rtvc3JRl4bzJZNL3vYjgQ+OKfEzUCpxMJqimilSdU5yi + GEIwxhhjcs5t26Ky7Yrn670PIeAjfd/3k8lElgd5c3Oz67qcM5KSamIvERERERERERERERERERER + ERFdJcTkDMNQG+6iBDF+FWNs2xa165umuQ7NrbEzNY4InbZPcZwVnW6IoBORpmlQixvpKuveLzrN + jDEpJQzXXdfVIR2dRNBR5caMw6xRuKiNn3OuXbpXcKt/TURERERERERERHRN1XJXWGmrCwMnGhqa + YoGwXrNieQMtSOuiI5ZDTkF77xXqqzybzWT5unNFk4hOuslkklLCmJZSapoGIzz+R0dqEXHOGWNw + vTEGI39KCWt7K8JK0Awb4Smz2WxrawtrmbgjavdgaXMYhlpfxhiDMj0yOu0SERERERERERERERER + ERERERHRzcYUOTPbzCkYEUnBijhjVXVIsfW+D6Hk7FVyEQnRWi0qIiWLlFxcY2NIIiI5i6qMQt1w + 6Ygh4KMo69tvv/3bn/etiL6TIll29W5lg+tLNE2DWMRhGKx3b3zDmx986PzVbRKvoZFlLKJpfI7R + WpdiFJGSspSM12RYdCLirEoqRUSlyLLbrlm+VLilU2OtnU6n/Xx7sVg4Y5umOQUpA0SraVk2edai + ZaentYhkFd9OLzy05dvGOBtSco3/J//0n/3K6+6ep4iIX4QcI7tknzJbl46Le6WgmCMN0aWUUkrN + i3HODTmFkP7qvvu/5bbn/cSP/T/P+5bnuqltJxNjzHa3sNaKGOVQTUREK6EuHhJqRCTGeP78eRRv + Ra9rWeZaojHzXttJKZ07d+6+++5r21ZVkYlzipMTcXxwOYSAeogrPlSjHCE6W9fEVWzk/PnzpRR8 + 6sALwbxOIiIiIiIiIiIiIiIiIiIiIqJj4ZwLIbRtW0qZz+ebm5uqilgpY4wxBqWDJ5NJ13XXYX9U + FXWM0U67Viq+Dg9NdOzwbrLWojT3OOBw3btGp1CN26x13du2zTk750opwzDIqKPKdDpdLBZr3Nsr + CiHgdGOttdbO53M0BFlBV1TGJyIiIiIiIiIiIrqmkPFeSjHGtG2LRbXVFQdOBCT5e+9jjEjpL6Ws + WK4bN/Oul2s9hVMJbb/RihVlJta9R3QaYKUHiz0HeQfhNrjZuLrHKYDjgKe276E4xcfh+kNPa1xW + 1dqpWpbDu/f+8tPBrhPf6vNg0zTDMGDJXFVrJApeO7yOCBmR5XkWdxwX/WFzayK6OR3q/Cgi4xvw + FElERERERER06qFy964ZgzqHgIl0zg+cOEd7yTgvRFfpiuPJFe2asTzFEQJERHQt7PqggogZhAik + 0ecXLcaIZMmiWtDyrogT+fAHP/h5j/1cKaxAQUR00ykqfYii9o//+I+NMYuhn8/nfd+XUu574P4H + Hnjgvvvv//jHP/7Rj370sxceEpEsgnam02m7vehFRFSath36frnFhzeuu684EONsTqlxVlKWXO56 + 9au/7lnP9tbkmHb1Ri035Xd0PGscCh31pS2lWOe2u941jXFuu+ue9rSnf+K+v+rkkF8vRy8b6qxk + MQVBj6WIFCkimqeTaUk5DL1TTaU0zuWcH/vYxz7taU/720968uMf//jHP+5x1lrnnDE7TW2NMapF + cjp37twXfuEXxiE0zhlj4hDQy/DqDw7RDe/hN9jOgKZZRHwzmc/nzaSNOV/Y2vrBH/qhe37zN5OI + WhfSJRW1EId88Fmj1W2tDzs+O9fEGEVyLfVlrRpjckqSpVH5oR/8wRc8/4en7WSxNZ9Opyme7Dwg + IiIaqx/F8XE0qUSjT3jiF8wXA/IeSynLuag955dWn8L6vm/bVkSQeqOq1tqU0iF28tLllWEY0Oz5 + tMITRKKQcy6ldKhynDi8pRQccBm9BETX1GHzOI7rQZkvSURERERERERERERERER0utXl4PHC9Aqs + G0DXDeJ8UPu3lNJ13WQyQQV+/OHV+vPXrbl1fcRao/hQkVpENwg0s5A9GjpcEQs40NUIIXjvEXVZ + R3VVRZF5a20IAbXi172nV4AzUX13jMNHV2DNeiIiIiIiIiIiIlobtPz03qtq3/eYkw0h1PWtEyrn + PJvN5vO5jJboat/uK95elusf4xakp3WFuz7Nvu9RcmLde0REdDzQokBVseiIK+vytrXWe49QkpQS + BvkaRIJqMqtXImu/atSdcc4tFov60NhmzrmebvBAXdfNZjO0ss45s6c1ERERERERERERERERERER + ERHRzUmLTJs25PQ//Pdf3nVd27Y552EYjDHWuxgjKiXN5/NPfvKT73rXu976jrf/0Z/8aR9Cv+gt + uqIWGbreextCErmkKfLRar3klEQkJym5ONUX/fRPPeurv7qU4m7WPta7XNLbuxiRnba4RUzO4r0v + Iqr61re+9S/v++SelXiOphTjNKfkrOsWCxHxIqWUz731kd/xbd9+2223PeEJT0AigIhIzsaYnTD4 + vFPrTVXVat/3zlhxRUSGYcgxTafTg7ffIzrR9NKRsYgRkUXfhZI3JpPPfOpT3/5d3/37f3Cvinhr + QynGmKZpjDHb29si4px7OAnl8iHxsmG37HHDow0OMQ7OuRhzfcOmVFJKKuJUQpEXv/Rl999//0/9 + 5IuMkcXQe2uUVb+IiOgA0Fy5NlQe951F0cmahoMcmStupKZq4jaqipbPpzUfEzmYeII4St77EMJe + t99VvhNpvPVQ4zZt28YYmd1JRERERERERERERERERERERHRc0OJURFD7N8Y4nU5l2X99MpmgqrAx + xlp7HXpa14r3MiqPfFqDrOjUw/tILmvosO79otMJvatzztbavu8R9YqYVbSIRvglRnUUll/3Ll8C + O4ZYU7xZauX8FfdStoInIiIiIiIiIiKiNWqaBr0/68xmbQh9cqkqJmdrGYV9ywQ454ZhaNs2pYRy + AKd78haT7MYYTLWraoyRnVbpKtUSeLJ8G66+PW4zrn5yPfbyusBxwFPb91Cc4uNw/eEAYkAbhgHl + GlNK0+k0hDA+Ozjnxivfm5ub8/l837IyIoICNCkl9LFG2R28giEEvI4oVSMiGGbxWLVnNgJciIhu + Qoc6P8qoYpfwFElERERERER0EyilIAFjfGWdQ8A0LOcHTpyjvWScF6KrdMXx5Ip2zVie7iABIiI6 + drs+qCBixoiISBp9ftFijEiWLKpl2XHUiXz4gx/8vMd+rhRm7BMR3ZTUoIgGWGsRwVtKQeBZSklE + NjY2SimfuXD+Ax/6w9tf/vLffu97sohzto9Jxq1UR22tj7o/Yp1LIaqIFxGRX3396//nZz6zhMD2 + qLvsHBDNRUTEqDVZJJU8xPTVz/q7f/7nfzHkFA77aoxeweWHCLOzBVWRpKJGVEqetE3oh3/8fd/3 + T37oh86cOdNtLx79mMdsXbwYQtjc3ByGQVVN2ZlJK6UYVVEVqyEERDw69L3OBT8exyEhuqFpuWSI + xE9FZYjxzC1n73vgr7/ju77z/R/8kPe+D6GI5Evfvyhy9HBtowO0tb7k0UXKpf8fQS2uhG6XpaRS + xFmTc5Ys3pic83O+5tmvedWrrapJRa/qfEBERDeQ+lEc56+kEo0+4YlfMF8MKGtaSlnORe05v3TF + hY/xpBbySbGqgjQZZFki69Bauzq/RkRqbk4p5XTny4xLItaP06s/V3vvU0o5ZxzSnDMOMhKdkPGK + W3JJlK6pw+ZxHNeDMl+SiIiIiIiIiIiIiIiIiOh0q8vB44XpFVg3gK4bpGYgtgcdT40xTdPs+itF + uNS+IVJXqQYD4y2A8KEYI7Pa6SSqA75zDp2Gm6ZBdNxed+GfOh1ZzhntRYwxIjIMA4ZQ/Aiqum8x + +XVpmsYY03UdzkS1ccNqp7wzChEREREREREREd3I0PUZXTYxp4kFgJO+slv7khpjDlJ7uq6F1Io/ + KSXc97rs7/XmnKvz7Kg6Ics6R0RXg22tK7a1XhccwBCCc05VF4vFrbfe2nUdDq/3HqEbdcB3zqWU + 6guEE2L97V7GZ0yoZ8/aNhshLOhpjSG3lptBXMu1OQBERDc0trUmIiIiIiIiohXY1vpUYltrWgu2 + tSYiouuDba2JiOjIYoxt2/Z9v7GxgRBuEen7Hg3h0OU6pYRgtmbSZmO6GN785jf/8L/45w9dnGcR + a82Q8rLz8WjTZZ+2dlemYqzNMVk1WrIX/fqvefYrfvZnJ01ryk4/Pfa3BhwHdBZU1ZhTSLmdTn77 + d3/vuc97nogJktJxtbXWLKpGVERKzlbkzGT6mle/8que/oyNyWSxWKBHdc65aZr5fI4YRTP6g9Ai + RSWVjJaEaDdojMkxycnPFyA6CC07XeLzsqF1Ec0qrvEPnj//vd/3f7zz/3t3Xg6azpkiBrkVeNcM + w7Dc0B4PUMwev9jLVXz+rwOEtTkmFXHO5Jg3ppPFovuGr3/Oq1758zYVUy7ZWb1svqvwrU9EdEJc + u7bWMprXqjkv9ctICGFjYwM5MisKTVY1AWc6nW5vb+ecT+vnTKQRIVkJHakPUgYRxRONMdvb2+hy + bYyJMSK/yTknXA+la49trYmIiIiIiIiIiIiIiIiI6FpgW2u6keHPbFfrU9Scn81m8/lcRK5bJ1Q8 + dIwRRY9x+To8LtGxQ2YK3kqyDCBcfQpgAQe6GvP5fDabyTLetV6fc04pIZFKVc+cObO9vY0/yxtN + DaVDhO2uc9MVbs/3DBEREREREREREa0L1rREJKWE1dxxs8+Ta9dKBtYIVy9vYFVPRFAn7rotK66L + tVZV0cwVna3ZY5WuHttaV2xrvS4ouYjLqjoe/+tpDmUcVdUYs2sVHHdc8XqNG1cbY1BNBrevD10v + YGjt+75tW1kuf+5aBCUiuqmwrTURERERERERrcC21qcS21rTWrCtNRERXR9sa01EREeGM0htFIe+ + aCJSUq7fUxDnlnM2zvYpibOq+rG//PjznvetH//EXyYR9GEtcjxtrUVVclERbzTn0oi++13v/JIv + /mL00jNS2NYaxm2txWjM2TVNSOmbnvu897zv34mI900Xhqtsa13wmUIzfsDrYkR/8+33fNlTvtSJ + hL4/e/bsfD4vKU+n0/l8vrGxMS6/YmRZ9ESKMSaVLCLGGIQ+lpRx+aqOBdENr/a0FpGsUlSKShYt + KjHnH/jHP/i6t/xqEXGN64eoKvU9gRFYlgFvOed1tbWuMc8iUqQ471NKJWdnTYxZRby3ISQjoiK3 + fdM3v+L2l9ksMjozsK01EdHJde3aWmNSC58ekU5Ys2DKEtJenHPIPbzixmsmzs7pcu9HPB1yzk3T + 4LjVTwsr1Fq0IlIPVP0yWNOORASrWlwSpWuHba2JiIiIiIiIiIiIiIiIiOhaYFtrujHVosGIaxqn + bMiyTH0tv1+78147tTByjSby3nddt7qtKdGNqdb6RhMHGbV12Mspjiqk6wPjeQjBe7+rj0Yt845u + 6+vbxyursabe+wsXLkwmE7m0eP6V73Wd9o6IiIiIiIiIiIjoMrWxsSwrO5+CntZy2VoFGlSvXsCo + ix9YDjndPa1FpJSCVqzj0nhERDemUgqGKZyhUkr4se/72lK6FtfAXdC1ejz+11oYuG8ppcaOYLO1 + /k7dAi6gKbUsK8XU/1V1Pp/X29cVwXoBy5n17rWsz/EfICIiIiIiIiIiIqITa1zFeJy8itlU/NY5 + V1t3EBHtpa56931fV2T2yela/raU4r0frx/RCsjiFpEQAgZqrMp1XVdvICIrel0QEREREd3kspQs + BdW7EJCGj9lFJUvZabyaH+5DbEUbY00uT3jc49/8xjd8/uMft+emjzR9YqyVZeM6VSsiovqSl74s + FXWNzzkb57HPjDfGqwMohhJS+tOPfPg97/t3qqaIhJQO/UWo7PS0HskiGXGQIuK9zbn89Iv+7VO+ + 5O84Y0opTdN0XWet9d7HGNu23fV9Fn9IYhTffK0aq0aL1Cm4K35f0yLsX06njPMmxN4Y0/e9McY5 + l0ouqne+6tVveMuvFhHjzDBEVTHG1iF03Jhz53IRFTVqtN5IVVRF857/TBErl/yoWS4NS15uac+x + u8Y84z0bQygIqE5ZVIrIEFIRySJJ5PVvefO/+tEfHVIsRlPO1jlj7RBj4dQ6ERFdCqcea209GdWe + 1sjEkeUqLXIP5dJ8GdxLVWsmzvhrwvij5njZ5QStF9RdHS9zII2oPp1d34y897hQT/RYdYoxWmtR + LXF8xh+nHdXjudf+HKFleP3wkFLCy8SvckR0gtTvQeveESIiIiIiIiIiIiIiIiIiOpHQzXpctqKG + RckyIbqW31+RVz5OUUeQz64ooAOqVe5jjAg0CiGwpzWdXPiTRhMHGbV1ILpGMPBi/NzVu3pcVKTG + u+JKYwzuuPbxtmmaEAJ6WscY990fnh6IiIiIiIiIiIhobVBKACttmHiNMbLj5s0g54yJeMy2o1bC + uneKiGg3hHrUJTdjDOq5oGV127aIFxERVQ0h6FKN9jjaSa1pGlxwzmGl3Hufc97Y2EDQSQhhPp83 + TZNz5go6ERERERERERER0ZGpakqp5u+hIDhWLSeTCSaEY4xIbWK7WSJaDb0TJpMJlo1kZZn+cbcG + ay3usiuTjS5XI0xCCGifhqbgGLexcFbbpB0hPZuIiIiIiC5njUlDkJSn7eTzHve4H/3RH22tUxGD + NtbHFP+bUkJXZlUzlPzOd73rY3/58a3tTozGGHPOxpimaRhvDEUli6g1KaWf+umXNK4pqmpszFc7 + f1WPr6qWnCeTJoT0xf/1k7/pG77RqpaUWaCE6OC2trY2ZrOssrE5M872IVjv3/f7//7Hf+Inskgz + aWLKRaQUSSXLynkMzDuVUlRUjZFcpBRRFWPUGDFm2ehaBWWGSpGcUQxPncMMlCwLeGHqW0blk65G + Wf7/s3feefcb3zDZmBajF+ZbpZTNM2c4bhMR0aHU/s21cF5dPXHO5Zyxtrvi/NI0TW2ljDvGGE/Q + ekHt2I2sWxGJMdYko8t570MIyF3q+x6VB7G6vbm52XVdzhlH4Gi9pY0xWCVX1a2tLWxk9bo5XiO8 + iM65g5RBJCK6cRhjhmHAuSbn3HWdMF6IiIiIiIiIiIiIiIiIiIgOLIRQV5mR6by5uXmE0J2cs3PO + Oee9xwaPFveL0KlSyrizKdfBiYiOHcKNaqV6jNhHC908Fqh8MgxDLY+Pqiar78VwTyIiIiIiIiIi + IlqblBImVdEoNKVkrUXiPVu83+sAACAASURBVJ1imMWuFRMOUs6AiGgtnHNd13nvSymoqIIwDpTI + FJFaLlNE2rY1xiBiI6WEYe1oJ7VhGBDzgeozCP4opWxvb5dSUIW/bVvceEV5GiIiIiIiIiIiIiI6 + iJq/VwuaY364rma2bRtCYLtZIloB60ToCmCtLaVMJpMV6ziIkcDllJJzbt8cMBIRLNghi1tVNzY2 + nHOq6r1XVRxwvBBYVlv3/hIRERER3biKHuifiKiKNapGSsne+2/6hm/88qd+2aXbuuzCYeSURMRa + q6pFivfeqp13izvuuOPMmTMomeG9N8acrHZ0x0uL6PLw4nWx1nVD+OR997/jN3+jj0PKKeZirb+a + R3n4BVQpyxZ6RuR//frnfM5jHmtEtFxSTuUgfz/Y+fGzGP/q8mdHdGoUldnZM30YEBV8cb6dSvn0 + g5/9h9/3jy52C++brhtKEWOMmH1GNiPqrWucd8ZKKSVlFTFqJBfJuaQsOe80us5FcpYiikbXpZSU + SoySUk4Jte3QnBIzUd4feNAoqwb5IpJFisiP/Kv/63fe+3tJzS2POHdxe761teWcE1GRnefI9zsR + Ee2llk8NIajqMAzGGKTzYKG2fhdATs1e24kx4gSHtV1Udz1BSzDIuMQiNZ6C935FahK+LsUYkadZ + vzSp6vnz57ER9JaufbIPpR7tlNLm5iaygFesm6MAYtd1eMmwY2ssy0hEtJeyh5QSkjox+k0mE4xp + 695fIiIiIiIiIiIiIiIiIiI6Gbz3NUB3e3tbRLa2tmoB4YPD4nWMEfFUqEt8iNDfpVIKIoiGYRAR + RFJxHZyI6FqoJURSSqo6mUzWuDMI5mzbtsag5pz3PY+wrTURERERERERERGtE1qBjos1sz3nqRdj + nEwmtYU5ljNROoGI6IaSUsL6n6paaxGEgZIEqOeC+m6ojI8LtTh+jdI4wvhmrZ1MJsMweO9DCHhc + VOfEXmH87LoOlTqP59kSERERERERERER3XyQhjf+EQuXMUZ0Tq3Xr2f/iOjkwEBRSvHep5S8913X + YZVnr9ujHwNWmnCX67i/J1WNKsGxjTHiyGMwR0+mUgraM6x3V4mIiIiITo9cnLFGNIUwdH0I4Xu+ + 53uMiIqY2qf0auZOVEUk54zYvMXQi9Ei+st33XX/Xz/QDbGZtGIsPv/ftG2td8kiYtQ5d+edd/Yh + ZNk5jDUm/6rUdtSiKWZr9Gue9ezPfOpTRsSIHrYfLfvX0s0shGCsjTmFFKezjXY6/Uff//33/c1f + q5qYcxFxzuWSBfPPK2ehY4ohhpSTijpjrWjJWUWsGG+dU4u3p6JvtIgTI7lIEcnFGmvUqChq242n + u4+3x6dac3Gx/T3/+99/6OKFC1tbZ8/dklWK7O5kT0REdEWY2zfGWGvxP+b8RcQ5N75srV2xeovV + FnRfluXyzQlagsGuYrdzzliwXr3/ODLILcIxxH1lmdDU9z0u1OXvg1NVYwz2J4TQ9z2u0T20beu9 + r6UYcccjPC4R0bW21ziGQa8OmznnyWTCqCEiIiIiIiIiIiIiIiIiIjq4ml0+m83atnXO9X1/2I0g + HAjViUspiBE6WugvihhPp1OkwB9hC0REdBCqur29jZIjItJ13Rp3BrsxPgHV4vYrMNyTiIiIiIiI + iIiI1qnWF0O2p/d+RVlnOh1Uteu6lBJKGLRte7zlkIiIjguK3aPwiog0TYOTFCqqoB6Bqk6nUxFJ + KeWcrbWz2UyW1SGP1nY6pdR1HXpao6VBKQV1ZHDexAXURzhC22wiIiIiIiIiIiIiApTzrhO5yAyp + nVDxWzSdPcJkLxHdVNCaurZJCCEYY9CA+YqMMcaYEEJKqTYnuH67e2Kpam1cgWuMMWhosbGxYa3F + oO2cm8/nbHdHRERERHRFWq78b6zozj8RKZJS3olk897lGL7+a79u2u5qq2akHLVyBb4TlZxLRm/m + IcUspQvDK17xClVtmiaEEIbYtu1N2Ebo8lcHhmF48Pxnf/muu4qIsbaI0WPtFWeWzQK9dU964hdN + 21ZywZ7s9Se04o9qr78xotMtppRzPnvujFo7Xyzees/b3vN7v5tFYskhLSeci1hjjagUEX24rzzo + zr9iRKyoEVEpJSeR4kStiJZcUpScjBQn0qh6ESNSUnQiVsSKSEqas9HijFXVcXfP2izzyi7bHymj + f5f9Zkg5iTzw6U/9s+c/fzrbfOjiHAO4XNbZWiWrcCqMiIgugbl9EUENVqye4LRVvwW0bRtjXF1Z + FacebC2lZK3NOZ+g1MUQAlKTUkrOOax0rNj/2mHaWov83LrwdKjjtkKMEdv33mOXVnwvU9W64JVz + 9t5z/YuIThaMYzlnjHXjNFIiIiIiIiIiIiIiIiIiIqJ9lVKapkkpIeSm73tcPux2cs4bGxuoToyi + xIj+PSyUzmiaZrFYhBCwkSO02SYiotVQmn5jY6Pv+2EYcDpYY934lBKiT3FWgn1PJSxzT0RERERE + RERERGtTe1qLCHLmkTzPZPXTDa87SkvknFEhYvzHQER0gyilDMPQti1+TCmh9wCGL+89ShIsFova + 8VpE5vM5qucPw4D/j/DQzrkQAuogoFcKeiHYZaXI2tZ6GIYVHRGIiIiIiIiIiIiIaF/e+xACKqRb + a1GUFu1psaqVc55Op+veTSK60XVdN5vN0GlgMpl0XbdinQi1sK210+l0a2sL8RL7dBKiZXsGay1W + 0Nq2Re60MWZ7e1tEkFOdc57NZlhcW/MeExERERGdfKoqKVvrVU0Mw+bm5nbXP+UpT3n/vR9MIkYk + X6G96eEYa3NKIrLTxy5lLaXxzatf84vf//3fb0WNivc+xsh442o2m730Z15+cXsuIjmjw1Lx00no + tq/29RARkZyzqqjIk578xNnmhlWbQ3LWxpLZjprogDY2Nra7xcXznz17yy1pkf75C/5FH0IWcd6L + SAgB8xve+67rVLWsfPdilqOknCWLiBF50hc+8ZGPfOTnfM7nPOpRj5rNZsaYvu8Xi0WI8aMf/ehf + /MVfYNKplJKlpCxJkhqDeRVEKZdSjjN/R1WNOGPfcs/bnnvPPV/7rGdnKVmFszNERHRA6Ltsra1z + +6jxh27KMca+73FhRWdl3EBESilt287n87ZtT9DiS60bO5vN6tef+qQuh+ODaoO4GbKQrLVo7F0P + oFyazHtAOWfnXN/3SK2qKy97bQc5wiISY0RiFL7KrbEyIxHRFe01jiFLFPnvGPS46ExERERERERE + RERERERERAeH0CZrLWoIyyii5rDm87ksF7hVFd1JDwsPPQwDVsBDCE3T1DLLRER0XKy1qBKPMbaU + slgsNjY21r1fgphSXN43jpSxnkRERERERERERLROqto0TYwxpYRywyeoTAAdDUokoP4R1lllp/YZ + i5wR0Q2nbVv0D0DbEsSFNE3jnCuloNMJelcbY4wxMUYUkUGXghq6cagHVVWcGXFOxDhZ26jURUrc + mD2tiYiIiIiIiIiIiI7MWovOst77OvUaQjDGWGtRnhsTv5j15ZQsEe2l9lHGak7XdVhI2uv2KIed + Utra2pLl8hDLYe8LzSowMqODRS0sXls7oCHTeEGNiIiIiIjG9LLub+hSPL5+V99ia20WyTmjKVrf + 909/+tPff+8Hj2+nsnUuxRhikCIqxoh0QzcM3Vve8pZv/ebnzjYm3thusY3wPBKRBx988Od//ueN + MSFnEWnadhhC6Lpje4BSnLMxpKc85SnGmMXWfMO5IklEL/8Tksv+ZsZMkcwgcbopXdi62DTuzJkz + 2333op/+qfv++m+KiPd22JkyMsZaldx1nYpYa2O6crvKSTvp+i6luLmx+WV/5ylf9VVf9YxnPOPJ + X/Sk6aRpvUWQs4gYY7II+liXUlzjP/3pT7/vfe9773vfe++99/7JRz5qnB9iwCxKKcU5h7aX+zwN + vH/37Lhd039yKSUmKSWKyAte8IJnfuVXOim3nDkbu/5wB46IiG5K29vbKN63WCwmkwmSd4wxqnr+ + /PlHPOIRqPSKxZQVSTrjG6SUptMpLp+UvEWc1qfTKS7knK21q8/XWN1GztEwDKqKJ4uW0rX/9xF6 + Wgs+YOSM1CqkU43383LIEcYnDRHx3i8Wi+l0etjHJSJal2EYkCvaNM2uLE4iIiIiIiIiIiIiIiIi + IqIDstYiwMZ7n3M+whZqDeQa9uO9R0LHYTeFhPeUEraGuhlERHS8YoxN0yBHQ1W99494xCMw8K5x + r6y129vbuFxr3a/AttZERERERERERES0TrUuM+rCo9zwuneKri3MqqNYUu1mzXbmRHQDQskbDFBo + Yo36+LheREII47I4qNXS972IOOdijPj/CI/b970xBkVkUI4HvVVw0sTImXNGUf6TUl6HiIiIiIiI + iIiI6EaTc0aN75wzCtGmlGpyYNu2tdg3ZmvXvb9EdOMyxiCPqy4PYQDZq7M1rscN2rbFutJ13eOT + yTmXUnLOYZ0O7atrVjaOOW7ZNM3ROjQQEREREdHlitHQB9f42Ww2316cOXPmyV/0JPzqKAWWLmWs + zSmJRGNtjqiUlLOIETHGvPglL/nu7/yuVHJJxXuPaZyrfsyTSstO9+ii+tZ77vmbBz+bRZz3IYSh + 792kjX2/d+vZQyoSQmqMPvKWcyXmtm1VTIqDmEN/e2VPa7ppbWxsbPed1fypT33m9pf/jKqKlCEk + 9JPOWXJKGEe98yE+PImEN41ZXg5993e/8pnf/m3f9sxnPvPRj350STnGaEWNFmusKTKkpLlkW1RV + U/bODcOgKT/6EY/8X77262775m956KGH/uMf//FrX/+GN7/t184/dME728cUQzjW8khGTJFcUhZr + 5K8euP9f/siP3P7/vmQ+nzfWXT4MrLMyExER3ZDQ07rrOrRARhtR1PLb3Nzsug6Ltqq6+hsBEnBE + xBiD7EUk41yXJ3EMsPaBZ4onW+vJ7nX72ve6rpjUdF1ZLqzIslTukddNsKredR2ajq/Yn77vsef4 + Hy26uV5DRCcIIoiQztk0zXgNmoiIiIiIiIiIiIiIiIiIaF8I1EHuA+J/VuSbr4AtjHOojxaEg4eu + kUVH2BMiItoXqoWg3kgtF7/24EmUS8JlhJWuxjYhREREREREREREtE4pJSyMpZTGPa0xv4npzn2n + X+uv0Hm0NkjG9TUDf/VG6HIoNyBX0XMac+i4XC9gFRMLqzd5dTkiunGUUq7YfxoVYXC2apoGtxmX + X8FlFHkREfS0FhHcsm5z17rduDt1hUXHGnQiy/NgvX0djXG9McZay1MbERERERERERER0ZHVedd6 + 4YrzsSgavo4dJKKTBNllWB7CoLEisxdrTLhx3/fOub0aANDlsDaHwANZHsxxTh1+5NBNRERERHRF + RXf/u5yWnX8iUkRCCJPJxIgu5tuz6bTb3v5bT3qyiBgV3blVxmzKET6H55ikiBTJMWn9hC+SRYaS + P/HAfXe97i7ftvj8r/t9c6p7Pn4KJ5px2rRuCJ0V1VyccVK0ZH3Ri1/ipxtRJMRgnBXNsVvo4RvF + 6rKB7sM/FJEiKmJFJJfPf/znIfbbOJuKXv73s9dfEVx+m8tfnYNsh+hGcJBRZfznHWNum6mIvvgl + LysisRTrvIiJMftmIiJqjIgRMehpvZM4I+KNWpFGdGbcP/zu7/7wB//DXa961W3f+I2PvuWWtFho + iq1VlWSklBRzilbFGjVStGRnVHJqnDU5m5y9algsNprmK5761Be/8IX3vue9z/+BH/ClzKwzy7e/ + c844K2JELfanaSYiBqPBzr895Yf/5YKckZwlW/2V193953/xn42zsSTnrKqmIThv1JSY0pFTVIiI + 6HSbTCa40DSNLJMQY4xoTY2FmLqeMl7qrUk69bc557p8ML6+lFIzgNa4NFMfGvlK9cq6q3URREZL + HtbaXQvcNRkKlXBxiGpjb9zSWnvFJKYDGp+18QKtOG7jVwctt7leQ0QnDobfmg1aU+OJiIiIiIiI + iIiIiIiIiIjGLg9DGsf/YPUZt1mRb47M6HGNC2wBG0HgTc45hFCvxPWIsMJdVnQqxQ1qEeOUEoN5 + iIiuhXFoZc4ZQUcH6SR97aDQfR32a9n8VXe5xrtEREREREREREREtIoxpu97zGwOw4DuoTJalhOR + UspsNluR7l7ryOecm6bB1uqV+L8WNW7b9lo/qZMOJQNwJHPOGxsbqPtwhE3lnFE5wnsfY9zc3BSR + UkrXdbIsA8GCRES0djgBYbVvGIYaArK9vY3FP+/9bDYLIRhjxtXwDy7njE1Np1PUiDHGlFJqTZnJ + ZDKfz6/YWpuIiIiIiIiIiIiIiIiIiIiIiIhoLYwxZdlrTVVzzo3zj3nMY0QkFynycLEkOWJbOCNi + anPlcYvlXCQXedUvvKbrOudcCKH2dTvQdk9+T2sRiTHOF1uz2Uw0i2iM0Vp/z6+/4xP33T9fbON4 + 5ZREREWsHltUdu13++hbHyMiRU2M0bh1llMhOnmKbm3N7//rT73pTW/C4BViQjhxj3yKnL33uMZZ + Z0RVZKNtci5e5H/7zu/6ow/+wU/++Asfde6WzcnUq9pSnIgtRUsykmv/7Pr/6n8m589/7Of8y3/6 + /A994N5nP+ur0cLaOxNjzClZ53YyO1SHIVprj1CSCKO0GhNjDjm/9PaXuaZBu03kCqHDqKrmlb2y + iYiIKiT7IMmx73ucN621yH8UEfwW5VZXfB9pmgYJOzW7B6en6/U8dlNV7A86dmN/UGr2inACTSmN + ax2Ov4jV7tHDMKy3DCIRERERERERERERERERERER0c3AWltL6McYx61DDy6EUOsSowI8tlk3hSsR + MlR7XZdShmGQZefUcSX/y3fSGIOu2LLscn2kvA8iIlqlFrTPOU+n0xgjunKscZfGDV+6rjtIcxY2 + CyEiIiIiIiIiIqK1iTEaYzCVifT7UgqWytBWOYQgItba+Xy+ovkxFu2cc9PpdBgG5OcvFosYY4xx + e3sbm0U9NfxIK6SUtra2uq7Dj5/5zGdKKXgtDgvFFFJKIYS2bbe2tvB64UW31tYqCYXLmUS0PnWw + Sik1TVMLX25sbKgqIjb6vseVRxgPvfelFFX13i8Wi7JcZWzbFuesUsrW1lbTNHi443tmRERERERE + RERERERERERERERERFcF3axFBDWSjDGPetSjps0lxSyuRSQwgsf/6D/+ybve/Vti1PlG9o4n39kN + lbIMwcunIhbPWluy5pxjyeqscXbeLV7zmteEFERERwdERVPesxzVYemyw/hjH/tYEVHVEALjG+km + Nx5hDiKrnD13y4tf/OLzWxezyHQyFcki2VgrIpPp1DdNCH1OYeKbnKKUbET6fviKL//v3v1b737R + i1507ty5UgqSL2q1O7wTj/Z+TClNpu1jHvWoX/7FX/qVX/yFR95yS4659Y1Rk2LMOfumkVJwyyNs + H9lA9Yxw9913f+QjH3HOoW+oOltK2Wkmmpk/QkREB4UsHuSWDsNQ03xQ0XXc2nnF+RH1AUUEyYwo + 53q0fMljEUJAQ+66S6vLF46bdqOldy01K6OvYznnFb2xiYiIiIiIiIiIiIiIiIiIiIjouCBgqes6 + RDQZY44cb49AJlUdR/CWZaF+XDkMQ4wR5eJFxDnnnKu/XbFlVUVMkbW21lsmIqLjZYwJIRhjJpPJ + MAyyHIHXu1cY9lV1MpmIyHw+X317trUmIiIiIiIiIiKitXHOYbUMi2R1SQwtqIdhQP2dfcucGWNy + zjHGxWLhnEMmf9u2SNH33nddV7fD9sn7whRzXcjEdHPtP30omD13zolI3/fjAgqos4CHuBGm14no + ZlZjNcZjnXMONWuMMRjHJpMJKtccdvuolYOiObgGW0arbAyMCO+A43hORERERERERERERERERERE + RERERFcLfawR6ItAuJSSc+7WW281utP8uJZGMvu1nT64UsQYI0ZU5Od+7ucQW360eOYTrZQynU67 + rpNiSinWuQ984AP//v2/L6Lj3rHmmh2Zs2fP4lEY7E10WEXlvgceeO3r7nZqVM28W6gxGFRFtVss + wjA4Yw26QYuqyGwy+b9/5P+8521v+5Iv/m9iP2xON1QVyTIpJRS8k6MmxXjvSyld11lrS0rPfMZX + /va7fuupX/qlKQyac+O8lIfbdqsxIkcMad4JjTY6xPzKV77SOW+9S+XhrY3zSoiIiFZDFg/yHGve + DdISnXMpJeTpIKV0xfml5gchZwe3xJVrgYfGbiChafX+lFKstUhoCiHgS5mItG2L72iq2vf9MX4j + IyIiIiIiIiIiIiIiIiIiIiKivdTIXtTPt9bWQN9DQaiwjJI1EGeLau1IoLDWhhCapnHO9X2Pm8UY + Y4wo6b8iz2JjYwO5GOi36pzb3t5magAR0bFLKdXQUAzL682b8N4j8hY5LDHGUspsNlt9LwahEhER + ERERERER0ZphWhOrWSgrUPPw+75HuYGmaVa0+cSv0H1ZRFR1PF2bc55MJpjJxSxqoZVw3PCiYG2y + rmJe5euLJU9cxjooXl/vPdu4EtEaqeowDBidMDThPFKHLJS8QbEbXD4UjHV4IJzjQgje+xACHkVE + EOQBx/rkiIiIiIiIiIiIiIiIiIiIiIiIiI6olIK21jUKDmFvt9xyi1zW6rgcpdxGFslFZPc9VWLM + OUsW+b3ff/8H//APs0o+2PaLPvzvpEPgorHeOBtSXAz9L991V5/iziuyPCBHOvL7UxHEkJdSnHOM + 9yba1yXjjzF3v/H1i6EfSs5l2UHTaAxBVb23xkjKyahJKaqUL/z8J/zqG9/0D773e7WI5Ois9n1v + VO0IBuFS9Aj1gi5evGiMcc55a3NME+f/q8d//j2/+mvf+bzbVCTFvvVuGAYRsc6Uw7/fEQKdSxaV + IhJycc69/o1v+NRnPu1dk1Iq13jIIiKiUwlfRpDbiJScek6pJ5S2bWOMKaUV28HnalVFM2yk+eDK + tQgh5JyRd4m+3XUn94LETJTBleXTf+ihh/BZXZbHQY6U90RERERERERERERERERERERERAdnjEEA + T84553zkRtGlFGtt27YIi7LWojyy9x5hvYgFcs4tFotSCsKHao9qEVHVFaFTtYk19jbGuLGxwbwA + IqJrBNleGGbLsr/1WoQQptNpSgnnCPQZ2TdulmXxiYiIiIiIiIiIaJ2wPIbc+77va8kzWebhoyMy + mn2u2I6qdl0nIjFGLJIZY7DqhpUzbBmVC5RWwjG01o4brK6u7HBFeFmxJgqqiopmKaVhGGoZBSKi + tZtMJiGEEMJsNlNVEfHeW2trmRucQUSkaZrDbjylVEvnYDUx59x1HUJAMMw2TYOx8RifFBERERER + EREREREREREREREREdHVQHSxLMst1dDitm3zZW1Jj7NTqQoao6K800tf+tJHPPKRN2GInfd+J9rQ + qHXuz/7zn7/9nb8haBwrIkXUGFEtOWcpRo+/fkhta706mJ+IdskiQwxvePObikgRySK+aYoUa207 + mZScQwhaxIpqyUbkmf/T03/9He/48v/2qWcmG9OmlVzSEKaTCbaGobiUknNGXsYR3pJnz559uHxe + iJsbsxRja9xP/vgL/8H3/D0rkkLAkHu0wbzeyzqHCzHGC/Pt9773vUnKOCSbba2JiOhQxuVZY4w4 + CSIFFVk5fd/XCq17bcQtT08o7dr3vTHGe3/td//KvPfGmL7v27atZ8a6k5fDU3PO9X1fb5lzxt1r + nUFcv2I7RERERERERERERERERERERER0LBDSUwsXo+j6YTfStm2Mse/7+XyOa2rR47r9ra0tVZ1O + pzWzA/FCIQSED02n0722j91DgWVZluhfEWdFRERHY61VVQy2bdsaY9CeY427tFgs0BNERLz3Oed9 + 42aZOUZERERERERERETrhHWylBKWwS5evIiZVjQ8ttbWlbnVy3KlFDRRFhFjDC63bRtCQPkeXI9Z + 3UIrOedKKaj4gEIPdTb8UFAnAi8lXr5SCurZWWubpkE5CWPMfD5npTMiWq+cc9M0Z8+exWqf9z6E + kFKy1uJX9TR0hLKY3vuUEk5S29vbZVlODs2ta0kdjI2FldqIiIiIiIiIiIiIiIiIiIiIiIjoxoBQ + N4S9iQguqOpkMpFlE1P86oi1jXTZuXoPtnGq+vZ3vvNDH/oPWaVcdmMtopeG3RV9+N9J531biqaS + c859jr/wS3fN+65G1SM8vt74GJ9vkaKiRWQymSDKMaXEeG+iXVaPP++/9wP/6cMfCSJJZDKdDmEQ + kRRj322rFhWRkq2KiHzds579S7/wms999KNKCikOW+c/uznd2JzNzp8/LyI5xpJSzjmlVEoxxhyt + M3TMaWt7LrkYUSnloc9+9sx0MvXu7MbshT/2r7/37/19kdJYg2J3zeTQbT7rLtVxKYk4Y+967Wu3 + t7ettWI0J1GxcuRTBhER3XxCCE3ThBBQVg+5oiKiqjhRWmudczHGmoV6RbgBMoNSStPpFHmU1+t5 + 7IZHn06nSGJCShFyLfe6PW7gnEOa5/nz51UV/blRbTbGiO9u6y2DSERERERERERERERERERERER0 + 6qkqeksPw4CQe1RiP+x2+r7f3NwUkY2NDVwTQgghxBi9933fqypuUEqJMSKW2HuPeKoHH3ywlLJY + LPbaPkKJcF/0wK7lkYmI6Bih2jxy7rquq41R1gWnJJw1+r7HlfvGlzJtjIiIiIiIiIiIiNYJCfPW + WjQQPXPmjIiUUrquwwW0FF29EUzXYl3NOYdtooMy2mbXigMyKqZGexGRGGPTNKpaSvHeH7mcASas + c86qOgyDqta6ZrKsW1RKmc1mR9g4EdFxUVXnnKri7KOqIQQUfRuPV8aYfU9JV4RYExSdcc7hUfAQ + k8kEG0f1mVr6k4iIiIiIiIiIiIiIiIiIiIiIiGjtahQxouxSSuiUNp1OZdmXtIbYHWf8WxERaRo3 + DDGVYtW89OW3+7Y5+XhuJwAAIABJREFUDa2qD6PrumbSllJSkfMXtu581Su9b4sIOlvnnHNKUoqo + qipi5o+LUSPLttaqipj/Y9w+0an3hje9JcRcRFRl0S1E1TcNBjcj2linIqWU537jN9x5xyvObm7G + IUyst6IbGxtbFy8uFotz586hchyimnVZ5yjnjODkQxmG4dZbb00ppZTObp7x1nXbixJTCtEa88If + +9fPefbXLJtey9D1R3jKyBaJCJwWKSp9Tu9+z3u2u4Vxtg5TjJcmIqKDQ86p915E+r7H15BhGERk + c3Oz6zpkku77YRjfZWT5tQVdotd4PlJV9OEep1uu3h9kaKJ3ddd1KFbbti16XSMxChvk53YiIiIi + IiIiIiIiIiIiIiIiomsKbadjjNPpNOdcQ2QPux1jzNbWFuoV4xoU6kcsUNM0uD6EUCsno3yxiAzD + sLGxMb7vFbePvbXW9n1fS80f4SkTEdFqiGjF5YO0VrnWO2OMKaWEENq2TSkdpLQ+21oTERERERER + ERHROhljnHO4jPoCsuwnWpfExhOduIxbIlEfdQRq3YE6aVu3uauVMu6Fy7XP6LV8ije08TR3LWyE + Nct63A5S4Gz8OtYLu17Her1c6XUkIjpeKFIjy0Fs11CGFTVcrlU16+kAF2pciCxHyJwzTh8igvo1 + GCfxP0Y2a+14uKtDHB4RG2zbVi4dLUWkaRrhkEhERERERERERER03Y0nkOv8sIzmjeta5M28qkhE + dOPQJVzGUp0s1+zqct6u6BEiIiIiIroa44hiNIFTVcS85ZJlFJ5dStkVUCeXhoIfjuowROdMEYkl + 3/OOt3/8E58oRtWaVHLMCT3b8KBaRC+dvDnODs/rY70bhsH6Rq35uTteEUvuQi86qhNSRIpILkWK + qMiyQ97VhyOmklQEtUtQvopftegmV1TK8o01HnO0iNWdcVJVs0gW6WN46z1vM05FpSzfjzkmEVER + KbmkaESe/hX/48tfdrtVTSE4NSVnTEmjGl3oezuKcx7nzozDlQ/IObdYLFDPru87b4xTIyLWiJUy + 9P2rX/Wqp37pU/AsMeMyHtL3HVVUzMPT7SoiUgq6eMt7fvd3UylqTVExzpairHdEREQHV3NOkYwj + IqjWilqrqLuHsyR+O144qGex+tucc10FHl9fSqlLDMe4Llw3hQ/V9cr60OPEWFwvItbaXcsf9bfG + mJyztTbGOM7ZrHc8rj2HmpOFxzrINwKklI5/5Do7EREREREREREREREREREREZ1QCH1JKdXgonEo + bw3uRZLFQeJkEA6EuyMWCKFQNZoIXUjl0nzqGkMloyjicR3jmsohl4YZI9ZILi2PzNLHRERHhkBK + nBRqMaLxuDoOHF29KeR/4fJ0Oh3/CiP8rvL1h4II267rvPcH77HNNA8iIiIiIiIiIiK6ERlj+r7H + mtwwDLtaU0MpZTabrV6uyzm3bYvVOBQswDzveDlNVefz+bV9PjcqtKNOKYUQvPcXL14UEVWdTCay + nNTed+5blu2xrbUoWLa5uSkipZSu62RZ1qEubRIRXQdN0/R9LyLGmBgj/sdZACcX7z1OLkdYlptM + JigoU9cOMZbi/xijcw5b9t6HEGqlGISMYCMM4yAiIiIiIiIiIiJaO8wY1x8xnWuMQaFtVcUNsNrI + eV0iorVDdwokaSMNL+eMrDxjzDAMiAYZhmGcoU1ERERERNdTLduEHxGKfIT2q7Is2BRiFpEscnGx + fccdd6KghohsnjmD2ZtxH7jTZxiG6Wx2YeviQxcvvvFNb0oiWSSVvFcAPQLmEeJYp7MYxU10TdU3 + nRjNIqUUa+29H/iDC/OtnHaKyuF2IqIi3li8Ob/kb/+t17zqlY2z3lpv7VomoE2RUop3TlW9mFfe + eedjbr21sabknfFElrWWEHq9/xbr8KQ7F7PIvffem3OulZvY3pKIiK6eqjrnkCLU9z1WB6y1tcYf + fptSWn3eaZoGFQZr/dYY4zGuC6sqto/6gNg+1jWuCB/jU0o5Z3yNGj8pay1SbvHb67B+jSRfLJcP + w+CcQw6p7k1EvPelFBx2pAZf6/0kIiIiIiIiIiIiIiIiIiIiIroWEH1krbXW1lamCIzZ2tqaTCao + 7j7uKr3XdhA1hMifGrN0XPWKc87nz5/H1mKM3vu6P7tqy6sqyjUTEdERoP58LU9Uo08PC5GWGKKd + c5/+9KdxJaJDQwg4WdSSR4fdPrY8mUwQ9onA1H3vxfQzIiIiIiIiIiIiuuGg/2jbtrKsgINyY8YY + a23TNKgjb62dz+crVuxQfaC2NRURzMCiJAES6fEQs9nsFJczW2EYBhFBO+q+78+cOYOFUhy0lBJq + N8h+5cywLIr22G3bbm1tybKnOLZfJ9ZZfoiIrgOcJurQhNMB1uSMMWg4fTXjUtd1tS4MSrahlTX+ + x4Wccwhha2sLP2K8TSnVOvvH+oyJiIiIiIiIiIiI6CgwY1wTCJHLEUJAfw6sUe5qxURERGuEXg7e + ++l0GmOsHRHQdqI2b2uahuM2EREREdG6jCso1TodmH45rJySGBURUYOQu9e+7u5u6Le7rqjOt7aG + YfDeo8TG5Xc/HaU0jFpVszHdvPv1b/jYX30yiehOULcRMSqyq2AVghXxKqAl3ri+FRFdC5hYRrYL + ujkaZ9/29ntizFLfs0WkSElZRFJOrfezdvLzr7jj3NmzkktKSbXs3Gj0r6jUf2NaRK86Ejnrzm6l + lLa3tx9xy9kUhyd83uN/6t+8sKRsROIQMIwg76ZOxex7PKQ8PABnkSzy2+/5nZBSyctjJSqZodRE + RHS1kDqEnEf0TsYKbwgBJ2XcDKezvTaCEq4igiQjJB9hy8e1k8hpqg/hvV/x/WjchBtZSyHsnJFV + FZ/28ZSPcSdXa9t2GAZjDNZiUNyw7KHuP2op5py5ZENEREREREREREREREREREREJ9c4+ggpzAg3 + KqWcO3dORFJKqEVcq7jvtR1EDSGYFuFAx1iv2Bhz5swZxBqJSAgBMUuIcG7btsYsxRhRMZ6IiI4M + SRZo53G0LdTSRt77EMJ0OsWZoiZuoFELTg2r+4Nc0XjHUEv/IPllpyMXj4iIiIiIiIiIiE4VdB5F + fntdS0MCP9pRY+lr3zU2TL/W2kDIisdULBqRonnzzQw9X3Gg2rZFNSX8qlZkKKU0TbN6uhnLn5hJ + 7/t+XEChtgEQkRDCiuVVIqLj4r1HSZp6jTGm67qcs6pOp1MRyTnjbHKExT9rLUbFnPMwDNgCQkDQ + 0BqnMGstxlLnXNM0qIyDu6vqdasgQ0RERERERERERESrYZELU7hI+YgxYnkLC5fjauNERLRGiEZY + LBYXL14ct0no+x7rdLIcz+uKHhERERERXWeI06uBeTVU79CWEYBqTVHJIsa4By889Jpf/EXrnWu8 + cc41fhgG9Eu75K6nqFmqtXZrayvn/Io77nDOF5EsZafb9x52uuoaIyKIxr9eO0t0kzLGZCkigt6N + RWU+n//O7/yOyM5QVnKW+t4UcaohhH/zEz/+xC/4AquacnBG1vJWzSpZZTqd5pzDMDRNs5jPn/N1 + X/+d33qbFSlSZJS2gz3EdPpBLceqP/uzP79w4UIxqnanEBLzSoiI6Cqh/zRSULEikHNGGiNKxCJn + xzmH7Mi9toOsn1qqD7fElceiFrHFPuNT+ortIxcJJ9wQQkoJl7EIknNGV2nUtL0Onx9SSmhNjX2o + C+tmDznnjY0NGR3Y+Xx+5KKNRERERERERERERERERERERETrhQglBCZ57621yF9AIBCur02jV1fL + R+H3WrLYGLNYLI6rXnHdQ2xclkE+eLi+7xECtO9OEhHRarWLR02gK6UcIT9iMpmEEEopwzAgLlRE + hmGoDVnwEIgjPVq8KE5hzrnJZNJ13UHuwrbWREREREREREREdIOqOfYigrICNW+/73uUG9i33bKI + oDZBXU5zzuFyjLFtW3QhDSHUds43m/HxMcbUGeoQQj0+wzDse3zGrxdWW3HZOVdfL+89K6MR0XWQ + UmqaBmtytfrJZDKZTCbWWqyiqWrf96UUNCY57PZFpJQyLs2Givn4Leq21Juhtn4tJSMiwzAcY5kb + IiIiIiIiIiIiIroaOWdkiVSTyaRtW2T9GWO89zfzeiIR0Y2jLsBhERANrTGMo19CDTIRtkciIiIi + IlqfWqEDn9IRSHzYjYw/0u/ENucoIj93xyu2+25ra0tVEUkeY6zxeLWh9anpbI0CIm//jV//L3/5 + 8T4GUZGsUurBMbtqhjRNU1tZ1xBHzmsRXVO1CyaaTYrIxz72sf/y8Y8Lrk1Zilg1Vo2WnUbRz/ma + r/2O7/gOpMZMm3ZjMo3DocvPHWFPRXJR/JP6b4jDbLaxvZhLTrecOaMpveD5P7w5ab2xk8lEROr0 + uDEGgdAHV+T/Z+/eg2Tb7vqw/35rrf3oeZx7dXWDBDKWRBFkCQtCHKSiMC5ibCyQEdhI4uE4YAmE + QRg7mITE2FXJP8SPcmEwAozElVxlCC6DTWwLB8fmGj9SLsdQkAQhG2FkwBgDEueeM929916PX/74 + Tq/T55yZnseZR885309NTfX0dO9ee8/02rvX+q3fT0wkifzSr/wKNlKXrlzCDhIR0RMEnzWQEBBT + ujUfa827inSxmxcNIQMsqkTXCtnnSAu7Yfu1FjXqcNcXPQ4WZnZdhx/rTmGZZ93lmhv3UtXpmLqE + CkuxyjG894vFAmXFzSyltLu7y0y4RERERERERERERERERERERHRzIaH9OI74sa50qDfGcQwhbF40 + 4ZxD1A2SV4gI8r1fVL5ibGGaJu99jBHBVCi5KiJN0+BXOWcmQyYiehSoFT2OI0I6c85d150jTjLG + iEDQlJL3HqeAEAIqW9foVhFJKa3nwD8lnAhQfGSapr7vEYa6+Vlc5kFERERERERERETbaJomEcFa + /XEcVbWWQ8ZwKioon1huuW1bWc3JYWy3TvhhHBYTfk3TPIHL41NKGFbG4HUdoXbOee/btsV06YmJ + nvFnwiA1IJUDSrpO01TTKBARXQ2cGpqmqVEdyNiC0Iq2bUMIyKiC08RZqSqeHmNs2xY5bpxzSM5i + Zk3TIFMMelpVnc1mqnpwcIAmMYc+ERERERERERER0TaoA7x12BbrAHPO+BWm0rD2b3PGcyIiunox + xq7rnHNYWY3gECzqHoaBU3JERERERNdlPfB7vYjpWTYhVoo4J6qGCs2r3En/6SMf/Vs/9EPtrF+O + Q8y56drQ3jdu85hVtkZc97ve9S4ROdyhIz/rrO5EED7+BCklBE/WPwcRXZLDhERmzrkQwr/6yX+d + 8Y5dy1uXSzYxFXlqd+8v/K9/Pk1TSWlvp18sFncPXuhnrcj1vFVRbrPv+5TSNAw7ff/yj/tt7/za + r8sl1wU76EZOWztTH/zuRT/4wQ8iyvrwIRy3ISKiR4ZLX0wNYEWkrM5ZtQAzbmw479RMf2bWdR3y + DF5g5tamabDaaD1r4Yb0gmhqTYOLR9YTcd1ZWe3+FcASqjoL0/f9hs8XdZId66pQLPxq2klERERE + REREREREREREREREdOEQKpNSQn51hNAgVAmFSBFrlFJCtvwN2wkh4IkxRjNzziEC+ULyFQ/DICJt + 25ZSELO03qSazx/1Tc+xfSIiAsSmdl23u7tb7znHdlDRo8ZYoqC1rIqqoK8OIdSzzFm33zRN13XY + Ps4O3vsTQzpZ1pqIiIiIiIiIiIi2EUZOc87IQXz37l0UTsYEnve+zoFtTrOFcVjM8I3juLe3J6vK + o9h+HUV9AtPioPI0DgUmNVHfupajxoB1znl3d3fDcUbeB/xp8DAzQ55olMeuE67z+fy0mYyIiB4B + ThD4jjQoIuK9x42UEnI1ppRijI/SL6GWyWw2WywWKaU6z4eMLTFGRI3gHhHZ29tT1XEcm6Y5x3Qg + EREREREREREREV0sLO2rA7be+1IKRneRnjvnjCWCcvqKHUREdGkQK4JVeTnntm1rgYRpmkIImJUb + hqHve1ZKICIiIiK6FqijjAtyxCR/xmd8xjnLKqtKKSKi6kWciDNREfmu7/qulNJsNiulYDDnsMzb + QwWtH4PK1t77f/yPn/+/f/qnikjf94clco+Pe3/Zy1727LPPIhRfRJDr6mqaSvQkw1BzvfETP/ET + XkVE3KrmfO0G+7b/lm/5lo//+I9HNroY404/a/xhxUfTsvqSB74u1vqWd/Z2pxSl2Kzr+7ZbHMxz + it/w9V//cR/70lLK+kIbBGCf9bWKSFH5+Z//efyILZzzvEBERLQSY2zbFhO7+BGnGFW9ffu2rNYQ + IXXshkyseABOTDnn2WxmZheYuRVbm81m9SOS937DeiIzwwNCCLiuuH37dt01pJcNIdTdv6h2Hmea + Jiw4xaFGy+14SLmLJb24B58QL7udRERERERERERERERERERERESXwTmXc0bkDEKAnn76aUTFNE2D + oqR4JNJTbNgUYm9KKaiEulwuZZXBWB45X3Hf9yKChiGAB2E/NZ8/EsXjAWfdOBERVV3XHRwciMhi + scA9TdMgKf2ZtG2LMEsUCjGztm0RfplSGobBzIZhQLzoOdqZUhrHsZ4XyuECvRNOAVyBRkRERERE + RERERNuolIKkAE3TxBj39/dFBKOouJFzrql/NnDOhRBQHjuEgNFeVcVMm6rWvANPplJK13XOOYxZ + 18Hl9WOrqvP5fPNwMyqFI2lRnbYUkcPkSqv6spg0JSK6bDXsoyY+Q5bMlFLbtqUUnB3w4HOkm8Fm + EfxhZi+88AIy12BreN2cc9M0ZoZsMjV9TO1vawOIiIiIiIiIiIiI6LocVjxalfbBSK9zDiv9cH9d + sMcVekRE2wBhCW3bIrChTghiDg6dNmJCThNVQkREREREF65GIyNGTkW+4o/+t15EZVXcVdd/OG4r + 4ryXVXQfiqgVkSKWRX7uF37xH/6f/2gxDiKCkm+z2UxEiorIpdR/vS4mGou9+73PBedNZBgHMZO1 + I2MiIqXeEpMv/II3fexLXjqNo4i0bZtzzjlveWVrVB9/lBrkj74FokeBgWW83bKVMcWf/pn/J9m9 + X4ET6UL70mdf/N98+R+ZhkXjvRNxotM0ORfcCd3iJVosFt57NH+5XGLUpW+7L3rTF7ahKTmjZYe9 + +qZx8tXCHFv7LiIi2ezXf/M3itwrbPkkr+IhIqILgTWnyAM4jiM+ESCd397e3jAMWJ2qqptPOlj+ + IyLOOWTu895f4Lwwlq/W+QsROXHeGWugUkqllGEY9vb26q7NZrNxHB/Y/UuFzxR4xWmacD2wYR1W + CGEYBqRQrMkQL7BMOBERERERERERERERERERERHRFUPm9hAC1i8jvz3S44tISuk0FaNrqI+qHhwc + lFIQ8nSB+YpryVJsR1Zpk9fz+Z8YT0VERJuVUvb29mpQqIigAMpZtzOOI8JcZW15BXrvEELf9zFG + dOmIID2rWjNbVrGswrLWREREREREREREdEOtFxxdn3WLMdal7OsJiHEbj8TAaB2NRdJ5Eak3zKxp + mpoQp94vqzLMdSbvMnfxSq0fhzrA/cBxwL7Dw8ehJvGvf5d644G/y/rE58N/FyKi88k512SX+F4D + ONa78ToJF0Lw3q9XIkEvhHm4Ukrt4mpvX6cD0Y/Vp7dti+ciSY2snSDQJPRytboJHlPn6h44nenK + JR0oIiKis1o/veKsuv7R4OrVUzxa9Th9LiMiIiIiIqIthKFdrCSsa/DwvQ4g12mya20pERHdg/V4 + UHtyYVgCEREREdHV2nAFrqrqJeUkIq13n/85n/M7XvkJDXJbqEjw4oOoE3HeN2L3Sp+u3ZSSspSC + u3KOIsWkZJGiWlT+/F/4Szuz3caF4WC5089ijKLFtBRXTEsta72VdY5VRFF72xStLYj1Dl6b4EqO + CJgUp9nJ//tvP/hjP/FPhpKLqnONiBNU3fNeRNo24C/hnajJbtd+05/6k4s7LwQVpzJNEyqIFzER + J+L0dFXFL2vP7egvt/ZdpZzt6+EtPPR1lftyvvZfXpvP3J5L/jrzUb3cxhQRWb0Z7/s6voVFDU+s + b14RkZyLqvM+qDpT9+u/8Zsf+ve/lERM8baT4NWrqUhO0//y5/5sH3wjzptIMTXnnRORUkTEqdWv + i/+vWNv4fdtvfJBiNeMeoqNV5Z1f846SYqvqRLy6lJILvlhZ709WmxZRdOBFtIge8bf+6O3fymam + airZCofciYjo0dU1p13X4QaSuqaUvPellFqpGr+tZx+kkZVVClfcWYOWMXGMeOb1KePN6Vzrdg4v + 5ld31pdY30Jd4rS+7umBBVDOuVKK9x65ZevMSN3ZK6hpDXUNb23D5umYvu9xA3t0sWXC6caZpqn+ + r+JS82pet74QMnvK2pJDIrCN1h/JToyIiIiIiIiIiIiIiIiI6EmGYB5koqhhP8gzXKMRSik13Gg9 + MT6iJhB+gxzIpRQ8GKE1dYb6QvIV15zJNRIJNbNlFbzEvBlEROewnqq3xqaul+copWzuukMI62VW + ZHVqWC/2UbdQg06bpkFM7HrhjweqU5/+lLF+etqAZwgiIiIiIiIiIiK6SZxz4zhilHaaplqCdL3u + mpnt7u5uXuFcSum6Dpl3kLAAQ8PrY7KqOp/PL3d/rgrKUeecY4xN09y9e1dEVBVpAlTVe3+a2nUo + j+29b5ompbS3tyciZjYMA27UaVEiogs0DAPymNR89OiLUkohhBBCSgkREimlpmnQjePO9cQux20f + 03IhhFqwxDmXc0bJ6mmazKzGfGBKD/AYWc0sEhER3VzOuWmacFa93kv6mkgOrcJJlqdaIiIiIiIi + IiIiIiIiIiKim8LErIg6ERFV3ZvtvOOPvb1TryJN20rOYkXaJjTdCdHLRwX9mZg6/wsf/sX3v/8f + iEjf94jxu1cPe6trWt8HxXVFxFSC98MwLBaLpml29/enFLvZbJim97z3uTFFHKaSs5gIKuDm7LzH + vnuvpUjj9Evf+iW7s65rvJqYiaoKEo5cVeWwzY6sFuzs3vdzcHbCFjaUKH4Ul7TZK9g4XYamaYZh + WCWt8z/3wX9bVh2YlRKCOyxVL/KqT/iEN7zhDfh3vVes2rZx/YUTefGLnnnj7/99ZqYixYpsDMbe + zESw5KSICcvCERHRZUKuQKR/HccRa0WxIqkmAURm2PWa1g/z3o/jiCyxtcTphs8vWOgkq0BoEUkp + 1TrQD6vFs0spNdVgbSReHXuxnqyQ6GaJMbZtWwtL4z1yNUsVagF4vKfGceSqZyIiIiIiIiIiIiIi + IiIiIjoHBA4hkmc2myHp8Ya8iA8nxl8POkKuY+/9uYNyiYjoaphZjFFWSXER6oma1nKWvPd4cIzR + e9/3PR45TdNxD64ho9g+XtfMlstlLSPivUeE6oWfTRhmR0RERERERERERDcG6qthRTGW96MctXMO + o6gY5PXez+fzDcuMkX1gHEdZrYJGqVSkJEAtN7zE7u4uXuimwyA1ylGP47i/v29mSHAgIjln5GKQ + k5aFY6ga5bG7rjs4OJBVjXBsH8u85RKGs4noSdb3fc4ZZaSnaUKWGcznYVouhOCca5pmb28P96O/ + qnUxN+dwSSn1fY80GXhKKaWeGkQE28ftcRxrNWvvfS2JfRUHgoiI6BI452KMpZS2bWvus2ssI21m + zjmEXZoZsr7yVEtERERERERERERERERERHRjrAXslVLM7K1vfeutW7eciFcniAGYYorj+Tafcx6m + 6T3veU9RMZUHQgSd3YCC1ofMrZez7fu+aZqc8/zuXTMbhuHOnTs/9EM/JKtY98PHZZSFvZepJGcL + Km3j/9jbvqLve+99PQDXXgDP9ISvsvZ1+JSzfJX7t3Diy114+x/8OmP7z7r9M7d/y75QyHn964Sj + fZmNgYebdOoOxNWMPbUXQhDyz/zMzzgV50SdiEhKhwtSvMg73vGO/f39M/8hr8ms69785jev3/Mo + i0Tm83m9vbmMKBER0SOqaQRFBEuQcOpBTsB6hYzC0sdtRFW7rpumCQuIcEFeF04e+aJYsiqrMrqb + 09eunw2xlCnGiCapKpaCYhewO0Q3UdM0qAqPdQFmllJKKdklyznfuXMHN7Aqoeu6DWXpiYiIiIiI + iIiIiIiIiIiIiI6DPI3Oub7vEdWD3MUbHj+fzxFBFGNs29Z7j4TJzjmEMyFSSLYg2p+IiI6DBPiy + Fm6KELiz5r2vqXRLKcMwtG2LSisbnlILryDsbZomvO5isei6DumCa4r+i9pfYFlrIiIiIiIiIiIi + ujFQXw1rmJHjTFY1qlHoFMWVT0xwg5XPSENQExNgJBflsVHs+XGCYW7seNd1KBSHXzVNIyLeezND + HbsN28HsKYaqx3FcT6CAPAsY5t48vUpEdFbIIiEizrm2bdHVoM50CMF7jy4954x0LZhaQ3pHPB1F + MY/bvvd+GAYRQcYK9Jno3/DS61kz1hPcyKr3W79BRER0s8QYEemIs944jkisdl3twUeJaZpq5ri+ + 75lGioiIiIiIiIiIiIiIiIiI6KYIzouIISS52DRNL37xi9/x9q8SkWEYJCaRwzDjzWk4jtQc5v5w + //z/+hf/+qd+Up0zlSIPRo+ribPDGzdCKQXV8kzEN2F3fz9b+d73vPtguQz+MA3KvUerqnM5Je+9 + ijgRM/nsz/7sT/nk36kmtnoogh6vZ3+O8XBh5vVqzShjfO4tH7nxR4GnHxdb/4gb3/yiDyvHt4Su + 3TRNfdvh7WZmH/rQh5xzYmJZVKRtPCpgv+RjXvLFf+gPze8eiIhe2r/QBco5v+51r2t9wNKQc64Q + Wb2rh2GonRLLWhMR0eXBOiOsMELGwFIK1vuEEOqyI6xF2nw+UtWaeVBVx3HcEM+MJUvYIJIJ1juP + hMWtCNiOMeaccTulhDZ773POyDm4eb0n0TbDSj0sykNp+St4USw/lFWNeVXFe+oKXpqIiIiIiIiI + iIiIiIiIiIiFyQ/nAAAgAElEQVQeMznnGhpUQxFOjIPFA7qum6Yp51xKQYwQSlzjOwIqrmAXiIjo + 3FDTGv1/KaXv+7PmvUdF6vrjwcGB9x6VPo6E6h54Re99jLFtW0TAtm2LdRnOOecc6qpc5N6yrDUR + ERERERERERHdOHVNvoggrUBd5z+OI9INnFieWUQwk4fZO2QBwO2UUtd1qOgWYzxHurTttL6/zrma + 0SDGWPd3mqYT93f9+GNJOW6HEOrxb5qG6RKI6ALV0I31qbLaX9Wy1vVhqjqbzWreGRFp2xapZ46E + WcCmabquE5FpmpC9Al0ZejkzWywW2CAKf87n87p9JL65lJ0nIiK6ZIiAwecFEem6bkNMzNWo52LU + 2JbVxQARERERERERERERERERERFtOV0rwOy9yirG+yu/8itftH/LoaC1mlhxJ5WRO1JKSVRiKVPJ + 7/ru7xbvspVUsqkzRenYrY79Xi+z/UBbY4wmzkxDCDHG27dfeN/73iciKSczK6WIFhTrVlUryTkp + OYtI1zYi8qf+xDeoSSnlvqOK2+crRntByv0lmVF/+sgvERFx+FOe+uvEDT7YgEfZhVPsy6O2f/Mr + ntXZ23OJX6d8bz7a8Txze9SO+DpLC8VUaoXLNE5d4z/08/+m5KImTkXsMOBZRd7yli/e399HrHLd + 1OqWE9u6vst7/5KXvOTVr371vTUm582dV3f0xMNLRET0iJxzWFWEdUZY/oMr5Hqd3HVdSmlDjWqo + 28Hqoa7rNsQzxxhrLWrU1cadG7aPhZn12qA2Ess86y5gL85wCIi2xjiOSMSMN0XTNPP5HJmdLxVe + PeeMtz8yeG5+PxIRERERERERERERERERERFtoKqlFOQorvWtj1QT43vvx3FEUkcUH0VoUIwR4Q01 + yoiIiLZQKQUxnKUUhJ+1bYsq12fKe++9x4MRDto0TU23eyQ8QLCATiSEsFwuceoZx1FEsMRsPW3+ + BWK4KhEREREREREREd0kGE7FrNs4jhjSxa8wbouKyyeWZ8agLYZlu67DWDB+hYFgzA42TXOOdGnb + JqWEQWcMW2NPUQ7ce9+2LQajTxyAxmHHWm5QVZTHzjlP07SeYomI6AKhw0kpYV4NPXzOue97TO/V + UwB6KjPDfNve3h4SzZzYmWP+D/2h9x6nG2SBqTEfOzs7SKiBDe7u7pZS1uf5iIiIbi58AiorJ2Zq + u1Rt26IBqLE9juNj8LmMiIiIiIiIiIiIiIiIiIjoSeDUHUYdIHkHYrPNnrp168u/9MtUTEW9DyJS + VuXlzkDFDvNuSBF5/z/40Z/9uQ+0/Ww9bvw0VWmv19EtVG27zjkXcxqmSb37Gz/wA3fuzlUOS4Ov + HqXqHA4CaoQ3TqcpfubrP/31n/66GONh3TsR1YvPTnJ5anFfO1eTz/esE23bPxKzw1y4i/oTd10X + Y/Teo678hz/8YRVRkdZ7JxLHqCJe5C1f/GYsx7gx70wRJ/r6178+57XS6uftWGrUt4hIsRvUQRER + 0Y2zvswHKyhFBEtQsW50HEfc2HA+qh8xVHV3d1dEYowblg41TeOcG8cRK1VxJ17lSHjpEALWMeGR + aKRzrja+7g7RTYTrZFn9b2MtHhYJXioRGYbBe1/zeMYY68dqIiIiIiIiIiIiIiIiIiIiotNDRnfk + aey6DqndN+RpdM5N01QfUwudIme+975pGu99zvl6kz0SEdFmzrm8WvjWNI2qIlXvWfPe4zFt25ZS + hmHA7c2p7BEF570/ODhQ1dlshntq1CsaFkLYEKd6Ply4RERERERERERERDcJylHnnGOMXdfdvXsX + s3QoqIyVxhjDreWuj4T1/JjJG8dxb29PRJA7ANuvE3uPQbocVJ7GriGlgqo652o5aizJzjmjROtx + 20EeBxzqupK8lFLLY2Mo3Dk3n883lxUnIjoHMzOztm2HYdjZ2WmaBvEZtZR1CCGlVErBPSJycHCA + p8QYN0+z1WrZ6CFVtWmaOtuHF8Kpp5SSUgohxBhzzjgx1eqbREREN079CGBmbgXRk9cixljjddA8 + fAYhIiIiIiIiIiIiIiIiIiKimyWlYiI5Z+dc0zRf/ba3z9pWzCxndU6k6NmLyjrvRcSHYCJjLu9+ + 73MxJ/WH8YHbVod4gweaWoqo+pSS976bze4czN/z3HuzmMna40wsF6cmUlQkl+xEpFgQeefXfl1K + ydXi0Fe2G6fgVl+Aqt4Pf23+7fmedWQDzt1+d+p9eZSvE1/0TC6jhY+4axuYPlih/Lrac/wDjv6D + YA0LOrpf/ZX/cOf2CyhrnVIOTlSk8/qpn/opL3vZy0IIZiZi9W2KvX5437dBSVnNXv+6163fefT6 + Glvrdx64vYKCoId3G8taExHRZYkxrq8hijHWXH63b98WEe89Fh/VVahHQiRz27bISysiTdNsWJeE + FU+z2Qxri0op3vsNaQfNDA8IISBs+/bt27WpdbVU3Z3zHg+ia4aVy0joqarI2myXTET6vsebETfq + IkQiIiIiIiIiIiIiIiIiIiKiM6k5ilV1GAZEPmx4fM3Zjjz5ItJ1HZZU1Oqny+USv10sFlezF0RE + dA51qQi669lsJqtM+HLqvPfIeD9Nk5kh3X2980gpJZRNUVVUTjEzxKOiNraIqOo4jjHGC4+LY1kR + IiIiIiIiIiIiuklQTBTlqGOM+/v7ImJmKDtqZjnn0xRgc86FEFAeO4RwcHAgIqra9z1ueO83F8a+ + WVAKzjmHYW6UoxaR9WOlqvP5fHN6IFT+Xl9DjuHvOi2K7+sph4iIHh26l6ZpEMnx1FNPLZdL/EpV + U0qY4UspoQPHPZjPQz4XPGzDS9TJPzx+HEfM9mGmsGkazPyllHAGGcexaRrcIyI4N13uUSAiIrpM + uMivoZM1gPLqtW3bdR1OvmgbTvHXe3yIiIiIiIiIiIiIiIiIiIjoNIoVQfFpM1Fpm4Cg5cb5j/vY + j33D7//cxmlJ2VZ5NM62dTuMJ5xiKiIu+B/8m3/r137914tYkZsdWoDobhe8C+Hg4OD/+Ic/9h9/ + 7deKSBFRUUS843DVgAovirDFV7/qk37f7/0cp4fHs5RiIuvJsq4x7gK1gev309Q23qpXf2ALj7i1 + 7XxFenRYWIHkQb/wC7+AVSgqEkSkiBdJ2b7kLW999plnFgfz1h+brmirqElQ1zTNJ37iJ4qI08P0 + RHb2VTZOREXqUh1Z68eIiIguHNacopLuOI7IIThNk4js7e0Nw4DVqap64tJR7/00TVg7eeIpTFWR + vlDXlltuvg5H8HZKqZQyDAOSD6Kps9lsHMcHdofoxsFbBsv68L7A0ubLXo8gq4V+yOaJ9zLfR0RE + RERERERERERERERERHQ+iDjC7VOmvvfe55wROzEMA24ghkFVZ7MZcunv7OxcZsOJiOj80POrKipu + OOeQD/+see9RHAS3c87OuRjjhrLWIYRaRkRWAXg1c37NwI+IuAtfmnEzlrsQERERERERERERgXOu + jrfWhcQYukVNU1nN2+FXuI0F/Bj/xfdSSk09UAd8UbK0ZiVIKbVti19hyhBZALCRq9rjs8F+YYi5 + 5iyo+4Wy1vXgyKocdYxR1opSy+o448iEEOoAOo4zkibUgWxZlcfGy23twSGibWNmtRx1CAEZW5xz + 4zi2bYveeD2jhIjgAQ/3WiKCrnu9l0Pf9cDsmq7qXstqXrA2ppSC3gwlq+X+Dg23a9dXpwNxz3qX + SEREdLPgYxQ+LNST4/VmDmUd68fA+gfw+gGTGWlvIoyHnDKQmrYZPnbhbZhz5kcYIqLHyXr+4lLK + hph1IjpS/fDywHeMJOMKKoTA9xcR0fbAtCAmDVHUDVdEwzCgPBI6bSzq40gjEREREdHVU1ERO4zT + Vplick605GKlcfrN3/Tf/8j7/76KhOBjzOeYR7ZSQuNTzCYypqwa3/3ce//MN/8PwTtJBZGHwXtV + nZaDBr99nwse3GU11Mkr3vs0RQuu7Xe+/Tu+c7LiGp9jLmKyFvF++LFIndlhMPwff8fXeBXJxTlX + UCHs3tYV8ZEi1zO65b0vpZScXfAl51IKovEvO4RAVRGVGtrGcilmjQ9jnM46ymdmTWhKysUsx9R0 + rZWiqueo7HsGKk5dMSspq3dOnahaKerdccUXc85939cajWaGEm6WL7Od56CaczY9rGZXSjn8T3BH + v0+99yXlGmzsnGvbFrUeL8TD/4Wmh69rZlbK+qAxQp2PaafLOTc+xJQ+5sXP/tVv+7aP3r69u7+H + NSxSrGuaN77xjcNi2TTBZMv+KBtZLq98+St6H5Y5mYis/aFw9HTt9nGCdzmXl33sxzXel5y9qjpn + ZrJt3TMRET0uahBFXfiDaw8EztWlQ/XKyjlXpx7qZaSs6lIjeyB+hetY5B9cj8Gr25TVUql6e/3a + rL5KXQBVX1FWVXjratbaeNbipZsLb6UH/oev5kN6zRmKG/WdRURERERERERERERERERERHScmqQL + qxIeWKRcg4KOiyiukAm/VrYex3E9kAk3sCCaiIi2BDp/pK1A4s0ahIYcROj8a8LeI/Pei0jf98Mw + iAgWv+A8Mo5jTX2PdVWbG7N+9nn4wWhYXR5VM/zX5uGlz7dui+kpiYiIiIiIiIiI6HGAMqiY8MNY + Leb/1uf5zGx3d3c+n2/YTiml6zpkB0DCAgzIIrMABnNVdT6f7+7uXvZOnQOyJORVzq+7d+/u7++r + KqYq14e/N0N5bO89MkHv7e0dHByYGQbEkceBFa2I6NwQq5FSwsQYel30KjFGxFsgS0udz0MPXHse + PP2sr9t13TiOeGLbtiiP7Zzr+36xWODVp2lq2xap/Zi0goiIiOh8ahgTrvTqpd21NorOrI4hIEi6 + Xk5zQOBmwUeqEMJyuZzNZsI3IxHR5bjs8hvHUVWEwk/TNJvNnHO1miMRnRKyhK8nGceVcEqp7/sY + o5mllHBJzAkyIqJrV0pBQAg+8KKyddM06Lcx01fLTfEjMBERERHR1VMRJ3JkpHLjwzQtXvnbX/65 + //Xv/bHnfzzGXMu5nVWK2XkVkZJsiOlv/G8/8Ce/4Rv64Pu2yyk71XEcm6Zp+g4FdG8E59xyHJqu + H6bx+X/2Tz7wbz4Ygh/i0VHfKtJ4n1IRkd/20pf8wc//vJ1+FmOMMUrYrhQi43JAKH5JOYTQdF0c + R3x8u9TXNTMsChiGAUsMxjSGEMoJ5XcfpCbL+aLrOjXp+z7n3DTNOI6XPU6ItQwSmuVy2fYNEsp4 + kePKAHvvh2FwzqH0MtZWhBD8Gct4XzZV7Wb9crkspUzThE/0s9ks5qNDgqdhFBH8C6EI5Xw+n81m + 5+s6jmQqamvfRUQE5ahVtdawRIOP+7unlEoppYyhbV7zmtd80qt/R5LDoYnG+5TScr64devWNE1S + srljinhvn1KKF980zTPPPPNrH/lIsXK+WtQpFxV5+umnSylih4dZVe2M70ciIqJHgeq2uIoYx3Fn + Z6fmB8T3ukyp5hyEB+Jg8asQAuYpkHN2wzpTTFggFBMvgRLXWAHqvV8sFth42LIreSIiIiIiIiIi + IiIiIiIiIiKiJwoCeHLOIQSEEKMy6Jk2glzuiA7CPV3XIaD94ltMREQXoRYceSABvmBVxemKeuzs + 7CwWi2EYZrPZMAxYgVJKuYK6HjlnRKuaWdM0Gxa/nIiRrERERERERERERHTjYT1/LYOKwVMs70ch + Z8z/ee/n8/mGDGjI4jSOh8mPappjjPzmnJE/q5Syu7u7ndOBSPLlvffej+O4v79vZjXnAsa+cXw2 + Z4LDpGnOOefcdd3BwYGsjq3cP6qOo3TpO0ZEj5cQAurrmFktaF27bhFBLhiEdKB7R99V5/DOUdNa + RNDD4+UwwYYNLhaLmnQGLycirGlNRERE9CiQ2xexU/hAXUo531UcXZd6+V0zOXrvm6a5rrKddD51 + CGg2my2XS8Q4TtPEJJhE24P96uPhusbJl8vlbDbz3s9ms5yzqqIKb9M019IeopurTnjVtzPGsesD + uq7jm4uIaBsgDkRWUQ0Ih6jBD7i6xgMQ6cF4BiIiIiKiLaEiJabGh1nXfs1Xvf0fPf/jRUSsqJy9 + qKmJqpRsbddMOZrJr/3Gb3z/D/zA13/t16VhDM5559I0lVJC42LOKpdbfviiOOfUSoxRnPu+555L + Zo0LR5YIPyy7m2LjnJXyVW97+zPPPLNcLhuvbdtOF1fu90IgJjOEMI7jNE0xxpzz7u7ulOKRj9cz + /kMcV7bcO1fH92azmYjM5/O2bUs5OZXMuhACZsmHYWiaBrXDEQJxZBsepf3rz8WsLsItFovF7u4u + AvvlmM+5fd/P53NEZeScd3Z2zKxt2zieLY3aZUslD/NJVZ3zRcwFP2ubaZqO+/zetm2NP1ksFpgT + Wc/y9ohMxUTQEanc64+893UhSYyxZqZbf24RqYtJmqZBucoYJ3HqQlCzOE1SSvbeOXdrb3dxcLfr + Og1BVUu6mPZfNhXRYq0PL/mYj/lPH/2o0xOOPA7Q4VG8/73gVV/xilcc/sZMMEPKYRsiIrpaMUZc + S+BaC+d3M8P99VxfU/sdGQeLk75zDkuTMI+8PrP8gPUi2VjoGmPEbVWdpimlhCZxPpqIiIiIiIiI + iIiIiIiIiIiI6LosFoudnZ0aw7Oe0/5MEAtUSkFhUeSK38Ik9kREBOsFR0IISFmPYFHvfdu2qBWd + c0b+iuO2s1gsEFm6XC5xD7YQY7zUuh44c6Gyddd15zhzrWNuSiIiIiIiIiIiIrrxUIYHGQFQFVVV + Qwg1MVPXdeM4nlgdBI9HdR8RQR6inDO2j0LRtdzpdkIR1lrnGwViMXOJRFo1jcLmwWX8FsdwHMda + 2xsbR/1vDIgzXQIRnUPOue97WQvUQOI5M0MnjI4Xs2t13g7PrbWoaz9/ejXH3PoZIaWEDWL6rZbc + Rnd3QXtMRERE9GTBJ9B6lYXg2utuFJ0ZLrnX80GvJ1ikmwKZNJumWS6XGCJDefLrbhddjLJlZQno + fFhm7/FwXafI2WxmZihoUcfN2M8TnQnGpeu72MzwVsLFsKxOuLwSJiLaEjXgAcNNKaW6ig/1vTDV + iEgP9t5ERERERNdMRVTqZEaMcTabLcbxsz7zMz/9v/xd//KnflJUznfZ7pzmYtMU8Spt177re777 + K7/iK0KR0ASkCyli8YwRhlviZ3/2Z59//nmnMkyjC/7I8rduVW72Rfv7X/6lX7qcL3b63nLKeRS/ + XUPEqWRVLdOk3s3aNpWs2WUrZmYqag/WpT7zrMnDWzAREXPSdC2WFaSUYk7e+2xnnlxDxOk0TaYS + Y2y61nLJVsTs3uuaYF/kXGXaj3xuCME3wcWk3onINE1IbXbcLty9e7dpmp2dHRFZLBbL5VJVl8vl + 1mVAc+rM9X2P6uCYxd7w8JgTonm7rstWxGk36+eLxUXF95pIMXEiYveOv9phdARKXZZS0IaHD2YR + cSpqMo4jEhj1bZetxJRE3azrnRjGK7Ap731KKaXU+JsRn7yq6Ole9KIXpZyynKcQNZ6RzV71qldh + vU891g/3AERERJenrlTCokikjsUUA0Il60olTBlviINFKeta+rpt2xqw8TDMRx9elMZYXy6lZGal + FDwX1xLIing1B4SIiIiIiIiIiIiIiIiIiIiIiKqdnR0E82Bt8rkXKaeUsA56GIZSCnLFI2bpgltM + REQXbb0ECZaB1F/VLBYbzg4IJfXeO+cQp1qDSy+vrgdS9yPD/ziO0zTt7u6eNWl/dTPWuhARERER + ERERERGdCFl+MEWHNfwYum2aBgV7TlPOWUSQ3Xi9xHVNaNV13faXc0YL0WZkNMC+xBhRu8g5N00T + Ds6G7eCIIcNCzrmWdw0hYGwdx5bpEojoHNBXxxhLKV3XoXNu2xb9CSbnVHU2my2XS2Sf9973fT+f + zzEb55w7x/SYmXVdNwyDiKBLXI8XQcI4VLbGnRe600RERERPEGQfnqapZt+bzWbDMLCS0M1Sw6P7 + vsdVdC3sRzcIPj1hQAzjQutjX3TTcbnC44HlyR8P11ie3Mx2d3dlFRaP1Mkc2iI6PYw/p5Qw94fs + 5DXtOHrppmkwV7i184NERE8OVV2v+iCrBYEppZ2dHe89PiiFEObzOS6TiIiIiIhoSzQ+lJSdaBua + d3zNV/3Lr/lJVcQpn207KlKyea+5mGuaktJymH75V3/1h3/4h9/2R78iLhe5lBC8V5dzdMHb0dXl + toKu7TtK5+7deup7vud7xpLFeZG8YRLBq2azN7/5zc8++2zrnJRsqiJu22blSyndrI/jZGYlTtlK + 27bDODZNYyL6UB1oPUuR26LycCFpPD/m1DRNycVKSVMMbbOzs3tw585Zx8+zlVLK3q39bGVYLFXc + rOsXw7LxYb2+r9Vqv2ct0qtmR1cK1mwl5hSn4ekXv3icz3NO4eHjtdK2LepYo7AiJvqnaRLdsil+ + J071YLHIOd+6dctWazTwGV/v3ztT6fpZyHm5XHa929ndKyZqos6ru4B5Uvz/6ENHVUWQaQhFKLum + LaVYLmamh/OzD/4ZcLTzFK0JplJKMbVS1HLx3otamiL6QCnWt91xZS+3zWEfrXLr1q31ex/+Pzzx + /96LvPzlLxcRr2qlsJg1ERFdvRr6iHkEVcUZf/0zCdYZ4fZxcbAhBARmxBhDCKpaAyyPg1N/3The + Dgs20ZgaA3aNwSdERERERERERERERERERERERE8yZEVGQFEN4znHggcEHTVN45xDQDLzVBARbTPn + HAqO5JyxvAWZ6pHFohY6EZHNmRuR2T6lZGZIiCGrkFG5zLoetSxL27YowoIw1/NtjTnLiIiIiIiI + iIiI6HGAmT/kmaqDs/gRA7io0HxiOWfM/GF0GMkCVBWPx9YwPts0DWYZr2TnTgvDxzW3ApqHilMY + 0UbugxObHULIOddkSbXmKyp8Y2z9cveEiB53ZjZNU+1MMOOF+zG1hp5nuVyiW8bD5vO5cy6EgLww + 9f4zGYYBkSKLxQKzdymlWtAabUCHjzPLRewuERER0ZMIF1c55729vYODg+Vyed0tojND3kYRGYbB + Ode2LW6wHPLNgjdj3/cxxpxz0zTjOOJz0HU3jS7Ames80Fbi+/HxcF3vx3rR5Zzrug5d/bW0hOim + QzVrrAzx3mP0GG8uLDUspcxms+tuJhERSY1/WI/rwP2LxUJEsGiwlLK7u1sLQhARERER0bVTk+Bc + KcWJTDm/8fM+/xNe+dv/3S/+0smlUB/YjohbKxxcMHep4lz4a9/7vX/krV/ahjZOQ845hGAqqmrH + 1QHeMqhG/OEPf/hv/8j/HlyYShYVMTui8rOIiGSzvbb76q/+aiklm43Lxf5sJztJWzZ/1HTtME0q + Fpo2pZSTFRFtQirFVGpp36KHFbmzqIioiekpvouIWH0uYJuqOsYoqPfsfc55msazlpwWEXEaQjPG + aTEM+7u7U0rDNKr30crhy6/aUw5bpadqef1ebO25971yScU73Zntze/eUVUXfCrZbXzPYAEFhjSH + YfBNiLmcrT2X+V1EJBvenjs7O4jj9d4flzFHTX7rzgtPP/OiVuzOct6GJpXsSnZtSBeyXyIi93qI + evyLSNe2IhLHKcbYNa2qbphkNxUMQahaMVPRGhQtqk60mCAbXUqplHKDBiuwC6p6b3hcVY4rxb7R + Sz/mJU8//XQpRc1U1RUTd+Y0f0RERI8Iq4SQcLBm8cMiJtwzjiNu4ILqyDjYOj2xs7ODVau1EvaR + sCmkIxQR5DrEYlVEY+IVsUiKi5iIiIiIiIiIiIiIiIiIiIiIiK5F27YoMlpjhr33NT376SH7/cHB + AX5UVUQRI9ExERFtGzNDwi6EldbuGmeBWqoDv91wXjAzVAOpJUKmaUIWo0ut64EG55xTSniJcRzP + vViD5yoiIiIiIiIiIiJ6HGDRfs65lNJ13d27d/f393POfd+P47g+4LuhprWIoEgqstWP44ikA865 + YRhQEEJWw8dbWGsE5agxdoxs+yhujV1GNQtUMNrd3Z3P58dtB3kZkC4Bz0UiBmwT6aFDCM65+Xy+ + u7t7VftHRI+VrusQsYGELCiY17YtUrSgv0JaFucccrVghg8d9TRN54vw8N6P4ziOI/o05LUXOcwo + t54FhulgiIiIiM4thBBjbNt2miYE165XG6KbAjFw9YJ8GAYRYTnkG6emLMePDwyUERHRRbmu86Nz + DjUqUkqIJseY27U0huiG8t6XUpCsvA4RxxixUGQcx67rMECN0WkOHRMRXS8MTdRaUOM4YgzKzHBJ + htlG5xzLPxARERERbRsE6ZUU2za0s/Ztb3vbn/1z//M5smSgsnXM5oIvOYtqaJo0xZ/94M/9vR99 + /x9+0xeKqvcu56wOQdQ3Y36zmIj33/vu70tWzMQFX3IR56QcO8/+RV/0RS9/+cutlJhS13VmeZyS + bNNHIVPJZmOcbu3tv3D3zgsvvLC3t7cchymnoK6s/WXulck1FREnUk73XfToErtBHaZEh2F4+umn + 9/f353cPZrOZ5ayn/q8zFVMtIsM47u7vfeQjHxWnw2LZ78zqkgQU1V77rqds+eq7rW9hnapKsXTn + hf3dvbZtg/elFCvlyPbHGGezWdu2McaU0t7+/n/4lV9pujadoSWX/l1ESski0nXdR37ro0/fesrM + 2q4bhmF9nqXuoKm0bfvvf/mX93d358vlrOtMNcdoql7PepyP/f+pr4vjjzsWqs8880zTNMvlsviC + 5nnvs62Kmcu9f1lZRSOHEJKVnDP+OcyscZpLLJaD92rS+JDdpsUs20ZNRAWjLioqYohAOMMWVjc+ + 7dM+zXtvKZuZU2dWbkbXTEREjxEEtdY1RFiyJCKqevv27Re96EXee0xAYLHS5jhY1KjGCs26BurI + 1zWzuhgTp9Hbt2/j6kJV0Yz6WrV5RERERERERERERERERERERER0lZB9XVWR4B1Jks+R99i5e3Hs + TdM8UDG5txwAACAASURBVCSViIi2TV3PUuuPjOPY9z2iRnFG6Pt+uVyKyM7OzmKxOG47SLNfSkGW + e+TwxG8vr64Hso1575FAyTnXdV1NvnFWPF0RERERERERERHR4wBjtSEE732McX9/X0RQs6cO5p5m + IrDWakL2gZp0oO97jMOiRMTW1oRAVW+Uia25mwVJlFb7rqrz+XxzRiFU9UahWeR6xhg05lPxRDNj + TWsiOh+kekFfigQuyDuP+0UkxrieDgadG9K+oEgPvp/1dREmIiJd19WXxp0I9UBfV0pBsnuW6yMi + IiI6H1za4aLOzHDxxprWNxH+gvXKHBfJLId8s+A9iI9C+NvhI891t4uILs8ZEvXTY6CWslbVOux/ + 7rByoicTJv4wA4jqpznnpmlQlqbrOqQOx3gy31xERNcOq8FR4AEhHNM04UII41G13kPbtrwuIiIi + IiK6enbMD6aSSvHeN00TrSzniy97y1u//a/8ld/4yO3ywLNO2r6JZJMQQspJREQ1xehEi9h7//r7 + 3viGzzMrfdstDuZNF0opTvwF7dzFOKyHe//BMZUppWE5vvt9z5lIlGIJJY9NbDWqr/cdqSDyx9/x + 1VKKmvVdL1KWy2U766eyXVOBOee9vb3FsPzWb/3W7/6+52RtJ45s6Ck/xdmDx+PY7cza8Ke/8Ru/ + 6b/7RoQu+LN+TiwlloJd+MNv/uL/7+c+EIs4kXz/q2/eaG1t/b7ht3WDTsREvMg/ff7HX/nKV85c + H2Ns/NH/z0h/FmN0zvkmLJaL//HP/E9/50d/NIuUY1739K097vvmjTxMRVTEqySTIPL+v/t3P/W1 + r0Uwia6VlL63EZVvf9d3futf/IsPVKfHW+K4Nmxo85Ewc6arFmL7P/ojP/LaT/7kp556ynsvTsWO + mGc/LEOuoiZt36Up4vird05EnDrnLEVM2bddd3Bnfri1m0NVDUt1Ut74htvEiQTV17zmNVIUf2Kv + bpIUVv0hERHR1UDlaUwijOM4m81EBKsm9/b2kEMW0wqYKd4QB1vrXs/ncyy0PK6mNSCoo24Qy5fw + 0rPZbBzHruvWm0dERERERERERERERERERERERFcM5UtFBNmSkej+HHnzpmkSETPr+15EEFnEuCAi + oi2HCiaIIEVNaxHJOaPMx3K57Pt+GIbjalqvq0k76z2XWtejNhXBrlj84o9ZfHQilrUmIiIiIiIi + IiKixwHSzeN2najDUn+UJpX7SzvjNhb81wTHqH6NgWMRqROHNU99zUqApPayGg6u6eyvLCFyLeMt + IjVnQW0nylqvV5nCbUxk1sFrWSvjLatKsbJ23JA0Aa8CGIzGyzH7MxGdBrqj9Z4E0Fmhd2rbFv3P + esa39aJrIoKa1rLqnGsXjZ5cVvX2EPyx/ipIal83uN534TZK/tSticj6tB8RERFtVE+a5bS5b2lL + XH4W6/VLOxa0vmIX+XbEVbRIyVlFrJTTp7qm7ZFX78HDv52dmBqan4lukG2rTMx/nm3gTvn98i8H + tu3/8/FUh7xQl7dWtpbV/EV95AM/ElFVB4TrDbxZHph55LwYEdFWwRyima3HPyBkoj6GNa2JiIiI + iK5LVjkcp7TDYrGmklVco8s4tm0bnNt1zvU7X/uVb//Wv/yXURcu5ywqqsFKERGEAq5d0xdZjWwj + pvBwGlpFShGTImYiP/4v/vm/+umfev1/9buW49j3/TQu+76PabvGq82klNJ43/owjqNzzoUw5iiN + e+65v35nHDIGo8xqZbvDInmq2PvgnaXyBz7nc179n39SY9q33XK5DG3T7ewux1EeCte8Xqoux+x9 + iPnwD5GxKyLJRFWaJkxTEhGnrlg55WxTWdU2Pg62oyKLKbVdn3NxIt45OUvZbzUJvlFL03IMPnSz + namIiUTUilaRw0n1+nJqR83A1NbW7xt+W2FM30RiLm1oLefWeRMxPaIItFeHrDcu+DFG9S6rZBFT + jWZO7tu6d5rLYTDA+hFDreiHW3vc93sHau22rXaqvkDbtNM0qYiqeO/GVLyIqSzH2M1mlkpJJQTv + 7PDZeOebliIueRlE+q6JY1xvuay1odaiFpG8sc0PsFXssYp4r5ZNV9uZj+POrVtjTOp8zrmUMpvN + hmFwwT+wv9jhnLN65/3aUSlWShZxolJMhmEK7eGoxXqc85YzM3VOTH7zN3/Tq8+WH34HPVDfPQQX + U3HBl5zFJPhQcjKzz/rdvzuN017fxWlUr6JFnXE6kYiIrlidROi6DjewRHS9nnTTNEgsKyJY9IQL + ckxM4OIBt/GRpC56wq+QdrCsfagREax1UtX6RO99XZ1aG3ODcteeOP/ywOowztcQ0c0yDANyiyMk + Dx+32ZURERERERERERERERHRaaSUkP4UeVCR1ZmTTRcO2TNUFQdcVuEZx4VoIgm5rcKzZS1Hx5W2 + +4lRo3HWw3K2EP4H8F9Uc7bgNt68KaX1LC4PQwZ4M5vNZsvlUtZqYz+Q5ZiIiLZWvZzA7dlstt75 + 15jSYRjqU2onj0rS63n1cdWBU0k9y1xqXQ9ssCYWe8TLG14bERERERERERER0ePMOTeOI+YCp2lC + FVVZSxkgIma2u7u7YY5QREopXddhsrBt25pfYH2mUFXn8/nl7s+Kcy6EkHPGBO3du3fRAKyVxRTm + +j4ep5SCVAhN06SU9vb2RMTMMESOPAucZSeic0PHi5m5aZrQ05ZSFotFnU7b3d2NMTrnzhdsEWNE + MhdM45VSQgjo7dFpxxhrp01ERERERET0GOFHXSI6VBfdiUjf9zXWHNMEy+WylHLKWQMiIiIiIiIi + IiKiR2R6WN1XRJwd1n81FfXO922MMaWUx9iG8OVv+ZLOuS60BaOXqqYiTkWk1rR2D2fE0LWisnav + pGpofBH5tu/8DvOq3sUYd7p+eTDXM1QxvgrqXNd10zQNw9B1XSllGIZsVsze/b7nsopvnImFtkkx + qkiM2WnwIYiZqPrgUipB5Kve9rb9nd0c47QckDCllKJ++6K+c0GSuFKKiSQRVUkmEhpUUB5jMnEm + Tpw3ceW+LznyK4vY6rupmB7+I6x/5dUD1mfUzvHPUFJGAGrbtnfuHJiIele3XFRD1zZtX9QXcVn0 + 4favtzavte243x7ukUpWzWvtf7iU9TrUUBSRIhJCGMcxWSkiiNzNIrlIUS2qRSQWq6+e146YrcpR + H9nmDXuxOuwOf8EsztSLOvFB1E1xEhUfgonGXMwpakI2XaviSyl7O7vORE0eyM1jKuadOBnGmEVc + cGi5uPvacO/PcVL7V/8t7vBfTpyJiYqpJJOiUnS1EZWYcyq5qLjg8T/8BKaVrMmYhmHAsTczOfI4 + oOdXybmISMlZnVPVlJOI7O/s/xef8qlNCCXnxh/m62RoNxERbY8LWX+K4s3IRYhVVAjkwEWa9x4v + URc9Xf5uERHReaSU+r5HOlp8JmKZASIiIiIiIiIiIiIiIjq9EMJisWiaJoQwDANqGV53ox5b4ziG + EKZpQibbDXGJ+BVqWiPOVh656CM9rBb+rLmFm6bZwjwnSFMsIrXaKG5jajiltF7y/MR89XgWaloj + V/xlt5+IiC4W6lLXotQnrnQIIZhZ0zR4Skqppt/f2dnJOc/n8xpuVAtm3xQ3rLlERERERERERERE + p4eCzV3XyWqez8zMDDOF3nvMd3rv5/M5kg0duR1MCtZZ57oMtZSCws+oqFpK2d3dXZ96vDzTNLVt + 671HUoP9/f1az1VWSROwvxv2S0Tatp2mKeecc+667uDgQNbmRJE3AbDXl71fRPSYQfeITqltW9TX + EZGdnZ3apaB3FREEdpwJAoPwRO89pvEQuYVeiwWtiYiILhnOs07EifGcS0SPp7MmNj/r6MmWZdEH + dul0bvzn2RLl1N/pxqt1FOrSpnEcMVCGCQIRmc1m+NX6mD8RERERERERERHR1cs5z/r+zvxgNpuZ + 11e84hVf9mVf9n3f//33HlGKeC9lPZfTaQe0Y8xO5fnnn//ABz7wqld+QufDlErf7eQtm5ErpRTV + EBoRWSwW3c5MSjbvfvBv/uAv/dJ/VJWcioikGJ14E3PqihVJRURCE9IUg8hrX/vJv+f3fFbKsWka + LeacppRc8F7dtqW+w0i1mYX2MD1ZCCGnFGMUFXXOSpH74t63bA+cevWiKk5D650//H9yzhUrYhan + ScyJiIp453O5Fwp79f95OWfnXd/3MSdE8IqIcyKytqBgtQ6iPmv9PXbWNtvaFty9OCIRM1ERE9+0 + lmPJGcG96lfJ3YqVUqZpKtMkuTTO20Nz7UUlxugaH0zNLMUsIt5rzijxfdgC1LQ+w/oNXdtj7wUz + LHbYLju826tqsWKizoWsOZW8jWXjL5l6l3NW51544QV0R9mKmB0XGaEqpYiq4GDifR3Uv/Z3vuYl + z/5nizt3i5oc/g8+cQeTiIi21kWtP8UT623vPdY6YRXqNE0pJTw9xlhT9xIR0XXZvF4eXToeg0wC + XF9PREREREREREREREREpzFN087ODhJTIzwAJQ8533SxvPc1GXgIIca4u7u7XC6Pi+tYrz2pql3X + DcPQ9/2VNvoJ0LYtDmzNA1xrRW+VWny0vjeRXj6E4Jybpgn/YKhsurmsNVIie++xyzHGzY8nIqIt + FGOsxadxebC5rkdKqWmaaZpUdXd39+DgwMywZGaxWIgIrgaRJP/GlbXmSg8iIiIiIiIiIiJ6bIUQ + UMsBc3uY2EPt55zzNE3IOHDihB/KPyA5EWCY2DnnvW/bttZkvTKYO0fDuq6r1SlEBIELmNFs23Zz + PVckVsDQ9jiO6wkUMA6OShgxxi2cBiai7YfuF71lvTOEgJ7KOYf+p+/7Uso5ptlijG3brnfj6BXR + B2ImzzmHXrqW9iEiIiIiIiIienyxpvWTpZSC8TGMv9XEx845zBpgTMzMxnHk8iciIiIiIiIiIiK6 + RmYWYxTva4D33bt3/8Q73xlEutCoiBM9rCh7rqBlVRXTKabv/Wvv2dnZRVB0UXm4Vu71Qhw7Aimn + nFS1iI1x+o7v/KveSQirYEsT55zTw0xeoWlENcXDksnvfOc7VXW5XHrvMT6sql7dFo4Dm9MxTtlM + VdG4KSZb/aFrgxFuujnu/dqoxpLv3r3btm0pknJRETPzIfhV4Kv33qnL5driVFUVUwM5xuVyqap5 + irpKKGNWBIWbV9aeKbb29YjKqix0wIRFKXmcSs5i4kSdqBSRIg71zoup6lNPPeWbe/HDRUXkXmOa + pilTnqaEmtbYi/sKIqug5yhrr34GOePY6UMVzdS7EIKp1NUoT+Z6CvSlH/nIR+QU627wDkY/hre0 + EylW3vSmN925c0fkXmKmJ/Z4EhHRFrqo9aeI3MDCqBgjrvlFJKWEC12ku0VW3C297iUiIhEMs+Sc + kW08pbS+MJaIiIiIiIiIiIiIiIhoMyR8QD7nOveEyr50sdq2xYHtui6EMJ/PN8RjtG0bY8TfBRm2 + n376aVU1ulAigprW+BshkXvNl75t0E68T0spfd+nlKZpapoGeVoQUFSzuBwpxohH1rgjZXwsEdFN + 0zSNqiLOE2VH5KSoUfT23vuDgwNVnc1mulrUY1g9J6I3M170hlXhJiIiIiIiIiIiIjorjAXXaUKM + 7ZZSmqYZxxEZAdq2RYHnDZBNoAYHYL5QVVNKXdflnL33McbN040XCK+INqA0bJ0g77puHEfn3DRN + 2NkN26k5Ecws54w8QSISQhjHses6HCscuqvZNSJ6bKjqOI5934sIuhTMuqWU6g1ZhWLg9pl476dp + ats2pVSrVo/jOE1Tzhmva2ZIIsMMAkRERJcGnzgu+/PCDYvIIbpQZ3t/bV2e8q1zuf3J9h3/M/3/ + sLMlIrph6kRAzhlTAyJSKwFgDRVmEzDazxVQREREREREREREdF0Q7TwuFhjMLE729/dfvtN/wR/8 + gr/99/+eIKmHZslF7JwRCCbmRH74R/7ON3/Tn3726Rfd2tmJMW5b/LN3rmmaOE7OuVu3bi3HIYk8 + /8/+6c9/6N9lEXWGGUeM7sphje+SYlFVM5n17UufffEbP+/z1aTtunEYkfAL1fis2PmKgl8exLp3 + bYtIUaeSTUJwzrmY0mERXKdmVqx459OWzVjmUkTEOafiUzosyD2bdYvlmONh1KtKKbl4US+CSNb7 + Zo3X/yCXNp2ccxanIQQpeYqxm/U5ZxUJTqZy2IoQXCklFzEzOe7fRM/YSFShNpH7J5tTjKFpUp5E + TEW7ti3TWFaPdJjLsJzimIJPKbXqZVXTesU5E0vZifjggroppuDdlMsRDVQ5odDk8W8LVbNsIuKd + HJZeV0Huws57FKFUVROzsx6cmy+XYk5fuH3no7d/S0RMrC5a2eBw9crqWDWqb/jcP5BT6vsOqZuQ + ubCYbfrDEBERXa0LWX+KC/gavIGchliwiS3XZU0M3iAiunbHjRflnHd2drz36LSR/n53d/dqW0dE + REREREREREREREQ31f/P3pvH25JVdZ6/tfeOOOfcIfNlMls2DVSpqF2IE9ioCJpCi8mglgzKoGBC + fbQKRO2yLf00aoGi3Z+2tAAZTBAHKFD8qOWACNpahWWjKChVAlUpkCiFQJIv8w7nnIi91+o/VsQ+ + +4z33pfvvXtfvvX9vE+8uBE79hDDjjh7rb1+Gtu5ruv859bW1ulW6W6MWv2yW2P22VhG3ZiVEIKI + qK+jhai9uKi4tUq569W5nHHaj08Osc7MKaWqqvSZJaLhcDiZTACox+xx4tUPBoOmaUREYyNfhvob + hmEYFxd18qzrWiePhBC0Y1+XvqoqfTvoR0gIYTweA/De60tE332aw1mb1HYkV1h1DcMwDMMwDMMw + DMMwDMMwToQO72qgrul0SkRZ41mNyqrorKoPG/JRu6AOEw8GAw2wpbtUBFpN0VVVbRhuvljEGEVE + Y5A557I0rHNOh7/Vjn5kgAM9LSkl6dFBc7WqNk2jQrCGYRh3heFw2LZt27bb29vaL1VV5b3PvaWK + 7qDvaU+Edult2+a+XXszLaJpGtXPTimVjkSGYRiGYVyBnLGgxYZhGHdbzJ/QMAzjikSH3abTaV3X + 3nvnXGnF8N5Pp9M8scowDMMwDMMwDMMwDMMwDOO0ULdnlYsjIhWHe95zn0sqc5tSXc3cCE/qkK06 + qfB0OJm88tWv2r32msjpDIbBAqAe4JETQ1zwoa5e8cqfTQADKTGAuq7Vr1ITd+O9krxDM2n+5Xd+ + Vx0qIqrrOglrAvWTVLf2s0Zd12CWxARUjgiQyLGJxCDAkxNOEHZA4nTW9P1yeMHt0UhEKgcCpuNp + 8EQAAZUPlfMOEIj0kuyXvxXe+9i0qrxYVRVJN8dBGB4IhNqDIzPDaeUWHjC6+JWOMUIEAoi0zRRA + BSJgEAIBARgMBluDYYrROcfUaVoLdf+6dglqTxK5baMHJLED/EUxdwgg3R2orSeGAxygZ0/PpwMB + 0OB6l2GeyBlERD784Q+3nI6TWC1RKQkAItJz++AHP/i+973vzs4OATFGDeGk9qxLWnPDMAzDOD4X + Zf6pfrSHEHRep36MaT7OOZ2UqssjY90ahmEYlwFeg3Pu8PAQ/SuAmbe3t/MojWEYhmEYhmEYhmEY + hmEYhmEYhmEcBxW4VV8CNT+RcVHR0NkKgN3dXQDrNK31imi0W/XiSCnpgWJcVPRWH41G6sOvjs2X + 45E7IRoDWStcVRUR6b0kIuPxWER2dnbUTCxHOQ9rEHhtvuqhXo4GGIZhGBcV1RZhZv1aaJomhLBh + flbbtkSkR3nv27at6zqEMJlM1MtU3yl0lD7I2eQsTkszDMMwDMMwDMMwDMMwDMO4WOhAsBqMB4PB + 3t7e7u5uSmk4HE6nUx35VUvhZsufxgvQ6DnT6XRnZ2d/f985N5lMBoOB5qC5XYbB4hBCSinGOBgM + dJxazerahJRSVVVt26aUtre3Dw4O1uWjUeE0XEJpUNc8vfcxxhCCc+7g4GB7e/tSt8swjLslapMb + DofaVWoHBUDjUdZ1nQOyXEBkFiJSK512X1qEOoio/U+jyHnvvfem3GMYhmEYlxhzpjQM40rBfhds + 5Ip0gzQM44K45IH3rb+9uKz+3ta4mWoIUBkA3R5CiDGq4UBEBoNB27Y2OGYYhmEYhmEYhmEYhmEY + xinCzAR475sUq6piwZ133lltDb/4i7/wsV/z6N97xx86decWgBhEYJKjfBFKfd7sFu6AN/3Km7/7 + +S+4bvcaySK+ZweW1EY4Ci6MJ9MwqN/153/2R+/8EwEGg2oybXNCcmDmlBBCACfAC6f73POeT3vK + U9VhUkOfOO+ZWViYpYI7U/4bJHAAx9Q2zUMf8pCnfsM3TJpm0kwZMp20e4cH58+f/+QnP3nHnXc6 + Iudcm1IqLJZzpoyVYsyXHkfEQGraltPXfNWjHvSAB96xd+fhZPw//uGT4/H4jjvuOBiPpVCFzmef + Vlb2krVC46AxM1JKKU2n04d9yZfGGFvhT5+//fz585/4xCc+dX7PA5WnJgoDXZi1XCVy8y04Nv0F + K+O2kYdwItDW9tbk4ICAYajqOnze533e9vZ2M5ne47rrtkdbAJjZe481Uzn+8QMf9KQbHz8+OEwp + 3XLLLR/5yK0AmEFa3NLTvfYEL23SlE4QQA4Q4Pprd+95z3ve5173vu+97/OP7vcZlfccU3BOAAeS + Y0Smu/tBREnS+/7mv0p/ClNKcKS3yfLZLs+Qd123/7SnPtWTAJxSIumm7RB5ETmFR9owDMMwVnFR + 5p/q3CWdjKmfDefPn9d5pkTUtq3OctJv+LZtz2bQXsMwjKuHdaEAckhZ7c+dc03T6JvCMAzDMAzD + MAzDMAzDMAzDMAzDMI5ERNQx8tprrwWgAsxt216FLoiXlBjjcDhUUckY497eXo5zu5LBYKCi13p1 + qqqaTCabDzEuAA0yHGNEHysYhRH2TJEDFKvw/Gg0Go/HGu9dRPb39wFotGQN3rIuHzUoT6fTlJJ6 + GRmGYRhXHOojFELQyF3D4XAymRx5iL5H1Lk0y5foXmZWVWz1KT2D78ENmKy1YRiGYRiGYRiGYRiG + YRh3ZzQ8UwjBe9+27e7uLgARmUwmauBUi7IO/m7AOeecizGmlEIIal8kouFwqPZR59zlFEzVKAkq + 16pmTjXWlm0hooODg2zHXdcu1bQmIjWF6hi3WkOzAdg0rQ3DuDCoR61xGopFu0rtrLSfcc4R0ZFd + 8TLah6s7keajgWDQu3fkt8DZ9GUxDMMwDMMwjOPBpgxqGMYmxIH4TCwNw7jsLBsCFiZ66YhZjNFm + QBmGYRiGYRiGYRiGYRiGcbqISBWqyf7haGd7MpkguOFw2KTknfvWp33LH7zj/40kOrbpnU+cVijW + biSlVNc1p0TAbbff8fO/8PrvfcF3EznhRGcpEJyGOzkYH25tb1fe7U/GN7/+dQx4R9NpG4ITkaZp + NFRWf0hiTg5wwLO/7ZmVD8E5T9S2beVD27be+8Fg0LaxbVv4M+YqKSKRd0Zb3/LUp33r074lciLv + nXNNTESkkn6f/OQnb7nllj/8wz/8vbe97X0f/MARytCXneC9iHjQD/7Av07CcC6lFFm2trYmk8mH + P/zhW2655f3vf/873/nOd/3Zn+1Nxlkd+qLXnwSy5vKOx2PnXKgCMztga2vruc997k033SSEltNw + OGya5taP/t0HPvCBd7zjHb/5W//hE58+r7LxM3HoC6jrUfeaiBweHOwOh899znc86+nf+pmf+ZkA + vPckwjFVzmdDRlcFmi31NvimJz7pCTc+PjtM3LG399a3/f6LfviH/+H2T/MaZevjVDlneM1w9OhH + P/pxX/d1D3vYwx5w//+JiGLTDAaD2LTDwXA6PiRywiJOSM7E3Xg5EQIcccsf+eitDkgAgVjEec+8 + +mSotcp7SklEhAQeeNKTnlDX9Xj/YFDX9aCeTMZ1XbcpdormhmEYhnEGuFjzT3WGZoxRJ0+Vk5tG + o9F0Oh0MBhoQ2TStDcMwTp0N8+61G899dV3XNjXVMAzDMAzDMAzDMAzDMAzDMAzDOCbZrpTVEDVW + qslaX1xCCPkMq1PH5vM8nU6rqlKnDtUtBmCa1hcdjdleRjvJ/vBniiwyqhHXnXPj8Vi3A9AmqOFY + fYHW5aMN1GO99+omZPZlwzCMKw7vfe7wnXP6jbHhLZY/OVSRRIVLSqHrEIKuX4nOovbZahiGYRiG + YRiGYRiGYRjG1YjOKS1loXW7WqM1QICODm82/2vKUhZat6sstJZyVwyKOSxCrjMAzTAbaE8ky43e + Pop++DuPd19OWW7DuBTkRwOFdWcD+RHIx16OWl4W9Dxo0448FSc9D7mvW9l1qEaOdlYXdko1FCMR + 5fy1lystfGW7tETt5XKnfXe6moZhGMbF4kTvR8wHqblKXi4i4r3feGYcgLoOTdNo+FEAn/XZDxwM + BkQkiZm5bdsYY3bbzedNf3fEGHVv9rbRc6sv/bZt27Zd78DZQQTnIAL189l8ZZZbo8eW24lAtDa3 + nFKTLRy7jH4ZaZoy5eZyy6IX0qxryAIhuJR44dh1RxGBBSAQQA4QCCA5oDKB0K0Lui3rlsG7xFwe + Wy41n7zXeXSBx+dL2bBcl/Pm5XLOC/nkVh8zN3JdDsv10b1luy6shsv1nKUBROaeJhR92kV0/dIi + jpPhQmXyxnXHbsh5ZVZHZnh81uW/crv+tLkorvD5x+mR1yj3gRflUpZvqw2XY8Mu55x275tLuSu3 + 33IFNEM985d/Hs66Ei9iTTbc52WCu1acA/gClvobOq9DnCCVaQgexDnNMZZYuZ3IA10+ug44kVSu + X3ArNM+VOTgXdPvKvWWtLrjcE9U/p7805a69A9feeyu2EPqX5EmhIj+9q1niifK8i49c2dIjn7sT + 5YajOtgLe4p1EOxER6k9YuVo/0pyxZbDJQPQcMkoTA+GcTVTDnTrU6NbymEEVRu6SoYI7k5c2PW6 + OuwDwgAAIABJREFUCseFjIvFSe2VZTLtaux+Ow7Oudw5lz8qdZqfGhZh3zmGYVwFLLw49A2k37Wp + eJ+QOAcwGNQr8QkC8Dfvfvdn3ud+kLMYHMQwDMM4ezAAEgdACEJgUCI869nP+Q+/91ZWC2f368YB + gBOIEEhEAgDg47d+ZOCDV4lTBqtmrQOEmCCEyPyVX/XI//6RD7UJaWHIt6jH3CYpVx0AX7kUI4Eg + UgH3uv4e73vvezyRYx5UtY6vqpe4umef1k8wEohINRgcNlPy7kMfvfWLvux/dY5aFqwZLa984BQJ + uGY4+st3//nWcLg9GoF5Sa6bBGgdHvHIr/jgLR9SZ3Gh7MTgABBmb389ek7RuPjDAwR44Jb3f/Dc + NTuSuLogC1qupFD3FxfSxQsw8Du/97YXvfhHb7311mlMnTguEIKLqfhuKWuxUZJZW/GSF/2f3/W8 + f44Yg9vsGHOC+ne4mV2YmSPzm9/yaz/1Mz/9oY98hAhMSAzyEJmv4dzdu1xk/p8gEoD/+Pa3/y8P + /lx9gpbPm9aw3F6uMzHQXf3cCgZ++3ff+m9e/OIP3fqRyMwghtR13bQNpJtPkR/D0Wik0dZWnZ35 + P5euSxU8t+nnX/2aJz7hxuZwvLOzoxEAtc5ONJUstKKUtdbH1hMxc/DVNLZJ+M7Dg0fd8LUf/djH + QI58ZzSpfIgpAnDFRgA6VcQ7EFFKUjny3rdtvHZ39/te+D3f9oynD+u6m6OROps1ERH3dekb5QTa + X109CCECoa6f8KRv+KM/eSerVbKbujLrT8regwSj4Wg8GQNwAAGPu+FrfukXftGxOAjJ3AMlhKVO + zDAMwzhDzH8FIRGio/v/4wcejBt9Zc6+/7F2fOlKD223cv6p+vstOKXn8IXZfqHRb++upp8jG2X2 + VsMwzj6buybrxwzDMAzDMAzDMAzDMAzDMAzDMIy7gsbwEZEQgvown01xX8O4uOTQwVnfWkTynN/T + IgddqapqoTJUaG9vjt8CYDgcqtCp+hGV4cUsrruhTKfTuq4XghSZy8GFoU+ruuGpw14O4HDSrK50 + P0bj4pJvp/F4PBqNAKgL6EnzyTHzNVr+3ek2C6ddAcMwDMMwDMMwDMMwDMMwjFPAOTedTtXgp7ZA + jXRc6kOLyPb29sHBwYZ8mHkwGOggcl3XOai9GimztePg4GB7e/vC6qnBmJi5qqq9vb3d3V0iGg6H + mvNxNK3Ry2N7751zbdvu7Ozs7++LiBpEz4KV1zCMK4W6rlXzRuVz1PciS2A656qqop6TGtWyo0YO + 36/5Zw+PrH9ZVdXBwUEIQTeitwviQjUqDMMwDMM4JvoDKiUhwtb24Id+6Ice/vCHV1UggSAJkyBB + HIgJnpwIEzlRUUwVyxQm3avreS8nJG6DrzXl3BIAVBa6+7rQn28pJQ0St0z+KbfwQaIOoCklFdjW + T4ss6Z13xRh1l27P6q253Ol0urLcvb29/CWzsGtluVVVzaIb95rf+gsO84qMyrqfqE3T5GPLQ7T+ + uVE5gXNOqPsxmFmO3J0bvre3t7LcXOecMq9ok2OMnVo5gRmu+N050/nesNS6HG9JVBw9nw+5WUrp + Q3ZvyM15iMzy6EQ9inxEZrkt1JPcbO9CuXPrq9obKjB3YucLAcuX7+RZGHciIgGcCAObPsKd25Sm + 3EhEukE/sZdLX0g5k+BexboqLeiPEokKtaqs8kIpvfhuLmu23PCzYz4TEDnVrV7eTiSpCINetusC + fAXLC5Trz9ydzzJPfQznT8timgXmz/lcPefP56y9687JcrX7yri+oLlypWC+PqtzW1fQgv7rQobq + 35ndiHOHuS63zZTxQ1fWal3OC9uP/IVbCmEuHL50M8wU19a9Mo6PoyCiPZajTlkAgNctmmTlkjRl + 91g5AFKsA86RI/KJUx+avdtOIKLAwqty1vPc1aFcSu4Z4fp1WVjvynWOSF++XfTbMk+R1e1at52Z + NX8iT0S6BBBjzKVr/roXgIhu19HdoGdmXf4LpWsOZT37Ehfr098LQUtn5oWjls/hqvM5q1V+EstJ + L7pF+ukNx7nTTiRorXrVRMTCC9rVc48AhECl6PVJZbP1+VruCnRAe/kLBCd8rMovrvLP8rle7qYW + TvKGeq47/+sG9tf1qzq2j6JnV5XrDU1T44JGSR4Oh7fffvvOzo5qWmfHep15ZYqPxlXO8u8gnX/Y + tm0IgXqJ6+6T1MafDcMwThvtlvVrKoSgg1o6CVzdP/SDJ68YhmEYhmEYhnH28eQY/IJ/+fzveuEL + AXgHkDuOd/QCKUYAIlJVVWrbf/j0ba//xV967rO/3YuoiT//XtD1CyjioqA+4dOmYeYwrF/1mld7 + 75q0KVZdZ+gHnvaUJ1+7u+uJKu/jxkPOCCRw/QB5V13qTFmrzQUOX3vDDY/4yq944Qtf+Bu/9Vse + JE6IKEZeVFC+7KikNAFegN6gTn2rokgQInJP/eYnP+Yxj3nRi170pl97CwQEjAbDw/HktKqt57+r + fH/miXDjY7/uiY9/ws++6pU//tKX3nF4EJxvm0btT/nR0J/b4/F4rUki2wCXIap8iG37xQ/9gq+/ + 8etSG3e3d5ppMzPEyBGXtLPTMHtB8D6ypBgHPoh3SeTHX/ySf/5d37k/HqfYXYOYoneeiGKKElnr + 37atPvLMcJCtQT2dNuD4nGc+6//4/u+/333uPd7br8l7IWZWY47ae7pGyOwc5i1XlbK1c+7T529/ + /wc+IIB3njktuiDMU4Wq07R26g0mz3jGMzjG4NyyprVhGIZhnH3WzT8tbce6wsxqs5BiGpS615q1 + wjAMwzAMwzAMwzAMwzAMwzAMwzAMwzCuHvJEdV1XTWvvvclaG1cDKaXsdawBhI8ZNf3SkQPCq6vP + Ok3rwWCwLqgggK2trcPDw8lkMhqNJpNJ0zT6UIvFdTfmGQwGumLBfO46+pTp+1TDv1RVtVl73jCO + g/b8RKSht/TNddJMcvx8fced7pvuomOy1oZhGIZhGIZhGIZhGIZhXHWoAqtaenIoARHRkPTee41e + 5L0/ODjIJsZlNL6A2h2dc+o9oJEI1KCocQeYeXt7OxtCToSGWvbee++n0+nu7q5GN9Aq6YC11n9D + PdFHldJoCIPBYH9/H0WY/tLwaRH5DcPYgNpxtXNTURyNvYLeSyM7UeGCdLkmk0m2HGtvnDWttRQ1 + IavMpG7XOPXqw5FS0p78IjbZMAzDMAwAOVQsVG3SuRijc3RwMB2NRqPRcDI5dEROmOAI3EuusqQk + DLAIkgrWEpjgIF0aIeg6Qbx3wXkVEQYtLOFc0B9u/bveM3tm3t3eWlnj689dqyubZQXzN0wpY5bF + EfXbJperP75U+3mdB1J2KM9Lzbb0N8qFLtdkocJlmgWdxQX0w6wUwy5PVylDq9LBC9VYYEFOe/k0 + ZrJz7UJZqv2Wz1jOtvzdKr2OuKpur8w/y2kvnBbphcBLre5MqSCuUQIXmpllvNd5Erdtq/lr6/Lh + +ueyQnmW1VzYtdDefDIPDw9XlqsBEHO26K5FN2rBjJTaGDnGRoREkopAA04kpSQxNilJSi3gdPvC + cm/vAGARykvdLkLa3JTalIQ56nYiL5KKcpNeh3w68x3a1XZlq9bjHZizurmIQJAABN9pvuo5E+n2 + rhYDX19uVXnm4uETiLCWC8wJq4uwRtsm6loncyWC3ExCutu8WloaINRhvty+ddTlt1RnytqwXf7C + okrtK/PXvZDFpfckEE6qvC4gCPex3ZfycR7Cs7aQKrLnywFVXO4r2D3OvPAk9rLTJ/On3PxLcXZd + 1lAGA12fSSltu7rcLC+93D8vZNK3dHYjrmTduNyCzG1uYF/WXN++0E8uVHh1uTLrP0/0I1xyniIo + 5IFzVVkYgqqq+i6I81NR3hsL1RSRLEmwUP/lF1nuP9F3sFh1Jo8zuqDv6xXNnKkOz+WTb2Bt3LpS + jix6IUH+sxgbYeZZIu99fjNuuNsvQOi8P8QtP6cL93m5XdbIXRxTdrrrEbsbQzs3wlz/0O06Zoa0 + Rj++vCuoYOH7oXyUuhrO57buEV6XbPmrrG/XYn10ZaV/+UKjcnqs7zfW1VPz13Gw4/iya5V0AA1A + COHcuXNt22qV9Gs2xlhVlSk+Gob22zmwuHbX+oCg/87PPaqOVBuGYRiniHbIVVWFEMbjsW7UTxpd + atedDYinW1vDMAzDMAzDMI4Dx3Y0HD7hxhtf+pM/8YlP374/nYKW7AXHyUgcANDMqvX6X/iFpz3l + ydcMhzouqiZgdTI8xaAhdV1Pp1Nf142kT912+y/9+zc1icNK2eDeoMTCNdGoqm96znOCUF1XbS8P + rNKwVEgXn1mcAJAsbr0SL9gZDqrK/+y/+5l2Ov7d338HgAQJwc3JeM9MbZcVKsfw1cTdG0c8gRw5 + oq3RKAT38pf9zEdu/dC7/uIvmeXwcOICcbpU1V2+6DRXlENxh+gRXhCcO3/7p7/zuTc96pFf+fRn + Puvv/v7vGSCCC3WbYjYR6gFHh39abhxLQgLwxV/4RQ5EkNQ2nmZJF2pdtmLuTmZROWQHSpxcFZhl + UFUP+9IvPRiP0Wkts0BcH2BIgKqqmFmnhDiHEAJEkHg6bbbrwY//2Iuf+uSneKLJ/sEgVN6R6ix3 + 1tuiLe6y32Nnjcj8t3/7t5+47VMCCBGy2XdN+ja2ALynlMQ7edD9P/OGr/6a2ntKTFf9yTQMwzCu + ONbNP82eGKWHSd5IRG3bikh28zi9FhiGYRiGYRiGYRiGYRiGYRiGYRiGYRiGcTpogLI8z3GFk7Bh + 3E1p2zZH19msFX0ZKAPChxBUjjrHTM7RgZxz0+l0XdAbAIeHhzpbOU9q1vnLGsIFFtfdAFBoqGc1 + 66qqbKr7BSMi+pBqpPGqqiaTyXA4PO16GVc8+oTmCPYaMfWkmUwmkxySF/0L5W4TwsvCKhmGYRiG + YRiGYRiGYRiGcdWhEed17DiLb+XwASr8PJ1ONwjVKJpeRbzQC8/kiPYqRK3BCy4YHYnOcRDUoKLj + 1FVVqbFW9bM17tI6dK+2UW2lWSqmDNOf7V6GYRgrWda5cc5NJpO6rr33at9V9xH12Dhp5Mfco2p3 + qjlodx1jdM7pOnq/De1vc9+lG60rMwzDMIxLSqkgSIS2bWJsmHlQBUA957yI6tEyEVWVy0KegP4M + SQCoC0Ktr34N5aZB3/T7YXHZtipO7Dt5bBJ1DWXmlfK9We63XBJpQGHul04k6TLnDLCIpvdEEmMq + xIM9kXjvN5Qr4jXPlfXp8ynrQLkOC+lzbYm0hq6s+cKyaRrNgUicC3p+NL2uiyTA55wjA8QQJ0gQ + p+sgFiYQgxe3eFflLeUypQRiwDkPgiMnEA/i2CYQE3zOQdeJXF6HeOdBRM5ja2trZf7XXHPNrFbF + du8qQeIEQRImckLw5ASidehqrq3LW/pynSClKCwx+HplucHXmqbMH8SOQs6hbFdZBy1X67aQ/8LZ + XlkuS9RyZ3VelF+dSe1KL7ieVTY1wTo31uw5vTDikYdH8iBJKdKZ02dJ2nJUQctVJe/jy5Nrtho1 + PquMZ4dsnYmhgR3z3vLAnJuS81+gbVvVPs+Ha3ptL7OoBDmrEDQkJe7ltFn3psQi3LZRumeq2ysC + Ed7fP1gpbZ3atJCzCJhTVdWac4xt28a2bVJi3c5db8CaUrc3Tbsy/8PDca5D3k6Eto0inBKnFFWB + Xfd6HwBhlrZtmqZtWxaAGd6DuZAHLzRpiXSYq7ti8zfebG8p1nt8vKecX6GOPLdelDWrxoJM7bqB + O9fLli9QFlE2qnQoXaeLPC9Ju7pdRMgCtXqWVLR4WbbWOTjnYpyTvd9QgaN2Lcrubh7SLGdQiOgT + rY/bYj31YW/bTZNDjq++vK6Ny+MGzjkiSSlrYHdvNBHqe4kVz8VGOXApnpTuKyJGzjeA6jvrO5oZ + xY1B/VO/tp3OdXXL+et7eUH2OLdiQUa9rM9x6p+XRE6fcS1R15c1xbNy9lp59b4dK78uVnzPYPH7 + Sua/TAT9V41oelopaE1rAt3z2ufalbdQXs8ThFC8qnIQ4TXn8wiWZweVL8H8Kiwrc6QdYSG3/KpF + /6Atv9835NALMDh9h24uC0CMUe0damXoX4Kso2q6vHs4xBvGXSdb9wAQkaoc6aTEciBaZy2ecl0N + wzCubvSTSb+FFsZA9Bc1Eel2tTxav20YhmEYhmEYZxwnAMgBo9HoWc961o++9CecDmWfPCtyTk2Z + MUYCBqH66/f/zdve/o5vevzjNc6IeiHqSGn2RbzMkCA1bQjBeV/5wc2veMWdB4coPDHWkURuuOGG + z/4nn4WYOKbsr77MGf8V5KQzIayjmUxbTqPB8Cdf+hP/+U8edefBPoCkxpTTCPBVCocrKnXMnXEO + AATiSE2tuOP284PRkICf+PGXfvVjHhsITEhRTqXyKGo+r2wNbuO9rrv+sJn+08///N/97d/6lqc/ + 4y/e+54kaNpG+l/celvmSRYnopvpANx2220ppd3RVpxMJDH5mcz2caqthhluIxypU3HTRj+sP/7x + jwMgUOIEoApVG1uOrR6nZpTepiNtEx1AwGfc696vePnLv+arHhmb1oNcFYgZLCICEddbcNDbWZiA + /qbV636WleMvBSLyn/7knQw4Qkxx5XWjeVlzAqUkDmDG8573PB9ciimAMHt2utPIvauZYRiGYZxN + Nsw/VQNEdiMpQxOmlDR6pqYxO4VhGIZhGIZhGIZhGIZhGIZhGIZhGIZhXFUQ0eHhYQihbVvnnAaI + 2NraOjw8PO2qGcYlR/2Hdf6vakXjGHFULg9liPjpdDocDrW2WXt7cyXzpGaN91KGfLG47oaimtaH + h4dbW1s5JJFpWl8w6thfhkAfDocnDXJuGMuUEeyPE7JvHdrnhxAmk4luuduE8DJZa8MwDMMwDMMw + DMMwDMMwrlK89xpHAIDGCFDTYFVV0+n0mHLRADQuWClxrXEKVC7irpsVNQfNU0Pna1nZ9qmeClr5 + ze3V8Ewai02tngBCCGpb1bZbuATDMDaQO0bvfbaOD4dDlbVWQxoRqVvGBZh7czelHh66MZv3UkpZ + 3047RgAa8AW9M8eC6rZhGIZhGBcd/dkRgouRycE5572v6zrFdiFlqbO7sDG7mS6I7C7rCGa8J4A0 + FcAiqj7Lzq322BPhXkV7pp8twt7r7x0qtFpZq0mkiVU4sxOZDqGWLiRtV66mJ/KALP8jEm2BSLkR + /TqLJBFdEsB1PQScCInMHaLJepltldAWgPWk5hblpfcecL2opJRtz+dKRESSNrMKtQgE2nwIJ0Ag + 7F0A+kjQuuzOfILmM7+sfNCT2fllcdJl3YXPJoCEZglA3Xmgbh0+OCCIMIQBLCw7OU9HIt2xpIK1 + HAnsyQGg4LKMd9tGqPw5BKQBhx3AMXb17y+QOE8i/c9fWlpyhCSn0qHe6UUUEU5tJ0EKJucBOEdE + ruUEZiIQC8ERAcEBYO7L7bWDBatb2i05kiSv9xDNVDNjbLO0tSNCJ/tKMSYICAQBEXnS+pNKxa+g + z3HhMZOUuqsFkKN806qM30x3s1Pf7B8HdCMV8D44MDu/NVxZ7LW7211B871BjvZY7tLIjznZQh+C + wgswH7tBxltdwDGvG6pDNCLEHPV5L4XtS8F7wCWkLJpeipovy5bP5MkpMEdmZCF5LUWEnAORdw5Z + ApZJxa87cfQy/yyjvrBUMXWVTi+3OAq6Jcuud/LzUZyH5qbbHQXnMZ20idvYMkvMYvD94BKh/wmW + UtLOWX+vZV3zvHfdeNQ6ufHpdLpSzlx/5anMQNu2ueg8TqWXj3vWDdmNx2NNtiyfrGNiWnopIlvm + rDroC4fnBCKyv7+/slwVdy9PiB4VQlholOaT71vNWY8VkfXy8GunJ3V9RvfalXLjMt6TCFQ0WosH + spZ5t6EXPBb0r8C8t5TuLllWJV8q1/WP44rKl5kI80z0WIQIEBU7FpqVtLj0a+TMnUNWqtaeRtD1 + eH2pc9vz2cjpN4eml65JUqRnrU8+k+h6Oc7lzvLnxfos6K+va6/mNgunnzUTltBrl089Le3VbMhB + WEA559XL/ix1S5rJVmvrBATIbDnf7Zf32Dr56qLRBev6mZXbl94as9ssPyCbKV5Js2W5ZV0+y/dz + zi23PW/Mr7+FCovIuuF550Lui3Ls4zw/aiXe+zxSh/lPcZV7zOaAsr81jKsWfS7KZyHbEPWLhZlt + GqFhGMZZIHtQ6ACCiDRNU1WVduN5OEU/ddYNNhqGYRiGYRiGcaaoqmp8cOjr6plPf8ZP/dufSQ6H + k4Z5lf8hbfgLwiwAuaBGdh3qeeUrX3njYx6zPRhobLi6rsfjMTOPRqMLUOq9WKjViSt/8803AxiM + hpPxeF6Qem4M3DkMiJ5303fEabO7s3Owtzeo6ySb/MbPCEI4Ti1Le8z2cOTbhhP/o/vc99nf/qyf + ednLZznIwlXXM3bJz0OuHvWivFiKXyMicATgmu2dpmkGg/rzP/fzHvkVj/ij//RO51xaMGp0hoNL + Vf9SNLqU5XZZ05rgvZtOJ1XwYN4aDt7yK29+8pOf/K73vAfOu+DVT7hLzLwpnNyazdmF+F3veteg + qscHB8O6TixHClovZi/Jh9A2rYMLvm5i66pA3v/CL/6ic8RwwglA5OS8TykBrqqqtp0CGA6H4/HY + O3KV4zb9o3vf5y1vftODHvAAl6QiJ4kddc406J0KsMpGyP2dfLVpWgMIIbztbW9DYedSTw+97m7N + 7euAKvjdna1v/qZ/Nj0cX7u9w22jyuJCmO/rDMMwDOOsszz/FL18ta7rRv34SSk1TTMcDpetz4Zh + GIZhGIZhGIZhGIZhGIZhGIZhGIZhXA0w89bWlnopZwdF07Q2rhI0XLBqP7dtq965ZTCxy4xWo6qq + lNJgMNCQxSJy7tw5ItLaTqdTjfSe470vQ0TqRCQiy3GSLa67oWhAkq2tLQBt21pk7LtODjCu+gtV + VZlMuHFRyLEpNHLXpvkya9D3iy4BjMfjqqpU3+TSVPmyYrLWhmEYhmEYhmEYhmEYhmFcjahZQk2b + 2finf+pYsEr1HCkXrfKuanfMw9CaXnNTa0dVVRvk2dahUQ80hxwpKcaowZe99ypHcWS2IQSVxtE/ + dZRcNXVUzmcwGJyoYoZhXLWoeUxtb7ql7ILqulaxrhCCc26dxtgG1JIXQlAbvObgnJtMJtqR6tI5 + p52hc240GgHY39/f3t7GMbpEwzAMwzDuIr3inQMxEbz34/HYe1/1eng5pf6Kyb9Eyu2acqaVW3jz + rHPr6RMLQKXgYi/fuyi76L3vS1D/nm6pgWt7YVYV5SXAxxgB0nBzvXYwZRlXDY3cCVLSTLRyhdyj + lHKP3XYRMLcAiDRQHQBPRIBvmklunf7W01URAZyWW7ZindhkWa7IzDWWuc0tJRLnvDr6tu20+KLL + Gj8+pdXn07kuuuzCMssn6zlRLW0RYW7yhctnGyBmlZJNmI8I3FdmRbsKfe5IRNo6lfEW0euSmEUk + 6pckkev1jiMzizTofqGTdMKoeq5Ul251e4l0SoCqZXelAKgqj06DFsyRmYFIRHVdizgR0ZoU10Kb + lgDK5a4scf5+llwHPQN13ak0iYieQ2YQkX4e93K8M3Ho9W5tK/ShiYhoTjZYRFLiLP/cNzkVMp9Z + kB79w6IanKvHT1ROXq/XXG26O0FrMtulz2nZivmOIuuD9nLja2S8nXO9ljmprKmqzKrLe66Fc44I + RE5E7+Hu+e0CUMKznqdOixoiTkgAzxKhvcH8MqHV00hEAAPUP0faUlbx4tlwk3eiCqmp6yXICeX4 + z7S4JCci6NMg9xWdOK5udwDUAddXleufNcfMIg5gEdreGaVUq5Ryvh/KM5+VnnWXOuUXl6+TVj2p + G2Vd1znnMj54KZudE+frnjfmYzcoSuY7udyi5UqheL38vOS9+efnQtFSyFEvoBKzWQW8jHi+8EO1 + bGNOpuUy80k9p1XQIeeTpbXzFIgFsqz4svK39LLiKtGtt6jWp+8ZUt5VqnfnBAB62e/FV0bTtFps + SjFvJ0LfbomxTYljbEUgwt4HoOuERcCcYkzMqW3j8ssIkPPn78jrXZz5vgPXWmv+/YtANH9myXtz + TXLdtFxVQl9X7t7evgj3Hwndsn9FJm1RWW7f1SDvjXFOxfmY7s0haPW6P7MY9oJ2MlDolM8rNHci + 4vn7S0WrjyrdeypvHG3UbLe+ubp8BALn5iTPc2XWdRtpzTD8QrtKnfWVmutlWcDc+krWyWkvb8wn + c4Ui+8ZSREC09oSUzSzbskD5vtPuIqW0QdO6/BTXiU9qyNCBuzyIp32CyfQaVzkqj1p+FTjnvPf6 + PlWTnIiobVETn15lDcMwjEXatlWHB+2i80eOWhiHw+FpV9AwDMMwDMMwjKOJMeogzL3vc6/nfMe3 + /9TLXsGFnWgdNL8uADmnJltdmTSNA/35X/7Fn/7pn97wqEfpTwaNG7JgS7rMeO+j8Pb29itf/9p/ + +NSnBJiMx3AOq4S8ARCBGQ9/xMMf/vCHUxPbaRPIOQHLCpHdM+hAWcoqb0iQueP8+e2dnZZTGNZP + uPHx//ZlL/dEIsJrBZQvLapn7OaVrTNEpKZioc5m0GhQm5gGVfX1j/26P/qP7+TI3c7TuzxCIAFT + p2ztBHAUp61jFmqvP3fdpJm+9ud+7sYnPfGWv/t71dtWpUb1172AEtWe6Aif+OTH3/Jrv/qUb/xn + sW29KiIfDz3V2U1B3RPgqKqqD95yyy//8i8nFkFnMVG7NhGpS4DGlRuPx1CLSZvuec21b3zDGx78 + 2Z8NFgKaabM1GLZtCz93VZIwWOCI+qul9+dVKGgNgIG9g/2/+i/vk96ZJhvj8/mZKVv3d7hABlVo + 2vgd3/7s66+/Pk4mzrlLLj5vGIZhGJeGlfNPs/dXdqBq21Y/cg4ODvLMpvF4vLW1pYFxT6/HHjLU + AAAgAElEQVQFhmEYhmEYhmEYhmEYhmEYhmEYhmEYhmFcVohIvQs0Rg0RtW2rvo6nXTXDuOSoB28f + ta9zO72AuMQXixxCTQNEZIHtyWSStbcBqLP0BpdpEdEAyDlEfNM0+miLxXU3epxzKgGgMQnLae+n + XbUrlXwa9RGbTqcmFm7cdbJceo7+ukF8ZB0iMhgM7rjjDgBt22ps/FOcp3ZxsT7LMAzDMAzDMAzD + MAzDMIyrER071hhGg8Fgb29vd3c3pTQcDqfTqZoJ1eq/eVhZjaNqjJxOpzs7O/v7+xofeTAYaA7Z + 6HjSSqotKsY4GAw0mL4GPtAqqdRE27Yppe3t7YODg3X5qDx2CEF1a9BbdjXPbOJyzuXoCYZhGCtR + da6s0aX9m/pLqfCVOlFplMkLs8wp6qgxGo1uu+224XCoXah2Vuqbpd4h2r8R0c7ODvowMWa2NwzD + MIxLinNO1XzJgRkqilnVHm3nNi0zgcQ5udBye6/2OtP7zH+uk0dN3GYJ3jLOr3Orf2qxxAXnHj28 + HoQcn1o1iDUCrg+uO65TJpaybt0Pur4o57K09rEgouBCFv4slUT1q6bchfVuSQtqryWlcmq57PWq + Z0Kwmj70MqULVdLvqLICC9H3VtZquYalVzGArBObZZjnDtz8e7kQlM1HxV5eTj870ZerH6JEpDLF + of89Xp7zueDmR/5UL44Skdi2+YYgIh+C/tX0ipL5htEzX04noGPLt88VLUJA28ufa9GdADpRbGdK + lq4r1BFRE1fL2S60V4VbBWiaFjNhdSJH3hFAbZzSrEm92HvXkPwIi8pLo1tfAcvqG1uf9xwwfnaN + uks6Ex5WFdFFwdUcRHrdc4GETtyUy9o55wth107CVmSx+yr0UGV2uopWhLVyhqLPgWaeNVCZZ7LN + RN0vqe4+KUW7C8XlldCaiNndIUsNaXtd6vx0EBFE2slYE+Ut/X3V5PSBiEL3HMU4k+vWfPQ+WVfP + dU3oygUAOC0I2rOL3lWQ4nCiTnsg34lEjiCOkFbPBNDHZKGfESA107lCVVC9f05z7nBej5oJfqNL + LxAQZE25MbZFi0B9EcyM/qd0Wavcr/ZPGAB4RzjJ+wXFfAnNTDwxO2be2Vqt3BbCdQtvovLUoei6 + MS8rnvfqckHOc6lv1+jls6VzQSQxQyTp+QCcSAqhzmlEVAPe5b05Bz2WOZbpyyWRL9MvbC9zJvJa + lpai6/lY50KZQ66z99W6cnPNcynrWpRLJFLxBcqlaz6apty+t3ewstymiSm1KQlz1C1az6oaMMeU + JMYmRk6p1Xy0XXoOdW9Kop8F+k7re8JOfntvb3+ljHfbxiwxnkXERVhFxFWevJAJF51+k28bnaWT + Ulo342j/8ACY68Ty87Kgua5psuy6ypDowFS+dfNTprLNOtK+sty9vT1Z1ffq0JYeWMq9hxA0/5yt + vvD1M7b8aCoFuWlePFtU9ptzWbNjaeXnsMD7oNOctO8aDodlM1cc0Xc+uq4GhRijXou6rg8PD/Vw + C6BsGNqf5IHlLIKidjp9gtC/dtf9aDUMwzAuG/qdGUJomsZ7n6ekeu91GqF+Aqmmte467SobhmEY + hmEYhnEE7bTZ2h5OmqbhdNNNN736tTfvHU6dg46+bo7q4bCsltpbMyEhVBzbm2+++Use+tDrrrtO + o0cNh0NV6l1nZ7zUTKfTrWt29w8Pf/qnfxrA1s7o8GAMVisA0A/NZ0RQV/6mm25ywGBQjw8OtwbD + GCP8FTNUdRxJYCEATIJz567d29sbDEfC8qAHPGBnOJg2McrpxPJTTWshsKpBlyb9FfcmA9je2Tm4 + 804G2th+xSMe0RmBHNJF0vXtxJ6Pd/MKQTDTFS+VrSeTye65c+PDAyE0TRNjvO997/Prv/7rN37j + N/7trR8dDAbT6dQ5d8woimV19LwMBtV02rIgEL3sZS974uNuHNYhNk3nr9KlXX0Pl9rhRBQ5ueBF + JHKCc3fs3flzr705CguBRcAJjpxzEMcp6QCvzr/QJsTI973XPX/lDf/+IQ95SJyMa/KSuHKeUxzU + VcOJ1euAiEUYQgRysyqoqHmWNt8g0H635O1vf/ve3uHx0wcfYopNG+99r3vedNNNzrk6VIf7B3Vl + 4zOGYRjGFcnK+acANCht9qfSTyYR0VmZ6rAxGo2Y2VwyDMMwDMMwDMMwDMMwDMMwDMMwDMMwDOOq + gog0qoP6Mer8dNO0Nq4ScnxgDfBy6mGB83yBHB9+Op0Oh8PhcDiZTDRMXA6brFMM1uWTI/jpXGY9 + UPdaXHdD0dsD/f1GRehs4wJQJz1m1lBL6sK3IS6fYRyTuq6bphkMBjr35MIyUS0SFVzXB19Dot09 + 4lpYTH/DMAzDMAzDMAzDMAzDMK5G1BYYQvDet22rMQVERM2Kaiw8zsiy2hFV0yKEsL+/D4CIVFKC + elXXC45xryYT55zqTDRNkxU7ct2I6ODgYEFaabmeqmlNRBrKOSv0aNBnFNETDMMw1qEh6lSMEID2 + KtodaR/lnNN+FRtl2NahXZk6c4iI2uC1FA1Pr8VpBbTjBaDJtJ/UZBe52YZhGIZhgOcjybrBoJpO + pyB470ejUTud+E5IdFF6eYN8bylzWIplrsT3+sSYV9zckP/Cr6Qsi7iwMf84KuRmKe/N4ohluZvk + ZteEvS4VB8tStNyFw5crX9ZhZf6lfHgpR6r5696choikaFdZ3LKQ5II07LomL1zNfJ47udZevTjr + ds+0Zo/30biQbEEOPO/VgvLdtbAd8/dnmWC5UeVpzGWpd2Mul3u14FyfUjly4bwtXJojyy2T5U/c + sl1SyMDrltlAwRq59zL/8nqVnq9lEfm5Kzfq9c238XGu4Ib7p6xGvjr5eTnOE7ehDuWdpnRSoFEW + kkkh/LnQielR5bU78u7N+ZR34Lp7b+Fm23CuMmW/tJL8aOufKr9a1laf0LJnmLvuYaaxLSoz3W33 + IlmQVfq9a2XF1wle+zAvry76MHWBwvMTp7kSIXjXpRBWAfKymcukQi5aT6qICMTNwvrPTgdzt12E + BWAuroWjfKm0bhpcfe2J725jXWUWzbK/S/XBzOLlQFV7mXHENd0Ac1y4dZ3Tm3Z1XPymmeQ2LvQh + xbmdreQW5TtBy2FenIbRn1R1q00LyxibolxSIW8Rn1ILaIj4fPJVX1nbpWdGiMh7eF+t1FoGCNAO + WbPRtusbJ6ETDNbGqoI19HYi6lJSd31J2yWiXwVduUDoz+fqpT7HWnNAiJyIZLFyvYl6xWgtvdTJ + 0IeI+7ZnmXsGcO21uyuvYwhBNZX1WV74SFjoWrMyR/lG7nAqxO5BDHGCJEyC5CjoloVl8HXiNkXR + NOSE4PUoXQexMGn6hf5N+1LVgV43dENEhUS4CIEEQgjOMzqRcRKQdw5E3sWm1XVNr7Uk7ySxHgtH + updjipwqH3Ke5TLnoFu0FD1W18stcMQx5ZwX0kROqY1NbDkmrU+uie6NTdvEVtviySVhsGhuSVj3 + ckyr6knttEmM6WTyn//0T1/32tdOJhO9vdcJW+sl0PF/HW0r1XlTSoPBQNfzxLDVGRnGVYAa8hbe + jLn/VEufrpg2qmEYxhmBereH/CcAZlZboX79DodD9IMbhmEYhmEYhmFcZkiNSgRgpqFL0v1jtT7Q + LPVgWOm49XA4vHd1ryc98Ym//MY3c9poiJTeQlBuY/YhpBhBnRVsGtua6Lfe/vs/8LG/39rZrocD + sIgIxxRCSGvsKReRrICb2yuEamu4d3Dwe3/w9k984lMADvfH6yxrigc+6/73f9KNT5geHDrnhsMh + BGqBuiKQ4oqvq3Qp0jwdT+q69s7deXhwz3ve83M+53Pe/d6/WmMCv+RXsCunqHY38r/g+VDUb+/8 + +a2tLSGiGB/4wAcCIKzTtL5M9c90phACgNFodHDnnS54EgjzsB7EGD/jvvd7zate/Q3f9I17h2Pq + nuWjXXrQy1SXiuzTaQsADm2U9/3X9//Gb//2Nz7piRSqbMckzFIv3CT5JAPw3k8mk7quQV5EBPSx + j3/81T93cwQEGA6Hk+kE6r+Bzg+nqnzbctM0wXnhdO329i+97vUP/acPaceHdag8uThttgaDFON4 + MvF15+/RGarUJNTHpzN+9dfewgAcwLPB8+UpNt2lF8QUa+9S4m952lPucY97NJMppTQcDETtsNkS + 3RnpDMMwDOOss27+qbrk5WT6p7pWlvZlIjITs2EYhmEYhmEYhmEYhmEYhmEYhmEYhmFcVYiIRkYl + IhW7BZD9EkuHWJm50fEA8AABrk+TPTOXjro4XOroEpfaRfDyRMdwfei9I5dH5rCSlQF07vryAsj3 + W74JU19/AAy0wCHQzO7bTX62MUYNMqO+N8cJUHZJ0Qjz+gwOh0MimkwmIQStpypSxxiP4zys0eDL + IPMW193IZJlbDayUNQtmQaZOsXJXJiGEtm1zpEd9Wi8gn0vR0162/vkysPLO1Alh/V8upzyzrTgm + +oW2tbUFIKWksfSPGUuzRKN46YtDXwrqa3op6nz5uZs0wzAMwzAMwzAMwzAMwzAM40SU4emzehMR + tW2bdX1K6Whdr6qqbVsdaKZe/TrbHdVdAL0bAfX6ZzHGHE9ZzY1ZgTVHs8phDtDrs5b5ZM2PXH9d + L4Vjc5wgNVkBULNo2S41w5QD3BoZQYsz1QrDuArJHV0ZKkXXc0+iGzW6im5R8aRSklBEmqZB35th + PoCdxo7XXk5lcrRHqus697qliJoezszaO2Vtwlxn/TPXzToxwzAMw7gscOdhxEAffNYBkliYs6a1 + cqRrTikfu7B93SHCZTxbOtKvKasoLm3BwrG63VEAACmTaXGuzO3oD45VTV95PlaegYW9m7es21tK + 52b1ypWJZ9uXMz92COxZJrlc9Kqn/Z+LlSdasXEzZeaFbO3KvV3O83VYXe6687+Up/4p8+3N+W+u + z9Hbc7lrr9Qsprvev31LZ1nnxYYC9KYrDugSL0ioz/bK7KgyvVOtVpnLcAPLz+NCffLj3V06+DLz + DWdtXc6zrTK3Wxiyvuc55vN4nC5uYaX8sbNc1on6zw31XGDFk17kUNZtsbaLs2C6Pzhhufstu+Zj + sqI6+Q6TxWsq0l1Dgl9s95pyu/uzT5Nv4HlVgtmDUEZNX3ouZg/tkXc7zdd/1ijMPUo5fS+vTjiy + aziK5au5gXJexJHpN9y366uxeobGwm2+/OpYfg5O+GRsYtVbbnW2WU995evrODnnjUuvvsVUm3Pr + NcVXn0+VCc9pVpaVW8HM+jmg63NFzL4EGBACg/rpQ/pszC85NgQOznXe8l1/wSCX0xDy+vwzlRIA + T/Bh/ZwsgeRJWQQmOIAJ4AiCJxDBCRhMAk4puG5djwLBiTDzbOqXAALRY4Nzwiunh1FZruaDTqaa + aHELMzx164LO3T6nDJ5qVw2GlZNOqeUiLgO5BEHir3zklxG3r3rNz6umNVH/QetcvsKhqmI7RW9K + UPINoF/j+q3Ytq0OsmVhSJ0kg8JUYRh3e/T9qMPU2bq3MNCdzXCnV03DMAxjjuyDgaInh1kMDcMw + DMMwDONsQOIAEeqGUQUggZNuyQShXr8WogO53WA3Cwme/53Pf8Mb3zwbTSYqnQzLgvSYckuKDQBh + zukaEQf8xE//1Gt+9lWOHKcmOE8sTsBS1ETNE0IA5IIEo0th4072OLEnV1XV4eFhGAaoO7cPU040 + HPy7V75qnCKAOgya2OZjZ3Hr1F8dqIHv+xfPD8yNcNumaqtqmzYb7uYVl0WH+y+g/peO45zOPo16 + OHDwoW2buq6aprn//e//Z+/9qyUXivLgi1TRNRVzS1e2Wy9tvkX9q6pKKSXhCBkOh5/3uQ9+79+8 + v0uXo/jcBeOXHKO9c3djZ61c3BU5+Sr0aSApeSICHv4FX/B/veQl3/0939MKSBKpjDGnLhQR8aIV + vvijfED1Tg6+jtw0wIte8pJHP/axoyoMQ6gdNYdN5fygDtPp1HnPALv8yHdBj7p+I2LoBzFyPQx7 + 43GoBs//7hfGvkGTSdNVTCDo3J51ukdd+djECnjdq17zpQ/9AsR24AKxMKL3bhpbJ3C1Y8x0uwnw + 5ABI4txAR91tcMUoyR9BeQPp1WMALOK9F6EYYxLe2tqC0Cc/9Ym3vf0P4CCFRS4lIfI6zyW22ncx + AE+OhStCSrwzrL/ruc9zIlVd7Z8/3B4MY+FYc5x72DAMwzDOCOvmn6L4Giz/zDNScw53D01rjcab + vU2OtMXoecjz1GKM5n9iGMaVhU7JL3v+4/R+hmEYhmEYhmEYhmEYhmEYhmEYhqFki3lKaSZuTVBB + 4N6T1CV4wMElYr4O2AIIGPTepllU2BeiyFL8U6hYnohLZ/0iwPX/LkpuubGu+HcR6099nfPS94VS + fzmOXK5DHUcC4EHURyciiAPhJLLZGsllefuoCrouRKWE9om8XoUk+QjAJYREw+QdUaoAzwOQ92Ga + sL+z8/8d3vG3h21LQTpX/RWhY4goBzlZdv6//JQiuDHG0WiUgx9qvOUcNz4fUsZxynHd87rqmOqB + GsLL4rpfnWiA7hyfJPuYDYfDEII60otIjNERXO/Oz7PuQqMZzR4i6Tdq9pe29ie9T0/vOY4xrnqs + Tvx6cc5DHIgvwfLY74m5t8Wx+37wSe+HE13e7sYjBw1u2bVLNPgY9eeaZynhg0ttVH/Cw8PDMuCD + apAPBoMyxP3pMplMhsMh+rBa+a2kLpHMrO+szW+rHLq/VL9ejkF0t9G0hslaG4ZhGIZhGIZhGIZh + GIZhlDjnptOpGoSapslSrKX2g4hsb28fHBxsyEfH0HV4WsesNaRaVmPV5cHBwfb2NvowBykllXHd + 29vb3d0lIh34VjtlWYcN5TKz917lKHZ2dvb390VkMplozc/OsL5hGGcBNaTVdZ17J7W0ZfeLGDtj + YYxxe3tbnSp0qUZ0bDS/qe+FBolDb3rX3qyqKlXCzlKLVVWpyrVWTLvN0hHEMAzDMIyziRMc/VvF + MAzDMAzDOKPwJVueGJ2JYcvVS8ftZLK9tds0zfd////ettPX/vwbvUdM8J5S6gboQlWJUGybfnLC + qvPsXEophCAiw+Hw9ttv39nZ0XkC4/F4NBqh17Q2ZWvDMAzDMAzDMAzDMAzDMC4Ah0Uvgi7O15Kw + LgASJE7OucFgcDiZDEaj//n+93/c1/5vv/P7b/WgBMmBnwBoRJoThbcSQBx+461v/YFbP/zgB/0T + Zp5Op8O6bpqGwqV1qA7kAEQdaCW0MYYQOIRJ2/zlu9/zJ3/2Lud8Ymlj68mJiAs+xsi99quO5W4N + 6vvtXvvEr7+xnTZ1qDrHy04U/O7JTC3POefctddeC2wY87709TlhGCYiEgKBqJ900G0/zYBOR6PN + 9IAwP+2bv/kv/vzdv/jGX25YUEZYy7EP+7hZi9ekEO3WORFN2wAIPnzkYx/7V//6B1/3mlc3B3uH + h4fnrr3H4fk71ENYAO8dy2rno9i2oaocubaNW1s7r3nda9/17nfPR/WaFS5AN+FCJDaxBr3kxS/+ + 6kc90oF8J11fxIJyx7qles3746S9gtEpM0S+GtRBZDKZCOOP//iPRQQEWbp9VePNkyOixOzIhRBS + 27DAAS98wQuuO3cuNS1Le/31148PDlUv3DAMwzCMK5HsOqKTUvWzYfNEV52Wq44oecUwDOOssTme + eFVVOiSV59ternoZhmEYhmEYhmEYhmEYhmEYhmEYVzZZ5rZpmuFwmB2DgWVfUgeARkN/sH//a7ee + /qivOjeZjiID8L6KnIgoIFQED+8g6rnZgkWlUIndnIujk2P7FpPw9mi0UO0uF3cyf78s8ajyrrrF + gYa+Uu9xpru0JJa8dAJx5EFEBLk4jsW9jzqVJ4EEnuT4vqMrz7wertLmCgDi/lyd1DGVeKXcKZFf + XqdeAtuJYzp6mVwUl0SS4xCiq5mIiD3Dy8C5ccvTUP+PreFf/8obAtAyb3BjF5G6rpk5xjgcDpum + UXHf09J71njIWrr3XrWoj0xf17WGStZj1U9Gt0+n0+l0OhgM8jU1rkLUB16DdasQgIbOVierpXjd + yDrwhXa1A1jgtDufbafeQ//u7rt+uREAfMmWrrtex1nOZmAcM37XiV92Ws4xhbYBfb/0raCF6s1D + AJBiVB9CADs7O977yWSiIu75EdBHI7sanrQJF4XDw8Otra3hcDgej4fDYV3XGoH/AvQ+1HNSU4YQ + 9Km/5A04VUwGwDAMwzAMwzAMwzAMwzAMoyPG6L0fDAYAdOw7y1HrQLOaFb33BwcHOj6+Mp8QQkpp + Op2iNyNleWwVltYprMy8vb2dJbRVVtZ7P51Od3d3RUTHqdHHV9L6bCgXgNo+U0oppcFgsL+/n9ui + Nc8pT9GsaxjG2WF7e/vg4GAymWgwxLqutQdTG7lKTQMIIWSFae2y1KKmnhkbZK3Vm2QymRBRFrTO + XaLmjN7uPp1ORUR7xdxfmaa1YRiGYRiGYRiGYRiGYejUpqZpdB7gD/7gD965f/irv/ob3kFYdLRt + NNoej8ehGmzIh4jy6B+AEMK5c+fatlUDRJ4hoMooFo7TMAzDMAzDMAzDMAzDMIzLgHdOgLZtB4NB + 27Z18C94/r/4nd9/q3MucQLgnGNh5JhfJ4zRw4ymia985Sv/n5/8vwfDEbHEtvXeX6w4IiSrg5Gh + kHNLXTwun1IaDocve8XLBdDWASDySRiFoLU6nAOYTJubvuem6+597ztvu200GqnfZtM0g8HgOPFT + rkQWXOWvv/56DRR3pQRnIiLWuQMA+voTnUyO/RQREUf00h/7sb/+L+97z3v/eiqcYnTB83HutyXl + Y+ljwAF405vf9MSvf9zjbrhh99rr9vfu9MHrTI02xjCoCatj3JFz3vskzMwfvfXWF/3ID4McFjWw + czwviMjWaDAdTwl49rOf/e3P+jbnCHyFnP3ToDw1DOG2revaV1VK/EtveIP6iPeO4l3IKp3nEmNM + wt55aF/XNg6ogPvd517f8ZzneO9jbEaD4eHhYfDeLoFhGIZhXLmoJwn6GbUhhM0/RnRya13XOkFM + w0GeVmxKwzCMDaybEpvHJdSJzroywzAMwzAMwzAMwzAMwzAMwzAM46S0beucU2MT1PA0qNumQe+H + 11uqGICMDz1w/o7De8ngYdW5ezoZyySiDYEdsYcjEdfpnapCKnHnb+kBuE7d2SXyJ3PUa+IFiGWu + ZMH01sn9skp9rpJiPskyOKfrSSRv7wq6eJ6JZRN03Z88XPfC2eyka53TPDXbXOfja5DPH7B4hkRo + ndD1cQSt81JISMilBJHkALBHJEESbsTJ7uCDH7jltnHyQA32fjhOaeXpJyKNbDwYDCaTCdZbZi8P + bdvmgMaqY7o5rnuOtUJE29vb+/v7qtWqezXG+2Aw0JjJFtf9qiWEMJlMhsOhSvZ67zVcz7p43QkB + M1957RsY4rF6hkGvLizuTCyveJiKF8exDjhhAe4kR3Tq5sfvOS6sByWIOBAfvQR7AcCs3yRZ8rqv + 41zb+so47wCklJi5bVt9ClJKbdtWVeW9175RRE7R2WZra0u9HEejkW7RStZ1fVK9D02j0fXVK/J0 + X22XAVMCMAzDMAzDMAzDMAzDMAzD6FBbY0pJrYNqIMzqDioUraqrm/PR9DkGk+pJqFCEblf9ifIQ + FYfIuto6nK3m56qqdIBeB75VWnsdulfrPJ1Os5a2Zq5qtd57HeW/8DNlGMbdhYODA/SuP2pa0w6Q + mUMIaiMv7W3av2m/lDfmP5fx3mdvktyJae+qna1mqN1U0zRqhtdjtdcqVwzDMAzDMAzDMAzDMAzj + 6mR7e7tpGoBSSoAQ0Utf+tKmaX7zN38XQFVXTdOMx2Miit1I3Wp3+WwvUHuHWiXKIUH0thLTtDYM + wzAMwzAMwzAMwzAM4/LQqThH9hVNY4qQL//yL3/YF37Ru/7yLwK5KMzMoFl4lJPiPTmiN77xjf/q + e77vXtecGw4GYVA3TYOLGkZqWdyamTUmS0pJHHnv2xSZ8P73f/C3f+d3d7Z37jzY984Lp8hJ02Mm + niS6fv3u7jOf+cw7PvWpqqo07EsI4WoIgKWKyDHG3d1dAfiK0sRVL1nVtz537txpV+dkqGfvYDB4 + 7c/d/OgbvvaT528PPrQajq247+QYAZt0XoOIiHAVqja2P/yjP/JlX/LFuOaara0Rx4TIAEaj0TS2 + cNTH6JqvTxUOmklVD9jTD/zQD+6PDyPgQ5Xiar/l4Gk6ng6r6isf8eU/+iM/4pybjsfXbO/ENX7O + hqKxlgBEZsQYQvhv/+2/v/Od75Q18dJyhL6UUnBe/cmDd5z4+773eweDgRNsj7am43HlAzO7EwTf + MgzDMAzjbKHTwdSTZHt7Wzcy84ZfJfrLhYg0KqVOTMuTxQzDMM4I6/olZt7a2gKgc/CdcwcHB7kD + NAzDMAzDMAzDMAzDMAzj/2fv3uNk26660P/GmHOtVf3Y55ycQEANECMSuFGR65XPDQnXoCJRbhD8 + BEEuJkQInDzwKmIAH1GID6IXchJICHkeDSBeSGIA4SO5ajAi5AUkIQR5GCAJQfI4Z+/d3VVrrTnH + uH+M6rVr9+7uXbXPfp/f97M+81O7dvWqVdVrzaqec8wxiIiI6HS11inb8ziOd9xxx2KxGIfhsDio + ARGQaeIKmKg0wHnDW9/xzi/8nCfesb+/nQeXUVHUl7HJkR9bPSJUV+e5pqBLdRGXZTzzZVsAIgJx + mECvTuti4gp1uELdq697NKe2bssobVGs3i+28d5c4xgvbuOYj7yiZfXpTQpwyyX3+MX3eAUUEu+P + wH2zyEpfvzBrWTk91jx+QASuMIGgAKa1KSYwtNtV9aNN+sm3vW0AoHCzvi5OiSOOkPi+79u2jfjS + G5gCPZ53qmMagfqnZ5WPkJiU0t7enohEHdOIs22aJhKzjOM4lTS+Tq+EbjJRJT2KWKjWXb8AACAA + SURBVDdNo6pR0x2X5OvOTVdGu/j6NThW4vEv9OTww9vxkXEztBcd4UbW7j9xuFZonfaKjmOjZ7iy + KLc1969A3ajzv5JXrcsfWff3CwGiL3NgWrhz3NNeOBOiq5/y1UfqKjOLnnYYhrZtReTGlsCILjqi + GaPSx9Rpr1/vY7kizL3v+9hbRFTe3v0/Yz2JiIiIiIiIiIiILhKDxTGPaGallKm8dN/3sZA+5iNP + 30+ML8dsZUop57xaLiLquY7jOK19XX28qkZBWQDjOE6PH4bhsmv4V4+/1lpKids55+n4m6a57PET + 0UNBTINFusPpzim3SEoppTRlEokse1tbWzFZPpW3OammNVbqXnddByBmFnE49RhP5O4HBwexQ1Wt + tUax7dj/VE2HiIiIiIiIiIjoIWscR3dPKcV8wWw2K6U8//nPf+ITn9B1KeLjt7Y693rZBSExYRHh + 8jgco4sxwFiCFTH0sZ7qWr8uIiIiIiIiIiIiohiTnM1m58+fn81m27NZP1885znPAWBTXh6BqlxZ + XdRavRQ7GMorX/2a3LWllL2D/dRc87hEEYkAyAp3AVSg2sy6l770pQbsHRzEYyIyXFQhAhFVACZA + 1uRmT33qU3d3d2ezWcR+N00TmY9Oidu81U2x9PEGRsqnU7OH3XSmZGfuHqWwbqHj92pt24r7H/ik + R7zo3u/uklgtbZOAo6mJPO6Q1X8cw8wcGMqYNP3Gb/6PF77whe44WPQpNyYXSh5Oj1ccJgMETNCP + Q7u9NcJe+4M/+JP/4acqkHIzRE1rAcQgF+YyBBDzBDz60x71ipe/XOGzNk9J8eTo8asz3w4AKKDL + TkqkbVtA+nF81WtePVyct+9I6UpNKforgxtcBO7+WX/007/iKV+eRa1UcW9Szjl75XwTERHRLUxE + YlVsrTX+DBmG4ZSa1u4eKSCnxbm45IsEEdHNwE6QUjo4OIhOz91LKTs7O6enNSciIiIiIiIiIiIi + IiKaROLWSK+qqovFwt1VNAEJqlCFwiGOhNLAxOrCsAe8+Xc/8PvD/oEtoEW0ADARU62qNSdvG2sb + dC1yQkrQ2Dpoh9QhJUmiKqJrtaICONyuYisRS+oGN1iVJJLw4Fsojr8/AepXoVV3sald3p/c1Tbb + 5JJNzdWWka7TztWRHGKO6r7JhuIY1t9gA3zt1gaUEaXAC5ZP1DsGRynz/bn4z/3e77zbyx6wZ7Ct + pqr5CZEgU1IUAMMwDMOwWuj9+osQ4sghHzEwWIn0vlTE6gOYUi7P5/NIvWJmETOTUor49tu7pimd + IgLgI56qbds4W07K113GEXCgLjcf4RWogpJgCZYiBh4QmMAAk2u/Ye1WYHLhCDfabO0W8HXbK9gM + sMMq4uu0V7atv/+Ny1QLIuB/7e3KyeHPH64TUYhClvucztVYAtY0TWSYj0zy7j4V7Ij7b2xN64j5 + ASAiTdNEdv1Yo4RN6n1MZT4iKvIhEkHEZTZEREREREREREREF8T0Yc5ZRPq+n8aaAcTC+xhKvmx5 + 6Rg9j8HrruvcfVp+HxNLUynZGOOOmdfITRaPjHLaKaW2baO8xGUX8MdhTwUn4kmjPHatdRiGmNkl + IppMfVrbttGzRcDEbDaL+bap64sext0jrmJ3dzdCNC47oxZ1r6MfS2lZYieiMWJtP4Dt7e2+76Nf + dfednZ0I2sBhR0pERERERERERPRQtre3t7u7u1gsFovFzs7O3t6eqt5999333nvvYx/72JQEwHw+ + zzkDdsr0RUwixJhbhN1PS2IigL7WmlKKmYvT50GIiIiIiIiIiIiIropllLV5m5ssOvZDKeUvfOEX + /i9/9DOmrCgwWHXgytNjNCndd999Z8+fa9q2TtWyrxI5Lo5ScooIzBhrre6a0wc/9Ltv/PEfA2Bu + Doy1uDtEPCr7ukdkJgCItW3+mqd+tVmN2M6UUgRz3t6ZUOLVRQgrDkPub6Hh6jj+6XeUYgT/1uHu + VmodR6/2l5/8JV/9Vf9XFqljXb6KTU69mHdIKS0vAatNbl72ylf9zFveojkVt2LVVYZa3F0c03bh + YASpa1OTf+VXf/U7/snzKxAVspf5kI4jjrvvuPO+V736zM7urG0XB3N1WK3HXqQ0KaWkJlf3YjW3 + zcfuv//f/siP6MVv8kU9j4gdZmgyM1W4w8y/7Vu/ZdZ2Z3Z2vdaxH5qmGRZ9LJ8hIiKiW1essQWw + mmXSTxBZgCMWxcwiQzfLWhPRLSRGZlJKTdPECv0LYzVEREREREREREREREREaxiGYXt7G0AEAGMl + 0tigFYBciMcUA4AD4OPAv3v328/f1ZbWyjgXczGxCnepLsVgEHOpLrh4ixhMGGAO97Vad4hAEvSS + dlnGcu0Wfuz9bmYVD75VEbgK4CZwjxYWcQgKX7sF4Ce27u4m7j49L1wN+iDbZVFuTdCMlKEZovFb + E80iWdCs24quu0EEggSort0CbkCFGKRAiqKKVlMfks7b5sfe+daPAHPABWUcT4/nr7VOyYcj7fCV + XklXQTy7mUWW+GEYcs6nxLWO4zgdcyRMbts2ovejgHE8bD6fX5fDp5vUFB6fUjKzCKmKQtfH5es2 + wOAGL/CplPWFata4uBaxbFjBeNNtepY124les/ZaH48f1hVfs72ybf39b8w3XUuzUT3uZcX16YUs + CYAMSVh+SGicrglISaJ7j1TzTdOsFu/IOQ/DUGsdx/HG9v+rVTmijVigTet9RCp+rNS3vuEv7Tq4 + ddZvEREREREREREREV17MUcYY99d150/fz5qPERB6JRSlHMAMI2YHyuqscZodd/3u7u7AFQ1Bqxr + rdNC1mmMO/4r5qWivvVUjjomqGqtUer1pCeNIhNxqPGwyAUwDZdHRgBV3d/fZzkKIgoRVBGRFovF + Ynt7u2mayKU4lbLOOZdSzCzuAbC3t+fuUbL69HRjUS0bhz2biMSk42KxABBPFF2umZVScs7jOE5B + G23bcuU/ERERERERERE9xN19993nz5+PJVIRK99m3Tv3wN13P+yVr3zFn/zsP64CAWopAvjJ8wjL + 8jCHt2utkX8zkgvHiFzML6wmIyYiIiIiIiIiIiK6djIyXIdhmM1mwzAMw7A9a2dt8/SnP73NGUDO + hzHPeiV5XGLkc6z142cfePV9942whz384QeLZTKp1TK6kd7tih2pmysixa0CklI1F9F+LK/5V/ed + 39t3YLa1tXxSeOQ0cS8Qc/ckmgVe/Slf9lce9ahHbW9v7+/vu7uqRm6sKHF95Qd6K4i8TsvoU+DW + KuQ9jcOr6uqw/C0hQoKbpmmaZv/8+X/8vH/02M/8rEhidaGytaxsfuJVaStU1YGhFAO+7e//gwfO + nRtrMUfTdVvb28ta5kdrWotDitn//NjHvvXv/4OPnztfAUkCIB0Xtxw5vGa5+Rff+c8f9amP3G6b + sR+yqKrmnFcP9EFe6beNI72fiLijVitmb/zxH3tgf78e+c2uVBNPWSBWa1VVAVREgSf9+T/75L/0 + xVn1/NmzXdO2bbt37vysaVFPW3dDRERENzkz67puSsW4WCziLyw5QUopUgDHd7zFYjGbzbgujIhu + Qn6CcRzdPVIExD3Rv93o4yUiIiIiIiIiIiIiIqJbQyklYn2nvNMpJRcYUGEeNSEFUFTAAAWaJlfF + uYTX/c8PvE8X81ZmTauSFClDkyM5xN2rebWEqGad4AkQiAiSIAEN0K6/eU2rmxWNbaOdAC2kO/Z+ + kZnK7MG3sEasWbY1X9is2XSTk1vxVr090iY82Fa8XR5tST4qRvWSsNwOX8W6rcLkmM31wnbRPVGL + dO1NAYVpLVLVq7oBZop+q/ulD33wvfNyAOTUwTMqNJ04fxrhJREBXkoRkQgkvm4X4BEx4RtlVt19 + NpuVUiI3+yk/EpHPcf0OwzDlcp9+8PQMzHTbi7M6Agmit8/LZS/H5+uOy/XIVXfRDgEDHBrbRkWJ + DTDo+luUKPYNtmUUvl2z9sq29fcPKORabsgbbnpR133Z7cI5stYmF6/2OH0DAMkuuULja0kFHPGi + 0mEx9Iv6/Fp9OucBjOM45aeKctdt204FpG9s/w8gcuDH9Whm4zhuWu/D3eORkbQ/KtnfwNd1fbBs + CREREREREREREdEFUVQ1xr7HcTxz5gwAd4/yq1HjYZ1EYJH/KMpj55z39vYAiMhsNosbKaXVAehY + 4R+5q9q2jVlMHM7IBhHZ398/fflrVM6Oya1hGKKILICYDY3xdHff2dm5kneHiG5HpRRVjYLTd955 + Z9/3cb+IlFIiLWBEhKSU4p6YPhSRcRzjYafsf5prjMf3fR8hHTHjHtN7TdPEYeSc+76PdHix2+iT + r/F7QEREREREREREdFM7ODhQ1Rgo6/s+Yvfbtj04ODhz5sx99933mMd8ughi05PDw6OCdUppWjRV + a425j7gdUxXxv35r1QkhIiIiIiIiIiKiW5O7o1rbtv18IcDD7rhzcTCv4/jXv/qr777zLgDpcNDz + 2Fq2lxWFiES0Aj/wQ//mYLH46Mc/vr27e63r2kb4ZcSEF7fU5P35wctf9UooVDGfzwGkJgOopYgq + gJyyAHADIMCzn3WPlTqfz7e3t2PYtm3bYRimPFm3pSgWFWWt4wZutbLWkzj+W6v2VayVWBzMk8is + 7e7Y3X3Rvfd2KcuRytZriPQ9MSsxzTgo8Bu/9f7/57tfWN2bWdcPw/m986vJ3VYrW5sgt+3LX/mK + t/z8z9mUWEpQV+OWHTg8MAG+5ql//a99+V/dnm0t9g/alJumcbNxHK/4DXmI6Lpu3vcuaGezeb94 + 6cu+L2uyIzmoVtRSNCUAAgPg1Wddft7znjf2gziyJhGxUre3txeLBePAiYiIbmnxbXaKV4m1safE + k0Q0S3yZV9V4PL8PENEtJOe8WCzatsVhivMobn2jj4uIiIiIiIiIiIiIiIhuDVOm1ijuCKDWahZR + kIAasCwm6YoKADqMhtSdrfgQ8J9/6zc/Ph8BRTHUAq+wCq/qpuJJHFaBw80rUB21wn3DTZJ61mih + Mt02twJbv3V4FV9tzc3cvFZclW2ViEQ2jVMSamwqZgNX5wQjZ4c76oNuTQBEUVJBihrkUEVK8ChP + 7mu18GW9atPT2ihlHbcR9dDX2gBBSkjiCsDFPRnEdND0kSRveMdbe6BC+2oJkgTe+0mxxBGHPKVb + r7VG9pKr9vvaUFyGU13qyC1/yvFMUd9R2Tou51gOECmU3X2q4Xobx/PT6SIsqpRiZjnnyJ49ZfC+ + NF/3pZdLXCF+2JtHAenpP/0wWv8atRsGQCwPbPMn0jVbbNwCslxWsE57YkD8DbJR2WkB5AoqVV/J + QQHHnxtHO0wRpJTi9MbKqS4i0edPVTCiI73CI7pK4qiO1AHZqN5HzjnKi8zn8+kT7dZaInQFWNaa + iIiIiIiIiIiI6IJp1hCHqZRwOD4+LT1dHXqO2/HIaTAdh+Wx4zHTjamMawxATwmSoqRrjL/j4onJ + uB2PnIpSHznOIyVjp+NcTfB06XESEYWY6oueIbI3HiYBXHYm0z+n3im6NT8Ud4rIand0ZP8RzxEl + q488IG5PP9t1XdyIe/IVpaEkIiKia2f6HBcRd+Sca638K4OIiIiI6JpK2sA1huNyVsCWExYiTUqq + 8kM/9IOPfvSnuUMEEUsf42zTjMa0YgoXlj4Ch6N/MbhnZmYW/zutk1xdMzYtHriuL57oGpsm4CIR + 7TTft3rVxAXCP36JiG4S8aUFQARI4DCmIpaU4/ALTK2V31uIiIiIiG4JruIqpZScM+DD2HdNTiJN + 0nu+4RkCZFnmxKijbZpEB4BqdsAAEfz6b//W6974BklpKCXn7LIc/ymlRCz3Vfw7wh0p5aGMbddJ + 0oPF4kdf/7qz5/bNYLbMGbOszivmXlZfmjv+4hf+uc/69M8QR1aNv4Omw4uC2VfrOG9CRwoh36Li + t5ZzLisZcG5+dSzTuoY6DuL+2Md8xvP/8T/KQFqpbJ0jGPjU83BaKzH9he5wFzXgJa94+dt/4RdH + t8FrO+vGWtxdzA+nKlw1iaoLfu5tb/+uF704knalnKv59KSpbeNGk1WBRvG4P/2n/unznz8uei+1 + ydnNaikKJA7tHnU0I1nkWorr7vVveONvfeBDvdV4248Sg5imZLUCSCnFWfHMe+75rMd8ZpuzV8ua + vNoygLxtit9KlwAREREdEbPk8QfItODrlKnzh0jeRiK6vc1ms7gRfdoUR0dERERERERERERERER0 + WVP66FJKzDcNw5BEE0RgkAS3ZSymwCXi9JKU7MjngDe9+9d1685xTFCBFah6LVldvCQpjgEyLjcd + kWrVWrW4FNPNtiqjYYzWDvfgUjxVaF2/Xf3ZaQ+eqmjFVdmkHN0wAuMx919ucz2u1YpUl23cc6X7 + 32DD6LnWXNdtk3tKNaVjWtWqelGbkmtyqNi6m4tWc+Qs1bJBiyN1sGaQ7i2/+4F3OXpglmaKnJCT + oTu1cupqThIRsesVRx2VpwHM5/O450jC5CMpVo4VUfoRyh51i6ck8FNIzJSsfjU7PT2kRLHqnHOc + Fav1AnBMvm6FZM+dpyaKWGtOFYCmCnVkSIZMMfmHJ+fx5ZnlmHtUHAax9duNN+jNt2GD1m2jTWCC + i/4ZfeXJjy8bbRl+ZEuwUzesvykgEEiCpCiXfvp2uEDEAMtJojD2MkzGLe4/cv67X9TPT+10I2pI + X89FT6u9/bSGJa5TrIT9HKn9caTeR/xXdP6xh7hRSmnbNkp3T/9128cR3c7L1YiIiIiIiIiIiIiu + FlXt+z4ygg3DMI0jr5agdvednZ1TUmjFlORsNhOR+XzedV1kVRaRWOYqIiml1X2eJMpmp5Sapiml + 7O7uxgHEDqP67O2dsIyIbipd10XsFID2ME+cqm5vb0/Tdfv7+23bmlkUzyYiIiIiIiIiIqIHaXd3 + 9/z583fccceZM2de85rXPPKRn2yGrksA+r7H4SxGSinG7k4yLYxU1dlsVmsVkagzEXuIKYmoZ3Db + h9fTQ02c7dOJPZXMiUm9ac1hLEpZZxaPiIiuqQjbUNVxHCNewt3jxmw2i4nIqPQ2LS8kIiIiIqJb + izjEoUCT0ld8+V+9a2e374dIjwKz5jBAcX1mlnNrbsWhKi97+Subrq3u5/f3I3dJSinnvFgsrm70 + dVQ1Tint7e0BcMH3v/IVp/yZ0rZtLWXWZAEawTc84+ublO3UoV2iq67rumHRAxCHqlopd91x51d/ + 1Vd94Rd8gQDtYQ3jcpjuZyMOFLe27Rx41jc+Z+9gf7a9bYDmFCUSF4tF5HpzQJv80Y9//Bv/1v+9 + v+ihcMDgKWc/zCVXhwGOJqsVa7Oc2d15zateLQ6cmiyPjqWqi8XCzBZD/z0vfQkEKeVT8gharXAk + RR2rAI/5I3/42577LXHmEBERERERERERERERERERERERET1kNU3j7maWc47VjqpqbqpQQMoIAyqS + AhZVA9WgcCQ0PfBB4Kff9Sv97l2WMtwB15QA0ahfOqW7lsOypst/OuCAq2/QyjVr1X0ZEX6tN127 + jbdozVY2/JHTt+N/yiBmsn4LAGu2ccPXbgEUuFnVnKEJSKhYVD3Xbv3kr/zy7wE90NdqACAGdTmx + nLMeAjCOY9y+PvkZohw1gK2tLQC11isoOz2bzaLoda21lMLMEnSSnHMsRVksFu7enr7IRRKqWXWR + BNGhWDebFQeQIAKkw+B3S6th8HK0dfgx97hf+sjLtCcepxy/bWztytMiWPYnm1SqljVajdLOKy9u + 7fbIm+oON5gd//greHcurYy9xgfJupsBhgs1ntfiFe4Aopd3oNbqZss3AhVel/cDFThpv3EVxPNG + 5292ynKEq6aUMpULweHXsMg+Ed/ERCTWqpz+tkRiilprfIXDYT3sruum5BXx0RDlP25vLGpCRERE + REREREREdBlRyyEGoGNAPKIEVDWl1LZtJLJPKe3v75+SzixGriPd0l133RVZleMHp/ISU97809Oi + xUh9rXUcx67rIt+ZmcVBRm2J1SclIrqmohOLzmcYhqkHOzg4iHm7aRoPK3WviYiIiIiIiIiI6ME4 + e/b+3d1tr6N4/QOf9Ih/fd9rPuUPPaLv62zWxhhdlH+YIuZP2VU8MiZB2radwvGbpon61vGwKBJ5 + jV8W0Q2wepLHjamUUVwXXdeN43gFyxeJiOjqiqWMIrK7uysi29vbOWcRaZpGRGIiMgpab7bqkoiI + iIiIbhyXCymxZOVb/LBYfNqnPPKvf/VXKZAFKSmAcRg23b+IlFIcCmA0f/d73/vvf+onc85N07Rt + KyJWatYEQFWKPagy0ssMZhBAIg2KqkpO3dbOG3/sJ37tN95vcmIal8h1UkppUvqcz/7sz/vfH+e1 + dE3zYI6HaFNDGQ2+tbVVa02iqnr+7AO729v/4ju/866dHas1ATllAJpSVJheNxnTMnmWzofegN/6 + nd9++StfMZRx72AfwKI/MDURqW6iuhiHvcX8n/2L7/zvv/EbTW5UMgCrtUahdxFRFVUBUE0AK/4D + r/lXn/xJj1C4XJydKXqY1Y2O4bK9u2vQ17/hje/7tV8rjjzrThlVEUhKKcZdFHj+t3+Hum91rZw6 + EnOktz/9wURERERERERERERERERERERERES3nHEcRSTnbGZt20aiBnMfzZPKdtO0QHbIiM6RHQb4 + YflAyXkPeOP7f/1/tO1+O6vawhKQYUkswbJ4A2QgQ9ShJlHrWsUlGZKZmN0MrRwt2Xktto2rfQrW + beG41scv8ORrb4bkJbmtv0GKaXFdrxVr23YYi5vBKlJbkBd3Puy/fuBDb5/XjwmG1DjMYYPWAgx6 + 4sJdMzOzcRzdPRKYLBaL65OfIapoT6lRIsXKphaLhapOPxs5W4bN1y/Q7c3MopKuiMxms/39/VJO + W4Qiy/LABq/m5vC+HwGBJkhsAhGV6N+hUU4aR9upqvVF91zNksh+/HZSuesTNz9+i5rLD35b/7U4 + 4AqV5Xt6pa0vf4vHtA44dKOt5qbmpqZcNV3YUq6iJ2x5/c2hqpoECo9NYKdsgEEEokitI7k20HT4 + raQARbwsH3b4Sk86z6dc9GYW/bC711qv0mV3opxzlOdw96jQEV/DInHQzs4OgL7v49imbEKXWs2m + JSIppfgs6/s+WgAppZzzbDa77fNXXMknKBEREREREREREdFDSkwo1lpVdarPmnOOSaNaa9d1McR8 + +n7cPaUUtagBzGazmIWaaktENvxaa9u2p09bxv/GMfR9LyLTkH0pJedca43h74bpzIjo2osJ9akj + CqWUmEGM2I4oARJd35UFeRAREREREREREdGqCKxfLBbuvrW182mf9mmvetWrvvYZX/+BD3zYgbZt + Yz4i5hFOCfdX1ZjyiEmKqBa5OukQS8ViUoNlfek2E/N30+D2tDamlDItm8FK3WsiIrqxpoCKqd5b + rCGMrzG1VjOLkImcM3tvIiIiIqJbkThcII5Z2+7t7T39aV/z6vtes9ePVkxE/MSq0CdKKR2Obbal + DJr1e176kr/4RU9qUyqlZE2llMicpapjv4j62Q+eqhq8mknSoYzf89KXdFvdwbw/5fFu5o5a67Of + +aytrrNSyjBEyW2i62OaBVgsFm3bbm1tWalWyqc+8lNefO+9T33GMwzLnH2qapumGRIIxF1TklLr + d73wRU95ylP+yKd8aj9ftCoisrU12zvYb7e3mzb/0P/7w6957Q8mTWZWrDZNM5YRAFRhJqpWagbc + ocC3P+8fPuHxn1fmfcv45M25QCDz+by6fNd3fVdOucD3D/Y1JyvH/4rd3WpVQIC/9uVP+fN/9s9Z + GetY8tpVzomIiIiIiIiIiIiIiIiIiIiIiIhuP1MqhqiwG/90dxcM5tXGCLNb1rFGAkRF4QUwFwzA + +4D/77f+x1c+8pGztnHz7IpqMEAVbvFjEU6tUeI0yna6Lfca/3djWyxf3jXkm+99s+NX4PAlrNMe + G+EuF9+49PERNL9uW9d/vByeHmu2IpJU3UxMIH6Q9GOz7t/94i+cA7xTHys0aVYbeyjcATn+Jauq + mUXr7uM4zmaz496aqy+yr8cVF4uOr2x9ceRyj+TJcU/btlfvMOl2EAl5ooh7znlnZ8fMojbBcQ83 + t0GiC3cINHezvh8hLSRBMgBEKXqrPUYAyzLDl/YbCZiuvJV7IFdpvclJl4wrTi4GfDNaPVr36M/j + Q+PKWlzmDd58/ZFc8hEpcvyzuG72aeowN4ht8COqcCAlxNoQL9AKdZSFwOK1WZx4Mn3NOGb/kfyh + 67r4/nM9+//o7Wutu7u7kYMrKk+LyFT7o2maxWIRx3bSTlJK8SORqisKf7h7XOBRlGR65PV5aTcK + 1+QQERERERERERERrSWSmsWoccySxhxS0zR9369TjjpGsaMgRMyw5pzjNoBxHGMKSlWHYZjuP+V4 + aq0iEun1pzKxOee+77uui2OLQ73abwYR0UXcveu6CLyIriwm2yJZfCS5i04v7rzBh0tERERERERE + RHTLizq7AkBEuq4DTMQf9ahPfeELv/uee5798fvPxlxDTEzEMN1JK6+m+YiIv5+i7eOn4r9i6uF6 + vDKi68vMYhIwFis2TTOOY0zD4fDqaJom1p/EY4iI6AYSkdXVgABUNXrp7e3tlFIEdeSc9/f3d3Z2 + bvDhEhERERHReqbEYgLDYZ4rd9mebT3qUz/1yV/8f/7w69+gQG7bE3L9nKaUAqi7lVJSk8ex/NK7 + 3vXzb3vr4//05/aL/szWTpvyYhw0p1pr0zSwDTNYLXO+HI3WlqR932tq4PrmN7/5Pe99r60+xJcv + +MLjRQTIwB/5w49+8hd/ce37rml7L5u+XqIHQ3Maa1HI9va2V+vni65p486/+EVf9OQnPek//Mc3 + HYwFQBnHlHMdC07NyAdcdJ67O0Sg4hUHff+Me77hp3/i37dt26oc7O/DNZZCYafkaAAAIABJREFU + /M7v/e7f+wfPU5XRlmmDxnGMq6xtmqHvrdRZ25Whz8Cff+ITv+Frvw5jnbWt101yMD30XNLxLEXq + zH/zw//2N3/ntyuQcoYrXIHDtE2X/EzXdmXo/+AnPuL53/4dNgxbXVdrha+bKFIcuKKskkRERERE + REREREREREREREREREQ3rcj/HImgU0qxUN0FvXnXCLaacjDCsN2kYagKEYh7aaAVtYw2CD7m+PF3 + v/PPfvInPGyr0WHAWLMbBPACq0gCLIP6xBUORKaIqQbquq5hvGUEB9q1DRG8ufJs63Ev9pS3ODmW + lcjXbMUBg+i6rW1yOoj6Qd+0LWxEm8uARdu95bd/+z0oFcAIwCDQYRSgagL8pKDhqZp1nP+Rn2Sq + 736tDcMQSSEiJfIp+VVOoqpx8LGKeT6fN00TOVuuzSHTLSnOq+nEGMdxNTPPEQJ0CbXCDQAqrPYj + ul2UDO2Wxa0d8IpUYCPEIHmtMtIiy61cSQX3y+98+RRXL+B7uh7jsKd/+obX10mHs7r/C/cIRDd7 + ikv3Hwd8Yp2IzXcuh/tUXW7xz2N37rLJUyg0QXS58+kpgBOO36EV/QKzLZQCMZSF5CqLs/bxD7v1 + U2XrExcVHBqGQUT6vo8aGdHtn3JpXC3jOLZti8Nc96WUra0txPoskUgfVGuN9EEn1bQO8b9Tifrp + E2R6CQ+dzwKWDSAiIiIiIiIiIiK6vGEY2raN4empaHT8M+Yao8L06eWoo/60iER6ZXc3s6h1HTVf + Y8z6sqPtOedpNByHY9w555i+rbWysAQRXX+LxaJtW3c/ODiImbZSylTQGsBU1jp61Bt8uERERERE + RERERLe+KOUYAf1nz55t23Y2m33O53zO93zP9zz9b3zdfD6PCYuU0mw229/fP2VXEYsf8xcxDYHD + ZVdxT9d1cSNWUV6fF0h03cQlEOskU0pxktdaYxYvJvVi+QoREd1YU3xFfBGaFgeq6sHBAYBxHJum + MbOdnZ3rttydiIiIiIiumMvxWW4EGIex3c7u/uxnP/v1b3jj4DZsXtMaAKBNbsZyIUh7GO37v//7 + v+DxT2hESymNpkhZ0o9D27Yn5tnaUJTvbZpGmvySl74UkQdGT0hR5rBas8Acz37mPV3TzM/vtZq7 + pi12WuYUoqvO3c19NpuN/bBYLETk4OBgtr0F4CUvecmf+F//ZH9+TwTVUEvZPMmQqqY6jpK0bfLb + 3/GLP/KjP/plT/6Sara9vT30BVnh+Lqvf8b9Z89WIKdca005lVIifdXQ9znlWorX2kA+4eEPf/G9 + 94oDZqLpGiTlekiQnOYH+y984QujkHWppd3eGeZzCOCXpJ9ytLkZh36nab/j2//RJz384WPf17Fc + 65xTRERERERERERERERERERERERERDc5MyultG3b972qNk0TNR3HMkrb1UUPRWrkoK8AclYvpjBI + SerFMAIQ/c1Sfvn3PvAHH/mHdtrkXtMIEYFbhPS5mkFdkGBiCjhcECHZrhBbq13GNF/jdv3j2ai9 + 4Nod/4M+E0643wUS0a5TiOY6LQBR+HrtpjW/HbIsjluQkm9159r84+98+zlggQwHxMQt3peUUx0L + /LR3KVb4AlgsFk3TXLea1pHlOMJZT0kOfwp377ru7NmzAMZxjOQSm9bGptueu6vqYrGYzWa11sue + IbUeXspJoDOge8KTv7J7+CMXabfXrSKNQ9UteUkYAauSXS5zFUc5gyW5apeYHIq1/HE1iSS7GkHi + KaXpsKewc3cXbHz8xy5BmrLBrMa0u4umxiCb9v7xa5UVJ+VRPzyYNZ8B42FFidWdAzi5y9L44Fin + NdFiUkXV1dUTkqurq4kd20JKhpWxb7stq2NGbevBju9/6H3veP2L/imkRD12Ofw4Ol3btovFotZa + SlHVvu+vQ4qe+KJlZimlWmvTNFEfpGmaSJkVD9va2prP56fsJ9IK5ZwjbUXOOXY77TweEHm3bvt1 + EyxrTURERERERERERHR5MXNQazWzruvOnz9/5syZWutsNuv7PqZIo8bDKdOWbdsOwwAgWgBN05RS + 4kdi4Hscx1rrzs7OKeUlSikppRjmjp9195jTAhDpm3POqrq/v7+zs3MV3wciopOklPq+j/ApHOaL + BxDJ4ldLWbOmNRERERERERER0VXRNAmAu50/f/YTPuHuc+fOlTIU88c97nEve9nLnv70p6tqKaXW + ur+/HyHyJ+znQk1rANMNd7/rrrvuv//+WEsT5a5ZG5JuMyklMzOzWKASI9jjOKpqjHtHTff4X3CI + m4joRiuliEj0zKWUvu8jEiOSO+BwoaCqrk5QEhERERHRTc4AnVJ0HZrNZvP5POXmjz/2jz3h8Y// + T//1LQo0bbcYNituraIR4w1BGcfcpjrUn/7pn37nO3/hcf/b5y7GfTNbzQXz4POLxAsxt/ir5B3v + eMfP/JefcSClVK3i0pQuh/9Iop94991/5Uu/tAzDbDarNpqZpuZBHxHRulSS5jQO/f7+vkK2trZy + VoiZmQK729uvfPkr/spXfGWN01YFK/MOce1cdG5fejmpmFUkdSvzxTDL8tznPvcv/YUvardm1Wzr + zO65c2df8MIXvvu9vxxPUWoBUIqp5rbrFvM5HKUUhZRaEvDaf/Wv777rYbvbW8OiF5HIJbWSHOoi + wrRyJ6i1vuT7vu+3P/TBCmhKknQ4NW3TWMbtpvmSJz/5y/7ylyqgELcy29rqh3JsmrDA95+IiIiI + iIiIiIiIiIiIiIiIiIhub1H5spTSdR0Oc7Gi2swb++g5ubMDUAcHgK6NlA6ADUDOwAgArnksw8+/ + 5z1/4u47P3n3jq5krdJCYAUKVykKO4yQzCJTEU5xA4D1W3G4XavWLF27/WM1QtXWan3tR0a7aUD5 + VKn6UKTkOCao0qf7XWAu67QQl41KYG90/C5AbqqNilp9PNdtveND73+v9/tIBQniSZcnpwjKOJ6y + d3cfhmEq+dm2rapenxQlsdC467qobHplO4k6rHHxxjFHTVOmWKFVcXrPZrNYxp5SWiwWp+TzccAA + AxwtrEHa+VjZ+fzPfdL9vn2QdgfpijQCV5h6Ufcq6fTi9IfVppdK2biC++k7XyloLQ6Yl8sU2V7P + OI5TweyL/sM32L0JTuqCYpXRpfWG4/eiDpO12ulHsPJW4+SSE4e/9XXLWuc2TU+x2ko6oWsVW7// + dyCnZO7iaqgwcbFoFcnFxPXiFgXiSXNuC+ZbYp2c+9gDH3zTz74HaQc+uo8CKOBA9cusB4hKGVOH + eR1qWoc4r6annpJLTLWuARx+4zpRdPVR1CN+Iw888ED86uMan81mkZUIKyn3b1csa01ERERERERE + RER0eZHOPuecUhrH8cyZMwDcfZo3Wh2nPskwDCISD4uh6siJH7ennxWR08tL4HCmM9KoxSRWDHPH + ZO00J8Ga1kR0fbh79DwRgeHuTdPEnTGrN83CRhL5Syd6iYiIiIiIiIiIaFO11pyzmS3ru6RkZllS + 38//zJ/5/Jd874uf843fmJOU6ogVIyfMO0TE/DiOALquWywWOKx1vbe3N5vNYn1IDO5dzxdIdB2Y + marGMpVYoFJrbZomlhV1XRcXSIx7c3CbiOiGyznHV6Bp/WpEYkRZ69V1gG3bTrWuiYiIiIjoJnfs + sGPkDUkpDeP4Td/0t978X98ClX7oj9aEvhzzoqpwICVUK2Ntsg7F7vuB137WYz5zq2kd8OJWatYk + clGZ3rVEGp2VPz4ib5hA3H2o46vue00ksBGRZSayS/YhQBYUs6c97Wl33nmnj6OIiENzdjsuoxnR + tVFKUdWmaUopSVOtdVgcNLOuVE8p9eP4+Mc//hl/42te/ur7KuC2ycWyTJVkOEyuB6AvLui/+Vu/ + 9aUvfrGLnL///rf/4i9878teOhTvZu1iMQBImoq5A4v5HGJb29uL/QOBd6LP/Tvf/Cf/xB/bapqD + vf2uaetYTk3hRZCV7ic6FhcY5AMf+sB33/uiuN/M3CoAqOLSxTEOAFlw1113/ZPv+PYkcnBwsNV0 + qnJwcJBye+1fBBEREREREREREREREREREREREdFNasoRDSDq447j2OQGFcgtCtBAFVaBWiEiYll1 + MItiwZJQhtIDbx39z/XlzLaqe6twwF1Uk8DUFAITwMVFJYIzN6rZjMPKlNe6xbVpZaoXveGr3qDd + MJ78pGqkJzx8+UrE12vFBYBOkZ+XbS86BgdgVdRl+WtJfuSRVr3UWvLW7lz8d8R+4n2/fBY4gEMV + NlpFArKgCuCOlHBCeVcAkZAh8qWr6vWp/RlLibe3twHUWqPE9el53Y8V6eIjy0RkVolc9NfimOnW + FedbnGlxT9d1cc4f8+C43hXuuuwSmuZ9P/Pmx/6ZLxke9oiDfNcoW0WSARBkc4g59NjOwx2ri12W + acAdqblqAfQrOz88+sO+6MHT2YUXtfoqriAPwLHlqMVggnTx/+IyhZiP4Q4IjpbedsgJ1e1d1i2Y + HccDgwAigGBZpNsBgdvxpapdcPjBtOa740l8eQYliIglxUqtbgB6uEODDhDJIoIy7ppWKfN67v6D + d70TXgCziz4O7ZQa5CmlYRii55xyWF23/h9ApAyKTjs+gADUWkUkslLEQZ5SPSSu4lJKJKyIdPru + XmudzWbTa2ya5vauaY0TlvgRERERERERERER0UVUdZpHnAaOY4h5KuYaY80hbscjY2g7WncvpcTj + YxQ7ZivjdgxwT0WpjzzvdOPI865OcF76vEREV2a1r8NhUMVERKYHRF+02udM/d70U/FgVU0psXci + IiK6pR0J0xQRfrgTEREREd0osdYFwLQ4SkQAa5LW0n/B//H5L/neF6O6AFuz1s1wOI+gqhBAkNsU + qxFihgJA3/dxI0pFRuVIVY0lWNNT2+FKs1rrdAzX63UTXU3TOPZ048JlAmBl3o1//xIR3TyOfAWa + oixW1wGypjURERER0S1B/KINrnBVU7iaWdd1pYzu9oTHf95jH/MZyT0hkvRoSo1IkqQxwplzFmDa + Dvce/zCzAhjqGGmBxmIV+IEf/rcf+sj/rEmQFSoQS275Cv6MUClWc86RrySl5O4G6+s4on74I7/3 + o6//kRhOraWsHlhumzgeAXLKitSKPvMb7inDOP05Yyfn/LptiW2WdoeuKlE4zMxUdblEoumsLv/o + VkDg//h5z/vMT390q5jWTrRNC0A0QxKgInGlalzR03kOB7zCq1uZSrwviv+b17/hzW9729g052v5 + G8965kGtBkRN6+XexNwLWkXSZUYh4Imf/4S/+axnzjShWE4yjIumXT6veGyX9DC3HZej26VW34Ek + WoYx8jE5xFTn42g5f9O3fKs0bY1rz11F4UBdTjwJBA4VqKDJmgB3vOL7v++uO+6wUrumBTA/6Gd5 + dul7ftL7f9LREhER0c0mvhPGHyZTPMkp8SGxeHb65zAMDCYholtLzERHV2Zm7MSIiIiIiIiIiIiI + iIhoU6uFTjGlddWKDDfHCCuAA7WiVncfqnkUPjX4CMDmwIeAH3jbu4dmq0nZbDApAwxNi4o0WlOt + rVgGal5Z2O2lAYhXd8M13q7s57B+e5WO84T3RzbbIg7T1t4uxG2KG9wAN7WiVhQugCsiXB8RbesJ + Y6uOIZV858/+/v3/5aDfByogNoob4oR1uMVZZ1PF19U0xat5iY8karhapqXEU3aUKGIatyMvClYW + IJ+yqymH/Ooy5CkV/HT8rGlNl4rTY7UMwZHF7KuVCABI1upAauAV6LH4CPY//J6fe5PXYu6zLg39 + YrnexOEm7uKOSzesVIPGYcZvVfXD4tkPfrvQxeqFTUWuyrb6TFMvqSJX0LeqQ/xoq0DC0fuvoDuP + V33pnad8HKmv2wogscxC4IJYORPbiR+nwHJpxlobRMQOqxIbtLoYxCAmGpuLxgPETVG2kmiBV2RF + q9jV4T//4ItRPojyAKx3oF74nrE82OnkX70KogcupZhZ0zSx/OQ6RN2ISJSjrrVONa2x8umw+pFx + Sk3r4O6xjmb1M2L1g6Bt24dC8gqWtSYiIiIiIiIiIiK6cqra930MXg/DMNVwXR2kdvednZ0rG0Y3 + s1JKSqlpmlLK7u5u7HCxWMSNadKUiOjqGscxIqLcPec8Tc4t563dx3GcMsUTERERERERERHRzUPE + YaVp85P+whe+4AX/LAsWiyElEZEp43BuGk2pjFXTiRHzkW44hgRns1mtNUL5Y3oCQExhRJmWh0Lk + PREREREREREREV0jl1acFYeV2uacNSXI3/3mv2OO7nA8MwYq3SyCGqfCaReCqlcGLJc3Lymq+v0v + f2VqmsUwRNqRtmsW+web1r5VTe6IRCeReKvYaGJbO9uS0/e+9CVjLQBsmbIGkhCjsuXwR3LKVkv1 + +sx77jlz5kzsyt3dPfLZEd1wbdueP38+El/Nuu67/uW/nKUkyyLSOowDgCjuHu3qzx7JBHj0SgQq + 8Le++e8+sLf/nG/6pg9/5KPm0rQtABFpm7bUopEVqBSYlTKK4A984ie8+N57z2xticPKkHOezWZ7 + +/vX/p24tSlM1JvcjLVokzWn7d07fvKnfupnf/6tB/0cojllAAppsoqg67qpLzKDG6yYAN/6zd/0 + xx/7x2azWZtyLKW59PdOREREt4f4iI8Qka7rYk3rKfEh8cUgHlZrjTSOU15IIqKbX0rJzGLZ/jTi + NI07EREREREREREREREREV0JAXKaL+bu3rUdolbusojoYVjlhbKiGIH7gV/p93/lwx+eu7Vbs2EY + uq4Z+oPlox3iIn4YN71p9PN1s1kl6U3aa308tzYDohiqAYA7HHFuLSukOgDYhQdARFBRKs7l7qff + /csPACWleJQeWzfdvW3bSFM8m81igvX6xJFGzpMI2q+1RjoUEZnNZgBEJKV02cKl8bNT1dKcM1O7 + 04MXl8DqFRHnWKkGQFOCu9gAjKjn//t/+4+dzdHvz/f2cs45oxRU89TwVLy8KFa9Tnv9jmfTz5Zr + 9Xmkl9wAAF+tnw2sVLaGlRFuSdBlScP5D/7qO+oH3ou6B+/jM6IeXf8BM0sp5Zyjs51y1+OwO50y + 1V+HPFSLxWKqNJ9zXq20valYCxOJueKlrfNpcltiN0RERERERERERER0hWLyMvKFxXB5VHhV1ZRS + 27ax5D6ltL+/f2UzlDEuX2sdx7Hrur29vXiueNKoFRGPZBogIrqKIkpj6sRKKSJSSoleLjKUPWRn + 14iIiIiIiIiIiG56ZlaaJo1j/6Vf9pf/4T/8+yJwd7iLI8pUl2GM9YlWT5tfiKHCmARp2zbGBuP+ + KBsTD5sKZhMRERERERERERFtymW5BRMAaNt2Khq0WCye9KQnfcof/ORSXQUqyFlzVgBuJulCkPZx + ebOON5b6ute97vc/+hGD57bZ29urxba3tzc9+FpLzklVI67SzFJqunZrsVh89KMffd3rXjcYRCAC + h0daFjOfKv2O4xiDq23OT3va0wCklKYRV5Z/o5vEYrHY3t5umqZpGjN7whOe8KxnPUuBrm3MDUBO + eRgGHK31ZYD5JZmMLvX+97//K7/yK9/0pjd1XWdmwzBsbW25exTMdnfJGQ7NDQxnZlsve9nLPukT + HxEhzfOhzzlXs62trWv1+m9lq71r3/c7OztnH3hge3vb3c/v7z9w7uwLXvCCxbAAEIn8HKhuYzF3 + 9H0PlZxzKUWANqkAn/enP/frv+4Zn/SJjyhldFiElyOprTwRERER3TZUte/7CBEBMJvN4lufn6zW + GpmCVTX+3onIEyKiW4K7R3BdhMY1TbNYLHLON/q4iIiIiIiIiIiIiIiI6NZWa93a2hKR+++/v2ma + CLY8aR4qCk+eB/7je37pYHtrMWImjRRLAKISpSgAdYgDAlz7spF0a3GBHcbkA4AjmyRHinMGBjEX + MzUXA4DRpenO7XQ//zu/+b79vQosaoUkB+qFfUS5bMAAkVJKKaXrusViEQG916F8qYhEmH0Er05Z + 2VNKfd8DqLVG3WsAp+eBj/3MZrMId4mXcK2Pn257bdsuFotaa9u2EZg9nYdiA1DcHeJww+//7rv+ + 25se1lpWyzmjQICU9KJIfKLNuejyIwCqp66vclEXjQ4zNUAZtsvZd/ynH8Mwx4X+8JiONEIEp2Uj + 4zjGcoMILIzT/rp1qrPZzMymg5myY226n6ZpVLWU0vd91O3G5T5HbmMP0ZdNRERERERERERE9ODl + nEUk1qZOY+U5ZzOrtQ7DEMWnH8wYegzHR6hB3/erZSFiuDwyAozjeB2mb4nooWMcxyhRM93j7l3X + pZTc/eDgAIepSXDYEREREREREREREdFNwswiyj9mMZ72NU/923/7b5ohaTK3EP+Vm0ZTOmk/EXMf + C7pi5YCqxuRIKSWlFKmHSynT4i4iIiIiIiIiIiKi9Z1SA7XWmkRhDrMm5d2t7W98znMcMIe5lVKq + 2/RISXo0XHuN8O2PPHD/q171qtlsy9272ayUEklMNlJKyTmnlCKY3AUuMpQRSX/kR3/0ow+cBVCB + qOzr7m7LY1OVlJIAblWAJ/+lL370ox899vPIq2JmktMwDCwTSzeDpmmypvn+/rDoG03jMHzLc5/7 + pz7ns/thBJA0lVoEiPqFV+atb33rMAx930f2n/l8LiIONG3rZl5K23U2jF3SZ33DPU98wuejWlx0 + d9xxx8F8Xt1sncv+oa3dmi0Wi907ztRaq9vu7u69L3rRe371fU3TGjCW0eCR8g9ASiJJ4V5KsVqz + wKvttN2L7r33Ex/+CYuDgzIs17AsK2creysiIqLbU9d1sb5sHMfpTjlZfJ2LbMVN0zCYhIhuLdFr + DcOQUorbs9mM62eJiIiIiIiIiIiIiIjoQYr6iFHcesogXU6oX+rACCyAt54//2vnzs9NRdo6DqlJ + ULiqi7iouAEGUQjAyXk65AITczFfidUXQ7ZlVXQIoqz1MsJdAMu9NB+Z5Z94zzsXQAEcKjnF2RXh + uReC7B0Ci6j7vu+jfC8uDiy5RoZhUFURmeZzpxsAIvlJ5Exu2/aUdQHxGDObMipHldZrffx0e3P3 + xWIBQFWnCuvuLgo4bCwaXXWpyBlSf/0/vL7rP94qvJpVaxNSQqkbr2chuoROhYkVJm6yUqh42fWL + xmeCCVKSocdM+o/95i/Ud/0s8uFDBZd+wZgWjETpaFV19/l8nnNu23Ycx/hEiM75OnwuAKi1Rl3t + KOGBw4oem+4kFqfE0jAclgK5ysd6i2BZayIiIiIiIiIiIqIHJWo5xCizmZVSYu190zR938dI9OnT + mevvv9ZaSonbOedp/03TXPH+iYgulVIahqFt25gOjzv7vp/P57XWmESMQtcR0nFDD5aIiIiIiIiI + iIgu4qgpi4g3TcpZ3f1rv/Zr77nn66pVAFtbWzhMwenVrJy4vGpZhcU9FmKZ2bSsawq+7/s+SrZc + jxdGREREREREREREt6moirqsjQoAiADslFIpJaXU9/1XPOXLH3H3w1SQUwbgZhojk76sZbtRSduc + FMBrX/va8/v7+/N5285cZahl0zLSWZNXq2PJOeecI/dQ3/e12Mtf/goDck7ucEfXdZHSRZPAYMUV + 0uQGQBJ55j33KJYV4CJ0PIrAbXY0RNdGHcv+/v6dd9zZ5lyGsQ7jme2dF/zz77zrzBkFYAYgJx0W + 84ty96ykMfI1rtCYa3D3KaNQSjKMC0T2xqEX4PGP+7y/923ftnfu/O7urldT1WrIuVXVSC1Hx4re + tRocOtYy1mrA29/5jntf/KKuaRbjEL8gSao5mRsEkjSlWLeiOas7BHjJi1/0R//wo8b5Qoq1OSuk + aZpIR3WjXyIRERFdE+4eq1lTSvHnSdu2p3/0T/9rZmbGnL9EdMuJdbUA+r6PBLsMjSMiIiIiIiIi + IiIiIqIHLzJIA4gEDqenaHDFHPgw8OPv+iXs3NkPJeUM6wGYoAoMy9BMFxTVTeOf6aHABSYABC4w + larJIA7AXAA4/n/27jxctrysD/33fX+/tVbV3vs0NChToqJ5FM29iQaHOER5EjUO8VESjRIcIRcR + DIheJD7m3qtxSgyJGIFmakQTUHjkehUnHGM00gjd2IjI5AANMjbd55w9VK21fr/3vX+8VevUmXb3 + Ob1Pn+n7efZzuvbeVWuvql71q6r1e3/vVwxiANBs7Wp7ywfueGOpB0AF8mzuq5IPxRSDui4NjuDP + rusADMMwDEOt9X6oe4+ZXACRlhrB8DnnaMk+jmPXdbVWVY0A7PNtZ2rzrqosgqUjNMUTxDMiji6v + UIECGUgAYBh72AIf+et3vfH3cll47RsxcQcgSXk40n3hq9TqUz9ZJVv7mcnWJuqipXoSkbLs6v5t + v/VqjHdjXK63FfHYuhF6YcvlcjabiUhER8c7mZzzFG4NIAbnYRjuh9eFKZijaZpomJ9SOmT8P2Q7 + 8aIWIdkA4tvrE2OtiYiIiIiIiIiIiC5enECPHmR934vIFC8dq1VjqvLw6czzic1GVkQQkZgurbUO + w3A9n90moktqKsuYxrQYhZqmicTraEpSa42xjoiIiIiIiIiIiK40qnrixInZbDaOfds2z3rWs77h + G/4lgOVyqapmpqr32D44FglEzf20oCumPGIhQdd1cWE6l0hERERERERERER0752vjVoEWptZm5s6 + jnUsDzh2w7d+87e4o9iq75W5QxWAn//85Dk370CpBuD9H/rwq1/96u3t7eXQQ6S98NrslFKt1cxy + zqWURd83Xbt9w7Ffes0v3/H+DzjQlxqthfpxOGPH3L2WUYAv+oJ/9OjP+Afjsm9zMy77JBpJ3oxN + oivEbDYTx8Hunlebte3O1vb+7t6j/8FnfN+zngnA4Y2mWqvDL2LRxGTqajS1matWEbMSDjd83MMe + 9oLnPk+rf8yND9o9fgKAuy+XS82pwptZx7aMhyulGFxSMvhQxqc/47v7K0RtAAAgAElEQVQgshxH + j5kgFXefZo7KWMtYBajF1DFL+fHf8LjHfvVXW6kJ0jZNEh3H0d2rmwuqc56IiIjoGhSrWePy/v5+ + 3/cAVFXOT1VjmW10/k0psfkvEV1d2raNT0Zd1zVN0/c9xzEiIiIiIiIiIiIiIiK676KD9Obc03lb + PQggWAiOA6/78J3v3tsfZjPkjHG6ftRPAxFOyeJJOpNHfvXmD9ZfBsEq89ohbi4yaNqbb//qW26/ + E+iBClSLm586tqJINDJOBai1RhlJSklE7p+i91rrwcEBgP39/aZpIhu+lBIFKm3bxi5FtPAhRCSe + iVO+9TiOrNun+ygK4CM+AMA4jhGyDiBnEcAcohAReEFZwPfe9LuvacvuVoJacXcDWJ5A94mfuuCC + zXjiGBY3k63j6qq5DIuPncsH3n7b8i2vAw5Q+9NXhKgDtt7CfD5fLpfuHlWF4zhGPIeIzOdzEdnb + 24vh9B6H4iMRmSDz+RxA0zTL5TKyPC5iU8vl0sziBSLeoS2Xy3u81TWJsdZEREREREREREREF69t + WwAR7Np13e7ubuS8RrlASmlaeH8RcQ4xMxqbipu7e+RMxHRpzFep6v7+/n3pAEVEdIaY/It/3T1G + m1rr1Ccu5+zuKaWmaRhXQ0REREREREREdEVRVXcrdXjgjTcslweqKu5l6H/kR37oq7/6K2OyIWty + MwFm7XljWpqmGcdxM0Aipjzc/YEPfCDW5wxzztNyGiIiIiIiIiIiIqILdb4axGEYSilt26pq1zTi + /p1PecoDb7jB3cdxBKKvlgFI66C1e0+iIxfwvJuef2L3pObsorVecPsSd1ePs7K+bkWE43efeP4L + boKgyauYXkkKd1GFw4oL0DRJYQLcMN/69ic9CTDzEm1QVqdbXcvI+ky6IvQHi+3tbVVtmqYM4+6J + E23OPpRv/z+e9OX/9IuziIg7YtFEOfM5LeeJl9/g7k3TDMPQtm08u7uuG8cxZQXgtaqgAX7qJ57z + KZ/0icPB/t6Jk9vb213X1VqPHTu2WCymFmDXFfEzvw6XmpzbdihltrX94z/+7Le/412am/i/Vd3g + Hr3QRLXtGsiqs5UqvNqnfeqn/Jdn/yeFNJpySl6tDCPWdeYxBl7ae0tERESXw+qTF1BrjTdgZnZI + G9+u60Qk1sNGSclyubwO36cR0dVrHEczM7OpM0CMaURERERERERERERERET3xRSyuBl3evhNXHEA + fAh4ze1v2t2ewx2y6ueQHGKAGMSYaU1nEIeua0qjDnSVUOmIwnuIQyw5sgGuRfJHNd36oQ/cflB2 + gZogknwckdIqCVvgAt+oD06aAETFeylFRGKa9VLftZTS1tZWFLFgI53azGqtwzA0TYN1lcsh+xN1 + ywDato0cU/ZVpvuuaZop2fq0X6iU9SoVMyQFYPAeZR93vONv/vy2LT+AV4O4g4ch3RcuMMCAe/Pe + wAATaJJkpdn/8O2/+/+i7CZfCArcZHUVAALJDgUgwGKxiMF/6jEVYdKllDjyd3Z2Imp6ekZcUlHY + E9HaU1THRfS/ikVqcVsRiQrJ2Wx25Dt8VWD7MCIiIiIiIiIiIqKLZ2allAh2Hcfx2LFjANx9uVzG + hVrrIQv175Gq9n0fHcqGYZj6lEX9wZQeEfOpRERHJZqMTJOC0WsspZRzHoZBVaNuA8D12QaOiIiI + iIiIiIjoyiUW5fIiEn02myaJeErJ3Z797Gd/1Vd9JYBqVUUB9EN/vi2N4zitE+i6LuY+YoHW3t7e + bDZT1ci6ZqY1ERERERERERERHS13n81mUUGNdSLaDTcce+xjH7uzPQegSeDQlADU87c7OV/OaoWr + JgP+4t3v+f0/+MNitbpfRGc3sVUzLDPLbbOzs7O7v//G225981vfVh2jVVGUWnyjw1AUXdaxunkG + /tYjHvFPv+SLk8is7WwsERTn7vP5/IL3hujSyDmPyx6AlaqqD77xQcuDRZuzV/vxH/sPx7a2a7VG + k0Aubr4gpRSJicMwRGBY3/c551osJ4VDHT/w7/6vf/KFjzk4sTufzdq27Q8WpRSo9H0fz5qjvcvX + HheMtVa36v7Hb3zDTS94QQWW46ApQVdjX8oZgJuVUmAQgQpgeNCDHvSzL/uZNmcxdzOFTJNHOWcz + 05yd9eRERETXoqZporN2SsndY5XrIZ21+75v2xbAtAztuu3tSERXODmPtm27rmuaZmozHU3YL+/e + EhERERERERERERER0dUupRSFjlF9Fy0a7uE2DjSzfeC1H33/u33cN8AjV1KkrsKGoQ6sAoyJVsTF + kdxWB4YCmxOe6oCJQypgCugip7u256++7daTwKAYKwQJIij1VCW+ABLfGIBqdTqkAdRaLy5G9EK5 + e1SklFKiZ/L0PNrs/S4i+/v7h8zz5pzHccw5Rz5r7Dnnhek+MrM4tOKwzDkDiCgBM4giKQyo1cUr + vIhU2OLW3/7FvLxrlgWAFW+ay3wv6BqyMSaL4fSXgtU1HG64oZW7/uI2+9P/BVtkIAHiGzcWAAmi + WL+epJSmdV6RvjGbzXLO0eQqfti2rbvHs+CSip2JkXxqoX9IfeP5uHuUSgKIlvsXsZFrBjuIERER + EREREREREV28CGyIy8165ifOocdkJ06f2owuS1jPLU0zTDF52WzMHanqGdvZPBEf24zrc+KTiCZT + UcU0YkxD0FTkoaoxesSvokvItIVpSCml1FqnShERiY1He5Fp9JtGMCIiIrp+xKt/vDeY3iQQERER + EdEVJVIERERTLG40wJKIuP34j/+HxzzmCwCInnozP81QTGcL40KsGQDQ96v06wjMjj7F0wKtqRw/ + LkwrDS79HSU6Apt5J3Hcxk+mSbpozw0e1UREV4appTjWC3rj53FheltSzp9pR0REREREV5ozel64 + wOXUORkREUcSTaIw/+6nf1d/sBAA5hDYULVN5+iwsrnB8/3d9QeK5z7/eUOpnhWq05+ezgVtfvQ4 + JxHJOddaq6OYaW6e+7yb4ldm2AzbjXDrpmkBqEAAA57x9KclEa/mtTRtHoc+ijEYm0RXDhO4rj+M + O/rFctZ2VmpW/fhH/O0f/ZEfagQKFzjMAUAsmh/dS5tNf6b5iFKKKEoxBb74ix7zjKc/TcXLOCpE + zHJO7h7PzehMx2TrTVMC5eZUTmqafizF/Knf+bSK1RhXa8X6ZHgtJYbMrEkVcDQqTcILnv/cRzzs + YVlTLaVJudbaphxh5NGCjedhiIiIrmHR+RHrT0b3+CElGlZOV+MkOxFddeIDTnyYUtXNFgFERERE + REREREREREREF2ccxzMKHYdh2JyNmvpLp5QgkVqtPtYe+l7gNW+7/SBl5M6QanGggYuLLeqYu1kZ + RiZb0ym++orSjSqAKBRQQHVVJF8dxQFF0+2p3vLh998+7h8AEAhE3WEORwJWB6isvgwwmK9Lf6c+ + hEdexBv1J1jXFUcDk6mxSc45pVRrndYan93nxN3jVymlM5Yhl1Lato3GKdOvWLdP99H0dIhvpwtW + HEAxDLZ6diqQVktLCt7xJ3e9+611uSduSUX88JUxRBdPFe5oEsQB86yA106qLO689TdfheEjqlYN + SachH3CLNySIAkIAQORlTD2pNt/eTG9mjrxZ/dQhf7O91TTOm9m0D/e4rmFqp4/1i8Lm6wXWxULX + c70QY62JiIiIiIiIiIiIjp6q9n0fiRHDMMQ8Zc657/umaZqmiRPcpZSU0nTmegqHiNPx7r5cLgG4 + +xQOQUR0iGnSLioksJ5Om3qIADCzGG2ij1ittZSSc47eIk3TxAQhgPjhZrL1/X+PiIiIiIiIiIiI + 6ML4WbMJq+gIq3Xc2dkRwU033fQ5n/PoWh2A6mnrxEops9kMh1bqT1m/XdfFPEjOOX4SdflTR+P9 + /f0jv39ERyum86Zv40hW1Wlx4yohXnWa0SMiosvIzHS9cj7WDZpZ27YAVHUYhng3MgxDLH0kIiIi + IqIrnDgutI3aQx/yMf/8ax7bJnUDDKKwoZ43ufqQPw3pyygCA25/81te9/pbSrViFesuJFPl9nRG + 9JyGWpBUcypWRWQ5DO9817v+xx/+gQN2rkTtpmmGYUhJ4kzsxz/i4V/3L/7FsOwVDlzwo0F0mZl7 + rd/4rx7/FV/2ZWbWNtncUkoX8ZQ8J69osn7cIx7xvOc+d3lwkCDzbualArD1yVpfX9ALH0+uVaq6 + u7sbg0y08CuldF3Xj2PTtT/wgz/47vfeMZoZIKqqKtGkCtCU4NAkw1DckIBS/Af/7//ny774S9u2 + 9VJja7p+nKcHXNm9iIiIiIiIiIiIiIiIiIiIiIiIiOg8mqZx92gAG3m9sUxySmccxzFKl2utWDWH + MEAH6LLBr//FHXc2eb/CcquuGEaUKjmLiPX9rG1ZxEen8anriLroqtRWrNZBUxJNSA2kgeQTi365 + c+zX3nL7h4ECWAUgCQpARRJMYKdSdmVVIKxrWB+6qjodzEew++5t20bDk67raq1N00zLiqPNe9d1 + uKc6/+gOEWGosR45OjN3XRdPw6nINtq/E90X8YyII83dx3GMjt/xS18tLVFgI7jaCnz3D37l527c + 0kZsHA7kqKrwibB6NwEArhZB6kApEEdOoo5sRfoTx9/3zsWfvQ6+b3VEkmpoFFi9sYht6NTJKjru + qGopxd2jmcMh4/BRiRVe4xhrIHBwcJBSurhWElOjfgDxyhKvCDThe0oiIiIiIiIiIiKiI1ZKUdWY + 4Iwz3VE9ECHW4zjWWqOrctu2cTk6L0/tz+KcuJnFRlJKcaYb98tpeiK6qsWU2PRthFXXWmutItK2 + bYwnUdgUgdY551JKxF3v7e3FtzGpVmud+sJftrtEREREREREREREF+z08F0xAFtbs5Mnj3dNyiov + fcmLH/3p/7sCkUgdi2Gapmma5h7XXEWyr6r2fQ9ge3sb6/TfWmukXAMws+3t7Skwm+jKFGfRY+VJ + nBKPRWJN04hIzPHFGfIjXEtJREQXLaY+m6aZz+ex4hHAMAyxRr1t23hjE8UYl3tniYiIiIjo3opw + 6zPyaF1OpdVOFMianvrtT4JbFgC4qNpGBdTgAMwBoLd60wtf0M1n/bj6oBFnQbGu3D6khHI+n/d9 + v7+/v33sWNO1kvQ5P/VfbTPT+vR7MY6jiNTqB4slgKc85SlN08zns83HIb7O+QgQXVKbz8R7cwRm + lSTitd70vOc//CEP9Wq6XkBxqqeWAw4586lwb82a/JM/8Z8f9MAHHNveEUBEVNXOHhycwcqnrNr5 + WYVKsZqaPN/eOn7yZDvrfuO3f+fFL/vpvpSkq05M5uZmum7MlJNa8SbrvG0E+Jqv+LInfMu3eC0H + e3uaJCUtVkzcGCFOREREREREREREREREREREREREdO9E/XDO2cxi8WPE8UbF8nw+x7r8MvpRN5Lh + mrJC9WTFR4Bf//M/333AsYqUtUWrkArRTps6jlDWT9JZHLqu9DRZ1dhqSlD3scIc2lpqF9s7b/yb + O249eeIk4CoJyNAzy9hPqxhVh5pZtC+eMk2Xy+XUOP0I9t09mpb0fT+OY0rJ3aMpBNbtTfq+jy7u + U9n/2aJZxHQ5esK7e9/38S+AlFLOeTabseUy3Uebh1BcLqVsxO4qoFFSf+p6Yii7ePttH3zXn+pw + 4gGzzq1shhET3UcGtfUii2qeBDBXQXKXWjoMD0zL1/3aqzDc1dgIANo6UE8bDn06Jn29gEtEpvgM + rCOiL6noCDT93a2tLVxUC6C4YdM0scGpK8UR7+5Vjm8riYiIiIiIiIiIiI5Yzjk6KW92uo8IBwAR + 4RB5sXH+ehiGSI2dTsEvl8v41XSdOEsehQj3+x0ioqtJDDvz+dzdo25JVVU1wmmGYai1RjlF/DwC + rWPISinF/FzOuW3bKOAAkFISkXEcL/edIyIiIiIiIiIioosltru723VNSunYsW13f8nNL/60T/3k + YSg5CYCu68ZxHMcxpjmmeY2zxfqutm3jzGEkSsapyJRS27axgovoKhIHfJwSj6UspZSYnotnRDw1 + GNNORHTZxTLyxWKxu7vrG/q+j3lPrMfzlBLHbSIiIiKia1Opn/Xoz/y8z/psdwjW8dEXVV6dmyZu + 3eb82//jf97+lj9NTTYgTnhGNWZUbh/SpmoYhm4+y20zDMP+YnHHHXe89rWv1aznzLRecVdAgIc8 + 6MZvevw37p08CWNELF2VlgeLrmmalJPI85/3PDNT0YtLmz8nAZ7+tKd95Zd/RVbt9w+S6GJvf/Ws + lNN65m0GclOtdTabDcMQj1XM/hx7wA1vf8e7vuOpT2nbxoBi5kBqTp8MMq/VBCjFhmH83z7lk196 + 883bs7k4dra2xnHs+77rujP+XDz4fPyJiIiIiIiIiIiIiIiIiIiIiIiIzmlqN62q0Q822sPGCvfF + YhG/iiXtbdtaLQIgiaMAQMIv/dVfvU91D4KkaBLU0Q8iKaUErqOkTevi2qm208UAg7pksajyNcBl + V/TE9tZrbn3jLlAbGc0FkJQMBTD3uooy9dPK9WXdb0RVo+q+7/vZbHaE90BV27Y1s67rmqaJqv6p + vL+UEhnVEUSq5491jx7L8Swbx7HWGpdja13XTZuttbLfO91HMbxH4+4pUn3KFwAUsjpWbZ0SrAmw + BXDw+t989TEcaD1Q57oSuniyik8/cxmTi4qKmaUEFSRx2CheWizv/ss3481/lJLH0VlKhWj1Vfi6 + AHCDG8Qi3Vp1dajv7+9jHZlxP/RzyDkPwzD1/JnG8wt1cHAQuSEx5m88Q+kUxloTERERERERERER + XRIppekMtZmVUjbPuUdJgZnlnFNKMdU0ZUVExHXcNufc9300XI7J1Mt6t4joihZlEyKyu7sLIKUU + I0+MQnGdmHiLMqYYfGqtcZ2YDoyfLxaLuGbccBiGSLwmIiIiIiIiIiKiK53rqa8NW9sziC0W+7u7 + Jx784Bsf/OAbf+ZnX/ZJn/TxtTqAYRjm8zmAUkrO+ZDi+ziRuFwua63u3jSNqsaqs7h513W1VlUd + x/GQZWBEV44po2gym826rotT7qraNA2PZyKiK8E0oRlFFxFoHcN4vCGZijQAcBk5EREREdFVYcpD + vTf9d8SxPZtbKd/zjO9WoE1QAdaNV878DHDWOdJ1kxYAEEmlGADR1JfiwE885zmpyXF2aCqwjA8a + h8RaR7l4Ts2i75tu9vybXlgdseVTO+QbX4BAclIA3/iN37iztfWAY8e8GmACU5iCteJ02Zz9TJzS + o8/+AjDvZlK9DH2bm8d84Rc98Vu+NcnGk84BP9dz817uDPCl/+Qff9e/edr+7l6TclYtfb+1NS9l + nPZtc7evwx5e57vXIjIMQ5zQjlUtwzAMw/A93/vMu0/uLoZx1s2i2VQdLaU2pdaK55QVklQU6HL6 + xI/7W//fL7waY82a9k6ejFPlxx5wQz8Om4fBdfvgExEREREREREREREREREREREREd1LUdEXyx6j + v3Qsijx+/HgE9EZ7WDNT1WEYAM1NU8clYI3qouK9wG++65373WwBL95XNZQKiOZc3Z0rKWnTeYp3 + vVZxl9wiz3pN+233xg994LahVADVHXDRvg4VJnBHrVOe9amAUyjgZu4+jmMs7O26DuvFv0cininx + rIm+JVHSHxeapnH3WutyubzHv1trjf4nq0dgvShgWn0c25yC54kuWqx8b5om+nvj1GFmiGh5t3gq + xVGYk/uwSDCMu/VP/me986/G/buz8lCk+2Qa/sXhoqsvQHU1AIq4uKlZl6UZ92/5lZfD7q5Db4BG + XoZoXYevKwAYUOEOgQPmEoEa0bI+OtUfst7qqIzj2LYt1k+rnPPFJVvHK8hkMxmEJmyrRERERERE + RERERHT0hmEAEBnVfd9HUnV0w48rTLOepZRIfYjO+KUUMxORcRwjYnYYhmn6k4jocDHOjOMYs2J9 + 359dNhHDTmTSxBUieCbqmUopsZH5fC4ie3t7UWDBnu9ERERERERERERXOzOLSYemaRaLxcHBwcMe + 9rCbb775Ez7h41JKqrpYLGazGYBxHO9xa5GBHVeeIiQBRN1/nFeMgv5LdX+IjkLM2W0ew7GasdYa + v4pk62EY4oeXc1+JiOgs4zh2XaeqUWgRc6DRu2G5XHKKk4iIiIjommS1SrHHfOEXPvrv/71aIY6c + xC4qCdrdVbRaBZCT/tqv/do73/nOiLWO80X35mNF13V930fd5t7e3qte/Qv90EPkkCDflFKptt12 + T3ziE8dxHMexaRp+gKGrUdt2u8dPzLtZVkni3//93//IRz5SLzbH+mzzWXtse6cMQxYdhmG2s2Nm + SBqBytEsKTKV1aEMV16LsUtE3L2UcsMNN2xtbf3Yj/3YLa9/PYD5bL7olx5nv1VrKbWUlJKVKnCv + 3uXcNe0Lb3rBQz/2IU1K/WLxsQ99aGzqxIkT5xsY+eATERERERERERERERERERERERERnVP0eVDV + qDqeMnq3trZms9nUHjYSrwE1qFmUScKLjcBJ4Lfe+md3ZwyztreSsqJpUKuv81OJVlahpgJoJFOu + KjzdRNXMUIqLeDfbzfort996NzBgHbSrYuIuSI0CiGTTtFEjupmXHU2MASyXy1rrESZDTy0dzCyl + FE+Qqch/6oUyNTw5nyn9tO97rBuhRH/4KXV4yuc+qp2n61YcRZFpHaHsy+VydWg5YjwHAFdAHRDz + BDQCaMHw0T/6jV940M7MnP1M6AhsFvavXgEEIskcMFPUpJalfvg97xr+7BaUXQCWGqsVbi4JkqcN + CAAvQIlDV0RyzhGcAaDWulwuj3D8P58YwCPyYxLvnS7IOI4x8k/Dfq2V/SjOwFhrIiIiIiIiIiIi + oqPXti2AWmv0UN7d3Z2SrQGklOJs+2a0Q9/3kW8ds6c5Z1VNKbVtG6fIVXV/f1+V53WJ6B7knA8O + DgDEkBK1F+7edd1UvbRcLs2saRoAU5T1OI4x+MRPAOzs7IhI3/dN01zEdB0RERERERERERFdDnpW + lbgDblZzTpqgCbWOx45t7+/vPvKRn3DzzTfv7OzUWmMlZNyg67rD/8Z0wnC9PBLTzac8YKzXehFd + sWKe7ozjOc6Wx3KUWDkWk3qcpyMiuuxSSu6ecx6Godbatm2860gpDcOQc45ZzuVyGd0cLvf+EhER + ERHRPYsw2iD3IpW275dtk2H+Hd/+5Oh9cq/Sp8+yahvk5kBq0lCtL/6Sn35pVHRPhZdxtUP+Qj8O + 3XwmOQ2lvOxlLzs4OLAoEfczz9NGG6+2bUstAB7/+Mc/9GMfMu9mAOLMqrIdFl15IkD6nF8ADvZO + POCBxw729mdtV4Zxez576Ytf1LUNzkq2vrhTq6/99d/8mZf99PZ83uS0tbW1e/fd0Zzuvt6ra8s5 + R86p9V6c8f7lX/7l577ghQZ0s/ZguYgruMDNADRNU2t1uIoKUEq56bnP++zP/CxU82ptbvaOnxiG + YT6fb21tpZSmA2DC4YuIiIiIiIiIiIiIiIiIiIiIiIjofEQkmkt3XRc1e9Gkuta6WCyisYOq1lqj + Z6yLVnM4kgKAIPXAHfDX/eU792aScgYEKcHNqyWReyzApuuKi3hU7rqKQxHtRgCIQsxQzIec3vK+ + v7l1b7EbJe6eVbVG/XuWcawugMIFCiSsklEToICZD8NgZlFj37bt0db3Nk3j7qUUVR2GIaUUmdZY + B13H1e6xQ7K7p5RKKTnnuNXx48djUyml5XIZG4x87iktm+jixBieUopBvu/7iCeQU3nwtgq3FgUg + jgSIYKsB6t7JW37nzg++L1r3EF00cRO3UwcbAMCAWqEq7gBMYArbO3Hij//gd1FPZl/Mjj2gWgZM + xBGj/jrZ+tRLgChEzVZN7GNQFZH7p5+DiOzt7bVtG2N4jOoX0f9nWikW9yJeU9hv/wxsq0RERERE + RERERER09MyslBIzSeM4Hjt2DICvRXw11jOgtdacc5zLjpPykS8bv8K6b5q7b29vX857RURXPFWN + 2o6trS0zi8EkypXc/eDgoJRSaz1+/HjXddPYEuEctdapeiN+EnUV7t62bVztct8/IiIiIiIiIiIi + ujixRstV0zAM4zimlFbLYMwe+ciPf8Ur/vvHfMyD4qRilO9P+dZni9UF4zjGAq3Iw1bVWG8AINZx + ccEMXRWmUPb4Ns6cq2rM4sXPY2lKrBC+fHtKREQrIjIMQyx0xzpbzsxiTjMG7XhPMq1OJyIiIiKi + K5kJ7EJOusxms+hR9TVf8zUP+dgHt1ms2OZpm422bKe1YjnDdKonTnLG5Zf/9587WC7HWiKxNdqU + wHy68tlhrvGrYjWl9MIXvagCOeWxjKtd8VM7FP8dh0GBWdM84du+pdZqZnFylaGwdDVqm5lVzGaz + /f39nPOsbf/upz3qO5/6HdG0TjbCrS9uwsCBH/6RH/3Lv/7r3cXBWMtse2uopWma+O0UHe+yGkn8 + OjuDe7776+79ODRNU91T07zrr//qqU9/ehIRoF8O0cup1GK1QkRTGscRsCzqbgn4we///i//0i/J + EIF3TWtm8/k853xwcIDzNAG8oGGciIiIiIiIiIiIiIiIiIiIiIiI6LoSiyKjoWvf9ymlcRxVNRpQ + R2OHWB3Z9727Ay5tgsAquqYZ4RXNAvilP33zB8S8adAPsBGAIKl0l/nu0RVG4FhXmSaDeBT1qi17 + kazz7SGn99n4W297y0lgsYrbNTODGQSiGrHpp/HTyvSbpolkUACqGg1Mjmr/x3GMlcKllAgGjpL7 + ED0fot877mkdcfRnLqWY2XK53NnZARBdl6dlyMMwuPtUn0x00WJIjwtd1yHCCNa/XVdbG9wAGCCC + 0bBY9PABzXjrb77qgb6rKFUgboJVzXYEFYsbAPipRSriWP2Q6HQucNFp2FbAK1KCAC4JkrT29pF3 + 4fW/ibq31clybw+CaEoPKzirr870vahGgkZ8e+Tj//mYWQzgU73QK6AAACAASURBVPOfWI11oduJ + ZBAAOWd3jxca9ts/A2OtiYiIiIiIiIiIiI6eqk7no6eJyVMdzdbJ1pPp/HtcZ7pm/DC2wEb5RHRv + TNkb0ejwjF/FbzdHlehNhvWAIyJnDF+ydv/dByIiIrpKiPCtAhERERHRlWkjrMV19QVRyVaRtFHJ + 8U7evbpXETzqU/7Oi1540/ZW2zZSS7FakyigKTUx6RGnFuPk4RTxMo5jLDYws4jEjqDrVY7LRrBB + 3CQWhm2suyG6/OLYjmWTIhILV+LfaTnNtKLysu4pERGdEgvRwzSSg2UVRERERERXrciKnr7u4cru + TdfGOcnvetozSokTOGdESJ+PTV/uNc6junu11W2X4/i8F71YZ7OhVEBgnkSbJPAKmIuZmIlNOykO + cbHqqvqKV/38++/8iGYd67p/EJCgGSmurqoOZEEC/tmX/dNP+9RHtTmN47Dq4eIKV4Mae4DQ5XOO + 4HY/7xeACi9utdamaWDVa83Av3vWv/2cz/j0BKR1R5ucFff89DyHCtx1cvcpT/+uAT7AXXWsRUQE + pm5qq91wgYnY9XdaQDUZMJTiIl6tSbmOJSZrVPXkwX6zNdvtl9/wzd90YrFYxoluKFwAzbkDFEnN + ampWw04CvvVxj3v6U586g0itAIrV6DYljjY3VmoSnQ4A4AJGbyIiIiIiIiIiIiIiIiIiIiIiIqLr + 1rQoMrJOp1bVpZSo+ot2Deuru0dnBmA5jhUo0D3o24Db7rxrF4LUIKOiiid4givOVdd3jhq/e1Fv + TVc5h5m4m5hJFM5rFS0JmhoYMNS+m73h4K7fOzhxAKScB6BGfxJzVPhQ4agFEZA9Ai4aG66w3ipk + tZh3ar9wtJnQsbVa67T9Kdx66gIRrUuw7mSy2QvxjIDSCC519yl4dbPrcmz8mlmbPDW6x7q7S5h6 + aAzDMH073QTr5jBsBXPfnfNY0pQcSDnLKh7YAFTAm1ygHkXdBx9d3PKLWx95c/I6OBLGmaz+N2XU + xkeNxS8CA6rABApTGJOtadPqRR9aBaOgCgRQtzYBDknoC2pqtpPd9qqfxPDX8HG3d/gIH1eDhlfY + ALeUUiy+kiQOwA1ubgWnj8arMOwjvAvrrW1eUNVhGCLTOt4vHT5uT68Is9ksfjK9BLh7KcXM4qUh + 8q2PcP+vAVzSRkRERERERERERERERERERERERERERHRN8/PVjVu1MWX5+3//773kJS8GkDOaJplb + SinWesWKAlUtpUyV+ufellnXdVG737atu8dShLhVFP2LyP7+/lHfPSIiIiIiIiIiIroqXWgS6nLo + Syk55+3t7a/7uq+74dix+WwOAFBAse70A2xevLcM+Omf/ZmTe/vRuKpt2zqMpZSz25S4rFJdRcTM + xlpvvvlmA8ZikrRZN7cSiMNVFICZzeedOxLwxCd8G6ol0SYlr5ZETc7cPtHV4NTzTBwKCCy5Pecn + /kur6JI0WRQoxXDh7d4cMKA3u+W2W1/xc6+UlIq45lTdAKiv/uj1bBjHlNv5fK6qtdZhGObzuYjs + Hex38/nOzs6Jk7vf8ZTv/Ks73jsCBuTUxQ3dvYwjRFArUqqlCOBuX/NV/+w//cf/mCHzWWt1vM4f + XiIiIiIiIiIiIiIiIiIiIiIiIqJLLee8WCzMLIJ7RQRikIiShDgMMGiF9ki7wGv++I8PutmQ01hH + AOha3wivxXVfWkkrU9JtFPBGPm5K5li4n2zzr77lTXcCBaijnco699ODz83btk05V7dZN1NRB/zS + 56L3fW9mEfeOdZeSzSTmM0Qo6RStWkqZgqsjslRE3D0CsOPfa1Lc8Uh+BRAPSCml1hqPnqq2bRuJ + sPFYTVeOhjCbeeF0VMy9Vm+appQigpxVgFnXQKQfHaKrZ6kX7H/wz/7gV7dlud1huVzG8W9m4jYd + /7ZeaaIOEwZa0xlMYICZnDZSK8zKIG5DwdZW8sXBB995e/+Xt+Z6QmAOAAYv00biy8w0pZRzqQ6g + bTNgMXTknKMVVdzg8ITpCzU1qqq1juMYCdbDMMTbJDNLKeWcu647fCMiklJaLpfxk2ifdT/s/zWA + sdZERERERERERERERERERERERERERERE16mmSaUM863ucz/3c1/60pe6YxyrKmodZ7N2yqWOlUiH + LNPKOZtZ3/cAphViUcofaQqx9MvMtre3D1k2RkRERERERERERHQ+s7aLxlKL/f1HPOzh3/pN37xc + LlR03TzllM2eWvfeXcePv+IVr2i6dv/gYBzH6HUSZ0fPyQWu8lu/9Ttvf+df5KwicLNxGOK3KQlg + IhKNThaLHsA//JzP+qIv+qJop1JrLaXE9qdkaz/rAtGVygE/7UB1BfRRj3rUD/zAD4zVx+Kzrs1Z + o1vcRWx91s1y0v/z+/7t297x9nEcm6YZxxGudka3HPHrsBGjiiTFcrmste7s7IjIol+WUubz+f7i + YDmML3jRC3/1tb8xlmqAaOrraICK5pybtoW5akKpjWYFvvBzP++/Pucnu65bLpd33XXX1g03XO77 + R0RERERERERERERERERERERERHQti9YNKSVVHcdxFbgospkurECUSVegAn+xGN/8oQ/c1eSU5kmb + Oiy91VVo8bqO8vorqKSzaTJVhys8WXLPFajV23a5s33rHe9+y10nR8AAxXkL1kWklFJKieLSiEO+ + H+I/o4C/1hqV9pFLHQ1MzqmU0jRNJDTv7OwAiDjnCMYupUROdlTsH7Kdq13OOZY/uPve3h6AiPdW + 1fgVgIj3TinF/8e2bVVVRGazmbur6kXUe9O9MY7jsWPH3FGKqaLvx5QS3AFgek55ffvrfl92Pyh7 + e/OtY4uaYFXhmpsCrZJjaBdHcgjg0Crqcs0e0nShxKE+LUtCApLDAYMqHFZUkas/wD76J7/7S+iX + BXrI+4UYLkpZxV2P45hzLqUMw4B1SnS8LhztvSilxAAVI9I0WInI9OpQSlkul4e/Hk3x2JGB3TRN + 3/f3w/5fAzimEBEREREREREREREREREREREREREREV2nYkXW7u7uWPrP//zPe8ELbgJghvl8HnX8 + bdtuLjY4ZDvuHkuYQiz3UtWUUtu2kXhNREREREREREREdNFqreJQR9a0t7f3nU99aptbTL1X7nNH + kSRy00031VqbWRdnODd7naivGrdEuzcXiKrBX/jCFxpQikkSABCBiAN1anES506BBHzHk59sY0mi + VopXy5o2O6Ew05quXuLQVTskfMeTn/yPPv9zBRiGoRRr2za6oV3YBkUW/XKoBuDf/Junu8ju/sF8 + e+uMZ8d1235RVWutMUYtl0uo5Jx3HnDDUIqI/Pprf+PZz/7PBrhAUypWNSUHXFBKGYdhZ2dHAIFZ + LZ/6yZ/8ohfetDWbRSr2jTfeeOKjH+UoRERERERERERERERERERERERERHTppLSqIu773t2jVwPM + sS5XVkyZw+bwAiyAX33zn3ykyWPqIKm3AclObfF6raikDQI5lVVd1UwMbnDz6guRu7a6X/vT23eB + 6B6ih+RaA5EJ2vd927YRC30R9cAXIaKXU0pN00Qbk9iT84li2pTS3t6eiMzncxGptUZkaUppuiP3 + Qyz3ZRTjSa11Z2fH3ReLRSSR11oj+RtA0zSLxcLMItDa3XPOwzCUUuIRu9x34hpkXkVkd3c3jr62 + bQHUWgEDHG6AwSqS4vhH//x/vfZGXbh7b6KqChtdpekciP83CqibuEWs9eW8Y3QFW8efmwAuqrkB + gOpN3V285y39bb+HJgHpfBHGs9ksLsThqqoxpOScY2FIvCJEg6kjfF0YhiESrIdhUNVSyjiOMY6p + aozhqhptrA7fVIyHKaVSSt/3Ect9qff/2sBhhYiIiIiIiIiIiIiIiIiIiIiIiIiIiOg6ZVZyVhGf + zWYi8gVf8PnPec6zm0aWi0XbJPc6jr1IcpeUmnusP48VYlN9f845LpdSuq6rtarqOI6qrGMnIiIi + IiIiIiKiCyYOdzev8/lMYQ/5mAd/6zd942nNpRyQja8LVNzf/+EPveLnf16S5rYZrTbdfBxq/Glx + FdfNDN0K/6NbbnnT7X/igKhadQCyPkdarabcxOW2SVnwyZ/0iV/5ZV8+DEPOuZSiqm3bllJW+85M + a7qquJx5rEYLRYWi+k/95HMffOON5mibdhzHi3g+OnyaTfizP3/rv//hH2pn3aIf4u9u/nXx6zHc + WoGx79ucu6Y5WC7atq21Hr/77nY2+/O3v+O7v+eZowOi5qjVAa02irhZASwl2d87iTp2mv/2Qx7+ + Cz//ykc85KFbs3kSKVbHUra2ty/3/SMiIiIiIiIiIiIiIiIiIiIiIiK6xkWadUQtTtm9Aju9KtIg + DjETLIDX7Y9vOXnyQJJX7+ZtPx6s004P/UNRdXlR9dV0FRIXmJjA1Fd5uJLbpTa3fPBv3jgsD4AK + zGZdtXq+TUQgdNd1AIZhGIYh0pEv9a67r+qHRSQSTAEc0p9kCmyOmvycc8Q5m1nsfK015wwgvr1W + jeMYAbRxZ0spU7x3BLhGjnUpZWtraz6fb2a+RmeYlBL7wFwK41jj0VaVlGS5HNo2w11VBYYyAg6Y + iMMX737tqx5Q7x4X+9BVXvtyGE1OjfICJC/JiwEOXH8V9HQ4BaAOBcRNHOIGYHSF5lwWO/Xk7b/9 + SowfQV3g/LHoy+UyOlDF6BpjSLxRiXBrrIfcYRiO8HUhthyvAvHuqGmazXFJRMzMzGpdPa3OJzpf + xXW6rosLl3r/rw18GSAiIiIiIiIiIiIiIiIiIiIiIiIiIiK6Tm1tbR0/frxtW8A0QUQe+9jHPutZ + z2qaVEoFMK1HqrXGupfziWVOUbsfZf3T9aOsP9YqNE1z+PIAIiIiIiIiIiIionPqus5KFUcdR6/W + 9/23P+lJrageUZs1AZqmef4Lbjp58qRDmq4tVg9pz5Tb5nk3PX8oo05/OyUvBe6akgOlFHMDsFwO + 7vjOpzy1a9o2N15r1iQi4ziecd6VmdZ0tcuifd8//OEP/9qv/VoA/Tg4XFO60O2IqiR1wCAFuOnF + L3n9G97gAjtXovZ1yN2bphnHcaxlZ2fH4GMt8+3tD374w//yG75+92AfgAs0J8QgI+Lr3mVW66xp + u9zuzGeveuXPPeTGByfRg909VS2ldF3X9/1lvGtERERERERERERERERERERERERE14MIbnT3KNtz + 96xJ15mCNkWWSoFYdSyAO4Hf+LO37LWzIbfFS5MFcmbrhrN+QNcTF2BVbasOgUEMiiJpubX1K7e/ + 6UPACDHoUAsOjcWttcaRmVISkXThxcAXIdKssQ4ljaz3+Pecoho/9i2lFOnO0d5kuVyamYi4e601 + fnI/3IXLIrq4xN2MAPJhGCKyOh7Gra0tEWmaZj6fT1G1EXodnWGWyyX7wFwKTZPiga3V3b3rmmEo + AATmHoHWANzHAdbjxPve9Hu//JAHzsVRStHcSG6KrSrnI6tY4QB8I+uaCKtDQnHW0ipxc0C83tjZ + R//q9rtu+31JPcqA86+Tms/nMSDEWDqOYwwaIjKfz0Vkb28vRt3Dm1BdqFjLICLT351+Hn8ohvSm + ae7x78YqMDNrmmaxWEQM9qXe/2sDY62JiIiIiIiIiIiIiIiIiIiIiIiIiIiIrlNj38+7TrzuHj9h + Zts783EcnvjEJzzrWc90R9ukne25e81ZATRNc8impsVLTdP0fb+zswNAVWOtWq01FkGBlf1ERERE + RERERER0Ufq+j8Yi7q6qO1tbn/gJj/ySL/kSOaLeGQ704/je9/7NH/7RH5mgmu/v76cmi6u4imP6 + AsQhb33bO37vf/zPApisumWtMrDFUhYAESLb5aTA3/mEj3vc13/9/u5uEjGzaK0yjuM5O3yxxxBd + +TazpafnBVxqrdvb2+95z3te/vKX+7onkq0nCC5ILdEvzx1Q1e/67md89O67XcQgwNl//fpiXpo2 + aZZx7AEcHBzMt3eOnzz5r5/07XcfPzmUWgEzuAnckRPcc9MAaHOjQBmHRvDKV/zc3/3kR21vzRJE + RepYtmbzE7t73Xzrct8/IiIiIiIiIiIiIiIiIiIiIiIiomtcVESP46iqUVFcra4DKdWhBt0skByB + A8HrP7r71jvvWs66cRxz0tNyideXz1lXuVn5Sdc0dVEA4g43OIrieMLtH3r/n+zt7wLWbkHzMNaU + z1uDHwdk1MZHzqiZHRIvfVQODg5U9eDgoNYayaZN0+j541cBRGyzqkY/k4hzdvd4ZkXRftyd2Wx2 + qff/MhIRVTWzuLMR7x2LL5qmiYDYnPNisYi+MbXW6UEDMJvN2AfmUuj7EeseO2YYhjG695it6uzd + igjgBh+gB2/7jV+Qkx/orHdUE2g+tbREAIUBFpnWLjD+H6NT1EQdKm7i5qIuqjAAngCr3eLDt//2 + L2I8kWwJL4csWlosFjHqTmNv0zTL5TKGVgA7Ozsi0vd90zSllKO6AxGkPY5j9KeKsStefWqtMYDH + uyZ3P7z/VYx4MfTJ2qXe/2sDY62JiIiIiIiIiIiIiIiIiIiIiIiIiIiIrlOxiiCltLU9q3Xc29tL + KdVan/CEJzz5yf+6lLq/v5jK8SO4+pBN5ZxrreM45pz39vYAiEisDYi1XvfDQjUiIiIiIiIiIiK6 + VqljZ75lpVqpCVKGUUS++xnP0FWPnoi6vciNOyBJHDgY+v/63OdBpbh189k5z2pGD6CXvPTmwaoD + ZmjbFoCNIwBNaVyfTW2TllIFePzj/tW8m+Wcoz3WMAw557ZtedaUrg2nGh0mvfvuux/3uMfVWh0O + AURw4W3OomdQztkBA0azv3zPHT/8oz+yasKF670LV9u2x0+cUNV21o21aM6Lfvk9z3zmH97yukUZ + DXBAU3IzbRvUCqCMI4BSxwRkyH/7mZ/9h5/9mY0ApfbLZdM0bduO4zi1riMiIiIiIiIiIiIiIiIi + IiIiIiKiSyeCTtu2dffFYhEhvhuBguoApphrQQX2HCeA33vH2+9Oqs28Ls+q97vYUmq6Rrie9a32 + KR3f6X75TW/cAwbocigOgcD8vHXstdbN9iARgXx4vPSR2NraArC9va2qpZSIOD2k3n5KYo5k63gS + uXuEnkZmc0SiTvnN16SouwYQydYR1Bo9XiIUdvoVgHgoIvQaQPzLbNdLpOua5XIpIqrIWd1Ryup4 + VkVOAiApABMU9LvY//Db/ui1O9ibNbkvvlx6m8/YpDJ5ls7mgAkcCjGFiQOAQV1gBTuNn3jPW3dv + +31476VADHbYkBhDR/SYcvdIlc45i0gsNHD3ePcSo+6RmHpbpZTib01DWc55uVwCqLW2bTvtxjmp + arw0REL29PNLvf/XBg4uRERERERERERERERERERERHQxREREplpGIiIiIiK6GsXqrCjlT5BZk82L + qJvV7/3eZz7hCd8CQMVVUMZe4QCapsF6fdfmv9PqJmysWYrlXvHxAaevZYpa/77v49vDM7OJLoX4 + SOvu8fF2Op6n9YpYr8eTC89cISKiS8HMYoH0OI4xUMc7iliLiPXy6Vorz1sSEREREV2Tph4iIqJJ + AFfYoz/j0//hZ39mXCHn+9RDo9bVR4k3vOm217/hDanJ0cUpPmJENy4X0ZwhcuddH335K16hSaOr + 0DAMU3av+alTqaVak9J22z3x255QSmlStlJhnnMupcSnGJeNSGAAbAVCV4M4azoMQ9u2LjKOxSpE + kkh61vd9/3vf9/7lOKqoqMId68/pq5aL96axnTtOn1aowH975at+6TW/Usxy2xikWD2ja961R04X + P3T3fhiOHTs2juNisWhnM6j8+x/9kV98zWsAOJBSQrRkUrWNx1AAdXS5ee5P/eQX/+PHYKxwF/cm + JZiVUs74Q0RERHQ9m+bTcXpByOE3OfUxZz0Rf6n3k4joCMVn1amnLdt2ExERERERERERERER0SUV + DRZqrSLSNM1qwh0AoJIhgAJNlgQ4zCGakNIB8Dt3/s17xsHaVl3hqwLmVSVzrMHMWRzCSfvrk0kS + CMxKARRptiv5j+/60B8vlj2QEBGeURBy3m3knGPmNCKiYy71fqsDUdVVKX4pEUR6vmtGKGmUqUwL + kLGuc57qaVNKcfkqEhPW07R1NGaJ/ykxdGxmVG9W6cR4Em1eNrcwPT5TjvXmY3LVPT5Xi1p9NpvV + WqvBTl80YoZaXYBaigBwFxQMJ9/127/wIDuOsXfIrJU6QhwKiJu4GcSgCohDOcjThmooBrg2TbYy + 1HFIjZphK7nu33nb7/4SykmICaBucAPO+xowtXEIKaWplmZqOXVfFh34GtadIkLbtliPUZvX3Czg + GYbBNxanTLnU8Tq1uQRsaiKkqke7/1eLaLixOfLH43BIvy+uZSMiIiIiIiIiIiIiIiIiIiIiIiIi + IiKi05hZ27bPeMYzvvmb/1XkTaQkDp9iY6aC/nuzqa7rVNXd27aNkncza5qm1tp1HYBSSvzqUt8v + ok0iEgt941t3TynFmpbZbJZSitD3OOanZSpERHS5mJmI/P/s3XucZVtVH/rfmHOutfauqu4+hyMS + vdeb3CRi4sdHEjXGJN4YNSY3XjQYFARfPHyEl0TUGPGqAdSrkgQQD4gHwQcgiooS5GEUH4BBjjwV + nyCgwOF4Ht1dVXuvteacY9w/RtXqXd1ddfocqruqu3/fz/6cs7tqP9bae+25dq01x/iFEHLOTdOU + UszMr8xmMy+Z89Lr66GSkIiIiIjo+qS73/S9z9pOLx7giY9/QhdFgFp32peEGOUeQ3MvJrWpAgr8 + 92c9c2uxqGapbUzOtTsZx9EAFbzghS/M0GLn+setLKhKSjnnJjVBUGt99KMedb8bbggX3JDo6jX2 + Q5SwsbGxtbUVY2y7LqRoQW55wQte/opfrKgb6xtqalXhH0mRGGMpxRsvelucqYvQwQzwtkkGfOdT + nnL67OZdp09LDG3brvZIuibZXv5DEVGYxBCbtH7yxOmzZ37yZ376+bf8ePFXSaAwACVnU4UZoEF2 + BkwA3/99T3v4w75SDClG4akZIiIi2sd0qkVVQwj+zc0OBCClFEI4r0cwEdHVIoQwjqN36x7H0VvZ + EhEREREREREREREREV0O3s+h73ufCTkMg6c2SpAQYjWFCGIAzAqaCAGqGixKbM8Av/C/3jjM1krq + KoBa4dMFReAn7ncTCplsff0JECmlhBBC2yKlzbH26yd+5V3vvA2owLkJ7SIx7Duvwx8BwDiOU8Wu + HpCDfahUdRgGD2b2UuIDbuwfHD/P62mmqwmdV6laq8/VSSnlnHPOXdd5ELW3bam1+hvkK15K8fpr + n7qTUjr4dfOcb39D/WbX9nzso1KrxSjTB6fWGgLuocyl9rjtT9/zlt+YY2gDoJYCAiBAgJrAJJkE + MUQO77SXb10mYSyWgrUpqCHAsLhjefuf3/2W30bIUKuAweI9TevzIajrOjNbLpc+1BwKH6985wKg + aZopuNpbWnmnCH/GA553GuhCCJ5m7T2C/Lc+odGfYpoLdF3xb5i+awDg+1Mf+Q/o98VYayIiIiIi + IiIiIiIiIiIiIiIiIiIiIiICRCEaTINpasIwLjc21r/1W5/8xV/8b2JErSYCn83vM9Qv5SFTSl4z + hpUk7BCCp1zHGHPOwzCklMZxZKw1HYnVIBC/klLq+77W6hViXdflnK/DMhUiouPGv0KIyMbGhois + ra2llPybiYi0bQvAy+NXc56IiIiIiOgao3ubpwSYmH3+5/3LB/7dT2xiFEMKMaaktdp9aps1jsWj + c3/rTW/4wF/95ZDHIedaqzeMK1pn8/nWcnFme+uFP/2TWbXq3qhqFVgAYKUAUFUxdDE98mu/5qJd + 4Uxge9fIE7v9QnScnThxIue806JIZChZg/zeW3//e5729CBJga3tLYGklASipYqIn1nIOfvB2Okn + F2d7EuNtN9z6trvu/MZv+qbZ2twTsmutOWc/LHCdEBEEaWbd6a1Ni+nM9uJ/3XrrdzzlKUO1EKQC + BlFVCFIbEUwCYDBFBNok3/qkJz7mUY+2Wss4tO1FEto4/hAREdHEzDzT2r+2jePo7SMvqpTiTYG9 + ba63Cb5yy0pE9FFr23Zq1Vpr9T7gR71QREREREREREREREREdC2rtc5mM5+o3HWdiBiQ1YpVQBEC + VFFrDNACgQCAoa/1DPDGu+9676Lfiq20HVJEDAbTWiBAiGFlEiZnJl9/1FBFBAhF4/ba+jvvuuvN + dy3PAkAjPiHXFGYm++ZXevKlmXmyqbsCU0G2t7exW03s4aaeu7mftbU1AE3T+JyW6Wzv5V7Oyy3G + 6PNwPI3VV9DfC5+GHWP0Ve77HsBsNvM669XT3GH//GTvpOFxsF6RvfpG02FJUWT3LfMo36qoFytz + 8TFbQoAV5Ltufd3L1+pWystZBAwrFSehSjAAspN1TTTxD7wKctUUJApytoj8sal/86t+FnUTOgAa + JABQ27feykcDL9a4++67RWQ+nx/iFJqUUs7ZA7O3trYAiIj/0BOXu66bpiwe8Lwe0uyjmQ93qjrd + ftphqeo1sFO4D/wbpu8WPUTcg8AP7vfFWGsiIiIiIiIiIiIiIiIiIiIiIiIiIiIi2iPnIaWUyzCb + zZ71rGd+zuf8E69C8jnrU59in9l/QPlZKcWns8suv7vXOE0VBV4GcEBZFNHl4Bvn6j+9RsUrMaYN + kr22iYiOiamY3Iux/WsGAB/MPcXKv2msDu9ERERERHQN8/ZqAVibzR7zqEfXWgWoWqEGQ7z3nZW8 + P0vbJgW2l+PNz3tutzaPMXpbKFUFYEFS2/zyK3/ltjvuUtvTCui8dm9N01StCjz4wQ/+O3/zb5Vh + ZD84upb0i6UYuq5rZ91i6EOKW8vFk578LZvjAk30CGqJYeqh5n/Fz2Yzv3vTNLj0o6+C3WaMaLrm + N37nt1/84pcihO1lLzGEFK/DpLGq2q3N+zz++Xve86jHejz9OgAAIABJREFUPLovakCFGWBmBgve + rk4NagI0QACe8E2P/X+f8l1aSr9YdF3nneyIiIiILirnLCJ+zsWbGLZte0AH25RS27Y55+kuIpJz + vnJLTER0aWwfwzD4rDbsnoP2Ru1HvbxERERERERERERERER0bQoheP7i1LHBOzAYIEEAoGZPOtWC + rgkKiyEGSIVtAXcBr33XO7c2NsYYDIYYJAQz01JgBj+/v3eSJmcyXxcEAEIQ1Yqi22abJ06+4m1v + OwuMCT2KwLogMIUF3X/+7TQ1N4SwXC79+hVIPl5fXy+leLcHADHGYRgOuP1isRCRWuuUHHy5l/CK + 8Vfb27Bsb2/7CmLlrUkpqerJkyf9FfBoUgAi4q/DwaXWHlWrqt4HhpN8LpNSir8v0zyEA25sJoA1 + SfW977rtj9+yZlsJqiUDEFMAupNLj2DMtKY9BBCDGUKAQUTEakHN6zZsf/CP+1tfj3mAVkBjuodt + xwcQHxamQOvDbd3gVSS11o2NDTNbLpfe/CeE4AOa7wK6rjvgQdq2ncZDvzLdy3sHicgwDNdtJ6vp + ZQwhTK+Vf/k8oN/XdfpiEREREREREREREREREREREREREREREREsnLs4UYg2UZLArM7m7TAun//8 + H/vcz/3nXsDQtq1XCLRtO1UjHPwktdYY4xQ8mVISEY8NXq0NYBtQusJ8k5uqEz1GZSqn8d82TTNV + 7hER0dGa6qKn0vcQQkqp1rq2thZj9EE7pbRaoU1ERERERNcSk3MX5+3VtJSHP+yhH3u/G7soEfAG + W1bv9fHGaioh5FJEoMCLf/Zlf/XBDw05xyaNJYeUQkxDHnOt//1ZzwxhT6Z1gKwG9EoItWYADeTx + j31cGcbZgR1Vzt3Rzl2IjjMz67ou57Jc9PO1jWryDf/hse/+sz+bra0vxqECzW7vm5JzlGBm3gTH + 75tzPjjTWnBBsy0BgGHIBjzlu77rfR94/3LoJaa2bXO9jg7hetzacjmoyW1//dcP+cqHndleVMAg + VSEiOxHgthNo3TY7vae+6mEP/d7v/p5hewHV9fm8TY1q8bNCfuHIQ0RERKuapvGeqn66PKXk7SP3 + u733l/STOH6XcRz9LDwR0VXB/271DrnYnTjE885ERERERERERERERER0mfhZ9Rijn5MSEc+0hkBh + IgIDTERC08QhqwJFK2BBQgXOAq/6q/e/3+qm6lhL0YoYYtOICGrFdH7fdi8AmGx9nQjiU3aLQTdO + 3Hr7R37n9JkBMMMA06BVxwhNIZ7rMXIBjzr2mcDz+RxArfUKJB+P4+jdSEopvgDen2S/2zdNYytS + SlNjk6vaFOztk3DW19djjKv9WPwNWl9fX11Zv4uZzefzlNIB79fa2pqqLpdLbxpTa22ahi01Dp1v + kx7NO45jztlfalsZm1dG6GAmEkIZF9DtW1/38pP1TCjbe7bn3c+s7AZdE02CIaiJQESqRKhtxLJe + z77hlS+FnsXWaVhJUUqpAGT/sGcfdX1Q9X8Ow3CIy5lzbtsWu/0iSinz+dybUPkThRB8ODr4ef17 + 1DTPx79HAThz5ox/9AB0XecPdR2Ob9466bx2Sf5yHdDvi7HWRERERERERERERERERERERERERERE + RLRH0zSbW2dijH2/iDG2XXrWs571OZ/zWaqYipe8f/GUAbwfLyfwae5d15mZl0h5ddNq9ZRPeSe6 + wpqm8QCV7e3tWmspxczGcVRVMxuGQVW92JKIiI6WV8qllKbvFT5uhxAWiwWw8y1FVdfX1/m9goiI + iIjouiJmTdM84XGPz3Un8DqFPW2b7hVVGJASquHmm2+ezWY559lsBqDW2rbt6/7nr733A3+181eH + 7DDYagqviKgiAF/0r/7Vp3/Kp97nhSE6nsQwb7syjPNuJiKbm5s/89KXvOp1r1Zga7HdzWcQjHkM + IawGGYrIOI7TaYV7PL9wsSeGAQos8vj1X/+Np268cRiGIY/+Cb1OeIuo+cb67Xf89YP+3Zd+5PY7 + iu10sQwp2m5nMzEIkFIoYzHDQ77kS/7rD/5QzTmFWMYxxnjmzN3X1etGRERE94E3fIwxbm1tiYi3 + jzzgxn6Dra2tqSXilVtWIqJLZvtQ1amXq6qGEPq+51BGREREREREREREREREl0nbtlMVpJ+l8mTB + rNUMZjVCWwGqqqoFIAaIqhVAa0APfBB4zR++o87atm3NLJcMgYjYRectX/U5v3TJzMQqAO3ardS8 + +m1vPw0sECAJASpmgAAxRkHYL8JSRHLOfsLUg0VjjKuzgi8TT1n2615T7HXE+93eF3KKXwVQa70G + zvNOSd7TFa9HKKV0XSciTdOISN/3pRSfqFNKUVXv7rJcLqefX5QXZbdt6zni/uAseTh0PhUBwDAM + bdv6O3JgPLyYagCAkv/o1jve+65Wqt/LJCgCAAUgENMAZbI1TcSQAmBFDIAUCxLDiTCc/sC7N9/+ + RsgIy7MUrZoYUtMc8HlfbdHgQ6tHsx+WpmnMzJ/Fg97HcfRxfjab+Xchj172n+z3OCEEVfUZPtj9 + uJmZN7aaPmg+ik5j6fXDu3uVUvwV9lfJd5EH9PtirDURERERERERERERERERERERERERERHRdUos + iF1kVvkwDCmltk2zWVtrDgGzefvsZz/r0z/9U73qIMYoIjHG1RKvi5rSr5umGYZhY2MDu1EvMUaf + ++5BwlegjI1olVdZTGUYTdOEEHLOXoDhm66npY7j6P8kIqIjVEqZyudExIt4sVtWByClZGY+bt+X + ZCwiIiIiIjr2bCendZUC2jTN5ubmV3/1Vz/g/jf5wUoRuQ9tR/yAZ2yCAbkiJPz0i3/m9jvuKKoI + 4gdC7z5z5nnP/7EYQ/X2HWbY6dWy0tVLRGsVQdukxzzmUUO/aFIq4wHthwDPoN091BrYOY6OvXEc + RWR7ezul9Kfv+fMnf/u3GVC0QsIwFgDdbOb9gFIKagrAzGazWa3V28wdfHLhYgIsQDCbzw14+x+8 + 6z//5//cNM1sNlv0y8uwiseI7AJgZkX17tNnHvLQh952221qgA9FAjVD2BmIVFUEWlSAL/r8z3v2 + M5/ZNW0Z86xp19fXF4vFqVOn+r43YLrsPNfKWERERETXMz8jMwyDiPhMDzM7oE2wT/wAsLGx4edx + mqY54PZEREdF9uGThfykM4C+7/1v2KNeXiIiIiIiIiIiIiIiIro2eehsrVVVvRxSVatWjyyddU2L + CK1rTVermQTzKYKi1VQMNeCs4Nf+7M/v3N6WGFNKpRRThQf6hovlEhrDra9xJjsXqQiG3MS3v+89 + bzt75wBBjAgBEYhQARJqzgH75j/7ZumBl6tR01fANF12itsMF92ed28cQvA5LX6XGOO1MV/F18LD + RwGEELxni5/RzjmnlFbTr1fDvD2D9oDz3X7H1VjZnLOXbNMhCgElDx5G3ve9qqoZJO7Wnpx3gX8i + FYBm5Lt//zdeWYflar28SQhAMEbP0oVUTKOpKESQAZNgmx9562+9EnmBMs6aaKX6xrRbALWvWqvH + Qk8D8uHOn/Ghe/r+07atD2JN03hS9bQL6Pv+4OXsus4bBHVd50s7DIOXq3g3IX+063b+j/cEa9u2 + 7/u1tTXv0XFAvy+OLURERERERERERERERERERERERERERES0R0qp67qtra3lcjmbzbzk6aabbnr+ + jz33kz7pEwHUWr0MYKoT2E8IIaXkRQsppa2tLf/hag2blwR4VQPRFaOqvn2qqlfZedGdF6t0XZdz + 9tK+pmlYhkdEdOT8G0VKyb8zeHz1FIKVc57indq2vffJWEREREREdBXwRlt7fxaAMI7jDSdPnTx5 + 8t8/+MuiSARyLfehHZWZGawWjUFgsIKtRf+in/rJbj7f3F4gxThr3/WH737T791aTFfvtWchRQJE + DAn4+3/3gV/4+V/gTVIOPo56Ht2vQxjR8RGDpJTa5u6zZx756EdVM0iAADHCVFIax9HMuq4rRQMQ + gS6Gf/bZ/zgCUBPz3OV7s63vftTGcQQgIj/+gp94xx/+wV1nz7azuV3rnxoRMYHCTGAij/jqr/qj + P/6TfqwKtF3nt4AqVCESJARIMAjwT//xZ/74jz1/3s1Qdda04zj2fd80zfb2tjetIyIiIrooD3ad + zrn4iZipGe5Fbz9N/JjueMDtiYiOG58+ZGbePHc2mwG4V8dziIiIiIiIiIiIiIiIiC7dThC12RS+ + GGMMIQRgKHkYckaNiEMe2qY1VZiFGD30NATJhrOGDwO3fujDt2W1dtaEuDMt0ww+vVl2L04MwrrL + q81uGLkJYICYCWpADbs/sT2B5VUyUIGYY3t7iq9/75+dAZa+EahCobpzxwoLIe23QfhkXa/q9Rkj + ngx6BdY4xujzT7yliT/1fjeewkp9oosndF4b81V8LTzNWlaoqv8q51xKadu21lpK8S4Z4zg2TTMM + w8Enu/2t9BfW88unTFM6RKbadp1vw7PZbCeg/YDPkVnsWkOQIKjbm2/9zeUd729tEFMDTIIXmgig + gCKYMIL2WiamYnqR/fYFP/HbVFMAYjWYCdDoWO5435k3vAa6kKB5HCoQkwhQSokHjpPTxD8vy7rH + vlL3yrQr8cZT/hQ+vuWc/Yl86Nv5yOzD5/n4cFdrHYbBH6rrOt8R+M7LJwJdh/N//HWecqxPnTq1 + XC5xT/2+OKYQERERERERERERERERERER0aXyqTkAzM7NSiEiIiIioquXiZrsfrG3MF1MpWSdNbMm + NFZqhERY1XzTTff7iRc8/+M/7v4xIAhgFiBaq6dXe4TMxB91qiLAbsWCm0KCvTxstdJpanPsrsgr + QdejaSudrkwlLv5P3yankFQiIjoOvMLczPzgpH9VMLPVkunpMCYREREREV3DTHYuMPHuK5r1m5/w + JJiJYMpqlf0vewggUA+rFjETb/PVhOZHb37eVj9oSiWErX74b8/+kaZpoRBv/mI7d/djreYHNlUT + JBm+9T9+S7+13cYUUlDcwxSLaY3Ordp1xv+U88YoftzYgOvzzzszE4F5SnoI3g/usj/pBVugXxTW + dG0pRVVnXZPHvkkh1xJSymoF8sRvefL7/vIvDVADLEAVIVoppppSGoYBQBA0wNc+9GG/+LM/+wX/ + /HMbmMBmbWdmIhEIECDsrKMAKYWdj9eedooKaJOaWmtq2mK2qOWrH/3oHOPmMNSis7bzLO2maVS1 + 7/upLZ13Yly9HDe+XH59ZyGhAoVVsxpCGEvOVS3GAjzqG77xjW9+ixmCIAT4izyd4gmIohqBAHzq + J/29n3nRT621nZgKDKYxSJQghpTaWs3P7Pjloxl/9ntt9z6mXvSy3/Me5/eLiIjoOjH1w8Xu2fN7 + tHqSnSdriOjq4vOFOHYRERERERERERERERHRFZNSmiY6+umqAAmQBsmACvSoEtOQR5+xrDlDDUCp + pgYDtoCffve7b7vp4+7MJaUIKPIgIcECJJQQhhhyDNiJPlWgcE7e1cQADTCYQH3mumkNVoLlgCqA + BdQA3Y2hlCphlGQ62tidfPPZ7V89c/osoBBYhRUYVAFDqTAg606MpZ8nXT1bOk0UmaJAPfnyCqx0 + KcWfdLXZyOpTr05lWb2Nf4iOZ6a1F0Sr6hQdemE3Fa+enm6ccxYRTyQNIXg4q99+tW2LB5D7Ff/t + FAp+j8sz3d7jwA9rZWkiO014zjUwEcCsAipigIYAn02dkt+g1pyBaGZiS+jZt7zmZRv57iQFEVU1 + hRDr0IiO1TQGjubXMDEN2Ll4xRQAr7AwORds7dHXvhsIKSE1pWhAWZO6oWdufc3LUO5E3QxlqUAF + lkUKAhBqGbE7nPr26QOvDzjTwILdTOtp7DpcPrjtrJ0ZdscuVa21HtznNqU0jqOX27Rtuzro+WP6 + 2l1LgdbT+zLtU/yftVYfw3POq/tE34/4K1Br9f2Id/fy+iAP/8bKrpOx1kRERERERERERERERERE + RERERERERER0z4IpRO//sTf9/M//3Md8zI0AQoDBggQAqioibdvqrgMqvnxy/FTh4KUCAEopUyyl + iIzjKCKsgCIiIiIiIiIiIiJgN+R1le30GRmXfYrx/ve//5f/+4eIyDgM96X5iLe7Mpu6ewyal8vh + xS/5WQlJQnrvB/7yt9/wO2MecWC3jjamNqS/cf8HfNEXfOENN96v7/tSikT297gH3j9lSr9b7dJ1 + 3ToOL4KqDsPQdV0IYbFYtG07DMP6+vrmcmEx3PKiF/6P177a04nna2sAoIZaAUgIpZSmadbWZmb4 + lAc+8Puf+lQd83Oe/awTa+sByOMQJYgIRPyNnzp2laIXyZ83AMg5p5TGPCqQmvb9H/zQf3rKd23c + cEpS3Fpsr62ttW27ubnZtu2pU6cu2pHtaung5cspIimlsWQFYpNyrU/61m979Wtek0IohmJQRdu2 + IgIzfw1NSxOSwP7OJ/zNl//sS+9/001tuqQQSiIiIiIiIiIiIiIiIiIiIiIiIiI6WmKee2oGNG2b + a9mZW2vABRMgR+AvgN9873sXqUHToN9GE2GGUkzglxUHxUPSMWWr/1MY9ryPq5uEGKAxhrHva9ed + Tu2vvO33TwO93013b2w72xgAiAIaY/QZyyGE2WyGI53AbGZTlxIRyTkPwzAFNk9R1vP5/OzZs8dh + ovUlCiEsl8sQQtM0wzD4D0XEV8HMcs5e/jAMQwihbduu65qmmdbxcJuuTA/btq0/7/GMA7+GNU0T + QvC6FY8Vj1EABAECYHW9iyiL5a2/We96v43bIcDMqpY2xZrHEIJdiZR5upoUxZBLM28SNC7vXH74 + T//61t+CjBHZNxZDwM4FsjsEpZR8O/TKC98svaxjHEf/7dQG6lBM8dV+pZQy1RDdu/UtZUplrrWG + EO7Dg1xdvJYHu4OG/9fMYow+hk9vk7f/uuh+xP+ZUpqGIOy2/wJjrYmIiIiIiIiIiIiIiIiIiIiI + iIiIiIjoEsUopYwf9/EPeNnLXnbDDSe8MEZNY5SUgpmN4wigbVscWK6WUpqyq2OMbduamSdh+2T3 + ra0tM+u6zgMqrtDqERERERERERER0VVIgJRSrTVJeMLjHx8gATCrwE4Dt4te9vB/rzQxkd1uXX0d + n/PcmyusmD7jGc8opbRNq0C8aEysKKCllqz5SU960okTJ/qtrfl8vtp/ig6wmmm926XrqJfpSHlv + oKNtrzPvZmXM3sJmvrZRqoXYbC8X8/W1t7/rnd/xXd9pgIQAYNrIY0xN25pqCKHk3C/6G2848fzn + P38+n6eUbrrpph/6oR8SIEAAqBZYhZpVNTMRuch7vvdD6xuHhJBzVtMXv+TFL33pS5uubbq2H4ei + 1QeE7c0tqyqG8x5Rj2W3op3ukb60ohD15eyXY4yNqnZdN5by9O//vpf+3MsyzBsTdm1ngHfra5qY + UjAtAQgh3Hjy1Cte8YoHPOAB25tbbYoXe1kP3wUdMOFrtPsuhIte9t7moEcjIiIiIiIiIiIiIiIi + IiIiIiIiurZ5zKTPIB3H0fMI9+vbUIAt4PVvu3UzhoXB2hlKxay13aDCaBpMYf6vwLzCq09QyDT3 + NQAhaoiG6NHUohBFUAu+iQQsa7t+w2bX/f6HP/DOM6cXgPqseDk3F3d1Owgh1Fq940ette97bxVy + hDzXGYCIhBA8CtpzVX3GbCllsVj4ba4iHhlea+26rtY65RkDEJEpi3Q2m3metzdg8c++ql6O90VE + lsulP/7hxmbTwXxjnsKDPVy81ipiWnNAFegyVxjQ3/F7r/uFE6mi1CaFKQodgNUjXQe67MKFe+2L + zbcPQFAERSilNm1bFVrzjSm/+ddegdzDTHeqMTzNuggKAEPw2o3ps991nW+H/q2j1tq27dQGKud8 + WCvm27CnUPsydF13H/pKNU1TSvHvS33fAxCRKaf52uNvge8Laq2eOO7vjr+JqjoMQ9u2vk/Zbz9S + SvHOYJ4FPt3AXWV7ViIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6Kjnn2WxWSvnfP+HjX/SiF3rp1MbG + Wq3Vp7l7qYBP9D9gun8pxWfMb2xsTIEcIQQzWy6XZraxseHFAwdkYxMREREREREREREBMLOuba3U + 5WLxDz/9H3z+//UvInbbr90bq6HWCKK7zbve94H3vfrVr/7IRz7yqlf/qpkNOQskl33bssQQT22c + eMQjHrFcbI3jKCLjOB55h6/jz48GH22E8/Hhh8b9OPnRGobhxIkTKaXlcqmq3jQtxnj7HXd9zdd9 + bYpJAQUgsrO0IrUUVYVBbKevzfc/7el/74GfVMaxa9oo8mX/7sH/9l//G4PFve+3t0A6eBtomsaf + KIRgsK7tADztaU97z1/8Ra61nc1qrU3XikhKab9TDFdLWLIBp+53491nTrezmYn892c98znPfZ4v + e1YzINcCIMYIIOdccl6fzQVY69qX//zLPv5vfCxU2xTzMB7pehARERERERERERERERERERERERHR + pfKYYTNLKeWcPWny4rcEeuCP6/COD3/wbNvVroMBMEkCICiSIiogBthOQObVMoeSAMjqBHcAgEGA + qBIMcSes3CxYDaqiYrASK5rT682v/sFbzwADUIMgRNieR522J5+4W2v1eEsAfd8fYYsPzzfNOQ/D + ACDG6OmbnrGqqqrqH4e2ba+iViS1VhHp+z7GmHOOMU4fao8UlV0nTpzwn3vQOICu65qm8dfhsPiz + eyisZ4d7QuohPgXdo6ZpfNp83/c+7McYBRBVEVQNEJO53fH6V9bTHw7DViPw7ceDbK+ezZ/uCxN4 + mcaej6UAuDDZeuciIk2D5WCN6OJDf3L2Ta9DUtRiCAp4YLEHZctO/YesjqLDMExdnlJKHnHt+wXs + DhqHYnpkH9ZijMMw3IfYbL9LCGHKeD6sJTyefEewWmsTQvA8b393uq7zhmDz+XzKwL5wP5JSatvW + 90R+39XYcsZaExEREREREREREREREREREREREREREdElMatNE0VMVT/5kz/5BS+4ZWNjtrW1mHVN + EADaNBEIpWjbzmz/msYYY9d1ALa2trxmxqfOi8h8Pl9NyPbZ8Fdo9YiIiIiIiIiIiOg4swDb0yXD + W3WJYRzHFKWNYbm1/aQnfnMAkux28ZILLvs9/EpPlpXrAsizfuTZNz/vuf3QG8xgIYT9ugAJYFq/ + 8Ru+Yd52bds2TROiiMg13yTl0E0dcK5nfrT8vIZBl4nYxS9mVkqpta6vrw95VNiiXyKEb/6PT/rg + R27raxFBVQ+0VgSDKERrLm1qopgAj/66r/vKhz6s7/u2bYflIoUA6I88+5kff/+P9TtGCd4Nx5dk + T7K1AXtXfYr6LqUYMJbctd0HPvjB7/7e7wldc/rsmdS2OWcz67pO1ILBLzuPJ8e0H6O/2v7q+U8M + AQjDOMzW1jaXyxf85E/94DP+qyFkoAIGpLapWiHwrPEA6dqu75f3O3HyJS9+8Wf+o88oY04hnNg4 + oVou9/Jf+MLut0VdyuXgRyYiIiIiIiIiIiIiIiIiIiIiIiK6Vqmqt1kopZRSmqaZkiYv5LHWm8Av + v/2td3btpgpms3G5iSYEIBpEATOYQpQT8q5KwSzYnqnwKqIh1oDdH9dzYeVB5qc+sj380ebZ310s + zwK1gRqwdx7y6hRtMwshNE3jodEAViMzrzzf1Jum8W4k4zi2bQsghBBj9ABmv03f90e7qPeKp4fO + ZrNaa9M0qurx4X3fz+fzEIKvJoDNzU2/Mq37FPh6iLGy/hqWUqZ0cFXl7P0rxrPMfcZ7CMG39lpr + LWV6E0KMsGJnb0e5+w9++1dPYml5GHM1CWaWIqIcUBlD1wKTMF3OTbMXYOWtt5VLCGEctE0yj/V3 + X/VS5DswnPViDEMCxIf/6b4+hPrmB2A+nwMwMzNTVVX1Mcd3DYc4Pvgje3WJiNRaReQ+jG9+l5xz + CEFVvfTmGh7Haq1t247jWGudXq7ZbBZj9LZdAEQkpbRcLv23F92PmNlyuZy+avrNvCAFjLUmIiIi + IiIiIiIiIiIiIiIiIiIiIiIiokvUdd1dd91Va11bm4WIT/u0T7vllltSwjDkGAOA5XLpk90PzqKu + tQ7D4KUFO9kSIgBKKT7f3eugaq1mNk1/JyIiIiIiIiIiIro4tShh1nVa6z/97H/yWZ/xmfc6BXhv + xy4zM0B3fmPveOc7fuInfkKBtpuFGItWb3dyficgA4BZah7zmMfkMtRaIeZdtPZrJ0fn8cZYIhJC + uM4bLYknN1/+QOuDzefzxWJRa226NoRgZhsbG8+5+eZX/9rrihl2I5ZFpO06eCK1Yd7Nasmq9g8/ + 5VOf+j3fu9xezNomhaililkT4/p87alPfWobYwDUVGsVkUvpLjclxPuNVXUYhxjkFb/66he/5CXz + jXWFIYSc89RtZ+L9GK+Kroz+rpugqMamefkv/tKTv/3bYmorVL1PWZCd1PMQ+r4XIKWUx2Gemh9/ + /vM++7M+02o5ubE+LPvF1tmW51mIiIiIiIiIiIiIiIiIiIiIiIiIrgY+RXkYBu/D4E0bPGzyQgaM + gkHwjmK33n5b7tYhqQoQTAzQsJN1KTo9/BVaDTokBqgAQNiZUBxgu2+r/15gogAC1CAaUj51v1+6 + 9c13AAugmkA89Xr1Xm4nqbTW6puZiPi8ZZ+heiQ86xSAmXmEp1/3IM/pNqUUj4g+quW8t3ylpixS + EZnNZk3TnDp1ahzHEIL/d319HUAIwadJj+MYY/Trh76+8/l8Ncg8hOALSVeAj/M+M19Vh2GYzWb+ + K1WkCDPEAFiJVtDkD73+Fe3y9gZZFe1slquKnB9XT9cHFdMLfgQF1Pf2pieSnf7LPz1962/BtmCD + oAABEEjYW/6k0/cNH2mXy2XXdZ4S7eU8OedhGHyAmoo4DstsNuv7vpTi33buwyP4kOiLJyJt25ZS + jnD/dbn5+9U0zZRp7QU4/tb46puZvwIeGY6L7UdbAw3/AAAgAElEQVREZD6fi8jW1ta0Szr3LFd8 + vYiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoqhSAeded3FjbPH1GRCD6GZ/xj573vOfO500p2jZRgBgF + UDPzOfEXFWP0SgZV9YhrT7ZOKXnBQ4xRVaeCKyIiIiIiIiIiIqKLMcBEpAkxGMqY17rWtPyHr39M + FyJwYe40dn4o5/9gPxVmEAX6cWybth/6WmsIYU9bFtu5CBCARzz84TeeuiFKELU2NcvtRd/3U6ch + 2s90NNgPL4cQwvV9fFh2I5yvzHFysfMvzvtkdbP55tmtkitC/J03vumpT386ABMgihq6rotNGoch + pgS1GOM49CfW1roQf/Q5zzm1sb6xtpZCXCwWXdfFGPvFcmNt7Use9KCHPPjLmt1zCaa6s6YCBLmg + d97epRU5188LKGbzefukb/vW9//VXy2HMbWthNg0LSBiIntTrHfaNh23jUs0YGdUMQQgmECBxTj+ + /C/94rd9+7cbwrKM3dqaIdRqQRJUYEghCtA2bS151jQvuOWWf/pPPkeqotSts5snNtYOOFlzuVZl + ZfuZfgb4W3GRy4WD8sUegYiIiIiIiIiIiIiIiIiIiIiIiOi6oKpN03grBg8mXC6XB9x+Afw18D/e + +c4tCcuiTTeD6jS9GaK7lwAERhZexSTsXJwB4jmmEINoqJLugPzp1uYb7jp7FojzBBOIIIgAEQEG + sZ2ZtADO/d8fbzfTummaK7peKzyvOucsIt5yRFU9r3QK8iyl+OTY6SfHn6+Ur1Hf9/65rrWO4zib + zaYZ49vb2wBUdZpOX2s1s6Zp+r5PKR3W8oQQlsvlbDabImDNjPUOV4y32ck5+5sLoO/7GCNEDNAQ + AWjOXUQUoN/E6ff/8Rtf1aIPARnQ2NVcL4w3pmvMTjnFuUn1O++42N5w65VZ92sJsvnht/36LyHf + FeuyCxpW7jgJgAClFB9VxnEEEEI4e/Zs0zQ+6tZam6bpuq6UMmUkHwqvxqq1ro45nlF9r4iIpzj7 + 4vkgeYjj5HHj+2j/r7fnwu5+UFV9vwkghBBjHMdxv/2Ip18D2NjYEJFhGJqmmfYF/I5IRERERERE + RERERERERERERERERERERJek7/uNjY3t7e3UhFqzR1B/7ud+7jOe8YwYkXNNKfhs9a7r9sS67OUV + BWZmZiLiM91jjD5LvpTimdZ+Y58QT0RERERERERERHRREVJK8cOMtZRZ0z7oi/+f//Nv/R8fzWN6 + aK5AsBsqrKZjHg1IKalpSOe3wZLd9i6Pf+zjah6aEEMI3uplPp97qxe6R1OblRDClYlzPs4OOMx+ + JVXTcRxj24Qm3XHXnU980jdnoAISpFQDkGvJ4wjAqpqZ1hqBxWLx3Jtv/sS/87et1mHZl1La1JRS + oLYxX9ve3GpievrTnnbTDTfO29bPB1xiknGM0cxqrd6xEYBBtpajAY/6+seEFL2bm//WZE+C9bF4 + QfehAuz2lfJMaxN5/W/95jd+02P7Wio0prRYLiQECUFrbdoWQMm5SU3JYwB++Ad/4As//1+eWF+L + AjG0TRzHsQkx53zkKdGr78JRLwsRERERERERERERERERERERERHRMeVxkj4JOYQwjuM9ZDQaBsNZ + 4M2nN9+zubVs2qwClT3RhILzplPS1UEMQIAGAwCb3lMBoJDpViFqAMIY4ub6/Bdv/b0zwFIwDAUa + YIBZACLg098NqDsPsjNP3rcxM8s5p5SOcAKzL8m0DN51pNbqPUxqraqaUvIPyFEt5H0wZdXHGG+8 + 8cbVhe/7HkDbtrXWaf68B2B7x5VpZQ9xlX15POLUc2E9xfawHp8O5rmz/v7mnM9Fz8JCirlUA5oI + q4hRYBWh/5NffVk3bnZBt5eaZlDVNgUmW18vLm3yfRCTvGl3vm/5xldB+1kCFO257wIKE919sAAE + 7HzqfWtU1bZtPQs55xxjHIYBQEppHMfDLe3xj0CtNaXkY6DHM98rntbsew1V9ZDsY1KAczn4KJFS + 2ilw200fB9B1nZfP+L7Da7L224/4I+ScAZiZv+nTV03GWhMRERERERERERERERHRoZlmokzTgJg5 + dP1QVT8zrao+/4CIrm0i8OS5o14QIiIiIiK6orwkIMYYYwwGKxmiIeJf/+sv+oEf+D4RlKJBkKKM + w1Kg2FvJBmAKq56OHXm4NYCpzsoLyXLOnjkx/ekxTYuf7kL0UVotSvGNaicEZXcbM7PpJ0e0jFeN + 6cX0K17WchW9bj7U+AL7wovIES7/hRveNVxDdYmO+eA/7a2apvHlnHZ5F/JfmVnTNOfd/Qrw/SkA + D1S7uiq3ZRd2E878535lOlF1da0UEREREREdBmvbpu/7tW4GNa0198PjH/u4AMB2L9NfXueuAPv/ + NWYwNVWYAdV0ehjs/tGhK22bZrOZ7D7kl37x//03P+F/a1OjqgLz5iDeV+7w1/va4sfivB9NKWVj + Y6Pe1+Mh/mrL7hEVb8hyiIt6BYQABUopR3JIZPU4fIipFo1tgyDLPH7j4x77gQ99sAImqGoQSAz+ + cWibVnWnD2KEfM3DH/GgL/63867rF8u1WedH21KIZla1NE2ClhtOnvjR5zxbyxhXOuA0bWsHvl/T + EYDplfFlrRLe+vZ3/chzbq5miAFBdGWC02rXnmPYds17BsXQ5Fybro1NsxjH1/zPX/+6Rz46wwww + SCkKCyJiqjGlPI4pxCChlizADz79+x72kK9oYixjFhFAp45F96HN0721f79LKaWGFP1jWFVT01iQ + EOOYc4hRQihaY5NEgupFNnV20iQiIjoq01foS/86ujr9Y+fvpqvtezgRXc84QYiIiIiIiIiIiIiI + iIiOCT9p5Z0WPGnYJwR6RWrXdX6ztm1lN6i4JmwBv/B7b1qsb1QNsAZmCIoABAGiSBQRBE7Iu8oI + ILZzUUENsJ058AYxpAizOoxQIHXbIf3B9tk3bN6xCaROgkpAAAS2M3He3/4qsL0T26ca59Vy+yM0 + lQ97l5IY43RlmpN/D3Hvl9l0fvm8K6tTlL2I26fTxBinF9kzXM/jN556sPjjTNNvLsc7MhVie4ot + DqyLp8themd3omcFQNBqsCCAVgDoiwHAsInt29/9ptem4XTbhqEgQKXmo1x6ulJ8Lr0JTHY+oWZW + aw0BXiKQS2lbWK3zKM145i2vfQnynUA19YIaCBRiXg1lSLq7OzCcm+y3ujXiYt83DnelfMRzs9nM + A5unn0y1D+cVQfgoOrXpWG2Ee17HieNmtTxnavSB3XKh1VteOGnTf+Irm1LaU0Gze90bgKuqv4zT + /ijG6EP9dMfVjijY27jDHceXj4iIiIiIiIiIiIiIiIiuUjFGP0XqZy49heioF4qukBBC0zQ55xBC + 13WLxeKol4iIiIiIiIiIrhxVfdCDHvSd3/mfRGC2WyoJEZEpg8RveXANwDSf3m85jqPf3ufiT9Pi + x3H0JJjLvFp0jVsNTcduXYdHqmO31teLHr2m5cgW9CrhL5Tn02O3FnS1qOaYCyH4KuCC4tujWh7s + rfv1bfKolufIDcPgNVHH9kWYqoineKQDFlVVvZBvKrG7aBHyofOn8/2pqrZt2/f90VZu3ytel+uF + kb7YvhbY/drg3zrGcZzywomIiIiI6DqRc/ZmT05Vb7zppi990IMe8DEfk0IMEBGBGoCYEg6rqZNB + IE1qAPR9HwICEIAnPv4JAIJBwBike2eK4PXeWCHc9855flDFVvq/XKXH9847WnXFhF0AxnHs1uZb + i+3YND/63Jt/+w2/U9QMkCAAUtOYaogRhnEcu6adNa0An/opn/ID3/d0LaXmcnJjfXtzS3Y/EN5Z + L2Dnv1/0hf/qEV/5cABtjDAVIA/j/ou2L4kYx6LADz7jh9/+zncoLJeCIMVUBYghpNg0jdW6XC6P + 4aGDpm3VrB+HdtYth2E5DL//trc/8lGPqhDPtA5ppyuTCiBSSxFo1QrTJPItT3z8I7/2a9oUxSwA + YucfmDqqZOgQwnx9bRzHUqsBxbTChjwu8rB2YmMx9DlnCSHnPJY8m82OZimJiIjoYqZmkSKytbV1 + Ye/dC9VaRcRPGaeUSinHs00kEdFF+UlnEfGG434S/+Bxj4iIiIiIiIiIiIiIiOjQeREldhN8vYjS + 59bmnGOMHl6YUhrHUbA7x9QwAm/Z3nrn3Xf2ISEmC2LBc4wFalCrZhV2VPMJ6T4ywAIMnjXpb58J + zGerlyIS22ZN0mxrKMOJjVe+7dbbgRHIgwVINE+0tgAV6M40jingGqi1ppR8Nj52+wNwvsc98qYE + q3Nj/Cfej3ccx8ViMZU/T9Gwx0eM0cxms5mPLatxtnRlTAUO8/kcPjnBvB5lZ4tSYHo/YijI23/2 + 6798yraDYRjQNI1Z5Qf1+rOTQB9CUEWtCIJ5k6BoUHVx13jXB8686XWQBbQMCpsGe1PAIF7mFFYr + nXzY77rOzJbL5XlJ0pfD1OMixlhrHYZhah41m81SSlPLGgAxxilU27tO+d39u9BVoZQytckC/MNr + vspTpPTUacT/uZppnVIKIdyH96Vpmqm1yDiO/kbbPdXTcVQhIiIiIiIiIiIiIiIiokNTa/Vmf23b + TvnWHv1C17aUkudYT6k/a2trR7s1EhEREREREdFlJwrRYBpMQ8SJk+uPfOTXPfax3xACVCECg3nG + rd98Cqg+4CFTSlN2tVcXeJ/QlJKIANja2jKzrutyzldRDCcdTyEE2c1H98oWM8s5N00juz1qvSqD + PWovUa3VX7FhGPxFm2qEjj9fYF9+r+o52tpLLwCemsV7tdJ1WAY89cfvus5fgRjjUR4N30eM8dSp + U35FVb0vwAHlYdMN/MYicurUqSuwak3T+C7VF1VErq6sIBHxU1Hz+byU4h/YcRzbti2l+NeGWmvb + thy3iYiIiIiuN961DbCcRzPt++X22TM33HDDo7/ukaY1ADtR1nZvjvMYDoql3nk8yyUHSJQARYrh + n33OZ/+jf/APxQxi2I3vpUvkf7F6CvV0EOC+PdTUbGV6x+/zQx2tK/wXrr/4fl3EW9aJ5xG2s9mv + v/43/ut/e+ZQLQMmqNUAlJzbrtNSQwhrs3nJo9Wy1rQ/9tznpRBuOHlq7JdjP5w6cfLCpwswMRv7 + /ulPfdrff+An5VoBdG0nIrDVjnn3RACB5zi3bVqO42Mf94RczYIYYIKitR+H5XIJ77ukmkI4bp/N + cSxFLTYppLQ9DL/31t9/xFd9VV8LgihgEmzqEVQzRAXapaYJUYCvfcRXfdd3fGcUCZAp03p69fTI + tn0BxASnT5+WEBBDO1/rZmtnthfdfN0kZkNquth2sW3UDyznEffmnSciIqLLauqdWmvd2NjwTtkH + nP/y1ofeblJESikpJba7JaJjyPbh55p9egaA2WzmY9pRLy8RERERERERERERERFdX/yEO4BxHAGE + EM6ePes5jl5imVLqus5rKn22ZwDEUgE+BLzy3e+s6ydrtRqlRtlNPU0wD0VeTUqlY88uNqddFFAN + gmCqCgjQZA3D+vof3vnXv33HR84CSIAhAgEVtYhAdx9mJyA77PyjaRpvtrAadXmPsZcEYBxHb42S + cxaRKRq8aZq2bdfW1rw03m9wxMt6gVrrbDY7c+aMT1b3sYXnx68Y72Tim8dtt902n8/NLKa0O4la + A1CBsvOxVRGBZXzoj9731t/sdHutg9WD+vbQtUFWLjsVTAKTYCIIEVqtFjFLAWUorY03puHNr/0F + jHc2ugloBRCgO+O9AgWmgECCP15KOz2gmqa5++67RWQ+n1+ZAhYfgnzYmYZKAH3fT7sk7xdRa805 + e5eVlFLOOca4WCy6rrsCy3kofLE9OHxrawuAiPg3PV9xVfWOHz4s+D7FW2rEGM3Mo77v7fP6rsc7 + hHtNEC5h/842XkRERERERERERERERER0aHwmip/39dOiTdNchzEb1xtPwlhfXwdgZjHGWquq+kQr + IiIiIiIiIroe5Dx4c88nP/nJ4zjecsuLzBACqu5Mag8heI9jv75f5+Ip9HpjY8PzS/z2Ztb3/Ww2 + 29jY8FJM1sLRYfF6Dz+26Ue6pvxg/5UHXasqD3Xeoyls3quA/KW7Wj6tXooz1Vt6eNIRLvx0fNUX + aXptrzf+ufOCKz/4DGDaQRwfquoNAqZ89CmS/KJqrdMG5jfzu19uvrP2rX0KEph6HBx//tb7K3Ze + dbSfmPC4bv8tx20iIiIioutKhQVV/1sgts18Pu/HofbDYx796Jt/7Pmbm5sGS0075BFqIUW92J9s + 4uHX9yZNNYZYtRrMzAKgVZ/wuMeranNBoLUYjDmt98T/oJ6OHn+UR5aCwOwK/cV9OZhBVo6ZXxne + Fmcn3DoIIGpmQdT0I3fc8aRv+Y99Hg2ITRxzlbiTJD0OA4AAGfrlvJ2NY/+jz33O3/3bf6uJqV9s + r83mYz9kOf+PdP+ABMG8m9Vaf/iHfujLv+IrFuOYx0HuWxy8oGnTMJS2ie95319893/53u976n9p + JHRNq6pt2+ScVTWlpFLzMB634waxSdUMIou+f/cf/9FXPvyrzi4XIcTqHwOzOm0MImIIgNWiZl/+ + 4C/94R/8/2oe12bzYblsYsAFI9lRHUozQcn55KlTKlj0y37Ib3/XO9/1h3/QtK14pyS1CBlz/4iv + fPgNJ05qrThmx/2IiIiuZyIynZXzr1Jt2x58CtU7MPr1ab7HlVlaIqJLNzXDPY8PcX723A9QzGYz + M9vv9kRERERERERERERERESXg59qH4bBi7WXy+V8Pp8yHUWklFJKCSGM4yhAG1OpJSgq0Af85kdu + /4vldptSF0pSjfAYTH9oBlpfbUzOmwQqpmJqYiaqkBACDLXaIsXtEyd+5Xd/6zTQB6AgSogm1eew + WzXYuQn0cu7/5+Vc+pbGeON7VGtdbacAYBzH9fX1nLP/12/mp57btj2Gc9r7vm/b1q8fXBRPh87L + 4b0Q/uTJk8vlcid0ViIA//j5XHtA4Z9gFGD5ttf+3L/59C+wNFOtSI1y2vV1xd9ugarJzty+Kgap + QBnmTVl86E8Wb3xtmpltKxAQ26yj7Nzp3AMAO1nXpSgAz1H2QOsY4xUY/z1oGbtfeGyXhzdPadbj + OPrguVPesrvDGobhqpuUODUV2djYmNpnef2UN/rIOeec19bWPM97tT1X0zTDMNy35/WpUP7VEcBs + NrvH/htXR88RIiIiIiIiIiIiIiIiIroq+AnLGKP3a/D/Mjbgmqeqfd/7dT9jzeloRERERERERNcs + WznOIzr9t03BtDZNHHP/nU/5jq2trZe+9OVm6LpmGDIAVfVjR2a2X6Y1gBhjSmkYhq2trZTS1CJZ + RLzesmkabx7atu1U4kX00fAY1NVjmH5oa9poVZUb2yWajg164ZxXy1wt7X0909oPcXvNVa31CBd+ + tR28b43X55F2M5veminM2DOhj3rR9vBd2zR6+D+bppkKj88z/Woaf3ztLvd6qerGxsbW1hZ2K/e8 + o8FlfdJD5J/NqZO4mY3j2DSNv4zTB9bPTF0tgw8REREREX2UbDf/dqxl1rSllJxzapvZbIYQP3Zt + /aEP+fJbXnhLgFitAoQYa6kHZVffm84+RSuArk3jWFIMn/zAT/yX/+LzUhApthPZa1D+dXLJVDX+ + /+zdeZxsWVUn+t9ae59zIjLz3ltVUAIqDsyiPLTtxqlbu2Wy2wFBbUWkZFBAQJB+Crai8nBqP61i + 6xMnVAqk24lRShkUEVEUaSgBHw0NTTFVldStukMOcYa913p/7Ihz4w6Z99YdMm9W/b6f+OQnMjKG + M8WJyHPWXj9VVXWZ/w974c3z1tfXUVKA92fTpnLEQETcDJf4X11DVlVxdffspq6qqiJdGhDDDz33 + h2/45I0ZEEWfMnSePiwQd69CTDkFSNu3z/jep3zj139DjKFru1oV5pNp3bZtFZvxDbsc9O45NVX1 + 5Q/5V0/53if/0q++GIDDo4ZsGad1UDrV8iJxDEOeTqftbJaBl/zu73zD13/9V33FV272rWdbmTSx + rvq2KwcWdjhPsSdckLJJ0HYY/vF97//Wb/v2ra7P852MikZ3hztgMUZ3N8sCuPu/f+jDXvzL/6/n + XDKtp02dUhqXimP3jqFJCTlfesWyipum2dzcnKyuCcLxduOx3/W4Y8ePpaX7KXCPq67+zu94XN/3 + qlo28+Vg89OfmYiIiHZN6ZlYulWWk6c7ZFqXc+vluplVVcXBRES0v5SyBFkYm+fy1DMRERERERER + ERERERHtpnJ+qiTmAigjQMso43LWvgzfLufoRbXPSUXFEaQ6ZkMNvP4D7/uMh3zZle16lXOwUoUZ + IQaYwLFTITVdrgQuUJg44GUVmouoxpwwSMgHD73zlhvfdvTYGH0pIoObw6Nocrelp5oX5rpqGc++ + 6Bnr7qWjAs+TntXYaBeLxbW6uioipcdC0zQlhbREF1+GmdZj0nbf96U0aEw/3etJu1M4pVVCWfJ1 + M+37AT4OQFGIwlGSrZsmdv1xfPR9hz/wt3f5oq/p6it6BOf6ukMrVfQuCpwYfAHAIW4WVURVLYn4 + tA5NPvrW634f6bbUHovAfMeOKApYKltbhgGhlOoboCGU9tHl20UIoeu68evHpVPyqk/M5qLscMyx + BlD2on3fj1+KSsvrMR+6FChe6km9KIZhKPNb+mOklMpXOxEps5xzrqqqqqrSR6vUapbHmlmJ8R57 + rZy70pSjLLHy2dR13Q71nwXLPYmIiIiIiIiIiIiIiIjooinnpLHoQVNOmpZiGroDG1t1lDXeNI1d + Zj0fiYiIiIiIiOhSU1WImVldx7ZtX/iTL/j2b3+MO0qm9RhQXZp+7vA8Oeeu60qsrLs3TVPun1Iq + uZWlzj7nPA6KIzpvY4jsuFmqatnMxvTcMhRk3PZoZzHGuq5VtRwoHjv87onbO/FlLZcD2liMBtyr + iXf3qqrG4YinJB/fqZRPhDL74xaFRXT05QNA0zRl71FG6+2QaQ1gGIbyKXbKoy71dIpIybTGIoR7 + Op2WN+x+NAxD0zSlBcPyKSpVbduWY6eJiIiIiO6QdBGIu8wFVVObWc4ZQbNb3/c552EYZrPZ057y + 1IgQoWZZgSAXucnG+P9IzvbUpz61qmMe0vLU0u01Nvm66qqrgAuKchbg8OHD7r5z/N5lRwSYh0aX + JkG7809uWURjdpeqQsQEK2urv/iLv/inb3yDAzGq+aJXjSNWoRzIKpnWgP+LB33x837ouXWIfdsF + iGebzWaxqpt46vH8Md869YOZiftznvOcB3/hFwmgi4m5fUTgPpvNqro2wIBnfv/3Hz1+rGoaCbqx + tZVSUtWccxC96LuCC1dPmm4Y/u6d//C47/qu47OtwVKsKo0Bi5WCRcTaeJDw33zFl//OS34Llpuq + 6ts2qgzDcMq24jK/7JV+GKZrq/0wSAzPfd7zbj1+zACR+bGpEIIBD3vkI6686qrkxuM5RERElxUz + izGWHrtYOlMp2yinbACU713DMIwnjomILivb7ceapokxLpdnnNJImoiIiIiIiIiIiIiIiGgXnFL1 + 2nVdOfnu7jHGMg56eWi8A9mtVJ66YADe/KlPfCRt9QIxhwOugDpU3XWebE37hMwvJdZUHHCDO9wE + 5gJ3N1HU9UbU11//rsPAFjCu4QQ3QQiCUlBaEnLLtuMIQAAcXrJCS+FHOVW6n+qu90ip6S29KZaL + Z1JKdV2XFFIscq8vw/POJTi2hK2Wml4syvhpF5Q2JmXDGFPPc+rLW3vpjoJF0bvkHj7AZ+/60/9+ + l7AlbhlcX3cK4/ipsnEYUPYoIgLLAlP4JMptn7rh2Lv/ErYOGILAM8wgtXvAYscvAOCAl9FOy61L + ym5/FzKtAZQg53J9uS9N2YWWKRmrFkvrlWEYNjc33b2MFAsh7KN+U1VVlSkHUBKs+74vM17irssO + IYRQmpOUJaCqKysrACaTSRkFc3tft4R/l5dIKY3DN3Z+1GX3cUVERERERERERERERERE+1rJRYgx + ppTGJoDbtXugO4aqqsb2QyX6ZWVlZawDICIiIiIiIqI7EnEVP0MVupkNwyDiIm6Wqiq88Cdf8MhH + fu102gAoBxCwGHa1g5JdKiJlzFvXdSXZumTlljuUuErhmCi6YGXExdhHu2xdwzCMQexlnEaMEZfl + cMHLzThOdYz43V89ysdBPuOop7Pusi719JjZOC6oLMyzDhO6AysfDeWgNLZvM71XAHRdV1ZQ27al + U/8Ow+FK6HVJXwYQQigH1S/1dLp7+TyVpb4G+2i7CiGUzgsloG4ctRhC6Ps+xlhmqm3byWRyJ4yB + JyIiIiK6M8s5Q6XPSUSm06auYwgigkrDFzzgAY/6pkdlZIEAGNKww/PIaZed71lVlaqWQwif97mf + /Y3f+I2Lf0YMYqc+Yg9zZfcJmcc5e/n/7q53veuFPE9pxHf48OFySGGftlfbzX9v58sN7oJyED7n + 3Lbtdddd9wsv+kUHbGxzV64JPJtC0jAo4PC7XHmXF7/4xVdeeWXbtiGE6XQK4MDK6tbRYzI/pC/L + EculsZKqrk6nlvKB1bUX/+qvKiAQ+EnvoDO8H0+7aTzEMfb2+uRNNz73ec/b2Ng4dMUVdd24oa7r + ICrm5WDgZWVjY+Od7/qHa6655tajRxyo6mYYspsAMIF7glh5dwiwOmke8iX/4rd/6yUiUg40xahN + 07iZOvSUjX3Xc62XX7Ac+HKVN//Fn7/2da8NIhlIjuzIwJBzgDz2cd+5vrUpIuVo/F5MMhEREZ1B + OWHaNE05jV7OMWHxjf10OeemacqpHABVVc1ms/HznYjo8ldOOvd9LyLlHPQ+OplORERERERERERE + REREdxjuLiJjoWPTNDHGnPN4dr6u63J2XkRSShIUAtTaexbXQfBx4E0ffG8Pi8lhgAdINI0AxPdy + 6DSdj+WK2Xk5r8HnNaUmcNUcwvs/esM7j2yNEM0AACAASURBVKxvAA7EUAdRg7tCIoaUAUAXl8VT + lSdWoMQwl6zNEEJJa96LWd1Plsdu13WtqmMjlOVo8LJU97ZlwRmVIfBVVZUOBvu63n4/KhtJ6S1Q + irJKF1+BlfeeAydK9qECWMp1JbAWH373pz/07jx0ScEVdgcmftI+G5hvFqXM3hwi89Yo4rnf2njH + 2/4c7a3B2slkJZkKADeEChLLdrL4JEmLXxRASYnG0lieXRjAUiKWSzOfUplTGkyN/V7mH3Bm48+U + Usl4NrOy+9ra2rrU03kRiUipxizzW9d16dmOpU+TsgceqzTNbGtrqwzqGUOpb6+mafq+V9XSlKMs + 9p0fwo9/IiIiIiIiIiIiIiIiIrpoShxCSWsotyw3mqQ7qrELZCmCVNW+75um2bMNkYiIiIiIiIh2 + nbuvra2JyObm5qFDh7a2tmKML3rRi/7ll35J01TDMIzHi9xdtk+kHsdolbuJSEkJLQ9JKZWhXONT + Xfo5ozuyMgLwlC1KVcvwj3J7GR+Sc95hu6WiDLYsS7Wqqq7rSp/fvZ6u28fdy+ou28MerveyBY47 + uvLrZThsdReUeU8pVVW1vIIuKwBKX4CSvmNmdV3vEI9UWv+bWWkckHMuPf0v9XSKSDmk7+7l1X3H + z+XLUJmFuq7L7mUeuGVWGjGUnfZkMsG+iusmIiIiItpHZHFAbrvI59OSnLd9BuB8IktNzvzAruum + 02kIYVgovUsE2Dh29NnPeqYAERo0YOlw0IUbhiHnHKNGwTXXXHPFwUPqoqqAwk95FZfd6Rp06usu + /eVMt/hpv8hyejEAzCNy5dJPvpTOOj6fh4MHDypwHv/dLeKZAWB9fb202bp9z+AnXUalB9Dy5XSn + 3+hYejOc44Qs7ubuZ1p1Z57mU37eXioi49EJgUO6NGy1sx/84f88+HySUjIp7yBVOMzc4UFDXdUC + /NzP/OwD7nufdnNrOqkD5PixY6X9TT3ZtphQgBjj+vp6Xdfi/vmf+zk/8aPPj3C5/Q1x8pCausH8 + oC4ykIFXve61b3zzm44cPaoxDJbHIyRRdBc26bMaNySDvPPd//M7Hvu49dmWAaKh7btQqXuCCvIA + AA5VFWAyqe9x98946e/+9t2uvuu0qRUinlW1nJoxWewqF1ujiUN8d1KiT1mqDgyWY1199GM3/MBz + npNQZhYot4cowOd+7ud+8Rd/8bRumqbZ3NxcPI6IiIguI6paVVXbttjx/Gkp8BCR8u9Yznk6nTrr + OohoXymnnksB284n/YmIiIiIiIiIiIiIiIgukTKEdozCHYe9j20W+r4fg66rqnKzEGMaBq0DgN5x + FHjThz65Xk1nsQYEYhALngVgXuH+46dcV0AhCA51iGivergKb/nf/3QE2BQY4NnMzcwgcBUDYjy5 + cHxRLO5AMgfQNE0Zk+vuHJx7LkRkHMtcBi+UE83jlRK8isVb+HIzDEOpAiqru0wk63x2TQjB3Zum + GXtH9H2vAj3DaAOFaLnRU4b3CP3b3/CqJm9OFbJ4ZzuAkwY+mPj8ApifMnKE9hWFwWGACxQIjvlW + ozAIJEge/LaPprdfh7S1UqNtW0CapgIMOaHs20/aAGz8xd1Lj4sx4X4XPgJKorOXTG6R8qKlRnE2 + m429I06pVDSzYRjKt6Ou60rK9b7gSx1jzGxMs67retwPL3cCWU6/dvcy1+cRN17qOVXV3ctXx3OJ + x+bXRCIiIiIiIiIiIiIiIiK6aMaoj7quy6/nce6T9p1SNTVG1JjZWApJRHc8ZVcvIu4odUhERERE + RHSn4mIui//6XceLIPRdQsa0nnZbsyZWyKmqw6//+q99wQPuN51EuAswndQCVCHOx8sBAEp89fgS + pcExFuEZWGRdhxDGf0NEZByNQHTeytjdMgyjDHfBIjZ43Px8kXW9p1O6b4xZ4GNj3313iPiU/c8e + Dr8cN8hyNGbcXPdqevZcCGEcuHt5Dosdh+yWKyU9egflDmXQHXZrWPJyiLWIpJT244dpOQlVLL81 + 9uO8EBERERFd/pZjUEuPG3UoYCmXA3zzKoKwOMwiEFWYC6Tcc8jZF3HU6ggGtfMPSF4OEl5OHa6q + qu97F0hQQFWjuAaRSqUJ+gX3v+9Dv/rfGrJZFoiIwHVxiFJLRrfjzBfZ5jIKIViy1enKk695kg/J + s1UaHeKCrMh6Pund5790oO7i5diru45TKgax5dk840SdnlY+5CQOAWCufsmTrUv0XWlJE1WDylUH + D5x6GGA+iYvs8OVVuTjmbG4ARODAP33g/2um0622i1WN0/KqFxc55aLzC8qlzHiJ4zXARZJZrOtu + GFygMZSji1XT9P2Acgxd45Dmk7GYvTNM6ulbVLkrFrHEfRoMvvQ/7/wRZ5zmU34uX5ZfZxGlXI72 + zy8CCx6styAqQQ3Suutkcs2Tv+eGm24cgMEx3weUykArc6chiFuG5Sd85+Me9Q1fr5aboEgZ7tOm + SSmZIMNzOUmwaIA0nwaoQ81sZTIduja17V2vuOL7nvzkf/Wg/6s+ebE4AJEQqhML8LS3qwB9NwgA + 91ymETDgB3/4P3/q5n8ecq6auu36qqpL2iLEACvLYXFZyiw/bTO5XRszsHiG5V0WTGDwDFgIoU+D + xio7upz/5u/f+djvvGZrGEoadzk2mFPCIqI9BoXAzSrFZ939bm+47rp7XH11Tr1bgqUQQs45VNEW + QeiLTGsDTBdr+eSZPctl3DZOuajCLJklEVcFYGbJPVvOVYxRkYZORGKMyZIrQlPfdvzYU5/2tE/f + etiB5D6+L8xSAL7lMY8JbpJT7vrpZHJy08Sycd7+pU9EREQXyfLZydKKd4fzdMvtF8vokuUzU0RE + +0L5d2xs4cohM0RERERERERERERERLQnytn2cta+nLQSEVVdHrha4oeHYRBHHhIElgYHBqADbgGu + u/4DR6YHt6a1SQ/t0W0h1PBqF4ub6YKNVbIOsVL6rgjiGsWqkIJKsxHj9Wn99cdv6xd3z57mNRwG + 692BIQFeKlKlVOUCyLABdkqNJis9Tte2bblS+ieMPVfLr9v1UhjfrZfnoHgsNVUAUNe1md2Z+xjs + skUnT1+OuV3eUsoAHQAIAZBUKswdUEFK7T+9J9/8T6ubNwXvTWEVejMYKoU4RFxgilR5Cp4As3Ec + xN7MLp0PF9hi1IkLkiAL1C16grlGTRkemxmqRtM/vf7XsfVBeLfRAzC4td0AAN4hbS2eDaKAQ4MA + JuKnfK8oacpjo5hLKsY41uQst4mYTqfLN2LpU6nkXpcrTdPswkTuoLxnx/YgXdeVKznnUno0Nh4p + b/acc/np7isrK2Wmxk+H8XPklFvGtXN+K2XslbTczOSsH0n8GCAiIiIiIiIiIiIiIiIiogsyDEOp + r0oplTAJliUREREREREREQB1q+v62mtfeq973at0+2zbvq7qIZ1UMe+LDIMdxriV0TgxRlUttfLj + aAQiIiIiIiIiIiK6dE5pXCaA+KIlU4mIXmpp5O5WDvctOl2UUNvxsQB08adL3RJNHO1sSxR1rJ75 + zKc7EII6fLvWUfNJPOepciDGmHMOwFOe/D2r0xVLXmkoz1/ibE8k2pY420upLOeyalTmYbOn3un0 + uZNt/2LAMAxltequdDAaNyd3F/courq6eobpk7OtJQEEqgKg6/pPfOqTk5XpZju7KBPpguzm7pub + m4cOHVLVbtb2fS8ibdvWTYOgMcbXvO61v/vS3/XSPeqUWTiRzL2tGEN53ObmhoiYpYsy8WdV13Xb + d0Gjucy69pd/5Vff9nfvWHSwWqRx+4lIaXfP2YPK/e9z3xf9wi9WQczm73hZ6nfjO/bASil1XXdg + da2O1fHbjqxNJr/2K78yXUSIBQ0xRIWU1PMdJ/+kRVpe0YBbjx79oR9+nsYwDIOqJst1Xe9O070T + e795JDgAxBhFZHO2tbK21qfBg77j7//hW77tWze6NjmsbOC6NHnmKnCz4JhEuednf9Yr/+APrr7q + LuJQR7ATzy8+3+f4ya97Hj93yLB393KyJuc8DIOZVVU1mUwmk0nXdcktxmhmLtAYs9uRY0e//9nP + +p/v/ccMSJCSN19VMQDucODbvuVb61iZWdRgaVzFl3aHSURERERERERERERERERERERERLTvlBI+ + LLIJS7/HRfBj+WkOdWgHvOVj//umqG1dDeLoW9SKbsgGRhbuPyeqOhWCXFZgrIduSBJnK5M/ftc7 + bgM2zvhAP3E9aIA5HEF00kwcsMs1cfnyMQzDZDLZ3Nw0s4MHD5aA+TNU6e83pWcsgDHXlonmu2lc + 2mU3Xnbs5hlAVakDgyHEiBBgBsDHkS65hyeg/5vX//5dwlajlrNvbfUrKyoBQ0ZU+NCrGwCDuijE + WJi9b5nhRLj1otTfqihdPyDA3TW33a0f//Q73xT8+NLglcUa9wRPImKOEGPZdZWK/bIrK18i+r6f + DwFwL9HRtIOcc3nPxhiHYRiGoWmalNIwDCGEskjLWDMAIrK1tRVCAFBV1erqahnVsgvLefzGOL76 + ZDIZo7i3w++IRERERERERERERERERER0QWKM7p5SEpG+70MIIsLKJCIiIiIiIiICYGYHDhx4xSt+ + 7973vle5pR/6pm6Ak4a+uDsgItvWt5eRXaVqP4RQKvudw+SIiIiIiIiIiIj2Qtt3tty6aPlI3eJ6 + +VvXde5+qROstzOZTEQkpfRVX/EVD/7CL/JsuujNMebyntKu65T0Xd/+AiClVFdhWjdPfMITJlVt + Zikl3dO6qbZtAYwd6i6EA13XYRd7VJWqszElPYRw17veNZzrrCwdc3ZA5mnrg+NDH/pQeTYAJWv8 + tIufcrH5BVmRFScllDsmdSPuk7rePHZ86PqVyXRSNzFGBywIVK59+cuf/NSnJODggbVTp/DkbczP + sNEBfmINHj9+XCFmi8xvmT/ijNO8/HN81sXEn7ilhBaLq7guvSYyfLOdraystkOf4e9+z3te+LM/ + FTScvJIMMl/OqoD76qRpqvq/v+IVZjYMwylby/Ki205d16q6sbERY1xbW8s53//+9/+xH/sxBSoV + t5xywthu8Ry2Rj/typ+/5S2/8Ru/EaoKQc0sufc5+WlL46Q4552znc+FuJY1hvlyKynXabCcvWma + tm0NeOvb/uo/fse395bnd4RA5+8COGCIQSpRMUTg7ne5+vWvfM19P/dekiycmOyLf1mkmJ/hMgzZ + DKoxhEo1ioS+TxsbW7O2D7GGBIToglnXDTlnxw/8wH/60z97Y1QVIGc3Q1VVfZ8cqEJ48Bc96Avu + d//xdM/SvkvZE4mIiIiIiIiIiIiIiIiIiIiIiIhoWc55GAYsyindvTRhmBeKAgAEVgMO/B/gzf/r + /UPVVJMDuVRfRhF15pvuQ6WKGDmYqwV3cUPXVVdedVTxgVs+/Z5bjmwBAHT7sl1VzTmHEOq6zjm3 + bTsGG9MOYowicsUVV4QQSqH+HUPf92U3knOu67rv+5Jou9fTdSdSduDljQmg7/uUTKN2aZ6anlNC + zvA87t91XlGfYLPu+nccuekj3m/UAQeaqmsdAgPy0DdBAGSJncZeoziiJ5Zl7zvipjCFlY/t6Kgc + LpolRvi0CkMCrL9HPXv3m/8YXZtt25Xs7mVcVfm1aZoQQs7Z3d297ATMrOzuytcM2kEIoe97AKX5 + dlVVKaUYY0mqbts252xmpS83gJWVlXGoVBmiBWAXlnPpED6ZTMqn/zAMt91221njtLmvICIiIiIi + IiIiIiIiIiKiC2Lz0he4e6mMKSew93aqiIiIiIiIiOiyIObuKysrL3/5y+5zn88rt3X9PApl+QDC + OGzyjEriiKqWR1VVVVUVjz8QERERERERERFdaqfkupbfSiuN+TE9gaiWX4H5gb/x/mPzJpP5Y213 + j+qllJCtrutnPetZBsQQ86Iby4UTwIf8DV//9Z/9mZ+VhqGJlZSeLxfrBW4nF2x1rQN5bCl1AQdR + BWjbVkQuSkj2uXN3nUcY4573vOd5dsdyZJs/y/XXX785m9WT5txXTAljNj0RfV2UoN3Z5ubKZGop + T6fTSd2UjXwYhnp12qf0my95yY+/4CcMUJWj6xvAIpD59siDCSDAbHOGRSOhs07z8s/z2AolaD1p + spmq3njjjU996lMFkszOuAYEEIMClvIvv+iX7nG3uyNbEA23f25L2WE5C7C1teXus9nsSU960iMe + +rBsLpCy2OvqrL3zDKdlhDtQNZUDP/6CF7z//e83s6quQxUl7E1qsgOhilAxIFTVG9/8pu983ON7 + yzHWDhdVqCx3hRPAs+dsteIed73rq/7wj+55j88MBmQfc7cv3c8zappGREofqxBCacBUVVXV1BrD + bOg1xjYNk5XpjTff/PBHPuK1170+A4PZuPMvG7MKcs7XfNfjZ7MZ8i5/MhARERERERERERERERER + ERERERHtMyX6tCh1hk3TYAypXhT+lfrIDGwAb/jgB/65HzZdUDXZMhSqjK3dl1yQFS4GGNzgyOaD + 6tGm+rP3vfc2YAAMqMK2BcelGjnnnHMuxeFt2zLG+KxijFi840oQ+B2jyUlJsU0pqaqZ1XU9JrDS + LhiGQURK/XyJm63rOsa4ORvMVVQdqOsIN8Cbph5XTBCoAmkTtvF3f/4nB7Tzdn1aS+r6MvxAzIMo + oFk0CTIAaHCXE6nYtG+IGxYjRKKbujlgojnnJqglX1NrP/HeI299DaJAwnbjI0qg8vhr13XlG4W7 + xxhLxPU4aKhslrSz8tFQ17Wqbm5ulp1naZk1mUxCCKo6DENJCi9J0qpaHjWZTEqI+C5MpKqWQWHj + tJ31UYy1JiIiIiIiIiIiIiIiIiKiC1JOUZdCBDNT1RIxtdfTRURERERERER7b3V1mnIv6lddddW1 + 1770nve8B4BQhsO5n/s4tzIooiRbqGpKqe/7SzfZREREREREREREhG2STV0wDIMAeSlAdl4stCgZ + cjgAA9q23cO2JiUut0zbIx/+iHvd83OClCYbBhjEIHZOTySnXQAB1qYTAX7o//7Boe2QU9B5gyec + 3vHHFX7J+3uUY6cnTfjtLOLypVRgAWazGVQgkk8NC74kHCfncJvf9973OcdXlqVlrmGxHSoAvO1t + b48xliUzxlQvBz+fcuN4MTETs7HfX3khx7SZdLPWUob5+vr66oEDoYrZ7ejx47/ya7/6vOc//+jW + zIHBHMAZM8HlDNdOMnYe3NjYKFnx5XD6qTHz20z5yfN4Yq2WIOSTLyquZSpUte27Pqe2757+zGfc + fOthg5f38jzFWqw8WWlrVakG4Lsf912P/qZH1VGDYnUy9bL9n7rWdsqQLm25qqrqum7s1FNV1Y89 + //mfebe7KRyAw80MMPc8f/+exgEfb196q3bdEFSy40nf870aQzv0G5ub875yWCwBPzHNy8sHy3+4 + nRYPNcB8PnnqolvtLFRVFnn9G9745O95Sp8N0C71LjBk83keu6oGhQgCEIArD1z56j9+9Rfe7wGp + 7XI/rE1Xzm+qLlzOeRiGcRMt/Q2hMmS77fjxZnW1N0OML/8f/+NrH/6I933gf1VVVZagQAGIat/3 + AqlCVOAbvuE/VHUonZJCCGX/ifONZiciIiIiIiIiIiIiIiIiIiIiIiK6owohxBh90aIhhNB1HUp1 + IvSkImBYAg4DNwB/c8NHb3NJcRKqJg8dYOddGEl7RpAUWSy4iXspgw+ra/+8sfmB2cbbjx7ZBKwC + AMvb1saXuvqqqnLO83J3Ngs9B6ViNuccYywdTpYD5vevvu9Lou1Ypt73/VjHS5daVVVlcypjHGKM + s9nMHBoVohoqAH3fi/ikiV27hcWoBAUktbAZquHI2984HPlE1R/rNmfTpimfA1UdUsqG6EBSmJaR + LCJucqYKfNoXFAiegicABrh7386mlR3UrXf+ybXob8GwhVjtEGsNoGma8ut0OsW84ZObWSnjx8lt + pWkHKaWylMr7d3V1tYyDKPvS2WxmZiLSNE3OWURSSmUVlPsPwzBev9TTOe7VywSLyFlbdXH1ExER + ERERERERERERERHRBVHV0o+1aZoYYzmhzrIkIiIiIiIiIgKwsbHRNBUAR7766qtf9rJrr7rqoNlJ + Yx1F5BzHvLl7ztndJ5NJyZwgIiIiIiIiIiKi3de2rQCyiOQd+6PBfekXCNB13R52vAohiM+7tk2n + 06d/3/f1qV9pJmP49gXqZu3D/u2/+8IHfEFQbeo69YNni7pnMd7zfFnA3WW7wORzU2q/tra2sOhi + Y7uyGsetpcTcPvCBD5z/4favLJH5s73n+us3Z1s5b9unbYfufOUvurhbuVjKIYTJZNK27cErDh09 + eqRPw5DzDz73h37u5/9rBhxopo0oRLFdGd0Oi1MWnYAEuOWWW7BYLJe6i+BWO1tZW3PBC174wr99 + 5983VVVa1m03rW72oPt/wU+98CfF3LNNqnpjfd3db+901nU9DEPZV0wmE1WdzWYw+9Iv+eJnPeOZ + WORTe856jlu1nHTdAYlBRD7ysRue/ZznTFdXYlO33Vna8Vx086x0YGVtrRuGV7/2dU944hPbnFyQ + YRB1d/gigFzE3c0gDgOuPHTFq1/96s//vM/ruu7QgYN933ddB8i55Jqf9wXb5KZDJdZVrCuoJMvJ + sgtcxIMevOrK2dC97k9f/03f/KinPevZh48dhUo7DAY0k6m5YXE+yOF9So942MPvdvXV4jCzqqqG + YWAbLCIiIiIiIiIiIiIiIiIiIiIiIqIzKgmIIjIMw3Q6LTXDIYRS6CpLhaYOJKBXHAfe+P5/2jp4 + cCsDXmr02B9yXyqrTeBwg1iJqbVDh1737vfcDMyAlMV3XLuqmnMuaZpjkvEuxGreAYzhryUEuuS/ + 7neq2rZtuV7axtZ1zTre3VRqqkMIGxsbIjKdTkXgwFY/pGEAUEWFe9e20+nUAROZv8Hd4AO6Y0hH + 3/GGP/rsQ7Wm5GWkg2DIDgllb1Bq6k3UJSpKrDU/AvYTF51/xJ88QMO0gvhB6Y597P2H3/UWYAue + kX27wRblrd11XWnTNJvNmqYppfsioqplNIeqMt7+XMQYT7lSFloIoe/7Q4cOVVUFoLTGcvcQwmKM + 3fyzGMAutMwaX7e06gKQUjrr6/JjgIiIiIiIiIiIiIiIiIiILoi7930fY+y6LqVUzl6zLImIiIiI + iIiIAEzqaKkPcORUN/EzPuMzXvGK3zt4cDVGLVkYUhJWRETCzvXtpTq/aRoAOecyNGK35oOIiIiI + iIiIiOjObp7JKjDg2PHjeSmBGO6nZ1c74MBWOyuhp3uilDPFGBVSBfn2b/+2u9/lrkPXnjSVS+T0 + sOEz3LS4Hag1PPsZT9/cOF6pKATZcl9iWf0ihGbffusbG30aBGJu8+xYP9fJWJ7icsWArdnMzJaS + yi+t8VXKQWMzu9/97oedDhwbpDRXOql1juX585g5gPWtzeuu+zOMWdF+0qXcUfyky/icAihsfgdY + uX9KSVWTZagMOWld3Xrs6GOv+a7/8cevnA2GIAiyNevMYY6qiYvZAxzlPaTL03zaNuaLTkAOfOwT + H4eKY948rjx80VLqlKk9/XJirS7N7MmvVbKKoS4a66bL+ZWvfd1vvvSlVVW1w+CAhMXin09/WSYQ + YBKql/7OS4K4W6o09F3XNI2IlLuO0cjjq2wnhFD640wmk1tvvbWqqrqu3X3j+PFrHv+4b/z3/6HC + PAg5hHD2fcmZ7qGqyT3G+PLf//1XveZ1CRKbGgD8pI3rtA3j5D/cTos1BSxaShnggvXZ7A9f9cqn + PP37ksOB7O4I2ZcW9dKbN2g4uLb2qle96t73vneIUWJ1fHPr0BVXZTcT+Kmb80W7ZJEsYjjDJZm7 + qEH6lLMj1o2E2Kf0wQ9/5Od/6b/9m6/5d9/95O/9h/dcr1WQEPtsBmgIW+3MgapqcnaRUMVKgSc/ + +Yk5577vy0kfMzvto0QBhespa4qIiIiIiIiIiIiIiIiIiIiIiIjozqakJ6aUqqo6cuSIiEwmk2y5 + VE7qouA2CzKQAahuAe9DfsfNn9qUkJNIqJlpug8JsCjENcANQFK9xYb/s7n5tsO3HAe0mcLF5lXB + ZzdmWpfoTdpB3/dlcZWBCSUc9PShE/vRdDo1s2EYYowlk7Xv+72eqDuL8u7ruk5E1tbWALh7StZ2 + uYoAIEAUKKCK2WwGIGXPgDkEqAQhddD26Ntev3XzR1bqMAxW3vvZ1EPMAgABUIcBGQpA3W5/RTzt + GRfNErOoOmTxaxnKkRzTuqm3bn7vn/8x2iOSWqjDrXxAnK4MqsLiPa6qx48fr6qqVO/nnKuqapqm + jOm4Y+zfLrWUUvlZ4qJVNYQQY2yaxt3LHrWu67ZtAeScQwhmVtf1mBq+C/vbMm0hBADl4z7GWG7c + AYdtEBERERERERERERERERHRBXH3uq7LmfWmaUpdwni+nIiIiIiIiIjuzMws52xma2tr6+vrq2vT + +9znPi972bVVFWIM493OPRal67pSNB9CqOv6Uk03ERERERERERERbW9zc/OUW1RVS2ywSLlSiodK + /yZgHom9y0IIVVUNfV9pGIahCvFJT3wiFqG8Fz45D3rQg/71V37V6mSahqHv+6ZpQgg5571q97O+ + vp4tOxyAlaZk7tihrcyOi8CBEjc7D1QWudTx5OWFyuHi0g3n7ne/u4xTurRUF1nN2z6VBnGHORww + 4Hdf+tLFaj+V+BluLWtQHeon3af8Wtd1CWs3FQ/64Y/f8PB//3Vv+Zt3QOClY1R2CQhRAQxDup2L + AQBCFQGI4NOfPlxuscX0zCfpbM9wLhvh8go1ADH8z/e8+zn/6T+JSDsMUIQQbKltzXwhLH6+6Bf+ + 62fd4zMnoVppJpZzSUA/jzZGm5ubhw4dSikNw3DgwIG2bcuT1LGaNpMX/PhPrK6sNBoEsHw+C1MV + s7aXIH1KDjz3h5/3z7d8eta1Z1rzwDvmLQAAIABJREFUF1lZCWU5l0xrg1z78pc949k/IBIyLMTK + 4AZXVZRmYg7Y/IxJFavp6upr/+R1n3fvezUr06xADFLFjW5mGrLi0l1ckLe5JHgWrM+2PvKxG976 + 9r/+9Zf81vd+39O+6mu++iv/zb/+iZ/6qQ9++MMmGMz7ISfLEIFIzjnGqKp934cQ3D2n4eqr7vIv + /8WXBtHVyTSoDsNQ1/UwDJd6pRARERERERERERERERERERERERHtR6W2sCRTltzEtm01hNPvmUqs + dYYJjgN/8Nd/3a+sWd1YyrBzyz2my4sGh8LmFfquvYZjq9PXvvsf1oEe0nUJofIdQ8tLdW7Zftx9 + OcyYdlDX9dgIZayUDmd63+0vZlZSukvWqarmnNm/ZdfEGEsL37J1DcMgIjHqtAlmMM8A+sFksbGJ + xjKYIAGucEclQL+O4ci7//J1dW6nlYrBzOIktAkAFIieAlIpCzco82r3F19cAFOYQV0UgADuCNbp + sU/d+o43wbomClKP7XdLJbsaQAhBVUu+srur6jAMIYSu6wDEGPu+Z6z1uSgfpiXNWhbKXvT0EPGy + g8Xii1x51C5M5PKqTCmpakrprC8dL/FUERERERERERERERERERHtRETGrpdYnGelvVVVVSltCSGU + 088Adi47K6fMAZSKBPYWJCIiIiIiIqJRKWqftZsrTZ37DqIPfOADr732pY9//DUARBAkZMsCjyEO + edtDEOPRiVKvX7JwRMTMyiGmMmqi3HOeoEO0ozJ08PSf5bDYOLiXWxQR0eWjfB8o4yRjjCIyDENV + VW3bTiYTLL4G5JxVlSMniYiIiIgunJnFGEVC27bT6TS7zWZtm4ajmxsAzE8czctj9q17iVVWhUI+ + +L8/9FVf8ZVmtietmxTaD0OJU/U0TJvmCU+45pd++UVbfVpp6q2uF6Cq677vIVBRXy6ROvlfCtH5 + X1V0/r8J8Ozvf5YNSWOlkDrEnLOqmOBSF8GVdNiVlZXNzc0YY1VVpb3Ip26+ySG+1G0uaMhLscSj + s05gFauchg9/+MPh6x6Zs8UYcsoSLu1RsrHP1zAMErSqqoNra5//Off88Mc/Udex6xNERMTNStuX + 5S1w/gyLWRMJkBSD5mQKvPe9773uz/70m77u6wBRFTOLGoZhCOPajEHUc84iIqrubjlXVdV1XQgh + hJAGqzRIFVLf92loppMEDG6/999f8SM/9vz1zVYjUj6Rl+4GFwcQQsxpxzDm5ZXhJRveckoCmOOm + f775n2+59TOuurIKwVNWCWXGy4FxqGDxv3DU0HVdVVWlr5CqisDlDPnWqrq5ubmytpqGIcYIFTNL + lm+75cj3ff+zujQkdw0xWXafbzwagqUMYFLFEtT9mG/6xv/4rd+mDjfLZgCiBrifx9ZflnNZ+2Ve + 3L2EWDd1fa/P+5wXvuAnfuC5z51PiWjefr2fMajaHHVdD31fNt9PH77lqU972ute/aqu69cmk3lw + u3k5klDONZx45pI0veMBhvE8RXl42aJCCOVpcvZQxWSmMfRD+rXf+M2f+OmfcgCeDcgplwZhZlZm + QiGG+cHqUMUv/dIv/aNXvloBWcz1Oeaan7vSmgqAu6eU+r4fhsHdjx0/LiIppbZth2EoV9q2veWW + W7a2tmbtzBaTUdog5sWV+fITnNgXCcxgBgFgLoBAvvlRj1pbWTGz5AagCjHnpCpnXeBERES0a8p3 + s/GrzthvcQfjiZvx17GfLxEREREREREREREREREREV2IsZ1CqXctZ+TNDAhaV9Z3TRNynyEITcyt + iWvQuO79h2AfOHz4ygOrB4cAv6g1iHSpCYCQc6pCBfeh76rVNfSpleqD/ewvb7utA4I2yRzmUMXZ + YqrHKg62fj1H5U3n7qXpRN/3ZWhz+WspPz5juf7lrxQCjcXbIQTW+eym5UVdqq0EgKFSpGEAEANS + xjCUNFxAyoic0pzH1CGAp+OffNuffvEjnlAdXEseQ63r63l1NeQMcYhkF2SPAFzUHCUXmfaLBASB + m5tnqeo05LoOechrTcDxI//wpj9CdxiwhCAKTwNw5o+AcVe/HK5sZiGEsu01TVPucKfNti97wlL6 + uPT9CmOjoVI82fd9SQQfhz6NdyhLtfTlTksDiJb7eJc23Tt39r6I3L20Fi8/QwgxxrPu5xlrTURE + RERERERERERERER7qZx8bZqmxCGPDfJoD5VM6xhjyYRIKYkIVw0RERERERERXTRiD37wg1/ykt96 + 4hOfBGhKuYpVSinlHbM9thHCPJellPvnnEuQNtFZlVGC4wZThtvlnFNKk8mkRHeklIZhaJqGmxYR + 0Z4bgxPGEXRjeNtkMilDAcd2DBw7TURERER0UZTuS2Mzpq7rDh48+OH3/iNKdulp9x8P1pUv59n9 + 1ltvzTnryV/RxXcvtTSIhhBSSsgmEQfXDjzhmu/+9Zf8dtf1B1dXjm9ulb4hGoLlvO1ElShl1SCa + UqpCNLMH3Ofe//ar//Xq6upsYxOAu+ecQ9B06Y8jlaK7nPNkMsk5930vImkYDh8+PObInh7Qe+5E + ZEhDAG688caUkmSbR4NfzJk48+u6O+AhBHGUJfngBz/4hk9+quuTqpqbu4cYPcPmGbln4ouGOzIP + Cu4sv/CFL/zqr/yKq+9yV8/WdZ1VVRUjgKaqAHRdNyCVpjxpGER1Mpn0XdfUNRZNajI8Dz2C1HXT + 5XTb8eM/9CM//KrX/Ek9iVlQ2pFpEMuuQczcsovqWTKtt1kQZR1XsbI0vPHNb3rS4797mG2puwxZ + RFylZFqXn02ouq4b3Ou6zjm3bXvowMFu6G2b+HJ3X1lbVVWoGDylXNrTPOOZz/rQRz6CElGcEwAJ + Wv7FzkMSQIFhSArc996f/7M//TOlGdZ8ki/BxmEpD95JiI977GNf9ZrX/vXf/g0k9J7lTDufM944 + 6tNQ5iuoJPN/fP/7fvKnf+aFP/5jbdvGGOFoppO+7Url5Pm9f8vhiDEbG4CqZrdQxSHnZjrZnLUv + +m+//HO/8PO+6Bd1ygQH0Xls5KLz3Ww2+9u/e8db3vqXp2zpupjli2vMqD5xiwCAOVQQQjCzbB6D + pmzjQ06ZCz99ykSwSPvGia5Y/phvfvSkblLXi6pnM7Wccz1p0nm8ZYiIiOjSUNWu65qmMbNhGOq6 + TimVbwU7PKo0dgQgIuXczW5NLxERERERERERERERERER0R2ZqqaUVLUUOppZXdf90ENhwxBCaLsM + gUTNfYIGNSQzg3TwP/zbtz3omx+9ZkOYbUCFydb7ioeqSl0bg1aHruzW1+uVA7Ppymv+7i03AT2Q + LQGLwHLdpsofyDmX5qKlrqNEGo95nLSdcahyqScvS6yUDU+n09lsBuDAgQObm5slI3YfRVyXIdtl + jljnc7nwLAhVVZm7iqgCBpFFqb5EuKGUZgMBSN5h/eb3/+2bH/iwx6bmis1Bm5WQMxRQJMDMddwr + uCj3/fuLC7KjqoJnzYOJiAKCPBw/Xh+/+VN//QZ4C5GUMmAxxpR2qusrnaWbpnH3tm2n0+muzchl + rkRWlxBoACKytbW1srJS/lr2jWXplQE7IYQx1rrcp/x1r6Z/B2Mj8dKXA0sfatvh1wIiIiIiIiIi + IiIiIiIi2jOliiWE0HWdu5tZztnpMpBS2tracvfNzU13NzNmWhMRERERERHRhRKDmLqpW6z0y7/8 + y3/lV37Z3QAMaXB4COczCsbdp9NpOXZRxnpdnuX+dNlyP5H0U67EGNu2zTmX7appmmEYmGlNRLTn + ypBvEVlbWxORlZWVGKOIVFUlImW8dEnbKic79np6iYiIiIjuIErhUFVVLnARqHzqphtP/PnkQ3rz + LOF5hhkAfPKmG7HU/caW7n8pcnBPV4755JxDVBFMm+ZJT3jitIoKbG5unbgbAvws/Tc8W0pJAHGH + 29Of+rQrr7yy69ucU9PU7uZuVdPsQjun8v9R6SpSDmGV/49uuumm+R1Ey4yfX9FXeawIPnHjp2KM + IYTstjtJ5O7u2UII7i7uQfRhX/tQMVcA5kEDgPHA3SnG9VfXNUrydyrHjVHX1Uc/8fHHPu7xG5uz + 5JisrWmI3TBICOtbW31OWsW6mrhJNhNVd9/a2lKJabCuTw6VoL2lBNQrK6b6R69+9dc+9OGves2f + SJBZl7QOUimAqAEOSw7D6sqqZ4Njflly+tSftHRN4BpC6NIA6Gte+9p26KtJU0+aatKEugpV1Big + kt36lGZdl92rpunTEOuqnjQbW5siAtiZXgqhirPZrBt6qGZ3FyDGH3n+j775rX9pQFU3iwOmEBHL + OQ8JwOrqFEAAFPjZn/zpq644JHDx+aXMpAvGy3yFyvln2E+n06ghD30Vwk+98P9RQfZcVTsep91u + r1JypkUHcweOb27+9u/8zhve9CYXTWZVUx++7VaorK6tje35xJf3UWdekjsws2SeXVxEYzi+sfmz + P/dffvYXfj6PfcFKu7D5ixnEzC3l5IvQ+nJEum1bAMsL1gVZkIGMExvXRbkY4JDSvAgaMpBdksOA + 5OhSHswN6LPZ4s4GscXSGTebMyx8EcC0vI2RFXa/+9zvIQ95SN/3DhOBqoSg7j728mO5KhER0WWi + aZq+71V1zLTeYchPOUEDIKUkIqV99j7q1UtERERERERERERERERERHQ5K7GLAPq+B6Cqx48fr2IF + SxDPlkMV66bxwbSusRg1L5AOeI9177r15iMONCsQRhbuKyKwFAQIAW0bJqu3avW/1jfedtPRDQAa + AARkuMHtTHWcc1VVlS4cy2NvOQ73XJRerKpaymD6vk8p5Zy7rgNQVdX6+rqZxRj3UZ3MOF7bzMqG + wYzzy0EIUkbwAIhVVYZ1uDt8UVstCigklreuOmCzG/7ildXmzTH34ggBAgQ3QTaoi8zz7gUm29ba + 0+UpKsxQBkLAUiXI2dX7uzX9O9/wh2iPwocyAkAAy9v2WSpfHlJKVVUdOXJERKbT6T7aX11qMcZh + GERk3MmvrKyUHWPbtnVdl08Bd2+aJsZYdp4Acs7lIZdnk6sy6Gxs866q5QvkWR61C1NGRERERERE + RERERERERHRGpWAi51zO4I6xMbTnRKQUH5Quq33fM7aHiIiIiIiIiC6ilJIjP/ShD/0v/+VnqkoA + qCLn2z0KpqoqVe26rmmacdRcOaxBdFbuvnzUa8zMSCmVkXjj7XszfUREdLKc83jaAuXrhDuAsjPP + OQ/D4O4lVmFvJ5WIiIiI6A6jJJMBUNXSCm19ff1jH/vYDg8pX8jd3R0x6Ec+8pFymOVC0m0vRJny + EEIVorjnId3/vvd95MMfUaZFSw5xCeSW7YO2fR6oLEATK5jf/eq7fctjHtNuzcysmU5ijKVNiaXU + 9/2lntPSGGtsm1JVlZmJyIc+9CEVHbOfsQioPo/ndyA5Pv7xj6tqmbtdqO4r25uIKKTUForIl33Z + l2V4DOrwnHNV13AXkeV//eTk5il934sqAFENITjQpcEF77r+3Q/9ukd85GM3HN+aZdFmdeXI0aMr + B9bavjc4VAwuIlVT13WtquWWlbXVUMWtvjtw5RVSxb/4q7d+7dc94unPevbHb7rRgJS9birrsyeb + NHXfp7quyzHqzc1NANPp9Mwze9otJ5bviSz2mGFv/eu3/907/37W9luzdsgpwfo0dDm5CGKQoFCZ + rq4cOXb0z9/ylr4c24xh2KYtkQvatp2sTKEaqihBM/ylL7v2t699mQN107R9B6Ck0Zf/u1VVgHZz + JoAA3/OEJ3z5Q/5VFS75cfhu1iqkCnEYhgc96EFPe9pTAeTh9rdPEpkvUjcADlHR47OtZ3z/s24+ + fIvBt9r24BVXQGV9Y/08zi+M221pVzQH1xhDFbuhN8iPPP9H/9uvvtiBEKsz72ccutikBWJm5ehH + VVVnbjAocKCEZF/gT4iaSLme4Qme3JJliECl7BgdEFVRdZTYaxVVFxjmkeZj+8szdjsbP0fGW77t + MY8O4kPbRQ0lBRNAjHG5oxOTrYmIiPZczrlEU2OpNXYIQbdhZisrKyjfYQBV3dzc5CkbIiIiIiIi + IiIiIiIiIiKii6KU4XVdV07lz2azpmmGYRCFiIvEnKxvB5FoXQ9LDncYgA7YAq577/VHqnpLNDG8 + dr9xd4kKWNt2qa6PTiav/8d/PArMFDPLQbxSFRigO9Trj5nWpapzGIacM5OMz6pknZYCmBDCMAyl + Vrzkg5b3IIC6rsfqmn1h7AOcc44xunsJdt3r6bqTM+QEWM7uwMbmLGVXRVAABiyFW89/hziAHrd+ + 9Ibr/2pNes2pvKUVSR2O4Igooy0c6tvn3tNlSQEFhoxsCCFEFR/axmZ2+P8c+6s/wQTiCZbhCEF2 + aIpT9v8iMgzDGGjNur5R6S+EpZ182TGa2WQyKZ+bVVUdOnSo9OUuI0fKY8fFeBnu/8sYt8lkMp1O + U0qlTcdZuyfxawERERERERERERERERER7ZlydnY8g4tFgYvTnkoplRWBRQlCKTbauy2FiIiIiIiI + iPYt1xOXQgxiTQzISQMe/ehHP//5Pxoj3HEeo96GYTCzEEIZFTAOoruo80B3WOUg2JiTUZprj+NG + yl+rqpL/n703j7clqep8f2tFROY+9566Va8Yi0IQfPoUEB6NU9sK0ja0zSSUUEwFFsiooCiDjIJ2 + 98fPpz/PgfcUlX4+hhZQRHmIFhTUE22UeSxqYiqmKsuiqPGec/bOjIi13h8rM88+546n6ta951at + 7yc/efbZO3dmZGREZO6IFb8f0bKXhuM4jnOisAmTWJraZ+ZqtdY9e/aEEKzRjjGur68fB7s1x3Ec + x3Ecx7mdwMwhBBGptaoqx/iJT33yaAKJVEFEX/ziF2utJ8TQGoCqMrNWsRC1WquqlJJf8IIXAFiJ + CcBg2KyKw8RHKWqtkQMDpWSCPv85z501bQjBfpUsFgtmTillGTx3b9VTNns56xE1T+uu60IIn/rU + p6qKQOuoWBSIzYp4Wo4KJvtV9fWvf33/+lquZep9vVXRJXtgu3Yicve73/2ud7ozMxM2u3+tT/gw + uwohgMhMhkMIIiiKDFz05S/91MMf/mfvePu89PNc2r2rfS0re/aIoi8ZTAJan3eLPiOmec5xNrtp + Y2Oj7xHje9/3vqc+/efPfspTP/m5CwWU2pmVGCkK5chxseibmHLf11JSiPtWT2HQYj5fznkdl+n/ + Q12XEBvThBHIi1/ysnnp40orzJWIUqpEG32/KAUxfv2KK1712tf+yI/923Of9azPfO5zaxvz1M6s + zJMCkMkg2IqlqpoZ4Xw+R4z/9NGPvuglL+UAAbpu9LQWgrKKhBhFJIZIBAa+++53f9lLXrLSzsJY + Hsyx/kDf+lvoZE+KyKi5Y+Y9s1k3n//qr7zonnc7M/CRdqoH5PJS7SaQQpVIge/ccP1TznlqEaWU + 5vO5dTJ0ud+6OzmivfJUbjFK/5h63cZi0ZdKKb3gV170pre9PaRGgL7kUWBqaf8KAMysgEAp8KQS + mHM+qFG0nYyZW9/CdVUZMmj7pyoqOpZRgQp0en/5IxzxokCYIVIAMLB3Nnv0ox+dc56ttMwspZJo + 6QaNp0OequM4juM4xx0bOreHcxua6bpO1Z6yD0IIYWNjo9aac7ZpKXv37vV5KI7jOI7jOI7jOI7j + OI7jOI7jOI7jOI5zTLAQu7Zt7d+VlRUAqtWsTlWEKAKsAigTs6AKkEIqwHXAR66/4Ru1+w6jkFsW + nkzUUiglMErNs9VTbqy4rFt88JqrO0CBClSWIj1DQFtlPQ5gCnattaaUQgge13FEUkp932NJlHU+ + n6uqvblYLCwPzev0JJKksGTbvOyu60xPw9Q2nBOJChQxhQpQCpRmIiBBAKAFqKOzNekU5i4Fsv/z + H3hX3Lh2pc5DRZUKqBAUUcCVoACrsB4hKt7ZVRCAihQAIFdQCKp1RvlUWnz0vD9HvQnrawwiCEFq + VRx6vo/Ne7I4QPt3mDbiABhlK6bMmdbMXGtt25aIRGQ+n9tmFiq5d+9eADatiZl3YfvfNA2AxWJh + KT9K/BnRcRzHcRzHcRzHcRzHcRzHcRzHcZwThqqawqYN007vk3NCiTFOoQYxRhsgX75AjuM4juM4 + juM4juM4txCbl8XMivrUpz71xS/+tRBwM7ofbGaUTQ8QGcxpfLqUsyNSSiarvb6+XmstpdhMQjMg + 6bpORGxmr+M4jnNiYWYbxbCRi7Ztrd1m5o2NDYzeZiKyd+/ew9ubOY7jOI7jOI5z9JhFq/ngApjN + Zp/85CeBgxutmmwHRhWPUuq119149dVXH88EL2ORaUREilKKlNqmpFV++EEP+vEf/ZG+ZNtsMN89 + tIwLM9NgRUsMnLZ66tmPf4KputgPk6pCMSCwqrYrswPdfFmBwWP4GBBjNN846xrNOaeU5t3iy1/+ + 8vJmtDUqbweoqmoItD6fX3755WahfdxkVmi0tbYXTdOcddZZfS4KZWaohiExw6kdeNlSSiVnM+q1 + PcQQY4gIQZlv2lh/6ate+ZMP/am3/tn/WOQ+F9nInRA4JgqBY2xXZtwkgc72rHS1XHXNt/+fP3vr + wx/xM49/8pPPu+CD+xcL4pBV5t0ixgiwFG1ikqIEEBETAzj33HPPPfdchcYQd5wFqiHGWkopRYCm + ab94+Zfv/4AHvvXP3v6NK6/Ys7ra1XLl1Vd95sLP/bff+52feOhDfvQnfvz1f/iGq669Ntf69j// + 81NO3deXnKscSlImNmnR933Js717vnDxRc9+3nOJkSssqRyD6dcYtZSmaUotqmhCfM1rXrN3ZU8T + Y+76g+78GNLMZqoK0fX19ZWVldNPP/3Zz372zdzXWLutaapSCRRjvPCSS3/5114k0NQ2RUSgk9rj + zo9AtmYeTKnbts21PPWcp7/jL98J0CL3IUaO4SDfBWjs1sAYq8nMNthBRISDLMNfvsVryxuzpmYi + 5uH18tGwxR18y2kzW4uxaT19wFaqEIHKUCLvf//73/te92RQE1PNhYiaprE2bfLzHr7oZtaO4ziO + c6KxZ3Iisp8Ds9nsMD8xph+DKSUb0PHBGsdxHMdxHMdxHMdxHMdxHMdxHMdxHMc5Vtgg/vRv13U2 + mq+KGBFCUFEQWzQfM4NJIVmqctgAbgTe/4ULu1NO6YMH551MEBGkAqJE4KB7V9/z8Y9fB6wDIAZB + VStBCZHTYSIvVXWxWABgZnO2xijT4RweCxIOIaytrRHRysqKxb5alZycrU+uOBlLra3btrX5AlMw + s3PCCCEvFlkgQBH0/TxGZkKAOVsLUAFZDtfmQMA6rvrK5Z/9yB0aoPYhkBAUBECBQqgMhiQt5M7W + JxclR0ITAaACkLqX88bVX/v2Rz8I7qBFVBKBAQWIDjJPwVhunay9utmTJm6rmISFaVZYhOQkzZ1z + ZuYQwmw2w5Iu9/r6OjPb1Bszxt5t9H1PS/NojvK+vxvPxHEcx3Ecx3Ecx3Ecx3Ecx3Ecx3Gc2xum + RmdilPAIpxMNEfV937atqpZSUkq11uOpTOo4juM4juM4juM4zm0Gi3A/sK+nnSURWd9YO+WUU+cb + 3XOe85z9+/f/yZ/897LDuVo556nXwsLoU0rz+Xx3Bv07u40QglllpJT6vrcZI9Oskq7r2ra1/rG+ + 7wEsu7k4juM4x59Sis0JTCmVUrqua5qm73szVAAQY1RVZp5adcdxHMdxHMdxbiGqKiJmBGtuoxdf + fPGVV/7LYbbffA0ogwQXXHDBueeccxxSeyBEVGsNgUUqMVmIWgih1vLCF77g4598ehVYvBpAzCyH + FpMKHKpUAiLC4x73uLve5S5tDCUvCDKbNQBqrTlnVaVwcC/hY4j55lrXltnoNk3zwQ9+YGOxsHM0 + CZWb6Wk9klLKtX/f+953//vct5RyHIL6Brm9Mf32ptb6xCc+8Y1v+tN5n2OMfe4n0185hKzSILtD + 1Mxm/Xw+n8/Juqk5QAFIjPFLl3/txb/+8pe/4hUPf9jDfurBD7n3d9/j3ve+9x3ucIeU4vpifsUV + V3zriis+9rGPf/TjH7voksvmfQ9AgBAjiVSV2Wy2WPSqFIihUovJwaHkHsCTnnD2b772ta973esi + sdStQXeTWJwO/43FhaeTiWbLba9D7Pqujc1N6ze96KUvZhARCSEvXdzAVIEAFOCd7/qrV7ziVaur + qyttg6V4PyXbnjHWC8T4zW9d8ZznPOfa625UQAm5FgBStC/Z0mkp6fu+iUlKftC/+TcP++mf3rfv + lNx1TdPUmpe07xiHNiG+eYbu8/V1Zo4xrjAtFh2l+OxnP/sP/uSPrvzXqw9+lKXXuvQnpZRzthGE + qlAwg5S0K4WA9773vff+7nu9/CUv7bueoaWUYT+0mcOHT/9kxG6dEpa9uZZ5reec+/T/+U8fUQDM + KrUUIWYMKmFiKbQyIAARpbaxZkRNC2mQE1s+/FLzcsxqJI17I+hUMGl5/0RDybGGxaqnqkLHth4H + q4wHGyJi4ElPODvGqH3JOVv/vLmMVxEljHWfDvyu4ziO4zjHGRttIaKcsw3N2EDMoba3Dexbttny + g73jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOLcEixC2QXyMnpS1ZpFFSjOptY0rXSkhhlqllkIMilSr + CDgAHfAPV17xH2667g58q4c6O8cQClxrCQHMvD/nr15z9cev+3ZGrEyITLmzQE5lSM502KDXpmm6 + rosxWhGqtdo0Aecw2Ixm051YXV0FoKq1VguJYWYzOrXMPIn0Wu3Sm9rGFOHj5eHEU3OazTIgADMU + KEUSQGZrDakKEENlCsliZikFNP/8h/72nvf5UZxyZkhNrcM0BQEKQxV7tEaRGrjCbwEnB6TCBOn7 + sNIQoSpUlfv9n/zH92FxE8p6aoL0eYzjZ5tkc/Co/nG6E4BpIsA0U8yxBtza9hhjCKFpGpuxZdO1 + bIKSrU3Mym4NAEyJqO/7yTe9xtxlAAAgAElEQVR6V6GqpsVh5ygibmvtOI7jOI7jOI7jOI7jOI7j + OI7jOM6uxiQmTTcwpWSjua5cc2IRkaZpaq3MPMkPnSwxUo7jOM6OIJi27CZKAEQm/VxglMTdHqzG + hwxMmnZ4lALZvLnxIAqsU+qWkscAhLZ9bGF0Ww+09YxsnzRK6CpNGyxvJgDINthxzCUDMqRc45hO + AezWyUNGkVjE9873f3yw/Dli2mTpKc22FwWDZBCkX8p8Oi7ywpagoEP+TwV4ynYBLEyOVY5PknaE + EFjZygYDQqJD+THG8gO2M+VDyLKfKLZoUJNga7XnpfpLCqXNUztoA2IXaHk9ff1o25Mp67Y2HQC2 + NjJDqmWLsLnprO/OGnqCGFq2LW0m6dJHS2wtuuPWygBIWQlLzSOG1luX2v+hOhzrU7hVWSpv237B + bjsPGvKHAWFlQMjazIN9a2pnt+WG5Y8c7LcyQ5Yu05Erix395MrsI0IHv4vJpneC8ngJtj1vgIaN + eMpGJaksdqcjHcoqbb2FT4V5+LbyZv4vX9yDPclg97XnJwpzDm7bdrHYSE0DyAtf+MKu6/70T9+6 + oyJq3pYYZ0wBKKU0TXML/Vqc2wkiMjkAmfupOaNb+Wnb1jotVTWl5J2WjuM4J5wYo00IzDnbPMC+ + 7807almOAYD5JXjT7TiO4ziO4zg7YpKw2mZMy8xKUNVcKgU+/4MfyFMX3gEP3aZwMelxEJFCz3v/ + +55+ztNAenjJk2UNrW1p0MMrbB0aZi6lpBRFpG1npZSu75umEcVDHvzg7/tfv/eLX718USsUHMJh + PK1FRUHW59vE9Eu/+IskOt/YSIlrrRyo1ipFZ7MZmHLOB+bMMNhNw7ns5IxkeTzXvptzjjGKCAWm + wIGpy/073/UuBUIIeXRENsyQ+6jzDACIWassFn0AzjvvvJe95KXzRbd3ZSblcPvZdkbbLuJBC9i2 + fDA/YHNuBqBVAiiL3Pc+9/mB/+37L7rk0q7vQWDmWmXwtNZheHFzJ9bvF4PU2s/ndi4AYoylCgjt + yp5uYwNAhgL03vPf/3fnvx/jTmxkxxLFQB1HX5SgilKKbbfoOzPpq1ojmJmrSAAp9FH/6RFveP3r + lWnWtKLCxKIHH5o58FerHd08rYmZgFxLCrErvW1coaRQhYLMk50IVTRGrkUIWFvMz3v/+5785CeL + yIFjJ5b5uZS40l573fVnP+mJl375qzqEU2DIpWKu4UEkAwGKFGItOQC/8Ruvns1m8/l8pW0XG/MY + j3Z09YgF/qDV37pq+74XaNu2a4s5p+aFv/SCl7/mNdtG/5fCSA6CDSJYr8LkiV4lM0MF8778zu/9 + 7l3vetenn/O0FLhfdIl2NmpsXRMyWDJDoV0t8657zOPP+txFlxCBibIMVuuDDNDWQfFpPCPnrCIg + miI2rcGYjsVLLcIxZNOmGjBnbsuoSbTIXmz7dzNVzGZIf6j9M5OIJiYRbQI98pGPJEVgUlGLGd7o + u9lsxiIynixvLRI37xbgOI7jOM4txCaVhBBSSmZWjVEj+6DbxxgXi4Vp9aqqDcT7eI3jOI7jOI7j + OI7jOI7jOI7jOI7jOI7jHBMsXtGiKy1GOsbIzAIxo+pS+sSxlApobFLps5IiRK21AAW4Brjgkot/ + 8IEPOqWvUYVUlkIwRxEYUgCVwEpksagHCKQ4twiLJGVMMbMKiHkk61Jmj8HnFSXGANG50vppp77n + Axd0wI0QIKCKKpQAAjMECCGWQ4TEW/CnuaHbv25oejTEGM0WdHkis9maArBqONmFTv7Qu58pptrC + gC14mN3z/oQTkuTMTbS2WRWiteWwFE4uW8LoiUpfEQnI+Mqnv/2VT9zxhx55bW0VUZfkwiwS+6C6 + Vc5uJqWwvr6OpmEoQAmZ1/716g+9F7IeQi19z9MsGKLDuxWr6uTHbHOR/BYwYTPgQght2xJRjNHM + qq09N2mLUorNs7BZHm3bdl2HYQLOLtXrtlT1fW+RnMtCHIfBbwOO4ziO4ziO4ziO4ziO4ziO4ziO + 45x4bCDWXp8ssTi3YSyiKIRg12K6NI7jOBjFyCYdatcaOxkRGoILCWBlVg4SggSWxBpIgxAribCW + mEuoQhBixbiQCW2LHGwBADDp1gXYtowwwGILsZAAFZQJPaEnZEIdjHJp2EwRCoXCoXAoxENSSYV0 + VJaeQrPNvRKAkiqrBFVGtX0KARqgQRDs6CBhKENpZ9q/PEiHW2o1QFrRpGAlVa5KsJ2T6ub+adcs + lrc0XgJsLjjYQspBEEWCSFBlFTsj0qX1uLDKDjNzxwyFk4RRgyorjwUYAEAiVIW1EAsCKQ9JOuHZ + Pi5CULCAWUOsIVaOlUGipCVoCapWVofCz7pZqncFhCFZQ65CxYo9VyVV0uU2gTWQ2ilgKijTfmjc + m7VLpMy6vSWZqhuAqQAvf31MQ5WlQ29dAKi1M6BeuBeuJaiwCluCdWjKSEBTYT6w7bp9MLauQjK1 + AHZpxgVLi82VUeEqXJWrNcsEGa6+JGgSTapJiAtbAZiuLGTpzjIcf7juALD8/m7BWhiqdpqWCdOy + ee9bKs9DLQYDdvPlIBwrx4ogQ/mfKshgA08yFsShBTjwdjzeB8Wa8fGuBPselnYyXcchkSc6C28x + DEzPGMJQHmrz0gIlu/VDp9yTIccyqAf1hJ6RGTpcREksCRoKo4taWIQgYNIQJMSSYklji40gwqiE + DNSlxx6xpoZQxzsjrKgLgmoSJEHYVe35cWDy/wCA4ZmIoUwIdm0iMWpBLU3kl7/iZU95ytkxEAG2 + DsQEDhQPaNXHXY5TK2qty4YKyz9VbEpVPbQnjXO7ZZpZN72wuTfTvzYr4ySaQOg4jnN7wO7p5heF + JV+l5al03m/pOI7jOI7jOIdEa2AQJPcLZqhWqTkGIhv3EUUV0lGfiFBEwUGJK5Ewf+uqq/7wDX+s + 2xxVrdNvQACZ+uJKVQE+8KF//MKlF1NKvUhWTW1TSkkpqaqgCioggRFIGUKirDAf4hACmJTAMVLg + qjseL1CVELiqcAw5Z1VtYoJoExJXvOiXfgW1JjCNvYjbf0jQltEsG4h89GMeeeaZZzBpGxOpBooi + IAohxVyLWUqb9M8kAITR0NoGpKf1tFQtITEgqlWlBLYOUlGtxMqk0GrXjiFSs+lGVZWqKswLkU98 + 7nN//TfvEWDytB5+LgFF6tKA+sE4YFA/MgGw31VfuPSyC/7+Q9y085wpsGplBjNqzSIlMCxtBBmu + oFbSGhkpMoCcMxFJqYlDJCbRyRv7wHwQKAUGk2AQSiMggWu3eP6zn1VrYQIBtRSQYPN3H1uZXJZx + k1LthLUOI5OlFECgYp7WICiQRSuQgQwUoB9fVKACZSnHNlV+xhexCaX0IK2ovfSBqIX+x5988Fve + +MYgNdRa+44BWS63dJArIcMi2LqoFJECINciQAUYkRAEVEHKAQTY+DihEtcx2f/3m9+UzZtalIDI + qRQBOKSmLzW0LTfNjTftf9rTz73kS1+xrGMGhwDAvgdAJAMofQZQarGxpXvd614iklLTdZ2Jr7VN + A1WohkDMINJa89bzGhZzJt5WI4brh2EgJxKjipQaiIkoS+UmWalYLOZNDCuz5qzHPiYAvFxbx3J7 + qHJudcFkgyw5RbICogCjABrir77sZf/wT/9zveuEWZi6WsBkgk21FCbi0bvavOQF2pfcNFG1QgaZ + vyJSiTrVq6+/7t8/4hGfveiSChRFlmn0okLrkCVTVkyLqlaBAqKmalRVBLp8XgJRiK0PyOObv6hW + HRMmUmrNy+8ccREpelAdLB0MvEUUhCoK4FGPeuSd7nh66TuYfhZBTD1T6pQppJgahiFv3NfacRzH + cU4Qk3ileVrjSPN9zNMaB8xJcRzH2VXoYVne0hsxx3Ecx3Ecx3Ecx3Ecx3Ecx3EcZ1dhA1g2KG/6 + kESBJOTeAiklS6coKYWaC2DqF9libDeA64HzvnXlVRVrHDIIMaIUpFS6AopDQLiKUikshRXKqHEp + UNy5xYxxroOkjwpUKkthzYxKgDLqoMhhwj3EWaQD712kfZ/d6M9bv/EmcAVIKkoeAmorpAdYigxx + vFZUDjPi6YOhRw+NYFSfmLBqOMS9E2GclWCbTfE2toGtJ/0KHK+rYC3GdCxewt5ZblicEwoDzE1L + igSbNiAAZ0UByijnZ3H7CoBIlcA2I2UOXPOx//dPTuP1kDeqgBK6ipplLyFV7RE7bgUuLHziGaca + yUGXaTMlVAU1DTMCa2KksvbR8/4c3bdR11F6BgRYKMowY0IAWa7OU9PEzObTbJin9TTzyAHAzBYy + JCJT7NCkuGsz4EREZMjhcXKKTVPCLvS0BjBZcYtI27Y4unT6ncBxHMdxHMdxHMdxHMdxHMdxHMdx + HMdxHMdxbn8MRpgiJJVrZRWWSlAAgy8jgrC5bNq/o0PnstDwsr8p8+hOatLD41owSgYvrycYAMno + ihpIImALAyBVkLBOFrZqNtWEyiiTKjXrskL1iA4ewENKNjWNFQBIhextURIBi4ni7jSkSomUh3i+ + 0XmX1Bx2N5PElnWTke8QxH/i16wCgDGuSRjCQ8oPLgo/XkcCILBMDAoe10MmCG23nLw1GHPUwjFJ + wTr5GQ+h+VAEi6adDHR3Q85jsAGWyfV8sApQK7FT2QbplI+7zNZ3xIT0SYkVm2b2Oli2kgKDyeum + y6+1HoOr8ehzYFezkiiJrZWgS7VYBi92wVJebIqSK5MSK7MST7a+26N1CQjQaAspByHSYbGDLZXa + 41GGdzOstp4y4UB3b1l+sel0oMxK0ACNGLzMBSigDMqAuVrLgbvS4zHV4tiyeRakIFlyXh/uWJsW + 7KCiJMpFSKrdcwdf6u0nTkNztmlBbW9idMsGyRibjqBq/s2s4ANaCRouol1NsduTcBGS26Du+/B0 + smR7rLQ8O46GRwEenzo2b1gyuqcLV+EipKQIQsNXSICqpJXruMjorjHd+AamNnvKYV7Oa/Nod9n9 + wyGsePWrX/XoRz8SQK0aOJjhh+zcrmaaGNb3/fGc0+U4juM4juM4juM4juM4u5lInDgASE1j6iQc + Qs7ZjKhDCCZcIiJFaq01xtiXUlVi0+xfX3vGLzzz29ddu9PeOgF+9SUv/s511zZ7ViphfT5PbbO+ + vm6deEIws1iYYAqRqq7s2SMi826hqgJ0XVdrnQzVbjnzjY19e1cf/ahHnXHnuwLSNi106E/nA0ZE + APNJRgAC8MxznzFLTQBB67Hq8U0p9X1fa53NZiYQs1gsSq2DnRKbx7Pk3AGYzWYiooSQkhJyrddc + f+2znveccuy6n3OuBETrWQV+7cUvvvKqfwHzvOuUYMomIYQYIy3JWk2KXapa+tzPF8w827OSUjId + nNz3RNQ0zTgqCmy1oz4UTUyPedSj73qHO0aiYcA/RqhiGgI+YFxv2af7kGw1Qq7jevLyncYiZdzn + +EWCaskZJgDEBKBqfdQjHvlXf/EXVCsDNee2iVs84I+ui/qgW40pFAGBIjGrCMDUJLP31lIoIAQC + 8PkvfOFLX/qSCeiYMTMzg6mIhBT3r69fc+13nn7uz3/kk5+w5BGhCmqtW7JxqTgFgEEAzj///GY2 + 21jMBZjtWYmxWSwWdvU3Nja6rmPmqZ7yAevhBA8oqKUUIlIRAE3TmCSQEjiEXEtqm6q6urpaSrnx + +htOPfXUaZ+3sNNfFSAKgbpSquLsJz/pQ//4D+3KTCNzDLlqriWEMJvNmLmU0rZtCKGUUkqJMbZt + W0qxpqnv+6qSUioil33lS4856+e+ePnl9fB28jcvzUvr3QYvX5QtA2SAIhAsIudxP/vYWvKk0+c4 + juM4juM4juM4juM4juM4juM4juM4juM4juM4zi2BOVrgpaACwoyc86ZD7RjIWIB14Cbgg5/7/GLv + ng6ACGKQjfW4sgeD06FFjGJTwck55owxlmM0tRz0U5CYYk8grdCsutas/O2FF94IXA8BUYAEDNHS + m4pwLCAJIVggMTPPZjMMjqfO8cBi6a0O9n0fQiAim6xha0NV9+7dexyuCzNPPqyT8bbN3XB2IxQA + JqiKBEaKDEBUKxBiUqBpmlqriMQYiQisUEAEWpHXcN23Lv3kh1a5C1ABUkKKjAxWUQ4IfBKqe92e + YQGrUBUEhm5cj7Wrr/7Y3wMLIG/V09y0qFdVm0KCsc2xRsCeCvq+t09VNaV0As5pt2LtZClFRBaL + xerqKkb/b9vAXMAno+uTApuyoaohhK7rUkoxHtnY3p/8HMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdx + HOd2RFAEFUCFagm5S12XukXTLVLXx1xCBUkQbmpqc5rl1FRuRJJKEluQBEnYFlImjaSRNJEmM3iW + 0TP7IGtAaHMBBNQxMkFII9UVrqtcVrnuYWmhFvSmoMoojBK0NNq1Om+lS5qT5qg1CYJyUB69aUfd + ahIAlUKlkDlWihVJEYQAqkI9qAP1SsVSVShmjjuNFxs9RyMrsyKoLC0IAlaQRGiAJmBw0t0tC0AQ + Ribk5TUhE/pxvbkoSWEUjoVj5pipqWgqmqFQDEus03LrO1uPwvKsxEK6adSqgTSSBJZAg6dpUGLc + 2lm6k4UVBPP67cclA8oqQTgKoiCKlaVCKAThnTt63nro4AILAKwxSIylbfK41BgkBgWoKJcaeuWe + FVE4CIeh9eDp8lXiSigsJUgJ1ZojWWo9lhoTCKCkMr4/XncOtYmljTXGykEkaGXKTJlRCELKJA1k + BbIqchqX05oya0pjS6pNqg1JHJbRbFtvBanxkwNlgFg3DWCVdFu2y9K/BEmVmhKakkJNkBbaVkqV + qJIKdxLWEPYHWouYJ8lRlLaW580ZMicJ1viT0liMoSwCVBJbpnJbSZQLqAPPlTvhXELOsXSxdBFd + RGbOzApm5SAcKyfhWGOoyepLqpwqJx3uwnG5zR0XaygAEUIlVIqKCKubwwYCyiXkLuYuVqFd1J7c + bIbqSRCQgIRYwJZDFalSo2hVW2gKKklLEknCJImkheyF7K26t6KtFAqjhFziPKc18HylYLWLezI3 + VYLmGro+rS/a9UW7vmjmi5QXSfrAhVLFimhbKVSiSmaSHWxRInN2BwmhMDKhC+gI/VE4dNyumc1m + v/Vbv/mwhz2UCAqblyUp7tiuxmYCDBYpRGanUYbplI7jOI7jOI7jOI7jOI5zO2U+n/d9TwjMsety + XyqFyCExszJVIIvkWqto4JjaWa4SY2Lmb3zjGz/7s4+78MKLFGjbUb5EAd3iH3zgYlz4+Yue8Pgn + fvOb3wwhhBBLrvtOP70vGRyZIjiIUlUSMIgQ+Kb9N4FpNptRCBAJRClErcesc7Vtm0U3X13d88u/ + /MsAct8BEvmAfshxoIhtDfqpn3zwj/3ID0Vo7hdEOxb1IQUvradFKyCkSn1f5os+pnZlz2rbtisr + K6I0X/S5CIcUYlOVur4QMZQWiy6k5vKvf+Osxz3+W1dedXNyRw++ENCkpooCxAhX/utVZ/3cE772 + jW/GpgmpXfR5bWMODuAw7/o+11ykz7XLtQjAMaQ2pjaktsu9WXQzc0rJzNT7vl9OwmCFe9ihohhj + IHrZS18qouaby9bTTjIthziVQw/2HXYIcHKzXnbd3pSJUwQOViQIUBEGnvvMX/ijP/hDU/xpmmZl + ZeWIPdJHm1QMdakCnILSICXGgbTvIJqaBgoSoGrLaAl/8fa3kSqYcpG+5Ngkqdp1PYD9+/c/7Wk/ + /+F//mhkBhA4qIKZY4zbjcB186+V9je84Y8Xi0XbtiHE/fv3l1IUDAoc0urqvrZdyV2JnKaCva20 + HyQHCDA/+xSrSM5ZRGqtVW1cmEqR+Xyuql3Xt+1sdXX1ve997+FzdUcwx1pVFTFwLXrOOU9/4xvf + 2Hc5xhhCUCUKsc/VauXa2nqpEjgSWEqFaM41pVagRBQ4djlfeNHFP/eEJ371618/hok86RFAEUAA + 7nKH0x/20w/TUk+uoWHHcRzHcRzHcRzHcRzHcRzHcRzHcRzHcRzHcRzH2bWIiBkxmsspEc1ms2UD + 3WU64IIrv3FFyYUSuO206CyAxAJIlWCxvakyKwBxe8NjDwOTp7UCykE4KIKCMMbyMipDAVIgc4or + N0S+fOP6j131jXWgAkRUMYhxQId47CEOnLnWGkIw+9vFYmHGls5xo+s6VZ2srM3Zmpntopg3aghh + fX19037+VsMOYVasZm5qR7+1j+vcbFTVpiRYEer7vpSSUso5N01jczEmc2uIQisUIUQQsLH/8x9+ + /2pda1ByRmQkhtZscwFOHjfe2yxbZ1XwtChtLsOHCgC11nal6Qtq3915Vj/19+/F/EaIAFzHeS4E + TFNeVNW8me3ftm1DCLVWq/611qZppqcFa4scjPbVpRSbRQLghhtusFozmX8zsxmEn0S31L7v7dRq + rdPt5oi23P7c5ziO4ziO4ziO4ziO4ziO4ziO4ziO4ziO4zi3FwhgHUWhCUpijrNCRbgqV0CUZBAO + NuddAamMC0g3dZtHR0wBoKSAKtmbRUjM3xpjvNuw3tQ/5qVEQcF2UCGMnpQBgx61vV9BFZSjligl + isRqppFMQtAADUOInk57HvWllRWDzyU0sgQ2f2NURiXoYLENVrDQDkOqSDZduqkChZEZshQ+aJa9 + sRJX4ulkd8d6DHDfiloM6rC2K6tKdrJcCXX7d7crbJvD+NK1uHURcCUoiVo5gRDASkk4CgJKQGEM + cZgnOs831wOkyiqklbVahmkgDSwhiHnGMwYD712HgmETUAYTaBrc5YcGZHNDoSqkhAIqDJkWbFmm + jccwWcLUkuiwtvfVXk/ZKMP7pAiKMATpTorrpFMU7ugpHkiZJbIQy5BsDC3k5qlNszZun8GWg/X4 + cCmHy1RZKkslsRJbeWwfABYeFuXpyiipcgFVkLAqAUERKwchkCgtX/qTCuWx2FgtGJbRfN2yRcc7 + qAhpGPJnKJdCOnpgo7AoSWVZtppWgpKCRAYzhjIdZ2wQ7AIFkgQl4Vq5Ctuco6ncLt0TSa0ese7S + JmUn8NICWHaBK9k9l+yGLggCEgRgmC9n7RIrA2F8eLC5XRbiTzq2Jyxgm/SlAIk9IJlVeSUIiQxN + RCANQLCboA6eGTZJIAqibrkE9uCRCZVP0pJ/nJBS+7Ztf/d3f+chD/kJEQAIHHLZ8XQImypgVhwb + Gxtt28KnVzmO4ziO4ziO4ziO4zi3e/buO6VClVCkhhRT24K5SKXAShAomDgEBM6QRd+ltlHCX737 + 3f/+P/z05V/7GoWgQNflnfY0F9VLvnjZw3/mP/7Vu/8610IxzNfWY9P0JQsUHCiwElWVDCmQ2ere + 1La5VpPdaVMTiGs+gknwjhCRjf1rTzr78aefehqBZrOZqbcsddZvvlCxUXb9pec/r/S5lgJRxsFG + W4/E5Pi7jRDCbDbjaFmBRdevbcz3zxfK1K7sDbNGQEVUGBwiN4li4Bje8pa3/NRDH3rpV758zDs/ + a62Bg6kcMfhr3/zaj/27H//jN77x29+5Zs++fRqYY6qqXS6rp50aUxNSpBiFUURyLb1KgcSmCSkJ + VAldyTlnImqaRpe1c46YY0A/X8ya9mlPPeeB970fAUyoWQ5jSn1MOIzbtKpKrQQQdO9sJQAveP7z + X/fa18xWWpP4ufHGG3POdlF27n5+CAgAcimiSgEhsZQKRRND6fo28AqFADz0Jx/ylje9+bf/639N + IaaUci2hbZRImVb3nfLhf/7nn3nEf/rEZz6tQDZzbABASmnZhHtbkmXc+Ctf/eozfuGZ6/M5mGZ7 + 9sbUxCb1uazPN5RwoLaRlfNNl+tDOFsPw76BEbhCOYbYJCUqIit796a2BVNVAfMnP/2p3/iN31iO + QgEOZ09+eGKMluAY2dT9lOhlr3jlc5//vIsuubRdWVlbzHOtcdZS4Nik1DQxxpQSM5dSTJkIQNd1 + qW3mfffRj3/8rJ/7uauvuUaAGG93Q8zT0O9QfnRc28i9KAOP+9nHru7ZwyCt7mztOI7jOI7jOI7j + OI7jOI7jOI7jOI7jOI7jOI7jOMcAIooxmrO1+VYuFotDhRZn4F+AD33xkrq6p6+lMMKsRc6AwLR9 + AFLT9QIGwZPjez63bUwiaQgyHdTSCAhC0fIcAJsEjYKUlSFxUWltb/uBSy+8BtgAKEQFKxi0Gahp + Im7Qwda01jrZWC4WiyPaWDrHClVNKdkkCMv2UooZFdda+7435ZPjdkXMqHWyYp3Mto/P0Z2dYhMu + Jvdxs7KOMVqJ6vveKrUZFTMzja7GRAQwtJQvfvaaL3+2pR61SgETAEmBRLTozQ+8d249DioCOXyk + ygwlRM312q9fe8FfIwhUTI/QFgam+z1RWG5buq6zyq6qZti8bG/s+ksTlicxxq7r7AUAESmlLBYL + 2yaEYH7zVhlPCtq2XVlZsTJgE2fsCeHw3yJ/YnAcx3Ecx3Ecx3Ecx3Ecx3Ec5zaAjYvY0AjRkUdA + bBvb7GjGVBzHOXp2Wh8NC37yyribuRlXx8ejnd2DtUtWJo+maVre4HbyqKCqIYTD5YzlgTKGPKwE + fPCD593rnt/F7PX95IJJ2WxEhc3l11xFseQJTQCPvo8Ig5spQAolGe1LJ4PqQYWYlnW3FaDNYDkz + ix1eb/eIFZCS0pKv7Wgry+MhBBDlSioMicIkozM3IpR1rKQyON2OCsbDCwXMXzmSBFYGhFBBxfyP + LXUCVDLby53ZTAoxUJg6ACQtwOZbCRQAilbUJLkJyiAhVdCucrIUQBiQIemHXGPT5Re0+UUd/T4B + 5fFMo4m6A8qbwsW3QtJpSE/UogQBCwshk3JT2iAM6oVUyOyKqxIKpR07l99qDDWGJAhotNwWYgWT + hiAcBxVxEdY+CEgOpfR9oiDdrM48lQTALvpoR63TO8O3lvZg9V2WPtl0qh5saK21WTa6no6OpaOz + EIYWCwDZYc3gXKaDTtPGoPcAACAASURBVK7VpIMt8bhbBaAsMrYhQpsbj0c5aQ2Yby5kGQtg02J8 + amN1eTMoBeVYrXEWYQiZB/DmZkHMNJhJESRWlhKkLl1cYFuebzLYDO+qwr+ZHhYAJGaHrFuL/VjC + OCjF0gyzgVgqDZmppLJUqi2LMBRvsT+6vdYQzHEczBIAkHINOaf1yjVIYImhJjNyHi/EcB9nBSkN + 9Y5O6okGDA2wWz9NjxDWfrLS8GwxQapBBSRLRXqzsC1VbBqfa4RRpueZrYeepogxMDQjSipUlGTL + vVLHpylLHgmhjs9dDE04RIF3ACBE+4Vyw/U3PetZz/70pz/PDFXYz44ls/CJI7fPIYRSinly2PwB + x3FuPXbaD+McEe8/N47cf+UcHdtq6EmXpcuNzDZuh/26juPcntnW0NEgPAAAdcuPYmZAICBSDD+r + InDppz9997ucgR32hzuO4zi3DZi51sox9KWA2X4XpJS0igmZhRBCbIQw7xbz+fxd7/zLt73j7V+4 + 5GIFiKio7t2zd//G+vj8PY0eHgQd++5o3NRuWD/4A/d50tlP+NlHP/pud7tbNXkUhFy6moVIQ4rM + vOh7VeVAAdRwkFK1L7PZLEs9jGjLgVhXrX3F1tPwhUDbZrZ/sfF//P7r/9vv/e7o6Gt3VNn2qyMA + TQj3+b7v/cf/7++Ra2KOoCrW4b/j9LAuDbgTAISYuq4LIRQV+/1bq872tBuLBQdipVoLczBJqdzX + q7599bvf/e43veXN37n22o2ur5AQUy47ths/MO065MLw8LDStF3fBTCAWWq6vPjuM898ylPOefzj + zzrjjDNDoEhxvlhPseWAQBEQVVKtRIFIixQi0iophAi2MlZKCSlalADGHF++UpMX8vAJQVRDk8D0 + vvPPf9q5z0Dged+DUeXQ5Q8H9PHfDA6RQWEoJohEqvrLL/jF//Jb/3mxMU91OMFT73THG2644aWv + ePmb3/4OBKrVfoofkCobVD/qEIKYUskZADFrFQJWmtT3OQCnn7rvGT9/7jPPPfdudz0j575NaT6f + x6YVaIwx13rJJZf9yX9/4zv/8p0VYOYsEjhQCH3uLUUppZI3Fco2KwoQOahUAG2MpZQH3Pd+r3nN + a/7dv/3xJkSpuW1XVGvOuY3JZHp4a13D0kifjJVxS8URndSRAAi0iPQl79t36o033hhC2LO6etVV + V7/1z/7H77/+9RvdoqrqODZwyy4yN03T9/0wsgwwsapEQIGfedjDn//c5zzwAQ9IKZlmEyOYMpSI + kGhKCUApZe/q6sZi/p6/O+85z3vuQups1q4vupOsw+VYMF3SYYhsJBGgagFJF/zdefe/7/1S3CKJ + 5TiO4+xCpvu43bIroTDd43vutT7vBcDQSz8+Eh2Ck24AwnEcx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ec + 52RERAAwc9M0OeeUUs6ZmUUOEtfRAqcB3we84TGPu8v+/ftm4L6LwqhCwWSyhKCwkFImJSaff3RM + MakZIbAiCCCESZ5tlG/KUYUkKKIwtL0W+EyLV7z//C8D6w1qBihB6mA6rmgUAPoxnieEwMzmYQnA + pgz4lNvjiYiIiGmbWM5blbTqaeayYxjzrYsd0eZlp5TMXdsju3Yzfd83TWN+5Kq6WCxms5nN9CEi + mxBkW6bIUoQJohAipQhE8J7TfuxRP/Gs376huXOuFAKhdKmdrfdCkcPh5184tzLj/ZSxzc1aDzIv + iQBIrSoxpdN1/yff+lvfvuBtqOsIGSWPU4PFFJSKqfAxi5S2bc2eeWVlZT6fq+r0PGAB/GbPfKud + 5UmJNYyTIJVVQIwKJyZaBWBbHTwpsHuQpZmIjnj1XSHIcRzHcRzHcRzHcRzHcRzHcZzbAm5r7Ti7 + B7e1vq3ittbOSY3bWh8Rt7W+PcGjDa2AitKk9IvB63e7DeSBtoFbDDixRSN41GAmGY9i2sQ07Q1q + MVtmQ0tCKGymkRVUxu9isqvclD6kCggrgjIJKTHAg3fy4KaM0QF0tJ4lIVU7ihIEkSUBTCo8HE5I + p0RzJdvPDsLohQCNyoWQAWFNUCYM+xewIgmigtVsvmmIKj/6Q9zaHNEm1iLgl1zMB2F6VhlyeOvp + iAXM01QAbt2TFTLnYwFJJSgpqLBwU1pSZhShag67rCIERZKDeGGeKNg0yi0zzTtcEUkDSwzCrMIo + QlJCLQwl4V1ma73VbJiX/O4xesaP5tJbTOsBDE7eS9+1SNupattGRZc03A8sTAyMvrAsBCGWYaLG + JHJvSRJWTFWPFSCL5I3Dbs2KeLTi3kwSeCnNcohU3GYJk+n44Nksy5YP2OoowMpBIimURUiUhmbW + 2knWQBJZw/QV5VpCqVvNh082W2u2cmRu6Ev5o9gq008AS4g1kTIgSqq0xXBaSc2SAZtu8eaQvcUV + 3nKKlKHEGkkZGlgZYKGS04ZwH8QuBFkLMx7IvoigYAULAyhhuyHHScVBbK11qP1MwKZjNFlTs9Q6 + bT42DLuS0bjenhlYIaTKBUvmIlialMH2oAJgNK5Wlsp5sGHAkPmDlYsCJDy0hXXypVAEt7U+DF2p + KysrNjNqfW3+1Keec/HFX5w+PXpba5uTU0qxOV0A3CPBcY4Pbmt9zPH+c8NtrY8VbmvtOI5z28Bt + rR3HcZybTa6llHLZl74UUgSHInWxWLRtW3PJOW9sbNxwww1X/MtVl1522Wcv/PzXvvY1U7Oy3rau + FI5citCmzNnQ4XYI89/New0zUowkWkplIBKryv3ue78HPOABd7nLXe5xj3vc+c533rd6SmwSgKol + xhhCCETzjY173OWMe575Xd3GvG3borJTG+lp+2XLZBPqamcrN83Xr7tp/w/98A+v9V1q2q7P21Ju + Z9cyi8gb/+APnnL22f3GfCU2BOScNfCO0hPGHcvSsIsSahFOcW1t7eJLL5ntWSWirutCijGlXLr5 + +sb+/fvX1tauuurqiy666LLLLvvq5ZcvukVIaZEzEzez2fp8I8ZoUik7yJ8D3tHxfRqdrZvUlNxj + dCWf+O7vuscDH/jA+933vmecccad7nSnlFKbmknGxX6+ldLf9Yy73Ose98xdz6IMYmYliA0jAjgK + W2sAYFp0HQKv7jvl11/16v/rj94gADP1oreirfVB9zwe0GzaA/CaV77iV3/lRRtraynEU1ZW1tbW + VlZW1hbzlb17X/261/6ff/THZUrLgbbWdvZHbWsNgANJ0cA4Zc/etbX1lsMD//f7P+9Zz37Ywx52 + yt7V2mcO1Lbt9ddff/od73jZV77ypa98+TOf+cwHPvCBC79wcYUKkELoa6VAKlRVZrNZCGF9fZ2Z + RQ5M45C2yIEDaq52vQJwz3vc8yEPfvCP/ciPnnnmmaeddtrdz7jbyqwBkDiEEGgYNNs+xndQW+vI + wUTZBNq27U1ra1f8y5WhSd+59robb7zx6quv/vCHP/yev/lbEelVlsfPbnGnxmaJDoG0ikIDCNAw + dj6cvu/UBzzgBx/0oB/+nu/5nrvf7cw7nX6HO9zxf9m3esrq6ur+G25smibN2rWN9be94x2/+Z// + y43zDYtZma2szOfzW5q6k5vNu0OkYeD+/t/3A+e//7yV1FifFNi7bhzHcXYvbmvtOI7jOI7jOI7j + OI7jOI7jOI7jOI7jOI5zclFrFZGmaQ5laG0EoAHuDLz4Pvc96/u//7S1m9puESKRAhoAVgKJQEym + BJWIdYuIinNLUIKQjiHTFCq2ao+IKdrkIAI0ItAktOc7+0757Y988F1XX/uvhJqAYqomAojFNicA + QAYrwIypAJiBJQDzOz/OJ3v7xGqi5bb5E5uHaAhhuWLaROnD19Zjhc0yiDGa2TZcemV3Y7VVVdfX + 11dXV5ffnOaTmm+xze8gAikKoBQQIoSx+l0/+dI/3vO9P7qWg3Ci2putNSfm6rbWJ5JD2Vof6h7L + pFJzGyhcfdmHXvcUzK9Av47AmGyVVSIEQAUUHCPblKKmaWyCRtu2+/fvtxJFRDlnEWnbtu/7GKO3 + A8byZO2cs7XYqlpKscaciEIIMUbzCz9ZmEy4V1ZW9u/fb/emybH7UBzuM8dxHMdxHMdxHMdxHMdx + HMdxHMdxHMdxHMdxbksQhAflboGymKuieVrLEF4WVACzXlYlFAqTn6i5ww7Sw1u8Hs24kZWkchXI + JEy8ZC1Jm2rF5p2kzKPFI+m4mY5+yCZ3OFneajRb6EwFAYP/9HDoKVJSJx9us9MdjFRZMhXhUogB + YShQQJWFgjRBKCgEYOI6WOIefaQvQxMkAREkZm/JEFIGcQBMhVepyGBQinDUuz4+0JE8nu26T9du + yVyWSZg3AyWHEwRhdBgddnDsE73EKPvOlcxW1hLAhZmUgKjEJZTKDDApYgXvGltiUsAWErUpAiqk + YOWgYBWGgASUQQIa9NpPdKo3ERLhKiwsbGa9pMw6mvLScGpCqoOrO4vORt9i8+sV2SLRzmPTYtdL + QIWW5E0PELUmWmpVwqB6beaxUyE0t/slw22lSgAIrIo87mmwtd4U11ahYf6G7WB7KPDtiKFqyzjH + iGiz2QfAU/uQN9vfzZLKQzlnRagUhQAqyhmoo2e51VZzibZ8Fmz1SN9VhtZbUMaY2snT2k5neUaW + Wb6XkJfyDQxQjeNuBMqjxTVsh9A43OysKYAulephx8MdBxQUnGegJFSUtHJVqlAGCBpJAk93KFSl + MqbrJEZIx7u/ZdEgpAx7oFlysSYICKwMpeE2AbNs33QQH7zJVdTuakqhtpv6y6SA6HghBGBUAGQR + 6cpQIQhDBjdrtQK85YKyMpTNkNnc4o9LPp2s7Nu756abbprNZgCtnrLnzW9+0xOf+KSvf/2bMjxr + WKN95DJcx2kYtVZzts45N03jDpeO4ziO4ziO4ziO4zjO7RYlNLOVz3zi42c9/vHrfW89rXU06J36 + tomIY8hVq1T7qNYqQOCQS22aps/9NvPbQ/Tlb1r2iqLrC4AUYq2FA5WCz1580WcvvohATFy1wjpx + CWXqSwci8KvPf/4rX/brK21780552wujX3SzPSs55xTiXe98l7Of8IS3vv1tXd+NfY9jyjdPUO71 + XXd/7GMe0y8WtVYJRaswU8UOsdzY0sNJUMR2tui6vzv/A7/2khdv9B2BKhRbM5aBwFFEBIOzb5+L + AFWR54uVPavzjY2d9v/rIQZPFWhnbc651pprUYBAqWly3wUOqioql3/rW1/91jff9TfvwWiDzcQm + sCUqCmWgDfwLz3zGq1/xyr0re6hKKYWIQwhSj95+WwHkLp96pzvd9J3v9Ivuta965cc/+pFPfe5z + RZQOWfYAHMRG+lA23oeGbRh327sB2NPEQPz63/v9sx772G6+cdq+fTXnruvats0527rvexq04Q6f + yK1qdIdOHDO0aCKooCzmT3/SE5/zrGff7z73CQKQ5r4zaaHFYnHaaaf90I/88MVfvdyKaGASKBGq + otYaQ6w6DDktusX/z96dRkt2Xfdh/+99zrm3qt7riY2ZIMAB4AhIpEhwkk2FlEjapDVQnCxRo22G + 1ODYK5GStZK1Ist2IstfEttaiWyvZMUSRVmWYsm0KXGSTAaUCFIgOMAEQYAEiLGBHvGmqnvvOXvv + fDhV1a9HoAF24wHYvw+Fxns13LpT1btnn/0HQBxrk7KTX7N2zWMuImTExMW0jSEX+da993z7dz78 + f3/ot+sorwHv/5m/9Wv/+H9pm1j6wWow+vHw8loBcvr9M+ccQmjHo5zzxtbWhz78O7/yj/5hV9SA + 8Wg07ToAMYZch3FAhhNDMumMz/woiGBGzKYqYkRsZlIXlRimBju0vvapGz/7qRs/S4uTUkPhx97z + 3l/7X//xnn17u65b39z4rQ996Ff+0a92RTmFIQsI3dA//qV66qLTb2U1raUy73znO8dNG0PI/cDM + 9sRzyZ1zzjnnnHPOOeecc84555xzzjnnnHPOOQcAqCmMwDzSuGbomp1cqidAB0yBj9z2tRtedM1K + oRVuBJki8wDMy7m5NjsyoPYPurBv5ZmEeF5IvK1c2eaR4iBlIV4L4etrRz/98JEtQCJgQJPQz8vY + a4GrnqEouu4Ay2BOdwEsj0QAy+jQUoqIjEajvu/rb2s7lAuQaV0XqS4DgNpuxbNsd6x6tNZZCTXT + 2sxEJKU0DAMR1az6vu/H4/FsNlOADUwIhjKP5hWbHvnyjR/9K5c/N40v7wFwEAOR99rZKR5LoHUl + ikkKcXrwL//sD7F5CGU9kZYCQ8R8c7Id79OmpWiNsa+Z1sy8vr6eUlLVGm9cPwtKKU3TnPoN4Rmr + ToOqR0hNsM45xxjrOVxE6m/rebs2sHqSl/ixqecNALPZLIQQQpjNZuPx+OyP8lhr55xzzjnnnHPO + Oeecc84555xzzjnnnlm217QRYMtQUptnvWJe7KwyD+lk1DbLJItwTQVs8Q/d9oQqvEwqnafb0iLW + GgDP4x5rZi0dT8CtcbYWahgkMG93S9DF/QnGBq2plEANoladP+fiBerPl2HYYICUNKfpEAchNlKQ + 1DTEIKkddjWlhdS7nfOaZIPOH0c1JpwJasx0/L0zqxqDCi3eGO2kWr6zh8XqPEr51HbfJzxHve+i + xB01xhjz6HTl89apmRavLdvjb5XJoKRsYb7wxqTHO5rvqPUfDACEFmm1VPf/eRKqUgaK8bKEcefW + BRtpDbZX8AnB4TaPl60p4/MzwDyxWDA/w6hRjbg+Mc+YBDQAsniJU1+2hgfXuRnMxlGZjGC8uPNi + 39u+E5JhEcxQo9BPPQpo+XjDIhAXCtAiIeAZYpm8a6R6wpHDZAyrwfbAsrp5Ee1M843IABtp3ZmN + spIqq5IYFzJEaYIGVgAcnpqZ4cbzOGSbrygjo7pPBj3h1MekwgIIGTGYtu2T89hjLkaipEbFyJQg + 9Xyw+KgF6XJtAyCLbEwayJiNyUKQhozBrIvc6xonX/PmK6X62W3zwOyncCd9necjLL5yLN/jiYHi + Nl972L7CVUmWZwAj1flXl1K/JACABdYRa6gf7KzLUxnYYPWzHjA2M1qGvs+PCyMlBNMaYD2PPDco + MYPJSBdp6DhDUogDMJ1OV1ZW6iyazVm3e8/qv/23v/sjP/KOBx88+Dierc64qJNzVldX+773qTbO + Oeecc84555xz7pmsz8PKrl1bwxAYgyIEmCAv4loBGKBmluepw8YQRWAE5lIEhCEPj++lU9vkYchS + xuO2m/UAUky5CBObzbt6MLGRGkAMNQSFAqltRqNR6QYiAj+e63unDkQ0TaNFCAghwPCBD3zg3/zO + hyIhnzQaRCADA6J4//vfTwQQr65OZtNpyzGEIOc4fKSLtWyLi/kwKIHMQpM4hunQ66IryjwYmGAG + AoqhaFkuFwVWEQpsChDNZtMTwsmfmBqNXJuwEJESiKgbeiJSW3a4m78YEZHBYDCZj8nWnGtYLwqi + 0cok9zkQNU0DoOu6kE5odUI1qZjmt6dq23btoYd37dm9ubVFMXzot37rbW9721333y/Lpbgw131t + PkgdgX179v7W//NvXv2qV21tbOzZtaufzRhEhpzzysrKVt9x7QyIs2Zan/L8x9/Icuxj249JwcB1 + L37R3/qZn33Xj75z/7593cZWUEjJKysrJmqiYDaiPmeBCYBAImaYJ0KHEESlqNREYQ5BRVLT5KHU + cofTLhcRGYECS5EQqCsSA4mYmAQQmEWVYGBSwta0S5FPGoTdPix76iaunX2m06kCu/fuSaO2L8oB + WbDRdQCI0Bex+do48amf0KY3bpIOGUANtwYAjgQtumj2t2j+VV+nIR5M+pInqyvTbjYMw559+z7z + 5zd2RQUoWcA0bwFI9J06Hp8GGMywt7/97bWxGjPPTxvOOeecc84555xzzjnnnHPOOeecc84555z7 + TiCiUoqZNU2Tc14UM9KpuZVG6Ai3K2564L6XXvI8bKxzjEUyU4Bi3qCDAKjSvMR7J3c9eqqx2sUI + ILYaRwug1sjqsknTvFeMIYd4dNR87C9vPgJs1ntyQM4ICaKw+baRRb0xLXKLa58NM8s5LzNNn6S3 + /MxS138tl2XmuuaZ2cy6rquHpKpesGBUIqpxyGa1F54CWAa4up0mxlhP43Ub1eO3TuuoP6x3ADCb + zQBQDFokzScfqJUME3DZ+Nwn9Ad+qLn8WT03RmE+oUH8XP5UQoBq4WG60h1e+/RHYFsJSoZEGEyB + ADBIaourefPHbdHL9VRTdxtmrvtSTUyPMQ7DUGf3OCxOiXXV1cMthFBPkmZWV2adBzEajUopT/Li + PmbDMIQQ6sdQKSXGOB6PH/X877HWzjnnnHPOOeecc84555xzzjnnnHPu8aidW808kG+HWtaULytZ + iYg4DqIpJZGsWtomqiqkDxwBUwMRgdksFFGiEDiqCpkRQ6hkDBqyxsGibPZrM9na6tfXu7XN2cZ0 + 2Mw5Z821mhmiy1cPFIloZbzaNKPxeDIZr66MVkajUduOVzEZb45HZcSxhSVTMmWpCdYFKQViEhGz + EkIIBLHCpGLFTIiJiYkANVVljlS7mwOwGhbMCjQpTPHIYbnnz27++Iw6JAH3zBQQy0Z6yyvfcenk + OWkW2VKxEEKA6dmTnk+kTDMFVLUdj6bTraZppVhAIISagglbREPXg8XO5enPvzMtjimZGQzb688M + ZraofDcYqQIGYUOIrGYKM665nyYgMo0I53X5iUxgNTSUDFGJEcjApmRKxgxVVQOkbg3EnbP+yYBl + uCnV4G0GqYLEcgwcohQZjJRCgtL2WNadgI2jBhgZJIsIJMaIYGJmZgxmBNLAYqwcKFJAoVy0VwIx + lEmsKAuiFiqFeo2DcR6sm/YbGxuPbHbra9M1kdznLuecc1ZVxeLEEkIT25TSaDSZTCark9VdafWK + 9rJW2xBaQjSNpomUIYGIGGAQg8gUJmxqTEppEDGrVaRBROoza5F5cjCwyJ2tOcE7axOcb7bYOY9n + EgAwjrGRXqEUYgxEasVUmYkp5jJwgLEVNUOwyMKKJs9k7ZHpA8e6Q9k6TlEoUhf326Uvec7LHjm6 + vmu0aoMxkEIYSlmUv9c1X9c5ky2WZ4cxUj0x0zpxkqwkCDWrQFWhnMjIVAsoUAikKGIaJMSYtUMU + 4SyYaRwolmL9oN1mPxskD0OXc1YrIYQmxBBSE0ajNBqPVhOPIVyyBGrGPEnTnKQBTBVkDYdkBlUN + TGoKFLAVKgpB4EiM8hTfoamgplmTArYIrGdeZHUTEZmaCYiJCBQHLQalRMSlp5nQgGQD9RJK1m6z + 29jY2uhLR0QxxhTbSRqvtLtHYZS0DUOI0kZLJok0GJESiVkNGyeiBomNTVUVAUSBjTRr4VCXj8ig + YAZTjdsm81jrs+AAtVKnQzSBmeNkZfQHf/D77373ex544GEAKYWcpUnNkAseLaWlzgdY3taC++Xk + gTrdCz7t6plt+yTMuifUn2z/e6pO1PH9xDnndoJ6TRKLk3Y9bwOoJ3MRqR/upZSU0pO7qM4555xz + zu1MRDTtZhxCL6JAWbRCqv+lE0YFAEANIIgu+mSd/HSnXOo0PtNv8zDUZ551ff3JUDLAYjpPb4bK + vEkXzEAEY6gii4oaxQB5HFdWl2ndS/WPiDQMA8dAZqL6wmte8MNv/xt/9NH/BICbpCKoo6XM9UX3 + 7F5573vfG9smT7vBNMYoojA6174+J63eJWaezqYcAjOrmtRSDAZs/pgTGsoRDAYVgBclG3biCMsT + paYgFCkgiMryJ4bjo2jb3tTJ7e7q3QxgAhHNZrPVdqylFJVAXP9wO0eWUuy6LqVkZvv3PevDH/qd + d7z7XfcfOiQEs/kOw5GlaExBxMxOXa7TOHX/wAkt/LTehZhNlICVphmG4bWvvuFf/ea/vPTii8ow + 7F5dLUM2KbFpVBBC6PseJ152JhAHFhVijhxyzvU39Rrk/D8BJjpPnhZZruMACMBAiuGdP/iDP/Hj + 7/srr38d1MhM+j4xRyIQz7amqW36PGSR2KTpdFqHIkwNND+QgfnWXMYJqwiWx6adcXeud1MREEQN + hCLzZxCCqMwbIZmVUlIIgCmdPBSlZz1WzCymVFRns1kuJTAGxXKc0hbh5XamhXycI4msOWO+LXT5 + D8Px051hHjFvgBF61QTEJm1tba2urqpqn4cyDw2vx6yBgMDIBbRzyhMuIJrXxix/EDhA7ZWvfOU1 + 11zTd9OGQ2QupVB4ag9XOuecc865s1v+TVR7YopIba17AV761L6rdRkuwEs755xzzjnnnHPOOeec + c84559yTIuccQqhxp/Xfy8TcGm+8LGo1M1gsbGuQP/zCLe/84WtXQ5P7zXYyQjdv+VLbZZnPqj8P + 6koNBhiMoAQQuFaCM1sZKAQQBxEoENImh7s1f/LAg1uA1apiq4+UWj8easHwKSXRx8uYFxNyL+wb + fabLOY9GIwCllBor+2QtSc1krW21an+V5TRttzNt3zrLmfJ1+vzJDU8IKgSgLBLuzQwUoQXd0a/d + +NHrf+T53IwotV2WpgmlwLf8znHCDKE610OMiJqEvpeUguQyitTm6Rf+5MPoHoLmEACp8xVqmLXC + yMAKXU7oqE9bvwPU/6sHft2X2ratr+iZ1tvVw2r7RKfatqIeccs2RPUr1qL7rtWH1LNrbUlUW17s + HDWru94+9to5r7FzzjnnnHPOOeecc84555xzzjnnnHPu6WkZw8bM83Br0tg0fcmjtmGL062NJsRR + M5pOpxyDkimbBTM2YRErRftmtd2crh1dO3ps4+iRjYOHHnn44LGHjs0Ob/ZrOQyFBuGiLMLF2IiI + lKiGIkPYmOYVWPOAZzOCEnNMKbXNeBevXLvnmktXLr30oiv2779k9+SiSBPWxJKaMCo5k4XYBIiW + PKhSCPOHc0gAICVrjswpxHk5V+0TTApjJTC4y4O08tDmfV+596Y1OaZNNsxyzjGMJ+Xia6/6rl1X + PGt32B2MxebRjlf+EwAAIABJREFUyI8dQdksEg1qeehTQ8ZZSDmMTQhEZAARyIjI5hXeO6uuczkD + 4SSBIpho3iF90VydKEYoDGoKC2QAaoBoFjVTBdiYmANzqJnZZ29N/cQYIFhUTxKRMRuCRpASCZGR + GRkHBFjNxIXQeV2iczMPYSUDmxHNO1ybwTS0XKRXyhYUIBgFbWAEkp0Tg0rGVMjMKPAoRQMGGdQ0 + BFI1iqxipfQJqWkaM5oOm6XZ4l0Q01k/pUTNalifrR84fP+DRx44tnXo4aMPHnrkoc1ubdDekDUI + p6hQM1PUOAMlBCUNmOePqqqqMYg5jq2d5GZ3s3vvnov277v04mddcdlFz7lk72V7dj1Le4raUGEq + FCw0HEhJixkhhRYEFAUoWlTVLHlRdKvLRGdlhbGRnpDE8EywWANsilq+bshdTtwycxlKMYmBmAmA + loFRAOLIBC085KQDzR44ctctX/+Lr97x+U09FhIGy2q0avu//5ofvGLfFTGmEIKQmJAayGy+qrcv + xc6bGjNPJCYFaf1wWxq2+ja1MSZVVdV6Qszam0qMLKZ9mYEILQlLZ12zh49tHnn42H0Hjz7w8CP3 + HT720NrmkVm/1WsR1Jh4qcXNgQjGgRJTHDcrq5Pde1afdcn+Sy+77IpLd11+6fjyVsfBGkiDEkks + IUXiMgzNKIhKMWlHTRGe9kMhHVH7pK2+7wxd7hlkhPnnESduc84KC4GFICYw4yZM+2m7kjRYV9Yy + T2e6ce/Bu7/9wJ3ffujujdkja7NHeskcA8UgqkWGUZPIjC2txNWLdl189SXPe+7l116y94q9o/3J + xiQJJRBi4MgcUdANOYXQUEMwMmMlJY3E9XOq5nwQoACDybBzTuY71va4EWaMx+MQwr/+1//q53/+ + F+655/6cBcCQB4CZWOzc1mfTNLPZLMa4zLT23rXPZMuY86qecpk55xxjXEZcL6eaPImL6pxzDkA9 + LderGbX9vao2TTMMAzMvO9Sf2qreOeecc845txSbJrVNXowSEqFmz84vyM3DkWnbpe/tMcaPfnmE + Fvcx4Gw9bxa/Wb7S8del+SuaQgwBAJMSYJpSLHr68c1zNQxDSolj2NjYiKPRuG1/8n0/8R8/+p+Q + Qs4ZBo5EFCWXunz/9d/+OysrKyLCzBQYasWUOZ7j5ckT1LeuBCOICMdgZkXVlheRa1Zzdfp1uVjf + tO0nAC3Gvh/19jTP+Bjuc9oFOd07NDUAmLQjZl6MmJuZLfcTfmwjQWbWNI3WfkzMWsqLrrn293/v + 997xnvceOHyorrEYOGeNkUte7CS15ZbaozYCSynlnLHoRDP/wzNEMTVVGEy0XkQehuE9P/qOX/2V + f3D1c56zub4eYpRciCjFWrRw+sFEgwXm+mxZFAARVAHoaLTSzWYAyMDEZqYiASAgEIkZgOddeeX7 + 3ve+n/7J9128Z9+oacvQm+hoNJJc8pATh3rpctkEx8xCk7qhBy3C0Wl5+8Qvcm47J9TocpmnmJtZ + ahuGoZzmOD1zavZ8r6j/rr3SitZzyCmPOcNZ5bHv8yfs2/O2izj59gxLKmoBKPN32tZeRfNGP5iP + poIIZshlubSPb9meiren3VRkWvfMn/3ZnxXNzAyiPg9tas51fMc555xzzj211HqM+kdWbZK+/Mvr + fKsv2vd97Ryqqssmv84555xzzjnnnHPOOeecc84597QUQlhOsayT4tu2FRERKaVgUYs7DEOMTSna + iUXGvYqb7r77rZdf0VpjQ6nlqAYGMM/LXFRHuu8YoxPDTGFUW5HANHOToCZ9H1b25s2tNBlP2/Qf + bv7CAWAGiIIMpgCMmMy2bR0CCCYgQEVijDnnWp1bO2nUqld3AUyn08lkMhqNZrPZaDRqmmY+B4Go + Bl3XaooztX37jqsHfgihlk/U04K3znjKCSHUPapt277vaykOjEGoccbbyrIJUOjm/Z/9k1f8tR8n + jCmmEELOFgJh53XxcgDMoGohEAE5G8MCIbDZdD0Mhw7c+FHIGjOKIAKBwAaxOhWHgGAAbetkVXeP + tm3NrOu68Xj8JL61p7TlebI2uNiedV1/XvPmseiF+GQt55mEEEop9cvAcmd41PO/t99yzjnnnHPO + Oeecc84555xzzjnnnHPumcJMjHrm0k1ngXhltMIIqjaa7BqsR5ISuxlvbtHGI93hB4/e9/DR+795 + /+1b3fr61vpQegSioArJVGyXgs3IjE0IRmpmZAgIBMAYpLzIfyUjZoaxmZlAFTMDD+EY87cP3A6l + cDtaHu1b3X/VJS+45oqXXr73qiv3XUMaQj+e2KRF24QmUQghbnUlNslEhtwx0sp4QqZD34cQAShQ + e2ErwUgVIFBKaZa31vMj0zgVzrHJJcpQeuayVQqFEZcWEs1qV2t+7EmTbByLNCElGmXNAw29DAaK + sRExAhMpmyoAm+ee0imtfJ9cMYbtLbzNrDbaLiLGVLdg/T0REWTIHaBsTBRAgYjYIgAmcGBCEJHS + FzPjAHA4KZv2O4xUTQEFAcZsHK0xC0DpuTMqZA0rgkZYEINCjTJ2TGdkNTZjQMVUqagxDKwKaGxT + ySXzwMzWh6ih0VUtJs30/K7Sc8TgQEFNpReFBY5sqqqRw9D1zNyOG8DW+2NmhIlsjTeGtLUxPXbP + oW/eec/XHzh413p3dLApRcnUZRMjWEsWAhGBqU6MISIjI3Bthm2MoSjVHvSAKshAFDJtHkvDAeYw + C9ii8G1uabKadq2EXS+88qXPvexFL7jsJXsnFyOPhhzJWiaCSiAOYDWFUqAYU+SW+35mVFPadX4y + IQUp2zOrs6HWnY1qpvUiUcB41LR5louibVNMqUgv0hGDTNoUs9lmt6UT1ZX+tge/eNNtn7nj3lu3 + bFoa5TZQtKFsgXLMdmjzANjqRAsRicYwCyHI4qRUG6DvXLQtVQIgqyH1vLpr12yrm3ZdSiEkFuRi + mQM12gTiDr3wQBMtbb7v2D3fPHDHV+744lp/eG04lm0q1GedqRZEJm7qgcAMQGvEr5mZKBEFBO4C + ZswHKdyREo0unlxx9UXXvPS53/WCS164i/bzLHFp2zAyoMigKhS49CqCCcZt0w7D8BROVqaaaV33 + TGYDwKQRoL4ocwJpZ8VgnIIxd2HQS+ywHDl09IFv3nvbnff+l4eO3D21dYsdj9DRrG+LETiOwEGN + teTNMo1kIcY1ogPTb936rZv5zibp6MqLnnfd817+3c+/4dJdV6ZurD2HwgxuJqNSSp8tggjzJAqw + EQNUABgzdD4HYId9F9iRjLH4GqmqpRRmHjfty657ya//+j/54Ad/7tixjZoKwQSzc56sVfvkmlmd + G6CqdVaed7B9ZqrzRmq0eZ0xYmZ1J8Fi6kj94iEiHn/unHNPunpCTinFGGezWf1hbbWwbLhQg66X + 8wCdc84555xzJ5lOp7VZRmBoDY6tV4sX1+Rt+/8AJ/6fnSYC9mRymgee+f4GwUm/2RZ+GyMsA4AR + ShHmJ/Q9f3vmcEysVixbSikwDV33xu/7q69/7ev+802fa0bt0PVa6ggpEtOoTT/90z+dUlIpgVkV + kSOoqJ0lqPf0jE7tCGYA1AqgHEBA06SuZFUQw9TO2DmIgOUF0uV9TvrHY7k9zVKecnva6N8z7gPH + 8XwMGrPZjIE2NUS0TDB+7N3Rat8uMxMpbTsJKXRdd/3LXvbH//Ej7/vJn7j9m9+KjFKUACkKIASq + Qcm2aA1DAIH0lMWt26/kXP+hUuOJyWBSByuBUYpDLi0HmPyLf/bP3/vudzdNs7WxMW5bBnVdl0Ik + OmHk/VQ555p5TAAzh0DDUIiom20RMGpHXd8RkAIzBy0iZgH0lje/6Wd+6qff8IY3rK6u9rOuAVvO + JEYgLWJFagg0Ew/DoLCmbbLIMAxp1LZtizqccsL2Ot1RfKbt+Kj3IQDKjEgsogYBdBhyw2F578Ww + CJ924K/+MIWoqnVEILVN/Ys+JfT51Bc980p+7Pv88Vs5469O996ZwcxW1EwY6LpOVSPivNPf/Hyw + WMO2KLZ4nMv21Lw9vp/Mz04EjNtxgL35zW+uLZCIEUI49WB0zjnnnHNPM9uH6kSkDuddgNdl5von + ZC0xNbPalNmHDp1zzjnnnHPOOeecc84555xzT1ez2ayGVi6ji1NKfd8v77C6urq1tTUMQ0op50Jg + Js6mU+CPvnrL97zg+ZdKbrJJ3FZXCQDMZthJnY6eDraXT9KyzlYBtcCl9LEZhxIx7dLKrqOKb063 + /uyB+48BwiBFBBlQFiXQtHxKOl7VnmIaSgZOiN70GOMLZjKZ1LqFZZRs7a3UNE2dx7GsajAzZj7f + Sai1WqNOzc45b18w9xQiInXDHTt2bM+ePXVThhhFDMRmuu3wZgDQLWw8dMunP/r6d/38/VsbPN4d + E12oLHV3zphRisYQGCglN5FNJEi/f4zP/NEfYONByKZxFCAcn6NQc80ZCDADlAGKnIvWhjnHjh0j + ovF47HVTT0TtXFFP4yGEYRiYuU4dIqJlodrOXMOllLrkzExEbdti23fFM/G2Ss4555xzzjnnnHPO + Oeecc84555xzzj09LRtyVQDIqJRhNGqVycwG7qbdVtEy2T/uMazno/cevPvr933tzgdvO7z5UI8t + jX0OU+NsLXQEIhCBAgAUm1dFW82QNhhABqVSC5jrrRnIYIZSEAIiM8eahm1FSSDYBTCCYZo31vrD + 93/7rlvu+twYe/Y2l774Oddf9/xXPHvflWNajRKDMZUmjlZmwxCIxyu7rMhsukVmo/FIVQFlqsnW + imVspJHacHTzYI49jbi3XCAxETGZ2mzWmZGKoDCHSMznmnlMFKb90ANhTzgyHMa4DDKIPkRxxMaM + gZet4EkXVeWPvTv3edempiZWL4QAAtjm4X2sqloEADNHYogkYiCqqZZiJZqCjANiUCYCW4gMJhAZ + mAbT89qKmAxgqsGWBChBGT1N1+jBEmeGCAusDUBGZqSE8iiN1S8gsnlwuHJWql30mSgBGLqp0pAa + aiiJhV10cdOu5Cy8YxYeAEg1QEUJxItaRQKBbbC+acNg/VpZ4zGHXWFt8+j9j9x78xf/4q6H7zh8 + 7EBsqZlgphtD2LQkSkAAMYxhBlGoQhQhAeDa2d4WpxQASCCa10eSYf47JhkL2MhgBVYQdH3DDo10 + dPCu+z5/+41j2nflvue9+Lnfde1zXvqs1UuCNCM0ZQCUIqVAcSh5GAIzJw4KGMn8fVI9q7DaTjp6 + LwQ20u3zWNgAQIbcjEcAujzdmHWpAbcGKyHZZn80rrRpxW6776uf/rOP3XHw1r7Z3AyPhNVkodno + 1kuR1CAwZsNmGodmlHTQIfeJm4AAAYwBmSdqwwBlW6513rExzLQIwiDD+vr6uJ2MRqOh9L3NLJry + MJMciEDASNaHY7fe8aXP3/bn963dI6OupG5mmzlkBOVk9V7EGIbpYo+r+eLzwz/UaFXLAJOSqkIN + FtdnG/fec8+ff+PGvXHfK65+1Wte9vor9141m8VJs0KWgiUUsoJIMVIog5A9HcKVab57MMAAGQEB + iGaR1DSjLyELSc8bX73zlq99+8t33/uNTjcp9h1tZt7iFl1fYgtuYUSdDFKMueGGxytBrRTri5kC + MBBxkGZrff0bf3Hbxz77xy+45CWvfvHrX3bV9bsn+8oUAQ0QmhhTGAWhMvSBuIltZz2MUb+ZUAEi + rDy5K+2pok6Kq1OwRERVmXUY+le/5lW/+Zv/5wc+8MFHHtkMgVTszIkuZ1RnWzFzDcyu35M90/oZ + ruZV14kiRJRSKqXUnbD+qgafq+qjztBwzjl3XtV52qed4Fe/MxBR/XkIwc/bzjnnnHPOnda4bSMH + BqhGDjMItIzjrWMB8+EBIyMjnBLcTKfcbsPbrj4fv6x/Uojy9nhbI2D7BXEDYDYftq0psbWVBqUk + IsxP6Oq2AvVvCSISERgmo3Gfh1JyMxp/4P1/5/+76XOsy5ERIwBq73nnu6684tmSSyACTFUthKZp + cs7MT7RlBwNqAFHiqEUIGIY8X2ECptOsZGA+Fj6PkK1batuafMxObF90hqutepotfmLkbx11OOW2 + Dm6aauSAEKAGQFXNjOpV/XNRe2kB2NzcHI/Hqtp13dXPvvITH/v4Bz/4wT/+1KcikdYccAKs5lkb + Fl1XTM+SEI7AQfR4lyaCBeKUUhl6AJJLy7jhVd/zG//8Xzzv6qtzP/SzGZlRaramW6OmjTGWUs7S + 7i1wKIvnr3/Y1p5QkQOZqerQdwFIIYiIiF520cU/9mPv/fG/+WNXX301VEVKvzWNzFJym5q2iaUU + LcIpktq071JKHIMRlseyiPSzLsxrFU7Zgme6PdVZ76MGU6ihQANgomRIIcDstLti3TVOJSJkiBwK + KxZR6CUjLHe/Mztxvz23vWrbaeZ0t6e8d1PAlAETZRCDKMTFWDfE5s/IBDMkDue7zdxOo7SMtgYv + /wXr+9kPve2vT0YtETgwtlUxOeecc865p7eu62qatZkNw1D/jj7fXwXr9/CmaZi5Fntgp3YOdc45 + 55xzzjnnnHPOOeecc86574jxeNx1Xdu2y3nxwzDU1lGj0ajrus3NzVrsSkSoDVKYoMjAl1FuPvjQ + 9+95ViuFICAj0xNKko2fDt1JdqJ5mxpAubZMC8hZoxlSO2wONonHGnzyi188DAxxXorPgAEMUi11 + INy2VwsDDJRSgONFxbW3ho+bX0h1bS86pfD29V+7nSyDrmvm9HlVO2YMw1ALKoio73vPOH/KWe5U + 4/E45zyfbl8KiAHVkyaSWCErFssDn/wjfcuPtGF/1nEITfZ59zsVEZigpTAjBQqEMvRj6svR+458 + 6g/DCLSJooWZQ8CQ52d9W3Q8A8EMCkiZH+Y552WgtZ//H7dae7bsXJFzbpoGQN/3IYQ6gah+v6o9 + LnbaFIm6bPUTBwAR1Q+Cs58HPNbaOeecc84555xzzjnnnHPOOeecc865p6Flx2QzWxYSMQIpy0Bo + aGYbOc6a59Cx2cHPfutLX/3mlw+uPXRo45DQEMeBVyjLMMjUqISAEMAEEagBAiIEmnchJyIDqFYp + BRSV4z3JCaB5Z+jUAAY1FVMAxOAUEnE3FCOAEUJEy0XLVnlkKmtbOHj/t27909t/f7XZe9WzX/iy + a19x1bOf/6z2stXZxc0wGsR6mSWKza5JMLIimEdZLvoEkwJGkNSwtWWjOxySaWLKwVSMTYeseeg2 + 11toCw0QhRmYzqUsTAjWMFYSGhyUg//yP/wfB7bu6mgzjJIKEYSpI8giz7Kulh1V1kkwJgqBeFEA + nSJFIkohtW07Hq+srKzsWtm9urq6Mtm1GidXrV45xkoMY+gI1CCMW2oTj0tvWUCiEdYGDmSSh9ls + FieT87j0xoxoMFgRGABh6a1bT4d/7bf/+63JkcIQAluovyJolHimbuxPBiJtABgPSoAxWyQNIAsj + FOuyzmjg3XrRa1/0/W9++Y/u3XuJDgi6U3YhIdW29H3PGidpEjUN3QBVToSgYoONuxkd/foDX7v5 + Gzd968A3t2wtTqSzrbJLUHvkMxBjCElghmyqtZd7AGJCihgyatk0Fv36rZ5V5v3F563ozQCDKbLB + GMxgRmggQG+SdVpka7I6HtHGwY27P3/Tp1a/tPr851577RUvueG5b1hp97Y8UrRR2yAtF7GsMq+8 + J513QbdFaO4zDhkzpOYpMOYxBKrSDTMEopEl5oxZsU6ROcUyHu46cPONn/jEbfd9SVcG3h0380Zu + MZNBdYhjjAgikEJEK8V0wIDCLbVN07CEYcgB4Smzpred27drmkYkF80aiwaROGgqaEsX84Gj93/l + y1+85Rt/eXj6IFZKv2tzrdtsxqAAYqhABAwmCaqaRlEhqmrzxBAmhFq+rEpQMjMCE8UQSIEt3Sq2 + trJnzGn2yXv/4JO3/fuXP/dV3/fytz5/74ubvGsVe1MYlZKtiCY1snPs6r/DGAMKWqauAICRKSmS + Tq2fDpulyaO9aSrrt97+lc/fduN9s9tnvFbaDDZjMxIQCVsYBVEpClMjQmwQLAPc9/U5AQYzjGGm + mTpEbfaOennka4/c9I3P3nLlxc951Xe/+ruvvWGPXtb0K31PuZu1GI2bFmb9UDgGMyiWUdYKIrKd + 9Fm0I9U6+JpJGUKo32mJqAlMaq997Wv/6T/99b/7d/+bnAUEqh8E5yLnXJ8wxlhnfNXwbE+2fiar + u9z2qRd1Ysk8YodIVZfNjp1zzj2J6vxJM6vtFWoH/JRSPY0v51HXmd4+rdo555xzzrnToqL91rQl + 6tUIYEW9Ej0Pcq63tkh7fbTRzVMvlPO25GA+0z1teTMfT+bF/XU5NkNQAysSI4UoucQQ9Pjjnqhh + GNq2hahayTmPJ+OhlLf8wA9c/+KX3Hr71xPAzKpKwDjGD/zt95euZxCYGDAiyaVpGkAe/ZVOdNpM + 3wCAoGbBbJ66DYRIuRht2wTb1yGjZjTPb9VOWPPnwOYRvjjLYNhpnviEVz/TLQMJYEPOOcVooiZq + ZhzYzOrA09kWjYDFGmvbdhiGbugnk0mfh1JK0zQxxjyU3ZOVf/fh3/3t3/3wP/iHv/rwkaN15EAX + 6cQAbD7eGEIIWYbjQyTb3peoBA6RuZSyzMUehr5ujhc+73m/9Mv/3Tt+6IcjBytl1KSUJlpERBiU + 2mY2m9VrzianjzGumdnEbIucY2bEECSX5dEXABN5+XXX/8Iv/Nxfe8tbV8ajQCwisWlCbDVrKSVw + mE6n89cys6IpxHY8GoZhNBplKbU/zqhpZ7PZ6mjMp9985+AsZwAFmkhFrC58G2ickvT9ZDSSXM70 + qNNudBGp/dqiytAPptoG6sVw5rT1Mz39Od178Ygz7cOnuS8BDVObkg5D247YMOTcT6cRYEKpB6wh + AqznfH54yrMT/oPj4/z4qZ/4ycjMoECkJqUM4/FYxEfMnHPOOeee5kajUe2/HGMUkVLKBejd2TRN + bcEMIKVUm3J6e1bnnHPOOeecc84555xzzjnn3NNY13Wj0QjAMAxN09QR0pplOJvNaoxujTqu8zFz + yaJgTlPJx4CP3Prl73njW8YSo5QAAemyetOnaJ4vyxRqAwikAGHI/WjSymwINGp2732wyF0hf+LB + B6eAgqFKQG2y1sTQFwFggNTqXGPMW9OoAXV2bW2VUDskLJvRufPNzEQkxkhEy/YUy5Wfc27btu97 + Zh6Goc5ZOK/Lo6q1eGOZwJpz9tYZT0V937dti22b0sxEFKS16+LizC0wTYShzDB9+C8//v+++p0/ + d1i17weQ59U+yYxOPz/DFDEGExEpbWCYBrYV1ps+/u8gx6RbS3WCjNksH5+BNX/ktnN7CAQKyxqt + EMJyt3GPQ0qpfrMqpcQYY4yz2Ww0GtVVOgzD9kavO/BDtpRCRCJS9wczeyzB9n6acM4555xzzjnn + nHPOOeecc84555xz7mmoFjnV/Mv6D2ZWEmpoS9Y09lNau/Oh27742c996+Dta3IME8vcyz4jslkp + ZSiBOY3ZQKrWDwBABGYs6+JIYQYzM4OQsEEJHGEEKIzmAai8aExsgGmNqAURVIUITYw1eFsgzMbB + LJrBemQ0YONjMjty4NBXDtyyZ+WiSyaX33D1615w6YuuuOhKljRsSl/ahJaFIzesDCgbK8BUX0cH + 6XvtDhx9aCrTPERKgQkqGI05GfphM0QQq1KNtSaic22LjGm31ZnZrryBw3l1bUaPdOi4TaBCJGwn + tCY/e2PuC83m75nqLQUAAQFgKoQCbLIdNBMAIAqtNGmz2d3s27t3/7P2XLpv72WX7Hv2Zfuv3DO5 + KLbNiEettsOgJaOh0LRhZbza5/NYNm1gBZOZBZp3uAcKSc+zrfbIxuhQHyGE2t5eAgBEOeftex7N + +3tDSQCwEVlkDUpWrLcGzKAI66xP6zSW0g8JO6scXIqlUWvZtvI0hjDenQS6OTyiI7nr0B1fvPnz + t977pSPlYVvNZVeelS0jiWMQgqqpAhTMbBABmJlCCJGMYKqWBVYQAnQRpQwDMZhgjLJtt6oh1kSA + ceJoZmIKqJkZUAxqtntfnM5mG3k2WeF2XzPNR2556MBX7/nCJz/98Ve86HWvfOkNl+69Ysy7xrza + 8AQIZiALvKzgNX2mFlsyW43gNd5WzJxGrcIGDL10GVONA6VBGvna3bd84b989pv3fQXjGV+qW2XW + D7AWHAEBE2AoGSUjcRi3Y9SPKjZSEhEdFIrQBDEFYCenOjCZ7qxT6CJRWQEzxraCbwrM0YStcD+j + aa+zWV77xF987M4Hb3vw8L2IwntUuCtp2L2btmbGYZ5XYQaiEEICspVsDBiotvIkWtQHzz/Ul4th + qkXzeHfbZ5mWaZenaYK2Tbce+cKdf3z7C/d/19u+9x3PXnleXrNL9lze8mRjYyNS1PPfIfQ8Y9h8 + YlWlXIyklz6nIe3REqc33/3lP7/lM/c8fFcZTzfokDVChCIgAkcQ8VA0MgNQgAl1pZqZiYTY1POJ + 1llcPH8UqMzyOlNKKzFn3LF+7L7P3/HpL37ybTe869r9L7tszxWUYt6cBSBaI6IcI4zn2RmkAJ82 + sMSdpBbB18laJwVSDkMn6N/0pjf9xm/8xi/+4i/mLI9jiladgVlfom3bra2ttm0fS+W9e1qq8ajb + d4CanrKMP6/hqXWqSb3zk7ewzjnnTlbnb2NxPq9zAmum9bIRg3POOeecc267Oix49RVX/r1f+MVs + qjBhiAgRsTLhNCObZGe7BnfqpXuyM7RZOeWei1jr+YIBqMHN83sylVIihxDC61/7WhGBSYxRn3BS + bB3IjjECUFUuCMRkSCFwDP/z//Q/3vSFv6x/WZgUMnv25Vdc/5KXypBDCLkMISYQhmGoFzAf92Is + V3UdZ4dBpVz7gmv+/i/8vBKGnCkwADJarlIjPfXhpziHRTKaP2d9tjM95+MeoCFDINzwyleRWe4H + BoUQiOiTF0ZTAAAgAElEQVQMkcE4S9B1KSWlNN2YNU2zsrIiIn0ehmEIFKwUGfLffNe73/jGN/5v + /+x//+0PfXiz7+oLMIgCQ01NDZalnKW7nqhYDZ8GGMzMAXb9S1/yP/zyL3/f970h98O4HQ1dV4ac + xnG6uQWgTc1kMimlDMOwa9eunDOf+QXm9RsAM8cYh2HIKg2RmSXi1dXVt7/97b/48z937bXXmoiq + MIjMgkGHPs+EiJqmYQQwxRhDCMMw9HnIUiLFEIKIqGqMkQwo0ob4M+/7qfsOPnSmzkcAThdMf5qN + ciZGUKiIpBAJILXXvvo1gSj3QyDGct9+DLtQHQsoQxaVEMJ11133gQ98YLGA57T853RInvPg42CS + OLDihu96eTTSIRNRMHr3j/zo9ddfb8zGZNliCGXIbUyDnDHe+2npdJ8IANCm8L2ve11kTiHMZltN + TOPxWETOcXs555xzzrmnmGV37NoevTZirn+8nNfXHYah/u1ZWzDXPze83sM555xzzjnnnHPOOeec + c8459zS2nErZNA0AZjaz6XQ6mUxqdG4dqw0hqGouGQwYVFHAW9AvrT/y1YcO7L/0Yuq6KMpWO6nU + Bhx0tlpS9zjUYks7XnRPWte3igpiMIgRBIQ9uz/yuY8/DAyolfccYrQyGIwWXTa2BdkCNq/LNFDX + zQAwc+2ZUBsm7MDEzaelmjesqrVAwsyapkkp1VkbNWUW24KuL8Dy1AYa9VSQc7anfMehZ6jtmdb1 + JyJCQJ2dYWCQwhSmBKiBpDfbvPezH3/Fm98VV5pCI/O+OjtVKZICp8i5VzIyLU0MG4cOPPTZj4G2 + YFo/ldXMEMGkmvnEj4D5HcTCok9e3VU80/oJqodbCGFzc3N1dXU8HgPIOccY65euekatZ/76mbtz + tG3b930t26uVe4/ly8Azs9Oic84555xzzjnnnHPOOeecc84555xzT3M10LqqpaUiMuPN2cr6mh66 + 5babb77tprX+0JBmM9rUSe61VwYTAhEnagMgIlkVZMTEFELgAK3F6dlSAgAmAExM4NqAmbSIEcjY + SMlgVFtwzxeJiCgQamakkamRBoOQsZlApCzqHksBATFwE4nYcr9+eH19Y/P+A0duS5KuuuQFr3rZ + a194xXUT2Vv60STtlYGMYlACiI1VY6AizDxqOuDQ+jq1bYFRNDMQoVjpZW1963Avm40h0LjEhkNC + zo+ld/N8JQMp6xgcGWYWaVBb5zRrGsvW1zuYAQZomneLpvLYn//8qwtlajAzqJqCLZshcqx5wSAs + N1kXddhTttA93D9gD6sdKAHUcDPC6MqLr7760mtedMV1l+65amWyv5TxNLc8UMPt+YyR1kLGDKMC + AMbBYrQWyoF4mXYcNZCxaVaCMewsrcovOCMFTGv6e51jQERkIcACiJEQYtckGU1kV1PG2ElF4UEj + OmrGIw2yFddncbbRzI7MHr5//a7P/Oc/PTI7uFYOl1GPKL3OsiBEoEBmRCQUA0OLZApox5AiZjWm + oE5mYRDIjDUGUzNSCAAlM4AYTQ1R0PnxNT/QoGQDAUQwJgAUCExgdF0BITXI0H7aQZASmpW4vnXk + 09/+k8/f/ZmrLrrme659zXXPfflF48sZLYqxJrMIIwXDGkBBO+fIvUBqsDcZGIJteRLD0HEbsg4d + ZmnCCPLVO79y41f/9M7Nr83ipu2GmZVh4NhSNLNBCyJABhYEYm6iljLbWAv7GQHMbGKSS7DIPE8y + OHVZLuQbfyxqoDWMBQCd0GfTyCjYNE97TNO+QNFu/dqX//RLf3I43LOBI7pLQhPAKNLnAcMAjqAC + M1DdmSEG5aBqIAUTdD5tSI3UwMQGQMxEQIQQEBtugH5zCqDheTZzTzk3m0Mcbt286Wv//pY3fs9b + 3/Kav3F0qrbZ7G0vlt4o0E76PDpXfDwrGgBUSY1EQq+xS6ty97E7P/PlT3757i9Mac325UFnMQCA + AZygClWIaSBIVmbESAyCmYrVU3E3DMzMidM863r+UZmzmgGWlTW2UUQ6yUen5fc++n+95kV/9Xu/ + +w3P3f/CNF7tp70hjierfZFl5f88+qXOLXvmnVLOCRkzSEnqTC0iAqmZMcUYo6mlJrzxjf/Vr//6 + P/mlX/plZs7l3NZnKWXZJFdEFtkJF27el9tRatviGoNa/3eZ/iIiNV4dmKccefy5c8496ep8uZr+ + FUJomqY2U6gTqpumqXP/aqa1t6d3zjnnnHPu9FSffcXl/+3f/3vcpJAahYlI27ZlyHx8OOD4ZZDt + scfbnRpfqnWY5+w5scbAPOl2+bjl6y1fxQhGZGbMLDkH4ibEkjP0iQ2W1WuzBgAxRs2lXoGsIVtg + Gkr562996w+86U31ehGDSikro/HGxsbKZGJmDCYjVU0hWR0YP8dObdvTrOc/AdjAMDH97pe99CUv + uradjGddZ0xtO5ahnPUV6tDanNZXOJcrnbqt3dxJW+H4a9D8nqdupkdlIiGE0f/P3r0GS3ZVd4L/ + r7X3Picz76NKVUgCg3hj8bDBNk8DNn6OARuwIxx0x4z7MZ7psHu++Mt4YmIenukPEzER/tAREzER + PTGeHkfMTLht2rYwBpqXAPMSSEJCDRIgHgIVQirV897MPI+911rzYWdm3ZKqpKpCT7R+oUjdupn3 + 5Mlz9tmZec7e6980Q9eTgQ2qWkw5XvT72kNWoD5LP/Q7Ozs1QzrLyMwphcgBSjHGvfk8xvjc5zzn + T/6H//EP/vC/+j//7f/1F3/5787O98exWD39C6o35y17c50BmDbtMA4ENLEZyziZTN71zt/8R+/9 + 3be+6Y2S82wy5cm0jGMNRDfRSdPWYLa6hrPZLMTUdX0TL1zChYmNoOvwtnEcATRNtLG86vqX/7N/ + 8nvvec97Dh06xEBkzjk3HCJIJKtIjLFJSbWoSDFV2NCN9dtxM5mw0TiOMcYxZwKatjHRnHMT4j/7 + vX/STCfnH26PstcudhRfrFVQZJE8bdo8jv1iOZtM26YZu57BWLdtHLgiZRdKuSYDqRmjlBJimM5m + b37jm1796ldPp1Mrelnt7Ypc9AA7/1WTESwQAIylAbch6TCCNQT+p//pf7bXL5WJmckoEJkgEhvb + 47baTydaZJKaItlEa5h4DFSykp9yd84555z7sVYHrtfvTfV792Qy6fv+8X7eej0xpRRjrN/u62XE + x/t5nXPOOeecc84555xzzjnnnHPuSWRmqlrHlwLIOTdNU6/YAhCRGmpoZnVQLRgoxrFdlG4PuPFr + t//0NW97LjgY6qhhg5IxrA41fjJf2o8jAtXhtRxQY2gNpjFxGfsQklKYj8O9Z8ZP/vDBjlAMsACY + EAxgUFaJhGIwYLVDDdDVjhWgaZphGGKMKSUAPtn2iVTL/dVJCiLSNE3NE633ikgdRCEiW1tbi8Xi + CVifWrml5pvWX/pQiqed2o3XpoV1J0/rKR4CRi1vCN3MZ0gpjXmB08du/fynXvmr/4gjEz2lytc9 + g60qcp77RR1nlUIkIoMAqqXc/JkbMb8feS81kzz2EcqANhM7Lzt5NdHH1inXIlLDlWtTqT2AvwVc + mVJKSmkYhrZtt7e3AdQaRCklM9vUKeq67lLiop94wzDUGko1dRsHais9Ao+1ds4555xzzjnnnHPO + Oeecc84555xz7mmCdBWFePEHbOqME5EaqRZhRVNKyJ3sn9UHP37TB7967x0n9o6nKZc2ZyxLLBYE + CYFghpwtwJqAQFCxQI2AzCxnsVGIKESeRhZZD1wjM1MWNjMIDFyHopPx5hYmRKRmZkpEB0fVqWWi + WquWVC0AxmBirCqLUVd6GMcpM9FY5mPZm7bt10+evvMjtx1urnnVC1/zMy994wuufukk7cQyjaUN + moKuBuwZjQua39d/79ipY3YUpCa5qKJtYQVGWjBSAyrREE2pmKTL2SFsIOOAkK2UsW8iY6jhdzAD + CAIwQMaGACMlMRIQgoJW8b2wmlC6jrckAAaj82IvyVbFxB/5tq4UsPpb41XYsxL4QHYpGcMYNVBZ + 1vWmCVRzoC0QIAqGFjOmzdQCUTMhNlYmISgbCBgUc8X+6ePfeOD2G2/+8BYfff4117/qxT/z4ute + 8ayrrsljiNIEDayRNfC5F2VK63LYj9yq1xv74fGrSlCTuhFrnLltCmGbwWAGW/1sUBBBCUKrYGu2 + 9V6g1RwKBYyYDFjHyF7ilt9s/9q4V5t008zP7dBavFsBNYLS+pGoibZGJgYyqBFyBhNaJRZGDiQB + SmxXFkN7ga33cIRzrc4AXbVJru28PsY2DdWYgaadzMe9Mhnsqny8O3bLXTd9+e4v3Xv6e9r2kkZq + s5AoYBFMIKLIkRAVAi3KFhhWM+xrKXwFDAxQ3VwEqzuSVhNcAlYl82l18BjTOlwYRmZUOyUmBcRU + BRCjwFCEQFTDD6IhohjO9PPYEiJD8rf3vvq9z333M7d/+s2vfOubfuotIodT3kqlZU0wXo3bNYAU + tA7eBmDnVXSnVTA3sA5jeDwz3a/AqgUqlXV7YKxXcvM6DFwTgld/U6cbGYFWJdeVBBNd2p5tF6P5 + bd+947O3ffr7D3xXd/p5czqngSnBogiDiKBiYAMzBZApzEyQA6FtYs6DiERrGIE5ppDEtJeBiAAm + 08vNgfhR0YHI4fNc4AgyQNaj+TddGRkDrISuLGlX4xa+fv/tn771k9+45+tzPrmYnsAsE9EoWQtq + lDIAIipFDWgCE5OIFIAYYdWb1cleQO29mUrREBACMysAAkpRVTQBRCQGFTMCMRQyhE7i2LTT/3D7 + Dd/8/l1vf/1vv/K5P7PoTk0m25QDrd4LDlTrJyVjA5QYgJGSadj0AI/l5n6o9Ru34tzm5toFHVAD + 5utA+sjG64OQjcuYuqHZ163h07d94jO3f/L48j5slZy6TFkjWFefczSDCDFCASloGhbRnA2wQBQo + UAgG4SiAmqGU9ZQMBhE4gQlkkCIiQgRqqARqm+bz3/j43ffd+Qs/8ytveNnbDh+6Ni8GzcYcD75A + Nq5vNGQ4f+Pzw15m3SJc9wXbMysGO+ccQuCw2izMDCJVDRyWy+XO4av29vaapnn3u9+9WCz+5E/+ + Z7rM9klENaiYmc3MzEIIm2lg7plGVZl5k1ddw1CZuZRCRHWCbv3Bp2s659xTBBEdnC9d5/ipas20 + rjNyJ5MJAO+3nXPOOeecuyAiGvvhqkOH58tFBCmQiyitMq3XZ2U3lxL0omffDEqrk9j1Nhx4JD/s + 3vWtKiEYr4un6ME/Obhw49VJcg7RiigkpSS54FIuLV7EwacYxxqNnLJKBGrM1bRtSz+q6XY7LaXk + nLe3thbz+c72dh5GVa2FvXLOW1tb4ziqKoUftfJIXalaKCSEkMyGZZeaxCHkbojMjxTne/C6JBDW + 59Avvv0fdkuAnVvChS9v1f378AdcwvKblERksT9vmoYMYsZEKSa5zPPe0+l0uVymlFJKYxnqhb+c + MxkPw9A0TQhhf29/e3tr8rzJ//Tf/3f/7R//N5/+9Kf/+oa/vemmm44fPy5mgJitKvVsNiivt+I4 + Dgm47nnXve1tb3vXb/3WG97wht3d3bEfJjFw2+ZxjDHmYUwpNTHlnGvm+vr6Y8g57+3tzWYzKXl9 + dXi1a9ZnHhW1DCAhEQqwu7P9S2/7hX/5+3/wpje8rp6sblOzWCy0lBQC1FQVZiGEerrSilAMAGKM + MUYxYyDnTIp6GjPGaKrDMKQQp027WCx2d3eHPD50nz7i/rrgUXxhBiWDqIy5EFuRaTtJKS2Xy8Rh + /ZBHsWl4SoghmBmIlsulmM3aiRYJB0O5L71VX/otbNMTPeT2Aq+3Hqdqk5RIrOuWk9QQ2VhKFplN + pkVl1aDUmMEEtcs8Hn8cbwGkmMZxnLRN13Wz6VRE9vbms9lM/MqMc84559yPtTrKon57qsVSn4BM + 60pVx3HclOP0QszOOeecc84555xzzjnnnHPOuR97m9DKGn1ar5PWGZcxxpqquwpc3NQuipRLBvHC + 9Kb5/nv68VkxbBXG+YVogPNH37ofWR0bzgCZrvYFESg2zFlMRIdk/VU7H/iHT54FTq82PxnMSgFT + CLHkbEyQA2N1aykmqAKqAqBt29U9Zj7Z9olXq5o8pJJJjRfdPGCxWNTh6E/AypjZJt8UPpTiaaju + uxBCTaWNMZo9bJ6JbW5gzGUsgSDl7LHPfej1v/LO+RBpsrsqiWcwQm2LASDTOoreiL3Df3ytD/fN + zqv/jw0t5pKaUOtzJsu0/8D8xr+FdhGax5E4QkcAZoKHzNRYz81Z7XqzgwHGnmn9o6gH2qaERc45 + pVS3KjPHGOtv6nSnp2ayde3z64rVtX3UP/FYa+ecc84555xzzjnnnHPOPRM1TTMMw+af9WLbFSyn + lBJCvewHImrb9uBinXNPwCgZ91T2FLys7p7JNj2Sd02PoRoS41v0sbcKdKxlcleFvGsmMZkdrOtt + q4BSZmZSGXM/aRqV0sQkRYkScciQ0ojsLu4+9dVP3/GhO757c4mDcMEuj4CRGhNRgJKpGACyEBAA + M2RbP7GByJhJAFWFgVgsgA016ZENZMo1TVY2A2m5NhIAhKiqSkZco4dhBDMjg4ilQAqUYkSIgVkt + j9o0UVWLaYGBrZAagUjbhGyjRdUtfcC6k/f+4IvHPjmx7Te8/Bdecs2rXnL1T+3S0TimWEI0ymE5 + XPXgRz7+PmyNOfdMMYVkMAzFSGMzOX7mRInUEwfFrGn6vg+XU3ZcwRYnY+mUQxaLYRIxbdi6oa9h + u8w1oLc1sKAI5ZLABOmwHdAgDkOREAWKoKA6xHM10tDAAJMVYJVWfgm3zDWy2pKSoiabE6/bjTKB + FKQNG5EZaJSgq5raBhgMbBZqqqsC4FpmWQEFoWYL0yqtnGHrCOfAPbNYjnHeUT59+oHbv/TZna8c + umr2rLe8+m0vueaVz955QegnsZu0FIhNVSwUNWVuREwEKbUAQwqvC1dvmjdWtdHX95DWFHAFjGrh + cQ6SauirkgkVI4UlKNeg5BKUTIMyDJxoLKKEJoAEktEa2gkvB6UGmWAUUmhKWVhB20DzuTLuj3rL + QDAoUJgNICjXMHpCUQANUYQaW0+JCltWEIEMSREE0RCIjVCsHvUIgqhNYxMoxArYVO2ykpLrBqwR + 0Qc7k4PW2fPMACsDsGCFROuhamIWk7IJOBLHOEpngpaS6LDHZ+LVeqK/5zO3fvyL3/r8A+Px0pgc + EbICFIbWDQGDGptFplQkKyREDkw1fhe6iiUgAjMCMQCRUhQWzpW6pgN50RE1hbT2TgJAGaSIxIFD + gRUpSghMAJcsiQExpXNjsYnAAaxGrMJj5pFi39ve33/t2Cfv+OCvvf63fu4Fb3r27ouGM9JqbGIS + zapFSQFOoSHFOCqHGGIzlswMgjEUUCXUhAUASvpUSrZmtSmgRmosSoApWwQQrFiRQJFjEiMNAMuo + Y1A2CmzgEADOkgGliY5hPreTd95z62e+cuN3T3zbZkTXhHne1yAMwASQwExQ2Cab2QqM11HuCiSI + RQAImqI1pDSSCBeLCMq86mS0dmWrwdP0eNY1J62faexAuPX5RxDWb8EKwIiHIod3dvfOPLg9aSEo + g03arTFnJJJGuump239w0w1f+P9+uHds91mHh2HO0UwBMyLUrN5VEogZBQCrYx+MsOqQz2GFEsMY + wpECVE03SRUaCBRQCDAjAxHXJSipETTovJtv7czuXXz7Lz/5f//y63/9ra/5JVnsxO6qo+2z+mE/ + pZSJunGYTpMWYVNFNApCMC7BrMlKgBLL4/YNj9axzQoTViNY7faNFaHGqxPMSAFRLgCaRMO8ozKZ + tbNesiTJ2/19+Z6//ND/84P9e07gDB0mZs61/21hiqJgQwggg5X6dgYTgzEFJiIoiRqZUcRAANAS + IsgUYiaABRADBS2haVEKRgHItMk6nrbD+EFY/MWt93zz5N3vfP3vPm/20q3hkA6SQoT2TRNV0A9j + aGcqlpTJYKSrOAZjWADW7ZyykcJYCYYIUM16ebx2wFMPRzLo5hWvp2lxznk2my3392ZtU0qJMf3O + e96Vc/5X/+p/gaFp4jiWeuxMp1td162XV7fqueVvvhVuJoDVuQGbqZhmtpmY8dScNuAeQzXQusbG + 1GaAddt4yJmETfS1c865J93B+dKbnhx+VcI555xzzrlLozCOoe/7yMGkEDCLEeszIfSQxz5iLi3X + E7zr2zXD+b9/+C1MQba6XXtYSR0jACoEMBNgIleWaV0vg29Wef10zAaIgTiKKQQhBC3ChMRc8ggg + xTAOfUox5xEMZhYTALGJQx5AuIJM683lqoed8w1EKGV1NcoUphq5XiS9+F542D0Ee4Qtf6F98ZA/ + vzC+yAMedflahICUUr24B4ICtj7/e2D/P1J4N4BVbLOZiASKUBBWV68DR8Ck5GnbWM4BIFBK6d2/ + 8Ru//Y539H1/7NixO++886677vrhA/f/8IEHzu7vlVJms9nu9s7Ozs6111577bXXvva1r33+8553 + 9dVXAyhjDiFQzhFmUrRexpV1qLmUzbVrg4FW5f/ahovkEIOIZJHdQ4fOnD593XN/4rWvemUWbdu2 + 67qmaUopTdO84x3veO9733vdc39Ci/KqghzKOLQpwmx9BclAwYAiBjAFNoAIJgrUcQgIRLTZi/Ui + VGCFjqJp0gx5uOA+faT2sN4bOPD7i2EAapPYQhAoAshZQmzMHtowH7JzyR52L1FRARMABhETRANA + 5y/pstr2Jd0amC58+zDGQFOHrRQBwE0a6+qFGAhaynoDrrZerRT22K/z0+0WgKnEwKWUlFIuClA7 + 8Uxr55xz7mnsUS/HHByr74Munsk2FVE3TeKJqcUsIgefyBuhu1x9308mEwC1BG391u+tyDnnnHPO + Oeecc84555xzzj3FbS7RHkyv3Eycr5dQzSylVEqBWYixSAYDqTnbjyeA9916+0++5c1HlGy5oBAQ + oomUsU87Oxjyk/KifiwZQcEMJZXNiF0LrIQwlJQSgu418U6Zf+DU8bPrlFnTAgCkUGTNWA0wBlCH + F1uNvV4P0T7vGf1y5+Pn4IiITVwoEdUC1wdLVdcH13jRnPPmb+uohho9XuucAGDmTS2U+jMR1evX + V7CSdQlN04zjuCmj4a3iaac2sIMp6eM4TiaNGcwQOYhKJC0GYQIIPIFmssxY6H23ffNzNzznF//5 + noLNmBGYejGJVAoOt8jLHBlCVNDYqtqbGrjWG3SPGTt/PhGBDYRiwKjRYmNEGgKBt7S/9cP/Fnt3 + QzsBADXV1fGfh/XCyGD1AA8hiKyqwvZ9v3nCetclhhm7CzrYW24246b60OY3s9kM6w2+mju1HsB2 + sEt/UtSVrB8CcQkRDB5r7ZxzzjnnnHPOOeecc865ZxxmruHTMUYRCSEwc720f7nqZd26EADDMDzp + l4ucc84559yPA2MGahoxCGSqtMqyZauhrnV0mgIICHkY2zZtbW0t54vZbDb2AxEhyt5winf5geH7 + H/3EB26/9wuLeHKc7K9DVlex2euxyBqIFQKAbFWevFY/VhljjMxcTAIQGzazohaYFKYG0nVWoJiS + pSaiBmua2SqOmYkscAJEraiYEYhQg58Do4gxYzKNqtr3GgyTSbNcjiGFGJsQoRCDiBkIqlRA4CLB + FDJgGG05ov/wl/9mJ3zm2bMXvPial73iBa980XNesj2ZLnDmQzf9++8cv7NQh0AoQiBY4MAmvapm + HTLGBhYokJRY47YvfUcReumFC6KlGIe+aA7MkzZyiFRsVM0qZBaYQoiwSL31ecBVU+R9LIaysztZ + iKQYRtMaAcurLFLAuI5BvORMawBKUICNuLac9Z7mupeBA+POqYA06OoFK9Vk69owaqRobXs1Hhtk + B4tNK2ro7OofMEKJIhDhgqCStceZ/fHUPTd+59rZda943qt/7mVveunRn5RxQh0msdUs08mkG3II + abI9yaOagSgABTCAQKqb5a+DjR+ujqRkBNQa3jUBF4iSmtIq5RwUABtHSYAt5/1kxhZtHI0MW22i + LIt93TmERYEJlKWIUEY0JEa2VUH5S9r+tgq33sRI13+qIbVp2eXEIXGgDCsWEqggNcwUkgkZQglM + UYmY2KyEQEHBJULBTMJFOAc9t9kviV1eaX82UjJBPXZrPfRARBQDEwVG3y+R0GzFbjxb2mEfJz5/ + 2ye/cNdnH+jvHWZ9mY4DshECrXJ5UXstgMCADmUIiVJIamUcRRUckBLJeqaEGsSUGSHQpAndWAgw + RgCRkZmBiNRAWI22hxjIyIiIIknWXMu4RwqrZqpNE6wIAcFgBiXYOlzZFIApF42myMUgWGbtbvj8 + X9x8x5d+5TXvfO1Lf56GVoZu1iQq1vBkGHKxkmKT2mYsKjkz0bqcuiqZEa2iiVeeUqcICkhBBVC2 + AGMyhiHGKKZETAil5DxknpRJSsQRYhy4QHvpeaZI+Ww5fus3Pn/Hd7707eN32ZaGa+z0cKYfNE2I + YWQ4mP38EHpgoLqstxCvVoONzciMVoc8GRutg9hJL7cxP2bWue8greELm3smk8mJ06eOHNpanj29 + O91JkzgOfWYZacw73cduf/9HvvK33fQ0HRrv27t/axIZBYAerGf/kKeic51J7avrptDzDvv1CqxD + vpVqN4P1ZwZebz1lgxCKWrMVShmzlLHrP/rFvzu198Cvv+7dL7rm6r0HT2+ladd17db2JDV93zUx + HFwfJQ71rcTO232PDwZ0/ali9Ypp/Q7FBlv38GxMhm5/ub11KHfW0Zgnw6I5e9f9t7z/83/xgHz/ + jO2NsRClRCEkNhIVDAO2t5OMeblA0yAlNtPAVMSIjIGASGAmNjUZ82zKHDQUytlUQImJg6pAlAAZ + URQhYJJQmEdRixpanO3K0aPNzd+76cETJ//5b/zhNfzcw5PDMo5NbOZn56ltt7Znp87Od7Z2Mcq6 + XaoK2RIAACAASURBVNU3bgUS1R1J9fOYGgFWIxu4/tKllESknow1s75fHjly+B+/93f39vb/9b/+ + 38axYD30v+u6EMLlTspqmqbruhjjJtP6UcfiO+ecc84555xzzjn3tHah6NaDHuXuC12vfKR7D9zW + 2NuHhd9exro9Ni4YpfwkPvVjsg6Xfn350p/lYo+8guU/8gv/UWyeLgBmZjkL0Ibw0he+8MXPf/5v + vf3tYKIQshQzY2Yy1CJfMcbFYtE0DauKCMMYRqbhwvHGj7QCIgJmzfn0qVPT6fRf/sEf/hf/+e+3 + bVtKIaLJZCIiy+WyaZqU0tB1KVzqKegLJkNfbPUupXVdyv565Jd/Zc9+KX6U9vZY3T7qWj1yVveT + ss5PzVvnnHPOOeecexoppUwmk3Ecm6ap5WXrSPUne72cc84555xzzjnnnHPOOeecuxLMvInLrf/M + OUcOZgbdjLsNRnzS9Munjn9v2R1iOhQjiQCFmpTQoO/B4ZGexv1IDIASDIzUluUQd2b7TB+68/YT + QIdadURW1WYeNjJzU1UjMKdJ6vveLqN2mvuR1GokwzC0bQsgpVSDq0MIMcZxHNu2bdt2GIZH3in1 + wnTdjzHGUko9ZkMIqlqHu28isTc5qZeuPr6UAiCEUIe118viV/za3ROvNjBVra2raRpmVkUIpGKi + UutPxYisBk4QAkJDeZSs44m7bvrYc1/325PdQ2OplRyFiJuGRND31oQAE67FptZVnwjnSmC5x5MS + GIDAilFDXJYn0R8/9oUPQ8/CamE+xbl3gFoVkNSs9hgAav/AzCJSyy7VRlKPd8+0fsIQ0TAMKSVm + rv0tgCcxpKDWzqqBC5ue/1HraHmZLeecc84555xzzjnnnHPOPeNsUknq9XUiyjlvrsZduhhj/cO6 + qLrMy81Kcc4555xz7iHIapz0uUhUozrYD0as4E3w8Cr1UMpW25zaPz3b3uZmut9LClsWypLO5Kv2 + PvW1D9142weWYQ+tlH5knhRk5Rp+DFqnYxJAANdIZ6r1w1ktBtBsQsPYDyM4IIRQsqoaGKI1ghEU + jQhEBKJgVDoJCMxExFSHpBsBGHMBKHAMbGoA1FSNERsIQTIGLURoIwCI5HbaAmxGUjJZScCEASAb + GyWDEJRUzUwtDpC0Ewc9fW859f17b/uHYynGhikxUS7LLu+FGRmbMhTFYGZigEJGGXMezYTIRPNl + D6GnYkks5IIsyESUeFIKgnHuR6IUiZkjoTVwzirLMg1bRydNf+L0tCWb2tl5H7bQZcTIsEiyKuzL + q31zmSmiBAkAFNqz1XxTmNVYVlYCuBjBeBQApGRIwtAIaACUFFAjBfRcenr9AecGmFLN3oYSdFWp + mc4NQFUIhxDAKujGzprmAdx337fu+fzdH/3ZF77uF37q119++DU8bjXYHs6OKcGIum4BC7AmhWC2 + ati1aa7amK1+Xj8brEa/Q5lA58pFm5mxWqLU5lbGrSClBANARkEZ0O1J0/XLAaUNgRDyiIgwnYUz + ezlOMU2hDMYiO83Wlupi0Wl7LoP2UgjYiA0RUFZwXXlCN2YEFOtIaEKCDJNm0k7m8yUFDpRYCBIM + BLCRSc4hkKqUTKBgicbYNTRlaR8tPuCCDeMi4xoflhMsLEYqBAPxJs0XyMhElocynbYDxjn2zuzc + f/uxL338ix84k0+PmsNWYGqoGxtGO21KKQDDVgMUmYpyASABCmTJZAgBbWQo6YgmBCIygqoWUxE1 + M1ZtOULNipkZGRGoBoZLFgogohrLbgRiAxtNYAVQsBkRQTGqmcgkrbOTAQOESwkwghAFEJuBV4nX + I3IJp3lrOZThe5/6zi3fuek9v/g7z7vqugdPjIeba4I0yZqlLjvrUtvEFJALCdgM0BJkNUsEqK31 + ScphvggamTpQAYw0wBIs1cNjmXszYTBDYpjMmhnQD4vOxJq2XQzDkIbm6nhyvO+zX/3oLXd//sTw + g57m485YSGkkTmnSGJOJ5Cf7Rf4IbPWmdlDt6+rPeu43XP8pw3j00KG9veOHdqcyLM3QU4xHJqfl + gQ9+9t/dfM+HNZ5iKblge5o4hiLl4Ej9g4fyprB7XT4ZbDXpCAoYqRIMCn7YsbzqHh+64gf/UQSp + kUHQxDC7arp36uwXv/r5YVF+87V03dGXLc8OnCYiQkAKgYiVyKAgDYYgXBOyZf2+8DgxQMGgVYAJ + GQcDGWBaPwNJKHUnBAtBEmsMgOU4ptKFvW52+uZvffKjN7/vdP7hyLlpQuRWVQgDoikgBdMI6TOI + tg81Slh2gxpmE4pkkWCiMoxWELiJsSVKeb9XKwrlgNhEDVHVUBCRJjEFFrVMRlZIzRgopolxZLa1 + d3KvbXaOdd/+3//uf/2dt/zum657W1tmUprtyZGu9EOfr9rZXiz2mzgFqbEqGSAwBQKDV2/9xqBI + tmptNdjbAagTutq2rSd4c857e3tt2/6Lf/FfDsPwb/7N/2FWz/2SwojO74brNnzEllxKqTN56pne + Op8n5+wzNJxzzjnnnHPOOeecc+7pJcZoZnWQ8MHSXTnnEAIHNjMQmNlExr4/vLubc1ZVZmaQmZnq + FcRWiUgAtqZTVS05xxi3Z7NhGFIIZracz4loazo1szKOKaVzNQGdc84555xzzjn3RHnkr/ybSccA + VLXWEH+C1sw555xzzjnnnHPOOeecc865x46uxRhFhIgmk0nf9wyiWi4KwJiJ2ExPAZ/8+p3Pf+3P + bnXaEFkZSYDAqpdVe8k9CjJwLefFtawKAA1Wq2xFmzT7hvvH8olvH5vX8jEXzzCuCaYhhBDCOI4i + 4kHFT6RajaRtWzNbLBbb29tEtIkL3draAjAMAzNvoqkvuJyDdxFRLaUCoJRS42lTSuM41h9E5Api + rYmo5qrWmioAvKk87dTSNzFGVW2apraEunNDCDFMxrFjoJRau1CBQmymtRsRvfur3//aF57/xqOF + t4w5qMk4hDJNgIikrZgHtXWVHiUQOCiUFMYPK2zlrhStiowBYFrXDUMEQIKtlnO2WJbXTuwLH3o/ + 9k/DZFP67CHqrt9U0W/btpRSW0X9oWma+u6PdeN5/F+eg6rWTV3TCuqh+iTmFJhZrdBVPy00TXMp + g6A81to555xzzjnnnHPOOeecc884zBxjHIYBQNu29YfLzbTe/EkpZbOQOqSgXrB3zjnnnHPuCtA6 + MlOxCja84BjSOs6vZhySQYtce/U1D5w80c624m6cD2dlMn79vls/dvPf3H3y1nC4ZOpF0Uy3ipkR + Y5NGXJ/UAKB+jKVNhjFqmq8u+hwIbUshRBWmokwp0WQYMoEDRYBNV+NWg9HR6VUNpZRSCIE5Aqj5 + gllLKWXIfR6GUQqgxEbBxmXfpAISRTZS1NRbNZMBxmQcyBIHgrAiCxDOBX6DDUYi9fk7UKEEagGC + EkAxWUPZYhMkZFElZkOAqpkSAbCiQ58Xh4DArFlCCJc7bJfVAlAGtDR5w8vfsqD9XkcLxgaQMISN + s2AYhnl/qhuGH9x33DqdYjKWOW/Lzmw8m8vWTjMMBcZsDANDFQouIL3cDOP1CGUoEGpCqqlCYbyO + yl4lpILABlEOxkoAdBV+TADAVkeXAgY7MBryvPFoVDPQ634A1WBgNSLhGJlCCSDWzva5zb30N91z + 453fuuN1L/jFt/30bzx/9yd5mibttOs6Lba9NVMJw9iHgHUI87nxrEZQKEM3Mcw18rMmW4NgECIC + Ahsz0kSnb3n1r+Z0dgwmvFoWaSSUbnmmK4vj+2ce3D/Vy0ANEedxnB/d3Tnb7ZciMRLDZMyj0LkI + +UujxAAbsdI6VNZgFo2USNOUeKRUeIumZZR+PzRp+1m4ans6O7R9aHe2NWsPtc2E0gRMGSOxskoo + oR23X3LN9RNsIV9+TfOLBVpfcP0BYwWpmdVo2YAAwNgAtaCT3bg3nh7a/tjyOx+66e++cfKOs/Sg + TDIya7GGwqydgMLQ9xTYCAoCmGsSrcIYMYAjyEjETJBV2QKMpFdGZGpaCjM0ZkQKVSVdFYYjok2k + q5kxk1INr1aBKkRgxqVAQwMA2bKZhUiRGWaWoWBGBLRGxtZGawQBM0ntS4UgQCGEyVhork3+4r03 + fvcvv/6fvP6db37lL82HvX4x7k6OtM1skNEYRQVSEjFgRgCpEduqU31YZvhTANWdbAoQrGaCk0Kb + plEIjLVIP3aFQ5OMAscpL2Sfj4RlPvORL9z4pW997qTc27d7i3TGGjVmMyMJpsYw+/E8GaIPSyfn + +ks2EJC75c5s2nXzCNOGbKbfX97zwdv++tZ7PrVXjm/tIIxowcZp2fUxMUwvFqm7ei8mZoMRa+3P + V/OOYKt+71wvXA8IY8BqDPLqI8HmSCGDEUAIAVkRGmiRheyFWTOU7iv33BotvOut771q+uI0QkeF + amobhRRSAAQjI1hkQEjBuNz3o8vEdW6DQgEOSvXlABAqIDUyJbARNLIktsiMzoZF2t+fnvz4He+/ + 8bb3oz2L2RgFMbCCi5ViSro+2BWBSWDLbqDIs9k2K+flkHJoEBtL2+3O0Wcd3d05YsbLRU8FZ+dn + zw6nRusGHQfkQGhSklJUs6EwjEIQUTJumpbjMD+ruxM5fPjwfFhKGE/r8Ff/8OfLV+/9yit/M4xT + 68LW1s5yOe/K/qRtVNQISmakgAKRILrapSCs3oPq+0kw9TkeFTMzs5l1Xdc0zWQyGccx5zyZTP/o + j/5oGIY/+7M/ByBiWJ28vbzOOKWUc2bmOr+rfiz0uRnOOeecc84555xzzjn3tHOw8ku92lh/EBMz + 25SGSWk1tqHv+5ppHUIgQx3/8Ajlwy6mbdu+782s5moPw7CpHhXqtXCiTeW4EII9zhdgnHPOOeec + c845d+lqIVcR2VT2r1/wn+z1cs4555xzzjnnnHPOOeecc+5K1JDdUkoNMqzXwupFMZhwLThmhYyI + eDT96A/u/eWffc1uCEdhpFDJQpxSY0XIL5o9dshgxEJKZEEAM5AAZKpDiHuT6Y1fufkk0APMHFQV + euEadGZEVAdF1+zkOoz5URMr3WOlXk0Wke3tbTPr+34ymWziZomImVNKfd/XDPKLLSSEUP+kBlrX + w7YunIjGcazD0eu9l2vTHjYJ6ADGcfRk66eXOhkBB/LscaAFigwAay2UZwYymJpZLQcWEqTMv37j + 313/mjfPY9Rm0sZQRpNRUggSeVSA4ro4IdTAAEiDqRAut3SPeyR03v8NMDABkJJCVJOWSj55zw8/ + fgNaoFy0nttDprrUkvgA6kwWAJtGggONxz3emBnrjf9UeDuujWQzmwkAET1qzLnHWjvnnHPOOeec + c84555xz7hlHVYdhmM1my+Wy5pTUqJIrWNTBq/t1gY/1yjrnnHPOuWeg88bw0SoadTMITUAKAoxh + CQYNaqrz/XFra6ekckZ/eCLd94kvfvDL3/1cTvNxpwMkpCBFzpRFSkQWahSxEdaJvyADFTCIKBip + MAqp0QggTcAMK9b3ORpPaTuWVue8y886ND169aHnXnvVc48efs6RQ886tHtkq91KIoGIOTBzQDAz + VVXVrMLMYMt5nC8Xp0+fPH365H539t4Hvnv87H2nhwfzZJFnYydnx6DcIDBMlc3YADE1KEARBDMq + RqWmVJoZcTEUpiQCGJhBBDWUQYp022lqbFm0ADEoiAAJNRdc1TjPu/1rGwVgJgDhYomjFxI0xpxC + iCmXiez88k++p4ScVWJMbAwVhgQGcUMkhbpspRP7wfFjX/v2rXd+78unl8etmavt51zzpLVmABOU + UQyrpNJLL2RtYFNWcFAGilHR1WJHI1ZSOz8oXQklFGFdJTfTuabGdWjpKuEcANeEVD0Qk3xuUQTD + KvNUDcWMrLCZkIxqMYEFqqXdjZ2e/twDH/nS9z738y//lV9//XuO4NmTZmsr7izPLqbTSQqsEH3o + MFY98IQKgI0BhrECBCEYQEpEIFhMaFUPvf0N75XQCbGBlBjGbCEJt6IQyY0uqLv7/rtuueum79z3 + tbmF5Yl9NNRsE6J2XVkC0xSIotFlfFWsmaNGCtIaXqsALMHMrC+DaSeWRTFpup3n7b7k1S9948+9 + 6Ge34tasncUYSZMRFyUxNTazEkkSovZobDbrdodlDk240lkeugq7XTWqcxu57moQlNRIVzHWxsZB + AaCwGRmNls/E+XBo78Y7//6TX/nYHPNT4wnsmgVpAzeIoeQhd2awCGUYYCyAQJWhwQCBFuhIxUwI + xOAIM2UJkzQLYxuHdmqHjkyu/onDz/2Jo9cd2Tkym01SiE3TpJSY2aCllKK5G7t+7PYW+3vzs2f2 + Tp46e/rM3tn5sD+kPKauNHOKy8JjFqMizGBEQ8yr3lSDoREIYQhkpFGNDMHAikgQxjiYpiGlIT17 + cnr/h3/5uf/3q8fuevvr3nX90Vf1Mi+ZLSOlFiZCY4nCZkqAJdJAiAAYV3KS4XFFGoM2oALujAw8 + wASWGBwkLIeBqDSTiaUkqgN0SMMQH5yH07d/9Zabvva5E8N9XTPv4r7Gglb7DBRNCZGLjTBFJJKn + e9n9C2eRr7ogPncv13DroEgN7y/22jZmQ+bcTR9836f+7NYf/gN2hgAsBzQGDjzkzBZSiQQY1a5M + V0ccrbv6df8stOpejbB+H2Ay5XX09ebBSpuHnYcMbFzvJdPQoB8RGDFh2Q0p5Gm71fd7tx37HN8c + 3v7a37u6vS5KCEZWRLnGJxthdVAokTAboebBP06UaoY3E5hN2ZhXbwZqpsKbDz8JFmAtDKMth7g3 + b49/4OZ//4mv/Z3tdCGNJBYBKb0hgoyZ1IyAEICAQQwBMZAVLnOb6PTwePTZ0+t++vmv/umXvubZ + V/1EQ42ZAQYLJJOMcqI7dvf9d955z5fvOfnteTmjyJyQaTlCM4GCIsJKLArt9Jprth+8fx5jP91t + l11Pk+GMdB/6yg0j9Nde+Z6ZHKGeJqGd94swa0VVqTYqBdS4QAnEqhEENqbVWx5QB4hfaF8/A6WU + uq5LKdVpkzV8ejKZ7C+7GJo//uP/+uzZM3/91zeoomniOJYDnyLWHrEZ19O8dXJmzjmEoKoi4snW + zjnnnHPOOeecc84593RUi7BsysGY2eZ8byAyMxPJuQAIzDEGACaqZgCY+QpirfMwphDrcpqY1uMl + BICaMJGpdYslgBQjeai1c84555xzzjn3ZKhlZB9ORGazWa3lDSDGuFgstra2nti1c84555xzzjnn + nHPOOeecc+6xYWY1MbdWTkgp5ZxXGYeGRIgcsgrBstkcuBf42He++YKXvXx7MbYxMEwEuagHHD4e + hMGGAF2PJ2bi2BF/W8a//v73eqAEsGgAXyzXtO5cZt6kHV/B4Gd3xXLONRm6hsiWUqbTKQAiIqJ6 + uNUseQAXy7Su6r1t29Zs2oM7cROSnVKqR/TlrmdtJ7JWf+mZ1k87dZyDqjJz7dLr79WEOUwms77r + ALRt6EchEgPxulKR9gVhiW9+6eS3bmmu/9V5bkqgpmlESiBG4HHQEHkzt6EWvyJThohnWj+WlKwW + hKyFzhiAEBiIzGW5mE4mh6Le9B/+Ct39kH0ERrlw11F7+02nMZ1Ou66rs1dqL1HHvdQGc7FBMu4x + d8Eo6xjjlUUePLa6rmPmtm0ftYiWf+pzzjnnnHPOOeecc84559wzztbW1mKxWC6X9Up/vUh/BZd5 + 6p/Ui3kppZppXRf++Ky4c84555x7hlgnHBOAWON7a7IjoYAEULJoAGsAIJpTG4oMYxiWfPY/3nfz + DZ//i73wwKI9g0ZUoQpRQaQYzWBBlPRcoPW5xGAGUaQ6jFxGYiiDgaQRSw4aJtZObHZk65rrX/SK + lz7vFc9/1osm2JnwbtSJlWAlcg6hcCIzCJTMDEZExIARMbPCzEyhR5O+4FqlZ5OSWBo7m59cPvjd + B7/19ftu/87xu0/09w9jJzSC1diEUQxmCAExRGQlO7DmBCLAMOQcAwIT1IpAFJFjM2nz0EeGGWIC + MZcisSZ9EsCmQfaXZ2xiWA3UUyBc1t4iioHbqDR2cvjQ1V0ZRKlBy8YoajKshgmTRJ40kKu2Dm1f + dfX1v/Cqd/7qe/7+C+//4t2fnR06cuzU99rthkxBRaEM1cvL1z63F5USjGsYqtK5MaEKtRqVSqgJ + vnX5VmOYV9sSq1+tb89FqK7+uRoKuU7L1s1KqqwCxUOAKABTEpBNW1I1FSgjhzLyvs7228n8pgc+ + 8ZX3feUf/9Lvv/yaV/M8XbV7eFwuUkoKbJLdaZXjyYCCDAYjO7dKIDZelSGvDycQQMYtzbSXQK0h + ADUalQBuStyWECgulp1i/nPP/oXXXf/mH5y+++vHvvYPt33qxPjDvfnJ3PQxwVpkM6iwHtgIj05t + MxGAVqsOsEITA4qd6SHK4TA/6+2/9u7Xv+rX8qmwO8xmNkVmG02FDGwWimrTNLl0gTSFyEYmoBwT + s5qCLjbX4MKrdDkPhkLryPj1zleDCgqiSjt89+zXP/Cpv/nag7fo1pg1bx+ZdOiHgj5r4rFlhGaV + yq6qCjViMiZWqBqBDGYgDk2IbFAhGkNCO+XZ869+0XVHX3j9dT/1vCMv3uVdHiKGoJkiMaOOjGVi + qFntwng3ZB0UalQsmJgMOQ+6+Oaxu79+73/81n137Q8nddaP1BUSAqtBqTZ1XaWN0+q/VVKs1SRg + ABQUnKBsfUFGP902JPrqg7cd++C973nj77z8mlc9e+eFQCpjbpsmTFqRQUgAJuOauU6mIDECQBdJ + Sn6yBBgZRFep26sRx3ko02aKYL10Pe1hGnLoT43Hb/nGZ77ynVseOPE9abuxXZY4WEIRlA7TKZgh + I4qgYYSw6hJ+3JwbBb6x6aA4RIzjOE0zjRAa9vjMX33sz+988Ba6ql+qREITSUYzUQ7tpGETgZ23 + jcjYADbdJFuf+wwAEPi8/scemmpc3wrJwBcK6t28UWpGCDCDGNotkFI3zIlZ03jLtz+X+Ojv/uI/ + 1S5uNzM2zVmQ2AhBwcZkYFhZvXs8vpQBgIxJ6/MqGYy0biazuj4MwMgKj7LVnSzfv+Ezf/WFez5N + h4YShyyYBMCQxWAlxEgcYGPdPkUwm6XlPAej3cmR/my+evKcd7z13T/7/Ddt61XTsmV7ZKIUVSmb + hVC2Q+Lt5tBzXnbdm1/18z+cf/8Ld3z2C3d8emz3bQKeoRDGgshIkca+pIgz+/PtQ6HrZOiGpsGy + t51DOD0//sEv30Da/Pqrf5OXhhwPHTq0v1jENDv48sl0/V6rtckZVsnWVN/BL6/z/7FlZjVkejOD + y8zm83lIjUE44E//9E/n8/lHPvLxcSwxcimXt902p4jr5I3FYtG2rU/PcM4555xzzjnnnHPOuacd + EVldZFxd/YSqqmpNmSaiWj5MVQHbnAeuj9lUehrHsT7s0sUYmXkcRxFpmqbWDksplVJqNcD6XCEE + IhqGoVYLcs4555xzzjnn3BNpU9/5IZi5zhHOOaeUVHVra0tE/Pu7c84555xzzjnnnHPOOeecezqq + w1ZFZLFYNE1TE21VlSNpMTOQWQAZTIAeMOCDd37zXddfvxtD6PvIIXEzqoHox7GmzJPHADpQKIYU + gBIrjI8cueHTnzgOLGtZMEAuvuWZeRNUTET1MmjNP378X4NDzZlW1RoanVIax5GZ64jxTdZ4jZt9 + hOXUitYxxhpPG2PcZNOKSP0nEeWcNxG2V4CZVbWOde+6riZwu6cRVS2lNE0zDENtZrVhjGVo2rZm + WqemGYYRhMBQZbMite4eINoBe1/88Pve+Yq3StwZhrFtUyDVkokTB/r/2bvzJ9uu6k7w37X23uec + ezPzjZKQ0JOEJIQAIUBIWDK0MBbYgME2Hkq2K2yoriqXOzoqOqKjo6P/hepfOtzldnTXYLtcA2bw + gBlsECAhJllYA0ICJMSgAc16Q2be6Zy911r9w7559QTSE496TwNan3iRkS/z5s1z79lnn5v3rL2+ + Rze2stqQzaf9k2HZo+3JjYwMgZigI533B+956IZrwAuUHjCQPkVbsZ0juu/7pmmGYZjP523b1lqX + 1Yyhqm3b1kUx3jrp2UFEi8Wi67phGJqmqQuXVqeDZ19tnzWbzUSkTvt1idOxx4PHWjvnnHPOOeec + c84555xz7kVnFTtdL7mtPh7v/dSKjR/6cc+0ds4555xzJ8JOtCEUFtkSAEJhKKiv31IEQwSQUuht + O68NR+LBj33lI7d890vzdHBOC4vIBSkihNQXYXAIVIacoMEYykZQA5EawQBiKJQJZoCloJxIOx21 + k72jsuvMU89+zStf96pzXr27PSWWtiltyDFpjBbImMzMloXQQjAiUhAIxgRiIoDKUJY5nQwigAIM + gWgYLNGeU8PG/tPP+tlz3tbz7HuPfPNbP/j67ffduqmPb8mRTD0SGaE37XNZMxBgwkIwUgvLp6Nt + AIEMRhoiN20MAFTEapZtAEeI1lRdqAABZGasm7NN7ANZLcQ/jgRjAEKq3A9UJEGDzvNQVIEoBcOQ + AyggciAmIhJlNjPZlDGvGdYsN79+5fte+fLX/vUXP3RKWxbliPEcpMucXQBPzh3/sZAysi4fRzGC + 1FJRg4FRa0wVQRFMA6BAZhZ6UnJqLTcjq9G/O7+fdTkurQFqPrbu/AOZEsjUQGDmEGCmRhZBMrE2 + MIWgwYTLIkMCaDz/wfY9+3bN/+jT/+aq17773Zf9Kvd5o1uzoSCknRz31eaU5S9cphGzAsvHAwpI + SmpmIFJSoAAWzKK1pDWGlQ010hiBYEqIYdzuIW0X29M8m52Szr3i7DMvPvvKz9/6mS9/47Ob+eGw + d5jobFE0JZAdR6z1KvOVa2Q4QQykysYkFCTkTVz28p/59bdevTe8dHJ/PGPjpdAMIxWr6wOYA3Ng + CsEIaKTkvhSugeGcU0pWynGNCLajklCXg+FYBYWsBCalRKRsBaoK62OPPeWaWz7+uTs+cZgeZxao + pgAAIABJREFUnXd9kX40Tot+YopdbZfGIedetGTACKWAUi1PVoANLDtp35ZIM6WeQhntp/0v23/B + Za+4/KKzX9vaqNFRoMYmlFUApJRS11EOJhARGZYrKJjBDFMEikxqDDIocoja2fqlL9v1s6+6TAj3 + H7zvpru+etv3b31seLB0PULPKLRsQsdGOoTl0cFHxbcHEDQKKKoWSBPMCIuhD0F5rIcXD/y36//9 + la9661Wvf/eB9Zeb8TAMHA1IRgEAWQA46LJ6WKGG59EyDyMICwBDq9YCVGcYNsQQVPOAMjRausVj + wwNfvev6L99x/eF8uDQ9bcwoaWpAGchYayMQh8mgqrFZJtkPGYCFcLyT6POLEqMeNcDO5PbE58DR + hw8BJpJTasV4XqbT8eSvv/jhm+6/oV+b9EVSgzJgO1uTInOyIky9Rt05DOsTxbC4nHStphcXYHkb + NoRloDOEVQnCT4q1ZgUbJ2E2sLGwDqHs3ECPvpkQxi0y0PeYCwIkBKSGcskTbH3lzmvOOXDWq3Zd + 2nEz4sTZFHXCTwSONVAZUGK2k7rYYHnnbMx14jUIFyNDDRFXrk+Nsgw0z83WIf7eX3zhP3zz0dts + NKOYmSgPFjdascFgMJJAClaLZIUEbaLtg3l316Tc4WG96qK3vevyXzuFDtD2uNX1RsekEBrUemVj + CmTWSAhIGLrUhHObjT0Xv+T151/2N1/84D2Tu7axZWMMgCpa5iZCCVk0kKyvc56r9BgnzOa5WbMJ + H/z72/+Kk1z18neMZJfl9RAaWGAYLCjrE0+C1cUg0Jo6vzz3+TKPJ+m6TkRKKcxcM6eHYciSu66b + zWY55z/8wz/8vd/7vTvu+MZsNjz5R48+Az71s1pKqQvGANSK/NUSvpP1eJxzzjnnnHPOOeecc86d + BE3TABCRnHPturLMkyYmgplpkdqQpTYCExEiIkMgZmIGqRr0uC99lVLqrxuNRrUfmZnVBlKllGEY + zAy1IeDOJjnnnHPOOeecc+5Z9nT1YPWNAuw0Cq/FafVNBuecc84555xzzjnnnHPOOedeoJg5hACg + xh6HEAwKhim0FssyYFwIQvag2PV3f/v088/vZhIVCJZCAx2e4Xe440IAEIzpiUYuaoQJ8bc3D1/z + 8INzRq8wYQomYj9OQXMtUfZM62cZEdVw2XqIrS4ur7KuAZRnahdmZiGEUkq9Tg3gyJEj9cp1vZP6 + kZl/ss4nqzsBICLb29u1bUv9inuhIKK6JKFtWwB1D6pqE9PW1tao22WqwzCAkAJyAQMGLtAAMEMM + wWb5jq88evctu1/9lp7TYBxAJiVwIA7y5IlGASPGyW029eK00z2Ras8xoDYyM1tL3PSbN173CUwe + hmyCAH3qTGsAdcaoS1QAMPPW1lZKqS5UEZF6LqgrWezHOo24E6CU0nVdfdrrjJ1zrkuWnqtN6vu+ + rmyq27MaG8fgsdbOOeecc84555xzzjnnnHsxatu2toercdQ/2WUeIlr9OHau8vZ9fzI22DnnnHPO + vUgYoKSA7cQJL0s/yZiNwUwaQcWAGnMoLCVMynhy52Pf+PgNf/29rW/N0hHuBAQCmggV9JKZo6qa + UZNay9kIbKxAMDVipZ3QSohBWLmRNqFpSrMue6+8+BcuOvuSM19yQHrCAiNb7zAaZrkLLQSmxaDE + zKxmojBCYFBNciZjM1tWtDPXNEGDwkwVZmZCxE1iHhGbwGbS8NrFe3/2wlMufucV7/3a92/+yre+ + 9L3H754Pc+4EoRgPphmAGRuYQCoCLqRQRSCEELTGHsMUKtaHwEJQAQRqaoQYYy7FFKqqrIthCsCM + mFmk4Dhrd5Wt6CKE2HZpsViEkCI1WrRLDRtDxUxMzWAIxMTjppv3CyoNjLYe3br4zJ/Z88t7/tsn + /+T+zTtzIxIWurMB/JPUoWn9QYLWBOtlJqtFQyQLrJGVkiIqggHAwFFqgSN2cqxJAVMuRmpkSsVI + dfldwHZyVevvALMB4Jii5GJqCiOymihtZF0IjDAMZTDlDk2DwTDLSOs4tHhktHf3tXf+7eMHH/jl + y3/lgj0X2iSSgSzBGEbLSOrlDpVVnquRkrFSfaQBVjemDmMlKClTARmRcd1sIhVWNV6wRBMtycia + 0LQUTCxL6aL98qVXn3nKmZ++6a/vefzrzR7izubDcRfYhbqtjGWmOCGwkHCTU1w0b3rt29/2hvfs + tpeG6cZLxqcMW7IWWoIZGSBKAIFNTFFyiTE2sSsqCBDWoWRVIzrOgUHHURnMBgFTzaWHEmkJw0D9 + tN3884/+h3tmdz3K9w9pHmKM4H7oI4PA0g/FCFAKIAYIMUINBpChxtMSGNCg4LxBffuS8RmXXHTp + pedefubG2aN+Pc6aBmMUVoUFa5IJmcjQD5kVwSJTiqEFYGampmYgDYhGqqQmxoiRlNEGJJrZoHZW + +4qzf/aVv/Cm937jvq997Xs3fuueWzXMlXsJoqSZITX2fGcLYQCRaC3xVQNSSJBsZJxClpJlezwa + cWvXf/NTjzz28C++8VcvOuOSJq7LEFJoVWqCOgOqpLxMS2Wy51HKs5KC6vaEGs8sBIIKi1HJNNd2 + eCw/fMOt19/wrc89NtzPu2loi3BhUlMMA5qApkHJhZUabjVAci9FYwIniDzHD/AEsCcmw6dGuoyj + Xt6OexkyWRn3n7/j019/6Ma+2y5xaEKYT6VpMNpoJ4tsOozaKEXxI8EE9MT4YKym2eUZGaHmGRMf + vUk72ey881Nc76feldUZEKzQehsC2hSm28IRXQcIVGGG2VCI0DVDCIf/6ro//19/7ZwFrWufutgu + MNR7NmKjug0/2SnpOFGBMRmTEWBKdUJffi9oJMBIS+hzzPPu8Y98/j99e/O2vtmiCO2FE43aMJv1 + gYiZAFLNakRsTDCgzOyU9Y18WMa8551X/sqbXvnW9XIKtpox1pGTakEAWJS0WGGUNoU+F5Xcpc7K + aMjDfm439u79vV/a85Ev/ddbHr5BdeCoVoChmBgaCwEi6LNCEBjMTQxllkvX6mZ56JpbP5qM3nrR + u3nesrUwwIjAQUPd6bYMtF4+ZIUxQaHLfQxfDgQAwzB0XVebh4YQ6uIKIooxDsPQtq2IiJQPfOAD + v/M7v/OP//g1EI5rIiaiujCMmWucSV3Gc5IejnPOOeecc84555xzzrmTJOdcc6Nry5VVdnWNr65v + Aq8+qb17sLxKAxEppTBz13VFj+8aWAhhlXqVc26appYr930fQgghMHN9T7u2qvG3oJ1zzjnnnHPO + uWffMdYR1yXDqxautb3vT9Yc3DnnnHPOOeecc84555xzzrnnAyIqpZhZ0zQ5ZzNTNWJwaFQGRc0t + VYARwlTKx+646+2vvODUboQCFNDzqnvOTxFWYKfJDIwLhclG97EvfXkbOKxQJABF8pO7zjxJvY5Z + k01ra+VVpumz9ihezFaXkmuytarGGGuBOoBatR5jrN2qVynXT0lV63FaL1ivIqhr/fkwDKuDt1a/ + H9d21nr1+rOqWnu2eKb1Cw4R1ZEAoO/7tm1zzikFg7QpmioTEwdREQER1AwIBhQWmBGAYYrQfe3a + j1519oWjtZfNCDEkIiJTk0KcjHZaHC2bX7GXSpwMRrAn2ifutL9TNR3a/tAjX/gkdA5TGIjwdIf7 + qj9SXcCiqrW+pQYYp5TqIKlLV+qwcc+Cekau54L6+XO7PfWUUUpJKdUNO8aZaMVjrZ1zzjnnnHPO + Oeecc84599Pg6JXhq6v79XJ7vZCzukH94o+GTx/vtfkf/REz80xr55xz7gUkpVSr/dq2rSfx+pVa + fLN6ReENaH5UfRVE5E/OyWIEgIBadqZAIRDAzCwFIbXFiGOEaV+2qCmL9ce/cNunvnDHtY/0D5T1 + bKwLBYEYAaoETQRYYQYsitiQsW/v7n56pCxsPA5mmPewCDBU0QUNJaVZc96pF735ore9+qxLdum+ + KIm2wMoEhJ5huWFSG8CwAAWM1AhKzEasCMbYCbUlUKhBjFYTuwFjkLJhmbhrDFWB1PBitsB5HPN4 + lOnK089+wxlvv3frezfeff3N3/3KofJQt7cdQjZCIC6ZzUAWIths4GVcq4ClcDaCMMhgqmQIAaYw + ZmbkXJihBGNS0lk/k2KEACgRHe8fBhEUKakoJHdIKMwoAChnMtpJPyWjACGjkjEJEVBqEPfwnsXj + 073x1Pe/51/+8V/8m8f6ntc0Y4iR86CJRhBFyMfKWH3qMWQNB8oSLdmgTWxLpoCRSko2Wm937Vs7 + Zd/a/vW4FjmGrsmSh2HRy3yeZ9uzw4enByeLzcJ9XAvaYFFmgw0UowUTkSaJiBRRBHDgUlSN27Yt + vRCYCDA1KJGBYIYCY1NEbQATQLglGGHIGgIoThdletN912z1D7/9kvdcev6b4jZka7FrfR+yaZYY + kwgCQVhr7KsSYGDSWpzPRqvMVyNdRm+zskYypjrkSEFGBAlDCToApE0N/w6mrNxa0Jx4CJef8+a1 + Jvzp392fy/bmbNpGHNeAYAMJmGGAAkIAwFRIuSvjl5/2yndf9t4NO4Nn45THJjSKY5PBqBjBiECq + yAYE5gCGFVUmkKipMYdkq4DXn4w9seRgVYfa9z0zhxBq6WHkuFjMmsQUsBCxsXzn4Dc++pkP3j2/ + c9Ful5SNYFoIYIYaAlugGvxZnwGqGe4q6JpgsH4obYwmHLmN89FL7cI3XfTWS19z2Z7RXptRmnTR + WlIyY4CJAVIRMzKiGIiIIiEA0GVGO9X9vROuHpQMqnUvETRYAypgMENmuptGV5z6tjeeccXDF997 + /a2fuvn7NwyjmY61lxksEpREG44g5sCLxQBY22EYNASICTiSMTISqCWF9MLgfXz7Yzc+dv1D77r8 + vW8878o1nMaL1NKaZrWQUxuyDWaUe2uaRp9Py3KMIKRFJQUjNTOLMRYrPS1oTR/a+t5Xb7/+q9+6 + /tHFvc3ukDZ0c7HgEEzNEEI0CpbF2MABSqIWYIFozagUyeDyU/yiIITQ933TdACGLMxGFAAQRwl9 + GU3/4dvXfua2j0zHh+aYNJywCOuh6fN8rn1sOassioy6VHJeHYRmMFOybDsF/DtHD2AwBhN6AAaY + UgADZAHKbFQryNmgqoUkMAqbQpeHBdUVY6ykbKyADTIKUAJ6GC2ntRSgHCbznrsyNXzwM3/2r9/7 + v3HZpWgCUTGOKUk2EBlRGWbtqNNyUgd0ncCFbVnYrIwczEhLrxvdrjwpTZe0GTbL4TKe/8W1//GW + h77cN1OyCOGGohUVksggTmJqoiFyEzCb63gtLWY5IWBoNmjXr7z1t684/61huwt5PXEjKmiykSgV + IwWZAQLMMaFAMBbEICliDFWax9NG5/7WVf8iX6tf/8FNG7uDWj9M+9FaO7XegCAIxmBWwlDUGC2Q + e6XRsDk8+jc3f2jf6ae9atcVo8xtTHk2tDEBEWIppSxFiZ9iQRnJzq71lWNYlezXovnVarrA6HMW + IKXELMz4f/+/P/6f/uB/vumm27ATbB0CiQhzPEZGyOr93tVt6h+GNeykrstaNS2t9fon7bG6Z89q + L//Qx/oCaXWVwRdwOufc80c9U9d1lXVdXz1BLxaLruvqDZhZRJjZ38B0zjnnnHPOuRen+m7e0W/2 + 1i+S1esvtiopJoBAR7fbI6L656SI4Hj/rFQjwEQDcWhaAJJLID76W1kGAlKIJnrc9++cc84555xz + zrmTycxWmdY4amGRc84555xzzjnnnHPOOeeccy9EtZi2NkaoDfdUFQRTZCsA1wZgO7cOBeUHwOfu + vPPcl71ivFggdRiyJxyeYAFaChlz15TFjIlZ0Dfh7jz/3KOPbAOMRhGY1LiGjh/L6oLm0U2Y3bOA + iFbLmWsfp7oLjq5grwcdal36Ma1ip1eB06tPalfMeiG73qB+PPo+Vx01f7T/9mrB9er2tc/YCXgK + 3LNrFU7cti2WQ0JNlEIwHYgTREBQrFoXMcAwAmUoAiAymf/jZ+lXf0fjqaVZb1tMpmXfOBXNQDBw + /Zna4ciIBahZ1+5EqV3LetUQOLWYb2sKzIQYaAz98t9/GNsPwyQlyvlY0/nqe/W4rv+tM0M9uusg + wVHDxp1AtZpolSyOne4W9RMiYubaIKu2MDrGXa1m7+oZb3+8asB2jTmvNVHP2D3JX/Q555xzzjnn + nHPOOeecc+6nwSpWZDQazefzeoEnxigiq6STpmmGYVhlnzjnnHPuxazWcNQXBn3fd123WCxyzquI + 61osUsPSahqKc8+mmsS7DLckAZTBRbjtuul0GrquL7PQKrWzI3j0g3//598/cucjswesKWBVGIFC + SCaAgaC1ekht+WG00T588PDGCM0aT2bSBHSpIQrDUDZGu2RiLxmf9fZfePfrDvzMqN+ThvVokYzJ + mG0ZqcsGAEow0lUKpVFNpDTWsFMSxT9cFF1TdUlhvIrnJawCsJd3ThpZkw5MrOtpdOGe9bP/h7Pe + +KpLb7j9un/49hewPqCT+TDEpg1EDF7M521LtpMfqwQjKEGpFkeCDGwQAmy57QCI6pbLdJgRkVlN + 4l5u3vHsLgZqADkAkCmW2cMM4p0gRQXVPG8WzmYWlINx0MS2RjAOdsnLL7/um58qADAsioYQIYHA + ZvKk6v9nYqqBmAvTPK7R7nHYeNlLXnbK3peeuveluzdO27/njPV2FzJZT0m7yCEFVS0FRciUtdfF + XKYzmT629fAd373trnu+KYWaZjxYL8MQY8izBUdsrKW+5IUoMVLk2WzexoYMvHzqVAlWx0ZQMWUD + GQdjIBLIyDY6TBeL2aLsGYd21N796NenN80nMr3yvF9Yb/ZOjhxuQ5dSN5/Nx90oizKxQq0mWBMr + lE2NWHf6i+/0HYfVPWhhJ/5TsbNLDPVOEAgwrTuLDbCQqLWM0K+dvfflV1x05ZfuviatgRj2DAXh + T0KGRASDahQSYwUBpGzcDt1Vl7xjVzmlWYxHcbcYhxBVi5Eam9be6ctYbjWIMZOSUTSwLY+SOtiO + M+P8adS4L2ZumoaZQWoWRCTn3LTRMAzW53G++fv/8PGbPvxg/t5ifZbjAOy0Vbfl4V1gRBIYbDAD + lKAM4xRggwwL3bexRjlpZpa1t1/ynp972Tv3htMaJNlSyhw5BooGCDEIWOXyAlQ/oyeiR5fhv0bL + nQkACAbbyXkl46DROAVTUuzUzqvxaNSNXvpzBy6/5MprbvnU7ffdwkGQrFsP2abZCiv1WbrRSK1s + zvKePbwYFAZSUC24NhAUhPkwp8DrB0aHt3/wkS/+2cHNR9/5uqv3rqXF4ck4riloPpvFUexLHo/W + FoshhOdRJzsyqGnbJtGilIWGeRgKD1t65FPXfvLuh29/ZH5P2BjiRjmyWJQpxmssYokaAFKyQYkh + hKwIrLACC2RY1q//dKiT7ZPKehnQWomrqgYQGQJAUDOxPKTJ97bu+MTNH1ysHZzHrXGTJpO8KzRl + kG48Fh625oVbNB1tTXITQAai5Uc2ENVJk82snhnNGDWWGgrSEED15CUGARkYbAQTMzIEKFk2JQYC + zMAGqy8aVMlYCTXZmoAgdZKBEtggzJFiSTKwKB16cPbt62791K++8Z/OHp8nTiqS0ZcCQ2ibFBFV + Cp/kWOXleZuUjA1Q0noq78Zr8/nQcJPzkNMUG/mam//2jkdvmbXTEpEygjEpExUNCqBoDiGZwaQo + 0LbYnuY9491hGniy9t63/85rzrzMNrtUdqE0IDYSZVEelIWVyahGayuXZZCFkhGTBrYQC7oFdsX9 + v/mW3118cn7/ke80axitp0k/oa6+QGJFrK9D6phKIRjnkDAtcx63f/qJ//B//NNzTh3zMFnsW99f + FhlikRvJVgZtR0025eVrKuhy3lcAhgBfO/b0lnXz4JyzmfV9f/rpp//R//N///6//IM77rhreebY + WcRVPx7X/bdtO5vNAKhqSmn15vPJeCzu2Vf//F8t6jOzuoSvvhtQB1Uppb5jcPQtnXPOPSfqWr5V + lHV9jzelVOftYRiapqkL9lYhZM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzLyqr + pfF1PeZOiqGqrloI7XxigAGixHFby7Xf+s5vnP/qhnhUlGMEjqcBk3smViSECIXM53F9fbE97UZr + i1H38du+8iAwYNlMSU2hx+p/JSIxxryTfVo7YBw7q9KdQIvFonanrEfZf08bipqKbWZmVg/bY/S1 + qP20a9+wnDMRNU2zWCyO3X+7fowx1s1+xlhT90LBISzm065d75q0GEoMXESf6FdHtTMYGykMhGy6 + +eW/+4sr/sXF0uDIlqx1HSCqSqS07O+H2kHSACP2hfonlqoah9CwKfoeIVATwSJlPrFy5MEvfRqy + BbY8GAEhoBzz9FsP8BpXvFgsRqPRs/U4XuxqL4s6S5dS6vRb21/UHPEaMV6bUy0Wi2PcVc20rmfw + UkpKaRiGE7Wdq/5aNea8bvYzTv7eTss555xzzjnnnHPOOeeccy94qyvutUE5gJpMuYqvXl9fn06n + wzDU5ubP5bY655xz7vnh6NSTUkoppVZa9H1fP7ZtW19g1FI/T0BxzyYFGwFWMw0FVAAFODXd9mza + re8ddDEQSdya6QN/+fkP3Hb461s04Q5NaqFaZC5syoMGsCFIDAqQBqiwKmm/KHv2QSXMBg1dVCMx + iT3tw+72yO4rX/vun3/Du0ZlF01oI46KqLAYGaBCNdya1WrBq5IZkypKUBgXIgVg1GqtSjL+kZrE + GqjJWMWGktb7CQYy5mWkrWroB5LURoLIPHdl/Npdbzzv9S9/88uv+pvb/vL7kzuTbfeLWVrnea/d + OqmSIJDGnQ0rDGUBgJq6SlbDMpepwGSo8UYK2Zoc4RisN4MR0fFGRkrdZAJZzXdVkNjqkS7DlcWI + YFEJxAlSFBbUIiFYE2gNUi59xRX/cMdXZiLgiaiFpqgOgfi4Mq0BtG0cpgW5ef25l7/jsl87fe2c + UVmXOZnEFLtURpZNpYcgctvAJG+TQdERNxaSEAtZSXLqrs3X/dxV5W3b9z/+3dvvuvHb996+OXms + 6LzEjKDT7Rw7RIAb5KGsraeyKE+KOzVgGRwOALEgGMOSEupwGubDmNA0ET2m/baO+CG756M3fqhs + yzsu/eUyEioawe2ozaXgiSxVWQ6eGvZpRz05y9B0AaAEQuQaMEqkxAYolJQbJQBkzMigTBiUBQbD + 3KCWac+u017/mjd98ZtfICxU++Ob/Y0J0TTAGEFgCwBkiBZOXTvrNedc2m5uIDcEZoKhFxQENUIN + cAUZqMAEpjAmCqxQRCXeSUhV/skyTX8kqb2Ge3EAsc2HuUEDR4WsjdtFmVGgRZh++raPfe6Ov9ta + Ozxrp2AJtlyIsqxYNgagpEzQ+lwLzAxojAIDBN0Y6eLQbEP3n7X7wl/5+fcdWL9gj5zCOVi2QESR + zCxDELAT1708PHe20gx5GaANaH0UtfZ5+X3giWUyDHDhQJaCIqLmwRdhE+PO9pZJOm902R+843Vf + vesL19/6qUeHBx4/+ECzH6JQstyj62LJw9qYFoMqwQigEjRCASq12JoChy4eWUyJMFobPnfHxw4d + OvQbb/69A6ecj/lCZiUitNxS4iOzWbc21px/wl12ErChMeUiSppjX0bTew594/bv3/jFr11raxhS + n8NsZoUVow1SRT8ogXJZAEgNAsMAM6QEKQAVGGBMBkBrcvKJCV1/LinANY2YbZUiz6rGHI3UzIwB + UoNmytbqYXvwQ9f9uyPpni2bGtBybglFp5yakoFg6w0EELVuAygEEEzJwCAyIjWTmpseiEKwALBR + MIORFcyLZTYmohgCMZGaqoTIRcXYKBGIikIMDER6IpNbCQwlqyHWvPPwGFh+nZTVhBnCQMJmfuTL + 37rm9Rf8zP72nISGmercKRoNlEIopQenp3jOThAGtEbIW13VACEowYhz0aZtdZG50wkd+sYPbv7s + LR+fjDeHNpohIsH4iSVwBDMj5ACogggUiKPlXkazPW973S9det6b18tek5ZzGrVrfT+3CCEyiqSR + JQWNTWkVyHEQljpFC4FYWRGU25L2xX3jUfubb/nt//i3fzSUI3Pd5g5BoQQhNl7OumwaDCi5DZAC + ABOZom3//cf/7ft+6ffP23PB9pGDu9f2zbd6tkjGTdOZKDNkmWftjoOIMDNDVcuoHUuI29ubZ555 + 5p/8yZ9cffXV9933oBnMNKXATTp2uf9TGoahFuUzc13WFWOsqZkn4dG458bqD//Vn/8/tDikbdv6 + TsJzs33OOed2MHOdq1cLqlV1taaurr2vN1BVf1/XOeecc84559yPyU7Qn48n6n6cc84555xzzjnn + nHPOOeecc84555xzzjnnnPvvEUKoEbYpJTPLOT8RlFv7CD258JVgRcsU+Lbgy9+/951nvqwpxrmH + 18eeUMQkZQijkSxymA/daOMw092L2WfveWQLMDBDdBkseyyr7spHr6X1dbXPmhoOrao1jrSudK5r + n4/rflJKNY66lFKTUHHMePK6f4/e0bUtxtP1367dueu3Sild183nc4+//akhKt1oBKXNwwc39mwM + RUCgEG3VeX2ZbK0KMFTK9uEbP7t4zz8Pp71mlEbM6HNJMRar3SBBWA6+nR5cfgY4gdhgpkQBaigF + 40QsOZTZnjF98cMfwdaD0Gl90murt6ezap+bUjp8+DARjUajVXN+d7L90GlXROoLraZpiKht277v + RQTAqsXxU97Pan6uE3htZnVioxPatlXV2nCy/hZmPnaytcdaO+ecc84555xzzjnnnHPuBS+EUC/h + M3O9irZYLEajUa2hWSwWk8mkXnLzAgvnnHPOrdSKjVpgUUoJIYiImalq27ZmVktAzMxrdNyzqVby + wXgV4kumIFESQxDWzFlam+vk0PCDD3/yj7+/9e3ZXuRQQoFZCSoc0NQEXAMZFCBiNoB1nhVBAAAg + AElEQVS0JgM3CaXHvJeuDUSpzKXFRjs0F599+Tve+Kvn7r04H0Jbxom4TOahCWoKUiCssmaNlIxh + NXGZ2aKiQGPYCWBe3oBUgR+JdN2JPd15bb6T7glexqPCSAFjZFOLbB0FDDGWdAqvrZ2yf+/bzrju + jr//6u2ftdFse/LYeITJ3EI0QwMmGDNAy1DwJ/3SZVgmLTfJzECEoFuTzRACGytZIPvRAOBjYsUy + eZoJMAgxkViNTwKAYKQg3bnbSKTgAJgJoMREIacURqfvOntPd+q8HOaWYjIQQOUnKOmcL8oopt1x + /2m7zjq1PWc8Pa3pu8ZGTUjDQosKSEJsmckyiUgTxzAxRMusaBjExJEwatdk1s+UzornnX/5uf1l + 77rtmzff+PUvPLT9PVoXldm8aGxBimyYzXLLy31KBqujt2ZO1yBkghAkGBsABZUEmIAQzKigjx2j + zYfmD137tU81Ib35dVfOt3Mb2mF7GMV1EzNbJXyvdpAqPVEcR6gHjoIKEI1UUPdFwc6RVXPZaXV8 + sRo1igKC5RJjN0jWeTxt9zm7updkzOelD8czHIygSmqmpsTECIBEIGm48GWv1a0uSUfU5L6PTZPz + EBLLcrTQMkPXdsaPwUiVzHbCTU9sOnLf9zFGkJppiAxwSklEN+cH0wZv6dYnbvibL373mvn65ixs + SzDaOYKwE5tbG7KHQApTg9VDgA1EDCYlDMbWntac8cbz3vTOn/mN3XJWWzbyrJgZBQqBimrRTMyp + CfV0vJpk6lFsUN0JiX/CMriXj46gZ9TxxmoMMEHJqG4kG5lhNhm6diOFZvPIoTeec+X5p593zQ0f + v/UHX3n0yAPtPs5z2rtr/dChzT171oT6YSiUlkNXSYlXaepg5lIKGGkNBJmWw9945B+H64ar3/K+ + fTjt1N2ny9xm25O0Po6jlGVIRHjexFoT0CFMJxPanR45fP8N//i5G79z7SQ9MmmnRRE6MDMpVDAM + Vs8gTCE2CASF5qwiYAal1Z4qT0xRxtipTX+BOzpOePmgzKxONsYwsyI9M5fUy3j6t5/+8IOLe4bx + FECImGxi11pYLCQ1JEPOCxm1MCArUgPJFhADGhZmjQkpWRst7Vnb2zXd+nj3uB0TeBjKbDab5dnB + yWOZ+iyDapYwIBhCIZIsQzYTBQGIYCY2IoCKrg5SeiLZmpWUn3hdoTWTvgZB15mbAqTrH9t6+DM3 + ffrqn//n/WyIlCKDUyiDlaIcESie7LEcdLmFxhBSI8CIDGbSl1ka8TRuPrB9z19e+4F+NNFRNqQ6 + ExkLTLFztDFBzQKQGLCQe1sfjctBev2By99z6XuxGSljjAbgoAqoEYygCMEAi6yJNCTAlEEmQL0B + YEoUlBod9VOgzxedcem73vTeD1//p7w/FCpBQYTMKgQQBwUb2JZHRh4wWmukD0X6e2ff+dgNH3zf + W35/7/i0rbIZUmsKM+tSO1/MiOsZS1cjMnjE9Y+h/vlW84Zns9l4PEbGdLp95oEz/uzP/uz97/9n + 99//UAiUs1iWY5T7Px1mbtt2GIZVlHUpxTOtf2rUv/pXo6KuGAFQSqkrMerVh+MdNs45504SEamL + uuu67tX13/rurojUFeAiEmP02ds555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzL05d + 1/V9X1sx1LXzzDCFYRVrvfw/QRMFMWTCluHv7vjmG869IOqwThYJ5Is1TxQDQpChD2YcUl6INnFr + 1HzqptsOAovl/oBBASOQydM+9bVf02ohbc6Zmb1f4rOpRpnWrOj6lePNtK53oqp1P9YeF33ft217 + jB8ZhqE2TqkH+Hg8ns1mRPSU/bcXiwUz11jT2mPTM61/ijBzAgDJTdfmLGDAYKJAABRQ2LJ9nZgy + QNbbcORr13/iit88i2LKSGI/1FdK6QT3unNPCCGIQgVQMIMBGaYj6u3I4wev/RjGRlvZBBSYYaLP + MP8TUc55FWjt8/+zhohq1+IYY32JVUqJMRJRncNrjHS9Ze1Z9JRqbkJKaXt7O6VUmx0d4/bHK4TQ + 9/3qtBLjj5VY7bHWzjnnnHPOOeecc84555x7wTMz3skyY+aaQjGfzwHM5/OUUs65XnIbhqH+97nc + XOecc849D9TXDPWTWmxXq0MA1CoQ7NTgOvdcYNR4VwJQo6jNgKw5baSDiwfR6P2zu/7Lx/54G/fl + jT4LEVEJqijKkggwcF5mjAprBshAQFKAwIYs2Bih76WLSrK2y878zbf/7hvOvHw0GzcHR11mLQOn + NFpbX+RF0FWlmi5DqYlBy+xtMhgCW9L6FSqgDGjNgQV+NJaZlofWTr3cMiEbUKoRnWBDMIzaUc55 + 6Etg4hCLaoZins6OF7z/krMu2fvqv/n8f26Tbc83Q0fbZbCoNWHagGAgMB0dcrkTjUvLIG0IQGxm + Mu0nAIhMTfVJgabPTAlW9xFBjYC0/F0kRmrgnQKtGgHMsGASYWYQEIzUjFWJcghNOnDqmQ89/C1O + mhoUAbH+BOlLMUAGms4XeWqNjDsZtdq0iGUxNGwpwlhBWQFGw5wMI2OQKdgYMFOmQkT9dBET7Ynr + ipFNQOGMt7/8gje/4l233Hv9Z7/68ceHx0JahGiPHN4a7cGgdU/XJQs105pBINOmQAlKKLEAJRhY + 6w5CTGGWewW1G13OuUyGXeu7t7cf/fStHx3C/Ocv+cXpkcOBu0ixiaOsspOkfvSTolpT28GrXQyy + Og6VhGmu/MQfgGyBNDEiNCnBrBVSq2OVKHCXpBl6HXV7Duw/d2vzgRQB2wmb//FGRCFRgiEQcTA2 + FRYETa84+/Vl2iAmQCkaglCNvq4VhJbYIoxAAmJQqc+hIQCRjRQIy+f2+CoO6aiYdjtqbBNpjHHW + zzhQ0zTDsOj7IjSk3fGR4b4Pf+W/3nr/V2V3zmERmEpvoVneBXYCtpUUQKAAFVEwQ5nMzGwetaEc + mrK2L57xa1defek5b2qnG2nSasmj3c1gWaQoDIFCIIH2fQkhUM1Rtp10bGMjEMHohw9JMgB0dO0z + 7Qy8qGqkwmKkAJbDw3j3+vogw2yyub7WzYe83864+vL/8fwzXvlXX/tP037Lhj5Lf+re3duzzRh5 + FKmIGS0fq5EaoMus9mKCURdVy2yBtTVkmt784Jc2//7Qv/r1/4WFEsaxHZVSOBCI6Okrg599bGg0 + xNFoUze/84M7rrvpU3rKDLujDmiadhgGFW0iK7SfITDWR7tyLkymZVHEYsB616jwfL5IgY0V9Wmh + 5VIYLAfbCzrZWrEc4fWx1L0OEAEoqiEFs16tpLa1tPiHuz93073X035aCDgBihSxGKQEiPajjkPk + PEHXjdZabG9N96QNGmKQds/4tPNeeuGFZ198zmkX7GlP6WgUSqACFmMyZgRWTTaJ/aOzR77/0Hfu + uu+b9zx+18H+kYVNShqooRiMFSJAj0AxUARglkHLM7XRTrK1KsB61H4xAqBKSDH2GQEYBoQA6vpb + 7rvpiu23HmjOY2EtGsCRTTWTEoVgchKferI6mcNIZTkzIhgDoMC9zbflSN9s/eX1H3i0PJj222Qh + gTsYg+ZKhZdzNQNaV9AJEJk1p5CZCr9q/6v/yRVX797ag8Fa6iwPMTT9YrNt0wKlvjAwgnERgE0N + GtDDhBDMWBgAG7EhWGmCxY66/sjm5Rdddfu9X79r68ahn7TMMCipsrIuX+8oaTGMWmI1WWgXR0e2 + j+zav3HHQ1/97M2n/9bPv+/wQ7P93RmSQb31eTBSAoHqq5GdcVhfDRzHyejFKIZGRBaLxWg06vs+ + l75t2xDCwYMHX37BeX/6p3/y/ve//8EHHwNAQGCU4xzPqqqqKaXpdLr8jTGqqv/N+NNBVUMIpZS6 + VqReQajZqNhZ75FSIqLVbZxzzj2H6gJLAHVNNQBmrrP0eDxeLbmMMU6n07W1ted4c51zzjnnnHPO + PY/Z07z3fvTXvQefc84555xzzjnnnHPOOeecc84555xzzjnnnHshqjmXNR9XRHLOZkarFnu10ZYB + xgRlQC03Kc6zzqC3af7i/ff90ktPXwNphkdlnkg5N6N2XnpTHu/a8+gg35Fyzf33LwALKGIGNRiA + yKFIOUY5c92ZNRS5dkKou/hZeiAvbrUJSf0IoOu6eogdbyKpqq4O0po5euxMa1Vtmqb+lq2trbZt + Z7NZ0zTDMDxl/+0amw3AzJqmKaU0TVMnh5/0obvnCwMUnIe+iwkGNSEOSBFW+yGKGUC1aSQMrFBm + CIbD1/9tvOodaTdjdEpO7bxIZMKy6SXIFMR8fJ333I/FDIFhAiiaBlpKg7K71es++mHIIcwOxdro + U/TYjZFCCLW7Qu2dW9OLjz11uBOrTqGrp/2HzrzHTrM++maLxWKxWDBz3Zt1Mj9RG1n7JmHntFJP + B8x87PnfY62dc84555xzzjnnnHPOOfeCV6/W1ExKAMwsIkQUY2TmYRhqBEUIQVU909o555xz2CkH + FJFaxldKUdVSSn3BUIt1zKyUEmP0Gk333CBlq0V9CRaVNbS2oHmzN992/81/+bn/somH8mhuTQ4S + ObIGU9OiMENUUA2xJFDNZCUAkBpyWbA+Hs8ms91pRFvt+add9Ftv+1enhbPb6e4wD6qcQggpCWGR + h1y0DbXEqGbE1iJnAWCsNdm6lqfzMp2aAV4WMj65xfMqj5eWuZUAAGPY8v6t3oS0ZjkeObLVtaNx + u66wwSQATICGbhGnRxavecmlB373wEc+/+df+8FNi2E63tUsbAEuAMh0mV1tDFNlcP0vPSkT2Jab + UYZhJpqJAVUis+OOkrbVE8M11ZUYpgDTMkF7+d3lAxeATFnBCkSyuoszSzjrjAO3PghWEFAAU8SQ + ROTHj4klgwIx8Ui6tdF6F9dsFlSomIIUJCKmOggNRCFoBDeliBKIiAEyDWQwJcVG25UsUjRQqwQV + gLiN8fUHrnrleZdcd/Nnv/z1a7cOH9y7sTGZbndryLlmwaoRFGwEA5MxTNnUGKuptMY/DoqUzAJL + 0VmeRw4p8Lzfbsbl0ensMzd9ct++/a857Q1tXOu3eg5NHTMKhumToz1Vqe5rCvXubVlui2X0stbQ + a7IAgCEgAzEQFBGmSsYoIQaVHhZbbrK2L9l/5u2Pa2o423GUiSsBoZgiGAzKplZAimhx364Da/FU + WQwqud01Xgw9c1NK5qAMkDJrACJQwFAiI1FaReqCYWTAiQtJZmaQmhkRlzL0/bxt27SGB8v9H7r+ + P9/+2M392kRTMZIy2MZ6XAz14FoOciWtMc9sRkLEoECAqQACFoxk48yN83/tLb977u4Lm+mesikj + jmEUp7NtTTXzPDCImUk0qzDVzNgnNtCWCbVP2ylel7GvyrbqLK9EAClIleoXWcFsPJtMUwobo/XJ + dKttRrtHe2eT6ZWv/IW1l6z9u7/6t3t2b0zz5lS3U8cWkIsFAyySwWj5YJfjwNB17TDr1TAaUZ9t + OmzvPm394dl9/9df/J//+p/876eOz9xFI5mUJrR2vKGpJ19WK1kshYtee/FL7z1wz+zOQwc3x/vD + bOjZwIYyaAJ2tSMqnU1Cmxs2ozTa1QQRXRzpC1mX1syy2rDKTF919v8pesGkR5XXMhEERUyZyMgC + Bwr22NbDn7n5k3yKHtw8tLY7zvsSGnAbFoNQgBQsiq4FTk0nU1ifTokH2kn3ugve8IbXXPbSU89N + ZYMXqdGN8bAuM01oAijAQGqaVUshSRYOrJ1/1rnnXn7hmx9bPHDnw9+45e4b7/zBHUM/56ZwpMSm + DKhCMlGdyOt6AMUyhh7Cq6UCy1FcX1sYaZHBFEmpwJSw4D7wkS98/XO//eazI0XMFCpNCgPMjE1o + 55k5OYxJA2AlDsJiAFmdIGGsGoe42z50zYfv3f5uWZsLsgFkQqbgYoDWcWg1Xl2NUBSR1IquhQ2d + 8Nve8otnrB/ID9me9Q0tBmYRCTGISQDs/2fvTqMtu6p7sf/nXGvtvU9zmyqpSj0CCYHoQUJgDAZs + iyZgYRNjg23cN+G94Je890aS8T4k+ZQRe4wkr3FG8sazcYYzjBMPBxtsbAMPA6IRIHqMZCwekkAd + 6qq59zR777XWnPmwzjn3VqlUoorqVJq/ccete2+de84+u1n73LPnmn9ajfUilMSJqoAygDLFghRK + IhA4aE6OK49K+uznkzf9yFvv+vPbm5AyJHMC4ASsQgphKQvTq1YVt9PEOl/fO3x0sn3haPSFb97y + tH1P/6Hn/vjs0HyovpzxmRnIi2kjBCjzKjBeLT75eMrfd9uTmfd+MBiISNd1qrq+vt627RVXXPGH + f/iH73jHzx06NAnBxXjC4/NqOsf6+vr29nb51jKtzzNlep6qlkzrsn3LgVneHxCRwWBwthfTGGPM + IsTaOVfmVNd13XVd+flsNgMQYyzzwEejkU2rNsYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOM + McYYY8xTUGnDG2NsmoaISvguln3AFk22tHTKAgAF+pzAoRd+GOmDf/+1G6+5sttqB7RsYmJ+cKzS + JR4OucuZKQtoc+NvP/uJB4AWSAIA3pPkDICUFhvrWPekqqsJtqXNsnPOYq3PGCLqum59fR1ACKFt + Wzwm2fT71LatiKz6Wjjn2rZtmuaYNy6tMEqr7aqqVLXv+8FgcJz+29770qw7pVTGAZt8fd7IQKhq + iAAZKJuVkRMBpWVa1jKCMFAajAlyj9mD3/j4X7zsHf/ygdSLH2TvWMFHtNpbdu07G0/qPJazOMee + kCFMLDlVgWYHH3jw0x+CbkGjLjs0ZjCIoHrMfl+lfW75ugz7lml9JpUQhJRSWe0ppdKHqvTBKDco + sQir6PFjKgEKpV0GM5fx/BQuZ1VV8/m8fF0SuL+fwd9irY0xxhhjjDHGGGOMMcYYcz4oF2lKvnX5 + dneb8hI+ISInHlBnjDHGmPNWjLHUfwDo+56WVvV8JRoNyyiUs7285ikolThDqFc41TzrDuna/B/u + vfUDH/+jR/J3aS+13LdRBpJdgmaIQhTCAAfnGFFJJagISSYIo/MA0FRucni2wXvdgeEPP+s1P/ma + tw3SJs180ORql2Oaagw+iEjOuaqqLCXQuiwVASVfF1QyF7EItyWglMPlRdbs8vulI8ujWRY3WBYu + EgOpZFqDkEHV+h6Qn+WcYyRVH9gTRKNwHGzULXHgi3/qjf908IW/+vRt/3Fr+3thmDwgBFaQgqWE + ULKUmrxlzOfiawUIqllVo7R9P1fNqzTu7x+rlOBkRgaYlqmTbpFICVpkEgtICI4FGapISimzCIGF + oAA7Zrc5WvNQB3QRwSGnCr6Bzk5gwUp+ed/Oummful7UcUBVx5jVOUUSJudDcEzCuQsxJlczlZxy + BcMtPkO7NjM7Zq+aiaiqnahqrpv20oYv/rEXX/CsZ77kTz78+w9Nv+v8PM0Tu9W6LbHTDLCSJAIB + TuHTIrRYCJnBNR2YSV2jGft+mmLOlfcJqeepG2A7Hfjzj/zZnp/Yf9kgrDUXdPMu8M44vAwRhxCU + MgABO3UsDGaIE2KWWohVhTSwMqlncYQMnoMS4BikikzC1BMiaZYMwjB41pzGa2tKiCcY4FpS2kVA + ObmyShWBULnBMOxxGGc6pC5H7VLOlQtZhREJygApQx2IVQCGEBSqBJCQskCYEqkuS4dPZJmO3D2K + nDOiMHMIIcZuMBjUdX3X1t3/xyf+t4fpnrmbMrNIzqqhwdYs1Q5OwOIBZBalkpULSUxKRFFIRUGK + AYV13nvlxrVvfc0vXVJdNWg3KPk9F47b2eE2Tas6EBypxiy5z0oSfD2oB4tiSpJSBV2iYaFM6neC + rRf/7myUkvyddxLTJXMsXzJIlIFFIuxwOIpt229348Fa18+3u0kzGHST/tnD6/7Hd/7Ov/uT3602 + 3aHQbUlPAAVQRMiL5yvMWSWxCMGpUhLvXYy579QH4kZncVoFivTov/n//pfffud/23X9pt8bWudQ + 9S7nEx9YThMBJyJqRn3OdbXn+Ve/9KG/v7912w5UeYpZCexR++ww89yPBhg/5+Jnbw7Xhpub4uSB + g9/7zoN3HuwfEZr3mhcngJ15R7wYy8+3910Wz5DIreaNMOPA1iOf/8bnv9c9NMXB9bWmnbRNxUT1 + oXZejwYiKbic5jLvZeSy86O9zVXPu+y6/+wlbx7J2JPnbXaoAoIK5zivmkqljyItshKUFI69Vms6 + lti3B9oqjK4YPeuipz39Bfuvf2j24N9+8i8f3L7n0a0Hter9MCeXo4MjUPYknjmVZGs9YlqAyDKA + fPXzHBEASjRk1xOyQxq0X7vrS699/us2RhsVKqTEdU8qSUaq5PnYZe6nCJN6JRHSzALAZXYKAG3s + MUhf/NbnvnL3rdP6MMYymclgAMQWBNDi1I/lfDlVlxHVIwKecqP1C555w/Muv+7Q4dn6+gXbIlCt + q6brulEzms+nzquXLLR8YUI5L+7WAcxaCq0FiMq9AL5qYjcfhT0cqemGV64/8xVXv+azd918yB1M + LF7YCUBSzvJCCMFPttJ4oPWQsvSx7/duhvbQ1OfDH77lr592+bM3+BLPA0YI7CG9UFnPjlYHV5kc + cs4MJuemGGNd18PBOKecKTJz5dl73/YtM7Oja6+99j3vec+73vWuhx8+eBL3n3MG4Jzruq5pmpxz + SqmEW5/qp2LOgjIfr7wDUGbuYfmOQdnodV2X6XnlFUu5gTHGmLOlzOsrI3NKqeu6qqr6vl/NtPfe + q+pqPvbZXl5jjDHGGGOMMecifcybu4/9iTHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxT16lJW+Z + ella9ZZ4Rb/qk6AMJQKX9FPySBnMLgOHILfF7rZ779pc22iszvbUCg4peRVy1cHY3TORm++/NwM9 + ShM2ESQwoMiSA1edPG7IZVVVXdd570uPxN1NmM3pJiJ1XZceFCklACXQtPQn+f6p6u4ul2ULPl6m + dVGaZK7mUDvnUkqlnSaO1X+7LB4RtW1rbVLOJwIkgABHDAgUfYxV3QBgyKojYNJyWwdAlAEBz+76 + +AdecOPbdX0Q3UA9JIJo1apOSIFVLyZzCilp1tpTF6Pm2hGg+bOf/Ci270d6pKpYenggA0peVIHH + HU9yziW3vhzUqioidgo4M0ocdUmtLut/c3NTVcuo2zRN27bMXDZQCKF88VjlfNF1HYCSp3CcG5+E + vu9LWjaAuq7LueMJXypYrLUxxhhjjDHGGGOMMcYYY570Ukre+9Ka3Dk3n88HgwERlfbl3vsSSrGq + p7Fwa2OMMcaU+OpSaVcyrVXVOaeqOedSz+ec6/s+hGCZ1uYHxoAsS/QUIFLWnVq9I0IKWSEktIxJ + LpnWAs48x6j72nc++1eff+8D/Z3+Aj+l2SxiOGQXhQWs8IRMpOAkiJoDsxeQwimUIVjE0GoXGhmP + ZN/rfugnXvP8GwftGHNqXANySaN6VqI2RWYOvso5EZEsgzBJSzdnAlRBtPheQQLVXWWIx6hE3P0q + fBGSrbxIwF4kOC7WhRIAFqWYeoB8CB4KSZIzSImRJUO9x3iggzdc9zN7xhd85At/vt1/J1ZzOIDA + JVgZDIgCmVFWBSGVGnohEC2WXSR3eSqUSMDwGSdWd8tYRoUqyqooZZvLwG+BgghQZhVQ8kSZIaQK + CLIQMTwglVZBKkJwTDlpExBzznSiiwPH8J5cYPauZJOL08SZWQASaI6KPhMhsAuNy+igIiKkzASQ + y6Kk6kOtyKqq5FRzjJEcKtcohtuHtsebF1y58ezfvOm333/zn97x4FeTn7YyzU74iD+2dvbtsh8u + 9x8o0GcdrXHqZXs7DTy85xwTOVCNpBCKD2/d876PvvdXfuqfOtTDZhM9SHkZmC0lDn2RbL14LAYJ + lEF+1XSc1C1+Rd2qWE6B1R5LEKgSZWhmx9AEqlzkcRhVVTXJoBNNkVaoLnYwzmCg4kETRk01mD46 + GQ+ZqZm1rXcNKSpXKXqoAKIkdHQJ6Wrby65Qdjkiqfq4CCihzovAeMgi/BUIoRZJpCnnLsrcDfXO + 7Tv/+O/e8wDddUAeaapKQRLV1RACByzT4QXg3bnFRK6k54oAAp+wThfud1e+83W/tUcuXdd9qVcm + d/jwYbi+arz0QE5EVPkKDjmrpJREWFlo5zmuhpIy7OwmO9muDEDBBCk3k8U6k/Ksl3ujABxjDyD4 + WmJm+Np7STr044GMBr757bf9y//7I//+0UP313vQE7KACUJCnEiZSpk1PKswa85R1YUQmDnnmEXh + tMU2a1TS33/fv/3l1/3W5sZa36NRKlHrmUQIALOWdbgYD4V4ZyFJygni+9++J4wkQwM1AcOk8tIX + vuozX//EephsTw6wx8gNKNY0C3sGF73g2S964dUvufKCZ9S9H/pxLzqXjkY8060vfO0zH731r6XO + mZMiLcbwUq0OkD6JY3eFRJfR6aQMXT45kKowo1JWQJQi+nu2vv2Zb/xdv2euleYYAzOLzz0aP+j6 + lHMch7BWBdnOTbd+/TWv+qHnv+mKjWvrw82Qho40pSQpg8kxEVGMvTIpAUxCyESlUJy7VLlq7Ote + u7yd6hD2h6s2Rxc946ZrPvXVv/vsNz7xaLw/5XmmKFAQPCUQpEQ8Lw+o1RF0VKY1AF8hEHVTqSrK + vTof+th2NPnH+7+x/xn79/GlrE4kA+xA8B7SndYtoCRKAnUsIIhTImHlnsf9A7O7/uoz72vrLdR5 + Novjsevm2dPOMCkEEDgLwAwHTexUEzxq6qsfv/5No7jHV0MVdLEfNqNp24dQdSkSEaCkxOAySJad + AWAlhrKAWAFCuR0UCV1ohvN+0gQHaXTWv/G6t37lG191bhJ9y8pOAQWrdB5KaNu0vh76efTl+CbM + tuOoDqLtI/0DH/zk+97xxl8XTf00OmJfRrHlzmdTO75/g8FgMpmUuXZZYplRGXnwDz0AACAASURB + VGN0zueciVzXz1/ykhf/3u/9u1/7tV9v214EJ/EGcZkAUN5nzjnbZK3zhogwc5mYV2bu5ZxDCGWL + 13VdJgGqagjBtrsxxpx13vsyzS/GSEQlvnp1XXj3zO2qqlZZ18YYY4wxxhhjjDHGGGOMMcYYY4wx + xhhjjDHGGGOMMcYYY4wxTx3OudWkS1Vl5iOa6S1aOQGrrl+lP1HOAGe4GeR9t37puW95y2B7FrKU + jiWr/kCgRQ+TI1oD6eK/nlp0sTao9B8DQFBAiAA4waKb0KLlmnBgqEjSHEK/vvbBz332EHAYEDA5 + r6kXARzIEVrlx2+NsQqqXH1rgaZnUsmML2HSpTcFM5e2liekNLIoOaOrLOrjbEoRWYVel/4n5cbH + 6b9dsldX8eerG5/wczbnGAY8IFLCqwWBg/NQPbqljpbxp/zDgFA/0exuv/Vj17zu8kSbkx5+sTsI + dvpTWdejU897in2C96o5Sxxw9FsPPfyxD0CmjBh7+HL6WLb2PI5yaGPZk98yrc+wEhftvV+N4Vj2 + N27bFkDOuaqqGONxYqqZufSwwnJYPomTyHGU1kkiUpaznDvKaet4T+0ULoExxhhjjDHGGGOMMcYY + Y8xZUS6klcAJAIPBYPVfMcbVJZlyid0yrY053VYH44rFwRpjzkGr+svVF6tykPLSoqiqygrvjrKr + VBGrdXi2F+ocxwIWYkBBkdATIitIGRqgbhHrDCEIKRMgaR68gISIJBPAmduuOfj1+z/x/s//wX1y + J++nQ9Il9UMHakUyRKCZkT1nJc2OI7tEnAXZe69KwTV9i6oGJdTba/vTM/7zH3nna1/8Bt0OPg3W + Bhf0HYkQ1AEghWfySizq4QCwgpVJuXwmZVIiZVbiktCorEQKVjArWKV8LON4j/5Y3ADCEFBepfby + zkMAmh3DsZLmrEkI4pwwd1kIwROHltemaxfNnvaK/a/7lR9/91gukd5FAQK6jAwmIiVKjFySjwlO + hQAlZIYQVJzjwOwOTR+E6wPXFAOdaKas8iK1mUhJlLKQaPn5IupVFE7hhDgTElRVKVcu1wzHpEyR + VTDB1Zc9t+t9r4EZKSFU2fEMdGJFZipQcUoQSbVQQ6QSiVNZwx4UECoaBtQZMWkLUVJ25D0xANWs + JMqaNGVkKSGjRMQMZZFE3NUVXFa/HZ5dv/BXX/WuF134Cre9Fvx41qEeDKIgJRk0vu+S47JjQ4FE + SIxE0LK+BOgkCIYOpJBU6vChGQAUWYfxru4b/++n/3A22mpp5rzmtmcKCieZPQfOWgGsREqsUBKh + LCQCUjC4Y5ozctkPlaNwlzlmcoIQmaMTQnKqTsHqgAauyUSpT8M8uHR4UWoT+eOt6scige9RKaBl + agHINX1fb473U5oP6pxzjgmBGxaCJNUMDYpKCMIxuy67TjgqyfKIA6sQhBXlKDuxzGNlynAZEAVJ + ZlGSkh5d+RBjDMHN+2m1Tg/rff/+U//r7XLrNh/yFZSSanaOVZDTYoRSAlBWmniBE7BCcwQl5wFB + yG6Q9u7D1b/15n+xX54+7vfyXCuAKFaOPQ0lVgoH55VdlBwlCwkchKTsabpc7DIUAABEKaN8lNFj + NYCorFbOYvRRZnWsYVc49GLMURI4lF0aRCXQHIly1EFee3p41q/d+E+eFq4ZzvfkKRwzGMlLX6XU + 9BFJkDxTSkmQM0OJslJOCjB5gJEBrtqeHn1o8u33f+y9d0/vODTamlQzz+AIIpdBxL7UfnNWlKcM + FgSSQMqESNQ9QSnxD0xT5zT5zD5WY9r84Re92s3dsA/DthpPhi/e84r/8o3//f/w9n/zMy/8zee6 + F++fXbLe7avbjWZ+wXB+4Xi6cUm88tWXvunXXvvPhrONSkaiQTIqrqSVJlTIT+JMawBK6L0kJwCc + oOzkAEDqPWvsfcouK9Og8+l9X3jv9vq92U9zp67xmaXv+8BKMVZKHuTR5Ef9MwbP/60b/7t33vDu + K/Xa0fZaLbWmnKJAmV0AOVECM7NzYAazkM9UZaoyeQE7ydon7QAwec51aJtBuzmY7nn9C97yK2/6 + J9dsvpAOV6EfjiuXErLCVUkYCU6AuvaxRQD7XPlcEXbmfZGCFEnRinKNjBwILisTJW5vvfNT7fiw + 1FlciH0NqRWJ0S2noZ2m9S+9n6vPiNykQdU1lTQ5S+vb7dED7//CHz1C3+nDjGJec1WaiS+TIUqA + tCyG3OwATkjRR60yuxQ4Dq9/zqsubq4a9BsuVkha+5BTHxxAMWskt7gPgADHSuW1TXmpRgBDQZkV + rERSs9TEdYZGdJl6CMZY35hffOPzfqKaV9rBV1UvOcBXYJfhBM6hj7EsrygUCA5JYuumabT95Xs/ + 9YVvfzqHXkgqdixKQlBH4km9y84JKUnmU1lxfl5KKZW84ZwzlFWI4AjOgSrnSXITfOzmL7/h+j/4 + D/9n2X+CZwIqHwAuH8x+9TXAKNHpBBB2/1XIzN773X9Fqmr5m0hVy2Qz8+SymnGx+qJs39W35b1N + IrL3B4wx5tyxOguXk+/qXLz7gpTNqTbGGGOMMcYY81hKqy5LRyhXTx77YYwxxhhjzFPZ6hIMlu13 + T/TXrY7CGPOkQ0S7+8mWHt9ncXmMMcYYY4wxxhhjjDHGGGOM+UGsJl2WufNl0mUSXaQWqhCTQnTR + eAzsyFF2iA2kBT4V9eaD0wk7eNemKARwQC9QBrnESKXzVum1pMuOW0+pK2zLZy0EJYUIRABJTqLT + xAAYwss1wwAEMUoMbpjC+I55/Mvv3XMYNCu3iz0AEKDQqALudaed8urzMdmM2tOnTGrGrnaLqynM + JTG0/PAJ40hLf8vyi+WQXN3PqseFc+742bS7E0lLJGr5ukRixxhX91xSV1d3HmMkoq7rYHvL+YKA + ACAlAMLLbpaE0m8uAplR9l3nvfcM5BJ6rVB4/U9/+6fj/pFBnI4IHmACk6pmJahjYqic7nZoT3r6 + mDVEKsf5kJxDcBGCEJi50e62v/tTHP428oQEDCRFp0iASgIWrXGxPPDLsUxEzNz3/epBS6b1ceKT + zelARCKyyqUGoKq7TwR935dBePcZvLwwW43zOefVPYjIqsHR7s9PGET9eFZnhGJ3X47jsFhrY4wx + xhhjjDHGGGOMMcacz0qOdc45pZRzns1m5Vq7Meb0mc1mKaVSNOO9r6rKLm8/ZZ3tndGYJ1DGKwA5 + 55JMtrtAx5hTahGwSiogZV3+EBBiACDRXe2Q67pOKeWkKSo59DTVtclt937hfZ9473e2vtNX/VT7 + rEJEEHjHShACSllgCWIsVUGsGTrrexd8Smk0CHkbg77e6y5924++80VX3kATv+bWtaf5Vjtu1kn8 + YrFKmRzJzoICAMqS8058LBbBsbs/n5jdWdc7lg+xOxt7WbgOds6rAlmDuDrXdar38r6nj695x42/ + spevwJxzj3pU9SoucJ+y0KLsj3QRTbj4ibISq1CGzPM0ca8CUn8yT2SnaryEWB/7BuXnQiJgUgZW + wb2JIQFV0NpxhVWWMkGhOKECYF1sJiEICZf0aEpKi9VYUsld9lAPSClb5OVv7boblIzhxz4XzYlV + qJN1N04H5KJw+dte+wsvuOz6eIA2hmuT7ZYc1bU7fLhdGwXNQjvLg7zIbwcAUrAcoxt4jAiORbKG + 1NfzOw/848e+/GEZtdN+2gwHIhJjDCGUEVtV6Yj84xKNvFirQFruWiXYuKyHEk5anpoCQlqOUJeV + BQwgiK8xcDheefcxsYLEsRARlCAKySA0g8EaIxMylQpDdQAvnrgy1C1WOKXlQgI7B8KurXMyR1lJ + jD+64/pkulVXXkiaDX/v9K4//uh7HpLvbPmD4lKJr17tMOXAW204AFSeaRknvHrP0oM61P340sEz + 3n7jL2/o/rofh1RC4o8IrS8DyTE+6PGaV8qxPo5Y56vPi1W0nMJx1J3o7uTs5fJUFCqq8oz3VZf/ + wht+YyPu2+v2c1+pIip6QQLUgxgQ9UfUW/Lu8ktXoRUkjjzMD0zv/qP3//52faAbzbf7rcGgLq83 + VMHsuq5j7+joys4yip7ucn8Zj0c5pr6NQzca6J4XP+OH99PTL9Sn3/jcm37rLf/iV9/w7mdtviQ8 + urEnXXpxdXmYV75r0FdBmkbGVTcM82YfXXrNBc970dXXc+8dPBFpypUnTZGPMfY92SgDu/YfEiUV + kq6fVlVQQsy91v2nv/Hx+/pvz4db7GQ09IcPd67xzVo9mbeDpgoZQ2nooHv51a/9+Rvfde0F1/vp + +kbeM4yNk2MdwsudlpcfTtgJO+Wy35bQdwCs7CSE1Kxhj58P9leXv+PNv3j1/mvrfoS2HhA8QxRE + 5KuQFV1K3gOyCGlebSBSlHMQwIJlaD0tzrnZxQPt9+49dE/PCeTAAeQJIvn0vk4WkigdV+SJUyvD + MOy6Dk2WUXfLNz957+Su1k2E5mXYB1jy8uWU7GyyEkTB0JrDgCvqeS3se/kLf5S6xqfaCe9aFY8Z + UpSPGkB23RKAQJnFQV1OCiIOyp4Y4OguqPe/4GkvubC5cMiDyWxWNXVKSTMq7/WYY5syAA3ow7wN + k5u//B8fnN2LJiqJZOx6eQCQiCVm/GBKfX+ZiOUcOUcvfel1v/dv/7VzSEkcu5gigKZpcOTcraPo + ctxmZhEpAzsROee6ritpx+XPTOyakGaMMcYYY4wxxhhjjDHGGGOMMcYY8+RFRH3frzpj6hPFVIcQ + Sl/FUuoJwDln9fnGmHMQPT4AIYRVMdhqGDTGGGOMMcYYY4wxxhhjjDHm/FHa9TA3TaOSKHhXVYsW + Q1lFhSCKnIBHgb+7445uOJ7H2AxHRITUIzgAelQJwRFX1Z6ikYjL7kyLZiaLb4/q60Vg5pgSQr0t + 9NF//ObDwGEo2JXeaIsWactfLL/tnCtXMMtWw/cRS2lOIefcqpFISqnkmJ7E/ZReJSXNtHTGPoV1 + NaVlSkqp3GfpBszMIYQSZV26c9d1nXO2vijnDRWpK992LXuXJXddl2OCghyzc1mAkokrmlLvvSfA + OQKAPMf0ods+95ERWh+TJOQMEVFkkSRSuj2e7ad33nGeutgrMRHpfMvNH7n7Ux+CzKBxNaaUU3T5 + unQz8t6Xsr1y5JbeR+WQ7/u+/K+qlso9c2YQUYxRVUv7KexKqn4sVR2NRrtP3Ksc6/KZmVNKXdd1 + XVdqllbn/VK0eXInHedcaQ6/asDVdd0ThmQ/RV/DGWOMMcYYY4wxxhhjjDHmqUBVSxeMcj2m67oQ + gk0jN+a0Koebc27VraZc5z67S2WMMY9VCjh213/0fV9V1VlcJHMeY13V6DDUCbEQSlKmUhZKQllI + lFQJCmb27Tw3YU/TjCPN5v6h+7rbP3DL//NQ/2jYGNX1GiIaRuM0J6S0OOcqlQRfT+KdgIAYpRoG + CZjE6AN0npr54GL/jJ98zU8//6oXVbnh6Cse1twErlOfdpaYpCTWlvroc61+mb3LKpJBjqPr5rIF + ihvV5osuetlPv/ydF+ZLqzhIKcJje975qlrm75asSrAs0pRL6VRGFuTtdjtyjLwT3HuaHaOvX3kR + Vf5mOZf/akl9bEKDpARHFXV9f8nmZW+44c3PvvC51aRh9SVNvGkotylwONGkW08AkChy5TLh0PTg + 57/+8e8euEMHiAxiYZepJFM7Vjr19W+lJVlVVUSPiR7+/qgqEcpGLOWAG+O1s1OqRyIuq5dMIgSn + cAqFF0IIrBwF+dH+kT+/9U9uO/DVw7PDFardv0sQQLhkkCugUFoEbZccXCFk0i7noPVINva5y97y + Iz9zxZ4rR35EECUVlrK1Ss660NGh1GedIz+bzcLYD4ajy0bP+qU3/BfNpK57z1pT2WYCEARMWSp2 + i0OThLWsn8UQmROchx/4g7OtzrWP9g/+8Qfec1Ae4AvoQH+gHgYS1dhVntQhUQaIAafCiMoREGiA + 1tDTW8o97eYR4pxzOeCQu3r8wre94l3/9Vt/56YX/sazR69c7y7dxAVr9UZKaWs+jZrJcSlyZQcR + 7btEROvjjRte9HInlSNHRCLivU8pn+ZlP+1IOeTgF3HsLMSZkDkJR3F9T71U9Tzk78Vv3XzbX07C + dufUOe6naTBAFjkcex5VMfdp2l/Q7vmp63727a/69as3X4DslYRYFRmPG99+DIoSJ69KKjji9BS7 + VHGzZ7h3SOu/+rbffOZFz6uno6obVkISkVIPJFWkhOB5Vc189AlumWy9+oGQCKmSbM0n373/biUB + nWRB88nx3scYXcW+olnXNqMw91uPpAc+//XPPrJ1wEFVkSgll4RkNT4rlTDy1fOCEpMPs0k7wOAF + V1+/b3RJ7SvR9DgP+4SOHrh2N69cTRC6ZP8l11z+nBArrywkiUSYVJUff/RXgYhWg+F3HvrOJ7/+ + oTTaOtQf9s1g+byQWaKLmROAnaBrc4JCCGUyRimvn8/nIYTXv/71v/u7/zMRFBlAHXzXzgaDQZkA + tkOPeB1MROWuyl+RVVV574moruu+73PO5aR/1J+ZxhhjjDHGGGOMMcYYY4wxxhhjjDFPXquOt6u6 + iOO0NYwxll6NKaVSDSsiVp9vjDkH6eMgolJ1mVJaDWLWztsYY4wxxhhjjDHGGGOMMcacbwgiWUTa + tq2aoKnPKQEEotJjgUCl3UIGvnrvd7958MCsaZKAhaEZwUETkZIyKRQAQUmVjwq3fmpRQEsiNbES + k3LI5Bft2wQsmSWzCKlEGQzWpqL3d5OP3v0Ph4AMMIjLbR1AoIRKACADxJxzds6Vy5dt21oxxhlW + ria3bVsazjDzSTTkGQ6HAEIIpbeJquacT+GmLNnVOedy4btU+4hIjLH0UiuPW25gfVHOJznnpmnK + KFHXddk5SxscLCOQy/+mlBS62Hs1I23f/vG/iduPeM6eQQRm9syOQZoln3vdJ8899JjznhKvPkp3 + r10/AQDnXFRI7C8ayJc+/kEcfAgiAOdli6vSuXBxb6qrgxdAXdfOuZxzqXIpY0jp/1ZSls/Q037K + izGKSAihrmtmLpVFx6kvYubpdFoG3jImO+dWdZhl+3rvm6aZzWZYjufOudVJR0+qG+bqwK+qipn7 + vq/r+gl/y9qcGWOMMcYYY4wxxhhjjDHmvKWq5Zp9ufpSIkZWUbvGmNOh1CiUY62qqnJ99OhYIGOM + OQeUSo6SQuecKxUeZ3uhzPmLlBSktKgwAytQMq1BSUmVBJBl3iRPZnE42psyZU6tPzgND/zBX/zr + 78U7edNpcPMu5R4eYEmsYAYUCgiLkgBgZSpBzoQuRao9B+7nadPv3Wj3vf5FP3nD1S93M+9aPw4b + cRb7eaz8TpnRqnBJAD2RAM4zhEQkAyBycKzcZ8wldy6SPzR6xVU/9uYb3lbP1qn3qlAGnK5KpJRQ + kq2B8jy53CFYt+cTcRDWzNDTWhxftlH58sgisVWaMrC4ie4s6rmCFJ6Ys9bcpD75xieVg/dvX3vJ + C9/80p9aS3vWmo2uzZV3DuTgtJcTLVELgVISQRbWpOIHeqC//5Nf+0hXt5O8TU4q7+bdxNc+QTNO + Lnj62EqlJsCrWOuTuBMiEoHqYslUlRWDwQDAiSZ8/+AUEJczp8wlgJmhvAir9pQ5TtyBv/zM+756 + 3xfjcOoaMBEdmZy6yLQGoKzwshjBWMFl0kSbMBhUaUJ7+dKbfuhnn7lx7SivpUkPQDkJFunXAIAE + nHN/F5RWm/BuOu3GcuFzLnzJG6//iUE7qFJwYO9IgawgIhItz5zKptyJ6OZyG/Y8mafR3sEM09jM + vv3IbR+85c8P0MPdsI3S+TJfR5Q8RUkAnMAtsrFFSRQVtDqtJZ0K5qqqB03lWVMeYCCH6LkXXX+5 + f/Z6e9lguoe2vM5UYmKIC97VDYJTQCSxwpEndTml2MYrL7l6z/hCFiIVIgcgKc5g/PFpwcoszolj + XWSxZ0Y5U7vgpv1sronGfMttH3ok3ok6R0Ubpa5LYLVSxV3sKYZL6ivecsPPvu65b96nl3UP54qa + OjRt257E+1G6zI8ve085M7OicqGf9mmGDb+37sfvfNOvXLX5nHq+7vuRA5Oo5I5dedFBSfSxwcxQ + puXBvOvhRDkJJaF0/8P3KaWMJMgKIdLjtKM9VYKr+r7P6LnmPnU99/1w+rl/+OR9h+9LlJxzICSH + RAkAc5kgAaiHMi3PqkpIoBSVE4/d+g3X/nDeIk/1KXw/sKyKnHNKqXzddR2Er7vmhqobjAZrbdtT + cPDo+7xabUJHnNDLwQ9yCbnedF+84+bbH/hiHPQdOiyeiygl4axkDTF/IMuTO8pMjKqquq5T1be8 + 5S2/8zv/kyq855h6APP51Hv/ePcTQijTOcpdhRD6vi/vd61ev5XJAF3XWRtTY4wxxhhjjDHGGGOM + McYYY4wxxpwHYozee1X13uecS0VEKbp7PKWTZmmXuSrbMMaYcw0/DhFZdRLHkV1ljTHGGGOMMcYY + Y4wxxhhjjDlvpJgBlJjkvusAoDTlUGVmJibmVbOSCfDhr39lMh5PugxRMAFZJYNLl7PSnQiZIaSL + hM9zsGvZmaEEZhBD2QlChlvmlCpBWIRFCZI5qZ+N6k986/b7gWnJrlYW8GrFhdI2ptyrKhGVyo3S + 56RtWyvJOGNKDjQzlzTQ0lrkJPrwzGazsh1LW7NT3qG3LF652A0gxlj2nBJlWnaYEmi9CmE154FV + anXZJ1W1VG1hV4ukcsucc1V5AIsuTJohc9x7x11f/9yajx55eYeOmUnLfZ2Np3ReUyHnXM6oveLQ + Pfd++M8QyvmWtXTSKk3/yq0JRG73aL9qalQq+krE9Wo4svqWM6YMpGVIL+v/+4mL3h2OUILnV8WZ + 5Qbz+byULVVVNZ/PS4crACLSNM3JLScA730545Sqzicc/y3W2hhjjDHGGGOMMcYYY4wx562SI1Iu + z+ScS8r1GYjhMeaprO/7vu9LnE+M0Tl3nEwgY4w5i1bjVUm2LkUbVmZnTg8hXcZJKisYICEoABKl + rJyURAm6yDgkjzqEZtJtT3B4Wj/4f/3N//6g3NWPJ1t5exJbJTSVl4zco2K4ZZBoicouD1mCKp1D + EkzmyTk38GOe1je97G2vvOrHaKuu42DEG+goaN1Uw7Ztdy+x7g5ePPdqxKNEIVVyogqWUFHw7JJb + lwuqAxs/+pw3v/KZN1azwdA1rnLzHAHhxQYopdoeylAPUQYBCqfb3VZ2OZHms5fLWgrOvK8gJZnz + bC3IE6jrejaZ1b5m5Uk7H47H69UF+qh70UUv//EXvike1sY3KWURqZyXnOlEnggBkpUYQuhSp5TE + 93k4+8rdt3z9O1/ITSdIjpClV85CyKd69yx9FbGrPvsk7qFkWquCmYhIRJxzq/VwQivkByQkmTTx + YoAph4BTYeR53/VV/4k7PnzrvR9vm3nLbXRt5h4Q0lVZ65KWY4cVnIkzIy//sG4axLlsun0vffor + X3LFK/bKJXTYNRgwVEgyixAUrCVylvRcG1LYgb1vO0FqNsOF+qi78XlvfsVVrw5dQC9QJ2AVLDLO + dxZ+NbAvR11GHwUM8Whp9tD8wfpi+dy3Pvahr/5l3uimaYtYa1/HGGOO7GkRWKzCKiARApQUp70s + uO1lezpPqZc4GwXXqNt0e7Fd0aQeydp6GFQQko5IyQUlH3MmViJVzQ6ucbXjAKEgzRX7noEMzQjO + 57ycVvQk5xROymmaMpVDoWxlR+xbyg+3D37qax8JG6nNHRGC5xiFq7qLGhwGXI/6vW95xS++5pk3 + bcwudtu8ziNOFbIf1sOTmw4kIDzmhKBZxs26Sz70g1HaW003fuH1v3HF6FncjioZ1Z4Y6hygSEmI + CBA5xqHHpFxi2kmhBBAyS2ZFyI8ceChqr5zUPSYS+3Th2Keqqtp23ud5szY83B98sP/uzbd9tK9a + rVRIiICA5KCkDiQl8xsAmAWkgEIAJWTBer1x+ebTLhtdueH3S5fpZA6vYz/3MjejNOEtk/QAcArX + 7HvOFRtXcWQB1COqZIEDLYZ9hRCXA0UJZaKeECbdJIV2Wj384S/+RT+cbuu2EkN9eZWYOWUWoEzk + MyejFPFXVVXaKzvnQghElFL6+Z//uX/1r/6blIQZzpWdSY7c7gwwlKEcYyzburzNlVIaDAbl7FBe + PDjnptMpgLqubZqHMcYYY4wxxhhjjDHGGGOMMcYYY84DIYSUUpmdF0IoFRGqmh9HaYralYbXy064 + Z/UZGGPMscnjcM7NZrOcc2nwnVIajUbWDt4YY4wxxhhjjDHGGGOMMcacZ3zlsmjft33fAqjqmp2D + KBREJCpZsoAykIE5cPOjB287tE2jMcgBgtxT5TRnJ8zKAISwaKF2XvSfOWG006dilexNumxaAymN + X4RUSKHk3XA70d2S33/P3RMgO4BKVDgUO00vSgsoAlSVmUMIpTYDWLZCMmcEM5erxuWy8kmv/BCC + 7lIiaU/h9ehVcHXXdSUtFUBKqSxzacRd/qt0XzlVj2vOrr7vS1nXqgfOaqcqueblh2UMKT1XRZIv + PRkpIs/+8dN/7SYPkvYii6owFSIiT+SekiP6qaGALpt5HtHTEzFGJuwd+C9+6M+wdQ+6LXDpMcar + QOFVn6myBVeRyYPBAEAZQ1aFLli2w7J++2cMM5ciSWYuidGrmsljKs2vyvYqpZjld2OM5ags91C2 + bznXl1PPZDIpYQrlUU7Uqpiz5GeXweEJx3/bjYwxxhhjjDHGGGOMMcYYc94iorquc87MXC7Yl8yS + s71cxpzPqqoqh1upmxGRlJKVrRhjzkEl2Kx8UQJ9+7638cqcJgxhCMBKtAhJXRTtyCLmVUHKpFTi + lpvh+iMHD9QXctsceO+H/sOD/V1bPM11RiW+9r7y5EkEBHhHsdMj4y4TaPGKlwghgBTSw7XD51/+ + stdfd9N4vjGUdY6BOpJWg6tKJl/WYwQ3noMFhQKAiZgBSM6SMyscnGYK5/hf4gAAIABJREFUPKji + cDDdvOmGn3n+/pfisIfQMvASSpJJFAz1UL+6PwaIdXu+BV6sAjmjT/uI1a6qlfOq5+CK3yEizrFm + DaHOOadON+u9NKmb+dqrnvtjz9x3jU9eEwhIKVX+hMOhc0RdBfaUkKua57FLVTfzk5u//OHOHc4U + RaSqfMy9C/7UbSxefmYAJan0BzkCiFCKex14VfqJs1Gut9iblFhZCIAAminyhnzuP336I1/7q/l4 + u+eZQDI0Q3VV27qcJbKYKqEM5RIiK8sqWVJQhOvqq/Y++/Uvu2nc76Wteq3aRGYBMi9KarET5n1u + ZVoDyCKq5KkZ1Zvtdr+32ddM19/40rdeNroipFqiK2uDmYlVNS9+jQCSEqq7CAJXxIjBqD64Nech + mr384Ox7stbe8s2PffIbH60vDPPcitNS4c3MvGtVnMGVwoNm5H01Xhs4L7P5Ye+k7WZ1qJqq7mM7 + b7ecl7phReq6GHvNWZXIeYJqjopMntmTSxO6cv9Vi+TnUtZMWEwuetIiBauWlPHFflt+KAz1HCoe + xVu++vG5bLVpykBgzlmqOmxPu0EdZIrNfMHPvuaXb7ji1WG2wbPByI2HocnzKFGq0BAcTjCZWMqI + tByLZDGLSVU1xzyuNuJEaFbvqy8fpX0/+Zq3r/P+PHcerry0UIUKuRCEZCeUfbmNWJmUSXh5eK4m + iaWk/YHtRyfdlrIQqRCUIHJ62zKyQlW9C845Velp7jbpY1/9yMPxvj50iVKWpAxyKMdhKaY/JiWq + QugOy8ue86qxrA/yQNKpnJFV6rPLnxI55/JtQBjrnpc846V5muvaJ0gn6v1yzo9C6YitL2AlH5MM + xtUsbfX15K5Hb//yt29xmxpZynbaWWISnJOvyp4UVtO6iCjG2LZtzjnnWDdhPp//0i/90j//5+/O + GSIagjv++8Zla+acx+Oxqs7n8zL3r/wvEY1GIwAxRnv/2RhjjDHGGGOMMcYYY4wxxhhjjDHngRij + 915ESmfMnHP5TI+j/Eq5cem6iGXFhTHGPCmUgc45F0IgIu99+YkxxhhjjDHGGGOMMcYYY4wx5xnn + iIhKT6S+6yQtrost4nsBci4DCWiBh4CP3HZ7Px5n7zIUmlBXpa9CaVqyq+HMOddc6LSjxefyryza + 7/Aix3Sn9ZKyonQcyuRpzwV/8ZVb7wNmKC2vHFah4AIISqZ4+U9HnHMuZRirzFrra3EmlaqYVQp1 + Kac50TuJMZbNt6qlKUU4p3Ah+74vXbjL4qWUSjDq6hGrqlp15z5Vj2vOrqqqVoUNZVgonXBKL+iy + oZm5RCAz+9K8kQGnQAac4I4v3Xf7ZxuKwRO7IOqyAgCx7vSlMqcGg8k7arRrH/7uvbf8LXSCPEMZ + T4hBvKtJoUBR2hp1XVdVFYD5fF7XdYyRmUsvuxhj13XM3Pf9SYxL5qQ1TVOOtbIhyk8e78ZlAxFR + OVrLCb1YBZOXqsu2bZ1zZaDGsnHWSTezEpGyVCmlcgL6fk46FmttjDHGGGOMMcYYY4wxxpjzVrmA + Wi6Zl3Drtm1L6owx5jQpxTHlqme5Arq70MEYY84dpbqiVHWUeouqqnYXeRhzSsmi3lpZiHdSY5eR + maTMyqye1bHyZDofXtAcwn1/86U/vePw32/5g9mhiyDJot20257HmB3gwew8gZfZ2OURMktmCIEI + OWKt4nXevLi5+u03/lo+4EJX1Wg4O1Y/bIZd121vb/sq+Dooia6qolfhiSeYwXkGEHswKwkrOBL3 + TpICaGXuquDng/244q0v/blL+OlBB7x8Ktkhs5RQ3sX9EAFQhWqcttvZZVU9c8+Xjqj/K5uvdL9a + fXsOUpIuddUg5JwY1FSjbtZ38zgM49APB3Htple/zc+bzdGersMq6PFEHgAe0KwKJg9QVkJy0GG6 + 8+A/fP3uz2d0ROTcYisy+VNYArfqqygipSD7JIq+VZUIzFABKZc79BwW8dDKZ7Zmj0mJlJYPmoSz + QJOL3zr49x/80p89wg9u62HniQTskRcZ2CVJ9ygCEiVRTsoJJCA48U0c76GLb3r1T6/r3tDWIxr3 + 8wR2usjHLdnPABg7i3EO6XPvXAiocwdm6vs01M1Lqqf/6HNff9ngSs4VlBmOJGcshtajMEAKz+wI + s1k3Xg9JhSqhBq2bHdbvffjzf/Gth2+fuUmHTthVvqK0K9N6VUlMSjjtfzelLuY+z+dTcuIa5TXt + /Xwbhw/LQWkir2mitoszQCpXBfbLInUBQJkkQ0QgVOXh/rVLvLKnxWuqkzjczzkkoCSUdbFdwAqn + YGVClZG/N7nzK9+8ud4YtHM05F1WMCZdHA7ZRd4nl7zx+W975ZU3bsSLGh4LsDWbxSxrw5EHzWZz + ZT7RMYUVy6OGdHGeEJCUMuW+7Zuwxn2gtt7gC6+66PnXXfuqkIfUKys0wRGzD6oKktVDk5bT4uIJ + 7t5uShCCchIn83571m0LclmI3RNUTh/nXOr7pmqc1205cO/krlv/8XO0hsitUCyHjQhY4ZlLFb0u + T+u0WF0AoIiB3djvfd7TrhvGYZ7GylX51IWuO+dEBMoEJxk5qWSwVH4+fMHTrl+v9pCwgDPBOQ8p + k8uW88sA1sUyK7yA6orZoxPkQfrE33/oof6e6HMmpsWru+UmIps2cJJKPX0Zqaqq8t6HEACk1LMD + sf6z/+rdv/iL7wCQYt61l8hRsyW99zHGqqpUdTKZYPmyrczhqarKOVdO+iEEe//ZGGOMMcYYY4wx + xhhjjDHGGGOMMeeB0huxNDQUkfJt+fx4qqoSkZyzqoYQuq47he13jTHmVNHHEWNU1b7vV7dZlZcb + Y4wxxhhjjDHGGGOMMcYYc97IKlkFRDElKJiYAM/OEasIMSsgUAWUqQMOA7c8cO/tjzyy7ZkcIytU + mRnCq2ZKOw3QnoL9MXjx5AlYrJBVj6lFg7nypfjMgJ+w+9Z062/ue2DqkAEIEPyqKZ1XeEUmJIbu + tIFbWGVal9YZ5gxYNavs+77kmJa46JO4n9LTLKW0KsU5tfHkpW4HgPe+73vvfbkCvuoatOy5t7gs + bs4DKSXnXM55VdxVarcAlIzz8r91Xe9qCs2ay3gFaId84Buf+ACmD7FE70mdy8oAk4pqPqr9jjkK + qZAesYoWjaboMR+A/v/s3VuQZNd1JuZ/rb33OZlV1Vfc0YBEgCQAileQ4kXSiDMhkuYMLTkszyhC + EXaEHHZ4IiZiXux3RTjsB8t+tewnhy4zE/YMPaQ1owvFoQiNSJGUCIEiKQmgSIgACIIgALLR6K7K + zHP2Xmv5YWVmVTe6m+hiV3c1e32RUajKzjx18lz2SVTutX5AFJPMm/LKl/7o43jlebSdRBXq/W8J + INBue1JAW2vexcjPWWY+e/ZsKUVVPSO5lNL3fWut67qY33LNEJHvBW885QPvYrG41OP9AWaWUvLz + 1E/JYRgumI05mUz8uuDXHX/iOI5d1+3jurN+z+CNszwN/QeO/z8CrQRDCCGEEEIIIYQQQgghhBAu + zjNLAIiIf07joZUhhAPFzB7zU2v1zyzj4+0QwiHkzWV8Rsh6vl1M0wwHglTJlMxI92ZaEzzNGklz + 0kyWyJgMSqrdOM9nv/bCY59//D/slJd3TMoGwUDQLoMTUoeuT0PDfPTpxby8+TQ0ghAMkIqJUtrJ + W+PxX/n5f1Z2jh1NJzK6cT723bS11lRylywZWOfDbDfv2YgM7GHbr5rZfL2xGVTAZJlTRz1LSpZT + ycJSZextmucbP370oY+897/g7dJLSevkS4ayGqCkAMgUJlCoydDmAgHARHxtk7x5Oe0cRMREy3lj + tky+PIQo6yijEVrTpGmzPwJjEWPJE9m87+Qb3/rjD8/Pjn3HYirWrqjSgI27PJlvt9qEE8ZRSwEy + tuucNuef/+ojA2ZKOo4jg+ooVyNm9bzdTUQAmxHRPg8DMyEi4uUEbjJmcM55XXpxLfkIQ5b9qGaY + WR3zMOu3/+1n/tX36Nt1stBO1dpkknZ2MCm8GohWi6B13QQABTUjBYGApOha4XMbH3nPL945uacb + exoZYCM2Ih+FACNTNrCBjWF07ZLjXwMlTKeb49BYKBs1a5SS1UQ75f1v/vDrjjy0oRtFM9SqSgWU + l5ODFVgm5NIyJFibeqJpG6sZFgs0hSZgMrwiL3z0U/+yHV2M3VBtEDFtgCUjCO+ND2+gdtDTuJlo + c7qhqp7S/f0zL/O0aNa80Y0kizo2EspJVbUJAcysqiI1wbpSutwTEZR63trKxwpyYtLaKPGPwFxU + I1US47ZMYjeQIUkiS810yIuvPPX50/b8dt0uU2YVVGuK6bTQyHln8r77Pvizb/jg1s4xnONxbNzn + bmNTLI2LSobUZd3PzmU2XgUb02olVaGTjamZQWzaH6kzpDZNY//33/3Bk5M7inYFgCLnzMxDHV8d + 6Ly+vpBdeK1RQi4QquO4EGtmZiYwvgb/X6+qtVZSq9b4SH3ksT+UblhghiSUgAQi0gYomPdmBjdA + eTcEmsmszuvDD7xv0o5iblMumZNP0b4qmLnW6jHJPlOciFhTrv3tm6dOnbzX5sacOWPvL71gLxix + EUopZ87MUsJ0E3PMXlp8+7G/+/yY58LCxkmXt+VTrtYLuMmsi/Faa+v/9TMzZk6JvELjV3/1V3/5 + l/8JgMsc5r7H/S9dALx2y//ynFIax9Hfwq0ruK7FawshhBBCCCGEEEIIIYQQQgghhBBCOEg+f8yn + Q/jUCG/FeKnH+z8x87qF4uUzsEMI4bDJOS8Wi67r4PPAiTzc+nqvVwghhBBCCCGEEEIIIYQQQghX + jQFMDGLRZcSpqiZOostWTt6iQVRAoJQaYQZ+Hvj8N598pWSUHmCrY+q6ZRcegIySMhtu2t4YBuzp + sMTLZk2eac3LDk5JmQyN0rnJ5Pe+/JdngZcFSiAwasV6rsVuf7plq5p1mwsA3uI153wV+6iEy/O+ + Ja216XS6njmzj8+Rzcxzhb1jicdj+269WtZHhWegeh9g7wzs4dbrFsF931/F3xuuo5yzB6V7YK2H + W/vcrdbaMAzej30YBk89N1WQGpALwIRaiRfzv/7c4sWn2vb3mvdhBIgMUNWrGbseCICJzV85Lq+8 + +Me/A9nuWEnRMbDMxmZguQu8+ad3RgLgu1VV/Yz2/le+Z7FKso++39eMN6HyuZHMvD7pLs8z5v3y + sVgszGw9FPsMJREREb9G+JsxZh7HsZQyn8/3cd333+WHx/LdnYhPi7qMG7+VYAghhBBCCCGEEEII + IYQQwqX5h/RX96P6EMJlpJRePckm2jeEEA6hdZMsn4Z1fVfmxrKOgsP53crCZRipkerunGsCAONs + CRUFpaNOFzYpPRFpGobulRfHJz/26X8xS6fRm3WYzS2lRAZrUgikaNUoMTKPKkxdXeikZFJUgxhQ + uAoyI9f+eD35C+/5x/f0b+iGI0kTJXDhqhUFjVqjZtlGG7iwkRoZGQHYk6N56JBmMiY1MiFjogLk + pio2UlGwsfBUjj98/0+9/dRPbgxbnfTSoIRGoGxDW4CUE5hZq25MmNS2t88yg+HzLQ/WpTaricK4 + lH51ll0uW/F6UY9pTyrcAGQp1KiRaFYkyEI3Fkf/4Xt+vpeNwr2oolzxa9CKSdeVBCgYMENVcI85 + n3tx51vPvPiNUeeln5gmAsr+Ox4qzs/b9s3uE8d9WNtfO0UiIrJaLaVlOzMAKZX1A65lWjkZs3DP + vaoKxMSUWjs6/zef+ZffmH9te3IWSdhghHGUzR4yqIfCwqAEIyxjnAG1RixgcAIRTEAj+jp9y23v + eue9P32MTtgCXVcGXXCfRqgRAUxAsuUNyGyH7f/NuY6WczFtxKrJGoklS+j57NFf/Hv/5TE9tomJ + NTMCZU+hzli/ilWyNRuYQCoZxMYJyLQ805sKb7TvLL75r//oN3f6M60fVNHxJpDBxRIrKYDMIKjI + eKCvlqBsJnXIXEyzWSn9kbFCuR+VQR14YtabpkQ5M8yamYFp3ZBURMSMKOlAtx2/s40mYjlnkQrs + SUO/YRk3JTESQAnmx60RJI9n7aU///qfzMrpOm3o087CphvMjDbYtJ58210//Z++65dukbvbGd2a + TDk14bGpGRJRL8QVC00j6Mpm6nuRkl+R/Xv1ZGtuVUcwlNBECmeyxNJv0on3v+sDnU517tnPPAzD + ZDK52KJ1vfzztgDBCGKtn/Znzr5MmcHgnFSV6MA7zKaUuq5rhsGGZ8/93V996y9ark3MbDkaiVpH + KAqtDYmNfPZ9292wxn4+Jk1vft3DpR4pxpm0yXgVO+T65G9mthWPe+90ykN+y30PF52QJDMSKBGx + Lje1nX/dUYKZbXQgwTAACWM/++Mv/eEsvVzTzIyKdlR1kgvztbx0/KhJKXlJ1Xr2v6oys9YG0ZTI + TNTa//Q//48f+ciHADCBVo2Y10vw42e9xwF4oDVW5R9ebMDMOWfytwKrP4Wtv/EqkXBonZdDv3pb + iNV+92/2XV4YQgjh6loX4wFIKfn/d3sdXd/3tdb19Tr+aBlCCCGEEEIIIYQQQgghhPBD8kkU649d + 9kZWX+rBWE6k3G2pHEIIN5D1rEsf09afSocQQgghhBBCCCGEEEIIIYTwo0Rt2WHJe3p4xLXBAHhG + prPWAG5IM+APnn7yxcwzJLNEuVNpHmpNBjaQ34CbMNl6mWFtu7elklsbURKYTJWQoGmg9Azbp7/7 + 7VewG1wNMJpCl/tAsWz6ZIAARsCeyRvrHXcNX+JNwTepp4r6Pd5iYm8gqN+zt6nIZfiHzj55xr83 + s9aatz0xs3W59NWyntjjqaXr7FIi8sYpROQvJPwoyTmv52jtneulqqUUZvZDehxHkPoAI8BQAUsw + 5bqAnvvM7/z2rX0DsFOx0ZNpW4xDKj8g/jacx3YvgGYwAzNak5KRGDDTVgvp0U6++In/G2efhTUz + JPg+8R6lChDA62RrrJreiIiq7u2Hs+6u4L/xB2YVh/3xHlN7t/z6EuBnlvflu3zmtJ+h65Bpf/ze + +Ug+33Ld5GrvP/me9auGN7laL/CiHdV8ptMF0z7Xh8dracJ2SJvPhhBCCCGEEEIIIYQQQgghhBBC + CCGE8COCVFdBhkYAjAwMZGZGgiap6Pt+NtsxrjXvDJunP/rIvzhrL7Y8LATSMJ1mYBmemhSs8OjZ + xtoYSpxTanVURelADFHLKefalVn33te//x33vDedmxzNJ4ahNq0GCDfjJtyEm1IT1lWmNQCQMRkz + QHroJhexMVlKxmk5gVuX87DBk0lf64AkarZY1Kke+cWf/qUTi9u6YbKREzNAEJVSCGytNZASwSfq + 1TqIjmTqy7wmLwRYpeL6Hbs/GeNiaaOHhJHq6pBOyqzZSCVJQ9uYbOXF5K7+3p+49606JOasZleY + dMtkxMbnbRrfVr3uyJmvPPnF1s8FQpTZGFcxhtxz3Ndf/XaFLvViV9m0uPZdzUrq6mzMnEyEe9aN + 9qdfe+Sr33100Z9reTTS9ZGWFEkz733Ve7ZuX7KaiaI1QNEbpry5Icc/9K6P3EZ3805OSFUXo41C + lkpWMEC8LDvxEhSCpUM1ZZENvpKAgZqRGnlkO2MxuTWf+k/e+RE+x5PcGwGJzACwERutYr8BAAQk + XRbYsDJLJmPyewgLm22X7z/+wmNfeurzQzdT0ipWlUa1piIm0GaiRCilXJC2fvWRVwQRWyIrrIU0 + sSZWJk2shaywJYBeHf0OAKRGMFBBKShdKkTLLQjgxq92MfWZ96QgYb8WGCsw8Nmnnn/8pdl3hlKl + 1+1au03MZkojjudbb+V7funnfuWI3oJ53to4NpvNAAAMmBKEIazG1XjUKx7ZmYwBsOfNA1glW/tQ + bCTrq0XSNNHpA6fedLy77dj0BAQy1px5GBe7Q9N5v/9iu3g1XkltZgpSv0oy84G3ZSQ1M4E2atq3 + L/zNZ3f4zLzNui77L/YzLimS8nkjCe1WaZGBjLPgRH/irhOv26CjCTAZiMgOePUZ0IrNdPSBux/a + kKNolIiJYF4rYLx3g7O/gTEzE39PSAYFBsxmfO6LX/+MbQ0NkrjrUVC1jmIcbTGvslKKqno5pU/E + //Vf//UPf/gDZvD3hwCOHt3C+SVnr7auEGNmVfVSBC8VWP/oC7mK2erhqrug2MN3KzPXWgH43vSa + jWWSfQghhOsqpeRjdd/3HnHtg3bOeRgGv8pjVYx3met4CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBBCCCGEEMJNxUOsMzETt1oBMLHfLv2ctACeAz79xOOvpGLTzdY8WFEBhQFgGN18edYAlo1a + yLfD+Vugjou8tdFknM/nPNm0asZ53Nr8g69++VlgWMVXmwCyTLSmVbTpmgFeS1trXSdiYtUoI1xF + nlTqqaLeLUREzGwcRw8EVdWUUs55nSN7qeWsH59z9kWt82i9LHodgBr9K8LByTnP53NV9QOSlo2Q + fOBh83Hb+x7qQv/2i68889ezV86cOIJxMBbZ3NwaWj3olk0/qnyEbs1KTq2hjbUkmhbWnTOT8cxT + n/k9tDOwZVci2tN9EgAoAbznzuWw3/e9mc3n82hhdC3t7VnhTdj2kSDeWss5+4AvIvtu5rZuhbS+ + SK2X4zHnnpltZn7B2sevwKHqERlCCCGEEEIIIYQQQgghhBBCCCGEEMKPIONXz9IhUxm1pI7AtTUk + 67bSueH72Nz5o698/CvP/Wna4CrIBAaqNMUyhicpF8lJGViGZapY33XiE7yNxwZtVLR0Y3fPkfs+ + 8PafP2F3HOGtcWde+l7YlNTIlMzOv5ERQGTM63nNBx2wui9sICPPn25JW2rCAJgqOi6NxiEvaMIy + 8gNH3vLBN31kMt+yRUoKIrSGlImTKaCqzKQNZjavs1qHRJZW2d7X1KXik181Vf0wMPLMVwOUjMkg + LC2Noy1SSnneHbVb3v3AT5c6YSqyjxxnY7LVPEsDKXtgsJGNafzy04++LN8drZlS5gy58pTYK7PP + +XW0zDHdsyAfBy42GhyooS4mk85G3dzcWPD2MztPfvLLH3/RnhGuuytqgDFrTspky9X25GYl+Aqr + iRlSBhOoIUvHO9077vvJN9/1jryYpFZKysKCIkJSVVZxvOSHynqzHDYe423UhKtvCCEIoec+zyfv + u/9nHzj55lSzKlRsz6nKWKYLr+6gVbg1wMasmbWDZSKmjrd1djZ951OPfuyF+TfHMkgi5J44U6KU + jUjN1AxGtI8w9f29atKcJWflTrgX9IJOkCVlyXR+ujnZMlkZ8ChlGDhR7qjvy8QnrhIBu/HWN67l + 9icIoympEYRSS2b9zp988ROVa9pIgwl6WAdmbNIx+173y//gv749ndpAT6RznaPLpF2WzACjCouS + CusPOaCz7Y4dCiipcVNSJVUWwNjANd199J7773pTbtOsBWa5YHcCs63OQYNHLJvHeGPPAwwAGATR + xEggE4Uac74GBycRNa3WyUvz5x978gtj2RFo5pJ0Hd7NZMzG/qNfJHcPPGMAydC1curkvbds3mkt + qWqzCui6nudKVkgvvF2aAgIzS6eO33f7xt2pJjaIQc1gTMa0rCZblQ8YGI2gbJQMbDBDhc6x8+dP + PPKKfXekQQyZGWrN1MB6CMfQG5nnTG9NN8b54tjWJqk0Gf/X/+1/+cAH3m8GJhCwffZclxOAy0/T + 97Tj9Wz+ruu8kMBLCIhosVh49do1em3hyvnOWtdseHx1rbWU4vtuXSIY2aghhHAYiEhrzcx2dna8 + 9tJL8f2rv/EzMx/YoywzhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCGEtMw2LGQGl + FDMbW1VTNb1Ysyv2AOUGPgv87hN/+6JhUaaNM+B9ORo82dmWydbRGwMATEFqmZAMnLgUjEobW2eA + Z4fhE0994wzQlr1HlhtsHXG526rJlr2hcle8ZnZv24poYXHVmdk6H9TMRMRTQruuIyKPsvYaZ+8i + cpnl+P7yzhXeeMTzrcdxxKqpxbqLRQgHwbujpJQ8B3d9NC5HeUpA8SZzBgANixe+/OmP3zpNOiBJ + 7ZirqnGKiNvXggwXNEI0Q0qAKTNMGkMTAXV++xZ/7hP/Ft97CroDU0vZn8cArMG8RxmD2O/MmQG0 + 1kopL7/8MhFNp9NofXPNzGYzALVWD7cmopSSj+RXpJTSWvMdt1gsfFH76Ifm/bL82pFSyjmbWd/3 + fd973DX8TRvQ9/2+j5M450MIIYQQQgghhBBCCCGEEEIIIYQQQjhQvI4IJVNAGQpArQFQGOc0t/mC + Z3xM/uY7X/rjv/y9dLyN3DiVQpNkkAZ4fqphGY64ynRUgpoQERiUIWZs3FlXWj8Zjv7cO//RLeXu + Sd2SRU3ZwGbEPv3NsMxrNFIAZATAM613EzAvCLw8HNZpwUYQUmE1gI1lrpmyQcANSUsq288PP/eO + j7zu5IN6jpNSR0iAiYogZSiMmdXAzFXGoc6IlK7JTN9VbuhrctiSYsk8m9nrCGDkSbdmGa21gp5n + 3QN3vfnuI/eSFKa8jylqu1HBYBizgQ1DVd7gs/j+ky88bqmqamHeT0zpJV7W8tfh0inj+8F7IoEv + ZAdfhGEEJVNoLnx2cXox3f79v/id7yyeoS01butDa2+UNcPTW1draPC9IGI+l56BnnORjWN8+/sf + /hBmBXPucwcmgaU+m5k18RhaWi32EI4kzjPU1YfBZegsGzilpAs5Qbe//y0fzHXSoxR0pATfoqsi + nN1kaztvgUvGlPqx6uQYhjS8uHjm04/++3k+U7tFS01hUDAopwRSMdUDni1swDoWlwGGJkVWWd0s + mTH0/ON1z08kgClBiWHMSJMyAdiXesAB89cIm1+vdZX8zEYw0ue+/fT3Xnppg4+lxaZuAxW6AI1d + 2T76gbf//AO3PJjn3bCzUJiyUU6wxJrIAKjxqFwBhpUrPe1tdY0bjAyjAAAgAElEQVRe/bz7dsLf + TwAKNM+oJqDURIv08Jt+ajhLHU8SsYmk7M9dfd3dUwqo0eqoWD2ADZkygEk3TcRYFTIdeCWMMRFV + jEM//4sn//ysnZY85ow2Vh+j1snWF322EgAGaVIusvHAqZ/gltsoSGyJjWBmBx0LnTsah5rHjYdO + vbVo7+uk5MfVeatKBoYSFFAlAEQEIpiZ0PDc2aee+PZfymRcyExX09mjEumq67pusViIyNbW1unT + pwGM43jy5Mlf+7Vfe+9735kzATBYbRWXTTL2Kk1/gNekjePYWvOYZM/R7Pvef4yykEPOa0h8rxHR + 3poQjyr3OpOr9/4zhBDCPqWUPNba3yallLz22wdtZvZ7cs5e1BdCCCGEEEIIIYQQQgghhBBCCCGE + EEIIIYQQQgghhBBCCCGEENYmk8kwDB6sy8wGEF+soYcBpipNgDHTs8CfPv3MmS6P3mpmt7sQL5vZ + wBOdb64WGRdvZ2LIXRpqNbOu67TZTHTnyOYn/+YrLwIzYAS8AwWDErFp8wZNr25nsM609nLaWqvn + Ih/cK7o5eVcQ39qlFC9n9lBqZh6Gwb/xQubLL8pPK1UVEf9+Pp/nnLuuq7X6070aeh1EGsLVte5W + NAyDma0L81f/ngEmKK/HHB7P/sUfy/efLvN5p5WIFkPlnG+u0fzqUTUmpJS0SSLrS27jwDLrdl76 + zu//G+TWEQAVEVntAgL2XgHMO4s1BeBjxTrQ+gcOQeFq2djYUFU/d8zMGxPtgw/1zCwiP0znIt/1 + s9nMzFprfpEahmEcx8lkAsC7cADYR/b2Wry9CCGEEEIIIYQQQgghhBBCCCGEEEII4cAYk5FnMS/v + IQU1UMs5i2rTRlOueTgtLw2TV/7gcx8funMjD+fm50o3rdVU0fcAAQYlVmIjBilBeRlG28Y2VyBl + wLhP/UT7btY/cMfb3nrveyZyBJITWy6kqiIGI18hGK9CsokMSTkpL0OLSXV1O1TTClfZlmYEYSib + 0PL+knoZBDCQzhfbm5PNzjY37eTPvu1Dx/j23Kas6JigUAUMpqRgI7aUGmR72JbUjBV0nZPqePnl + MM7sYoA0sbGHs0pqksS3WEpJVRPlJOUE3/bwG35KxwRc6SQ8Na5KzaNXPQE2CScFgJaaHml/+fRj + mFbB3Mz4UG6lV2Oji+3T8wNrD4R2PS/a9oDF0C8+99Qf/dULj8rE1mHhnnHrebHCKtyUGqEtM8sB + I1ZkgBMzgDbCGia0kRbTn3zgZ08dvT9pLqUYp6E2A5GRNutTn4zZ2AhCkOV5eqjGEsDHE8i6xIOX + g2EGUq2LaT8pdfPBu9/xhpMPTYbNniemQlDQsghkN9l6HW5NnhPcQApSJVSlBpiBCqyf/+U3P/fo + N//juHm2pp1mTZtZY1JiyuAsl6hSuYo82VrJs5AbYSTac8Po9yupEmx5xPKqfEaVmxI8IZgNXZms + lgqPPz/o9T9YxmSFLLGxEgwQ8gRivu/u1/93/+SfvunkO45s33qb3Tnd2dyox4/p3Q8ef9c/fPg/ + SwueMFMqCkqlq62tF6mkoAWj5dZnmbJd8ZC4vtHyes1szD6qeHEXGaCMlkyLpTyW++94aDPdwpYg + rYmt1oTPH4U8UFl1dxRaLpyMtRmDp92EyKewM8B68KewiHHP3x2f/bMnP4sNa6RkjaRht5BNzd+Z + kGJPTDsAWDYCG1hTX489eOodGJAZuXTIWaApHej5xUbQYsTATnrbfe/MlU1Q+gTP2waD4KePI0OG + 0e6QAQDMbEmlzB/92ufG/tzYz0ZqCkqpHOTK36TGcey6TkTm8/nGxkZKaTrtz549c8edt/0f/+f/ + /uCDD5RCALou0zKD/OJqrV3XYZWI3FqbTqdeCgiAiFTVawm80uxavLawX15zS3tMJpO+770akJlL + KbXW2I8hhHAY5Jz9Ous/et1dSmlnZ0dVW2t+CZ5MJm3P+/MQQgghhBBCCCGEEEIIIYQQQgghhBBC + CCGEEEIIIYQQQgghhJucigDo+8IMM6u1mpmagvZENNveTjINibabzYHff+Kvvk02EAPeSE1BMGL4 + zRS4Bh1KDhnv07S79bxXjGmTRKRNIODNrZcWw7MZv/vM03MAKRkDBIPxqimKL2a50T0p3BjeXYYI + gMcke+LynnjacNWklHLOwzD4j+vmIesfvX7Zw6ovtRBmNjNV9SYVAMZx9Ds93BqrqPJxHP0BIRyE + dRBvztmPSWA1TBkAJOhuPHJdoJ75wu/8xl35HMtCzKh0B98O7UeE7Wkq5ZigisyAtpI4M5m0Y5P0 + 6H/4f1G/j2FHDTmRmQkgzHvirP0KsuxrlxL57vMxJ6W0HqDCNeCjt/cgYubWmohccGl4LTyO2nsW + eTcMAPvoX+RnsYdt+1GxXr3FYuHXF++StL/lu2irFEIIIYQQQgghhBBCCCGEEEIIIYQQwoFaRUUC + BCVbpqAqhAs1kpFqLUM5QZ9+7A+fOf31NLWhgfs0tCpmzJmMaTWL1QBZBjpqMmRDl+FT0xoIQDay + HT3KJz/wzo9M5USXtqRWozbKQomZMxuzERvR6pYMnmR5fuilGpmRXfeY5/OQkhlIhdAYsmf2ExGl + lKBgxQZ3484w6bfqgt56/7veeOebeZ7bDNlKpsQAEcBJQUZsTJrs7OIVpeaBytcRHfLp4sbJOKnH + vqpwFW4AkhLUiEjFJmmi2/S2+x7uMbGmV5h0q0rNqAGAwUhBypZZM2ea67DIsydf+NoC5yy1UcZV + 5OpV5PMF+dAmi18RAkgNrIt+Npuc+Xef/VibDpa0teax1qwgWybkCqvsjXVfzj9mGLFxa8qMUkAK + XfAEx97/8Idku8upsySLVhVWSg9NGKVHSro8mJU8G9hHLblem+LiSEGNoWwMS7DElsmIDKI15wTh + KR15/9s+1M02207NmQhKu+fpeiuyruYWG3tacPOX3KD9ZGvnHDLBSmsbO5/+8u8+v3gS/SJnFO65 + dSoJSERkpHrgM7nZQ7iVTFmMbRVuDgOMTVmUzHPNlbA6f/2rGqmwKgBVIiqlmO4dtJhu5GRrAljz + OnlaiI0AaFLinf7+Yz/xKx/8b//5f/7fv/uOn7llfmpz59Z++9gvvO8fT4ZjW93x2WwxnW4C3EbJ + SMYiSYSbkZERKxcpSdKVbh+Pndbzr8Iebg0/bwEADIMfloNs8GaWrdfd/QAkmSFlvKo8YBWxzKuD + dvUAfydAytasS910OoUaQCAys2swKppZmabHn/vyszvfrKUZQQ25EBvDmA1kUNLVpX+3jI0NtgxW + VzbeyidvO3IqGXLmRiSGJuL1WQe38kq6kHnuUpb+3lvu20xbaJJSaordd3EEAEYMgKFkYIOwNoYy + iLB8W9bhG8898dy5b9p00UibspoRH/L3BzeeUspsNptOp13XDcPglWMbGxs7OzvHjh37zd/8zVOn + 7k4J49gSp8SXe7/hhR8isrW15VVkXszjc/3NzEsL9lGTEK4ZEQHgpRp+j9cHioj/k9dseE2g3xNC + COE68jq9YRh86PZvcs6qurm56d97ZeA4jn5FDiGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC + CCGEEEIIADglFRkWCwDLBjKXfjBBE0NEwNgG/hb482eepr4HkcdaG0FBQmQ3Z08Fw7r/yar1kN+j + po1M+5QJyUTzyZO/91df+jYwAiIGYpRiBIFhHTdLe3qjrBdrtFgsAHgcpnc8iFjrq87jQltrfd9j + Vc7MzOtOFLpquHT5/iHeb8TzRD0zfr2Q6XRKRNvb29GHJFwDHqZuZh6EvG6AAwBQoJ3XSYoA1OGL + fzg8+zcbJVnqiKkept6TN5acqY0jwxiWCHVcJIbOzj31x/8ePIOpAWxGACirNxM7v0+Y+U6S3aHe + h30foMK10XWdj/zr4TqltI/r77qv0TiORNR1XWvNrzJXhJlrrf7NuueG97ny8x1Aa22dg74/N3AP + wRBCCCGEEEIIIYQQQgghhBBCCCGEEG4AlmEZy8BFT55UkFatQmoZA+YDD9/6/jcfeeyT5Qhms8W0 + Q+n7AaN1BGYZlAVJAaAlbakJNxCKICsARQY4t5YNYlI7KT/50HvecNfbOz02n83KRtoez+aOZax9 + miTNSTOp51uzx1gmYwZIPXlRPdPak1mv56a7KGoerSoEGAGcDGyoNnLJSbloPpo2684wWqUu97T5 + vjf/zFY5liWzpKTLZEJmFvUUbFPW7cU5IzkUMd67uaeHLlmZjFkza/ZQVeUqXMlAxhDAWExErKvT + W6d33HnyHmt8ZaHWDGNVhhkrWAlGSpbIspFpwbYtzsr2c99/mspgZoR02DbRpZBdj8xyY6sk3OZb + pz/51d/9/vC9+TgvhchLGQxJ2QcWYRVepduuJrfqMn6VAVIBA5lRGNbKW9/wrjuOvC7JVLSOthBr + KXeEQsI9ehotKyUDjJVIGMrLce9AY2WvlJEqVyUhIGmGFbJUFMUk5zy2OuiCNL/lnvfcd/wnbERi + 8yBrEACGZbPOrDNkI1ZACeppwQxjNW6WSWAbmesOSp9qXrxUn/7sV39/R14C1576bBvQ3qwYwQ5+ + 8FGCEiuZsApxJWrcNeob9Y27SiTEwqpkSn7NWiYKA37xMk/FBpTIcu50fczYcpr0DcyYjPxVG8iz + h0GSlTfGE7eM99w53vuG9NA//cA//2cf/h/efer9v/CeX3zotoemMp1tS+6ODItGDRMtSaE0tjSv + eRBW0mlpW1lyVlxRMLGSGpnxbniqX7J9VbFKtvaw56RIimScNMuCf+zUGxISAczwGdG8vrLsidbe + u8fIGMbkbwyQNiabm9Ot3d+s16YShreH7Ue/8We6NVYbiMCMRKZggAnwNG7l3ahvpd2YeSWAQMY/ + dvuDRbYSsVodRIUyQF47dBDrvL4MNWpqVqyf2sadt97FxlXlggne6+h6MiSDv5Hx8xGUCGYmjXRH + dv7ia58Z8tnGqpx9dvvBrP/Nq7V24sSJ7e1tM2sypkxdysNsPpl0Zra1tfWxj33s3nvvIQKxiV4y + xjjnXGvtus7Mtre3ARCRF5i11ph5MpmM4wig1hr78dDyyqt1vUdKSVVrrZ6KamYiso4q5yt7extC + COHq8wG573sfn/u+96stEamqv/Hz7/0afZ1XN4QQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII + IYQQQgjhkDCgCXPqug4Akam2sY5N1/WYyy4ftOpCxB62WboFcA545K+/em4xwLDu02WExizEIMAO + UXOha8QAg3nfIQCk3gylcDJVEIPTufnw/TZ+4htPbxMqQN6uhAwEYxKVZRsDghFstfHXu6DrumEY + RKSUklISkeh7cNUxs4jknFtrHkF6/PhxjwsVkclkglWkqJmVUi6zKG9eoaqbm5sA5vM5VlGjALa2 + tohoGIZSyj5iTUN4jTxSvdbKzN5WZU//HElYfs8ACEgZWjG+8JVPfZS1CiASRfo/LD/lvQECw/7s + s4/gzPOYn8mTqYBVkQDkHkh7trUCy5/8PyLiScbetsh74FzjF3LT8nxo7yVFRH6Z2BMP/1p586LW + Wtd1RLRYLHLO3jTjiozjuL5w+GXIWyT5WvmBUUrxQ2Xf/dbi7UUIIYQQQgghhBBCCCGEEEIIIYQQ + QggHLqlPHGNYIoANpeRhnCMn9LSdX/n//uSjY7+zY9u5S0zp3GzWT7NIbVZLSaZYTfVRJV1HUbKh + DmBGyp0ZJeIs6fb+rg+84x+l2YSGnArP67mTJ08uFmPOeRwW61UiW04fX4dcGuv5saSHcXKR0XIL + eJqyT8dTUiSMMlJiCC92xo3JRs7cWqvb8vCD771z83VH08nUoFJN4QmdqqqslVRY5uOOUgUd8DTf + 8yKrl7MG9+5NACDdE32qekgDEJcvZJWoypkLwKnk+ThMp5up5ftvv3/TNkmvbOackm+QvceeGaFW + TCZJCSj27EtPW9e4mOEqTK80wnoe5+qbq5a+fA1yfpWWCa9k7Ce13+PR4yONfMSefOnx//jYJ6dH + C5E2GZkBT6lepeSab/Zl/C0AqMdZmxqpAl03MaXFHKn2x+jOf/CuD89Pj5t54nOXc85MNMwXKtL3 + RUR8S+pygR42e7gyrS+GYUwQMs3ks3i58GQiWw8/8N5b+ltsDqxeFNkqBRm44HX5fcuzuy4SWVNs + HN08fUYsAZvDZ//qU9+ZPXOmfa+hsREZM9E1yzo1AEbmQxCxAkKsxEJY7Xy2dbb57qmxLJ4hIBmI + iEEpZTb2YhiQ0o1fVrTcn8Z7hjhl44422znOi/5IPZle2Xrjibf8Vx/+b37qwb9vM6JGk26LkLW2 + SdcxyERBpuw57mDLtMwFV+wjuXxPCrXuefr62k3rUxfIXddG2cDmrdPbmMgI47Cu9lJfGhmTst9H + ywsolic9KUPJuMuTjf5oP9kyJAZlGMj2cYj6mi3j3i/6bFoWZAEQ1jGfe2H27NefeaJMczMVgDvM + Rtjqbc/eXHB/3Wwe8p2V1EiVwJruv+ONeegLZxGRZl2ZlFIOPs5QJ6VrrRElE7r/9jdsYAtVucCo + gZRsfT7tOQxsuXGIzCAkMLOWGrbw2N89+oq9oom6lE1Hw8iHfQi9wXhosdcJbG1t1Vrn8/l0Oh2G + AdDpRr+xsfHbv/1bd999e2t6mWq+1pSI1pHVOWevIthbWDKdTltrUU52mHklxrpu03efZ5Ov71+X + l0Q8eQghXHdeUDcMg1/KPb5aVX2IZub1SB7jdgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ + QgghhBDCeVLSWokZq9zTH5TRC0rAqMTdDvDEWJ84ffpsV2rK5sX4sFVLEAYdxpZlB8gIBIB9I3if + uGXLFeNsScexwWZHJp/6m698FzgDCJBThgGiAHLOusorXVv1vlEAZgKg73uvqzWzfWRqhtfCi5Rz + zsw8mUy2t7cBeKDpYrEAICIeSuopsxe1PpuIaHt727uOAPBUVH+imXVd57/rWrywcFPyUcWPtPl8 + vvdgS2gJinWjPQMEIIVsP/Pop/Xsd9I4L2x5TxMyMpB5hzEFFLa3M9mqs9zNF4RtxEa8d2v48C8N + qXRGrDBV7Vm22ssv/uG/hu4k1jYMqZ/An9RGf+JuYylvkbn+aTVQeA8cVY1LwDVDRL61W2veszTn + vI9YcRFZ70dVnUwm2FfsdNd1WLW32ruSzLyzs+Pf+FWGmSPWOoQQQgghhBBCCCGEEEIIIYRwQ/KP + aVNK/ulsZPwcBns/gfY9cvAZYCGEG4xPVqNrGMN5QxNuoMamWcFaYIU1kcFESinjoCj0xa8/8vXv + fWncmLXSGqSq9AWtDonBsKqC5HmWStBknqgKAxTop5jPwUmBJlUnevTvvfFDt8g903GzUDZqyLbY + qZM0MW2cTbl5+qOR+lw4D4rec4OBAWJLbGlvpuZ1Z4ASG5iVs3JWT+VcvRxSgVlmlCIKiBZwRx3N + 84ff+Qvp5ck0bxCQCAxYW6RsNbVaxFI7N3uZk2/RA7/qGS2nYSpBVlv3VWeT2uHLiDVSYRVW87hW + TVlTMjCUlMwwiPCkm+sCwNvvefvmbCPrlcyANAAggx/nSZEUwk2pTTqevSxT7iB45vlnaqmjzZDa + lSclq8eL0p79fKnscN7vseBn1nrNlDyW9SrsUFqdj0oAWAktibIQUUHmSmw86sBdsspEaWeyfba8 + /OnPfnJjmsfhDOexdDxUD4JlpfXA4vOGPSMWQjAPN6ZmXJVNQIacCRMcfesd77kz3beJwlIZaZmE + K9pl5oy5zqzXllRY2cDKfoOxHbb5isawAiTAc2fFuPo3BEGTYlOdZ2n54Te/+7gc26wbEDIGgTIx + zFRaSs3QCMoAr/bwMoJXsQGjcYYun6tSJhO1vLCxbQ4f/8JH7bbxrL6MXEsRtBFKbJer8Lkq2JSg + bExGbER+8lJlVIKyYXU/sylDeTVlXImFCEadoIh2TLXWjW6LbWKajWAiiQ9/cvnlGKmSrELil9sK + gJKONNAUlcxSYiudbubtfkOOJmQQmShEU0rN2kjVMtgot5JblyUBKlxbqi2JXskg4LuJjFbZ8x7b + vHv5BuCnlYGVuDHPbKBSyjzfc+QuMxFGycu0cWU1MMBJOXlutyFR1oZcyqhAUlBjGKtlndx91+sH + 6YQyqyYdiUyvMJmegKTK5oHovAxvNiZjJTamZi3nxDCVipRrGWfHTv/J45/Y3OppMGoAUAk2hSRV + agZgOaSsdpkBioKOUdRGpUacteY33P563jHWLqWcQTKMLLtb8goYX3i7iOX1nI2tMiNXjCX3b7zt + oe7cJtVMCTU34QbtWDsYjHwoZAOMwJqTMquxIWkmSrW0c+nc2TL/62efAFSGBXggHm/G0o2D5H+G + 8ndfdRSm7LHTfS4QHeezjUl3z913/tZv/F933XFcFaUjf1YpxQsAc+78GzPyG/b8Ucv/ipJS8j+w + eNXZuixk/bDW2r5rAMJV5MHVXmHoZSRY/XHMzHxvrhNSr+uahhBCAFaX1L7vATDzehhff13/gSVq + MkMIIYQQQgghhBBCCCGEEH4Y/lm5f4A+DMPeOy/1+L0FQeM4Rh3KTW4cx3Wz5gv6aR6o9S+qtfqn + hzFFJ4QQQgghhBBCCCGEEEIIIYQQlggAc+m9YQJzBngZRr0M5lQiMyjIDOrNMUwAZqgBmAO/9dij + 3zl+5HucpHQAEgR1yMxQwIr/jpsFAZQAgoHUoAZDS6lxgjAG5Y3Nsz092bWPPvPNOWCUGrjKCFWI + wtDGakAT8+3vKdkGU/jiLvy8O5r+/fBsBcAFAdXecYKI1sGll5oPs27DiPObivgCVVVVPTYewHpp + /khaOehXGm5m4zgC8O46pRRVhQFIMCQCAAUoM3v/HAKkAoLFma9+6v+5VV+YWlU/bFeN6RjKaIQG + qBGM1mnOq6Br3HTtkVY9PJc3EJI1MhVCIxhDuVhKvc7+7tP/Cqcfh85FAVMZFrLMFBdYBQAiW3ZL + 0JSWwwsz+350nml9wagVriKfY7ZOEAdARDlnT4kmIs+3vswSmNkfMJ1O/Z4LBvx156IfpoXRBevg + mdkioqqlFDPztd3fwqOzUgghhBBCCCGEEEIIIYQQQgjhehIRZm6t+eegEQ9zGDCzT1ZYT7ryT9Ov + 93qFEMINbHfiHeDzuT15R6Da1Rd3nvvi41/AZhswG6itE3Y9sPmCRXn0rCfyKsEItWJrC/P5IqW0 + yUe2cPx9b35/HqZJ0zK41xhIAHugr11s4p+df1s+65IhjteTr94yydMuDB4+7yUAZJS1lDr9sRMP + PHDqbTo3M3AGM5J/MTYzhdVaVZbxvgf/Gjyj1OPDoasIYfg0dQM8+vSarMuVWmefszEbk7GvuU+h + Nk5CLIRS+lsntx7lo0mvNEZ0Wd4AaFI/WdSomWrOgCoRvbx9piXRrAL5obOiV09fJ7auFkhXKaDX + zt+JfoLbvvfs+a/XCEaqrMuoRWO2lFISCABNgqPy+a/96XMvf2vWzqXexGxstfQeNLtaDc9gXs8R + puUhujy/qCm3ZsooHfp2Vt75xvdu6BY1fwDBeHUmqmfMC6msYncvep4eHqsVW6YXK6mSGalBGJSo + JOqZJh023nrfw2Xo2TpViJiZFU4pkVkjXi+Hzx9eV1uGVAiw5BtM0+L57W89+o3PS78jZRzHBTOT + 2rVpm+irysZYnrzKpquve+/HOq8Xy5h2BpAUyeBRyawJYNjqfxPosO7m12wdP88GtuU2MWB1VMPA + ME6acuuzlPPOlNUjjRTGbJw0sfHypOC2PileOx9mL1jDi685oARlMqPOymbufQQzY9qzBAODlq8L + YFJiIxPlBCWIgKFkREP343e+kdCBmEyYmaD76Cjq9Qv2qnHPzIzAzF5yk5nMrKG+LN996ntfG8YZ + lLpczNDEK7D8uXuuOAQjEIEI5jP6GUowTX3ZOrl1YiNtsNGyNMIztg++kseviZTYzG6Z3nGEj3XU + qcIIwoAlUo9DXl5ddHWZWY+QvorCph3mmD/x9BPKFckmkyJtvKFj428gtdbJZEJE47jounzvvad+ + 67d+4+Qtm220vi8isi7taE0JnpR5ybc6IpJS8ln+vlgA586dyznXWkXE6xauwesKIYQQQgghhBBC + CCGEEEIIIYQQQrhSPmXIJ/n0fb9YLHDZ5shedeIPE5Gu64go2mjetGqtXdetg6W93ee1mSrT971/ + 4w2jh2GIKTohhBBCCCGEEEIIIYQQQgghhLDrYl2taDVPYDKZ+GesZuafuvqDSZQBQ54B3wQ++62n + bOPofNEUACNZw7hAKuCbrl+lYRkLvmoMYkYwYqSilERxLqdPPPHVF4E5AF0/Us9rlAYASJyWkcmJ + +8kEq50SriIPJR3HcZ1IvQ6u9oku3nnVD/7lKXAxZra5ubl3B62zq7Fq4uq/YhzHyywnhIOgql3X + LRaLlJKIDMOwmrqTU0pqIMBbSkkz5B6iiQlQZPnW5z7JZ5/rdUhmuHivvN0GSje1Sw3PpERQxdjQ + lzxun560V772yMehZwEfJdS7cq17kxKRJxN7DzofTDxK2a/I4zj6v5pZKeWavLybiJn5LMd1a3Ts + Cahura13BH7QdXmdJz2fzwF4l/WDXn8AHrm9fiE/jJhmF0IIIYQQQgghhBBCCCGEEEK4brquA6Cq + pRQP+/HPca/3et3sfI8Mw0BEpRRVHccx9ksIIeybpxW2pC01QmVUJQiRELXUdOvcFx5/5LunnxdT + SsxXOPtUAQNqRS5Ixrwz+ckHfuaWY3cSkZIAytqRFQMrGOAbP3X0yrBxah3V/vjGbW9907tt3Eja + G9AUsI60L9Kn2kF4PmuQjqSjw5fkfahckFK8zFIlFQgxmxkbTCxzObp1fGvjyA+fjG6kyhBDzqSq + IH35zOnV1L2bbmrr+gz2sFsykDGMBWKsSkpEGQXVJNVWxpB9JAgAACAASURBVHN6+otPfH5bzo40 + oCdhDAIkWsV4q+dkky0jiXUVcZ3OGysU1Mys0+ntR0+9/nWvJ0rMuV5xSu8hpLtHkXmMOgHwqgBC + IqSkXbbp2x58z4SOZ5REBIKqGBszq2JPl05fwjrfWZWgBEZLaKDd2aU7s9N//uU/WaTtAdtUkjSk + RIR2aPO/L7DcPgefExxeOzbw/8/evf1Kdl93Yv+u9fvtvavqnNPdbF51lyhRY8miJGtsy87cPLaR + eQiQKwYTBJiZ+CFIHoJg8jDBOPkDAiMYxOPMg4EgyGsymQSeRLIdy3ZsWSNbGlmyKV7Fm0iKZJMi + m+zT51J7799vrTysqn1ON7ub7Nvpbp7vBweNOnXqsmtffru6av3Wdz1prJ0t3ETkHVHjYoDZQTa2 + ibgXnyKfVSV7syl3PfTAZ7Opmle4JBWTZHq1JceHntwilPrgd7OUkpXq7lA1VMv1xVdfPPPmq2hc + xEVdBFMr0fWudvECuMc0HpOIFzY5tXnX5mwzpVWNvh7lJAjzhBRJ26dPnt7aOJV0nSEOQPxwKrmv + B9tpG7msZhokQwKKD888/9S54c0xLUWSWmbJ99GIj6dms1lMyGma5sEHH/zN3/zNU6c2+mFMKXZG + a5oEmKNecB45tI1iKoKI1FpjdkHf9ykld9/a2ur7vmmamHU2DMMRv0YiIiIiIiIiIiIiIiIiIiKi + 90JVo8ghih9ms1nUOfjlRd1F3Df6/LKN5rEVfZ9jr4gLpZTonnlT1Vq3t7fjgqrWWruuO5r2oERE + REREREREREREREREd7qIQa21tm0bYaiSAAUcCZYBB/aAl4E/fOwRy41KIyJIioSKCnX4cexX6QJA + IAo1CBqr2axY0ZNbbw/lrdG/9tQLZwGBpEtEiq/Ed9wppbZta63L5TI6tdKNlXMex7HrOnff2dkB + ICJxZbRg7boutgUuTKq+iKru7u5G55BxHOOQeWfuaaQL3/RXRfQOUccVo0rXddErzGqdmjKZo1ZH + SihF0npvH0fsvP3dP/vGQobOR3HA4QITGNSQHW002IlObQIAGcirZz1WDclk1bhvat/ngCE7FIZZ + hhlsXH5oI333j76KN8/ALnt+dPeIJY5fu66LU/BUDdW2rZnlnEXk+nOL6SJxFsB6Q8SFyLeOATyl + FMnW79pzL9p3T6eDo9lYsVfE/jMt+TX3D2ePMyIiIiIiIiIiIiIiIiK6ZYZhSClFHU9KaRiGcRzj + C126haIQqus6AHt7e1HfdqsXiojojqaAVvWqFTIKigNVtK8Fc3vu7cf/9Ik/yHOtjtFKauSqy/JU + S0VWyaPele79G1/85d23B2hyLa5FLaXaGdTXmZU3/OXdzsS1QdZRbCl/5eOfvefkBxLmtaziB7NL + rppMXWx3uQOD4ipzxUnsILpUHIBIQlUMOs+LU5unr2eHswuPBYObmAF7y+Vevwd1P1Y1rEEiiPog + ol5ddVX4a1Bxh9bk1b21ZbPz589866W3n9Mtt66MMM+AwuPdnhxOdEaMVA54lAuvA2jFEdm3YpBl + 83Nf+OvJso0lp86qX39s+a0khqibnnY2V4caFJIcYmbi6gUyNh88/eBH7v1kqk2S3CYpQClDhNTq + hftqbBpZV2OvwmtRgAIxOAwqTX/mzWefeOl742xZUKFSSskaceN3jCnZel2Je6sX6NhLImLuIk3X + YnVI2+qoXh3jgJRpN6twVa3V3N0FSFBoKvmT93zmvu6DeVSv1WO+lOvhAOZrcPi+IuIV6uq+3nmk + Vh0feeJ7NY1Nl0zKOI7qyLqu2p+W/0LrWGsXEUXSqveffsBdaq1RCB7l3dXMb/oOqoCmlGDu7rN2 + 8+6T98JklVXvcLEp294PD79iiDHEATGFSYVUqGJ3PPfcmSdrHvaXfU7zYzZv45aptZZS+r4/ceJE + KSWm4vz8z//8r//6ry8Wba2e88GcgUt9frXaUWNembvHDLSpQbOquntMb4vqf37eQkRERERERERE + RERERERERLetKHLAhQ0W5fKiXCfqeZqmedc2jvT+Fm1VI8o6Zo0dwZOq6tROFICIRKvQI3hqIiIi + IiIiIiIiIiIiIqI7mrsvl0us85VrrSKrLFMRKABBTdID54HHtsfvv3zGNzdHcwyj5pyaBKDYZWOA + 369WaabrLkNwg5m4V/Eds37rxB8/+eQZYAlkyQmX7X8S5Rax5qMAY7lc3vx+KcdRrNVa6+bmprvv + 7+9He5CILJ3Wf/RifS8P1XXdMAzR7iaKbeLw6ft+CromOkqqambTzuzutu6/pJoBjLYejKxq26qq + AE0G6oBWn//GH4xnX+nKXjo0vjnUoatOVmKQsmqgdNC07k5qnnZDxDlSYOuEb5jAoXAToFHkstfu + v/7Dr/7vaAA3+KVXkYgcHu37vo+UcXfPOUfE9TSYsA7qJomzcKxeM5vNZqWUYRiaponNEUHjUxep + S4oyyzgdrBo93vwSSjNrmmY+n4/jGKezvu+vua87T1pEREREREREREREREREdMuklCLIJ74jb9v2 + yt/R0tGI76Hji/DFYgEgqgyJiOiaaKTDFoVpgQyKMWrQSiO7cu6PH/vtN+oLo+znnMcRZldbRqzm + Mp/Pyr6nPv3cp//m/d1HG99wl6rVxMWzWLMq97ujA2ivjVijkty81FMbd33hoS/qmB2QBMig0ieM + Kj3y/m7/JmRkDfC7Eo9dWoGYdAAXc3GoR/RjkqSey+Cpdvfe9QCuL3p6SguWhFqhGhmntru7a2J6 + p8UAX7+IQhWHuk7xyYACXsUisjpZSiI2q2fttT999I925a2xG8ZclgYIEJMbIlT1YOMoPMNzVZhA + TJPpNAlCAcAa5K5s/NSnfrbuuxUTV5H3wVt3W+9CCoiLmKiLRJmvu4skrSnXeVO2Hv7kz6BPGFcZ + pdXtcJyzAQa9aJhdrU9AANdiAJABWC6lPf/1R373vJ4dZExN1y/37qxJCFOmNWe/3EZcgVWeOlbT + wVxg6grPAMTt8JDsbkhxAVCoogzeDLO/+smfa3fnnTXJAU11tXNf9f4ZSyLr/GZdDVaaRWutcE8Q + EaleLI87w9tPv/RkWmjve8WLAWZAXaXPTyMe1vMcTJAEKnEOWr18ren+ux/wiqmke6rqvtnHlzjE + PGuqtSaIj/LA3R/E6EmmUfpQlPV0dhOIG8R8tboMQDIkIGVgVr73zLfroi9WFfk4vou7FWR9Cqi1 + 5pxFZGtr68033/zFX/zFf/7P/6fcoFRLSQA0TSpluNRjKKCqOgxDzNXsui6mHJhZzBUBEHMAYtIC + ERERERERERERERERERER0W0oJvtEr8aY6dO27ZULxqa/mlm0Rj2KBaXbUrRmTilFEU7TNLu7u1Nx + 5s0Tzx5tvt1dVVX1cC47ERERERERERERERERERFdTrTpiG/8m6ZRVXcXg7hU2Ci1otSEMeEs8Nt/ + 8b23cjNqqkOFO+BuRbJeX7upO1VRVAVgQAEKxNrcnF32r3XNv3zu2V1gVAw+Cvxw+5HD4jvuiM+M + Rl5HkIV5DI3j2LYtgIj8LKXM53MRUdW+7wGoaikFQPx6OWbWtq2ZRePWKK1R1XPnzkUSLYCu6+Kh + 4l+iIxNZvCmlGEYuSE1ed7l0gWiWprFhqGPJGSiAj9g/hx+/9OSf/8kG9rKvdt0qq5/okiQe3QcP + j2aGi695nxM3xepneuFVYIDCbVgmH+5Z5O987V9g5xUMO1do3xWbqeu6+HU+nwOIUqgow5uylnHz + +2gdQ2YWLafMLMrMok5SRObzeQzgMaq3bfuudWhd18UGHYZLdqa68eLojsjt6+8KyN2LiIiIiIiI + iIiIiIiIiG6Z+Joc65418Ss719xy4zh2XTfVK4zjOJvNopSBiIiuljjUBVAXVF3FHgKoarqBx1/5 + /uMvf9c3lz32q1s7k6vtmhXhjuPgM2vm4/zLP/HX7FzqdC4igLlEjK0Cq2Dr45iJWE2rzNLC9/Xh + B39qISfEkggcZlIgg+loeTy/fNuPWUDyjSFuAsBEvdaqqgrJkrO3WvJ9pz8gN2iXk0gGVje4prS3 + 3HV3O2aF9xYJ4oC6AgJAHeIiHmvGXF1EkmlKaZnOP/PjJ1/efg7zYWnnTaAZxWHTe+2L1p5rjCcu + SI5k8YyQSM42tDr7yKlPnMr3z/MiSa5FVPKdX4K4LgiOsHZXTAHVgKOK1yQ51Yxl8xMffXhDT1jv + ZqYZUIeKQt0AvyDAfcrfNYGvY60BuFokwUNR2v0fnn36kRe+l7e0+NB1ndw58dBRcauq0+wXzoK5 + LbiLSIX3wwBADOoHYdLA6p2AC2K3d0AUkld7rzqwr/dvfvAnPvQ5XabGkkJEkntUKl/7KVJxwWgt + IupwE5EEoKqVNL742vN7dRdt3Rv3LNWuSyKoFboa8TTeStmhMUcEqlFnbwDEVUu+//QDqilakVZ4 + dYvd9Whmaom7AOJqo37w3o9IVcX6rZcUSFEHXO3wwLleLgNiDEiOVmA+FFk+9eoj5+zN3LW18Bg7 + Iikld2/bdhgGMyulnD179r67T5/bfusXfuEXfuM3/hmAat62+b30uo0dr+/7tm1TSjF17fAQGk/H + 6WdERERERERERERERERERER0GxKR6MYIYHd3d2rdK5cXHX7jQkQaX0+HRLqjdV0XBTYxa8zdNzY2 + pmqumwfAcrlMKUXbaADjOEbzaCIiIiIiIiIiIiIiIiIiuoJofRBf7AIYx7HWmkQVKhBXNRjEIagJ + e8A393YfPfeWzzZTbmGGOtZaj2m/SleLFi8e/VzMxYpkOXnqXz3yvZeAvfVfKi7bZ1VVa63xVbus + M2jZj+KGa5rG3aPhbcS3D8MQ63k2m0X/1Sh9iWsu9ziqOgyDiMRDTU1Iuq5z9+nXqL2ZKnCIjkbb + tlNX59i9Y5d2H80thiOV5GZuBhUAqBAgJ4f3sN1nvvH/puXZ1nrFQdMqn9KbxYBVO83jTBxyqCtX + ZH27QERmOafhvJ0/88z/91XIPso+/LLjeWyd6FAEYH9/PyqvolRPVcdx7Ps+hp2oxaIbKM6/cdqN + ZOipQfr+/r67b25uxgH1rsWQbdv2fR+R5G3bHs3Girdeso7ldvfreTN2p/eUJCIiIiIiIiIiIiIi + IqI7mJlNfUOmr8yPaTna7WSqfBKRYRiapmHcOBHRjbHO91WY6bhtP/7m9/94R94amyqtDHVsm3wN + saauMvZl7luf/eDn7198pBnnMniaYhJdEWGt1xFIeQdzNYOgyTbT/dkHNh586P7PN75lFQWoalVR + FSVhZ9ytBrNjmfz9ngmgMD2U2uuAi5kY4O41QUqxhNTJTEo+uTh19SVqetFdTOCAO+JNolkxL9VL + 9TLVuB4fvk62njZBRFyLSPVIsncAJvXs8sx3nvrXtdv3ZqgCE6QEVKjDDZcbaqrABeqRZq0RsipA + AqTIFx/62W5cNJbblHEQ1vw+oIDEsR8rxlFNPKt7La1Kcmlqd9fivgc/8KkOszqaKkQBWJSZusCx + ygWfuKz2XjWk1Vvp1R5bEwbxfr73Z0/8ya6d622vnbVs30nXQwAxB2BadoddqLgfBKr7aly1wweu + CAwmSVzgDimywKkvPfTlE82J1luYJRF4NqgkcbWrmkjggIn6BYPEamyPumdxRxwSakvsPfbsIzKz + ZV1KCxeXpCJQrGY9HB6y4sgCsD5iVkuVTHNt7jt5vyLFhwxRGg6VKLl+7wt/bRRaRktJzLzB7IG7 + P9ii1Rqj9MUODxdyOHp8NV0Bjmp5uYOzP3j5Uc9icQamm28cx/gkZHNzcxiGtm0Xi8XOzs5isbA6 + /p1/+5f/6f/w37cZ41AEaLLKakbJYQrAzDY2NuKDlJjDVms1s/jsC4fmk5gZp58RERERERERERER + ERERERHRbWhqsFtr3djY6LrOzK4w36frOhHpui7uUkpZLpdRKUHHU4RJR/lW1ORE3+2bCsBsNou6 + nbjQNM20MxMRERERERERERERERER0eU0TTMlWx+6WjOSAKYSHXoAWEUPvAb8zhOPbjvQdBDAXQBU + u4YWau8PEqGvAICiuov66jj+1tNPn08wQEw9SQXey+qZMq3jm3e6saLn7VQG07ZtzllEoudq9KuJ + TjXL5fJyDxI3iC468Thd19VaI3o2iiVKKfFo7ONKR6yUklKKXjexf079hAXStY0kKVbzrEOtAFJK + 5gCQ4fAedQ8vPf70d7/e+W5jJZKbLZoBrptBrX/WOdf+/ulGdzXi5auLAqvx3QAXTWobtv/dP/wK + 3noJw7aqwerlWiaWUqL70DAMAFR1e3s7RiQRifKnrutKKW3bsh7vZkgpubuq7u3tAZjP5wDi1ABg + Z2cnYqrHcbxyn6hoV3X0w/6UXh+x3Nfz7OxxRkRERERERERERERERES3kqq6e4Rbp5Siykfoljq8 + CRaLRc6ZWeNERNdJHGJJXH2VCemu/Y/OPfvEK39R0jA6XCxn7fuxuepyHjMrJxcnsZO+/Jm/1oxt + 521WtVrFFa4uUQpYBAYxP27VaGKu3jSNFJ1ha1FPPfzxn174Ca0NABePKsmqWNZ+hI3HM/z7qhmw + ShUN6/3KVGFjEZMkyYs02skNigk3gyREy7lSKwB3P3b782WIQ0TcqwlcrUoZffnG/pnHX/jLgv2x + IuUItM5iaFVS5KM6MEUvS0TArx/wgthsqKPxZHvymY99YYaTw+6YVLPkm58Se9OtwnHfUUhp7hBT + RbVlUpNaG+nymB/60Kc380kv65uZuXvC6q2yCwCFr3LBsUr2hRy6JowV0mJIyxfOPvfMa09i4ctx + Wd1xg46XIxD/WbjVS0EXcHdRH7Ts1b04ghUQPxiu43i3dda1KmpFEa8ACnLpTjf3f/6hny77NSvK + WN2A6oB4torxWmaNuQK+OgTW93evKYkguYvBLddedp4787Tlcb/0s0VXCoZhdENSQbkg03r9NgoA + rMIMJqioABK0w/z0/B4vblj1JxVxVbh7FF7fVCISMwTEvEndqcXdLWZS4s3YlCau4uoXnkMBiCug + JoBAHBYL29R0wh9/8ZGl70HvmMHhThcfTDVNs7u72zRNznkcR1UtpahqrfU//I/+/V/91V8F0LZa + ymVPhCJpd3f38Dg5TfKJWQoxkyHaPR/B6yIiIiIiIiIiIiIiIiIiIiK6Wk3T1Fqj/W6065Urdjzs + +75tW6w79uacZ7PZES4v3V6igmscx5ijBCBqZm72fCisO/NG2VhKaRgGtvkmIiIiIiIiIiIiIiIi + InpXZhY9FuIL3whuFBFAAdUI41RAxKsWYBv4/VdffWl/b1ekaEJuUsooxzC+14B15Hckm4qOqrvz + 7g+ffOx14K0KR5uQSvUr9BaKr7xjtbt7ZGeyJcUNN6W2R7J1dKSJkoNxHKM3iKqmlPQ9NHuJ5OB4 + zOVy6e4RPRthqDnnqJRgK1c6YjnnUko0txGRCLeOXbqUsj+MtXpKTel7bRuY1VqbBgJ4BbxCBtSd + R77+u53tNb6fzVbd6g6eQdXl4gBc1+MWiRuv1qB+aOUoIIJh99ypvHzha/8XfClevI56+W5tU1Ve + bCYzm3oTxbjU9z2AnPMwDGz7dsNNJwJ339jYUNX9/f243t2nt0NRCHeFPmZxiMV9o2gt2q3f7OWv + tcbpZjofxbnp2h7teB3DRERERERERERERERERHS7qetUQgBROHUEX7vSe1drfS9fSB9uARPfuxMR + 0UQEZqZVMjpJ8wIxHbzpv/at/7s5jb5UBaBefEBFVtWrLCRuU16e7z+08bG/8sDDMmrTpjL0bVL1 + BKSqXtOoqIp6PE+xBcNoS4Fmb7px8fDHvjAbN9PYAECCJ6DBUJFm6ez5s5rFhZXcV2WdaG2laRqr + FUBOTSkQz7N240Y9TUoYBkSpfdM0fd+/l7Lv9yOd1nnEuwoAqBlSasxK8SJNLc3y249+o+R9TzUp + vCCJ+oAGWUpSqF5iODAXsymh3Ke0ciRDg/mHT3/k3o0HpNc2t8MwRN/Jm/5ybzo99LMWIboixcfc + oNT9pJYM2fJnH/yCLhs1VRV3lFLa1Joh8mgNcMEF63aVH5zheVV3LAYgZ+wNsM5L23/r8W8MeVca + cbuTyoVjJlKtlVXOtxUT9zRsL9+uqLFlYv90kZgENR3XCtSK3Eh1zGaN9ZBl8289/Et3dx9oNBUf + c87uKamKecFoyXCV58dDgfHTUXHQMzSOM1cf0f/ojefPDa8PvswtlkOfWwiQEsx8GunfeXZe/8mg + YuZe9Z7FvXMskmS4wlVETFafMzSpvaqFvwZxUBSrgGKQ7O0Dd31QxiSHppTJu70VM8AM8V9q07pj + bz/10uNpJhWD8f3JkYiPpGqtkW8dmdYiom5ZoG5exn/4n/79f/yP/9E09y+lOL5ieM+HH2dqzov1 + x18hLsenKE3TTJ+DTfMWSimcW3iUpu0VM0zi1BZTBKe/xrtQnvWIiG4TZhbnyqk5Qpxwl8vldAMA + 07w7IiIiIiIiIiIiIiIiujZRQQFARKKI4sq3H4YB6z7L4OSg4y12g4vypI+m9GLqJRoXIm2d6LB3 + DUefcBwjIiIiIiIiIiIiIiKi4yO+HZu+MosLLhhQHZDiqEAF3AGtyL3KNvB7jz36VtfsqJokiMIu + CD49FnKqZWg0Jxe4QxuMMkrzowb/z7PP7gEirUEAQYSaXvGb84vXP7+yvNEilDSaS6jq1MRs6jcC + wMxqrVfu+3FRP4q4/eFerHH5fdGhi+5IOeepDU7s5yICh6w7IcUuarVGz5xxXF2fYChL2D6ee+zF + x7/d1O3sY6NAdLKKjpqRYB3/Sgxrxy7TGkAxcxfJGCtSg5SQHGpQYJbsW7/3f+Ltl1BHESiQLj/8 + R6+biCJ2d3efxpMovuq6Lm7JOqjrVEqZ+jtN/c+nQTtOCnH9dPjE7X1teqjZbBYXYqPEaQXARY9w + BPVycaKJXWh6ums++xy7w5iIiIiIiIiIiIiIiIiIiG6snLO7T1FMUarFcGsiohUxUVdHl9rkzf6y + T11Tc3nuzBMvvvX0uf51N6DCUXOWrDC7ujJicfWKGeZfeujLGziFImZDyijDKK7i6mIuBSgCw0Fm + 7XHhYtKYp1LqWJejjrrhG1/81JcWtsilrQPKADG0Aq3Y73eKlKuN7TyubFVRCgC6SieNijqsOhim + 1DRN8y519FdDATNTVbg3TSMiXo/zxoro5UisBWyVFFuk7Mve2fH1p195vDZLAGpIhlRzspwsKy7I + XTaBr/JiI5d5uv7gsnjW/fTpD/2kllZKVo23eeZ+kNB5B3NFrBMxyAUrAWKQqqjqBrFU86acuHfx + QJcWdXQRqIiVmkSnQNP4Vxzq6/xaBzwD03tji3UOoAK97D3/+pNn+9fOj7to5LgN0XRjqWqxfsz9 + j7fPQB0OGKYOsdPNpvD1nLTf9yan5c54ujv5gc2PfPajX2ptC4BrWR0MrhB3MdNridg1Wc07mOKc + XYCkEUOoqi5mefzRWy8sdbem0Q8dAuJQm+5lAEzMBeKIcUzWDyjiIqpIW82JxmZq6aJlOBoiArFI + 1IZptnazPZW1izPlRW/vXA4WTIBkSAYXuEASXGAGF1QtS9k98/bLow7AcT7l3Xo55+VyGRPJ3P0/ + /y/+s3/wD/5+VO/Xuspfn81mMQ9B1kHylzPFZse94sL58+dzzuM41lpzztPMNzoCIlJrPdxTO6Xk + 7qWU2WyWUlLVUso7E8qJiOiWiEmYqjqOY9M0pZT4eiLG7SkpIWZvHk1bfCIiIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIqI7WnQ5mMJ6x3Fc9VgAbGoeYoBDIAYZXHeAr73+o+dtHNpOUjfs7GIdvXl8 + eCmqirGiVORmHCs2TuzOuq98/y9+BBQguQBaEKHXl32c6DUxjuOUlIz1RqEbK9Zt9I5QVRG5hqTY + UkrOOSYy11rjcW74ohLdeI6cskdYrwNmmMLdAY/RHiO8h+/+m9/7l5vYbevSx9EK5gu4QxMAiGVA + XeBiflzbNqaUoDIUaMYwYFiWLGhQbLk99/65r/8OUg8vcBHgCu1FU0pmVmudWjpz8L8ZpnHbzNxd + VWuttm6rFi0s4teu66b063daLBYAlsvlfD4XkWEYIkPa3ZfLZVyI88JRvKob7Y5caCIiIiIiIiIi + IiIiIiIiun2UUpqmGYZBRDY3NwFE9s+tXi4iotuCA8VLypJMpLpDexnHZvfPn/rm28OZmvqmadUb + mItEoNrVxVqrqwza1cXnHvxSLnMAo5WUBIBaVlfAXOqUonr8MhF98KHIIDrmhMalLfnhj30u7eZN + bG7IfO6YFdkoKfVpb39HcjG5uk1wrDhgYhEsuqayyrfWiIxSVXePvNLU5Cs82mXYRXtpZJe6QwTu + rprLaLN2niRfS8jqnU3FRVwh5rpaUbG/JslJGqiM2tfF8olXvv/azitoVhHL4lk87gtxTMnNh0aG + SMi2CLuNWuFpQ4jlNHSfe/CLUrKZr2olxeUKdbJ3CIe6CFwAqJug6molrOK/HTApplXdxNNmOvWJ + +z/dYlYLRKAKVEuRrrtaaRc/AaDxN3UVrHLe3dC0yQRFl6/tvfjky39p3WgJx2+IphtJRAy1NssX + 3njOsomIO1Sn8GRDHPLTGwKznFH264Zu1LfT33j4l++bf7jzTYhVrVXdRSOg/doO9XVs8+G92gFT + RbXR3VVhqKOUZ155YtDdOIJWw5QfLOd6OJp+VjfwGK+8xrGVPN+1eW+2VvygNPrwUHbzObAaTtRz + Rntq8+4WMwAHw6kfJHzDD5YsrV+1xQQP0dWrSxisf+aVx63tXZmkeyvt7u5ubm7WWtu2bVS8jP/k + V/+bX/mVfxjJ1jFjbbncE3HAYqbBJcU5NEKUY4Zb3/eRoLy1tdX3fdM0cfeI5KSjFBN7sAomB9Zx + 5tMUlK7rxnG8wvYlIqKjoaoxtXtzc1NEFotFzB5s0ZDE1gAAIABJREFUmmaaNx6B1u4+De9ERERE + REREREREREREREREREREREREREREREREdDmHp2TG5egwKcAFcZwGhSsAlx74IfCVJx+ts61+tLxY + wAqOWbavWNW2AWpxg2uzdfKtYq+6fPXJF98CBFCYw6eWKZdr49I0TXTvfOeGoBtob28PQKS2AxCR + lNI1tPiI7RWdQyLHNKJSb/TyEt1gtZZhHDE1V7GKuu5Ht+6RpAB8hO3j6e++9vR3N3VsbewS3FBL + nwRiF0XfHtM9vzqg2R2qcEdS6cSy7Z1q6yPf+F289iyWb0NMUq640rmx1tp1nYiUUkopMSL1fX9k + L+SYyDnXWlNKEWgNQFWnPkJTCrWq9n0/dR96p729vZRSSml/fz9O0xFibWZd1wGIv8aN77jzOGOt + iYiIiIiIiIiIiIiIiIjoesWX7imlnZ0dEZnP51f4Gp6I6LgpNkqWOhYvtZk1vfev7r3y/Re+57Oi + rTeasos73N38qqMjxXXui3sWD9y98QBKaprGvBhEcwOIuER+rQtMFBHTesyYOUShmnOGq1rzkROf + +NjWQ+n8Rrd3crZ3utu9e3P3nhPlnnHPR2Nm5BWJAX6QaX0oOlREHBVwFxutVC+GiAC8MfucrxOG + k6jV2jUzAOmYTWJQh7jqKgl1FX4KMQDq2qR2HEfPvux2/+zxP0mbcClxL3VVl/Xhv0olX2daa2ST + i0MBcRXXSC5fRdI61NPJfM+H7vpYQoJINRNV9yr6PigmloPdWFx92mMFnoCEVUitmUBcO9/81Ic/ + 42OKrN94x3tQNroK0D10XADq8AuSbAHARsnaAKhasNF/5wf/GrMyeO/Ha4+mG8zMpEFJ/Zk3X6he + TOAORXIxE7sodl3c3CVL19Z2MW5+cPbxn/7UX0/9TKuKK2A4tDte546pbhEYHwOLi7sCyU1QMO7X + nRffeKE0gx1ewgtPHSawS8bGr0cqdxfXe7fuy7U5HGt9dMQg5l4BiKg4Epq7T96naC88+iPoHsDB + ClaHuCUHoC6oogaP/087MHj//Jmna9v7O9cAHaGtra3t7W0AZnb+/Pm2bVNK/+i//q/+3t/7uwCG + sQBomsbdVTUmCl6SmbVt6+4x96BpmrheVd296zp3j7tHJCcdjWmLTL/G5JNSSiSnTtffmuUjIqIL + 1VrjRBkTMkspMUTHYF5rHccxTqmHh3ciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiupxIeRzX + caerpMZxUJgCNRplOMSRgGhctAS2BV977ocv7y3Pu+hio9Rj1r/LAVWYGRy5cZd9k/MbG7//2BOv + AvuCEutKVr2K/PKrZ8q0jo5G4zhGTOaRvZRjYrFYmFlKyd3dPcKtr0EcKXHU5JwZaE13BDs0RMcg + 33ZdhFKv+9mt2j4lGKyH7X7nD77SjNuN9wk+Lr1rmjo9iMNXidar/pZynPqyuGgxQKGKWiGCWZu8 + 9E3Z7fbfeP63/w9ID++TSjVzAOmyq0dE+r6PAT+l1LbtFJBMN9AwDFMDihj8Y+iOEOuUUqz2uPLK + XYZqrZGQ3TRNSklEpuMizubx6ziOd1xf7ms8KRIREREREREREREREREREYWmaaYACQA55/39/Vu9 + UEREtwsTQxJDgatmiFqa+3ee/NYb9ceeailQWwIqABwmmrJUXEVltlrq6sZnP/X5Li8AbbSpbsVc + IOqqMHFAUEUFmkzherxiET0lWQCpmkKSVs+6cXf74V96+N97YfuHMofX4rU23rZl4/5THxed+XFa + PdfAY2ddE1eFAaoQcxd1iDsMsKo+DsN1xvQeBLEbAIgIXAQp5ywmqgm3JL701tF4vWK2Sqc2EwVM + a1JHKZZP6NNvPf/MG0/pPTa6ZcCgmLaalIjBNomJIhorUGAQiB+ErVaZkldVrPnw6QfbshBJknW0 + vmuaMtaowrwVq+GGMQBiCgeqoAA11pMjA2qx28F0tSpSGrqP3/tQtjZrO9iQVVJSt7KuxDSTVUB4 + FQBI8QBSDBCY+josHFr6mgUOq93w/BtPvfzWi59YbLilw6nYRFel1prbdL5/843d1wuG1W7rDthB + MLUD6yM95zzslbvSXfP9zX/nb/3dLdw31w2vEJXIdAdgGkdJgtyoeU7mqCJQ1eLj6OWN829u92dt + owA2RcCvxrqY87B+Ysc0dUEBuJgI3KAqbi4m9566T8q0mLo6cxwdi7lhqoIKcTm9ea+MirwaTsWh + rhJLFUNNjLSO5AagurpgABoRiMfacLdXz744yO5MNo725dAFaikbi8X29vbW1taJEydiNsLWYv7f + /nf/5Ny5c1/57d9rOx36sW2zGa5wclTVYRhiWlrXdX3fAzCznHOcVSNfOeYtHOHrO+5izmEpJYLG + m6YZxzE2BNZTUJqmEZHpNkREdAuJSMz0zjnHVxKqGqP0YrFIKcVpNOe8u7u7scE3UURERERERERE + RERERERERERERERERERERERERETvItodxER7EYnJm3AkWAWggAA1OpIYoEgKx7bjVeAPn3j8P/nc + 53eG87Oc/Jglm0Kl9vs1a9O2qPmNveXZe07/1jPP9IAnWIHDzUckSZqqlSs8UoRfRuuJ6GwwpVzT + jTIMQ9u2sWKndTvluL93caSM46iqZlZKaduWMeR0m9OUEA2o3CPHd+hXfYMdq96XcVQoAFTU3f1H + v/WjH/zFvZ/7hZ1hr2k32kaWuwXJ4LruLqhwrDtcHa9DQFI2Q5PQ9zXlBDPrd061/tg3fgdvPo9x + Z97ochzipsWAy/QXjVzkcRxLKe8lU5muTdu2MWjHO5zpDHvq1KmphUXf99HFYupl8U5x7JRS3D3u + hUObLOfc933EYzdNY2Z31qmBsdZERERERERERERERERERHRdxnGM6sMIXhrHsW3bOz3jkIjoBpIs + QxlmeZ6S7Ix7+77znR98u25WS2IGmOemJkFxAKiiiATK90Ytp+XsMx/7nCIBKKWoqmiudVXclxzV + tSgUktYZj8eqWk0sAdnh1UwUSdpmWHzxEz/38Pxnaq5SDMVbb7xk926dMElXssrldQACV0Ah1d2j + qE7ERVyTupb9vr+eJ9IL91QF1FXc513X5c4rFMcqpB2Aiisi0FocMBO4mHjKaOpY26bxrv7lI39e + ZsNYd6HwaWOJCcylQBAxzkDEWmcBFGUVHwtgvYldAIcJ1NpPfvDTskyqCam6w8QdBe+fOQ8mDoWt + Mr+R4Gk1mUam1aKAYpRTJ+6568Q9O/XNvg6irtBqLg5XGJCmndYhEoeJmZgeGllcdNbMhmGZ51qr + LbFs2/7RH3z3k1/+DMZjtkfTjeNAhYuUF155dlnOe+MCuAEJlxgpXQFUQ5LGz/tPf/rLX/z4l9u9 + E2pJAbcMwKAmplCsQqYNfhXl0SaAK8TULziteoxaIuZWYVB/8UfPWx48V7840/qCV3eIxvJYnLEF + gMVbp3tO3pMsx91telbxI3vfU1ENjUPEXB2nTtwjlgQ2pXHL9HpkNT7HNcmn4VdNrEBU4A5BdpVz + +2+9vf/6lp4+opdBl1JrNbN77rnn/PnzbddFCvIwDPP5/H/89X+6HP7L3//9PwYwDAXruWdXeLSY + 0tD3fdu2tVZ3j2kM0zy3lJK711pzZqn/0Yk065gxklKKOSG11mkuipnN5/NbvZhERLQKsY6ZfgC6 + ruv7Pq7f29sDMI5jTPDb2NiI7yxu8RITERERERERERERERERERERERERERERERERERER3d4i3zG6 + K0Sm8nK5nHXd6s+H+nJZBF27QDO87FX80Q+f+qXP/+Qi6bzoe2+e9j5Ra8pZslY3E2nvueerf/5v + zgA9UCsMyNlhBoO7yuWbv7n7NGE2YjKj9QRjrW+s6JK6Sm0H8B6ahFxS3EVVh2FomqZt25j4zD4h + dDurpaScRTCOdT6f7+/vA0hJavUpkdoBIKKVC+oSw7lvf/13/4OHf77Lm/uO/d0661qUAjEgR0NL + W0XhxuB/JyX4XqeUZBjKrM0JllOyYZwn0X77iT/4V6hvQ+ow2rrtp0Dkcv1Fo/sQgKkxQq11uVzO + ZrMjfT3vdzH4x5gfUeIBQDQaipNvDOaXy7QGEK2i4qEAiMgwDNGtKBpGddN7pzvQMTqAiYiIiIiI + iIiIiIiIiIjoJol0n0i2BjAMwxW+hiciOm6qVFcBrPggao8/88iZvVfHbnRJSZEyRCGAOszF4H41 + VcTqevfGAx+468O1VpNaa1VNpqmKQEzc1RUuVaSKwlWOVaJ1MFcYshddSlcrlr6sW7bR7nf57W6+ + d+Jkf++p/t67cHc2Hctw7Mrir5KJOeBY5yVDABUXdUlIq8w/OJJW2O5y5/qfUVzVDgrd3GRjsdl1 + nbsft/o3cagLoBCzg5xaA6BI4ppS2lluP/nC47JRR5gkmMDE4sfFTGEasbKxEXXKVk2O5Kso8UPb + N55XPv6BT2YsABQbU1q95RP390+wuBikRvqsuopneAvPjuzILuoCuGZvU20++qFPCDQmJoh51sP7 + 4WqFCKAGdVVHRInjwnTdpKrVAVSFz/yp5x9blh1736xPuhVSkqEMTz77aMESCR517Ksj+vCuFXus + FvMuzR+8/xN/+0t/O+0tOt/y4gDEk3iK0cDEABNXsXz9k5xiIUTEvbpXEUmNPvvis9JKEQBxyKis + fg7d89DctulVuEMEDkBFBCJycuu0WIrjb7qdv/OuN4l6TA9ATNVzPbF5UnForpFHRvgFd4rfxKGR + 5+3qKZuLiKAgIanq3nD+zBsvc3y4tWbdwip2d3ebptne3t7a2nL3nLOZDcPwG7/+z375F/8mBKJQ + xRWmq035mgCaphmGIaZ0ThPeIkq573sz41y1I5NSMrNSSmyUpmliqknMQhmGAUDMJxmGIX4lIqJb + qJQiIjFui0jf923bYt0uAUDO2d1j3GamNREREREREREREREREREREREREREREREREREREdG7ijaS + KaWmaWqt0/zNA64AHCgCF4NV9aToCvAk8AfPPC5dh1KPV4szAeBok4q7+77b2VK++uQTIxCNOF0x + ikXjFDdkXKl/S9u2fd/XWpumidxN1ePVX+sIuHtMSc45i8i021/t40SntVJK27Yislwuc87sE0K3 + uZQzgFKsadLbZ99SyHzW1roetSVBEpCid1MCxEdgHJ/47kvPPdYmh3sZaqMADLBVU6fVvQ1y1fHw + dzpzABC3rBBHEswb/d6ffR0v/wB1p00A0CoSAAhw2XEmBqVolbBcLgHUWplpfTOklJbLZZxh+76P + dmGz2SySxVU156yqceFyDxJbyszGcYxMa1WNbkUppbZtoxe3qu7u7t5x5/E7bHGJiIiIiIiIiIiI + iIiIiOh2E1+rA4gopvgCfgr0IiIiG0uTc7G6M+7LVv32k3+qm7JX92sdE8QVY0UZ4Q5RnyJR/VC+ + 43SNR9qdH9T8JEufvP+h0+19GDSlLJLMJXJ8THy6/eG7HDcpJVVN8HHsXczdG81SZEO2FrbYsK12 + 7OoubM+TyWI2P15l8VdPXQWIMGN1TY5YY65SBYC6i3uFlFrH3eWeX20MpwACcYjD5NB1AgGqC4B5 + t5ilVquIXVUK/B3PJSp3Da7wZKKAimd1rdZrYyP2frx95rXtV/fGnZSBKaBabErCfscOvtqEdijR + XQ5dUMvi7b1bH9hMm+qoY2lSg+oiYu98sDvLwcC4HngjN/zCKwGZbqmSbdCPnv5oHtsErfDBqydV + R3Ikg7q6HOy6FzybHISFD8MyZx1H94Kmw7Lsv7r3ox8vX/GpMlssfgQQ1yj1tNVG1FtR+fnOZ9Qr + /pWulwDJVXza9KsrY5dY7xXTD0yrtL5n53/4yjM19dpUKAxwUQHEV8e1rI54TdacqHe32xt/52f/ + 3fs2P6Kl7ffGrutqrfAMzwDUDbBL7s/v7TUUwOJ9iDhMzMVcTES8wgzIVmfjS2d/iGxmByNPnDji + eDl49ovHG1sPcFBIMmRP83ZTtYXroYB5Tw6/xNB344kkAAqI14oKYCufbG2utp5RIOZysD7FD/6t + CgMgpkAWOCoAM4gIsu3J+dd3X43x4cKtEWeB9XlZzNbjz7E6OR6NcRxjkkCtdXNzc2dnp2maUoq7 + LxaLxcbs137t137mr35BFW5X2gAisru7O32KAiDmtpmZu7dtGzMcuq4zY5D50Zk+zjKzmFgb8zxj + S3VdN45j0zTu3jTNxTNviYjoyOWca60553EcAUR8dcz9BhBz/2IMj3PrLV5cIiIiIiIiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiojtBSikmZqaUuq4DYF6nvjwAAD3oyCNitZrVHjgP/M7jT7421tLNiyrE + 4QpTrNvmrDqeSDQBUUAPt+K5vawXD4hX7QBcUBVV11e6rrqZiKPR2vc2GnKz3Ta/9+j3zwJvAQYk + TavbK7SJZnD5co16Ys13XRdtKNz9GrKW6V2JSKzYUoqImFnMXL7ax4kM1Gi7amYRQMtWIXS7cweQ + swJou87hy+VwaKg51A4JAJAFKPvYf+Pxb/4+9ra7hK2NdndpVbWoAogOhOowiVZXR/2Cbi0z5Jxd + xcxKqdmHdjj79Ff/N9jevJVxGNpGa3SSyhlX7HuQcy6lxGASPYiO6kUcI9GYIkKs431OjOHL5TLW + P4BSiqqaWfx6ZRGPfTi4Os4mcUJ3942NjZv1Ym4aNhMkIiIiIiIiIiIiIiIiohum1ioifd+LiKoe + /nqVjoMoRnwvX8AT0Z0uymVU9XAkG12SAjPNdTkk7bCRfjg8++ibf9GnZZMkaYW7GwSqinV6o4mp + y6rqOpkmy+pwARTFICZJcoI4kEWlpp944CdxNp/QU74U1ZRSKmOfFC62zkBFNmSDi1W145bnY6i1 + jqi20cytuCCZqInWoSakYmORipnXbEmyL8txjgB/V+LalNTU5ICLiSOZJjfALcsIK6M3uS1ldBkl + l3Pnz17lE6yifxWI3d4EClOHOtwBFaR8euuuXHMypDs9VvlqiVUdISVZTtYakiGl2iXLRYe+3d3P + 20/86JGal2jdDahThO1BaLX4OjHZISgiA2QwMQeqYnDLOSeDjsgKG9Bg/sDJD5/o7kIvKD7LDXpv + 0JrqKH6n19GraxzyDsT6NCQTuI7QXmUUmLiKizgUVr1k14dOf3q+twFvaqNj9gFFHLki16ymVa3o + atc0MdPVXh1xswKIW+qkH/uUU1LFmPtxXJ44/91X/6x0/TAuNQHwofYiyJLVk7iawNRNXQ5FHd/C + NXeJ647Z4XizqWsuTa6NCUpyUzMxdc1VMfq8nXsVmIon1VzqkBrbSzs/ePmxt/Zel7ZYtsHcGykQ + 1JSqtKLZgQFdUkiS5WzjzKn/+Kd+5VMnv4T9hQi0s+U4pNQc3r7qUF/FUV/lFjZIhbi4wBMQI1gx + wEfpdJ7RFiln9l/Yljf2+v02CXyV3W6r+Od1ArQfzE2LR44/uUitSCI+lha4a+Nk22yVkgUQGGAK + ayuywQRV9Nrzud8DB8bqbTvDUMQszaVITUN3/+JDYi0QJzirWqoaoHLoRRlQFCWZiQmKD8NG08Ac + Cci2V7blVH32x09ZHuFVzAVwVBOT1FgVdVU3QTWpJupQAdRv6ss9jlxMEiACETObJhWIVRuH0i/v + Orn1v/4v//NDn/p4m5EE8XlYTF0IOecpbnMK3cR6NgIAERmGIS5Mt4xrAPR9HxfiXnRjTR9gThdi + ztX0a9M0WG+aW7GARER0CdOMvpjgPZ1kY9AO7s6hm4iIiIiIiIiIiIiIiIiIiHBh5Z5fqlt0rTUm + RV7yr5PDVXzuHgUM031rrVOr+uma6bKZXfnBiYiIiIiIiIiIiIiIbrl3Tsz06FvkgAsELo14Tp7V + BTCkUjP2BS8B33z17CvtZpm1y2EfyCgKa+CKrGbFpcAtOoI4tIqauMtt9u2Ja/xYJFu7w83Fi/qo + KApAp9uswq1RDVVTu4/mzGz2L55/6sdALy2QUX1qyGPVAS22ajER3QxibR+eGzvhDNnrNM1BXi6X + cc3h/h455wguFZHIt77c40TnkLhBSinuG3eZ7vXOnhVEtyeDmxviq21ffYEdR0rTJLi1bYYbYE3b + VmAEREeMZ8/94W81/blc+lL2tJWl5kFzcstu2eORs0m68rPf/vzQz5oBJn6Jn9WfFS4ibecCLTvP + fvMreONJ2O6yXwJYjjY4XBSlxLk05zz1Izp8IohGRqoaPb2njkN0A5VS2raNzlHDMET37OlPWB8L + h/tpT/niXddhfb5299iItdZ4hKkaJBoWTX2Kju613Tg8jREREREREREREREREf3/7N1pjCxZdh/2 + /zn3RkTW8pbunulZuIw5FAXYBElZFG1RkBeaAMeWYRsGDFmYL7YBEYQFfSBAwIA+2OAXGoIsWMaI + JkHYFmWChMfwcIZD0RzOouGQEqnRyJy1Z2n29Cy9T79+a1VlZkTce44/nMyofFuxq/ot1fX+PyS6 + q7KyojIjMm5k9z33/Inonok51JhwNbOYEWd5zZnn7js7O1MjhqjTethPiojoFFEkcS1S0clTL3xp + 2exVDO5VVrU7CleHAjisP/IpfFGj7toFFVCFiJjB3ZPDK7Lndz7xPVuyK2NSS25Sa03wLAAOQygj + rBWPcOpo5CJr7Myb94OLVTGXyE7mJezPpOIKMcBW/wQAFKuiChUAXdeVUjzX71x90aQcubU7E0ec + Hy4GYFXhH4dvxFsvviOVLOsSwEeKiQEuHuseAACe4Ck1WlNf2v65V59d1gVg7pIl3/r765rZdZyq + rROvzQQOiMBQPbI7TVQVVR8/99ZUk7gmhzjUFKtYZZylEkS/aZwocVNfjQxxL8Sz6IV04UJzUZCK + mKvEcJ0MyVVdI8R6Y7OrfX448gjMiglExF3Usua0bze+/p2n0Zg2AkBVUxITQ5Vp9U0EYwOrp/gA + nZ2j/CZiq1PM1HW1zklgYg7NOe/f2BcRSVK9qiJpczAeLLtrf/y1T1lnAEqPpkFVh3qCJEhKMgxo + W0FFWZQt3/3JH/kPf/Btf+Gx/GTjWyIiqzfWzYdbDOuo6eO/iCim1/UNiBVqVRMad6+oL11/YdSl + JLWbU7Nv/XO3vudj9yR3dxd1ZKStbibI8ITVCWuAJ4M4HFr1tpd27ylcFaKO6sXg2fJOe049rcYE + wTQ+xOeN6eyugiqINQwKeLXVJc+rCRZ+cGn/1REjFOsD5e5ugMm0nfVILjxhH6imadq2dXezcvHi + +V/71X/0Xd/1pDlySgBKKbEIIaVUSjm6GWXXdTnnlFJ8vGnbdhiGWNuwWCzif7WN49g0DZOtiYiI + iIiIiIiIiIiIiIiIiIiIiI5lMxIgvp46ES8Wi1pr9KCPnx5Rp9c0TSklFlG6eyynTSlN5YKqWkox + s+keM4u1lvEj3NwEmYiIiIiIiIiIiIiI6FTzVRLzrJtBo0WaN5ITkGLaRQHB0rEAPvbUl6627fVx + nO1ewDhCM1Rhhlo30k6jLYbKqn+R+WmNfVy1gHNAbGr7Awdu6VGm6pLgukjNR7/yxe8AB0AFgJh8 + iuZZ0aZLDMgpTw1a3b1t23Ecj+5HQScwzQnOZrO4J2bupvunWTwAR+z/zdDrSDAtpcQsIdGbTqS5 + Yz21bWbRNkdVx3FMSYZ+AVjOeRiGeOOrGKxHU37/g7+2bTd2t9tF37us2kElt+SrYd2Q/dHqVGaq + EMFixHI5dGrndPj8Rz4A34evSg4cWLfecsBTztGASFVFJPKVYyAqpfR9H6ULy+Uy0pcf4ms7k/J6 + /2N9RTj68ZEvHrUffd+nlMZxjGMXCdnu3vd9PPJNGmJ9u0fqHCYiIiIiIiIiIiIiIiKi+ysmaPu+ + nyZoOR3+iDg4OAAQ7RuiscJyuXzYT4qI6NTwZHCTUnz+pa98VqSajZGyeOdrpBjE7phaqgpVda/u + oprV87mtC295/ImoDoxSVxYo031lai4mDoG51KrVo3zea8qA1FprkzozjLl/4fK3XI79hhSHI0c+ + KLDKATWBORQiFe96258T2xLkR/C9vjkyTIsdAMDV3Ye6//xL3zCvqhnwE8TQisDdIe4Kd0+iXvUd + T76DpYbB3VXz1ta5i+ceT6pmrqp6uNTkMPrXbisxjTUm6+1ABK5S3d29aVKt5fnnvz0f5sgwqwBU + M6Dm5TBuOH5X7PaN09njYn3Tj7kmR1dyNhF4URuyocnVLSW4jjUve1skyanLX33tc194+V9KTqit + GsQgiipjbmwc7WCoeRsDZLHEOTn37rd831/6kX/rbW95h1uq9ZbR9PahQ080CNzhV8Qj0L1WVGh9 + 8cXnIaaKE3x4iUpud68u6unc9rnpR7ecI/JAwuDdXdbcxE1EZHt7+7jbEUH0OowBORboXbt2tR+X + rr7+K7r+iw5gWqGnbnc6fHQfDcMwDMPOzk4pZbFYvPOd73z/+9//rne9fRwrgKZJ8X9Iaq05562t + rbttR0SWy+XU6bLrOhGJTGt3jzaapZSmaYZhiG+JiIiIiIiIiIiIiIiIiIiIiIiI6PWrtZpZrHUd + xzHnHDV7W1tbsQxHRDa/uCMzm9KsY1PDMIzjOAxDbA1Azjl+FH3tVVVV4+umaZbLZXQ9JiIiIiIi + IiIiIiIierOYNXm5XKJWdC2yjF4UqpDGHQY4qmIEvjhc/+KLz3kzA1JJigyIwWpBNRVAIRotTMQs + 2Z17rJ0Sq9BWAUThqo7GkC1CSg1insyTucCGsd05d6OUy14/+vTXLgMVELcUzVQSIJCC1gC4A2Mt + EY0MQFXZROL+EZGY14u82HEcu67LOUc3j8g0jYDSo7eTc47HxMTfdPiI3nRKKTFbPQwDAFW9ceNG + 0zSR+B7tcbqui8heEYigFiBljIuDz/yeXf56P9/b3pmpIzsMWkUTSvIzdUZsdoqLvlsud7gBcKsJ + KIad7fZ8vf7UP/sILr2Im/tvCwxe4Aa3WoayokraAAAgAElEQVSUUpQuRJq1ma07TUnXdfHT2Ww2 + lR/QPTSO45QjPpvNsOooeNe+atH9qZQS145aa4SRR2HJ/v4+gK7r4vQ5M91f2WuSiIiIiIiIiIiI + iIiIiO4ZEen7vuu66Z6oV6CzLQqtYqJ96rMQ8/RERATXajAImvqdqy++/Oq3JVdISZuVrGKA4S6l + rS63pTOuIhM1ef7ut78r53azRg1ADMX36QXRo8wBE1S15BBHVau6SthNogIzsWqlFtEmX+kvXekv + mR6n3tSnm8IzAHEYYAJJEEEy3ZKd737i+7XMVDPS/Xmdp5quS15d4OLmYlUxVHORV6+9dG1+KWed + 0l6PtWlxiKy6ayGWQUClyNufePud08n9UUtXNhGHeZe2njj/pLp6RVKN/WwCF8MUJS4wveseSknX + CeJWbRSRnHXRz1+89FzVamJRuuru0EdtJ9OKiZla1YI4Nx1wcUFRG722OzOom4+arC/7lurY9H/w + 1Cf77cWYxlq8S20dIAIX9KVoB2SMFV6bGc49nr77P/l3/ou37L5NLA/LAQUKFYeYr0KR5Y1GI+v0 + b7+1UFlEipuLaZZXXn3RpIj6CbKYpxhpAO5ybueCu4v6xpOXB5kBPzUwjCpzFXH3iDG+8xB61+3A + zGNdh7vnrDlLPw7X9665WPGC9UdB4A5l8fG3HuQLf8Rtb2/3fb+/v3/hwoWU0nw+f+yxx37lV37l + yScfV0UcoK5rUpLIvT5iU7HsIRa89X0/rXwzs1gRFP+M1XFERERERERERERERERERERERERE9Pr1 + fT/V6QFomubg4CCltFwusa7QU9VxHN09GtPfkapOmdaxqbZtm6Zp2zbuBxDNvpfLZUopSgGHYZi+ + ZgdqIiIiIiIiIiIiIiJ6k3GfL5cAoIpaUSvEHVVgAkONpGfsATeAj3zus327dX05oM2OAgxILkkN + AldHqqou0cfqbh3XHi7buB22elJHNqTVizVXq7LeBaZefTh//vef/srzwD6AJAJ3WHSeg6OJZFQY + 4DHlFDNNMcE0juPDe71nWUzPTUm9TdOUUkopESUec3a1Vnc/OlnczKIlV855HMflchnTi0RvOjHy + 9H0f7WsWi0XXdeM4RpcbVY0MeFUdhsEMCkAgKaMsMXzna3/0u531UtEY1KMFHgQ1eRWsrgWPFHHU + UrsGW2Jy/YVnfvf/Qq5x+YhOigIoolGiAWjbdqoWiC/iiLj7FJYcHUQ3e4rSvdI0TeRSp5RKKdPO + v9vjzWwYBlXt+z4eP13BRaRpGnevtUbTdbM32rHtlODbjoiIiIiIiIiIiIiIiIjupa7r5vM5AHdX + VXffTLmmMymOdZQ+lFJiQv24MYpERGeYe4JKbcZnnn+qyhzSO8qt9XfrCut18OGtxUkCqMPrKsQR + QK2upfm+7/p+Gy0G4SiWipqnM1PeRKdNFTNAHAq4VFOrog5VhxQDzIBSSp7pt197dpn2TY/XcSnK + +E3UIOKAwAVRxA9Aqz557u0Xureibx3J5VhRoW9+ruKiri4wMXVTVIvlDS6a5dkXv1abeWrh1aCo + J/k8prDVYXAHKrI3j517YrPUcL3RR7HoVRxmkJrfcvFtUiUDcK0eicM4XJFyc+T3OpP48CYi7qtP + 0RU22piy5Ea++cKzVQdJqzpjEXERg00JtXqXawSdSeIA3KS4FABTRPRgS89WbZQCNW3bZpzN/+Qb + n/nqy0/7jo9p6U2FZ/XGTR3oC9rtc7Umtdk2drrF7n/9nr/97nM/3No5VFHLWRuFoJp7hVeIAQYx + iBnUYmHUSeqNb412V1dARd2smJgnu3pw1cXdqxx/qVl8IlLVhIQq57cveq2y3pCu47TjKTygq4Ws + lgfEE3OXWbsltwV7/xnbEMHhyigHkFIS8cs3LhcpEXUffyX2mx3GeOvGXnwER+iHY7lczmazruv2 + 9/dzzmZ2/vz5d7/73b/6q//orU8+0Q8jBH0/unvXHbWGLVYpxEo22TgfRCSlFCtPYi1cXCDu/ysj + IiIiIiIiIiIiIiIiIiIiIiIiOjuiGTeAUkoU4+3s7ACYzWZRlRc9o9u2lSOrWodhmNrfq2qkYkdp + 31QHGPEDs9ksCj6HYYie4H3fx3OYArCJiIiIiIiIiIiIiIjeBHwK5TTUirYR1YqqMAGSI7oVLQV7 + wOfHg8++8KLtnKsijgofkU3E3QRIcDXRqoAb3E5pBqoYsGr24xBAAEkmsmosZBCYwMVdHEBO7ZXF + 8OpW+4FnnzkASkIx9+QjzAFUoMamsGoT5atJJVWNySM27bxPaq0iErN7fd9PHV22trZKKQAinbpt + 2yOSxUVk2k7km07zgERvOnEWTA2it7a2AEzdbKYo5ZhDV9VqUG18HIEB/aVnP/mB7fFG3d+LNmi+ + 6oNWIGekE44cdgNd8bvfAEQ6cqvwxdXnPvf7uPQMllfh615hUAAJSKvN2jAMTdNEv1Ag2tCtBpOp + uWj0GmqaJsKS6R6aykKiv1NcAo4Yz285HeIcmU6WqB5JKc1mM5yhOhC2LSMiIiIiIiIiIiIiIiKi + eyZmZLe3t2OSNQp0+r5/2M+L7q9pij2+jbdBVGsRERGgUEWjCzn40xe/LF2tMsLXBdWrpEObqpjj + W6zzF03MxKIebap1M3h1QZFU2u9+6/eKS0opMq2nMMWjO+kQnYyJ+Sqhd7pnVVnqZnBXR27UxXpb + /OlzXy6pt5PVm65iSA2IIn5E4V+u3fe/48+3NoPl6mellPV1k3UorIlBLHmMHG6C1OSi5dmXvuqz + 0d3KaCcbBFZjiMIAV4jLbj63256PgFh/5McVEfEKlPTWx96uFdkB88NdHVXJryPCdvrknFJSlWqj + e5Vk337lW2NaSjrcprtXOAB1Zab1I0VdxSEuLl7VHYrVIABNGMfRK5qmdVTd8ZeGb3/is/+vd+PB + 0A8yIMs4jk3TWIUgddvN/v6+lNTV83lv92/+p3/7e7d/oJnv5LGFSZKcJIkJgKSqqvfmPearTGsX + 2MbosVqTIC7Z9/u9g+VeldHdb63ofz1/ARFibQCkpnM7F7wAG0vWxB/oqBXrBGKFwDp22mPxxvE3 + BQDucMfq053KpWuvWh49VvWZa/RA9ALA5Obc8Rix78WLotfD3cdxjMzpra2tK1euoNoP/uAP/vIv + //LFi7tNIzmrGfp+PPrKLCJN08RHeqzD0XPOqqqqtdaUkruXUmLNAxERERERERERERERERERERER + ERG9fk3TuHvOeXPFzRQYkFIys4i1ji7Sd7S9vR0/vXDhgojs7u5GjV90K55K/rARgN22bdzTdV3T + NH3fs809ERERERERERERERG9iXgpiK5EMcMy9majiEZ3khyt0hxIuAHcAP7J5z97sLPbV1OBafFU + zUwdYgpoFTiir5QDptjsZXXqROerVU+Pw3Ysq0hTiZhrbeXxJz78xc89Bxxg1YDIozNItEWxKdsa + 0TUk0jSjd0TTNGC/1vsjJuliNnBrayva9Ln7YrFw993d3XjA0ZN3Uw+ZqZ9MrTV+kehNZzNHGUDf + 92U9wuecY9J8mkxXVSADCjh0TD7ixsuf/9TvvHMnNRYtj+ACUzggjlM+nt9zDjV42yQZ+66/8oWP + fQAyhy3hdeMxK9EqaOrbHON/BCTHEYl7ph7Otda2bR/gq3kkRPJ0VIYAGIYh5xzh1nc0tXiKflAp + pZxzdBjbPECLxeL+P/cHh22tiIiIiIiIiIiIiIiIiOieiTKFmCZv2zYmXGPuls6wiFyKDgtRZbVY + LKJIjoiITOAiReq1xaUXL3+zYi4KCMQ3cxdXj7zJbbl3bqvq5hh4s3Yz333rubepN1MVYHwxlawR + 3TcqlsVFXQCDmJhkNGoiImjLpfkrz770zJj642ZP6/TwdWB2MEeDvGXn//Xv/SEZpEHefOyjZIqX + NoGpmwtM4Or7/fVXrj1fm2X1AYCqnqDbVZQFi4gZRCCuF3ee2G3OyTob1gWuFonjj1QNcRB1AKl2 + T158Z7KsEK81iZjoTWP4OgVcHOIRN3vTmGzmIjCr7jUlAVC8jhi/c/2lhe0PNohISo0bDFXWO/rm + TPnIOKczS4C2amNqkKIounpHqSMja5XGO5FU1F8+ePEPn/7oN/a/qHmRAEgy1SIjUhUYvJY6dprP + 6/ntvXM//Z6f+6GLP7613EpL8+KoClNUmEGRIjQXwLSASh3qirgdu+T49l+JenpDArRe3bsyHw5c + 7Ob1Dq9/6yIOg7tL8vb81gUFdHWWrM87l5MkZp/UYZdDA1xF0s7OOXFVu/X8PcK0nAmACNxRazWx + yzcuVSkQgasZRARi5n5LZDjwQMO8qWmaaEM5m83cfRiG2WzWdd18Pv+xH/3RX/rFX9zqulpM4qO9 + Q+7wGX+1nYjHjs/ws9ms1horT9w9ljHEfwLwf7YQERERERERERERERERERERERERHdcwDFh3rl9X + aUpKKZrXnz9/Pr4dx3FnZ+eIwtZaa9d1IrJYLFQ1im+bpoms60jFzjlvb29H1d84jtGWOrZpZl3X + PaDXTEREREREREREREREdC9I03gxeDRTWzV8qmIGd0ChCasmJUi6EPx/4/JfPP9t0wYGVymw5Miu + gBqmRmk4xf2LVh1XxBWuhpubrohDDDB1ywZADjQ9X8uHn35mX1EBVCABsvqN7MjQCi2iDgjQ5Mbd + SykxdRXTWJxCuh+iWcc4jtHTI+ScoznM/v5+NPQYx/GIWNOYRsR6qnG5XEay6YN6EUT3UgS0j+MY + 33Zdl3OutW4m9U6nSSkGiFUDCmpNCuTy7d99f3PjheyrLbhglFwl4Yw0BLSp7aFvhFKb3NYjFABQ + DbOsW+PVb372D/Hysxiub2WT2IIoRG9qqRi/UmvXdbXWlFLUHsSdUWAQyeLx0ynimu6VeP/nnIdh + cPfZbFZKOWI/l1Li8XGxmM4UAKo6/eIZuyKwrSERERERERERERERERER3UsioqoxKR5iypbOvMVi + ESmqtdatra3N9wAR0SPOYAX9lf7S9XJlaQtRiEAVgLroZnAvgKjnuaV6zdf/ShARMTOR1OWtLd3d + zY+JSVShTcVPUfn0IF4bPbJcARXPahEhWkUka1OLmw2lnb82f+nS3qtQ3PYO/7Opw8VvycNWR+t5 + x85/zxPfn0zbHCHuj1j922G4rLmswlrFAdjg/Y3++t54paZSUaMr1gmSTSOS1QQVEJGMfL67sK07 + gLocHstH+XOeuiiai9tPZM/J1N1F7rCjjx6CRaAqZqjVREQVIihervWvzX1/KD1W4eJW4ZIUp3QR + Dt1f4qqm6gqIyepNlRza20xaF1/6YtHuf+XVL/3TL35EL4zzxeLCtorWoS6bLo9jnxVS0Va0Q7u1 + d+69P/Ff/eDj/2Z3sH2+PdemnCUlNFkyoDCPSOZSho2n8MbfdroaPdZryQRwd01myW4cXOvLwqUi + 6Qk+tsSp5+7ukq3daXcVgpuvHb5eEiCA+v0dunzd4nD1Uc08Se7a2V1SjI/YzuqfIshZVSXaGN5Y + Xutl6WLurg6FqEIOs6xPkDtO98B8Po//DSIipZRocNn3i27WzOfzH/uxH3vf+963s9Pd6UJxk3Ec + o53ltIwtVvjEt7EiRVVjSQM/5xMREREREREREREREREREREREREdS9u2wzBsb2/H6tdoYe/u0YN+ + uVxi3df+4OBA9a41mSLS9727N01jZhEzENnYAKL9d4ReR1R227Zd10Wj/NhCND6+7y+YiIiIiIiI + iIiIiIjoXjFI1jJW8woHUoYAKqOgrluTqCdUaLe977gM/M5Tnxu3Zu4KFRQTyNR8Qx0S/1pNyJyy + XhnxTMXFVQH1aPwCANB1prVEOxWFaZG8tzX75NNfexW4ZnBRkYx62GskQRUKUSgMcGAs4zRdNXVt + ZR+Je87MYq82TRNzgiG6dkQKaeT7RsOQu20nWn/EpoZhmM1msZH7/gKI7gMRmSa7sX4nx0AUs+TD + MExB17lpAJWcET2jAAxL7L309U9/dGaLaHpURU1SFY1B/VGbCFcRjPvn7fpXPvSP0XoC6mgbEccC + 0QrUeDDgZm3b9n2fUqq19n0/HYIItI56BncvpZyxsOTTIEo7pn0ehSJH1IdEpUetNZKwsT5Z4voS + HV/HcWyaBkA0iToDTtnHMiIiIiIiIiIiIiIiIiI6E2JqNip4jpimpbMkApbA4050pkXnlOkETymx + FvZ1MCTUNHzh658d9CDNRBLG8dYHucBj70571CE+3Q8AWVCri3jbZvdah/rdb3lXLp14mgrRIlRv + s4iW6B7SVTKouCg8pdokU8BMDJrGajB0u3lfL/3zL3xccj3BxwFV7XvTZE0rDtQKq0gijaMtzY/8 + wL/dlZ0s0FRtLH6C3OY3P1ultK4yYgG4uKfy/KvPWVMLYO45574vOR/7AIiIu5h5znD3Mti73v6v + yaBqh5uyw9TYR+7zXilDSkk9J+mevPiO0ptCVm3F1qP3TW/K1ZGy26PA3T0liKBaXS1oSXXM/Tdf + +rpkTylZdUBFxORwYwJADGKRFnxfXyw9dHFNz96gKKpBTBXq2no3y+1+vTHszr909bMf+KNf9/Pj + sh40GbU3Ec8tBiu1IifMgK1Feru/7T/70b/+4+/699+CC81o43Lh6jBRJJiIq2qOTxH38COEuqrf + 9NaP97J7lSSj96/deFU6uHo/1BNU0U/Lh9rcDst6YfcJcZitlv2IA662+hx1fwOtV1RKrZFpraqq + 2apvtVuHgdOHn5rvMCZMYkSJf8ZrFIGLX927pK2PPkYLxVqrqrpXk8i0FvFVcDgA5/jwoLRtG2nW + cUQAxLdinhVNkn/3r/6Vv//3/6e2VawPq+rqv6pikSGgKTUA3D0WMIQpvjpWBMX7KtY5iMj0yL7v + 44vx9v/AoOOIBVch9vy0+DO+jaMALgclIjoF4oIYA/LUFToW+3VdN45j/Ig9oImIiIiIiIiIiIiI + iN6gacYcgJm9noa80VJzmmGPsof7/TyJiO6hKAObWs3e217kQmv3ZH9OxV3T8YqOw/HtLTV18Xej + riDuiS+ienZ62FSbt1lRdvvf3dxCmBrZbz5m8wEppZRSrL6Mv3j74zffb9PTm14mERERERERERER + ERHRQ6NARW5STPOoVQBmboICjDAAChdXm/cVehn4zN7+n+7fuJGAmhpp4Alm0UtNHckNEr+jp3Ei + ZN25QwziEFdZZXdLRUWbzc2Ki2SY9kgvtvK7T39tD3CgONwM0V/CIA7f6DDigipwwMxigilaVUSH + mYfyWs+GUso01zZVrahq7NVp35rZFBMbj9+cj5seHGuWAcQWptk6M2vbNrbPuFl685KNVqJTvruq + bja9ie5GJaatSxGFKkaPDmjzz/72r52rB9IfqKICiyrNVi6lnoFuR9G+aVO0+4thQATuUEFSWK0q + yMnTcPDspz+BK99Cf7DayGrIkWjZ6NDNuOPYzzH4T/nicnN/15wzB5k3Ikb42LdxtR3HMTpUbA7p + t3xxu3Eco7lrhFgDGIZB13DzJePMtH49AycyEREREREREREREREREREREdEp5WIVvef6ytXnazuO + 5sXQKFCxWbqzWcYWpdYbVcargicRSFQko4qIonl8+625NuosAaIHZ7UUwMVFk6m6KlYhuwbkrXxl + /tql8aWnX3rKc93s8fQ6N+5ms5mYD8tlL4K21ZSSVJ25+p788Pf96G5+rJThYLmvqm1qH6kSOF8F + WsPFTAAoPGobS03j5YNXS6pVAYl2kIAdd+GGukn8IShEJEvelp1sj9Z+PoKIwFUsZW92ut1GGkCL + F19F58YJEmfEsbnUkvrLi0tQi3MnpWRw9+OdR3RmpCYPYwUwa1qBKZBVrVRDeW3+at09+Nb8ax/8 + 57++11zeG66gWdezO2xEAtqsGKCLZmf5+F/7i//5T/4b78k32uWVxVa7ldpmGOsdBojbP1HIUQHM + r8vqdFhtR3yV0Vsw7i9vmFYXU4Uf/49Ebbc73KTLO7M0U9UUH6DWSdIuDybRemW9kGm1qEAPVwu8 + 0SHUxPbr/oCl5ti4qqtZha4HbQA4ncv1HlERt7lYLMzsp37qp973vvcBiLfDtJZhGIbd3V1stNS8 + o1jekHNW1dlstr+/j3Uk9mKx6LoOwDiOTdMw2frEzGxzkWcsQVHV2KUiEg+IY8HloERED11KKcbq + ruvimhuDds657/tp9WasDDzu/5cgIiIiIiIiIiIiIiKiyWZXX1WN+Rc/EoCYvpm6PXKenYjeXKJT + c7SaHYZhaj5Lp1AkVUdNV1x3ZrNZNNcGEPUDIpJSEpGH2PG5bdtSSrTIj2rA7e3tePKllOieLCJR + +WBmcWe890RkGIZ45MN6/kRERERERERERERE9KgTmDnyqjGIOKw4oquHAIoKOKBAA0Si45hxGfjw + U5+/Opuldhs9MFSoVgHgySw6kUQnJZOpacZpIli1W9noxFLFTcWsQlJKbVn06LYXW1sf/+pXvgH0 + gK/6jSgMPq6aytnUAcpXTeVUEVHWcbeZpZS4HvbESik555g0jDTrWutm4cpUx9J13dHzbrGEORpN + pJSmDNTlcglgiiG//6+J6CGYgnunSGBRjYhmd1QDBHCV8Tr2v/PVT3/8YhpaRSnoZunGnjVNsmP3 + u3vTSAkiKMVSQil1GAZVZJgvbuzK4vOf/BBsD7VUQGWz7Z8BCkmQw3EjqunatjWzxWLB+Or7IUbv + 2NVRNNI0zQmus7PZbMrGLqU8IldqXuSIiIiIiIiIiIiIiIiIiIiIiO4fH22xtBvPX/6WtT443JFz + BNoZppDgKM6Or2X9PRTYKLx2AeBwM1dVdXnH4+9MpRXGWtMDJA5xmGiEhsq6at5Qq9rYLMbtxR9+ + 4RN9u3ewvNE17XG3XytyUndAkXKy6rW4D8iL7vse/4HvfezP5dK5VsklKaw8EkV+t/BVRqzGCg9x + QGptli9dfr4KDPAERxURP/6iDb25iWSS9lx7vrFOXFZB2mtyZkuIjyJJ3R0m2fXC9uNt6hLEzFYH + xQFfnSOT1zlAO1DVhrR88fLzUDcrYpJSMndbXw82rxd05jm0aWep1cHmw3igVqQaRvGMftbjyeE5 + +9o//M2/e6k83+e9IuailmSo0JpyQaNIEBu2n2jf/d/8xz/3Y9/zEzv9zlbttnbOD9CDYs3Ojglc + bH3DLbdNClMcO996OhFsHfQe72ERiUvGlb0rJmZiOcsJ3tYJEr1w3WWnPd+mnSw5UrTj+bvo9Iwf + SN7zTfvH3eHapFZ9ulbixJ/ZXGw+7M/rHKouq31rZhvjweGWY9kePVxmJWdNSba2Olj5yZ/4937h + F37eHSmtHhC51AcHB0dvR0QirXNa23Dx4sXoAT21rSylNE3DDqpvhKpOfT9jGWEsNWyaJtqexvJF + MBuViOh0iFV/7n5wcODui8ViHMcYumPQBuDuMbCn6epLRERERERERERERERExxfzL1Mz5WEYjmjv + W0oZhgHryfdptp2I6M0i4ofbto125G3bsl7olKu1Run71Du+1hpXnwi0jgBpVX2IsdDDMETF4FSE + FtdWVZ3NZgCi9q9pmr7vVTV67gPY3993967rIvH6YT1/IiIiIiIiIiIiIiIiTZHG7ADKWBSCAtgq + 1hqyarehsAxPgJnsAb936dUv1+V+VTS7EHU31wIxwCIi26C3dzg5DW6tdXAAcLHqNXUpGgFBUt45 + f23sLwk+8pWvvAYMG/sjiUYDIgUcsPVLBgCBOcxsanEw5W4+uFd4tuSca60ppam+RVWn/TnNJKpq + 3/ebnbVuEQnWMc8YvT5ia2bWdR2AlNK0WZbE0NlTa41Md1lFWbubiRjgq2FRGgAKw/LqF//gt3bG + 17Ccz1rUEUnUFUhn8LxQhzpQkQC3mhPELSdpsiZbXmzr05/+JL71FdQ5xJHastpbG12hJAMprgEi + cHdVHcdxGqlYmnI/RD8KAGYW19wT1F0sl8uo4ohv44ISFZJnGHvaEhERERERERERERERERERERHd + N2LQ/rUbr1zrL4+pGgCHbCbC3lzmGvdrZJh6JAcfPjABEnXJ8Fr9bY+/I48NY63pAXMBYFgnoYoD + kHEc8pZftcsvz5/7k298pm+Xs3Ntqccuv1NFrdWBplEDhuIiqdWttDf7yb/017qxs6GKqnZZRLzU + RyxceR2fvKKAqENQii5fvvZC5JiuSldjEcNJuQMuCt3tzidr7lhqKP5gkmJPHXWoNxd2LoplEfHV + 4hMAWVwV60H+mDvHxEoaLl172bRitXpBcdMRl9tyhTn+n2X7i3kVaKfS1JQFUooM1g3Xu0tPXfvc + L33oH/Q71+a4alK3t7esoiQUQZfPdbJT5ljO61suvu0/+In/6Hve+f0JW7WoC/paRnHTfGP/AKuk + 6sPoZwC4/UOFHDvQ+mZ2U509AKCiItnV/WumbhtLgE76F2Sn223Q4E5rflwe0Ejl7qKxRskTIlla + m6aLVPqbd8DxX69Yb/18nENh1QVJRBwVNw30HBBOkaZpDg4OoptkrCR573vf+3f+zn8XPxXxYRgi + Oxnr9Tx3FA8opcQit1iUGKeMmcWyh/hndL2kNyL2ZKz5EZGmaaY08egTGr1BIy2ViIgeopRSLCNM + KcU/42K6udQ8FhYul8uH/WSJiIiIiIiIiIiIiIjexMZxjF69AFJK4zi2bXtEe8ecc9u24zhOvxKz + 7Q/uGRMRvT5+F33fl1KiQGgaylgvdGqVUlJKtdYoxptqvVQ1ygZEZD6fR4z0w32qwzA0TRMh3FHs + Z2bxnJumibKH+XzedV3cv1gs3H13dzdeFHviExERERERERERERHRQ1dq6cfBAU1JgawJU2sd2eyo + YQLAZQReBj7+p0/fyDN4Ru4MLm6Csgp4XsdZn87mUY7oHwSIRd8VcUC9upuZiKLq4Jhf2P3kM1/9 + lmMOVKACgCmQRKIDyLpji0EMbtNOm5+D1G8AACAASURBVKYjAQzDEK0k6GSGYZjSpqOsJeYHI8Q6 + 5nxjJg5HTr21bRuzdfFPALXW6fFxjGL+Lipq7u+rInqwNpOV423fdR1gshrGFMiArgZ59Pjm5178 + yr9oy0HjgCFnPOxp+furVhNBSskKNGG7a7yMWvvZ8tJXf+//gR/Ax7gclqlRl0fHrZv2izuiQ0LU + qACIbgkP4SWddaoaDZpKKU3TzGazk11qo7VFznn69TPf4oktzIiIiIiIiIiIiIiIiIiIiIiI7h/T + bM+9/Aw6L1IlN+6NV0SAo63zSu22IlX1VQWfTz+qiNg7j7I/k8d23qKW1FnhSg+OS5RJukmpWqoa + oOrazNKN8cp47uC3P/2hfdkb01AxuNfjhqHmrGMFHO4YB9fUzJq2Kd13nfvzf+Fdf6WrrUJGWF8L + qrXpru0Rzy5bjRsujuTI4gCs973r89egCl8NESJygvL3SGONo2xm6jjfnZea5JakW7FVUOsjpro7 + qogosLt9AVUAaIIJ4AqP8utb3WlPHd43LdJxsar1+vzqWIc4dmbmIlBXh/gqR9zudMmgMyk37VDL + YjywpqaZjFjs4fLBzpV/9u2Pv+/Df29v+7U9XBbBrG2GZQ91TzIYxrGitrP0RCsXF0P/yvWXrtnl + 63ptMat1pxlz7W2Zc75w4TEAU276bcnVt7/JTrh0wA8/yThwmDAtSQ4We65ugLkfNwYe64EupeSG + WbsDS6gm7tOLEoev1nk9oGVs06grchiLG2dunMWT457FDiDZwXLP4uMgkESnP2dQdVWPw3amF3m8 + iXhtm1TLMPSL7e2Zqo59/zM//dN/62/9tyIwQ9uu0pGncOu7SSnlnGMtCtZvs2ntXPS1jPUqD73z + 5ptd7E/ZMJvNuq6LLtuq2jRNhJQ/7GdKRESI3tPTtc/do1f1wcGBmUWTcTM78fJCIiIiIiIiIiIi + IiIiAtA0zTAMWHfmzTlHyubdHh8xnNEgOH4lUjwf1PMlInqj3D3a2k51vNioDKTTJq44kWwdNXVR + 6zWbzUQkGhPf8uCHIjpQj+OI9bW1bdu2baMULS6sIrK9vQ2glCIiW1tb08UXQNu28etERERERERE + REREREQPSc1Zc9tU2DAO4zjC6ky7dbMdQGAwg1WYA1mSAfMWH//m8992f80xCFLOgpq84rDDiIiL + upy2ZOuYIIx+KT419hBXxTAuk0Mku+BSP1y/sPuBr35tCWhuikT2tQnMfRVn6ptNh9btiZrc1FrH + cYyqjK7rcs5H952gI8Rs2hRBHV0jAFy8eFFEYqKt7/uYejti3jAOR2yhaZqpACa2Fn0/otFH0zTs + 70FnTDS3ifY18e2q0Y2XVay1C9zg5gDE4Df+5JMf2qk3ZLG3nVEGw7rK4kzKSeHIKtXGBLdax8Xi + XPav/fFH8fLTsH57q4ONcEPufDXwG7wADr9pt0Rzm5zz5g6neyvCwmNXt21rZsvl8gT1P6oajYbG + cUwpLRaLaGRxP57z6cG2SkRERERERERERERERERERERE94uLSTN+64Vn0yyNKNDGLbnj1uomx7pE + 2w4zTm8TFdiigFgS3Wl3sjdwlgDRg2MCSISGek1j1eJIcIW6bvlnv/kvv/LKF/vcy0znfTlu6rTJ + qjK1adQqDNrkbhzHcWF/9Yff0yzPd+iaJNBURcQhVvURy+80mdY8qEHhKi7qvre8uvAFXN1ERAwu + JgnpuCWC4hvN1wSCtLN1Llmakmhjd/tGNu2jw9aBsQoR152tc15VYBvplkldxaGr4VqPu5csjYsy + 31/MRUQk1VpTSqvK49VQrxvRuBz8zzgTl4Tz5y/W6q9efzU/nvZnl3/t4//bb3/m/YvZlWWzv3QU + QMxF3dxMTBLGcVlq32rumva1a5c+8enf+59/4+996pmPPTc8c1lewfaYG18czOd7+xAHYjy3KQoa + 0Dt8rpATj7SRl72Kmt5YSGbVy8HywMVMcLLq+qmRn7vM2m0x2az5vvnse0AniyCtvhBxd0Cb3K2f + wOZzOP7+FEeyG/PrxW29cSikwv3mj5Txwh+9EfrUiYaYEW2+XC6HYZmzLpfLn/3Zn/2Zn/mbIhjH + VcTm0WseRKTWGu0pm6ZJKU2tKqN96nSlKKUwbvnEaq0Apna08XXTNLXW+FF0O41e23EPERE9RHE1 + 7Ps+hu74IudsZjs7O/G1iKjqMAwPsS01ERERERERERERERHRGRAz6Sml/f39SNk8otRhesD+/n5E + eDILlohOJ78LM4s4ZABmpqona2tLD0YcteVyGZV1Iqs60uVyGR2Ko+98XJvisD4Utda2bQHMZrNh + GOLJxHOLNsrRrlpEouYwfjrVqkX//c2IbiIiIiIiIiIiIiIiogfKAXMzM2BR+qZtkVKCWh2joUio + QAUKUGFuY4LMK14FPvrVL/dPPNaLApLc1gmp7qJwTYbTlmkdBDBolei1ZQDg5tW2cptSRqnV0+yt + b/2tz33meaAHqilUoav2RO7QdaeRww4jq1eq47hqYtC2bQTHRvTmg36RZ0XM7cbUG4BYYiwiy+Uy + GkfEw2LG8Ih5w5TS9vY2gJ2dnXEcSym11li/XGsdhqHrugfygogegjg1Igl+a2srZqtzEnEc1kxY + lRjTpMIX/Vf/5PLXv3hRqxYk1VpHbdRP3qXqVFPFOI4QS3AV1KFvssp48OVPfhj1BmCLRS8wFUM1 + SAIggCBywWtcAAzQdTe5vu+j5oHNgu6HKPVR1SgEApBSOsGudveu6+bzOYA4NaK6454/4VOF70gi + IiIiIiIiIiIiIiIiIiIiovvHgfLKpeddSlV3EyAJdF1FvJEieatVrqSvs1SjkikisVW1abomtQn5 + jNc30WkSSwkcUDdIqVqrOlyBNF/svbb/8m996jf1otdcDxbj1i6KbRalvr4/YQCQUnaXlNparRT7 + vu/5gR/+gb+Mgw5DVcBFNXWqWh9ee6mHx6Zxw0U9ChzFrh5cdikuq1hrEbyRtQrTrybV7Xb3BPHY + Z5i7OCqA7a1dgWJjd8EVLnd7z+ttOzESyje/NYFJuXHjGoDorXYYa31TTK/xiJx5JrYYbnRds3+1 + R0kXnrz4qS9/8h988O9+/sof7c+uLHQ51rK93Tgw1ILqXVYbMOvQbhek+cHyO2N5bfti1sfsytZL + H/zcr/0vv/3ff+wLv3F1+Y2t1ne7Zqfp1GFitv6YAcCgFu/DwyfigIu7nCCJecP05hVXUa9uy3FY + Dn11lwQzEznhW1pExHzWzJKkm9eqrWv7H/znpHUuuDiadJfx8/jPylD3D264rzKM3V3Eb04c57Bw + iuSc9/f3Igu56zpVjYUKpZSf+7mf+xv/5V+PsyKlptZ6xHIFd59+6u611kjLjvdAdLqMlXXsWflG + RCvtaf1hrFccxzEWk8Sej+ahALgiiIjooYsBueu6GJ+7rotez/HfUNOaczNr25bL+ImIiIiIiIiI + iIiIiE6slNI0Td/3IrK7uwvA3Y9o71tKidmZ3d1dEen7PrI5H9wzJiJ6feQuIgg55xyj2XK5nM1m + 0ayZTqEorpvNZjnntm2nrsS3PCBSrh9iW+G2bSPNerlcYl3sF+3v49mWUqavu66Ll4N1JVv03H9Y + T56IiIiIiIiIiIiIiAhiUIylH1Ga3M37JcyWQ01ICTolWztQBdGjSICtbgbDAvi9b/3p18d5aRuU + EWZwAwxTExNf306VeEUSN3MxuAOm4qpAVbhWyJVaPvSlp+dAxbodVIYLPAkAWe+L2I44xCFQgUQT + AzNbLpfRhmIcR/YxeCNSSsvlMqVUa42wWAAx2xupsTlnVY0v7raRWut8Pk8pHRwcYKPdR2Rmt20b + NTCqenBwwONFZ0ycGlEtdvXqVRGZzWa1Ojb6GR02UXLAK2z+r/7ph2fD9bLscwcXiJy20fyemToW + qKo6VKTrms995o/wwtOoe9pkh2agiU5QkrBuNycAPK59ABAlKBGxHCM/myHcD9EpCOs3dlwOTlD/ + o6p933ddh3VvoqmdxRnGyxsRERERERERERERERERERER0f1ian1aXl9eKaVkV1hxr5Ii1toAjbpq + vTXlGiZmYgAkwhEdIu7iZhBH4+0sdQnpTHSoWZcwraIZVTyLZ3FdJVKKmaDq6jalb0JsdbtpU4fR + sbdGc74+strnq9sq4FMO/9zqO9d42OpIbTyNh35IxFUd6mqr0FwVh7qqK1wVgFjyklyTJb25pnF6 + vXe8YZUPKuKaTNUBWNVa0rLuLt7/kX+8bK5f61+tWlKL+RKSYXJrdi8A9cPbLZomC1BrKaUmSF3K + BX38J/7ie3Zwcae9gIomJevdRwOgKd2nfXjKxUIFYLV7q9r+8prl6Ai5alzlXt2PW0ZpBgcstp88 + JW+6pr15nFE/fJOf8fLKuzAXg8hW3sm2Ja4e72QxSDWtjtXqlONWWYsjASZ2fXltkMHVY12E2WqQ + MdGNAcbEH839fybdVMq7vvbV9rxeG19NF+2Kfec3Pvarv/kHv/5KeXYvvbrAfLYDd/SLsesad2my + 1MGywCv66mmGbhvSWJV+b7lfZ8tybnGj+c6nvvw7//sH/+Effu2jB9uv7jeXa+oBg4yQeviXPcX1 + QvxwpcDtw/jrJz5dWMVFXSBI7r6sB0s7gFgScZcTfJ4xccBioOtkljGDpPXzNBN3cawu1uZy38+X + WI/kUEQnRHN1JFHATCyuodPofdw1dSZWfJj3+46qabU+DdDkqzDv1UcoX40RArBE/OFS1e3t7Vix + 1vd9JCIvl3NVMas///P/w3vf+zcEcK9Z5YjrdSxHjOUoscItVs3FSolaa2Rmx0+5UuXEYsXItG4w + 9uS0z+N+EVHVo2PIiYjowYjrYN/309q/tm3NbFocPo3kHLeJiIiIiIiIiIiIiIjeiAh2bds25l/G + cRSRI9r75pxFZBxHANMvHvF4IqLTJiagoxpQVWezGdZ9ael0mlrJu3tceqZ86Ki1SylNB/RhPcnI + tJ6exjAMU8XaOI4RyB33xGU0XtFm1jXWVW1EREREREREREREREQPh1nXdg2yw2bdDKoQGG7ukyCH + TXEE6PsFXAvwAvCJZ55azPIABxS+bg4WbUBcT12m9YZVFrUrBHBN2g77Sxt6bLd72/ljT33uMnAN + qGgEvtoFAhFxQO76wnxaBjubzaIZRdd1Zz4m8/6JObhILU0pdV0XxSrL5TLnHF0jSinRxCm+vSMR + EZFaa2Rgby5SjqXNceDcfWdn50G8MKIHKN7ece50Xefuy+UyJcFGjzmFJ8HqtHADlsMX/vjgO19v + kw0DZl0zDMPNG43+mqvbRE7UnO3BMFGTqYPl4ZWtVE+5iT4GpZTsw/Zw+dsf+b9RD5pUbBhz0wAo + cWG8tY+QATa93JzzYrGICgdElyq616JcJPZt27bL5RLrt/exROVJRFlH/YaZnfk6IvYsIyIiIiIi + IiIiIiIiIiIiIqLXJRqpTPWv0eHl4T6l069o+cb1by26IVluh6aVmmVwFMMqJNiQsYpwNlPUdTmP + i7mWCG1MBgAF7lGYXYBe3nbx7TAvZbjr335zUJhkbZKijqMZGt2S2qI0yVIyVTfAXVBU+4Q+TRHL + ZrKuVxRDlANCbR1HLTCFK3yVQv26b+s4TwXUInlZ4Ks/VwVV4REardaIq2ZFsqGOmmC1KlKWrEir + PPJ1Qrnd/0DNIBC4p+oJYklGETFJlpMjuaoncQj67GM3Nt3YJctTjHdkJJuaqSGjSq1STa3CR6vV + TVL26tmTmGrVpm86SzUfzLde+z8+9osvyzcW+po0NSXYCAiqakSSb0ai6jriNG663lEugKAWN0Nx + 62Yo/eKC7PzQ2/7yD739x5uyZYNpnpUeO2g6S9VRs9ijV5MpjmSaTMUN8KooalduXBItZoM6rNSc + 1RUmdtzk6chQVkBdU+3O7VwQEbMS21kfxxiJ7PZU8rPORCEKS15rfWLn7bLMak1ar0IxXVYdqsBW + 2e0xNMEEcSQ2DoZN8eE331SQXlu+tmgXVUrOeZgvWs0Qc4mlOLrKlofpasP0piEwq6PAmpxLKU3T + VUhxpKYbqpViKTU5pQRRN5dhnq5d617+xNO/+Uu/+wu///UPLs69oueXvdeUUAsUSKIYPbuIeRKo + rRZtDYYBGBTVrc3wJVrREcNiNv9Weub//Fe/9L/+4f/4Dfn8srnWzbTacjnstbNGNI9F4zNJciSD + rpOtDVLl2PXGkSStgLqoN/AcH37cVZt8Zf5K2irJDaO7CSQdt6RZkrigVGsE57Yu/v/s3f2TZNlZ + H/jv85xz7s3Mqn6Z6dHM6AUQQi9YwjLyglhh0O7KSAiMMC+2NhYwuxhpYwOvYwN+2YiN/RNW7DrY + iIW1ZRR2sGuDkRcsCyQZIRwwkgVCI0YjjUbSaGak0bx3T3dXVt57zznPsz88mbezX6qmq7q6q7r7 + +cREKTu76ta9N2+em63znOdbO2ZOwmQfDOy3h9WHqBsgMEopyiQErRKJWYjBFESpCEQJARqg2M+K + ApEgXe2IRTUrFTCRMCQSBCSVUUkAYb0NB+ejqKrkWptJm2sJIYQQShliZNKaAk2a9L/+L//zj/3o + 21VEpQYGEUDgGEAAOKUWYKKgSgDXWsd/go0PrM2lLXuwdXG+UmXfbP3h+gm0Z+wfvCKSUrJ/+YYQ + fDno1bgkKdx7lDt3BIUQ7E1qo59FC9wsbKVf27YAmNkOZP3rOKTf8msCnXNu/MeCffXPXc4555xz + zjnnnHPOuQNHKwBSSlfzI/Zt6z/onHM3i0smnQ+c7swm7se57/V5n/W578OdD7LdG/fHdoaZ128T + 4zw+dj3evf5q6xGM1bzY+pqmGKNtkIgsQHq9xGvsL28Prmg8ojH9+kXrDS5/IcbzsF40NX7b2OB+ + 3DdLrQYwDIP9rYiMZ4aIUkq2z3ZE42Vpl8q+z6RzzjnnnHPOOeecc84559zeMUIEOIEbRAZAQEAn + VSBECgUTWxuwwBEKASoQkAriGeAPH3r4+Yh5bBAa1AButFQiVC3gtaZURwQpSHRs8VQYAuWgHLCQ + pjnG0+kZyo9Ohg9+9cvPAwM1A7SiQCoGhaAUEUhBASSGuGyQZvndpArRtcTTcXZpfOD2qpTSNI01 + iBiGYb0vooVY28TceqC1pclitVrZ5jotBtW+0xKyxyk8e34sibmBB+fcDUJEOWd7s4yXfakqlkid + EkgVqgobHltFKnPU05/40P/ThKwKLbXli2bSlVAJmZAJth1SIRXGha+HcrA7WbaYtOZVutxbUiGg + BuoVVahpkqq2sv2NT/0+nrgf2ucCQEruV036qsVac2SOyy5QyxGeCIA1sSEiKwm4NAvc7cVYjDG2 + y7DyknGgZma7L+Diu8AlxuYbY7mIpWLb9tcrYW6Hddy3/hE655xzzjnnnHPOOeecc84555xzzjl3 + WJTkdHdmoExEDULVXEiUoAwCsIwThlXXKYN0/EEAsMIoUlYSEJYFamBGSpyYGeHmLkcWKtjQ57ef + nxzneEfYzltzOVOb0KZYtWP0IKmESqESVxYoJwEprExxPHixQGoLtFZhLKMzAQGC7iUpkxQAq/0C + YiUhFZCQKkPItqUBGoWYJeStujHdCIkRiIhItVaAZFlBeKPSrC/sPxERMQiQglpZosTEqmo7wyBh + yqoIqlAGKux5yHj4ACBEyqpKzDEkBKiIFJnGSbfdH9+Ydf32IF2cypny9Ec++XuPnHvwND89BFEL + Qw1gsNQAupCvqQQCxLJXSez6t1M6niglCQGiQEXq00s2X/qu7/vpZmsWJCw3ASblABES6O2Y3ckA + 6bLIUSCKICRD6ZUKMQik1qmKsPzDntigo2BlSODYgESW6eHj+2h5tWD5It5elEQZYG5pNuGNQW0M + X+ayK2ADCFRsnMJeXgJSCLSrixKqkASicZQXEmhc5bgLL2uyb7vzf1NLcUooVXIVUdVac6TIgYdF + P22nIVCXt4e6xRNQrOf1zJ998U8/+8inv/bkl2gjx3vr+XyuWyBMgFWSOuvy88PqwlheLFYib0jB + AgYppA8dTTjH/Nkn73vqQ0/89Bv/m9ff8zfvOP6SWCZnz56bTY43MRCrCuTCtUVrW947Wt1Zlp97 + oIAKASjaKQ+Ash3HfjrrKQisYKLETUCsShEQElYWAhRBlx+o7Ml9HsXVI1kWZpMQYL+RiC58GCBV + BSsrEbBjh8TLKQEkFcPqj0IAIZEKQ+3+LoSwpxHHHYaUQs455zybTf7JP/nf5/P3/MmffIoIKgBd + aLU59p3cZVNjmrWqTiaTM2fObG5u3ohjuBUNw2DLDnPOMUZbiJJSGh/Y833ft23ry0GvRq21aRpb + PTU+cM4dKaUUG9BUNaXUtm2M8eYKt3bOOUdENnqHEMYu/N4SwznnnHPOOeecc84555xz7mY0ZjNb + e3QrWGrbNudsz08mk67rbLp/Pbb5RhKR2Wy2vb0NYOywDEBVm6Y5ffr0dDq1bOm2bQ9wJ3PO1iBe + RJjZyrrGVsL2R2YeuxXvdft2IE3T1FprrXaqQwi7dDS27zx+/Hjf91i9OrZLtVZaLitRq/HbZTs7 + sU0dO3Zsa2tr7I9cSgkhjL3yrZ6tlHI7NE12zjnnnHPOOeecc84559xhG8OsV5YtRKRJTSnF5oaY + uOYSAukyC1iYeKF4Avjo5z73D97wxrrVBwgYFAKBgioYoCO3JkoJsF2zqSdlQAQcUpKhp1m7FemP + vvKlx4AeEZoUPSDrDT/sYQg0TvCJgJljDL70/sDZlJyFmFoW9e7J0zanaT/V973Nz9qEoyVk931v + k3E28XejjsO5Q2bJvtaARVWJqG3bWnMRRcmAVGCSOGdpA4cqpMjougc+3T33KB97Jc9mQmNHzWXH + p50c8b4tuta4jyEVlniMPEjptjeSHsfw4T/415BzUKsHWO8zJwBijLkUO6WqKiJjRYGNPDHGEELX + dZPJxGohbvhR3iKszMZKKayWwzoITSYTAES0vgR7l40AGDditTEv+lO3Kq9Bcc4555xzzjnnnHPO + Oeecc84555xz7jo6c/Z0lhxYwaiqIKiCVrGwlsorqyjZF0UEIiLlFBuiQET7CYI8MnLqnpHHfuuP + 3v/1Z7/c0fbANW1M+6wcJFAhGoIqCUEbgJQgYEUELC5WsBYarRYlC2BVEU6659hdJShVIQBkocus + IGWCsOVwQgBVstBsmnUn3/tDv/K6+NcTGitotujKwKFe9MLcuJLBykoklaVSFSpCRRlVRbUIQUiE + KngAwGEgQdBCqwsw6HpWOCdODJShaM1ExEQBpEWPbWycXZzGTMu0fx7P/MFnfv/PHvoP8+Z0bfqY + IABXBDBJkoIYJoAIF7VAdwCABpCs1e6vKFCDlopN2kAXN+rJd33/T72kvXvatUEAElmeeVuNILdn + rPJIgFX+OubdfL0Jlz0m2lum8uW8y9Ulxn5nlhtkBayqV1geI7TPt71q7bpu9Qoum5rRUVt/4/aD + u16YY1FhptCELJmrQMOEmzqUBfrcLIaN+Qvy5AOP/uWnv/Spb5z7eseLcqIXzpCCBg2DCFLAAruz + KEFIVoH0Qqsh0W6I9nRKTEQK5FwD+pCSEJ3unv7t+/75m779yz/y5p+Z1VPHNo6hFJW+5BqaBKBa + 9rJEUjDJwcYli8gwDLYszfZtXwiq9rkopURER/OOsFyktBw9lk/uK8YbY2dDX/V08+r7vmmaGHk+ + nzdN82u/9mvvec97PvXpzxEhpWX075imTEQ7dfa0lqBjv8sY48mTJ3POfm3sjy2pKqXYyW+appRi + 2a4ppfGm3LatrQg67P096myV2jAMRGSL1g6xl65zbidj5Lwtg1RVz7R2zrmbjo3ebdv2fW//D1JK + qeZyrf+HoHPOOeecc84555xzzjnnnLuxxuIlVQ0hWId0APP5PKVkrY2tcqnrukOsw4kxWqY1gJSS + FQiJyCV94du2VdUD7LmcUrLaButBH2O00zKWJ1kPYvvbfdRn2g+O+QFWyL17HQUzhxC2t7ftQdd1 + WAVa299ad+Pds7F3UWtl5r7v7aqwHtZjYf/W1tbGxoalnltXZeecc84555xzzjnnnHPOuUNhHXgA + 2HLypmlyzrVWEIjAWkk1BPQVH3/4kXd+13efCBwgFYUSsSIog0j4iOWbKi2bBqkoi4WZQjlAQIWn + 7fkub7fTj37+q88BCiGUnSaoxqzNruvsFA3DsL9+I24XOedxKs3aQdh85S79Omy6s21bi5hdb+6x + tbUFoG1bm6QbL3Lnbm2LxWI6nQIY5/pTSn3fr65+2djc3J6fX2RJqR1yP2EUAaRgOPeZj/7OW//r + fzTw9HxhpmXHK1IBcdBl/ysGCFBiAHJUR0GyHowWyw1gtbekQlXbSVgwtTEdp62/+tP/gCcfgww7 + rectpYxpyvZgrGew9jUAaq2TyWSsNHD7MDbosxuuFdhgVQeCVV61fc8u94WUUs7ZqncAWG3MbZs1 + 7k0nnXPOOeecc84555xzzjnnnHPOOeecu16E9cy5MwhVCUqiUCFY4TWAC6nM9OLdhVaRiACgqrdG + 3KxQoePydH58vvE8b9aM7Tpr5oteCcwIiiAIAlKQLPM7C0UhsMVaA8AyzlPGyGQaw5IZy+BkAfhq + vipJZSgBClKwMimTWgCzfY8oibAFYKOG/sziWYEIahFEjhYlKoBexWt6PYioKi2Tt+18qGgVIgYB + ysoqtIwADyQQCapABWjMQ2VlEQsxpci2BICs8VOtdd6dw0bZbs9vxTO/80e/9RePfAon+kKZWg0A + qr0mtgMaJAgRq1QSrBLcWceQ3ovOkhJEsDmZlDM4Ue/40Tf/5GtPftesbIYhEESoAqwEIQbAqMCe + k8tvRQpge3t7WQSvAHAg/cpumXHmwKkqKzOzxVqvzvqBWSwWRKr2XoKovV9u9+v8VqCqFANVLihC + RakihUGl1hwmVNv8+JmvfPozMNBgnwAAIABJREFUf/r5r3/m2e6bXZj3TU+NIpCqiIABVJBa+TuT + Lm84dj8CwDtciKqac4khTCOjaikDUZBJfa575s8e/fiTz2797DvfE0g58/HpMRHKVZQAUCUEElK2 + u8MB3NdWW1hfarUKfda91tePK7XGWGtdhsyv7mX2t4d3Rx53b3mECliytSr2vpyAiKxJop0rX6h2 + 8+r7fjbbPH78eNd1x44d+/Vf//X/7h++5/77H7Q1b33fl1J0mYO+46tsf1VKGX8khHDbLoe4drby + JMZo608shhlrma8ALDXcTvhh7utNwi5IW/kDwFZserK1c0fKMAwpJeuAXGtdH/Gcc87dLKxzvX1A + JaIQQs45EMP/weicc84555xzzjnnnHPOOXdTUdWUUimFma1IaRgGC2/OOXddF2Nk5q7rDnd+3+p/ + YoylFOvka5nWAKzV+1h8fj16Llv34cu7xttZwqoZ8fjHq2c/aAHVfd8T0TAMViV+RaUUO0wrkRr7 + 3WMVaG2Pbcv7eMls43aG27a1E2vZ4ZZuvrm5afvgpaTOOeecc84555xzzjnnnDsKUko2Z9R1HQCO + QWoFA1UUIIZWfBW479HHXn7vy9uUMg0RxIUhAJEAdKFd2NEgASRKKgSFABwgUEitEjjPNv7TI1/7 + qmAOEChA6w4hrRZlOmZq7mMmy12NlBJWc3NjZ4NdptKs6Y0tssYquNRmIW3q1qbncs6TyURExpxU + 525h0+m067q2bW3COuc8DIP1TJpO2q7r51vnQmxqFXuzCHGFQAUhzz/xwfj2dw4vmQLHQcugZwag + Yr0dLc16pMvc6xt+kFeBVQAo+EInLGJSichS0ITQkITtZ77wof8XjSLXnbazXiqwXk4wzv6P7RxF + xAYxtw9jdUcIwa5Me2ADu9127V68e/FGztm+we4gVj1yw47iqPGmk84555xzzjnnnHPOOeecc845 + 55xzzl1HW9vnEEhQqpZqRcoCALu16tnl79TyGjnGRhUi+whGPFpSalTRpphL1/fKtQ+MGEECUsu0 + JmiziqeUCCtV1NVpIqiCLEkaAKAXAp0BsOVeWzDsi361Yke17QJQRiUwwAwCglAACaEAClrmhUwm + E2RoBRGpQgFWvdKLyJekOF8HTMKsTZQUNQQNjXKSqEWZwcKVAmmtsSgAaQEolUJiobkEWZ5lgBOX + OqhqSoEClTpkqVprm1LhrptufX3+td/6/d98fOuRcmJeU19UqIIFrIBCiUDCMVyUXjcGryqvMnpX + MeFAJQCIgYZ5PSH3vOkV3/99r/wv7wkvq+fLrJmWXIWkWjI3GCQCAcDqZd8A1NZ1GMtPJYwlvvs3 + JsXe7OPMQSEiCIuosABoY6tViQAF6cVD9z7PvoBk0c1BMib+XpRFpLzrzcMdZUJJKmqIlCElFAm5 + NP2WnjlfT//V1/7ygUc++/S5J7bK+coDtagpUyPbPWrVNiEkSIbKsup3p7p8Xt0B1y8TDpSLEmps + o0JERbUOVXkznMvnHp7/p1///ad//h3/w7cde83Zcy8cmxxniCjbeoDKNaiE2gJ2nz2IZGsFgGvv + q0hEIsoMKC8Hq2vduaPu8vVplw4R7ujQKydMT6dTItrentdaZ7PNrutOnjz5G7/xG7/4i+/5whe/ + NAw9M0QqVgsUx5j2y9k6inEVxPVoA3r7GDurYrUoxe7C62866wHqwcxXg4jW13naoh0/dc4dKXaX + GUc5z7R2zrmblLXGsPXVqlpKads294P/28A555xzzjnnnHPOOeecc+7mYpVgbduKSK2VeVkVaU8C + UFWLcx6/Hsp+Wgt4rCoNaq3jbscYa63W3hqA9QW2b752VuFgWxt/RQhBRCaTiVXRW9j2/kogptPp + YrGwk2+H2TTN5fnZI/td4zwdEZVSVHX8o321lSb72B+rZLNj7Pvews7tRZ9OpznnlJKdh6Zp7I97 + /RXOOeecc84555xzzjnnnHMHwhaV27yJTXL1fS+1wmY3GCLQgo5wWvGh+z/3gz9097FpS7VoKVj2 + RqqCGnbuq3AIVn2xhLOSABEqlUCEQHy2L8/fNfmdBx88B5SIUCpDduoxZNNPbdtaBwP7Ok66uYNS + aw0hWKY1EcUYh2HYZVJ1vQGCPQascZyqqoWkhhAszdozrd1touu6yWSCVU6wXfk2Ob5Y9G2kvmgt + CgrDMKSU+lxjaksp6E6D+wc/8W9f8xPfHmcnpAKAEkQRVIJ1XFRUikp80dvy6C2FJZWgBUClRlfN + IhkgoA2h7xZxtknD1tf/6j48+wjyGaBAr9xKxc6S5VhfUulh1QXAsn2l1RVYNrPbq/G8EZEtuI4x + 2nULIOfctq3VXYyR1VfcTkrJqjvsZbIfL6WMNSq3ldvxmJ1zzjnnnHPOOeecc84555xzzjnnnLtR + ZGv7PLgKqaAqgQhKUEUgXLki7aJgVBlT8S4KNlW9dbrPVEjRjCxUZjPwFH1FHsAEVkBAylBLiFVF + Eavk1otrEi2DevwqkPH0qoJAqnq1Xy9skFSFAFRorQhQFgAqSgyqUKAuf3/REhCFlEhDDLJDrSGw + Y8zhAREGmITs3EGUiBAAgQZSJooBA2pSUquaFF6lTV/ISWWs1T4WKVWqoIZA3OJcPjNMFn/+8Cf/ + 3Sc/eG56ZpjNc1wUIDF0AAQhEFGoKjUUogAEVggJABIwXZTGqgQhKJYLDVhR59iox1915+v+zlt+ + 8iV4adyazkIcFp0kVBKAdfnS2G4L4JXfAND3vTLWSlfBvJ+o0/WAVFW9Pasqd8KAKjERKVSVlWKM + ki1efZklfO2I0PcdMwOiWu2Za80nd0dA5aqzcnZ+Jk5jJ/MzW88/ceaxR5/9yjdeePzRZ7/c81ym + VdtaUq5arAa6dJi0ICBnlIImUmhYq6CS0oUrjhRKoJ1vLqoaI6ii73tVpBRTSBlybhiYS3snHn/+ + 8//03/8f//DH/sdXn/jOrXPnp3EjKCrYQqwFIFLWA7jElzdYIgClFCLrtFiV7f69n8RTW6/QxGRH + On6I4kveNaSH/j66xvey9T2E3aAPryulu0bz+ZyIrNPouNTh3rvvev/73/+TP/X3nnjiSWZqmjQM + g3XGHIZyxe0Qka1gBJBSEhG7PDzcen/sM08phZmtBeq4KMj6nDLz2CoUfp5fDDNbj1o7pevdY51z + R0St1d6Y1inAltgdYttr55xz+zN+4rJ/HVhTezqKa/mdc84555xzzjnnnHPOOefcbqyozJqtY5Ue + XUqxSjMAfd9PJpNdgpZv2H6O7d2x2m1r42vhyrVWKxw62KUuMUYiWiwWs9kMgKVHW0bCYrEAsLm5 + OZ/PAeyv8mGxWNikm70E9niX9vTrNfZjoVQIYf0Fso1YYcZObZF3N1YJ2jZlpWka270xAX0fG3fO + Oeecc84555xzzjnnnDsQ1pzKpm9U1Wa1ur6DMlAREAJKQaccIF+Qcv8zT9/1LfcepxRLBgQcoAfR + zeR6CipQLgwFsSLecfIPH3rwYaADUCOxitRdfnxcaG8zR1idNHeAxmnWpmlyzsMw2HTeeo+IdetR + 1iISQrCOLqpaax1DUheLxXQ6vVEH4dwhs0xrrHKCbWDf3t6ezjYDSxlKYgwChIBacq5AELIOd31i + PPKJ33v1234mtXcNiEIMAMQKCaoEgbKSVPAYFH1EkQRRJVRAVg0tRUHERJJYKc9ndevjH/ld6Bak + Z6qCK9cD2DhjI8wYbm0lDcu+jqvI5PVhx+1VrbXv+9lsNp/PNzY2AIQQrOrGUsP7vsdVtAmy8gy7 + iYxP3rbdF2/Tw3bOOeecc84555xzzjnnnHPOOeecc+4GUMK57bOVK7jKmJese4k2JMGqcE31QiqF + lcMe/B4fhul02uW4gAxAP0AISExEQViUSZiUGArKoGqlftXK85QBkApIgFUsJiAMAqkVR6kAskr9 + fJGvBAS1kqosDKVl7rIQoFUhpBEaGSBlggRqaq2llFproKiqVbQJUUWIyLKcsQrXtCTm6xsSRQCJ + YiHEPW33tB25jyFR5YAIZVYS5SgKEmiuBCFVYhKCMsAAkxILlzqklIgoq6bAFJsi+Vx/+vHthz9x + 30cfePz+ulm28QKaSgANiIEIGohZY2WpJJmhXJkrKViYtCFFgAAFJMIigIKhUQGoMCRWPhZPvXzj + 1T/9tp85xfdOy7FYm2FYaGAlUVJAoCDl1Rvh6FaoXm/LnHCwXaK5LqshFZWJiHQ9oHrfbtvCyp3o + MtcaRGTLRVSVDvB9TcvkWmayQmS68gs5Xvn7aXPmDkWJ/SNnvvixz/zBC/Onn916Yq5na+gKZQmU + NidaQDVIZaimJjGXUmWTMcxRBJNIiEmyDFIJGoLSGNtsd8MaATAEkPU4Z8u+7jptW8RJLKVIwVBL + KaUoJqmJ0/DcfLFxEk8tHv6/P/y/vfcdv/K6O/+mzJGUAamAUlCCkgigdA3XG4ldtwoIQQHr1nfh + 7/fzRmKgqsXMU4SyxVpfGmht33p4EY3jogJYsjX2PzjvtGLK3UQmkwkRbW9vTyaTvu/atq01i+Du + u1/yu//mt9/97nd//etPSh2aFIZcLWT0isbQZXtca00p2TK5G3g0t5TxZGK1OoiZY4y2QMVWCqWU + uq5LKa2vQnGXs0VTwzDYON+2bdd1ttTqsHfNObcUYxwHNOtxDGB/PZSdc84dIksy6PveVlkvm9rj + 6icenHPOOeecc84555xzzjnn3JFg9WCWi2ylSl3XTSYT62Jsjw97H4FVVPPYc9mejDGKiIUrWyb0 + mMe8SzL0nhDRfD6/44477FePLeZjjLYnW1tbAKxmyUKm9/or1nfYSvJ233krMLOXRkTsl1r8dtM0 + trrE5vL2F0swzgNaXYeFZ4+lHetdmPexceecc84555xzzjnnnHPOuYMiIqqac7bF+Dnn9XXlVcDJ + kk15QNrS/iMPf+nV99zxXSGQMFhALCIBgY5inwRWYsD6hwkrCvMC6SnVD37hi6eBiggl5Vp3Xs5l + E38A7OTYLNKYb+0Oiqpadwjr0TFGie+klGLzs6UUm2S0uVoAzDxmzXrfLXe7sYoFVbWLP+ecmqZW + qbVEQATEpLUsGycxSS6ATCM097k+89CnPvad73hpbU4ValbtHDmgkgIQ0gAA1tFRx+5pcjRbCCpd + 9FgVfdWNFMJw/vEH7sPXHkA+lyJK3q0/w1g2EEIYB6ixOMHm/VXVxiIfcPYnhDCbzWqtlmltXQGx + ap1h7ZispcbGxsZ8Pt9pOyKyublpxSdWNGJbuz3v134tOuecc84555xzzjnnnHPOOeecc845d/3I + ot+WmEEAXUiaI7okjfTF04Muqb62WOubvxNNLD2oNnUgbWITtWrNYFBQoQoolKgyoUKI1oPQFFAB + ASAEVgKEVHSVbK1QhQIQKClVAIoX/cqKiuVro7qM3lSstqUKVRYiRZDAoFA4IBJRCClylKIiggAR + oXill0YZ1xILehWEkBXEeZu3zqfnFay6TYETGmggZZAwelKQFkUEmJaB66KAQEBcOVOrA28PdRi0 + azZC0eHLj37l/q/++Wef+OTz+jTPaom5oi8Dpg0FVhoQKZFylVKkSoQylFGBIIAKFEEYdCHbW9hC + x5mUgzAB7TA91bz8Z9/+C982+45wdlo7kCpT5EYX6MfocYZAGQhCR3BNwvWn65WOy8tJlknqda1m + F6q4xgHi9qyq3JGyojIxERhsVackRHqQcUFEVGu+MLaTEsjeKeNuXO9hxF0PQuXp7W889NRfdjjb + xzkmvaTaV8QAqV0BB2pjjCxca66oQVE6TGPUELJoHkAxNTEKMrRaOLRFNbOyLC+PK18YkwlU0feF + CDEuMwsbjiXLMB+aCXoFNrpnzjz+Lz/6/n/wt8NrT/x1zhNSZmVRVhKhAlZWunj82fM5GB8Rq6IK + ARCFYr9jlSpAy/VF68+TLt+TDIj98fA+Ll0eM0y0n7sXEXnY5C0g55xSijGqatu2ImLNJfthcerU + nR/4wAfe/e53v/DCuZwrVuHKV9yOZVrb0jhrwbneJ9Ttg51q6w87rk4Z88Kt0aqdYc+0flFEZJnW + qqqqFg3umdbOHSl27yil2L3Gllh7/Lxzzt2Mxs9aY6P8WsrNPmHgnHPOOeecc84555xzzjl3uyGi + 5VxPrdaq2HKs7Rl7bO2MrX/0Yc3vjw1/7Y9WcmA7BsDiCqye82B7+5ZSNjY27PeObeVrrVb/YDNl + RJRztjO51+1bjVPbtnYgFk1ttWQ7/Qgzq6plWltHY3vhrAADQAih73vb273uDxGt11zZgVuItVUM + MrPtAFY1b3v9Fc4555xzzjnnnHPOOeeccwdifUoo52x/rLUSEAIVUckAGCkNg2wDn96ev7PrXzXb + nAhAABNVUGDIUVrfOs69KBEiVKASgD6Es7P244989VHgBQCgSKFIpgTdYUao1mrnZJzxuQG7fxuy + Rnw2UcjMlmm9S7+OMeV0DJpd/2brB1JKSSkBqLXaDKBztzwRsavdxq6maRTIkhOHGEQERQrI0qnJ + GmLGGHMpU6BD//iH//X3vO1dJW321AgAAoNFAy9bTjFWcdG8HGiPXhslZaUqq9uAdayy+xWUpOZT + OP+H/9+/QMgY+pIBYKfGcyLSNI1lWtcVrKb+rdzCBi7PtL4WVjhh0eDMvN4xY/0xEc3ncyu6uOJ2 + mHnMtB7fCLctvxydc84555xzzjnnnHPOOeecc84559x+WPeTXcp0bjfWEcYS5qw9jfUM6vu5NmoR + zMzIAqb1uFkBwAq5umYy1uJHRFJKt0K6oYY23anDJudjbaLF1rlJyzGEWqWUIUQKISi0iggrAohA + FazgiKo83xqOHz+euz5ywyIKIS1Kq/NJAmXaSwanAEMdTr3kjmefOdNOECNqRRmQkr2AiZFYwEVY + JCq1EoKyiMTQ9F0/aaYBbEWZyyBswo1MXlagKEJganDfF++7//MP3jm962V33nPniTvvufOek5t3 + nTp+77HpyYYS1UhlFmsbawo1gqqoKolEERLhYYG5tKWE/OzWk1/40ucf/PIDT5/+Zk79sLFdQi8k + oMKEFkCvQTlohEKhygTGhUxeWj3WgYkJQSnWUIsoGKpIqE1Nsk0z2nzF5nf8wo/9o+PlrnA+tWgi + Q6XUKHWVhE0qQcHKACoi683/FtgjXrueLddWCUoiWizqmJlFSowsdT8nx4Zz1eUIb4mb3utqxACq + Bk6qOgxD27bMXA7uJqgKIs1DFpEQuPY1clBVutaAcncEUElt6fPpOOMBKoSiKBWcoFDiSrpdmJQg + UCVAOEBUlZiYCVVKKRzAMaguC6bHZOsVuaRe3/7WPizY4iZRAaAEkZKIFTxkKMkA0LH+zPC1f/mx + //O9P/orr7njjeW8Sl+ns7ZSydpXyQmTPS2QktVCgkufl8LMlnhKRKoQFWbe61UuIikFGSpRsA9F + IUSVI/GhdNkrEMAqbNjG0WsZLUopVitPtLwpigj2fNrcIWNefVBUtevEVh7aNfzyl7/sn/2zf/re + 9/73zz33wqRNfZ/Hn7I1D+MalTF3edzyrfDvgkM1/pP2kn/b2gJRe9JP8lUa+6Ue9o44517EeDOy + ddqeae2cczc1G8yLZ1o755xzzjnnnHPOOecOlDXQxF4iM8dAUKuZiTGOG3HOuaPPhqxDyQm2JsVX + bFVs+2O7ZAnKN3jf1tm01DjaY635vnV1N/se+W0ZjjUIzjnbNmOMRGT3FKs+rbWO65jWd2l3Yw3e + mDY9FuO1bTsekdnlGhgXUl3xSFXVCgWtb/IeTwB2OpaxgnQ8alusdJs3U3bOOeecc84555xzzjnn + 3KGzCYtxSssyrRnQau3VGATUAmAAXgD+7ac+/f0/8neOl4I8j6SqTJSsi9JhH8pIhJSIIrd5sR0i + ITXoFtK035xN/tXnP38aKIgRpFpA0F2n78Z0TJvl8fmdazTOIdqZtO5P698wNoXYpTuERbCPHQJL + KTZ7OCKicfbTXy93+xiv9vXLXhQAVxEoAkRUUkxDFcSIkm1kywRIxfDsX/3xB1/7zvfOy5RncWsb + IaFqRBlSSqqsa5PwpGL9IfWIrYktYOYIQi0IAZGRq93VQijDV/7iE/jmQyjn7E5HAbtUcNjAYl2G + rCupRVljrdLAA62v3jAMTdMA6Pu+bVu7HVg0eIzRCkuwquUYq2vWuwaNtS6XPxhjyK3k49AO8mjw + i9I555xzzjnnnHPOOeecc84555xzzrkDsEpnvNBERlVFirAAcknx3BWjjq+mvm7cvtXFHkrXpAMU + hIcz9bX3vH6rHOvpbCfbXV30gxSVYdiWnLMMlXJNpHEossiq0xn6HosFmolsHGvni/nmbLObb6cQ + CGDwRbmeJEoMgISV5Wq+Tjbi179x5uX3bCzObes2NRpPTY4P5yWGaZtmm5Pjs41JSyEISLQZjt2z + eS+DUcAUWamq2LWgWGXj0SrZcrlv1xcRg1mZMvqz/bNbi+eefPJLzTNRsgS0DWbTeGwjHT++cded + s3uOtXfcObmjTZPpdJqaoCRd7bb684syPzecfeK5xx579tFzw5kS+hJzmQ0SqkYBgRWkIIAFpMzK + SgKwkCgJAFIEgeryv0hIDUsvXS/CiFOiBFWUAVyUK5/And/9yje/480/cedw76yebDSyUEURokpq + ryiDGGAVUigxKSvx5TGut6dlIBmt/xF04drbzwb54gHNrWMFIwQKl4zApNh/qTQBenEJ7BULXMkv + +JuSki5kgZa4Za5UhWJEjagCZqggAySqAiIEUGSKkzDkOvRVCSE1TYwC1Vpwcc65kCjE0qv56t+y + BAZIgwoz1UqlQM+n51Xo9z/923//Bzde2n77LB3P/dDn7bQZhQP201vPjh1y2VtDVVWrPbn/DzKi + AEhBFLA8/GsY+A7awX5CG7tSrj9zVA7VXbNhGEIITdN87/d+7/vf//6f+7mfO39+QYSUmmEYbPGD + rVeZTCZd1x32/jrnnHPOOeecc84555xzzjnnnHPu1jemchLR1tbWbDYbn9npR2qtlrJpXTita+SN + 3GfnnLsWzGxtcK0wexiGyWRyiJ3lL+nJbo10x2zmW5Wd7WEYUkp2pHYrsYhoyx3H1eVYX8K2Zq8y + gLZtrSXxAe68dTdW1ZSSNUc+2NdrvSMz1u7UB7V955xzzjnnnHPOOeecc865PbGpCovqxCq8kyDL + 1jgaseyQogAE2AK+1A/3P/Nsu7lx92wm5+c8PVm6Poaj1T+NU+gWfUMpNRvI29jewskTW0x/+OUv + Pgx0AIihStDd87jH02J/HCf7fH5n31JKIjJGVlvE6V6NXTvspbm1p1+du1aELHnCgSABCIRcMock + JQOIMQ61DgACYzjzlT/98He97adSM+2G4wAEUAbHqKpiHaHI+hbK0WyhpsSqpEQiaCeoA8ogxIyi + rHlK5S8+8ruoZyF9YghRrbsVHFg/UusXZG2FbtyR3HLsTFq9RNu2tVa7HRBRjHEYhrZtr7IIxFp4 + 2R3ZajBU1X7W/rbWqqqllMlkckMO7sjxck/nnHPOOeecc84555xzzjnnnHPOOecOwJg1uJ48bd1z + 1vMn1yMneZVCq7T2PXpxwLUyCIAQWUKwWtzsrVEOG4Rfcezuv/e3/m5IC+USQ1M1UJ0whX7YfmH7 + uRe2n3v6/De/+syXH3nqoTP9szQbzp85pwEnjjVnFwOHfna8feHs2c1ZqmUIClGxs6e0StBUAQAW + pRf/CkIe5CUn0J/ePsknQz+5e/qy737l977yZa95+Uu+PdI0chPRQEAFUCWh0iNokqqBAgAIXR48 + eSOxWLhpoQjmVFWL6gJdaFik47rFeC6WEM6meDpAY+ULMbqkBIvRZS1alKqESpsqIQsVghJbhOgy + M5TtZJMIiQKydtBBOAiEUIqkRCza9xICNk42WXXeZ6mIARsxxp7vkDvf/Oq3/uDr3/kt6TXcb6Sa + CFU1lyBKqIHtJbysip+uKUL41iGAyHLcEZCoAtfQYGs9DPvWGGcOkrIqlBQEZrbOm+PJZj2AiHVV + tYrkcSRR1YtTjLHMeXc3lcoYIs2jBhkKCrSwIDBEIRVEIAYpIgABV1WqWwxtEaZgIqkl10yiBCQd + X30RgpIoDQBw2RW4GtGB1RC9PjQUaAKaCqVUJBTuu6A1PffZZz55/IETP/09v0DKTaCWQlVl3We9 + 8eUXq13hZTVu2ceb3dZp7YAUqsvbLREBDBDEPlod5r1hdVwHnzl9iJ8u3N6tX/gvfnlHQptizvn8 + 2flrXvPqD3zgN9/znveeOXM+D0PbxH4opRRmFpGu6+zB9dt155xzzjnnnHPOOeecc84555xzzjms + itkA1Fo3Nzex6rC80/dbFmzXddbS0fpIjt2rnXPu6Nipia2IjG1wVdW6mR9im1rLtAYQQpjP52Nz + 3sPan+tNVpWlfd+3bQsghGARzpZFPXb5H79zr5g5hGA3uL7vRWT8XdfONjUMQyklpURETdNY4+OD + EkJIKdmSIis1987XzjnnnHPOOeecc84555w7RDZVYaUFNkUiIqv2HwigqgzUZXMkYACeAz784IOv + e8fbZi+c3YwTqCiTEg6+Q8e1qCVEqiJMAcSYbuShnDmx8aEvPPgMoIisqKjAMqZ6p81YXwgiyjlj + daJ8fmffbCbXOj6tn9K9sq4dzGy9Aa2sxYpeDnaHnbsVKIqgKiYBqCBCaCaLfrB+gLVCwYoAYtQF + nnz4oU9+7NVv+9lnpU4mAYIhI+DSIT6oACLER7B5oDIxowwlxiilhsgxgnLeSPLFP/0TPPZ51PME + KQpVvGimtQ1WVqgAwAoebtix3EpUNedsNRjMbIUTY1HixsYGAPsry7repaQkxmh3EBEZ2zdZHrZV + fdhrZIVDt2ezL4+1ds4555xzzjnnnHPOOeecc84555xz7sCMxUzL1EaLtd7/5i60clvlPi43Xmu9 + BQqegoThuf7U9JTWRRkrBL+dAAAgAElEQVQGaKwlMja00kZzxz3HXjEc2966++xb3/j2RVg88OW/ + +OTn/+Nj5x46X87U8zg5m53Z3qZW2gSRPJ4p1ovylWn1P3Q1XxUtMfVNGtpZuPtdP/iTb3rV903K + JvXNpN9EjSIQAQmRIlJAIGqkSGFoQNAKaw8kqocSqUmKEEhVRaCAaKawTKpeSCUCQmVCIEQlEq1A + jViGIAssXZ2IWFlViVRVC6AFYDAvv8d+0UXZ64S6yhEnBSmTMisHIAbVWhUUgohgvjUgYBIbKTqj + TZrT3emlP/TGH37Ld/4XJ+Tu/jlsxpYkKnXKAKsGIhABJCCwZbh6g8MrGoNUBcvo2vVBYx+IqJSy + ilg+mJ282RERVEkUgcdY6wPbumUPi1i3tUu3TAK1Ec2jTG9OGtq4mWSjO3+unWyAGi01sYbAxYqh + AZASVVBR1qrgiKyoBarKrCEgMpMyqpAyIEr2dXnXC3t5vysgUNKSNIWqYFZNlfIQNRzv7vvyx195 + 6lVvfuUPEo63OqvVBvgDG31VxXrqAQIGCfaxxowQVO3QOYQAsUJwvXDnV+CyTwXXFRFh7aMadu59 + ub+Nm3G0P9jtu8MVQiilpNQAoJDe8IY3vO997/ulX/qlnOswFKw+/B/2bjrnnHPOOeecc84555xz + zjnnnHPuNrJerJJztrRXZr6ato9YVbZ4prVz7gjaqfrXhrgYoz0WkclkcohtalW11mr7Y014AYjI + rTq02jlXVcuZtsMfC+dSSnaLsWfGP+51+/YqjxELB5VpDaBtW7tXjr+u7/vd2yXvyXp7a7smDzCT + 2znnnHPOOeecc84555xzbn9KKbXWMQbSYp7lQoMSWf0HBQrQAfedPfPD57c3Oc6YyzA0TaN1b/M+ + 15vUmpq2H4ooOLZQOTeJ9z32+Fd6lACqzFCBYNWDa5fZIGsiZCdnGIYQgk3/uX2IMQ7DQEQppRBC + Sqlt277v97GpEELOOcbYdZ0945nWzl0REVJAKWWamAERDP3AIUGriJQioAAwap0mLLR76GO/+4a3 + vH0ynS5kIwuYAYQqFavmhIyxxxQr8VFra6cKZTBzKQBpm0iGodVF0209/JF/A+4jRAFRKJRDkh3u + X1aWYCUQwzCMz9zYo7l1MHPTNCJiNRIWRz324LI2iRZ33XUdM+/Uo6lpGns57IHdkWutFnHdtu2q + Jdpt/Xr5xxTnnHPOOeecc84555xzzjnnnHPOOecOxuURg6rK621olDHGLe4STbpLqTIBECItZSAC + 8zXF1h46Um5kIw2cucYYehFKMaVm6MsgQ+4FTBM+Ube4xYm/cfcP/7V3vOVzT/zH3/vjf5VlWAzn + j6WGBxGCFDCBBaSRFUSiJMIAwLqXpExtqG6im7zijtf+tz/+i6f03o16B3ehxVS2MxFRqJGVgmU/ + q1BVAVWNnCBUaw0hEEhkwGEUpLGCMkViKAuJotasFVDCZBpFighEUQTFQqgZIlAGBywr6ARVtGqN + BOtJ2AAciIhKqbkiJMDKQ1fJ1paoOuaqjmWjpAAJoWhgKU0pCCFMG4b0eZ5PxjvKc/SmV731R77/ + J75l41W0RVVwbDrTXkWLcBWGBFr9LrIMVywjtAUKUsahhIcfJUoixEpAAESXyeIEAKogIt1tNLnS + BnX8cQVQSjnoXb65rVaSLNfOXJ8udXwV60882frmE2vMz+id5d5OjjUSRGspAyknYogqSUE/hEVO + fZkOJQ0VgiG0ITFDKItUCESFSQisZGu4LroSdO16XN74LhsAaP07GSpQFGEGCTEIEUSZe7RnPvbA + b3/rt959b/hrZREanrKy6oFF6h7Ue0dVbXXC0WzRqKpWBH9QrFOwbXn5+Kb+FHgbsevzsouBLjxT + RYhoPu8nk0m3mE+nGz/wAz/wq7/6q//4H/9PRGia5VI6ZhYVMPl9wDnnnHPOOeecc84555xzzjnn + nHM3QCklxhhCsMbTu2dzEtFYLSMiKaVbOHvVOXdLIiIb64yNYIcYa01EMUarRbRxdRiGW7uduohY + HbUFNtvBEtFkMrGG8jHGUsrYaHgfGy+lrHe3P9jXdywctSb4KSVVPaiCfGu7bBenZX57prVzzjnn + nHPOOeecc8455w6XqsYYbX5nGIZxiiSXXJUDNABVxHqdCECgbehTwIc+97k3/cDbzj39zLEmah1I + j1YLKeaIWomqEoHCs4v+9D2nfvsPPtwDSEntkAggVNmtE0tKKedcSrFpPpvcOcT5x5udqlqsKQBL + Gy2lWEHLnrbDzDln+wpgsViklJjZS1ycuwKBAClQCEFKDZFQWBQQYWYVIVIBQTFkQch4+iuP3f/H + 9/7n754vIkKbWiTw0C0nzVcdA5eNBIUutDE8IlRVMqXACnCKpMjb5+6alq/++UfxzYfQb03asOgr + AA5Jdh18LNPa7pIWluyD/75ZFaKN0tbnE2sNuOxuW2u128EuNwUb9q3K0fKwsRaPbd/j9wKPtXbO + Oeecc84555xzzjnnnHPOOeecc+7AjJVJ9oBAAFghgNIYibpMBd7BpUGVZhk3uypLG6ujbvJAQ56k + Sa25ClEMUpUoDFn7XJoUYowktV9kUZ60m9MY+jr9G6/4W6d+/O7f/N3/a3py+uTiUY2FCRTAAsDO + 6lgTJgCgyzTxqxFqmtbNY+29P//jvzjLJ+Ow0WBDe4KGJgWgKouwiIqoVuFVez4OIYoWEooxiggp + k0JI9pCofTBYlSooEhEFIqWgUUmZuq1CASEhMIGhuiznTIAqpKBaFjWBGRRQMhKBiEqR2isRJik0 + k7TIBQBDxtrQtd994bGSKAEkVQERRVWOIpCMps42JN0lL337D73rjd/6/ZNyZzo/iYia+wFDpKhM + wloJEAKIK0jAbHWoFuYKAoIKwKQ4YgsTbhCli0aJEAIpqYq9sutjxb6NrdmudUO3KKsVPtht2sle + j7VWVd5zOrk7ilJN/9Xrf+g1L38tJ2jQvgyRQMq1lNLnUvqz2y88e/6pp+ZPPHX+qae3vnl2+9wk + TEqtBQuEylERRAilIrAAjNX9jlTCajRWwtXfdwIRB62iNp4LMSsrSDRLkGf6b/zJAx971/e8LOmG + bmukpqC/ZOS5Fsw8Di+ynxGLiUhEiaCqkRj2oeig9m/vVJWw7BU4hk/vde3TLsZGmQe1QXek2BKU + 6bQJIaSktWbm+Pa3v/2Xf/mX3/e+X+37PqVUShERCrz7shbnnHPOOeecc84555xzzjnnnHPOuQNh + 8Z8WLIq1jpA7VbAws1XLWBh2ztkKHtYr4pxz7ijYaRyzsGSrELZBbxz6Dss4CKeUDnE3bgy779gy + GUueVlXrPrxYLABsbm7O53Osegrv1fi6W6b1+Cof1P53XWf97sdncs4HWPZpmdx2ThaLxXQ6xdrd + 2TnnnHPOOeecc84555xz7sazmTVmHme1AOSSAV61UJNVtzUooKQLAYBPPfnNR8/OXz+ZhrCQxUDc + HLEGUiwlNy2ratct0j13f+SLX/wqUAGqpFAwgQmiCkSg4sqdgWzmK4QQQrBTZJHM3jlkf0QkhMDM + FkRq07v76Ouiqm3bnj17FkDO2ebdDryLlHO3AAIiA4CUOp/P23ZSKwEEtXR5EoGIIAgAUaAW8Pwv + PvrBn/rP3rHZHpsraoESWFGxbC9FCgaEtNLOPTgPT4o0DIVW1W4551nEpG498LHfQXkeWhZ9JYB5 + t+xkAFZwAsAqH+xeeSMO4BZVa7UTaDeCWqtFhhMRM9vdFsB0OrXykp3YT5VSSiljHrY9b1u21mFW + 8Xjb3qy91tM555xzzjnnnHPOOeecc84555xzzrkDMNYkjc8QEV0W1GiBx1hF8+6PQobcixRVPYD0 + 2sOjJL32EnWARAqcpk3azD3P2mlE7hfnGfXYdEYhbnX90FVMdINPfcfLZn//x37+X/y73zh1911P + bz+FDYSIuqzvFgACVlrl/pJlMF8VAqY5vuutf/vedHJSjyWd0CBBwnTaDGVbqM/ai4iCmRoOkZW1 + iqqSKioFYlgBXLAo8ysnlF8/QkJNFNUqRURIQQSGkmCzbQRaRGpRAREpBQQGsgRwJAITIBUqoqII + kWuFioYUQ0OqmosO/YCxOJIuqqfnZfAuj3tixabg2A0lpTybxv78sDinrzj1hu955Vve+rq3naJ7 + NuqJ2lGtGhJjspnrAClCIrYZDSQalAkgRSUoQQkCMElQYPVWur0JIDFGqqRyAJW6RDSulTjAQNZb + xphWa6Woqpaqe5DbHxvPWTguEV1p8Ypd+V6sfNOIpa3P4NuaVwdFqVIwxBQEWrj8/+zdW5Ak6XUf + 9v8535eZVX2Z2ZkdLIDFHbxfBEq86hKSSVFW0JRtPjhCoQjZJMOhsPygYDgU1oMtv8sRevEDn/Tg + J5uOgGEFJVGkCJEMigRB4kJclgtgAeJGLIBdAHud6e6qzPy+8/fDycquntmdne7puZ9fdPTWVFdn + Z2VnfV/15vnOv2SklPSKmlSyjOxX/dG14ZVPfuHjX3/l2a+/8KVX18/bci1tKWkcqhkAGgRiCmoi + ADP1FVyvmWytALyQ3zZvGYSWQANKQpXNPRxyRco6FpOd5iOf+8h3v+0v/8TbHpPVvtQGaTyvSc0r + wr1Y3F8+2yPPraOJZACYC/c9W/pcdvL2mdmdG0Uf2cL3h9WwXi8Wi1V/RJPlcjeldPVgtVqtPvCB + D5Bo23YcR29GWc9lsg8hhBBCCCGEEEIIIYQQQgghhBBCeCOqambeVbnW2rat3/96nXxrrV3XefNH + ANuhmyGE8EAYhiHnPAxD27YpJb9xD/fHB+FxHL20eL1eLxaLe7g/d8dcR71YLDzlOufsLYkPDg6w + aU/vjYZPu/FSSkrJzPxI+j/Pa88Xi8UwDCkl/03NNefntX1/vn5MLl26tFqt1ut1zLMhhBBCCCGE + EEIIIYQQQriHPNA6pdR13Xq9btuWpGgGBALQBPBmIkJQAAUFfcWrwAc/8en3/PWfHPuDRgw04Nyu + 2tw2tUJRgY0g1tq8kPBvPv2pIwBAGscCoQIQNIqhCl63XYqImJmZDcOwWCxKKV5ZcdeeyUPGr8R1 + XbdcLj3H1CtbTrud7SB2v1zoLaTO8dJhCA8NH+JEFamp3idKFCnBUOso3mOxDtrkigQK6iG++pkv + /dnH3v5TbxsMfWGRoiLA3BHLAFJAwATpPuuhkwQKwgiRQrTA/qL5/Ef+GF95CuXlbtH16zFj6nOZ + m66M/Wtux1tOpZRU1YtPvJXc3XwuD5OmaUjWWueqnlqrH8856BqbsoqbEJFSStM0ZiYi4zgOw+C/ + mrnYw8y8cGUu13nURKx1CCGEEEIIIYQQQgghhBBCCCGEEEII52zOIlXROXZaqKACUJjXIs+R1EIo + plxJAIAKPZLZQJ3imQnfGKVUDkNdmxmqQR/oclgbYbnNUnIZCRGrXB0d7e3sjmVYtktFHYahcsg5 + pZQHq+UoSW1/+B0/+iPv/bFPf+tDy508aOkHpAQBqpp4uLXAoB5o7SmeJ4IuCaWaADB6nCcAalOa + d+2/5699119P62W9am2TFZrafHR0VTsxIVRVRSTRxCpobJpchpEkBapTlVvTNsVMeRwg6k/2Th9N + CqwWClSQknTIMI4oZigYCJhkzVm8jp/FxtpII0arZlIpMIEqRIWkgRAYKqhmBkVC3u6stP3s/N7j + ylGBAamqDovLeXfs1+uX12+59Pa/+jf/5o+9+2++pX1ne3W5ly7LCqi1WywGK0dHfbdsjT2FgIAK + UqkikiD+mpmWKPgNQOTRTXYUKsWESIBQVZUqZhBSJQlYcJaDY4Kp9tUoQqJCphFGaXUTosxHtT6W + UIGZGGAgQQp9BY3A09zPg2qjmIZ7MYqoECbTYEWBUE2mlOLwoBDqnu6r6XgwUMecGhSpLMKmSVmq + ksJSk+qFlBW2blbv+tH3Du3qxfXzn3/26U/8+Z98+VvPjHKt29EqY1Wf3YpSTUw5vaXwQdgHUeW0 + ussE/vYD0ylq/q7DJyUKTCFIIpBSBbBi3U5+9ejVRdr746d+97se/94Ly7eUg62ibbFpg9OYcJMz + XxUm9B+tmx1QEUWqSFVEhDfmcN8Kg8j0VD3jWeas92mvKPPbLb0bUzD9NeqHVQ1WUUmDmHLzHm8K + Fz/1zvghUiSKmRghChURNYOIcnr/YxAlIKa8G085nKNuuajGnHNOba11GMo4jr/4i7/87LPPAhiG + YV5KYVYhgvPrdBlCCCGEEEIIIYQQQgghhBBCCCGEcHOqqqpzSOfrJXSKSN/33pTZPy+Xy+jIGUJ4 + sJiZd79NKbVte2971Pr46c3T+773JOZzjEm+32y3j/fnvt132HOsva2wNxo+7fb90Pnn1WoF4Nwz + A7wVtbc5LqUsFotxHM/3R/gT9wl3uVz6uXq+PyKEEEIIIYQQQgghhBBCCOEWmZlfcDEzv5gFAPQu + aSeuahGbgGtCcj4s5Xde+PLfs79ywfQx5KlVxo3XwaaGVse3qfCWO6dsVKIAvFMTCIAQ8UZbAJL5 + nZs2HWLaJFCxLnWxKI9d/N3PPfMs8Kp31AIFJA0KIIFgEtbX3hu/MqWqfv0o55xzNjNVPdXeB1dr + XSwWquq1KCTPdvG01krS87D9d+G/nXPe3RAeDpvGgixmxqZblGIgVNQqGoUqhoI6FkiGiFihrD79 + e//2HT/wE+3Ou6xpWdX0xJhtEB9DcZ+VPwhRhpJSUhUIrKIFm/HlT/7G/wM7aKX06zHlVm2sRgDl + pvUAOeejoyOSbdtiUwURzsard1S1lOLHc3sy9YjrnLNXaMwp1zci2XVd3/fzP/2zZ2b7O5mU0jAM + TdM8mpnWiFjrEEIIIYQQQgghhBBCCCGEEEIIIYRwSidC8h7itjin5S3Y5tteqLSztydUGqAg2Woz + DkNqUcxMASBZFpqKbR9WIZKppx5SSxUkQpGk1lHABOv6Fw9eaJpOVpn3W2neKanCxqKiHkxppd9Z + KriSxNFGABAVUY/5Tip77e7BYd2xiz//E7/wmV//GEtTRUXLJggcgE3F4qqAevJrVXCTIulfVSSa + WUIlUoYKtOQ0Ln7yfX87vfJYmxYFFNGqNtqKDSGkiLIxm5IrEwiVWkdJKCxQrajVqjZabCo3VB4X + kU87cJwGev6EaJo0jhUKDmxtYQbu9AccckIBqhSQapKRsqnAjKMooJjL3UmwUjNEYUQlgQqBCAiD + UYwFVIUkiKASZnNkuKn4EweJtnQ7h5e7cvHtb37Xj/7Ej3/fu354P19q+q49ahNSHUdRgWKwtYl2 + TbJalWowmQ6RiNDAKZgcUAJ2XJj/YJ/6Z2JigKbp+JhShcimi8VeXYFqTW64Qu4y03qsTHKKZRgU + kKjGRtVMxtITGAp3tFUOBggUTJ53ngg86KPPqWmliGpiya2Q1duiKdUgICBGAT0z2MebU9YSl4qU + 0jLtZHa0lJGEEIrQIKhaKSKWQSXMAEZs7YODYgUFBDLU0+LNFFkBVEzniiYALLUCjbTLVS7rxY7u + v/Vd7/kb3/13nzt49mPPfPiTX/ijq/xOyUdDWg0CgyGjQsaRiVi2uRRYKU0LCsYKSSAzkAAKC6RM + wys9Ox1GZc2Y1mAlwihSYNJhWB1889Uvf+4bn7rw5Dv3F29O05RQof42Jyn9FgAzgb9FVEAIyrRJ + oSphYp5sLVQxIanLVLWyUAGmORP6FESNgEo2Y7VRhKCqZAJCg46A2fQss0H1Tr9eVFSVhUrR1PZ1 + nZcyDIdCCKfVFdOaCinA6eewgt3F0qxQKJIrkxLiC/f8HaMomQ0QGFCIWMZ2b11/vk0rJTfLDknW + UlU1pURBKVVSKgZJUomhlH/yT37ls599Zgo0h8dYs9YKwS1mWjdNU2v1ZRhmMV+Eu8cniZv0MQ8h + 3HM+NeScfX2dr4F8QF+z8zSXUprXkM9LDdu2HYbhHu9iCCHcSfNANw99KgrG+/8QQgghhBBCCCGE + EMK52e6k/IZxqvOX/Lv8Ck505AwhPFjm687+z3vbu9yHUB9U5wDmh3tc9abA3mh4Lm/wIGf/fPvl + Ddvd7c99nhqGwRsoA/AE7nPcOICmabxZsxexn7lTfwghhBBCCCGEEEIIIYQQwrmYiwq2qwvIKtJs + 7pdihABtQiGqZgiAFfAs8OvPfOK9P/Dj9ShDequlSRkVIKsx7e2OV6812gDeLAwwQlAVVZBN0iku + kiioFFRBgokRNCStiiIiQDLdxFo7MxmtltzuFzTPafvrn/2zF4C1tEpTGGHVAAFqheRSAVjO6tez + /CLXjZdyXvNYhddTa50v2s7/9ENH0g/yTYJL3bz0eH5kSsnMtgOtXWRah/C6BOM4Zk2SM8Ey9poa + q+O0ilV1KAaBQAhvRJiAgs9+aPiLjzXfc3Gdnig5+ZpX9f6BQNGW3mbzzu/+9lwx90gEXq8pnybN + QzXJEEGq0PXLzz/z+3j2KdS1oUJQ61BPTBnTAHLjFGBmXgLhI9h2OUE4rTlheh6u27bd7qdEcq7Q + 8AF/rgYhOVeeAPBF2dvf64/cngge8d9UzIghhBBCCCGEEEIIIYQQQgghhBBCCOFMJNIRbolKFlEI + zQgTJZQQ8cRHmIdYbqLvtmIgTaEmRoHnpSqVIGBUALauK0M1CigPwe9iK/7Zjo/G5ovzw4TK0RrL + O9ZebB9/25W3f/HoACw0gfQyHUDMmZ2EylaMBzcRvwKQIkhQM/Pga3C0zMXbLr1niUs2mlq1isoC + gSYl6XHUeqIecWtX74/fQr+uIlikvLO4cHH1lmsHh6hHF7rB+sGURVVSVmnUKHXFWtGlOu05AYhA + VQDUSgiSACpCMRAmxpqSSkIizFA9dpxQkWSapVVLHIkRTWp3l3sXu8d//Pv/xve+9S89eeVd2ZZY + NZ3uNJatrykraFSaGDitFPBjqydjv6+r/9RHuPOSneyaJVSIJdNk6HKLTdKsp8ZCQT19bKqC2ASJ + K8bSa05cEzAFSDV/HW1ep/IohYubQCAAbXr60+oRM4M0EDt5KHy4MLv1XmcCVcCky52aKBXQBNnU + QFeKmfiUIYDy/hhzwq27/lfGm600EiqrtKnNxvU4Jt15R7fzxPve+rfe9zMf/8IffvKLf/KNV77a + 7dmg/UE/aCOLRUoi64MRhrZtxEYTpAYUsBigfiYqb0hbp6at+dGgoA2lNh0EODx8+WOf+fBfec/f + 6fvDJVsVUVWq0Ka+flvt/GzeCHwKVggxPVqOM36FoImqGgmqUuwsodbeTHB6CmSFtxeE+HIywExL + skwkUDdD1R171YiBUzg3oSSNJOpYB/hbOJpBKXo8lp9uANUkOefWRwSD+nCkhMCqANPGfOQpJnyk + xucHSEppHEeSTdM0TRrHcRxHCkREoDmncaiA/NN/+j9/9CMfB4BTxpP7OgoRadu273sAIhKZ1uEu + I+nxiiIiIk3T9H3/hotCQwh309z0WUT85bm9Eu/+5/usqjs7OwcHB/M9TdNs97POOUemdQjhoTcM + g49782CeUqol/gQIIYQQQgghhBBCCCGEEEIIDx5v4lxKaZrGG8pj0/r5QaGq3pDaCxgWi8V6vT7H + jY/j6FWIfo9fJTyv7YcQQgghhBBCCCGEEEIIIZyjts11KNWYsxYhDIBmaAIGKynjoOD3v/iVf/j9 + P9lavpAq6lBtTFR0LcbCYWi6FuNW2wwKhNy0FzsDCgxIx+1H1ASJAAHqcWsHpSglJfS1v3DxD778 + pS8CR4CRCjnuuGSbjQKaUimjZ2SamarGEtfbMV9x6/t+uVzOEdcislgs/MattC/wB8yxsjs7O0dH + R9H0IITTSimJql/Q92ZTXdfVWmvl6KtZibZtNstdDTZCrv7hv/u1X/hf/3bP8ZVemy6pYeq4CdCH + YAA39MG6HyTVoaCs+0udvGVpv/Zvfg04BEYAONG5SwGbF/aqqkdZ+3hVay2l9H2fc04prdfrxWLh + E8S9el4Pur7vu64jOQzDpUuX4H3/Xof/Xrz1DYC5sUYpxVOuvXOazy/zLBNclKGEEEIIIYQQQggh + hBBCCCGEEEIIIYRwB+WchUo1GisLVajHuYqU60MHj8MnT4YvmiisABBCiHW/Hm2k8jhD8tFgFSk1 + ImmnXbz77e/90ue/IJ4M+jr1iScq0bcr1UmICJEVIISwiuVi98qVKzIKSa//8xueFnwHn9W50UW3 + PDrsk+7/+A/+zM//0H9j19KXn//zb7347Le+9c2r65e/c/jiteFqwVpzaROZ82pUqpAkTTxxXSki + 6iV7IrRNMaURKuvRckYSqEKLJMsZXYtFHhod2sYWl5ZX3vHkO77r7d/99iff9fj+43XE7mK/xU4p + mmTRSqeSiw5+el9XFWjySKdWn5UJsLPYVegmX5ZmRlIVOGUhvQhY/YaIcDWskaSiJmArWfNRj8aZ + RgNlrYVkpVDPvuhlmwiE2NnZwfQrECMEnvQuPtYJoJwGvHP5oeH+VAW9Fk1MoslSQmpLu5t2L6Qn + rvzQO3/qe3/uU1/56B9/7g+fO/gqu4Pejvp+qAWLBRbamqEMQoEJzaBaBEWpQgBKWFXIvERqCts2 + T0mGt70rqBWJqFK+9vxXv/LcF77/8f0OqkiAKIU3vn2Bvx+ZAqSJ1357IoBPqU1uWSEqkNvKXyYJ + sdeeo3m36/jJafHBtFeCoZ5jO0VZtkuRJCJQggRggoTN28qIur+/+TlazVLOIGut9Ch0kdxkqyBZ + q6WU//k//98++MHfASCv+TK66cvFV9CR7PveF1fMy13O/ymF8DpUdY5XFJG+78+3PWsI4faJSCll + jkHtum6eOO71rt2SeX3gwcGB3/ApdRzHpmnGcVRVb+Ls/7zX+xtCCHeQD3RN0/gS92kYvNd7FUII + IYQQQgghhBBCCCGEEMIZtG3rJQFeEu8lAd79/17v2i3xK3fzDTN76aWX9vf3z6t+z8zm6g6v+pib + LJ/L9kMIIYQQQh4yV48AACAASURBVAghhBBCCCGEEM5LynkYx6kpTrGpV45Jk5ta+pxy5TAA3wZ+ + 95mn/sEPvm/3aOi0QdJaS1JBwjCuu7bb9DpScApEVQJ2e/2pBN5DSYjGN0VADIKq5j9CjAl5rfqS + 8Dc//aevAAQUSGAFmAEgFygwgBCxWlNKTdOs12u/vDUMwwPSrOx+5Ne/VHW5XPoNMxORnLNfOvQL + cN6+5ibXE321HclSCoCjoyPf2l17IiE8BFar1fxK9Huapun7fn7A3t7e4eHhMAzH6/o1w8rqmT97 + 7gufyu/8sf2dNx2OaASJBdAqCkDvbqD1yZ+leJ2+bULUaqnVsWLZpn1c/fzHfw9f+3NYgaD6oD51 + fjP/l2da+6DkN7z1HwDP/wZQa10sFrXWTXvAcGrDMPjBFJHFYgHg5s0xfMH1MAwisru7e3Bw4HPB + vBy7lDJnkMe8cJ2ItQ4hhBBCCCGEEEIIIYQQQgghhBBCCOFOEaLLS1IAUGAwTZhDUV/DFMFogNlc + geYJkfTo1ApAIH3fr4fVxVTvfmrjPaQERAC1sUjWt775bfY0RanNiYNwXGgs9rrhf1TxqFhABUpR + aR9/7ErTdHVdSaaU5nDrB6gicCh9EqmD6KrZGy7t6OUnnnxbehdExoHrq3bt1eHlFw6//cLLX3/x + pa9ePXrlGy++OlBqraMV1rGwACBr22UjzWyKt06aRKGoMnKsYokVDZu97uKFnUsX24vvfut3PXHh + rU9eftflxeMtF8lSi2XDVJp+fTgMo7XaCbVfjY1yZ7ns+5WJwc9uIeDl4Y/QyXwGStjWaUjZ/Iu6 + 0+2LJYEiwc/VMyxtEAIEPc1dVET6fgUpkWPtlGabU9QEmlBsxE1HBjvVsEGIANX29vZIztXJoK9t + 2fotCEGLCNuHXurasZZaxgxtJMsotk5gXnS7+7b8z37gyR/+np/6/U/91ie/9KFr4wt9PuCyjBwO + DoekaBfLvu/Hgbu7TRlHBWQKrs4moBgBnSJzDfNgIgBQreYMAJXQLqHWP/rE73/3f/H9peZUO1b1 + McY/+zuW6/b8NYcfnevxAQCLdokqUPENvWYG9huaX3+1VoDkiSUD/nIV3kZo9imRFHhgeBIRAr60 + 6fpXq4dfn2a3lFDmnW7HBweZkrM3vzfqDQPC2Y5ouOPMrGkamo3jqAp/t2kVSNqvh53l3r/4F//7 + +9//r1MSlTydP5tvvZXte6inr74bhmF3d/fw8PAOPZcQXo8vCvUT2MxUNTKtQ7jfXPf/pPq+H8cx + 5wdmfZn/H5uu67w78/aC83mdJwBf/vqg/M+cEEI4G5LjOLZt6/98gAbzEEIIIYQQQgghhBBCCCGE + EK7jNQBeAuf9hY/bXj8IPNO61uo3vJny3MD6XHhTZq/OUtW4PhhCCCGEEEIIIYQQQgghhPsQgcP1 + atEkUaXZotH1aDTruoWtiwEpp35AFQzEv//i5376h35wz9BJRptsXTgOfs2oWvWoSaH3ZDNQkuH0 + odYGQAChEZt2H+J9PKZuSxCrChMIYYJUtAfHS4996It//gywFhiRBaARm4DtqauJAaop1TrOWcsP + 1kWu+5C3y2jbVkT8GqKfEt4Izg+vX4a7eaypr7wbhsFXIqeUtrN4Qwi3Yrlcrtfrruv88vQ4jh4V + 7BfE1+v1wcFBzrmUMi/qzyqlGLT88W//65//Rz/4Yi1JcmIRWhWd2i4BQgNAuY9aDlIAVDNtFK3V + xfCdP/13/xdkRK0QEFOmte9xheFkuLLPAqpaayXprVd87PK4a68lCGfgy6j9kPo9wzD4ifd63+KP + TCkdHBzMp+t27rjXXfR974HZYRaVKCGEEEIIIYQQQgghhBBCCCGEEEIIIdw5uuyWshYjAVCNEDsR + IWTmVXabYjucTEKVKftQCVCghAEJYmavHr36xB5ZTB+1ZGtotcKiT1x6s1ojNJVCA+S6FEfzCkAT + I9ROZiaLeFwsISChSEnaK5efYKm1Vq+h9HJkANtFk/c3g1jTpmZkQ9lFtzsuhyHBrJGdpV5cNE9c + auqTl8d6Za26BoqgG6v1fX+wOlgfHhz1R/2wKmUcxnU1qzZO6VCqTUoqWbnYXey75WKnaxZJckJK + lmBJqzZD20grJnW01bW+XXS7qdOmA3MdqSAMfT9cF8AZ8bynZFs3VCn7i32MwpYkJClZyTOmHs8n + ugmGsR9Kv5xKgP3u+TX2CA07N/D1JRzrWkQkTetdtmJq1cROvQoGEAPNLuzvgzSBCJRzoLUCVeYl + MZFp/QjggCQ5CZOQRhBZu1aXR/1wefdth4fXLoC/8JN//6d+4Mc+/Ke/++HP/qd+ecimNk2RRoba + I2uHZuyHJBBMJ2gVcJOSfmJaFAihNBMthd1SqUai1lJy/8w3Pv3i+rndZo9FYCQliYoSoNEgNp+O + m9PeNzzdzevnTwOw2+7B0jy3yiljngF4rLO/ozKrEIMYj7ej1w2Vp9v6mXjgtD8pVaVKKSOFBnia + ODZv2Pzt3GkoKxbtLiuuS++en+kUUg6YaMyr997rjNKa4G+uJCGlVkTKONY6wvLe7oVf/dVf/Vf/ + 6v/MWWs1qtnWnHzrP5nknO7pmdY3X4YRwrnzuFlu+Nm4HTobQrgfqKr/jw5/N6WqJB+Q/+8B3+1S + ytz32Zegz08HAMm2bbeXJoYQwkPJh7t5DCcpOP0f2CGEEEIIIYQQQgghhBBCCCHcB7wZvXcQ9r7P + D1a7/1JKztmb6TsRuUkb/TOYqwG9HMvzrX3BSwghhBBCCCGEEEIIIYQQwv0jJwBIKRWzcbQENF27 + Xh8l5KS573s0QuOh4avE733x8+98x3uwXttQCNRau6RtSoVGhQHZdAqSFgOR7AzrRrfWufuyU27a + lHmnDgEBEyqQDDCMTftslvd/5tNXgQMgKaqN4hsiQPgC1wSrhFUD0HXdOI4k/bOZbV85CreOpIeM + 1lrna2F+JRHAOI5d1/V9r6pzZPVrbqdpGr9aNyeYYnNR7+48kRAeAuv1erFYAPCweX9J+pXr1Wrl + a/z9xTUMQ9M0YB3HHpIxHg2f/lB54Ut2aafbvyKlehMdynEfKIUZ72yy9Y0Txk3W3wqgOddqOWse + Xn3+mQ/j60+hvwoWKCCKCoXJpl0oN8/ac6x9qe92uwOfBUQkpZRS8mN4Z57oQ26ux5j7emEzqr+m + efz3x+ScV6sVTs4vPhdEpvWNYo4MIYQQQgghhBBCCCGEEEIIIYQQQgjhTlHqY7uX9ChVK1R65qAZ + T6TqiM2VbgJ49MTmH5jTCkmqCAESEBXld1759nddrFLToxRUoYAKTSEJemn38U4WPftxKydceZyg + KYS9ZqzglCuppIAUAlW16qW9xwSqqimlcRy98myuDnwACGpBm0QhSlhfOdSlLrImKQJLqaA3oh8r + StJBk4nlHREATywNSwMIMUMVIQCycorXFREom052WZJZJUmDDAJAROpYc26b1NZaa280a/Jid29v + 3V+z0aqZas2p7bo0Wh3LOmVwE0Jux6d6eGMKm0aJraHj4s5jTW0HE2oRya9VT3urNuGyZkBhOVxd + e0yvwBSQG6NhH6Gxx23GEwMr6npcm1BEwOl+uY0jooSvcXnswmOgblUqK4TK7VQi28q6Dg8npcKg + iqQiQhNUslhBXYnkg6sHqUu77X65iu/Z/6En/9qb/9J7fuQ3Pv4bX736hZKPRO3l9bptuOgW117t + 2w4ATFAVhE0p7ASgU9aybE5dAWBNo7VaKcgKqq3sYH9n91Nf+ujbf/g9koQQraKAQioqUXn9eaie + 7A5gM2go4PX46nO0APuL/VSTSYIHVJ/2ABEERGAkwJEjgUpm8Hg5GXWOkd4eMO8IqohtgsSk0iDi + i82mnw7c1guWIpaW3a5SBUiQygpkEXn0BuIHW0qp7/ucc9M041BrrU3TLJe766G8//3/77/8l/+H + CEoxAFZPLFa5RU3TABiGwZeyPEABpeFh4qefiPjaqlprZFqHcL/xlaK+TNRvq6q/Wu/1rt0Sn91y + zh5rPS8+96xubJap++rEaN8cQniI+f+79uHOhz4za1K2+rorsUMIIYQQQgghhBBCCCGEEEK4b4nI + wcHB/v5+27bDMOScc87r9fpe79et8pbHcx98kvMVvXPZvodYzwUSIuLLXs5l4yGEEEIIIYQQQggh + hBBCCOdrNQzLNguQE0rFsB6Wy65fjYUF8H4g9ZBIwG9/5ulfePd7LjZNHVZtbpCMpABKjHOzhOmG + zinUZ+DftOntpoBtpV0TAiWUBih0gYuP/fYXP/s0cARAIaLVpkhrGEBUgREJSDADusXCL2z5RRxs + QjfDGXh3Ao+v9nvmHFPvYND3/XznTfgy5LZtp94vADYX9UIIt8gzrQF4HrOqkjw6OtrZ2fFoeW8q + 4utbyzg26k3SBGpYv/Lh3/rAT/+j971URyMM4o2gNpnW9x0CFGmzYFjvy+o3/8MHUF4Fe9k07Jof + hk1jUFE1MzPz48ANbEUv+7BTa41M6zPzYygii8XCW4Pe/PHjOPp07CfnOI5t25pZKWW9XpuZ93Ty + X9yc3R5cTJMhhBBCCCGEEEIIIYQQQgghhBBCCCHcMZTLe1e6FxYD1yKgUExoEN0ElG5nWm/ymI9T + mT14UmACEVNVEEJQRVS/c+35QVat7ACPUDMaT+IUSYAu8t4y716zq7ApElNtKiG3rQBYvlYFsoEi + MKMCNAhVatrfuTjXK89p1p7tdNpYwXuDaCRxzKWvYGLSqkSq49hnJlCLZDA3WHYJ2aoK13XF47hN + BQzipyeoEDQqkggaKZDKWnshREVFCAFhoBlT05Q69ONaVVPbKdJopV/3O6lTmmQFtNY6DIM00i0X + 47iyze9FOe18eENzMe58tCgm1Es7lzu0R1QjRFhx1uBAQjajUGWF8trqZdl7N29Y0MHbic5+kIlM + +b+VY19WNVn11TAyH/M5RvfUG8+SsjUXdi8ky0qQpEJEpjUxNJ8UFABhQogdp/aGh4vAdpvOyjgO + pdDQiHYZClqtHBc7DetQh3GBRl6UfTzxI49fvPx33v6bH/+3n/jaH6zqq12LSq77o72dluNAQVWY + wMSUUD9bqRRMIdCyGYqBlNJYrYzIHQqAlmzKp7740Z/5wZ/LWHa6I6aoJKuoiZDT2xc9Eb5OhZhu + IqYJ2PGPMBHZbfcym4JEwY0jzK0goJtvMzOIQQja5k3RvMm79BqZE4h9cQFRyTqU3sQoU2Q45wTx + 024cUObdZk8oSpkGHBWOsnmCXm1vm0k1RoZ7zH/RN74F9ZMkaUMTX5xjoq9cu/pHH/qTf/bP/pec + 1cx8Lcu8OuKGTd/sLdM4jqvVCkDbtr6yAoCZxYrHcDf5wk7vLesLq4ZhuNc7FUI4oZSyXC59yvA2 + x33fz0u7HxR937dtW0rp+97XeZpZ0zRzPnfO+fDwcHd3917vaQgh3CnbA53/+dA0TRnHePcfQggh + hBBCCCGEEEIIIYQQHkTjOO7t7eWcvXCu1npegdB3hzenbpqGpK9JOcdMa2yWt3h75fmeB7HkI4QQ + QgghhBBCCCGEEEIIDzcBhnVZLLI3RhBVVFPBatVrSrWaqLIWAFVwjfhz4EN/8eUr7/2eZa1qRCMo + I2rVJAAJMSDBm7UZAFBPnWytBEW9a9nU50rBTec3IcSEECAZAOlz+xdD/1ufe/oloAdEcylFZHqs + GioUCQRQLQGiyTOtm6YZx7GUUmud863DGdRau67zS2PzqmG/9ObL6DzWdHd39/Dw8PU2YmZ7e3sH + BwcASPpVyPi9hHBanv5L0qOFvZ3IfCncl/b7AwQwgwImlCzWH770xx88+nv/bfum71vrbpU8NYsi + MPWkUsqdfj3OzRVPdOvx5od6QwOfauiSdfXguc9+dPj8n6IeLrMMhZXqbUMr7bp2nz5eeceDnLP3 + V/EBJ6Xkgd8kU0pzxHU4rWEY2rZVVZ9tvc3XzbvZ+Hk7927yR5Icx7FpGn+M92WKTOvrxBwZQggh + hBBCCCGEEEIIIYQQQgghhBDCnSLA3uKxBp1ukkeNJCHHldGvnT67SUAENiU+FHgDGhpIItkrq5cH + 7Slnza99MCWIGAFYoVrTyjKJnDiMhB3XnW9q0OejxKm6kKR/l4gkqCIn5mW7K1Qz85pmrzl7gNoh + KbVJrTCrtKntoGmElWQ10RpaNqohWVIkA8Za10NmSmwSU2L2j4w2Y6FoMtvMNtUu1S7bIttCuVBp + /cOgpJgBUEgyg4jknFSVGCsLpaQswzD4qVxZIJa6BMVRf2QnMoAF1E2udpS0nYHutxdaLhKU0/lv + IpDT58SSUwinCQxAsvVwxOSZmgpAN195ZIkQVJLGYajrQpsz728sUz7dlqkZbZZm2e4lJPhwRZq8 + RjDqGaNxw4PE1v21ijFnTW0jSGYoZRjLkWAcx1eBdZckUzvu7eJyu7741vzef/Azv/h3f+y/3quX + F2W5m7ONZiwUnafFKfGYUGYi++taCOVceq+r9Zhzs1xKzrIegYbXxpe+c/CNq+Mrva0M1Qu7WQGY + L9viViq2cGuMmN/8nJiXTamd7iS2gFbMr6FTI8QEFDNUwHyfNj9m2p0zbvr0ZGvM9daEhVbqgHN5 + n0Zt0LSpUyQRmd4QkpTtYxseGLXWcRxTSnt7F9br9VOffvpXfuV/AmBmZvAlKCLyGpnWb8TM5sUS + KSV/dcWaunD3pZQ8uB3AMAzzkp4Qwv1jtVqpqi+9Jtl13dnfk9113v15sVgMw+C7PU95PsPOD4tM + 6xDCQ293d3cewFNK4zjqHV/DH0IIIYQQQgghhBBCCCGEEMId0TRNKaWUYmZt2/qFsAeo9MjLa7FZ + fiIipZRzrN/zbtdz7DcAM4tM6xBCCCGEEEIIIYQQQggh3IeWi2yVfulkGA0CyUJBtZoXDc1A1ZQA + 1IRrwG88/ZlvJbBb1L6iEEZAAFWebBpyOyunhEIVeqC1AoC3d1NCDQIFEhWmRfPVtvnoN77+hYGH + QBWwcvuHJ6hgyjedMrKtqqqvcgWgqiml6PNwOzz/1Y+qt1jxa3DzImIAInJ4eCiv32BLVedMazPz + fNn4vYRwWv7y8UvVniWMzer+nLNnWvsj/eWoAhK2XoM9cvnIb39gj0cCs3kYFQCg3IVM61MTgfWH + j6XDj/zG/w1bNQm1MIn3/fRHaN3qd0mztm0907rW2ve9D1Y+EXgfS+/nEJnWt6NtWy/JEJGcs9+4 + Sab1PDV4srUfeW8I1jSNF12YWa31DM2dHnr33csyhBBCCCGEEEIIIYQQQgghhBBCCCE8EOaKz3AT + Qn3TxTezF5iIwGAmMIGqCiEABRTjJrV0O6iUJ0NSRWSs1QxZVUQKyzdfelYWRuVsfuRD/KvxejIR + EUnJmisXrihETsYvzemc2wdQAT/mfmhUUWk5ZzOKpMTEwkv7j5GcywFJ1lqbpnmQ4p1KVYiIltEK + lLkdoUPSIaEkljRWXVc9qHog+TClXmBKKHX+kKpSNdVGS9aStWZYhmUpLdhVLEZZjOwMS8OSsiA7 + sAGTUISiBjVkWqYpijZalUXMEixZkWJSNJObunwAoHrdfwT1vqHpKIlt56Qq9crumzIXKKoKkpK0 + FJzhtFVDFkVSM9OM1bA67K9W9CciaWd85OoPs4ClmoAiR/1h4aBZDITYdGS2lq+cPmtW2fNNl97a + SksKICklVdQ6Hm9/45GOFn80UMi2ljQMMhoqoGIp19wiJastTG00DqKowt4gWDTr5YXh8Z/9gf/y + H/7s/7DXX7GrutM2lWbKkbAKFjSqWWQYAG0pwrmKmJvP1KbJtZBVysjcoAprU4am/9yXn9YdShIR + UahXzNdpglRAhao+QJ14Iidy2adlBSK77X4jC1SSzHl7SrhVqlLrtMLh4OAqWXOjRL1nMc9GoUcR + jymLCER41B+dy+tVqHXQJy4/ycGSaLVRGx2tzsulBBDYZnbQqA+/b3m3zaEWbVrJzavXDr/6la/9 + 43/8P677kUA1cLOazqwAtvkANosip3ezm7f6819k8+qL7R/3EP9FcO7mVVLYrJ7ye+Y/snyl4vzV + cHM+Os1H1c/qEMJ9RUTM7Lr/lXRvd+nW+drX9XqNzVRoZk3TeLNmX/MPINYQhhAeBfNfAcdLrBn/ + 0yiEEEIIIYQQQgghhHBu/LKvX1fCrV38FZH5eg22Aj5DCCGEW+Gt5EnOs8n2tHJeRKRpmusW3fgP + um5hzml5G/05ijvnvF2Zdpu8ECJqsUIIIYQQQgghhBBCCCGEcP9jRVIpwwhAMwowFAKAoAy9P0YK + hUrDGngK+Nh3njuApmYJySjm7cOUUIKCqpvWJeJtl065P/N/uGkNNO0EKgxdU2lSgNSiao/87b3u + /Z/8+DWgCqb2HQQMiVCg4rhFytwSwpuVYdOOIJa43o7tFFIAJOfbfmDnS3vz5/nC3/YN7+/hl/8i + zTqEM5vj5FNK13UkKKX4C3a+yK5A0yRAIAJUHL3w8p98sLz8F1JLMX8AWU0EojDiDC2nTuXGrobe + ApSEjyvDMCZFk1FLSQqa7TX84sf/I/7iKaiUAgMom+nD91syt/pJebhyrVVVveMBNmPRPPLknCPT + +lb4ID+XbYzjuH08/X6vmrh5XYd/1U9dMyulzG1F5/s9enw+vcMs5ssQQgghhBBCCCGEEEIIIYQQ + QgghhBDuGOaLO5ca67TKnPMoSNwqPKbAvGoN6vm+8+MAKCGEwiiGNCU6mxmlXhtfPahXKVU3pg0+ + Ij3gqGJZ0Sj1OPBvc/SOK9HnCMAbInjJ6umbMmVypoR848MeKAYpkAKpW8GiU2G+TdmihBilmhRq + 2ZRZzh84/pjSplUtqSVlEiZwyi4V8xzrND2GSKaJ2HwwGYSe2l6qFpNiYpRCsa3kcSinSlXPII9k + 61Px0UOoie2F9mKjjUgyVJIiU5T7qYjAzMwMKhSpYuvxWsUI+JIM2+Qr37Pc2Htrs5IhUbAeDysH + bKqHp2HkNtLZlZplcXl52YoIxRt6FlpUvj6aTFAFVVkVNoVGQ6nJNJkmTlN+FVTBmFBFOyzyUXfZ + 3vZ9+3/57//0L13Wt6exHQeMoGa0XWoUdW1S2DbtWI7fiMznrYnyeEnVFI1MwBQljV9/4Svrelhk + rDTPzaKSmLdzYvbcjrI2KE9+VYjMZqfZF1y/XOG05nJzH5VObu26Cf3OJnttR0KKSEU11Bu6B555 + H3SZl612Ge3mB3m/YMXWeruYQ+9/IiJIqnkcqkr+5jef+6Vf+uVr11an3Q7Jee2Evx5rrY/KnwB3 + wLzyxPmRVFVfzeh/fImIr6R6gGJfQwjhYeUNrAF0XVdrzTn7oJ1z7vt+Xu3paztj5X8IIYQQQggh + hBBCCCGEEMKZqWrf9365fBiGnLN3eJTXB6Bpmrmz5DAMcZ09hBDCrVPVWqsXUWMrH/q8LJdLn6T8 + p3h36VIKSf9ZfqeIRHp0CCGEEEIIIYQQQgghhBDCmYkRRG4aM44F4s0/9PjL3tsqAaAOwKvA//en + Hz3qln1KNlZQ0DQY+8SpIZV3WKLaGTKtt9jU4Q2eTooiTIu279eaW6SmXD1Et1x13X/8/Oe+CvTT + w5IgTe3Lpq3YtAWCQAVUp+tc048xSynF+tYzmyNyRaRtW1X1epWbfIu3gwCQUmqaxi84dl3nFwS9 + I0cpZb1e352nEMJDb27MslgszCzn3LYtCQPGsQIKEdCAiqPv/Nkf/FanZXeBcXXUJBGh98ipPNGi + 6i7vvxnMuFw2ZhiGogqUcTeZrl586g//PcaXMa5VFAL6+E+fCXz6Os6o9uq4tm3NbLVaRXz17Ugp + rVYrbMbtpmm2e6veOq8AKaXMtYvbt8PNPdA9WEMIIYQQQgghhBBCCCGEEEIIIYQQQrivKfXy7uMd + doRCwsPmEpLZyfRTwmSqogYA6o3106JTGR8As2parg4vv3z0QmG5MV/t4Y61MzF6ZrNp1hYAwO0j + NiWFnzDlzs4H2cQoqCQJkjBJSG1q7/zu30kCU6OuICNQEmsikiFRk0kyUUtgA7ZEQ3TGlkJKPflh + Wx+gmKeCA5ZordXOxs7GlmNrtbXamrVmjSEbcp0iV8XEs65NUNU3VSl1DrT21QVKARUPdpT4XTYF + zRpg0Cl3ltKxu7L/piTHrbtUcYYySi/KNzOKb74eHF01FE+6japMom6ab9rh6lpFMVSoAAbBZu2K + AqDg9KOwSslvfdM7ZUxqWZFI1jpKwmtF4cq9qskOdwmFaCs6MPlJtXnboGAiG2NLNIZUFEWraSXG + pqblwYU31Xf/1Xf85z/7vv/KDrpFu6CgAiw1E1KBitR200Qptv1WhFCK+gwrhJgKFZ6xreVr3/7K + yg5MK1mJKQKWAEU2qdU6DU5i8415OiamrXkec6rNxZ3LgEKFpJ79bQvJOgy9CMh6P7z7ERHKdHz6 + fk2hCSi3tY5CiWW71+mOakKdNm5kJZVQ6uYATv+54V1QuPumNPrrssaP1gOSikjO+ZvPP//f/eIv + f+eFV97wvBVc/5dBzrnWOi+rW61Wc2/ocAaqOvch9QNLchzHeb2ix4cjslFDCOH+4EvHSR4eHpJc + rVbjOPrQ7YM2AJI+sN98mXoIIYQQQgghhBBCCCGEEEK4Oe/wq6pt23qP4ForX8ecQupdIM2sbdu4 + 1B5CCOHWtW0LgKRf7h/H8Xy3v1qtuq4D4CVhbduO45hz9uq7g4MD727vd57vjw4hhBBCCCGEEEII + IYQQQniEZIEAZgBy21Bl05ZnQpgCCRCgAq8Cn17xk99+/mpWth2ajL5HzjAkm5o2UGAAPV/6dhx/ + t0nWg/Vh07VmBmpud4YkLzTpPzz91LeA4n0ejGBNmk5uwEDzbGwC1WBmc8sCv/YU61vPzMzGcVws + FjlnM7uV+pOcs5es+Pf67b7vSfZ9DyCllHNeLBYPd1++EO6auRnLer3uuq6UUkoRgQoKp0cAEI6w + g6986Lf0R6sjcQAAIABJREFU8IVycLi3aFgt+Zfu0RiphBJZRAlaTYoy9Crs2pw57tjR157+k/Gz + HwNWIIypADYF/dpmBvEZbHqWJFV1HEdV9ZE/6uVux3K59Gl0rhg5w7jt3+tTQ9u2PhdEX6ZbFP1A + QwghhBBCCCGEEEIIIYQQQgghhBBCuFOEstde3GsvZCYvaPLGbWLcLpCekw71xPdiu466kp6SOJVG + JRyMr7xw8C1D9fI+T+552DPtzMRIGiCiytzqgqxT1t+m5m8OgJ1zrD1WUDef4anYsO069SQppQe7 + /ZAdJ+man0vJoJ5sbdNtoSfuJkPmVN4/HYbNZ95wDzDlKRJiQgOmz/5xY2ojtiJOT2R5is2Z41Om + NY6/BGwCy8NNzcfID6VQtbZPXHyLUiutgibQs8bb+zdNgbWsrxy8JPnEL0VB4AyZzQ8PAlA5WF/V + ZCZWwRvSahV4rRjSmxIKB3nyytszW7UEqudnbyUEbwJrp1lDIhL+oaZgo9aAPjGZiVGnEdVEicaQ + uUlSBwppisRV6ob9fHXvb/3Qz73v3T/VDMsGrQJlgABdAxKlVqTNySPzmTVvyiBFYQoodRqtpb58 + 9MKRXTMtVG8XOIW82rS3cjzJnkQB5fpzVZgv7V2ek2JPa5pZFJIEKutxLUqbXi+cvga5y2XSc2de + khAT5TCsp9j729723nJfmZNkclquR3J7HFBcN4HG+HA/uP63cPHixYODo65dvvTSy7/8S//9N77x + XNM0Z9iur2YEICJ933dd54vrbnd/H23eh9TXCIlI0zSlFF8s5H1LvVep/80VQgjhHkopeax1Ssk/ + +x+wPmj7gk8RyTmv1+t7vbMhhBBCCCGEEEIIIYQQQggPsFqrtwYGUEqZL6zr6zCznZ0dAF4OoaqH + h4fRpjmEEMIt6vt+GAafRGqtPgGd4zziE9nctt7X3XjtwWq1Irm3t+e1B9HLPoQQQgghhBBCCCGE + EEII4XbQDABLBXDt8BCVEJXtZGuB99LxS0GD4gD4zac+8e1G+zYjJViFCAgQiuNk67M58X1zGx+A + SgNFxIwwxc7Oy23+yLNf/Xy1I6BO32vYNFepgE29ujzW2uat+1Ut/zwMw9wRIpyBrxTu+96DcheL + xTAMN3l827bDMHh2qZnlnL1BhDfl67pu7s5Xa32oW/OFcPd4i5uUUtu2fhXem7FU+khvYAVAK4Ie + Lz339Ic/uK8rsaHWqqoQsiI3N/YtvKtU1Qogtmgyasnsm6PvPPU7vw477BoBzJ+Nj/xpM3dsI+FV + Bx6cDMC7H9yDJ/NQ8OINAGa2WCxKKWc+mCJSSvEKRgDemuk89/XhFT3LQgghhBBCCCGEEEIIIYQQ + QgghhBBCuFOU2rK7sv+mzEYBGEgRqkgCXiP68YZv36qrBrwmiqQImGzQ1fMvfkPSFKPoxVibMNqH + tpMOxShTvCtNm9QKNlXoMj8GfKPDSzUTUmCCzdHTrA94OSA92boFGzCLJaEqNdESmcjEKVVaAFAB + FaowKZMwKUUoSvHvmkJBt+PAgVHR5+lj1OmjKEqykqzq8QfFTAxQD732H+pbVsJ/it8DMfiDI9P6 + DVGxSZkFMMeHJ2ueuPQWmvz/7N1ZjCXpdSf2/znfFxE3M6uruoq9srmKokhRo9GCkeWxZ+wHw34z + /OiHGRjyi+2BMZD9IBj26EHGGLBhw4Af58EwMC+CNJSlwRAj0tpseUyOKC4trhJ3is0me6muriUz + 742I7zvHDydu5K0lqyqzspbs/P9wUX3rrnHjxv0iquN8528VWMfbez36y7uIqKoCqHAXu3bj7Wkm + xq25rHrsyR6nlzhEPVb73nJXskPXKbYHjzpmWaa4pto+e+HFFlvq2StEBCoVZuK2LsF2UUDhyl/L + O5u65tqoNckSXAGrWsbpgiJqUJ/GcGSDOiRpdZMsW922Du32cOE/+jv/8TPynma/67xpEgzQJkvS + cRwTRFEFZXNf6YJ5KBZYckumYioOEyvSX9l/s/jgAlE1M4NDxUUNc4x0HLUYxKZfwkH4ugJQh6u7 + i1a5dOFd7uI45gQDN4FLjFnDMGgcZuHOr3XPI64HJ5JE0voAzGLB+nE4qaHy/LmLmPbhEBEXj7H6 + NmZnb3B+Em1u+X5w2d9fLRaLK1ff/s/+i//829/+btd141jvsuOQm2ZI6nyJxp3uHhMwYtLO8RKy + aWZm7i4bFotF13XRwDTW8DiOh/z0iIjokYr55PPUwXmfuLe3Z2allJheHpMVH++iEhERERERERER + ERERnV7RFDIqbOco0LnV7+1SSvv7+7XWcRzjDM7Ozs47uJ6ZiIhOVtd1AMZxbJpma2sretNHzvSJ + iDKDzb0bAFUVka2trSgwiP1d27bRg5uIiIiIiIiIiIiIiIiOoUqFmDQNgJwb5BYVUiX6kUQbhTr1 + BzEFRHQJfGb36lduvH0jyeiOrS2U6XyNGJJhnUV93CKEeN5BBwcD3Mrw1FM7yxt7TWqh+na1Hzf6 + ey9/YQ/w3BSBqygswczMZd3TbG6TIlMnqKZpolgiznB1XZdzZr3EsUUJytQDS3W1Wt09izpO7cW8 + 48gxjYnGWPfiAxAvxaxZopMS494wDPO4l1Iyh61PyqNWwATIavDlK5/67Yt2texfh0r0YupXfXNz + V51Hyr0Wa5KKepvUrY7L5baUN77x5/jGF2Bjv1wBVcQ1pdiDCAAzWL0l3Dqa1eScY+Tn4P8g5uKN + 6O0TWdTH6POzs7OD9X4h5xx7BPYLuk9cTURERERERERERERERERERERED5F6fs/z71Vocom0WXco + 0h0TFufw4Ns5UAETc7GU4VKksR++8QNTj6rZzerbd3Zlm4lFiLW4ppTdDbhzeeJmHrM65CBiELJO + 0RRBFLgrRFVPfUmVwwSGSDzNjrROQJzSRnUq0HeNB3rk4ypcTea4xJlhijg1SF3X96/far3O1+8I + h7pMf1aJWHaIq7iqi7okQzJJJikCTw++EZsCy+mu9KaA2Gkdqmuy/Nyl57PmuC9CGY86DKgDNqU5 + VncDXHHt+ltj6edXui199pT/Xo5EDiLTTLC7e8PV3d1iDHrwCmnXp3Yunt+6mKQR5HhFEfht+eQ+ + JdvSO5x4TKyCwkwM4i4wncZel+n3mNwURWHubiqex2XZbds2lcUL7fv+g1/8Dxf759KykSQrYOnF + W4GYxsAOk4Ofcfx32pxjByq+vlfMU3nt8isjamyTMZlHJG1E7cbvwG6bDCa4+QhHHYr89PmLWE8B + EjlO8nT8ENxrPyyxHvruuCYfmfkAzAHAl8slYA+ebC2ul55+FyrEXCHxRqq6frv1G8zD1JkanJ9Y + fodvQUTKaL/6q//VF7/w5aZp+n4ENKf2qPvTmFY3T72ILZ9tNI8tOp9u/nsqQqxrrXFXTHochiFu + fJzLSkREQMxF7Ps+hu64EvMJd3Z24npMVh+GIfpNExERERERERERERER0fGISNTkxDmaxWIRTR7v + aD7J3jSNiOSceZKdiIiOKqU0juNyucw5n2xb+Sh8jdc0s7ZtAbRtW0rZrA2rtbp70zQn+NZERERE + RERERERERERnhwOiadmvUCscZl76vkk5IzXAdPpHUIE6XQWqFuBN4Pe/9sW9Jo8pAY7cQqJLFrDu + HCIA3I7cRmTqzKFTcw4xOBDtgqo1KcFhkldN89nXXvmaowfME0ShHqGrBonmZPEKKZZnKqDQcZzq + Jdq27fse65TT46/Esy3ypyMdNiKu3X2xWBz2+AiUdfcobpnP9MXTsa5+GceRXwrRCYpOLHEKvu/7 + OOHugEiCV6DkJApYMfiAq6988U/+xfOXngJQiuesi5x8LI+yK9SmnMWsOKpYVUEd+iZrJ+Uzn/wt + 2DV4AaBwhbslrNtiJUDc4FMbRgWSphhY+r6PUYvZyQ+i7/umaYZhiJLFGMPvUq94mL29PV/Duj0F + 3SduwURERERERERERERERERERERED4u4queXXnxvQoK7iKhkn8qVb3GQVjtX2slGDKSue/KYuYjU + WqTBj9744TCsItMu0g2jiOqOsY7vDCbmMBeLcuFG12XEd33WZpTmwaoRuEJkqjzGO2C9SUQoFtfi + gqqoolWkSq6Sq0wJ1ZAiKIJRUV3goi5wmcr4IzrdxFyqSXWpJqPraDJCSmPWVmsr4pIcyTSZqqu6 + mqhBTbSuL8B0l3g8TCLlWhzqkcRogLm4STVxMNn6OEQsXXr62bZdzJ22AD3e9rwOTIUINKfre9f3 + Vvsb9zvOcN3hPDnBzHZ3r9daDZgriWVOz3U9Xorti8++u00LtySuWHdP20iXn5dDbkugp3ceg/bQ + HjJCCgAcjJ8qgMAgRWUQjCIj4NWzp7xM+zfqlaqr7cVWeRN/9yP/3s+95281q61aUBL2AGtcpSY3 + QblzHLusLxFx7Rr7UJPxzbdf12QGc1QRkZSKm91rF3wLRwWQkJ4+f1ElxS/o2BN/YhLRarVyr493 + +tD87jH2xoItl3sn9fqXLl1ap92LWVmPD5gCxTc/+p3SlOnxcL3l66i1/tqv/dqf/umnc9ZIod7Z + 3im1AHf6x8GBzTFfAeSc4+lzHnMphW00jy0On+ZZKCklMxvHMVJR3b3WamYRjMoZREREj10MyF3X + xfjcdd0wDJgOk2yeYR4dqDnDnIiIiIiIiIiIiIiI6NiGYRARVY1z6HFi3Q8X/X/j3E3cIiKnvi6X + iIgelSiHi0K4SJuutZ7gfiTa38d+qm3biLKOfVxEXEflmOoxi/CJiIiIiIiIiIiIiIgoDKhNt4AI + gKTigFUTmE5dM6YOClXggAINEtDsZXz6ravffvM1a/NyHNHmqfnJHCAtDrc56Pp+rR/vgipwNSCC + sa1RWe7vNs02KirkmtmnvvLFq8AAWJ2eXQTICgASQdeoAgANkAFAAYmmBNH8pOu6Uso4juxLcGzR + TKNpmgiIjXYQq9XqsMeLSDTcSCmllMZxnCNRU0rxRDNrmkZE4sWJ6AHFpP749WHdiSWlBGg1E1gC + UF3j3LsN0OU3/+B3h/3dCq9uSdBmkVrW4/tjkFKKfjKoJsBi0f7llz+Pb/2Fph4O0VbhcHNzQGMp + M9AAQAVqDPHVarxUlNhFF9DH9YneAaJxRJRwROVG13XHe6nFYuHusX1Gewq6Tzx8ISIiIiIiIiIi + IiIiIiIiIiJ6WMS19cUz558Xy26irkkEMEMxAW5KWTZEVumUIglEUfQ6ylSBNDV6g6uYwbVeuXF5 + d7yxtP0qBWLuYoYibklMFNDkEMBETaI8+tRnBiumaE/Eyklwgci0Gl0QK1amDE7cHi4bRX+RF67r + ovN17brqKa8J3Ai2NPEpCrcKqqDqFLU7Z6UbAJiJ2Xq9YdoID/vT4+lxAaC+WYKmB3/eHOIoPt+i + 63c5eMDxAoDPpqrFBeqa7CApU1wTmnNbTy90p5WFoHETdaSjTcI44O7iyEBS3Cg3bpRrpkWmbM7p + p7YuCD71Q8oRuBocrgJzr7vjbu+9o2haz4EBpi1cLH4jm4PMbabQ97R+jHp6/vxLC9uRAojFlBW4 + i6SNYNR4/VM+TtH9MqBu7LgjvXgePE1hgM8PSLk1uCoWW2pWx9Xw9OJduNH9u3/j3z8vz6LmZgFR + FDMvQBlv2W/a9IfN7w3AxVxMHIAVGa4vr+as4nB3F220Q9HkSB5PdN/cCUFiuxWHuimKaTExtSyW + EtqdZqcRTaoGwA92Q/dPHe5evC5tf9QCd13vZXT61Rhgj2YvE2/j7iqSTOG+lOWNumsyfUXzrhOA + yWaG8TqxWACZxw11URe4QB3J8qWtS411gELFXdxdxQE3WQeLzwPF9JXRYyV2cJkooP/T//g/f+IT + n4JDJAHIOe/t30hJjnKIbgCGYZgjlqOVZ86ZM1uOLQJQ53mhsSYjLHy+Pfp0n2ybVCIiOp7Y9/V9 + HxPRI746Jr4CmGd7ctwmIiIiIiIiIiIiIiJ6QG3bzgmjc63CXeoTcs6r1SraSkZ34Ai3fmQLTERE + p9rcjz72O3HG/wT3I9GweDPcOirE4o1KKZFpPT/4pN6XiIiIiIiIiIiIiIjorGmQSh2RFBH7bCh1 + FKzbekXO9LrViQEJqPA9w3Xg//3Lv7qq2RZbQz+s+/UoBApLD9blyG7p/+MqpovUYRxqlhudfvnK + a3+xW68DFY2jHuRhx+PF505O85mkaMo1T2tdLBZmlnPuui6aGNAxNE1jZnFqz8zi1N5dkk3dveu6 + cRxrraUUd2+aBuvzg4vFAkCcIpzvIqIHpKpzxxsAZpZSqlYh6m6qUzelRiCASEV/Fcu3vvqZPzxn + /SKnsaLvR70lbNincXc9+pq4zd0OHcfppnfQe3Nq7DQ1fFr1NeWkKUczmU7rYvnW13//t+FL7/em + dqDrQV5UXTbf3bDRdy/nvFwu3X0zjJmOJxpHYN0yQkT6vo9bjmq1WsUeOeLGsS4RoXtirDURERER + ERERERERERERERHRGRWVr9HwJUpj8y01XreJKp+4nlJir5Z7Updcm+efeve57nyjWzK4VEcaPJcq + CqAxtBXJAYEJDDCxORI10ohNIA4pSAJ3R0ItJhnFRm/tGz/6Gp4qS9sz8Sa1Lqmo1eQOFU+5ajJ1 + JBM1MXE/7cnWgpSQYCquolbRu4g7ckUyVDVTS6bJ1XRK64y1agJADXlMqAp3zxAZkF2KuGet1bPc + 4yfwpJvCcVUcAiS35C6ogFU1F4Nrck2WxbWKVIUJ1C0u6xdRHAQY33RxEROtqlURzzWBibnEHAGb + X0rWd6pHpKj5Ojx7/dyI0zaPd4SoJ3W5JQ+bNplY1WpSctVc03SrmDrccl3Kh9/zUVmmVhaNNnUs + SY8W024Cg0OTmamhNbVxKE8N33j7G0VKSo1brpbd4V5zQi2rh/Exn2QuyQSLVqH9D678cGiL5CJ1 + Xa7qGVBFEZRkSIZckRwu6lAXnSs2qwOS1HKD3FiSCihQ08+8+xf16qJrOkPxOuQkCWqju4iLqIs6 + Nn5rj2s10CPiUBeJAVlddQpKn0faafB0JEcypMEqgLZIN6Tk6iZuqfGtDz73sz/1ws9t+Y4v0ThS + kaxIKalBPJKx4QDEBJbiMMHhgqKoaiYWGe3W1GvLt81MXFygkmvJGV02KCpkNC0uhilSOruoiapL + cm98FOlLGou6oE3W5dq9cOmFc9KMu73VhNwdqaQ5dnMCaIVreat/fZn3utTpmIE4cEri6hJJ24/g + yEdFEiSJuLqlktVRd8obwxtVI5ca4kimyRQ3zT6IfW6Oj68ONSiSeSoQbwGFuLaled/TH0z7jYia + QESTJDHPCtfRxFwESC7iUPWIPD/dx3tPmvm4Zb7c/piNYyYTcfdSveQ2rVar7e1zxfC//K//2z/9 + p78ZDx7HEUApA8SqjYfOnhFxwGGaBOIOcxjk4F9kIhKtPMGZLQ8g5p/Mc1rin8nx59xfe55K+liX + 9NRw982o9c3/jUBHNa+6nPM8qe9sqrVGbnFsUfw9PqD5fwDGmjxdc+Nj3xfzz2PKKzYGc2z8cOa9 + JBERERERERERERERER3PfMJl7g559/O/0QsY6xM3KSWeLyaiJ5Df1eYjOYg9StFQGMA4jk3TuPvJ + nvePL9fM5iKcuSqslJJSivKJuPd4X308N+oDAUSr/ZNYdmC9U94s+Yg+/if1+kRERERERERERERE + RCdCAIV0qYM7BG5wMwh6lAJURFKoRLsTTVKBAb2nCpEl8AeX3/xerau2q4gY6owsyCpQKQYDcgMc + 5VTO1HXEXACorLu1wBNKg16waK8v5Idb5eN/8dm3gUG7Ee6ogMVClmKAwQrMkmY4DKiKun5x94M5 + 4PPpIU6FfkA5ZzObV2Pf93FlnmYeJ87iJFpEXzdNM5+qExER2Wyo2LYtz/8SnaBo/oD1mffpRLwA + otWyAxmAQSOuWkbsv/G9P/jNF+VtGZalIm0tVgYTdUDcxA2AOUZgFFQ1l5LcGrOmQj2a79mROq45 + MApGQbxFcstmyU0AXaTrA6QBUh5qSePe1a/+Mb79GdT9GOPdisU+C+ZW4NCsSBpDjGo0ChIHzKxp + GhGJNRDDEd3TXMKBdeC0u6tqNMWNcT5ai9x9lUbTCQBN02yO+e5uZvHtxHux9cR94uELERERERER + ERERERERERER0RmlqqvVqm3bUkpUYdZa75lsTUfjqlWztS89+0EpuZEsXk2siLtMVWlzratDXYBI + CI4IO4EJEPGNUcK2wcRqGr75o68PzRIKgdZazUvxWuFABKBuPEvcT3mmNdZ5gTqFHx/ECqqrbD7G + AcBkygj06T5F5HdKPOVg5UTk8zugnmqddKvx6cUNsNioLEryXZNhXhV3M+dbb1x8HYC6ebnjYsTl + pte7y3MPsrTpblzg4rGFb6aWmqHV7fc/9xOtLbx4KUXTcZLJ5pS4BNEKFR9y/9bysqda6whNKlNp + pqOqCk7/kHL/TKCa3b2Uftnv7dfekkFc1gPHepyZvpfN7d9k2trjRl03PpsiMyuytg26Fy68Z0vO + eXH3KuJi7iZN7m7+gTCt9iy556i4uW1E/rRJsqTz7tKaBZ76pZ/9t9uy2NFOq1jxNudpfIiDjdhh + wMRtOthY32hysL25WD/uYz1QQAWG5DkZ1A1SXOZ5VtMeObZ3daiZwlxKVXOXLJ2PaNBsNTudtik1 + x+uqpxBVca9L3++9N/O0/jlO9wN3jB9+GNar0d09mbr7Xtnt0+DrYxJ1yMYXOo8J6yVWxFcmkQuu + 5lIdZtCaFnl7S7c77QTJ3OEqJu7uXgGbjmFu2o1ylHjMck7VRhGUUra3z129ev3jH/+df/JP/vej + vk5kAwOotc4z6ziDhZ5wcTAZLVljElrbtnEjHUP05xWRcRxjQDibvWiHYUgpqWqsh5hC1jTN416u + UywaQAOotTZNEzP9iIiIiIiIiIiIiIiIiIiIiB6XiJcWEax7Fs9dsB8qdz937lzU6mAjN/oYr7P5 + ClH+d4KlPtECO1ZR27a11s0+/kRERERERERERERERE8OhQjgAnNTRc4KmcKfm7aFA6PnlFNKZnAA + GdUcloB0Bfhnn/l0Obcj3bZrgvtQy1gHmCElpIRy/PMvLnbQ7ipSrrUZx7LM+ukfff/l0Uc08Dhb + ZLf21XKkJHUsqupANYhq0yT293gY+r6fWzOJSM5ZVeM03HwOLk6W1VoXi0VElgJQ1c2QVCJ6SKIN + TvR/ABDXRXXuRzcPn+u/G7zHG9/+5uf+aFtWbUJfYSlHg01IUZj47f0f1z04HYDag5UPyNSQ08Qx + jlgscGO39MOw0+ULTfmzT/42xmvwYb3Utt4JGGA557FYgYiqiJhZSkmSAiil9H1fa00pRU/Xs9kS + 5EiivmIcx7mZEgAzG4ahbdu4nlLKOc+p1XfUdV3f9yLSNM04jlFQ0XVd7BRi3zF/HY+g/uSdgWUo + RERERERERERERERERERERGdUVGT2fR+ZQFg3gnncy/VOYy7i6cPv/VhTuyRaUVzm+OQpJNgELhFc + fbR6HpP6/de+tfTrIiqWHGNKniHJVNwBr2oulryqF0yBi0R0Sqm4iIuLufjmrAZxJMgHnv+JDltW + HAmatTrsiBWCImJWBCki9CKn+fKVN5Ewori6qrp7kmwVKaWT/HCngYgb6mjjtd1rwzCI6FRAfXu6 + u0wDe6QFb96jDo3Vq16kQl0EnS+efeqFi+cvppRiR5xSirg+lsPSfYrQdAfqQcI6BLDRPvL+j754 + 8X2+yupJBNXdj7VdLZdLAJK0oiIm/yggdljCvcBk2v4jcVnV1azEvCCV9pmLzydpsqjZcY4/5x6C + /bgay2BW7/R7URN7ZOHWoaK6yu5yFzCI3T5C+O2LKRa3O1BRRUQhkTIurhefurRo2s3mgxwZHhPd + uNzN3nK/aReq00j+yU9+8td//TeO0T0yckbjq4+WlHOuLdETK7ZVAHE8CWAYhunYko4OQFyJ4/DH + +dU+VjF5bxiGGBibpolZao97uU6xnHPsU2KyaNu2/P+BRERERERERERERERERERE9BhFJcNcGto0 + zVw/81C5e1ShpJTm6Oi5COr+icjcszuWvJRygvV+0bI5rg/DcFIvS0RERERERERERERE9DC4+5w6 + DGAYhjh1Ms8OjjMy7g5BnJlRF4PtAn929e1Xrl3fc/cmQb0VyZBR3bNAFLXeoc/P3RZFouEJpAJ1 + 3SNFIYAULBorUmr+5Je+eiUaCHk5LKk6UksjcTOSModhOMsTwB8SM2vbNrojAojzbmZWSlksFuM4 + ikhKycyiL9NqtQIgIsMwzI1Z2JeD6KGKCGesz+yP42hmbgYD3CDFgAKUaVxVIMENZfezf/jPd/xa + Hm80ChfAITCFC6qKqSM5dKMVp2+0dtMj9ucEkIAECABoFXWoiwLWwlGQ2pxT2sbyr7/82fGbX4OX + w/YvpZSoKIhdGwAzix1c5CjHvYvF4hjFBmdQtKBpmib+2vd9rOG2bWN9Aqi1llJWq9VdumxFpnVs + gRFuLSJvv/12KSXKKiJ/3cy4s75/jLUmIiIiIiIiIiIiIiIiIiIiOrvGcYzyHQDuXmtlOeaJExGx + /IEXPryN8+JTjZ25iANAFX2QGjTXcq28+erlv1ZVr3AvbZZGs5grzMRc4GLJTWGAuRxSNE1ET7wo + t1VXF7hGSuuUF5sVGP3i9jOXFs+hommTiR0jxt5VKlxETFBtSs6+fPly0cF0dDGIw0RVzVwkcmrP + EHcH3JO9feOtaqOq3lKt6sC82iPTOu5X2FwqLQDMHYaMql7EUlKs8kff+7OppuhiFn3NomTWjMM2 + 3Rd1COCiLjA52DS9wkf9N37638KN3GqTcxprdYHJkX6/CmA1FBcXgbu7um0cUxy+mTpiBgEyPK9v + qgAE+cVn3++9CmLS15E39SnsU6XWcTXsmVq96UVsmlbwKEzF6y5T2LaI39i7gVsGiVvStafY+1s/ + u7tLEvEmAAAgAElEQVRDTETEoUD29sVn3g1oDAgxRMwe+iejyb2jrDcfvLW1beYAVPKf/Mn/84/+ + u18/3rvGnMz4omOaxHwj0RMr57xcLrGRb33u3LlIZaZjwHoQiBEg5rad2RlTMc271rq/vx9zjx9i + ovg7Xd/34zgOw3CWtygiIiIiIiIiIiIiIiIiIiJ6cjRNs1kNUkrBI2k3P2dpR5fkuCWuHMm8wHOF + Z845bjwRc41HSklV5xBuIiIiIiIiIiIiIiKiJ80cPAwgpTSOY9u2MQnd3WMCdcwaBtAtWgggyHCH + 7wNXgE99+UvLra0egDmSSFIXjGZwhx6j2VGCi7gJDOIAPMEVxUq1KufOv/z9V7+2xH7CgLFJh/bx + iBM0c4jynMdJJ0tVYztZrVZx5i6CTucYXXevtcYm5O6RgTpHjKsqm3IQPQKLxaLv+2iFFAO7ik5t + lBwuGIERqMgxCMMr0oDvfvn1b33uHHbVUafT6SpuipJ8TLAEpKn5gbqoCUxgx+pyJ0ByS25Yx2NX + gUEBZDWMw6JB8rHZf/Oz//K3IAN8xCG51m3bzifo40p8ZF83g4pbNnOv6S5i6J7/2nVdFIdE270I + q46OK/cs3nD36KPr7uM4uvvW1lbOuW3bcRznQxER4a7hPnHzJSIiIiIiIiIiIiIiIiIiIjqjUkpR + GjsMQ1o7wbYpFFyTWH5u66UXdl4SU5GIPEwRB2vIVdWjvOromY4udWz2vv6Dl00hogqzOkqNvEhz + sapmAnFLBgDOeiGi0yyZimsV1EiYFo+RRMS1Ysee+uDzP5ksq2Os0KN38RJxg0MFAAQmgMr13avX + Vle9qe5laoYFdRf3Mxen6u6SYK2/cf2yA4K0GQBnmDJrI9A6Mq0j5XqKGHadBvtiScS0FsEIpNTk + 5fbPvP/nyjAVKEe9cnQ0Y6w13Q/16VIFVQGYwF0MQJc77MkvfujffGHnvbkmuFWgHlJDfxcWadbT + Rm8Qc3cTW7+Q3pIhLQ49eJNsSOICiCaUMqhm9fze5z8kpUE1lWOGKZqZCFx9d7krCYbqEiHZrrcu + w0Pn7oCYwMWhdm33CvL0+xW/Z8L2+pEKhwOmMDEky9naF555EYbNTOt4O4ZQPhZ6yHblG5ehL3BN + 2nzuc1/4h7/6q8uh5qxmWMdjb4Rk+2FTWgCgaZqYtRL/TItvnJMb6QlXStna2lLVmDWUc97d3QVg + dCwiErPaYrqUqjZNszlB6+yITz2O47x1HaN9MM3cPee8eSzxCNpAExERERERERERERERERERER1m + GIbNqpho9PwI5jfVWqM+58KFC9FjGus+1EcSpRe2Ufjt7nMew4lw9wj/jsoiVTXWmRMRERERERER + ERER0ZOnaZphGLA+1zMHWm9OEI5zQ4vFol8NEMDhcAOWwFXgU6/+6NUkowC1oIxAdRV3hxmOOr9Y + NC7JLZsB5upDsqLIkNHxRtf8zle+eAPoDRUo1h/WAi5OIXVdF62BIj6T52tOXCklpti3bRubUERW + x/pvmiYSTIdhmJOt+77POUdkaZxTY3wp0UN12HgYLfHixL8LXDKQoi1VUkV/HXb183/48af8Whp7 + 9c2uOyYoipIcAqgDnqugilaFA+o4ansqcctWkhfAXLD5blrrIsu4tA7D69/8Ar71MqSHl8PG/2EY + ogVQVDWIyNyoYU5oFpFo6xp7QLqLWHt938dfl8sl1h1FQrTdM7Na6z37a8WAH9nVUfXh7svlMo46 + 5v0I+zXdJ7apJSIiIiIiIiIiIiIiIiIiIjrrcs517WzGIz1MClf1bscvfPjFn26sibJoEVEAUFNU + OX7kYtUyNKtv/uivln4jt6qqNpqYqKqJmUxVzzKFqrJYiOgUE1d1VVcTq2qAqcMFBni1RnJXt376 + PR9baFdHKwWpOXJurc1FvnBXuEPEV7V/9fIrNQ8mIwBBAnSzsvbsMDNPXnL/2uVXJYkCboAfDLAG + bIZ9V4XLLVG/USHtqjCYC6rDS3qme/49Fz6YLKeUUkrzrJW5lJnonqKs3wR12mSmKnk1aax7Cs/8 + wgd/CSspo7eL5hip9O4S2YeOqiruVWQeMTZtHGyITXe6whWexVVkKscXy89ffGlLL8Ak4dZfyn0s + j0OlAlCR7G9ffwvJzAqm+QPzQj2qX5AYgMicdi2e6rXdq/MAsWYQu21ojhzu9ctsLm+B1pRr8/zF + l8R1/YCDTOszOA4/PjdnUd9LSkkkff3rf/UP/sF/uVpVAKVYk488vWEcRzPruq7v+3l38AjadBI9 + iLk9a2yrpZSY/PO4l+u0iqE+Zk/NEddncHrzPJevaZqYRRYbmNOxxA8zuhvHRrW/v/+Yv2MiIiIi + IiIiIiIiIiIiIiI621JKi8ViLhGJkoYoRnrY7wug67r9/f2cc8Rpp6PGIWw0Sp7b5a9Wq2PEY9+F + iIzjGAsZrx9LS0RERERERERERERE9KSJkz4ppd3dXRHZ2tqKW4ZhiJMp0cBktVptLbYECoehGqQA + +8APgN//6pdL26FdAF5rzZKTZNSKo8+znlqsmMINDhO4eFVHyra99ad//d0vASMAqCT4Xds1LRaL + vu9jsnOcqeH5mhM39yuIxNPN84Zt247jGLenlObsWHePGGystz3GlxI9VCmlWutqtQIgIpu/uIPu + PKIQiRsEYnWEF9je6muffes7X9qW0igwpV/HM0xQp2Bsj7vU5aCBm/oR20iJaby8T68ejblc1N2T + 1xarC7r67Kd+B3YN/S5QD4u1VtVIWY4x391jR4D1XmDuBTSPRXQX0TKl67oYxre2tvq+3wwON7PY + ru7erGYuKWnbNgKtsW7ZFwceu7u7Uf7Bpjf3j4c1RERERERERERERERERERERGdXhNlsbW3FX4/R + e4Xuyd0bNM3Q/sz7f7bz7YTsVgCLiEcTczGTyGC0gwDI+2NiJQ2v3Xj11avf62U3SVZL2Zs8VeNF + kbTCj5DDR0RPLHEBxMVcNltcKWANclq1P/HcT11cXFJXVYxH74Ll7lC4u5uIwByS1LR870ffHpq+ + ajWxJGIGQYKfuVFFxA1labuvXP5rUQfgdlMGrevBGO5TzfTtrwIRKHyscIUmyJg/+tLffMqfzn6H + zmusiKX7IYDCILaOtZ62Q3H14gvZ8evNz3/ol3PptCJpY+5HTbY2s67biihESVrdXN1QbR3JbDeH + /mosgxjEXARI8YburiruLi7nu3e969zzyUQcevSAZhExACpVy5tXfgxFHFbFmwK2sTwPPf5THepm + gMEqavVyY+9q9eHObzx/2M0BRBAL7Q5RF4e6Nt4t5NwLF9+dkCIzGxtzGzg+PDLq8+X+8q1T/v4P + fvj3/5NfefvabkxCzCmPZXRsTmG8r+NzEVkul/OExlrrI2jTSfSAVqtVzPycA3THcXxEab3vRDF7 + yt37vo//aXMGpzfH/LH4c94hztfpqHLOu7u7mzuX7e3t6AJARERERERERERERERERERE9FiklK5c + uWJmOedxHFNKOee59fPDU0pR1b7vRaRtW1kXah71dZqmKaXUWuOKql66dOlkY62jeKaUEkVEi8Xi + BF+ciIiIiIiIiIiIiIjopJRSmqaJ8y/nzp3Dus3darWao0/nWa7L5RLVFFKBIq6pqylfVfzLb373 + ld1d5IS2gXtyyaKGm3s23AeXyLEGXGEKmMIAM0FNzY+H8n9+7auvASvk5I07oDgsNzWlFBmuTdO4 + +ziOc4gmnaB5ynPf9/Npu1jPwzDEliMiEVwa34W7p5Ti3GI88mTP0xHRLWqtKaU5S97MhmHYPM8u + juixCRhgqupe4YCN6N/+4p98ohmutg4AFahIJjr3wtIY6R3wuNEAE0xZ18dyU4MOB9A0ZewvpNUr + X/3X5eufg/TwUWB3aeRUa+26Lj5413VRWjDvBVJKZhb3PoI6h9NurgaJTcjMzp8/P45jrMOohVDV + 6FSzGZp+i6jNiGctl0ust8ZSSmyN586dE5HIzOb3cp/OXDsbIiIiIiIiIiIiIiIiIiIiIppFns1c + xxm5U493kd55zCxJzrV5/zMf6LDdWOcVc/mai5nYVCwtgBy5TLmq7ZbdH1z+1rXhTYMn7wC4u4u7 + QF3VFchRKfQAZXlE9PiJK9bzJTZTWkUEJr6SpxfPPt1dWshWm9SOmEq2mXHrkZYqEHFkf/XqK6Ou + qo5AAeDVRAR61uJUDYoi/Qp7l2+8ZjJVqYqIuIpNhdE3RVm7rrOFbxreVRCp4SpoUpvr4idf+Egz + LFBTVCqrakrJ3Tl3he5fJEPHZCoXVYe4AcjatNK249b7nvnQuXS+QVdKKe5HTXpW16bpYHATEQFc + No4q/JCCZPWNd3GNZ2jOZibSdH7u0vZzubZ65IljEY+tImJiBru2d7VqiWTrmx/3SCul3b3CDVak + 7A+7xYuLmcwfzg5f7bcup0JaaRbabctTF3eeFcu3HKXHJKsT/wh0iPuKoJ4f/Mbrl3/lV/7Tq1ev + m8EMbZtLLW3THuONa60xlWVzHssxXofokSmlLBaLeUZo/N+G6KNKx6OqMZm267rHvSyPzZznPf07 + hRvVA4suACF2LneZzkdERERERERERERERERERET0CCwWi2gxjI3auUdgfqNhGLCeZnWM1zGzzSqX + SDU4cSml6N38MF6ciIiIiIiIiIiIiIjoweWc3b1t2zijMY6jiOScF4vF3OBuGAYRSSkJoNCc2wiT + NmA03ABeB15+47XXSw9ttAIFiG4nWQ6LnT5E5FgDECDDIWbZzCHXmvzyW2+93A83gAIx2N07n9Ra + VTWCNgFEdyD2/ThxTdNE86Wu6yIod27BlHOOx0TXxL7v4wFxknE+VTeOI6eiEz1Ucdo6BsDoARXN + Cu7U0MqAWq2klMSRE1CWV77wr8a3vt/aXkI0y9KKbMhVbhpRTWCAiwEmfsSxH4CrCeAS7eDipX1K + ydacvFle/vwnfhO2J3WVUO4yaphZ27Z936eUaq1930dGcuwIzCzaQLl7KWUeqegwMchjnQuuqnFU + EEcLUWtRa23bdrNH7u0i01pV5zBsAO6ec56fOB+Q8Hu5TzysISIiIiIiIiIiIiIiIiIiIjq73D2C + M7HRiuV+iBy/V8tZE7VNi7TlK/2Fn/qlhe/kAq11qniD4ZYinqOsVBekJo/S//m3/lW6NPa1uGV3 + IK1zsxGldVJF4SIsGCI6/da1tgdB9S6AS4POV/jbv/DvlF3xQZukx0iyF4G7Z03VHAl96V3r91// + zr7cqGlw8ZxVRKLi/0Q/1ingYkXLX/3gL0s7QFFK0YTN9XDLGpnH4emLiLRdBwB3qCNBfak7cvFn + P/ALbV00clDbXGvFuqnZQ/xI9A4id4pMVrc6jllyY4vU559+399ovQNU81EPBzSnRi2JpCZnKxUW + x5D10Gcc5ElPuc4KqENcYv6PuvoKH3rhw8kaRT7qMWWTckxdK7WalLeuvQn1qob1bATA4EeKIn4g + immOkwNIyK28eeXH2gnk1i/FBb75adfXHXBBMUCgEAXEFUP6qfd8zPaT2HSsHmPCFOnNeOPH4+C3 + FnMOAYzj2HVbpVhKzbVr1/7e3/v7b775Vq0AkDQNQ3FoP5Y7vdrBVhpf8TxrMf6ZFjdupphzWuOJ + i59VrO3NdR4zUuLenHPMI3q8i3oqxHpr2ynHnUG5J4L/+2U2rweukJNyy97nFKm1xj+aNv/FZGYx + BXQ+TIq/EhERERERERERERERERER0RMuzvXPLemjSPLRlIjcXoEQtWTzXXHvfP2OohVyPCYyuU92 + 4aOqXEQiL8HMWGRORERERERERERERERPLFnDzfPN57DhiL6eJ4HWMk5de9ygAtEbwG997WvXLp5f + 9UW0Q2pGq0iKI54iEYe4eS3I2c2QWsCzYTB/4+mn/o/P//ke0CN7zi4Oh/ht/YM2zCewbjmXRCdr + nvg8dy2IVlfjOEYyevwZm9Y8V3o+PccWB0SPwPxDix+siDhgXucMaYXCK1BFKqRAxaOZkhvGvT/7 + v/7Z9nglAyIYKpC6okmabnDDrfnSJjCFqeNI/fRcUCosJTcAqKM3CbVAEqpDrH/zG5/DD78CW8GK + 3Ou1h2EAEOfrm6aZCxuwMWTlnJmdfD9UNTKnU0oxes8tDTe7QwzDMNdFbK7beZOLbyHKJ26voJj3 + EWzYciSnr/MIEREREREREREREREREREREdHpYW1WsVqGstM89fMf/mXf67bTVlpXoU05066A+rGq + nsahaidvLH/wjR9/GR20bdwjxcdMIK7iYlCHqCtcNmImieiUOWyUMLOcs3pa6M4HnvvQDs6fyxfr + gCOXCEpMxrjptqp1JXs/ePO7lkqpq1pHM3NB8TMWpyoOMc/ltes/7NN+1aIaKeDQiM4Vw81x4zc7 + WF2iYgWdQkdtx52f+eAvLLCjVTk+04nxBCR1BZBzrmNpNGfr3vfch9K4UCQ5+u/Xq7a5E1s/VTbb + 4R266Zq4ifk0spgA7pK0EREbrZPt9z3/gWwL6/2o2/8UVSji6oayN+yNPriY6zRTbb1gChz6szxB + c/26u7uU0YdlWVaMN61ouUP0+C1UoQnutdaaXdHLe5/9ic63WPL9ZDKz1WolIltbW7u7u03TXLt2 + 7R/+6n/9wx/+aLnsAYigWsW9ImlFJEKso9ckgK7rYkILPQLR6HP+gtw9vo5SymKxiDkwpZSYEsOZ + pURET4KUUs55e3tbRGKmYsz/7LoupiPGkWophTM/iYiIiIiIiIiIiIiIiIiIToWpLhTAOsL5jn2H + H5nogzy3n44yv77vD3u8iPR9H4+J5shzKsOJMLM52Tqus+0yERERERERERERERGdRnNuZUqpaZq5 + McjBmQ9XmPbAd4H/+3vfWTYL5MWwWjZN4+4oR+93pALAIMgdSsFoKMD2zh9+55vfAVaAQyoqxARQ + w2HnYFRVVeeGA2aWUmL/ASKiWYFDoIKtthUvTdKuVYdDUN1FpBTbbht4f/Vzf4wbr+hwrRHAZKxQ + bfvRIRutODfqBcRN7tW16TaaF12p0o/DokXbiBU0CbWvGbYj9Yt/8i9w43V4rwqo2k1veKs4Qd+2 + rZktl0s2MXhAXdetVqvYh0aziLs8OOdcSom+TFEyEb2A4t44rogvaBiGky3VOIPY44yIiIiIiIiI + iIiIiIiIiIiI6CEqZVARdWBM73vXRz7wro+0vuWDQQxSFEgOQSRbH5pZezgFNDXpennz5e98zrfK + qKMlF3FA1W8tD2K1ENEpZyZQQFwBdRFxAFYh1eHu2fOz2y9+6LmPat9ozfrgMclirmVIy2/88OvS + mos5TMTPZicsk+qpfPe1b43NUDHG6o9uaXpbSbIA0yDscIkpKwZXg47mADJSM7bdcO5vfeRvy5DU + 9cytUDpRU4yzT+MDXAEV15RkKGPWlD3/5Ls/2o5bWnHULn/iCpOndi6IJXVNIrF5i2N9cBFvKnBZ + JzfHMogLTIrJNDdAkIDs7uLeevPSM+87ly8kbB11vIpOhSIi6lXq/urGst+X9U8xlk2n5Xkkhz9i + AKqbobp4P+7vr25UH13NBZvLsHmwN+V9H9wHE8TgKg7xnL390EsfTrYQ42SGJ4wYxCLQ2gy1uuZ2 + 2Y//zX/7jz796T/v+9EBTQmiDjgg4u6HTkecm29Gr8mc8/Xr18/gfvbxmvufzms+5xxzYOas8XEc + OX2FiOixi5G5aZphGOZBO6VUSimlAIhph6vVitNBiYiIiIiIiIiIiIiIiIiIToucc1QCzK2Hzaxt + 28e4SCIyNz6OArOu6w57cK2167r4M567GdT94KI7dkrJ3ed0BMYkEBERERERERERERHRKTL3CYm/ + zhP5ARw05XCHG1wMuAx84qtfuZKbCpGmKWXQblHvljp6x3cFzN29uKFJcIW23m5dlfT7X/3qq8CI + BgC8ANZoukuXBzMzMxGJ2azxQdh/gIhoJkilujmGYVg0jdXBxiICJEGtKbeA9sMIOJaXv/hHv3c+ + r3KtXRYVFMCiUdV6z6DAg/Rkc8AcVSSlJIJSrJayaLCVrSl7r3/nK6svfwZ1F17MUM0hh47nIhKZ + yuM4qmqM/Dxff2x7e3sAFotF7En9Xq3QSikppThsiJISM5vX//z0x15k8s7ARrVERERERERERERE + RERERERERA9RlMSZqNXUDNu//LG/I/tNY526ARZxhmIqjgi9O1LdtLgpxHywbvj2j//yjf61Pdww + KTlndUTEogtcDOLrgEkiOq1cPP7QiK1dSyn1ZZQMM8iy++WP/d2yK51uH+knP9fvxkvPf3WpJfff + ffVbxQdpAFWDIwHqdpYCN13MxfbL7qtXf2DtWFEBwzqA9hbqUIfg5sBagYsCWgxNo7lKU7oXtz/w + wWc+4oNn5TQVeiAuMJny1OFqyLH5VXhFNSnu/tz5l7bkghQ9xtFA8vyuC89myQIoRCRtVIQrgNsG + nHmYcpfqMgKmDpFcxwogiTQ1L2Tr3c98YKHngKOFDs5V6S6A1r4sb+xddYWJxbSCg+WIxZSTbA54 + u3ltVLgn392/vir7d3hTiW8nUsBvexGBO2qFqmbJUvCup5575sKLskriZ2nAfRLZeqO66TuNvpMi + MgxD27a/8Rv//ac+9cciwLqx5i3zKg8jInPuppmN48hpEo+Su29OFp2bfpZSVHXukXrPaTBERPRo + pJQWi8U4jvFXVe26LloAxO3R4Tquc/QmIiIiIiIiIiIiIiIiIiI6Ffq+b9s2OkFHgEHTNMMwPK7l + iSq+pmmioi/KBe9ShxBFaBE7HbdEeeFJLU9kftdaF4tFVLUNw8CYBCIiIiIiIiIiIiIiOl1qrTGX + f7FY4OYp/OtrBaiAV2AP+NqAz7/2o2tdgzbHE/UYHVPck6i7mztEren2trb/9V+/8tW9YR9Yt2CJ + 1hD3mJQ6nxICMAxDTG4lIqIw1hFA0tSmdhx7AYrDo/GOoIy9ihpUFFj4q3/0z/3qq6l/uxNvFeMI + zXCFAZCpdZtgamnlgmP0XhoqVKVtU9/DrDZJUq1d3d8Zr37+D34Xdb/JnpMAcECQDov0jb407u7u + fd/jtk41dCQ7OztYd/WptUZkddM0hz2+bds5xHrzYKDruugRJCJ93x/nCIFuw5VIRERERERERERE + RERERERERPQQ5awASq1N3tZh8dF3//yF5vnGtyEGQTKkemtC7ZFYLUDx5JeXb778vc+WnaXnUuoY + gdkuqOqQCrAGmuh0czETc7H4dcPTHCIrmqsAWdxdh+4j7/2bz+y8kMZWjp5kL4C4zk90gUnpZfnG + 9R9fvv4G1CFmVqoXO3vxYKblzSuv3xiueleqlioGQHRdAI1b82uTYVqT86pydSgSRAQF7dD+4od/ + edufbnMHrY/uk9A7jgMRMy9T3HwCIn7aio2pkaqjuze+8+zOC1KQBPeaS3XLGyhMLp2/CBMxERdx + wDwd1m7P1SAGdYGJuRbXAqkA4BmeRVzNUa2p+cMf+Fgd0tGnDhiAGr8u9Yp6+e237vSZ9GEHWs+i + +aCIQP3K9SvVR1d3sfmT6WHr3HW6AHAUg7uLSBnsfe9+f2dbUls9+nhOJyj2vOvR/iAlvdY6jmNf + xm5r5x//4//h4x//PQAqSUTMUGuNWZQxLwXAOh771ou7l1Ii2Tqu4z7CsOmkRAvUecpoTHSZpw/N + DVJFhNNKiYieBO6+Wq0AbG9vu7uZ9X0f4/ZqtYphPEbsnDP3p0RERERERERERERERERERKdC13Wr + 1aqUEiVbKaVxHB/j8kSMdCnlwoULtdbop3z3OoRSyjAMsfwAROQuMdjHEC2Yr1+/Ple1neCLExER + ERERERERERERPWwiIiIxIfTKlSsRZtm1HTCFmE4PcwOsQkdgD/jdr7z82k67a7XrumF3T44TIyrI + OavVMrimvab5HuQTX/nKPoAUfUtcXWAo8LLRJegWTdNEi4lhGAB0XZdzPtnzQUREp1qbktu4Gler + OgBo20ZTA1cfLasmQLSmpvEyYPc66o0v/X+/f17387iPAQq4IyWomABparIHQB1q0OhkdSQiMHMR + jKU0bdM1qa52F+P1ve99CX/xp/C+jNXdBQKoHTr8A4CZuXvOOUZ+Dv4PIqpBYh3WWmutW1tbdykR + GYahaZq5ZmMuxrh27Vp8KQC6rosWE2wN9IDY44yIiIiIiIiIiIiIiIiIiIiI6P9n796fLbmu+7B/ + 19q7u8+5987gxbdAAhRBiqJIipBEghIZUWVbkWPLsSv+wa5Kyn+AVKqUHSup/Ob8lFSqUimpnB+d + VEouRZKtqjiWSIk0xZdECSJBgIBAgg+8BgCJ12Be995zuvfea+WHdc6ZiwFmiBnM487g+6lTd+6c + R98+ffrs7qpee32vFAHaVIahM01uKZXZTd1tH37vx2ypgEEgjmR5VSl3CYGFAnF0vRZg0unr3/2r + RT6JzqzUWGYTmJigCiIwlfVCRNcxFwCmQHIBdPONrm6py1Vqa207bW/hyAff82GUi6/DffU/ap7r + 6HtPPvUYUFVXZZ1y3oDWG5ZZffTJ77euFp1i07pD5GxUrcnZ0NMIQI2HXDaTZ9REU5axNKl66+zW + n3nvPb6fZl1f6vTKYGyi184FLlBAVhnJeXW/m3aoUlwhpXvrze8Ul6RnJ3S9FgqgyU07t3iDV4hJ + pGJHqXf86rKK1j5AVlO3pAFtNY/MJOc+pVTrlD0N6H/89vd5yXIpsc3uLuZu4qp47oXnXczFTAww + iMeZ1dX5Xul6g7jA1V84/rzqqwdZC/DK87HNaC1JVeHu3pCQ3v2u93hJnfQ8hTsUIs36QFB6Smk2 + mw3D8Fu/9Vv/5t/83wD6rm/W1k0pz0Yju3s0lHz1BYuYWa01ns+5i9dE13WlFHff29uLJqTuHj1G + 3X0cRzObz+fXejWJiAgi0vd9Sml/fx/AMAxYz1pU1XEcAaSUWmsicm07XBMREREREREREREREbZo + KyUAACAASURBVBEREdFrF/UAm1jouOdarYyIRO/jxWIRnamjqOwCL1HVeAuRx3B56wBzzq01ANGs + eblcRur2ZfwTREREREREREREREREV1RrLboulFLm83lcgllOox1IkhaP5hoGqKMrSN+o09efe6rN + 8qp9iFxsqOmqo0cSgzR0aVfTN1588f5aR0AkuVXAoolKc79Ab4+YsppS6vs+ZrNGL4KL3AxERDem + 6IiXNYmIKgxYTKU1AAkOuAngzU2ic+aE1J7+wh+l/ReHVqS0WYdSIty6KSwOBwI41CVuF70+WZAA + WffIqrXNkt/c1b/+099DOwmtLjBbPYrzj+ebAoBxHCPT+gJNhOhH6rrOzLquAxAlFovF4gLPV1Uz + M7PY7O4ex99hGNx901AiajziJ10y7tlERERERERERERERERERERERFdQK9XdNXelwSfJdfi5n/qF + nflt8ai6ine6inLMdiCn9jVKCYC5A508feqJ7z7zcMWUNalDHE3NxBQloQK4hOUT0eFhYqvg5HVe + ssIgVptDUrUCWPbBF/rB9354e370YkOtN4t92R9VmDR0/r1HvzPWycUkq4u/8RKYrbTy3cceQeeT + AwJVuL96NXJsSQXEsRl11QEIXFzEGrLrT931wdu236ZjNoMZ24rR5aGrvU4BUYerG5prcTG1/Kab + 35rs4s8EXLJ2Nx25OUsWF5GIaldBOue8YpVsLRb3mwAwiJkaYIC5CVwdTWBJHCY3H7n1zbe+7WLP + T9yhKhAxQXO42LMvPHvNp3uJJBFx9+ePP69ZzM6uz6slXB+kgMLVzHJWNBeRI1tHb3/7u8RU0fH8 + 7RoTO5hmvVFrnabpd37nd/71v/4/AKQU2Zka81UkKfTsofhgq81zxESLWGBMX4yfdHVEI9Raa9d1 + 0zRF089SSmstpTRNE4Baq6pO0xT/JSKia6iUMk1TzFQUkXEcc85xnFXVSLmOMXwcx81BloiIiIiI + iIiIiIiIiIiIiA6zTQNiVd1EOF/Deq2UUvQ+7rou2ijnnOWCMQm11k2r5Vj/Cz//otRao1P23t7e + NE2z2azWynbMRERERERERERERER0HYnrLzE/dJqm3d3dmBy67sahACAwwfoSS7+PfBL4zIP37cNL + LX3Xo13sn1VA0Rq85ORN00ulfO6Rb70EFMBbS7AEa26rrh7RT+XVRDsRM1sul8Mw1FpLKUw2JSI6 + y8zNID62qQGiCvTQuWpGw6AAYLUgaaeC5R5O//DBv/qzOUqfXAyqaK0ImnhVGLA6Qhi0CdrFX353 + s5zEG5Km5qi1DuLHHnlgcf+XgF20Fh084dEX67yiACClFO1oVPWaN7m6rsX2BDCO4yYy/MLH09ba + MAzRRGIYhijGGMdRVUUkugZF1vVm4XRpeFpDRERERERERERERERERERERJfsjZdqevHm21u7ewuz + ujUbsqeu9Lff9O673vQTufXqgGc/ux1NXQ9moF5QxB8iJUyTQ+HapnT6/u98da+e1rkCFnmqJjCJ + fNUI5OOn9kaxKXuUVf7x6h6/bM2RbmSHvmj0ZaNExDECSKmzgrnu3HnLXbfv3JFaD6i6AnCFKVxW + O4CuE6w392y42DlvvwGWp0ef/84pOz5JMbg3kXZOSmvE6Kq4ymqSiMlq2IGJmhzaesXVagNqAlsH + l8ZWMTETA9TF9tPJJ058V7O1AgCqGYB4vMTwo2NrATQA3jDXYW47P33Xz+hShzQrpUiX+d2k1yN2 + XPH4oplLBdwEItKau4kiJejOMHf3Tbruy8eE+BbD15Os1DM89kzdxtFb+rdkzZ7N1CHi3uKF8Udf + cQJj66FU4UltvfDk5rW1lnOvmtvShmnrPW993yps2zOgMcvrnGHKZTXKrO4BRETF1WGGpv78/vNj + 3he0tF6TdZb21RjSbZVkbJAyyeL0/nFkdwCuaiqIkTBW3jQmMwgccCgANROYurUKTdKArP1t87e8 + ZettqWUxl0N/WHoDcd3cVPNnP/9n/8v//L/WChHA1eGynibhZq1WxL56wbkT0XkzZln0fb/5SVdH + tEONSNTY8q21iEoFMAxDKaXrOnfvuo4fDRHRNRdJ1b4GoNaqqqpaawVgZtG1OSKuiYiIiIiIiIiI + iIiIiIiI6PDbVNnVWqPLcFQIXCub0Ggz22RUXyCmutba9/18Po+CwEjCvoydrFNK4zi6+/b2dkoJ + QM45KiWIiIiIiIiIiIiIiIiuCxFoHWmgfd93XWdmkiJJ+mxXtGiUFiZgF/jGqeXjZ07vd0MD4B6t + OnC2G4kjbhuOA88xdHAxN2nanQSeWC7/6sRLe6seQEjrJGtVWb32PDa5m7PZLKayDsNgxmZuREQr + oiqqzVr0rjI3JEEr0euqxQCbFa25O1Ag+499+vdTPd1JGxdl1qNYS6tSARNf94Db9E48O7q/Ju4i + gsU4iqJLmGfI/otf+aPfR9vtfAnYep0gqtALdX/LOS8Wizh+AbhA8QD9SBEQbmbR0sfd47/ne360 + A4oM7NbaOI5RLDEMQ5R2iEjO2d1FJAoq6JId2jaRRERERERERERERERERERERHStXExJibCs9kIc + WLro0ImMZf/kPHWzOksvDf/w4/90Z7wt17x0r51MPmoyKzXB1fXAbVVmvbmtKVwdCs/WIBAAxcZu + Xr/95APPnH5yX/dlJqWUTpN6LtYhzdxK8sKP7AamUWMPONDWAcabvQiACZquYjUR9fRvyJjMc4Kc + z7cR7PAVjsanuU56NcBM4EAtYxZ00luBext81r2081985B/lOkPVJD0a0gxnCtBnT1mB5LEDrHeQ + 9a4Suc4mpqapKTw7NCXs26ndrece+OFf7nf7ntI8bXdtto6vhriKq3gST6uxC65oiibuJrHO6bAl + W5uYCQAVT2qdeHIxU3d1a61PfWttiYV17g2e/UuPf275plOLaTEXiCGqps3gYi4m6y8gABc0gQGl + We661gCBJ3gqipZrr3vDh995z7tvfn9fO3U1VdPE0ZleH4WruooDOkIXLgbATdV7Lb2WlM0VTYe0 + amIXE7QQodSarFfLUDEBFA6o92J5MiTp79h+35v0HdXqlMellprc4FEOrrDkrrAYT0wAmKIpTB1i + KbdebXB0JmqylFzcpJlMtc26o/2prZ9/zy+kIlYw73daTSlBAanotQPQBFXhguTIBgAuEEFST24C + 9Kmf4McWT5zqXkwydtXhyRyamuZiZiIKv6Ljj47NTBR1gixrv/fM3tPLtg9ALSfLyVZbu8VayOoI + U1WbZADJrW/WGTqgtWaCVvI9d/1if3o7FQjqZgIeXR5iZ28r+orbWe4tJSm1mnvO2V0MGdp/+c// + 8r//zf9xuWwOmKNaSzmbVfcG2NmJju6buROqkYB+tsFlTIdw91qrmUUjy5iKedW2xxvcph3q5pf4 + UDb/je6oF+5JSkREV5mIHGzNbGYHj7bxCw+mREREREREREREREREl538KAefzOs1RHTdWS6X8Utc + g26rTsp0NcSmbq1tiuiu9RohKhMi03ojuiRvdoxNuUJkYAMYhgFAtEu+tL/7qnWGrbX4aWYppVgB + tmMmIiIiIiIiIiIiIqLrSFx2MbPN9ZdpmgAgNaghizi6Vch0AgBtEVa9D/zB1+87ddPRBRJc4Q6s + ehtZQlOLzj8u7gK4whVVYXDxpsV9H6jSzfbQvTDf/v177zsNFJ0bOkAL4EACpDpc4Rmum0s/cdEq + LtkcvHT4yh4FREQUHXvcxAy1ldkwQ1sCxWENGB0GoExwq26CisWLOPP017/6OcOi78yBhqFYclET + c7VVn0CHOOAwwAT2snxrA0zcxC1+x+oVcEBUFst69KYBZm25n8fj5fnv4JF74WMtDWZwU8CwOrAA + yDm/6iHAzLquE5E4hK2OX/S6RdlDNFyKe2Kzp5QOFl1M0zQMQxROHAzAjg/rYAcnep14WkNERERE + REREREREREREREREr8SqksvDBBb9YgQqDmvJ05Zv35zf9JF3/8J2uzVLqlo8efM675PX9iOXeYAC + MINIMsDFlrbfuv0v3/+5Ol+cnnZn87lVt6kO/XxqVVWZaf0GEWmZ8VPidqCh1iru+tqs2qEj13mr + sVj9TkXM0ZAlq6q63pxue9dN733HkTtzG9CAhOWEnaPYW1Y0i3d9vjxvlyjn1UjJBSAOc4zpzEPH + 7l/K/sKWtVpC2izBBcDLGm9FxnOU+aoDkCucKft6HVh7c5iompmq9n3fvKYOY15++wffPGUvdX3q + pHNHaw5HUo0scBzYnUxgAklZRKw1EUBRGxzeat3C9rbd8rPv/eTMblJXeAXUAB586XVTuCogaJAG + mEMTUkJK6LMnhfeDntM/1jbnBi7iishld8jqIJJU4VXedvSds7olIk2rwV3X/fIi0N1tvf9vdmNb + jwCqltQSPMaMClQRESRI6qS/ubvtHdvvfPPW2+Z5tre7mM1mpcAMfZdaqXHMiu8UXj5qmbm7i8Mt + WcKYd5/fe9pRFaJIIsmsuJuI2JU//cm5c/ekMB9Pji/t1dMRK64x4e3lnQkPDpm+/kUd6sgdWkOX + Zn3buvMt79/yI0Pu4Tx/uxIu4gxgM3uh67rd3X3VnFK+775v/PN//t/t7Y1d36eUYlJEbRXnb0QZ + 8x+i0aS7u3tMmYjpizlnVd3MnWCCMhERERERERERERERERERERER0VVTa53NZtF6OEq85JyCS7qS + 3L2UsqmyO5hncKiY2Xw+36xb/FJrjRWOCsCu68ws8qcvdvmsMyQiIiIiIiIiIiIiohtV13XubmY5 + 57gqp6putupTUl0Bc6SUKxwisAa3AozAvbv7D514aT9n5G7VD8VdVw1PDgSZIrp5rLt8iEFM1KFe + Jhu7+V/94Jn7ptMT+mKmUIW6oAk0XucJEE2p1hodJKL7UNd1V3lbERFdj2qt7p5zr5pF0nK5HIYE + VBwMonYAFk1/BgDTmce++EdbfiahTIs6ZGmG9YEhwqqBVS88YN0tyF7bpXIR9H1+8aVRRG7Zyjfn + 8bP//v+C78GLrnszHpRyjregqlG3cLahUK3jOEas8nK57PverkJDqxuaqm5qIZbLZfyC9ZE3Hjpy + 5AjWdRTjOPZ9X2tdLpcHCyfo8mIPRCIiIiIiIiIiIiIiIiIiIiKiK0Ud8KYQlQGSm9ZJRukwz/Nf + +OAvz8ubttKsTgWdmEprTQWAmViEpNo6x9Hk5cXTAGRVbycigIlAciriU5oeOvaXj77woPdeXMQ1 + iahMiuYCX4fUEtGNJOcc0zaiFraUIiJHZ0d//gOfmk9HkmAqgMGKbCUoDK6ANoHJKitWTWOeRkzY + gBhgLjHUQB1dQvX25A8ee/bMMz5MBSMSTODiLmZiLs3FXTzGLoe4KJABAVQcAjtfkPa1ZkBr2lya + ONTVDSnLZEVEeu9RW+kXz5x6/Klnj7W22trxSr/gOzJkl2StJoU7miMl7aXDmfSBd979k3f8nNQt + F0Mq4kA9b9A40Wt0sOBezh7udd1ZUQwt9Z2Yx/d6/VSsoqO1QQzmKfZtRZXJtHXoUkl33H6HiCg0 + IUWvxijBP2cVzjlbeaXNS2K8qrWKyNaw8753fUiXPaQhFTOoAJomcwDiSAZ1GLRBY0hRRdSWi4jB + oW6yfOoHjzVRc3WJnpJwh6q6X/HOhqraqoskAC+ceG5R96ExE84g1mT16cRIu55mEYNtRHerAy4w + hxvy1L395h976223OzoAzSuElfSX3WucoWIQM/hYJgC11u3t7b7vH3744V/7tV87efJ0zjpNU2vt + 7Nfh/G0io2dlfHdSSsMwjOPo7rXWmHUZy4nnvP53SEREREREREREREREREREREREROeQ8+i6TkT6 + vsfLQ4Wv9fq+UURZXVTZbariD2dCQKxY7CFd1y2Xy0ibTinF/aUUALPZ7BKSuVlnSERERERERERE + REREN6poiJRzNrO+7yOx0sxRHSrbXUpAA0arSAJ4hmSgCc4AzwGffui+sr1dTFwVEq1SXBtS03Xg + qYirQ12BBCQYVFwNCu1bk5q6/+/Brz8LFLEEy9EIRADF+qKOw2GtpZTiumFcl5mmiZdmiIh+pJzz + NE2RNywiAMZxPN+THWhJAOAHjzx+3xdmUvouJUeOR0XFTdetrMRjtIY4FFB/lVBqrB7BZryuY+0z + tneGWqssT734+Lfatx9AWwBm6/5Pq45ObvDW6rSpW4g+QmYW1/1FZBiGePTSigFoY3NITSlFZPUw + DHFuoKqbyPC+78+cOZNzbq3lnAGM4ziO43w+B8BY6yuEPWqJiIiIiIiIiIiIiIiIiIiIiK6gBFGH + WILnilYwmU1auztvfv+Hb/85P+OzLCLigtrQqawjIV9DvZQYxBTi7iKAuifdx6Lt7H35gc/UWd2r + C0naJV3u7+eszdGYaU10I4qmadFADUAkxaKkj951z7uOvFsm7XuklOvo8z5LBaAGNQFknbEKhecD + izQTmKzGInX0mkurS4wPPnqfzUfLtfrkgEmMVmZiJhWoLuZiJjCIrTOt1aE4jNMz1BFr6jpBqgJq + STUbNIpfpYrC9/TENx//6yJjzmit1VpVo13dBcpbtdaqqiJQhTtEkFx7m2+3m3/p7r+bxyNtkQC4 + mjqScXymS2fnVNn76v/i2DRVdEFzi5JsN8ChdvbpTc3FAFPXBHGHC+JLrSXNZOv2t77Tm6urIokr + XNxWY85F2YxXqioirbVSik9693s+rovZ1nwYx2VSUemnWiXBBQokh7g2yU1yzCsAYBbfLHFvIg1S + nnzmMc/aRIs1WZ0buarqawwwfh3EHGZuol3+wfNPtVRcJSU1MZfqAo95EZsBwxXxRsSaoolGsvXk + 6HXI+93P3fVx9a3S0tTquenhdNWllFR1Npu15qW0J5544td//ddffPFk16X4FnRdV2sFVt1ML7Cc + YRjcvbUmItFrEkDOue/7UkrMtUgpbfpaEhERERERERERERERERERERER0VUQ5Vurskb3WmvcQ1dH + dIUOpZTICTicdXSttdg3tra2AAzDUGuNkvII5FbVYRiWy+UlLJx1hkREREREREREREREdKPaXH1T + 1bjgknP26EdUvE1NI4tUAThEOkiCARgFi4QvP3fq8cXiZNKC5AKXVSMVONQRmdZwNUFT1IQanTpc + 0XSshiNHv/HMUw8sxt2EhddIxtZomyRoggYkmMAjtTSu9YhI13VXf1sREV2PpmmKfOJIfTazCw+h + tQlgSPvf/PTvzsqZ7AWtieCV0boCSx6NfV7ryggwDHlvv6SMTmzb9774H34XdgZScbY719nlC6zv + +01edfwS4dbubmZx7T4OYZvca7oEm2qH2Mirlk3AOI67u7vxUM651hoNnUSk1hqfwjAMmydcu3dw + I+NuTURERERERERERERERERERER0BYk63GECqGcgmbtrScNi5+/8zK8ewW3bcqRWq+59L+4OAQR+ + 8Bb11tADt7PR1+7iLu4orTUtYyq2vXzo2H2PPPNNbFdoU83emgAiySPIlohuLFGXKRIh99J1Xc45 + Vb3Z3vzJD/wtneaDbqOlec51UWM+xWaE0Yh2tgzP6iq+Kis0jXRqUzc1tGIuJjM88OjXnt17Uua1 + +tLEHLJelLmYaTNtFsuPqGxXQAW+GbUOk00JpZk0kyau4pqQ3MU1AUBp/ZCOt2fuf/JeHRAzT9yh + ing758aXOjajNgBVlYgQTsiKtrS0yD9z58ff/+aftlMptUFEzGqGp0vIByZ6hUiaV5ytnXeXOHlo + 8Ka2bMXdxQXIkTofr/JVkj1gLg0xe6sKHE1LfsvOO27dvk1bgom4ClRdBa/M035tK2m2aduXUkop + ZenvvO0n3r7zLhQ3h6BrnpqoZwUgDnGIqUkySYhR6+XfGNPmaXruxaeKuOVs7ibIot4g7ldhGoD7 + KsxYszzz4lPSNXNxF4iZWNPVphJfncupZfWM1ca3ptZEmwCC7Pmov+kjd35Ua++mqcsxhY4uJ9ez + t9XZ9UHnHrBadbguFuNsNnvh+PH/5p/9s2PHfjCb9aW0UtrmaTlnhXg778GutTaOI4CdnZ2YL7FK + nXdfLBYxXyKO6dM0cXIjERERERERERERERERERERERHRZafn0Vrb2tpKKXVdJyI55729PZb3XjWq + eubMmWhG3HXdYrHAukP0oRJ52621vu+Xy6WI9H0fu1CU8YuImUWt4CUko7POkIiIiIiIiIiIiIiI + blRx6cfMAKSUoveIu9fmAmznQQEIMOugBnVDS1AIvMNLjuPApx9+8PTWfNLkLk3WjVUM4qKr9hGr + /hxN0BQuUFf1vER+ftb/+2987TQwCVxQUT06S0R7CYULBNbBrBUAwzCoqruXUiJK81ptNyKi60Vc + Rs85b9KgI4H4PBSSkBMWL+CJB3/47a/l8WSvcYUcBnVRg0ZXoOQmbgLTVZPOl3E5N6Z6xVoS1Am5 + LY4/+k17+Kuw3WRLOKL1FqDRby8BCSjT1HVdXP3HuqHf6k+4b+6MwpJpml73BnuDirxzM0spjeMY + hRCxeWezmZnVWsdxbK0tl0t3b61F7UQci7uua61FVQlddoeuTIeIiIiIiIiIiIiIiIiIiIiI6EYi + jugkIyKSVFRFuuxdmro3z972dz76q/WE9FA1mHm9hNRCSapZFA40IM9wcpzKMH7p/k+P+aWl7Dev + fTfY5Cl1IsJgRKIbT6TDYh0WG/fAEnb1Z++65663fGj/xdZZhntr6DuJvOpNca54VOXKOoUaEJhg + M3+j1+TNUt/VNP5w79hDx+6zfiG9uQDQiIIWx8HsaouaXdlkhUbY7uEVsdwAAHUXa0hIIkmyt275 + +IuP/HD3WMEy6oxTUhFpzUQu1C4tJQGsOppBFVnV9tOtw4996u7/XPa6mWzPUq+SY95KYtc7ugzO + ZvGu90sVqEqK7HlLdnr/lEhKSKt8ZYEiMq3hYoBlJGkQgQEOJMjQZj/xYz856ExcYeKGhOTuKvkS + pl1F4X7Ui8cEMwDJ0qwdufu9H617rc9w99pMc3KxCN5en72oSbxNuPsqJxpqWt0L0nhq/6Xjuy/U + 7EjZTUSSmG86+l1RCsmaLPmyTc+efMaSuXuzGFvgiNluUEAc6yhlADFPwgBrAhPNKhj1vW9+/239 + 2zof3D1pV6zx9O3aMrNoOnnixInf+I3fePLJZwAsl1NOOacMIGbRxJSJC7QxzTlHE8nd3d3Nbhkv + mc/nIrK7uxtdLNkLlYiIiIiIiIiIiIiIiIiIiIiI6Eqw81DV/f19rOvBzGx7e7u1dq3X9w3kyJEj + 7p5zLqWISHQrvtYrda5pmqIHd7SojvbKm/jqSDUAMJvNUkqXsP+wzpCIiIiIiIiIiIiIiG5UZjZN + k6qO41hKifYjSbSVNvTzRR0N6LuMscABhcMqDKIQuGAJ/Kdnnn6iTsucV3GnEW0qgEPWXTnEIW4K + U3cAJuKp9yNHv3Ds+1+zNsUFlqQu1mCInh/RA2TdckmB2Ww2jmM0RYnOQhfoL0RERBt93wMQkdOn + T6vqhZo+CYAMb9kr/NTXPv17t6aiXt2jix2aZJP1E2PEvsgGTMup9ll3ku/4/p//8R/A95NMqyZz + EMjZgT1aQaliU0ACIHKU4y3EPbXWeH5rLd4pvU7DMEzTtGlEFoUQmxqM2PjRrExEcs6RdZ1Sms/n + 13K9b1w83SEiIiIiIiIiIiIiIiIiIiIiutIMcAPEVTypJUXuNdU9+8SHfuXHb/3Qdpv3QC1wRK4k + 8LIOM5EOu8qXPXgvAFcxQIGckBXuKAKfp++98MDXvv/5fTleU5U0WMvaJDEUkehGFPM04vcIi22t + iaGX/ia97VMf/vs3+4/1TVFbSvCUTC3CYqNO1wUm5uKGs0mrEcIKAAJpkiRJwogldqZvfO8vX9j/ + Qesa4OKqntVVXdVFXdfpszCoQTyGM6nAoWvrpgeSZdebwlzMDQlZLAEoubyw/OE3vnfvlHeLjTFd + RcSjS1pKcv52aQaZGibRqJKGVr1J33LPT/7iHbfehT0ZUhK4eCfo3X0dqk30upxzquACWVVmtyqt + 5XJy7wQAuCLS6Ndf2NW/YgrxhiTiDhEkydt25Kfu/BBK6jSri5ioiFXPksVEX6UW+Wy69qusoXvE + A28K993dq8qy+8h7Pvqm4S1d7UXEtW3ey4H3ZJs1dYekBM/uDvWGpgnFxieffWyJpXbZDLCmuipM + v8QN+pqJevPqYi/tvfjS3vHRF0jq7i5wRdNYbxVXuAKQA1tJAIg1NYdqy32bf/R9n+jHndQkK8Za + IOlKr/8bjx64HfTqe2/qO8nd/nL69d/4b++770F3dF0CUFotrYpIHIgXiwWAaCj5qmqt0fA0DtbT + NMVra41JNdjZ2RGRcRy7rttMZSEiIiIiIiIiIiIiIiIiIiIiIqLLRc5j0x435xy1jtM0bVrl0pU2 + TVOEN9dau64bx3Fvb+9wxgNEBewwDFH+V2tNKYlI3/eqGrXl0Xb5EvYf1hkSEREREREREREREdGN + Kq6n1FqHYei6rrUmImbWd+n06dMOdaBMFQYkRa1FZAJS7lEBxwQ8DXz2O99epGSyjkpNgAJ+sFFE + Td4682xIBodOkp8z/3fffuBZYHIoEsygaIBFnPWqAZE64IAkWS6XALquc/dSSsRtXqvtRkR0vRjH + cXN1++jRo3Ht+0eoZSZItsR3v/7So9/waU9VALhojNLiSH52BF63bLKX9wh6lQ5YDszmw3J/r59O + nnzywenhv0Y5Ja1lObCwdbL1ZlmttWEY4nL/MAxRRrI5CqSUzCwe5fX6S6aqm4KQWmvf9yml6N3U + WgMQ1SPuHhscQDwU5Rmz2SxaPNGVcBjLdIiIiIiIiIiIiIiIiIiIiIiIbhBigIsIok6uwarAEjyb + 2S3bt+X97b/7sf9yZ7mzVVOXsuT8GnIXD5Y4m8GnWlqDAlkwLbG9c+TMuKiz3T9/6DO7cdkxMgAA + IABJREFU+XgdlmOzpL3XpsJca6IbkJlFIWbOObpfiUhKqUv94nT50Ds/evcd96RFt52H2rBs1bHK + iY0IahNzsaZnxxaXdZCsQByltKxdsVJk0m177LnvPP3So0uccTF1hYt4Es/iMcZs+odJ1Ci6GOAQ + w+FMbnYFoK7iaoAJ3CVJdpfq07Lbe2b32MOPP4i+ao6mdTBzM6hKSqnW846rzdyB1CkUbYKMs7fM + 3/nJn/7bZdfmw5a3YnVCQ596E7TzxwATXRwxrLOTAbg73BuaoRadTo9nmjtMDhYQx56/GhY0u4lq + Foc6Bsy2sHPnm98rVVUyXN09fkY09cWuXSklXhvV5ClIyp7fcfM777j1fbLXddql5FObEOOIA7I6 + p1KYbeKuRVZzwwRNIAlN67Hjj4+yi04iMzupXJ2ekuowqzWVk4sTi7o3+ZhyFkk4b8S3YZ3RnRy6 + Gio1T7Nb5LafuvMjfRmkWp/zOC6GYbgKb4FenStca7VprL/5m7/5F39xb84AUEqLo13MP4l8dgBd + 103TdL6FxYSKeKaZ9X0fcydyziKy6UTZ97275/hLREREREREREREREREREREREREdPn4+QEopUTK + NYAo5brW6/tG0fd9/JJzjjADVX1NTa6vrohYSCmN42hmUekXjZVLKWYWhYLxUNx/UVhnSERERERE + RERERERENyoRmaYpLnCM45hSKqWoqhu6Pk+AiaYkMKAaBI4mXW7T1OVeDAU4DXz++9893fdjSuKq + 7k3Mk8FX/TtcDeJwg5m4iUmTfELkb146/sCenRQ0IFWBr/oqnb0W6ALAgAa05qq6uVa1aZByDTYZ + EdF1JVokxdXtWmtcXj9v3YUj+i9Vh7jBT9/7p3+Qy5kurR50OdsHz0VdLnocLg1HtobZdOLeP/5/ + UE8AowpW7eIEgK677K0OI2bo+z6OUK21cRwjuzoOBNHiLzpu1Vp5vf712N7ejh0jfm7SrKNRmLtH + 6U6cJ2weim3u7vP5PEop6LLj6Q4RERERERERERERERERERERvVYi4u4icMfVyQi8AbivytXEXS11 + 6BLU3SGyXE7ztv2R2z/6M7d//Gi91cfUDHAooEBScYc7VHVTPuVivs6aDU1Ns2SBVGDCPOu0MM25 + 5OUP9h774gOf3ssnWm/oOlFH22RB0qETzbDW4Yhwh1zSpyUHXhZpx/y2vhabBmSbKthLaEMmr/jM + XnnPlbBZ84hx3fy3ee11yLvzX/nZf3CLv9l3teuyJUDhBmnoUnZFaTG2rIeXs8td/dt1XakVKpaw + W/f1iP/pV//YhyVyq3Xqcu6kkypak0/ey9lyW4+sXMTCD3MZqIp38GTqJgYgpQ6wkqblzu7n7v8T + bFmk08ZBUASqiPLi8369BJ1CHA02pF6nYavd9iv3/MMjuKWToVmBlJRcHN5WJdTXS9+72ME2X5nX + s5xXLoHt/14387PfXUTtvlnLWaW3Pewee/4JpLbJmNdXbO9xHGfDUJZVmsylK6f9Z3/y5/s269BZ + dUVSpMilNq+ibvE3DuTW6wWrk6M6PAYrrI9TAFB8hq2Pv++XtsttyQTSYK6QWEMTuEBQBRUCk5gJ + YIq8SqZPmBo8+7eefLDNlpNPoqnvs3ubpinipa+o1moadNLFd556BH1LHaZpUtVzTrw2I6GLmZkJ + kiInlCVm8+TN5vXoPe//1My3es1otdXl1tbWstQrvf4U8e4AYgJJay3n3l1Ekrt0efiX//I3P/vZ + zwOoFQAc0NQB6i6bfqYANj00VXUz/+TgRJRN6LWIRP/KzUu6rsN6bLw65w+0cXCyymZ0woHA8jjL + Ao9TRESHQGst5xwDckopDppxGB2GoZQSD9VaeTwlIiIiIiIiIiIiIiIiIqKL4u5xAXrzX156vpo2 + YQCboru4J/67mRNxbTMDouRvk1cdPazDwdqzgw8dLAt8ZWPreDubAsKosK21mlnXdVGyu6lbY50h + ERERERERERERERFd1/q+j18i+jSufbgBQPVWYLW5ANKABgDeJjjKWBUwYAn8APiTh+7fHToRkeZp + SIuyRFaIm7SGaKjSAIMoPBWTMzcd/bdf/fN9wBUGFUnJkRqwyrFWQKLhhAvaup/JwT5Um2tDRET0 + Gp1zoT9sGpet72iqUoGUgHpm/xtfbi895fsnRB2KlNAKzAyqzcWgAMRXtzUFtJQyDKuL9dZaDN+q + MIPURX32kfrgV4BJHBWoeFlzrhBdh3zdF6i1pqpd18UyY4UPljQw0/r1O9gmIvaTzRbe7DabGp6D + hSLnfBx0eXGzEhERERERERERERERERERERFdWaukWJdkqqYAXKzARHTuQz1e//49//hIedsWjnTo + k6sYUOGGrCqC6ETzsiXKwSXXCGFVQzIkU3G4+KSQbfzFg//pkWe/2ebTieXJfp7NSyT20eF0sOby + 9XcZ2tRuMn7vElyWTk8Hcy6vPgPGZkO/3U/9HUfv/M9+6m/P7Jb93ZoFItKlJJ6msbqjmwHp1WdQ + RP2umWkCYFC4osn04uLZr3/n3pL2u7kup+U4jn038ybDMDcz8cjK9Yi5NQEOcaa1C+AKz0A2gYl1 + Xbdc7roWzOs3j933/ePfKamWi5xhoo6sog4x2Jjm5dYP3f6xu9/9MSyyAC7NxCCukToMtVcWOxNd + JBdsQpQFMIEJRAQqk5Wlj6fKyRPL464RKX32W6nrfGsX9H1eLJd96rfSji/yjt98zwd/AWMSv7LF + 9F3KvsT73/7h24/clabcJgxdcvcYhRxwMUEVt/jvhgCQ1blWUztdX3z2zNOjLRus1ClmJhjcruw3 + zFy9+DjlxbEXH5t8KgaIma07Eq6mQ6y3uRgA6QCgNaBgq8PeqbbVbW2XWz/y4z8vJVut8663WnkQ + v5oiZ93do++kiCwWi9ls61/9q//pK1/5ihnilDxOEDZx1OdbVK01pdR1Xa11Z2cn7oyz+mmacs7x + tw52QaVrYjOnNMSXbpM4Hn1CI++cbWqJiA6DlFKM1cMwRMR1DNo553EcN7NDYy4oOwUQERERERER + ERERERERERFd16Zpiqv/rbWIN7i+5JyjUDCqHWqtIhJVDRHbYGYppah8aK3F06IcYpOQzbo1IiIi + IiIiIiIiIiK6galiuSgVSLM5BDmrAqtAywPBpQ3aoPvAn3z/ez8Ua5rRfKyl25qhVgCqydyh7tHF + Z6xo4vPtL37/e08ACwAOB8wtQxTQ1eINm84mslofVd1MUI2rOZyvSkR0yeI6+Gw2i6477p5SAqIj + lDVgaoAX+P6X/+Pv3pbHDigNi726swUVbQ7Nqdp5WzANQ7dcemut65DzKhPZSs1ab+39s//u/0Q9 + gbYE4BBoinUCEBm+Do0b1lfn+743s8ViwfhqeqNhrDURERERERERERERERERERER0RXk6zBXAcRV + AJNmYhWSU18Wy5uHW942vOfv3fNP+nG7q0P2Tj2JCxoAFdfWmkjET67TEH19Wy0XcBXP4r26JhSR + SZOcWox1e/nHf/H7z5en8k31xTPPDVuds6HNoRQhypvoysvVd+iyZDO/oRzcYpew6Q5d+GhO01SP + 9DM5g1/6yK/ecfQD2zIkYNp3NO20i/fojmamihhkzsbirt+NwUW1rd9dlXqqvvhXD39x148v5FSa + AZ2M4zgMc3FYbboOtEaERkca9uGzeYO+ysXV+M2kFRltPu7K8S9883Nn9FTxSSS9tpJLXd0cVhwF + vXfdOLy1v/O/+tR/jTP9zGeAmdQY1sVNUR3qyFc4dvfQedXcdw5Zr5u9/HcDXDstPqJvx154bOFn + JG++7xZ7nTgEq/OK4iX3SVx9ibycfeCdP/22o+8abK6vOIFYnZeIQcxfHjV9CdxbavnW/PaPve+T + 7Yxva2/VYTj4Zw/MClutth7Iio7o63078diz32pp1GTuLpJSSldjv1JvWnbl5LHjj3o2VaQksW7q + SAbxCBo31/VIKxKfgxoypHfIHn7y7Xe/+7YPiAFSRUSR5I02NFwDZ8+xI7e472ddN0xTdZejR2/+ + 7d/+7d/7vd87cXLXgVVAuayH+hV95QEi2k221kopwzDs7u5iPe611mL6Ss5ZRC4cj01XgaqKSK0V + QGstdoNSStd1cX4eAeRgNioR0eHQWqu1uvve3p67LxaLUkoM3TFoA3D3GNhXs06JiIiIiIiIiIiI + iIiIiIjo+tR1XUQFABjHMaW0CXu+LtRau66bpklEdnZ2sK5qEJFpmlJKEYqgqtM0mVmtdZomrIvZ + NtVrREREREREREREREREN6zms60OwPGTJ6TvSjUBBtHsSNFURKytm40U4DHg89//dp1tY5jXYmYG + VbQGEbibSktiWUoSDLNTyJ/51kPPAMCqGVKDK0QO9mdxg/imaYQZzGzTgiA6lnC+KhHR69H3/XK5 + jJY7KaW4IC6w1ppLcqgAwGT3fX585hGf9rNie5bL0qGoQC2ec3KBC1zUX975RwSttS4pDK0Ut5YE + Q/Z+On388b8p37oXvideROBIcIUDbuuOQ7EQBVQkubuqllJUNUZ+tpqhN5TD2CmSiIiIiIiIiIiI + iIiIiIiIiOhGJuaCnHNr3udOJ7Td7u73fuKe938y7fep9Aldn2biugoytPPGfMa96vEjr4JjZYJY + KT5szxa6+4P9p770zc/sdS/5Ttur+yZsanPYxcd9aRGcm55F0b1oHVrMD/1HO5ApfpkDRK/l9vfk + Dis1t7xdb/nlj/6DN/dv912d6eCW3D1SvM3QGnKnmyxqrPNi1RBzMZqa2Sp+FYAN09OnHv/69766 + 7M7UYfLeJi+ius7mNBxItgZweJOtYSZmYi4xkmYFprKbZlPb2v/r733l0R9+qz8iTUySXmS2rI4j + bj16az1pR+ymX/3EP95pt85xBFXWw3BsEDuQJH4YN9ErbXZpji2Hhx78KMQ2XzcXa17dm2e3rj38 + 6IPW14IiCbb5hsaX3QHAgArXLisgk87r0U/+9N8qp1ry7kp/hQ0inuop+ci7P/qO7TsG25a6OtEx + AaDy8hUQQN0gtnrvHu/Xl2n/e08/rN3k0qASkbSXeEy9GI6Gvh078fgpe0mzqoqoy/qDSabJ1AVN + Vytr8Og0mAFVbcVv6re6cfaLd/9yPS0ZGSrTNHV5MLMEJltfPfP5/PTp013XdV1Xa/3DP/zD/+1/ + /61pMgDD0LX2Ws8Woq1kzhnAOI6xK7p7znkzuyaeyemLh0R8WPFxiEjsADG5aBNAnnO+vjqiEhHd + kFJKEWudUoqfcVyOQTsmiIpIznm5XF7rlSUiIiIiIiIiIiIiIiIiIqLXa5qm5XIpIpsigevLJupg + d3dXRObzeZQU7uzsuHuEIrTWaq0ppZxz3/ellChmi7e8LtEnIiIiIiIiIiIiIiK6EWUB0Nzn/eCl + RLZpdUs40GtDLbryVGAX+NNvP/psLQVIXY8GqEIUzbKowU3FRMsw29+e3/v0Ew+NyzOAAQkiIoBF + k5VoHpFgKbqtwLC+d3OlBsA0TZFvTUREl8bdY+K/qrbWWmsiAjeNcV4Uoiln1AXqyfv/7P89qkuM + i60e47gAAIe7X6A9T2vIOXddKrWY1wSB1VQWt8jeV/7jvwWWYgVAyh0gEIEYYHDHyxrBYdPBwN3H + cdzcc0U3DtGhcn30QCQiIiIiIiIiIiIiIiIiIiIiuk6ZKFYBse5am7YmAEwdnSZvzUxTG3Q5+3sf + /0fve9MHZMp1MvGU0Imj06QatdavpHAkhzgMaAJTmK5qoId+trdYWsaUd+995Av3H/uq7UyLtO/C + JLZD6mBA7Otvt3TlQppvYAc/gsuV1xslqpdlURdPxQQmXdJeU1r2H7r97rvf9Yn54qbtfFQcDV6a + oWJIqVd4a4C5mAMxtqhDXAG1JNUrgCwQFzPI3Bb9qS/c/5nnxmO7emJf9vojw96074LcJcAgFTBx + FwdcAZFDFmstgMIAuDRTa2IuyC2pqeuoR6fHT//NFx/4tM2WxUckFCuAQbC6vQp9eU2mDn1f9vyo + v+ljP/6JD7/jw8O4JRO2+rl6Vo+aanGNOO3I6L0ab/yyuBI7Nger103XienrHVEccPc21eVsqzt+ + +oXvP/Nd64uh1FYh6+87IL66AUizvF+WKinX4c5bf+I9b/nA4NtoAsAFHq86eFvP1NoQPzeC+rVI + 2lnTZN2tw5s/9aFf9hM6S4MLTABfxUK/8lWCBllNDDOgabWuPPHc93enl6rvA3CkqRZHu9j1uThi + rmhavn3swTZM1ZqYtFYAwCGuyTQ5LN4ODEATuEAasqDBYQlnhg++/efueuv7627L0puZuYio+NlP + li4fO3A7SJfLCVB3ccOXvvjlf/Ev/geJk3mRcYy+kAroaxkDI756E7QZAZxmZmYxZSUCkjf51nRt + mZm7ywGz2WwYhugKqqpd15VS+HkRER0GOWcRiSMpAHePzs57e3tmVmuNA+5sNmOnACIiIiIiIiIi + IiIiIiIioutd3/c5ZzNrrUW1wHXUNrrrummaAEQNQ855sVhECeLu7m7UrcXPeFPuvlgscs6bl0zT + 1HXdtXwPREREREREREREREREV5RgHMdeJAG1OdxTyiLpQCuuaPVjABpwEngC+NIT33tO3XPfdzM4 + oBkNmjtvcEtTw9gPj3r9Dw8/dAqoirZqfuSAFVj5/9m792dZrupO8N+19t6ZVXXOuS8JiYcQbxsL + EDI22FiADRhD22Dj7mnc9kSb6Dbtngl7wjE/Mr/4L+jomB8mYiLs9gRht7uxoTFvhMEgWTyEkEDI + eiAQCCRA0pXu65yqysy911rzw86qe66kq9a91n3prk9UXNWpR1ZWVebeBblyfcEgBiEAAatWQsYE + TimJSM65HuVp2zbGeO76Rznn3DNBbb9Tj/unlJjZbNUjSwFQKQoTxOGhGz9ph36Y8rF+vpxOp0Rg + ICUug409f4DHXsyYUYqKyMZkyjAtOci8+9Ed5ZbPQ2qiNmqPKoqBDAEgFMDqHENQggJaD9/HGOvI + 74O/u9h4WyXnnHPOOeecc84555xzzjnnnHPOOefOGGMYAcRQkCqZkRrBCGxKUmSwSbuhiiDp0vTc + t7/unZduXm6Fc19MDAIGkUJEHxekygDYmAwEGEFYM5fMagQAQ+5mmxuDyRJDmW1/9Pr/dt+R7/FW + FPZY6/PXY6oYT7ugsS5nnfDk/qcek6f7zywnPX/CeRkBShS4ZJnFKe2EN7/qHde88PXDsaEMQ2o5 + RoggWAw0JtMqoY4hZGAD11hr0gLECAZFS2YYOA9pfrg8+IVbP1OmXU7DUpdIRA2LFSUFtI57ANhq + pjXhfEu2NmAcnKWOjUE5KYfG5jh8/W2ffrD7AWIWycUKhVNfeQvDwl584Kd/85f+VbtsZzppuSl9 + IQtkAcZWa5kJZAiKx6WrOncaeL2j1VEsNEmscKR77r1rXo4V7hBtvaejZlqjboFsxL0WCiRZG5pe + e82vcNdOwiygOcMlx2wG5pSQGpu+6sqfu2x6hQlhHJS4JkPDaiw0kzFrDYNXMl0HayspNTjaHbr/ + J/cqD0bEHI2gZGd6/0opLfLiez/6trVFzMwIq+mAlOsPtprSXT95A4MjK4KSmMXQhvnsza/5F1ja + ntkWEUuhpplkKQyQ0mkkhbvTo6r79u1j5htvvPFP/uRPAlMpWs9gqQ94Kicc1qxNEbEVIooxMnMN + SM45933PzMMw+A+2c05EANRvp95SQ6xFpN5Vk61rb9B6i3POuXOotmyuMykR1Su1e/XGxka9Xifc + YRhql2fnnHPOOeecc84555xzzjnnnHPOXaDMLOecc959isQFVMeVcyaiGlkdQsg515TuyWRS22ED + 6Pu+ljqICBFNp1Mi2tnZqc+i8+fkBOecc84555xzzjnnnHPOuTPAgKZtCWCzAMBYgcFEauMUWj0I + AKkAA2EbuO6uOxf7NuYqqibEIEABZRaKCIGaPqSbDz38tbJYAkZJxvzSMRsbHE/oo2KAgcAA5zw2 + GWiapu97AOvDOs45505D7Q9Q2+8AyDmLCDMAxNpakwIAQHmYoz9803UfunJvNC1GxASCSdEnP3RO + BDOLxGQ65H42afdN0o0f+yvIEWimGEQhIiCzoWeAAB6TrQGAoQyNHNYH8WuJArN3fHIXF9/inXPO + Oeecc84555xzzjnnnHPOOeecO6MijMmUrBgVYRWqt+own2/M9nVL4ZYYiu308udc/QuvufaSfZfC + xlg1VVXbFW/82GRrZgUMRio8lFiUIQwAZjDLTbuBFI6Wg306/LfX/beH5w8ZXTAdfC4qdiL8MzKt + 69J2V8N7WfxTtPrkT/ginq7Fnn1sTMaTNDm6vWwm07xYTKR53uSlb7nmnZfvfS6ZCiQ0iS3ZwMjr + WOXj4ZpkqBG5ChMgBjI10sgUhTBEo/16yz1fveMH/zSEbmG9NTDSrBkk4+kaAK8Wcl7WKyrDjFRY + hcVIo0ZWzmXxzbtv+sb3bqI9Q5EciVVB4XgM8FNizKmZpb2//dbf3ZJ9G9JuxZSXHYRYE2tTFyYU + DFzLmi+UlmNP7ybtA9QZRGqkRBZjfPjQQ3fccweSKauYhQgAutop2WpENAPIBSGxCV76gpe+/AWv + 5KGVYaywV1Il7LqokmLXhR7zO+VUiDIhEREV2huf9cZr3kJKNQcaQFAmY0XUx4wkVEAKrM5Ag1pU + iuWf7r4FlFWNKYbAZyEzXrU8/OhPHtl5KKMLIZAx1TMY6q81Gz/e9TBS87mjBRNTBhG98oWve+ll + ryrL3DZshZmSUj0fjujURh/3lJ24AWMVWb29vX3bbbf98R//cSlaJy9m5hgABoXVLwQmhJMtuJTC + zG3bAqg9NOtvM1WtcdcppbZtSylN03jHyXOutv6sJ0HVP1U151xTUc1MRFS1BqP6GUfOOXfO1QG5 + bds6PrdtOwwDACKqs+36etM0/j83nHPOOeecc84555xzzjnnnHPOuQsaEaWUUko1yrqWe11YdVy1 + gLCmVgMYhqGUsrOzU1MQAKyrDUMIpZRa7bC5uUlEfd+nlNblbc4555xzzjnnnHPOOeecc88wBmTL + AoGBQFBon4uaEWTVSG1sYmSrGNLQzoF/Ar7+0ANL5q6IcdB6X9ZgHIQaS4cW3afv+daPgaHGlRIs + QAFmgGBEAME4Awq0hsbAgIFqkwFV7bqu9oXIOV9Yx6ecc+68sj7q/Zhz/2ndaJEINcFaC5AfueGT + Ow9+fzKZLAeFIkLMxhjsJ+wdUBdLRMxcSoEog370vbt3br0+NRmAcQsw1FLg2s6KgbCrIx4BARAd + yxKYuY783qzAXWz8545zzjnnnHPOOeecc84555xzzjnnnHNn2irIkBQ1PNI4l342m/VDAce+7xkh + yQTb6c2v/PWX7nvFFu1racYcjWCEsErNIwNhDI1UsNZYxLpkwjr90QhtG/phGIaBI2mUHBc/2v7e + Z7/y8SEtS+xLyMKiNQkSTMYAQKosylJzK2sQ44kBlmc/drWuwPHVEIIBZGDdVRBoqO+F6kdkwDpT + 90RWvwsAOBcRsqSAgbR+5sHWQcJQYjMSiJGSMSkBEJxOQKgpmYmZkRoZjNRIhBgWg3IwJVNAYXyK + Ib3PBIYIi2QIpozCUDaQEdUSU1IAxsUgpxFrPeY3gwEQAySEQuP3CwUL1Y2W6SyVqmoEiUiaTXop + zaQNkng+fdGen3nr1W+7JD2rP5I1l5BYSVUwnm1Bj0t/rTuXAsYlw8xCCMaQiEe6I7Ynf+ILHzmS + H93Y3253x7KWEALANYSV6+5KYqRCqo+9nGTF6bQDaPlxA9c6bXccwNYXQI1NV8MyA4CWMOS4fFQe + +tzNn5rzkaUNCBCRlKBFgF0nuoyrOn5odYlsHDTW8FqyEI/N/uUbfu+KrZdiJ7Y8me/stG0bUlw9 + EzBerfZ5iox5NagCUFIDjMZb6PhXeFqx5esv2hikVIvDjWoiO0NP2FNIz/R+o8RKdXDmYPW7Vaw2 + 13FHsDpjRiDW66tbeLWQXdPl4xJzj7/xJ7x91/ZZN6hdl8feO17s+GPY6gVYZzgbA+ilk8lw78G7 + v/vI3Tn2FtH3iGClcUuu8ybb+LzJJA4LmtmeN7zqzc0w2Zv2BYRSyplOhqYYRKRNzTDPW3zgtVe9 + aTNcysYwrN5TDRhmUmbTcTem+ha4bqsgiBZNcscPbl/G7aUuMkoghqxW/rEf+/jp0e57T7xr/PyP + P/FxNwLCclQO33/4+8uy6LNxEqMMIGesvhGuJ8vt2qpZjZRUFS0xL9Mbr3lr7CazMMtdr4rQtF3O + sWlVNdD5O0o8QxjX/WUYhrad3n///e/7w/+4WHTFkFUArDMyYVbbShKZQZ5kkczc933NsR6GoZ76 + Us9aCSHUZpQxxnrXWXiL7knUL3d9Hmn9BTieqrS6vX6DIuLfl3POnXN1Lu77vjaqrvHVdc4FsD47 + 1Mdt55xzzjnnnHPOOeecc84555xz7plhuVwCiDGqaimFmceKvgvBunShJlvHGIGxIH8ymdQ3knNe + 517HGIko51wf1jSNmdVnOeecc84555xzzjnnnHPOPSMFCmoKALkAoPjYGNGxn9gYfcoQ9OAjwAf/ + 8eYy27R2NqgiMBqCFRCp4Vjk7y7mXz14bMEQsJkiBIS6gJqMqnWJRqsFj69m69NU69GcGGPbthfQ + 8SnnnDvf1LE051wH2HoEnGjVh8kEJsysBo4M6TE88o3PfySV+bRhMjGzySQNRWDHO0yegFgUJplM + I/PWJOmxB77y6f+O0JfFMZBZzlxbE0gmgj2ukdb6lhjjcrmsB+ux64i/cxcJb3PmnHPOOeecc845 + 55xzzjnnnHPOOedOh9daPUVsCqgwKzGMa3IkGYhTBhBFaWhiSxogmJS9Bw5d8TvXvO+Vl72Gh0Yl + KAfUNEZFDCBDZLQRRbXTIikqj5mObIiCoGPkahEJAcTZ0BNjoCFPj9x63w2f/MqCi+XwAAAgAElE + QVSHD9NB3ehKXCpbVkuhgYCggiLUS8wWFExmZMpKKKyFVVl1V3L248M1Hx/P+ZQ8PnH2eEQoK9jA + NWq0MBdGXQ22wBpojPBkJQhDAoIiKthgBCEW4nXcrJ0YiboKdKZ1DRXjiaoVn2YGGgAxAmtoCycB + Q4TVuMlGg2Vj4xJDCZwgp74+zKSwENH3C0ZQVQ45YygUBakRbgsYBVQAZru42hspsSApUlKOKkRL + QmaNrA3AtfKVSIrlwQaMMdWnhBMnMqaILiMQApWkYqUocQ5cmBQBAB+Pwj2DCCDLTCI2WORBg6Jt + c7u53HzT89/ypivf/Kx4YFiYNX1JgxCDGmEY1+x3hrGBhdWokGnDKMVC4sy5cFaBEbCFnXT4xzvf + v/6mz+70j6YJcSTiJvec4iZpKkMOwZBkyYuc+hJziVlZlMXIbB0YPK7waiiwOtKc6njCZIEsKILV + ZGtWZVMyMmNYMIuqQTWosqkRJHKvNomTJGwLSU14lB49sufR/+9z/+WR9HCfBgmwBtmMCqIlWKzJ + 6AAbsRHqRQCOSEw26AzTKKkbdGZb737l771u31v2y+XRNoZi1LaDZSVVEqVx/65ZwvWdn6W481PA + ANgQlBlqZMomrMZExHkxRBADbAxjUZxqSWqNoysKgInIIIGiCQfloGBFMIWxgo3U6MniY//5lFgR + zBJbiMJJOKoyTMnqFqtkMIMgWMM2gTTRGhamMu7RZiQgNaLACiuaiwlgzMQArc6YWm3hAgjq8mnc + AGo0dVCOgqAMC4qgCOvcZTaw1W24Xme2cbMnTVw4IZFFMwKTEKDMHGlGj+iDH7v1w/N9h+e8Y4yN + EDE0KWwUIRY0zCQQhQUFkXZh0y656lmvverSn91T9nEPJrJ1APwJF67f/vpij0t+f4qMlKIYD91i + uXeyh4cW3ewdv/SvaXuWtCXoQocwhaqSUIg1A73O9RDEoEiKYMwKzUgbdIgP3nz/zbopGkSHvjGq + efNKpiT1YwcY9dOzwMaE8SfU+I2A6+evYJACQshkBqVACcpaLAQuJbdNlNCX/ds33PU5ChQZHfrc + wAiRwcpsXIIWhmH1IxAgtRCoJOsVk27rZ5//i1c992fCklJJwZIGyyqIbRELIdoZzhR/ZnpMfvyJ + F4IGRh6EEAgBYOOQhSikB378k/f+u39/6PDRrEbMxNHq4Ka2ildXQM1k95/1vMV62gwz156SZrb7 + dJoqpQSgbdv6Zz2JxZ1bNbi6xqASUT2htP67/hLXp56e0zV1zjkHADXNuk6mzLwextf/rv8fy/pI + 55xzzjnnnHPOOeecc845d3p2HzE/je7MZuY9nZ1zF5x1nPD6z8d0zHdnn5lNp9P1F1FKqRXI6/IA + IlpXCJyHZzk9Zj4tpeBx60lEteZh/UZqqSGtnOV1ds4555xzzjnnnHPOOeecO2sIYDAbGwwprsoN + yningWlsYxJjDCFCGWANzSHgTsOdjxzeMVYOChHqkRTSD5EeODD5wK03LQBoq4BBUAQDYChFAYUK + TGNkGBRQQgEMtuomMVp3GPBWA845d9rqEfPdR/nH2+ufUGhRAZByYZggH3rgix9ut+/f0DlUOKbt + ed+2AWNXvdrxclAeAChgCYsebduSqRQL/Tw+/C3c8kkMOwZAC0xUMgBRqEEBimyRFQCEap/QGnet + mlIiolqZMAzDWf2knDvX/OeOc84555xzzjnnnHPOOeecc84555xzZ9w64nEdBjmmP5ICWrMhAQQN + ejRdMXvpu17/nkv5uTNs2gAR1Bq8kmGC3KPvkRK1k5RzP4ZMG1hBNl7qS64CnaEM4VLictke+cK3 + P3XLA196pPzEpsYMZKNigQJzrGlAtbJbtRA4hEAWV8GZ44WNH98X5/TbNdUsTPDxWiZSrBKma2Y3 + mRK0Fv4p2RiuuVqA1hBeGpOqa0bsk73gKpl7lWx91qNkSRWkxGQI49qamSFwr1nGLGUGQOHU1k0J + ooYAQzYIgECUtXAkYbLxFRUk6+3x4iohMzZiW21RgIG0ZiGj5uaagAxsRfM6ZfaUXkFEVNVs9TxS + IlOrZzOQEXQMYz1rvRq1RucCNZuRyWJTprN84Dd+8XdeuPXTB8LeRtt+aZNJUwNd66ZR11AJNXEZ + 4/6iRgoqgNasVzV0qhvPCt/87pe+ce+XZbIsoSxznm3sm+9kCGazWSml65YhxhACKwddR3oT1YDI + 4/He4xUjAGan04CrfvY1zl7J7HhWvZGBtObg1pEFlktpmmZne0lotvZvHc2Hbf/8wzd84IH+O4fk + kb6mVoNAtSmYjXmoAIyDjgOsEVJCKeh72djY6oZSFvbsyXNe+eyfe+NPv+1Z9Nx8RFEophZEoipW + jNTohG3gNGKAz6bVlgsdPxM2gkEYRgYzMzqdNnBmSmREVLeu8bWIME56NSx2HUU8zg5njhKvtrr6 + 0uM6EYWcxYqmlJo2EpuZqBZRNQIFRgAFMIPIAqtIDoGmk7ZpGjMMQ84ihrCalNmIbMxLrlPXGB8O + qJIaqTKMFGTrlGUABghBCcIQrknYNRVe6mhW01gNmVhAJZA1IS76Rc/zr9xxwyN6v04XytCMGBsV + 25kv27ZlDqXX1BIFUIAOOtONPXbpb1z7L2lnEq2xAjM70x8+gJz7tm048mKx0ILLtp77sgOvetmB + VyVpoZhu8nwYQggBRGqqgNUNktc/AOopByliezEP+/nm735taLoenZk13NI4pp2oDkMGjD911o9Q + Hr8UgLRugTXbOIACiJnAplooUjf0A3V3PnjbYT1YrAQQEVRBBKL69FJYhXb/TtPAGPo+EO1pNqfD + 3ne87je7Q3mz3azJ1wCUlAxkXMfwM/35X2xUVVWbpokxNs1kuVwSQtu2hw4d/oM/+IMHfvRQKWqr + h8WUTrYcIqq51KoaY6ztJlXVzLquA2BmIuInKDrnnHPOOeecc84555xzzjnnnHPugkNEwzDUwrB1 + dfGTPD6lVDM4VbWGwoYQvLOnc+48RCcHIKVkZrWl8noYdOfQ+itQVWaOMQIws2EY6nVmru2kY4wX + UAx5fV85ZzOLMT75JOucc84555xzzjnnnHPOOfcMVnKOHIhIVMBEgc0scAjEgYMqiKjWLUguIUQA + KqIBS+Bv/vGG7dmEUmNSwJalR9N2TfPFH9z7ja7vwQaqudUnNPepfT8ClVKY2YBsMObYBO/v4Zxz + T7vaeGd9uD/nHGMUsTow87ojHzFAgAbrsXPwji9dNy3bkanL0rZtKWPDN1632RxbCCJ32NrEss+q + Gi1vhu76j30Atg0rANbNxNZCjLmoGhEzkZmWOucAKKX0fS8iIYSu65qm8aP57qLiTbKcc84555xz + zjnnnHPOOeecc84555w7XyhpmFDO5fL44j985/+5r98zG9LGtC0KMIgwaVPbNmJQNdYc1IKOgdY1 + fHoVQb1Owzy+cCHtm/nhzQf++it/dut9X1vK3Iptpqn2EiiqMCyRNkEjG4KBGARECW1JbUlNSY1w + Eg56/IXqko1USR9buPdU3zIrjUnfFRsYhVGClYCcLDeWG82t5kaFUYxMyZTMqGZasyGu43gB1CRs + hvKuNaIn6lBkdDwqUncFXZ8xBIuKaISaa2tUU0qLIVOwbpiDxUiNoEankYFYCBRgZDkvmWFEEAqh + xjGqkQpbDWzWsxitfL4gBWVQNjYDG4IgKo1xvVAhE0DB1udu1yb51KlqqXHFNT9VLBSybhgYGkyC + CaOAspE8Ptj4aWekSgbSYEowhhppYS0UYjjAw4H3vO0/vnDzGhya7A0zKV22oQ4ddQRgKJsq2MBK + LAwJde8CGaI0MU9iTgF0tH+o7D30oS/+lx/u3DWnOTcp57w5nSFqP8xDCCm01FEzNG1pm9I2khpJ + TWmCNkEjWb3UcYCtDmmn0wNOhQflntAHy41ao2iEkgRDytRkagaOA8fMXBhKmDWt9HnS7i+UDi4e + pUvyZ2/94C3f/9zCHjLuY+CACIkAKUHGTGEFl4ASFWkVEE+E5QKT2eaRxUIa3do8cIX99L/6+d+/ + dHp5XbParLN2TLuA2tuNIdZUc7gBUN0tjAlExTKCgoqZYBzQTmmTNtVSZwCrux5UIYAqmaG+NGqW + sNX4ZzvTJa+inHPoh5hzzCWIEcEScprQxiRtkNpicawr22g6nmWZlBy1p6HXrshcbMHWRSpBBxu6 + YdmVTpia1OyJaS+hqfOmIaq1YlPF1GwKa4PGpBxMCWqkQ9Bl1D4qqA/omXpQFi6FMQT0gfvAfUAO + WlgkZOMevAR1oeVOOk5FdN6E0jB25kdn+5q7fnL7V+6+YSlHTOdTQlAU6nPInFQ0m5EF6oGFAEoz + mrbbG792zduv2PsitgmauKTezJqYzuiGS4ZQ2MQkmk2Y2Wyhz45XvuXqXw/zuDXZWCxVDU2EIZdS + UmQysMIA4SKsQmoENgRFE1DQf+/H9/x4+/4+dCFNzIJRPc2MDMkQjFipgAZQryQAYAnWwAIDDAum + AQLKRkUJhqBIQACVQZfGPSUVBgUuMDThlu98fVsOGSRpanNMPULdX0g1FOMCUjIOykGZDGKlaZi7 + xMfaX7n6HZe2z9u/cVnX5/oDyDC+F4KCipGehWTxZxrj45fHIY5qxMzHjh3rum7fvn2qevjw4T/6 + oz+677776mPatq1XSs4nfRGzGmVdz4GsjU1rf8n69BBCCGH94Kf1HTrnnHPOOeecc84555xzzjnn + nHPOnVm1o2gpRVVrk2g+eWFrzrlmc5ZSUkpEpKpN05zF9XXOuafEToKIapVXKWU9iNXqX3dumVnN + tK5fxzAMpZQYY84ZqwptZq4T0Dle16cspZRSatu2bnXMPAzDuV4p55xzzjnnnHPOOeecc865c2B9 + iCeEMJ/P+76vB4ZEpIaJMrOq1pBRKQOQASFBAW4Rvf3wwUUpKTVkQEyKtEjT62795hFAoAw5Wb+U + usBa2FBfYhgG7wvhnHNPu91D67owI6b0uK6VWhu6GQgo3/3CJ2n+MCwDFCNC7SppUK5d3xgIAAIw + CUABcWIOM+5+cs+t/bduhWWcZEgvpYQQ6ixTy+HqLAOAiNq2rfdOJhMvGnEXG4+1ds4555xzzjnn + nHPOOeecc84555xz7jwSUlxs95O8ecX0Bf/2HX94gJ6TFokEWpAHLAcMBcQJSig6TQgKADVhmozJ + eB1xPYZbAwBqyHMJRTeGfuPYJ7764c/f9qluelRmRZP1eYAYFQ7CUUK0GIjNRKQEAyuzMitY6YRl + kgKwE+oCT70e6Xjc4HhFV5XgY8Ku1guzchhfXQG1VRC1gR7/umzj0+vCjv9LWu99AmchMNIYCLBg + gJIK6/rNgsQwdGVRk6cFomo4xSp3I4BRSMAyX845EsCwSBrZTEmEi5Aejzun08siv3ApUEBFAWEI + RaGgBGXFqlTVzIwx7+YKM8Kpfj4WlBlEzIAalFgI3bAkaDBlU4IARamcnYBSIxhAxkEJUJAJqzC6 + nnU5e+70Z975C//mec1LpnmDBsRwwnPXuw9I9cS1ZQNrCBqCtITUkc75cNl77C8++v/sxIN9s7OQ + ORKZWc7CHCehjSVRH1hClMASWAIZsRGvwuSN6u7PSozVfn+q77eG0YJqpL0ev9kCEAzBEIRYCcKm + pKXrJ81UIAvbkb39Z2/52Bdv/+QwO2LtEFskDhCq7TgpjGtag2Z5HIhAABlKj62ttOiWbbvBebaf + n/Pet7/vOeEFyyNd7Y9WG42ZWYzxQjl9RQl1pDJSYwHq8Et10CYikUysChlTqPmUU4+LDIASmZmA + 6shkYDOC8IkjZP1uz6xxJzUWCUMORQgKhoVIrQqJZuGs0yKzRdccOmwPHEsPzacP562jtndRNrvS + 9D0vl9pZRIgxxgRwHrT0RbPaGKpca+cZYDJevS9SQImNxnkNwDi7UgGV8Ul1zh2zxlkBI7Jdk6ZC + asp4YAxdJ9rxljzU3f+F2697uP8htTkPmBAaoM/CLaaTNAwiZtymRbEUEYbULDdeefk1b7rqrcMR + TNJWNlXWlALkzA5ZbNxyo71kzWESQ+Jhu5/1W6+54uevet41up2ihhgp21A3xEANawNEkNbQc+G6 + zzMZAvOgyyEsbrrzH3mzDFpyPUGAzBCP//AgUxIjAZXVihBZxPgLRIHCyCAByMCwaGBjExnMhBkG + DCpo7aHFT7794zv60IGNEZK0LGBjszGdvS6TrX7vACFF6CB7bN9l8fnXvvwts7xPeyhxjegGKQF1 + 7jj1zHj3lKSURCSlNJ1OF4vFYrF4//vff/PN3xARIsTI9VxHOnkv5srM1udA1uvL5bLeVROv6wkq + OWeiM5oO75xzzjnnnHPOOeecc84555xzzjn3dMo514K3GGPtFg1gLKg7iRoK2zSNqtaM2HP9Jpxz + 7gnwSajqbDbDqm8+M8/n8xDC/2x57syq1Xf1iwgh5JybpllnWq97TNcKvXrjBaGUUgPU19uYz5vO + Oeecc84555xzzjnnnLs4mVltzgBgY2OjbVsA60BrrJo21CupjSAhMgAdsAA+c8ft28HEDALidDRO + bvnJw3d0tgQEMOST9Y+qqaVd1wEgonW6tnPOuacXM4tIPaBfa8wAlFKO90IEgNpxUQE1YhBw8If3 + fuvLU1KYwsxMavdLqx26LI5tNlESo3QltYDqTI995bMfgi5RTlo/0DTN7pkFq+nGzGrZ23qd1zUJ + zl0kfHN3zjnnnHPOOeecc84555xzzjnnnHPufMHG0uveyR4MQ+ybn7rkte9543/YXy6ZDWmaJmkS + jUM/SKDYxlbL8WeNCdDj5fifAMiwCgsFCCJAk4+2P/r0Xf/943d98MHJD/pZp0Ebi1NNTUlcEqwB + JVBRGwjCEJCAxFhAxbgo6Zh1Oubd8mlnjq6exhgjeNnANdrWiA3JkMxasxbWwhLA66jdVTAwwwKM + TwiBJoSad31Cb58TSsxpfIKBbBUjehaSrSPAIFM2YdXVmyeSPi+KDsqqVIT0NLsSBYipkm7vHCYG + gEBJS/24igRRViE2IrvoMq0BKFEGiZEaWIhq7LQQQMqgGldspNvdXGqO7KktHUawAFLAyEBC0IRF + nmMMVdZgCpLjm+4ZpmOOO9UsVSVVNiEEbqfYn47tfenmq3/3Lf/+Ejxvom1av12refMRxgwlUz5+ + e017ZYzvT1RLSNyz7fCxY+nHf/GJ//ywficdsMPd0aw8m+6xXHTIk9hGi1yXUkcoGGBKth5JHpdh + fGqfDwFRYpQEC0I8BAxBh4DMICAoN8JJOBgAFS7CCqOuWwxxOxxYfuU7n/301/92Md2Zh74jDArR + bJIBITJQMDDGbFuAUAKEERRBEGlSMtppY0t5tlz5vrf9Hwfs2a1M2jhl5nryjIiEEGp/z3/u93q2 + 1ARlZQHG+WYVdk4CG6QzKrWFmp5WVGvO/e7AZpAaxMhW84sZ1YFwFUJ8JrEhGKIpoEpWd2dYZItk + HAIN1B+zQ8vmcLdx+CDuu2f7619+4CN//93/+qk7/+v19378riPffJgfXs5KnoUFrCcW4hBS26Rp + Ci0pIZegfVQjJcoB44UhQlaYM3OhaIissS0xCZGxgWE8rpuiEbQFSTgJR42skSzBWkErlJbDMqUk + GYkbESnNMGwe+8TX/+b2h2/qN7YtFRi4hCjEBDKTXJhhUQZkI2xMtmineXZ4/rte99uTna296QBb + 6EumyERUhjO73RIQjAOzQpZ5qcqbcWtDZhvd5m++7j2T+f69kwMA+mwUwYycMyyQxnqygfC4uQKI + Fru5htZ00t38nRsP5h8PXAysYBiRMRnTGNOugIK0bnWrzZjIGCBAQQZSsnHENiIFUyAOIGMZTECa + sIzzr91546P9QW0FjJpPzmAywip3nBVBOSjXWQYAGVpJcT571+v/lz1y+R48a1gixKYwhMcHBFOG + gMah8ox+Bc9wNct814UoLBZd00xibI5uz5vJ7P3v/7+uu+4fZrNJKWCmUhS1zeWT/iCrg3w9BaWe + ozgMAzPX/pgxxr7vQwiqmlKqp00655xzzjnnnHPOOeecc84555xzzl0QUko1brPv+5RSDd00MzmJ + 2tOz7/v69N05nc45d17RkwghLBaL2km5Vv9ubGx40vA5l1IahgFADTOIMS6XSzOrNXv1m6qRA+tC + vgvCuhH2uuawbdsLqM7cOeecc84555xzzjnnnHPu6UJEMcZakFBDT4dhqAdQzKxeIaJ6MCj3A8xg + pkgd+GHgxocP3V+6IxCimDU90k4/eMutx4COYE9atlAPzbRtWxtH1KOE3hfCOeeedmYWQkgp1Roz + 1JY+QO0ytxp2FRCCUj2eLhm2fcd1H0F3KNgQVHUdU73udWYMKJtaXsZgUCTII9/9ptx2I2wBCOyJ + h/RhGFJK64P166RtnDjv1HWuFQvOXSTiuV4B55xzzjnnnHPOOeecc84555xzzjnn3HEJUXKeNNPB + Mm3Tq577C+UN2x/96gd/dOx+2kht26oaqXEgM6yqoNWIASjWWbkMKBnzKtcWGOMe2SAqQ5Aw2f7M + nR998NiD73nDey9rn2c74NKyBFMAZmTEREHVSi23q3mKSjAay+8UjwkyZR5DIk+JPia8VmlclBDG + EGuimuupAKnRCQGqukrIZYLCWHflPtZMazYorUO+leyEgFSjGl581jKeGVbLItUIaoBFUDHS+eKo + mShgXEBkBlLQKTbCMpDBiG0xny/6nQn2RzSqQmyAGtVNhmC8Dj6/eDCg43cdFWSICgaBzaDGRgQ2 + M4EcWxwxZKJTDbaG1CB5ARsrQYOJ2k6/IDMyBIPxqcdlny4FarhqQCRlZjVD4WLEZpIo8mB72gMv + OvAz737Tv/mrL/6/j2w/EGaqpGxx105SQGBD/TDqvmYExQCgqE42p0eOLqczwoS2F0fuffT2T9/0 + t+++9vf3bT0vykQ6hSCACQaGQIzW4xWMxgHKCOtXpNUVPv4+ThUr1BAUYHAdCWq9MkODKQxQBim3 + zEH65tGv3nH93335L/PWvOOhV/CYhI0QEAjKlrVA0KxGFWFIjY0XkEUTUAmNzmay933v+t9etPES + nkdRNCFIsVpUTUS1a+eqovr8N04lRqpQMvBYAl6/PuvyQijDQAQiUtipBsH3eUAtMVerg70pgVFH + Kq7TQR26ATY+o6M0GbMxiJV4nFUtsBGAQlm4k9myo2N3PfDNr3zrhvsfvK/EYWnHwiQ0YZo7y3Oa + 8d7nXfqSKy594etf/YatuHcr7omSQuZoMazy0AGAFKagOlhDwVZ3j1VqeFAEA4xLUEUAwOPqgYzr + DohxOq7zshoYpCEEM2vDpO8W7f7pkXjwM7d+/KYfXr+cHi2xF0HbpNIbKLat9llIkVrOrErGwHBE + LrHn/Npr3vXiS64qh0KcpKKSAkdw13UppTM9R2oRjpRSEoWIBIpBtNHJS/a/8m3X/NbHvvlXYW8S + HiygZLTM0FUgen06oISgbKAmIkuhqNvy8Jdu/4f3/Nwr5FHjcZMGYz0KH/81YKRkq1ss1i0fkLrl + r7duI4howy3AQ+5pwnGD7nv03pu/+2WJPaKZSjFEI45Uf5UxQAauGxigBGUYgQY0w+Tlz776tS97 + Yzq0JRlbsz2L3CGObyqYMgzQupG4p5eZxRhzziEEFvvTP/3T6677bAhYLLoYmZhFSoyxlMIcn6Qt + qarGGOsJM/UcxfXt9ZzJtm3P0ltyzjnnnHPOOeecc84555xzzjnnnHta5ZxTSqpa6x9EpIaGPklY + dc65PpiZ69MvqJI559zFrratDyHUgS7GWJOSz/V6ubGfdQhhZ2dnc3NzOp0CKKXEGOu9fd9vbGz0 + fX8BxQzUDWzdsHsymRw7dqxpmnO9Xs4555xzzjnnnHPOOeecc+dA3/e13iCltL5xd8kBM4sIEdXD + d2bIQAzTQeaHgU/feduLX/+Gre08hPbmRw7eXBY7ABhFwYSTd4zAZDLpug6r2Oz6QmfubTrn3MWp + juf1EHkd57uua9u2tmIcOzKuOjWtWy9BFvjxPd+77asv/qV3zodlCAnGYz+m2hNv9cwYuZRCJc6o + +9zffxhyGKUDThpHzcyqqqq1HM5WsJoF1gUJIuLH8d1FxWOtnXPOOeecc84555xzzjnnnHPOOeec + O18QQDlPU7sYsnKapTQM9HPPfetwNX/8m3/9w0P36j4NbP3QE4cQEQLn2nnHxoK8cTljNONjm/IQ + 0DL3vTaTsJCFhe7rD35hfv2jv/WL//o54WWX2LNnttlII9ILo2dIIDPZvRyj4ynUZFyzsnl8udPJ + HKVVEvYqp1PrlULrB9SXUxiDKFpDqjwmaiugIGWY1pRtOr4oGFb/xWMDuHd/ImcXGZhqALkqmNdl + lchHd44xMxRGYKqxp6dM1VIIJqKWj82PHEhXmII0sBUl6JgTzjUh9WwleZ9HVttqDVReBTUb2JgA + 0mRkQjLvdsTyrg3oKSMAICFiMlKDDrbcWR4FaTDAogDMKmdrwzPwKsWcoEyhMLICmrtI05amw1L2 + bV3ykkuuftcv/dtPf/3DB/P9JS4BNrCtkuvH+HMDbExbFS7jO2XMl8vpBFDLWlJKeTZ87b4bJxuz + a1/+qy+avsJ0Mgt7AvEwzENCZlXS44sk7N4JaVfgPBudaqi71RUDDBEWSYnHVzFlAXINsA+GIAyJ + wuWoHsNG9+W7PvGhG/4Sl2jH3UIppg02I+s5CBGMYAKCwpjQwHSIxQg1llgoEmJQ7KGty4YX/P6/ + +IPnN1e2JSByHnI3WEAIIdRi5XW3uydJSD2vkBHA6+RgMg41iZkh0D53VqceIwVO403lnMWUDWZE + Y3Kw0e7hHTUEerXFnGGsAaBAMGIF1WHBKHey01xC9z5y92dv/ui3f/LNLmxjQ7NmmoSlDGo7cSPG + PWlhi+8MD37n/pu+cPeHXnHl1a99+bUvfe4rLp1cHnSr9GwDonAkBdTIlKST7+IAACAASURBVHS1 + vQuM2XRXdjUAGLGAbTWUJGEa05EBQEmJxaDCAlKQGjg2Td+XrbQ50Hw7H7rpvi99/Lb/sdyzbW3J + PQKzWahB8sGaYIUpiOVCYKAV3sp73/RTv/b6l/2qHkub0z3bi+3YUGMMsyLStlMp5Yx+/hQgIim0 + MVJW6aRrySapzYfoHa9+953f//r3yx06GbICBAqqZnWPhqEARqzEwiC1ZtLMZeCgYTPffPeN177s + N56dXtzmNiiBhNZznwVDAABSkBgJQLBIFoAgpCAKFussoYCwwBgajCJrSIE6zLfLo1/97hcPDj/g + CbQY2MSGYkbRCkAEGIIgKNcgc6s/YgybtnFZ+/xfv/a3baeJOmE0UgpR3ZE0GNhAUKMaf05sfubb + k6oj1VP6lBgAhQRIn0vbxj/7sz//wAf+OgSIIETORetphqWUEIKqhMAiJ11cKaWerLKxsQFguVxO + p9M67IcQ6mkqzDyfz+sDnHPOOeecc84555xzzjnnnHPOOecuCDXVlYhq187655NkWgNomkZVzYyZ + U0rrrtPOOXdeOVnJay33GoahaZr6GCJaN8p350opZT2nbG5uAjAzEamx0HViYublcnkBFWkDKKVg + NXWWUvq+n81mXdfV1tjOOeecc84555xzzjnnnHMXD1Vt2zbnvM46nUwm9S4iapqmlCIibdv2fR8Y + ZDCGKIoacbvQ/u9//OiblvMUt7aVP3bHbQeBDhExYViGAD1Ju5QQQs20TinlnOurrPOtnXPOPV2Y + uR7frzHSpZQTi8pWLbUAGhtZaiAr1kPm3/6Hv3vxVa+O08sQJ6JjpjWw7k7HSkqplf7YFuyhe77R + ffNL4J44Q56sd+DxaSWEWiuCXQ3iQgi1Cm7dO+gMfTLOnW98W3fOOeecc84555xzzjnnnHPOOeec + c+48klIahiGkBEByTnGiHb3xqnfM9rZ/e8NfPtQ/wFu2KLmYMmgoihotTcAqfpdUa2U0GQPKxjrG + UQOGXDRGMHMeJE0lY+fW+288fPSR9/7q/64xXx6ek3gKOR53vM6EtvG/q6rr48GBPAYEjkmcp/Z+ + GQpAwQStlYUnLkQNDECJ2YSMYbzKwVUjAQmbEZRtdzY2ALAxbIw5fHwkKq3ez671PeMF5QQwVGtQ + JWBgJQRlkBbSRTcnIjMQUIvbCTh5iuITM0GMUVWMbbs7otPCfWSjGqcNQMEwJgtkAjq1yOYLnvEY + VE3Y9XUrCDBmBFBQQqGylB1DZsKpNrYiwpi5TmZQg/aKnf6YUVFStkgWYUZ4itGb/0w1aZ511VGN + TdmUoLFNNgxdX6bT2fZ82Ld12c+/6JcB/tD1f9E3LKzKJQcYF9Tt1kDKAAIgrABkjIxGCEgh5KVM + Ju1y2U9n7UDd57/x6WEY0tWTF+z/qWF7ngdOLQqZkBqw2tkf9wmQkjFI2RhkZHpq4wmpwZQAUxiT + gVb1yvV+IWWYAgyBQbin/eWvrvvzb/zg89Pn2EOL7cEw2djb91KDms0QGEZjBH1oAgYIj5nobHVU + ZNbUDPGAXf57v/zel+99VSyhzLVQTrEhDsGiiAzDEGOs1ckXULs0HB/2lcfhF0IATCFFsxnIiIkC + SE/9bRXNAJQoGJNxICYTMiYbNw42CCkIpHxar3AamDUq8ar3qgjnZo/ecu+XP/WNj9zzyLfC/lJC + n4fSzkJRMTLhUigblmrgBk1D062t2w5+9Y4ffuvK/S957cvf8OqXvfbSzcupS2Fog5KSAWq7wsJr + lPU60BpQIwirUVTU1OVxkwNBx1myjiNKRgxWAIQs2YJty7F4gG64+8a/u/lv+s2ui0sImNCmppt3 + bTNV1SFrjI2ZabYYgAEbZc9Vz7n67a99F88nDc36Zb9va3Ox3IZZoBAnk5wzznBnxhi570WLCZlS + TtMA0TyUDdpDHb37l3/3zz/znxc4gtbalrpe0mqiJCAYBKwEIcSYFovldB/PF7rQRdBHvnT7537z + tf9rlBA0kQGkbAAYFnX8hVOUcx0wGTALAJQY0GABdV6mcSyJNNFiQhqmmOvhHx759k3fvr5Ml0ym + BRLF2ASIAVoQAliwmnpUCUoKQyyx2dl4+6/81uWbV6ZuBiWDRKJsGowAkB2fohVkxPpEP2bcaSul + mNlkMvnABz7wn/7T/z2ZxK4rKVERBaCqAGKMtWuknDzUup6dCICIdnZ2VHU6nda76uk0dcw3M8+0 + ds4555xzzjnnnHPOOeecc84559yFhZlVlZlrTDVWNRUna99cH1wfX2958gxs55w738QY1y3y69BX + mxR7svW5FWM0s5o1TkQ1zyDGqKp1olHVGkAuIhdQxgARmdkwDHUDq827p9NpLUp0zjnnnHPOOeec + c84555y7eKwPlwDo+359wI6ISin19hBC3/dN0+Rh4NqSrG10UDPKwMPAZ+6++9mvfuP9jx6+6eij + 2wBCQBEYykkyrQGsjy7VAzQX0JEm55y74NQmPERUQ6OxGucBBjEAmPKqZR4RSu6Zo6LDd77+yD1f + v/xn335UinJctaRTAgOojRwXQ542cToc/eIXPg7dRplDx0U9YbsmVW2apmZaywoAZiaiWgVX5yDP + tHYXG/8x5JxzzjnnnHPOOeecc84555xzzjnnnpLaNgUYc17XJWLneLWecbJlSsTQYAhIJpxCtDm/ + 6lnX/s6177uyuZK3tU0TMA3FYkwUSAABKAIJwhCCBtTUTDoxOtcIxlGIc8ltBBdIkTgNDy3/f/bu + LFqyq7wT/P/79t7nnIi4Qw4aEJISBAgJkJhHg5kNxthu4zZlu2xTtsu4XdW9ql+6n6ofelWv6vZL + 90Ovbru6PVS7irLbk1zYmMECAQIhNCGhCUmJZikzlanMe/PeGxHn7OH7+mHfG0qppUSJSZRC329p + aUVGxI04497n3rP393/o9/7z71513xUPt3dvrB5Zb7YGLkSOCpM6kkASSAOKR/GsjaeO1HkET74m + cTpiQE4S+/dMiAgQRVEtBGGoU2UtgQHNKoloIBpUtkS2iCMR5VLggADlKDQ4l1Hy4kAUgm5HQTLA + zxQeTISc4Qglg5kkF1X1vjnV5T914kipZFaE0EJdLsJNEFcePXygFO2CKxmsSgSRJ8VuPwvcNG2M + URXgcuT4QQ1pc7YRQnDMDFIlViZlzYqCxjUvqBOYAFbP6rdzc7WmqgsgJCxFmX3RrE159PFHRl2Q + Uy8JRQoSYihLYQUBocPRtcN96cGA45y0cWNNkKyOTv/4PfUKVlKtSwZ1Kk4VORFn3+ogg3OBej+a + 7X7rvvf+k3f/+l49n6aucQ0oJ0A9BgU5J1CGBKekUgQq24OBCZBUvENOg/c8pFKc0iquufsrf/P1 + P7lvemtaWRtGW5tpCu+lkKPgEEgdqYOwFpAyhEiUdCd4mwqQlWSRSP1sOSYiUAFFR5mRnGRX1GnD + 0krxQ9HsRFpJzfQYHfy9v/1fb1+7cas9vpY2whjOIc5mwYM0EyszFIDCEwgoJUnIEoSZUAD1jkeq + xUW6wF36iff/i9ee83q/5aT3hKYNrSNPsl0oLYRQxyvX8nanYU//4LECQg7OkSehkoSUPHtSKOVY + ZtN+porGt6xccv4+rgfWNzbgXc5ZlZqmy1kCBy2ozRQB9aAlFYbUE+q0KlQoUAFKUecCsQ55U7vZ + Qxt3/e3X//z+ze/o3mGdprHJySOTsCSn2SkIUAI8ckDvdV02+qU+nTW/P911xU1/8vuf+58/f++f + rk/uG5rjhbJyVlaIOuFGm0Z8iy6goeJEICgSqIRSOHmnDll0UE7SDDn0KfSpTb3v5zQXVvigEjQH + V8aaQyYtozSbHP3SnZ/+uxv+7Ig8rCPJBVRcEJf63gdkN5cma+BespCiYKJtOw37upf94gd+tYnj + EY9VlT33w4yZPYhERYTotB+323PJ1AGkvkTMIgTclJw7aV+2+pp3XvKBs9vzeEAclFtkhjAY8Aok + 1gJin0mTCjc0DFKnLQy0eeP+qw9s3YsuDaUviK0PkgspBxe8PjFtQAlKtUtQoRppLBmkrhEBRBsN + LrPLwftmQL9Fa2n5+BVXfSo1m+KzSA7MAhWGOhQFM7iAQQAoqLiSKZeChrpmWH7HxR987Yvfuuz2 + StbitPisLjkVJ/DCTlAv5ISg8FBvQ76/P6UUESFy3jeqpEqLKpZN0/75n//Fv/k3/9Y5GoYMQkwq + J3Q7+cnTE0MI9UHbtotnFpnW9Rp48esSduZM1p+y35jOcIv08boH6/4iojqzqL5aq6DarjTGmDPH + Ynboib9hiUjtwWWnU88nqTdgjDHGGGOMMcYYY4wxxhhjvpdasnlxu7ymVp/8zfX99Uespqcx5nmn + lsjHTpvmnLMhQ2cC2oETxvIx82KQQH0pxqiqJw4kOJOzBxbLWR/UURA556ZpYowARLan53wfk3SM + McYYY4wxxhhjjDHGGPNcecof9u3v/Ceq9RkqVV3cCqn3euqdnUU9h/qkqnrvm6apb44xgrarEckQ + oQItBZgBn73vkXta/tTNN2wAGYAUqBLAz1y+q35FnZGqqot7NKdn7X901Jt0tZrHU55ZPH4eVVgy + xvzQPO0ADB8C4Goxu3qHn2sdv+0GWZCP3fD5P+tkShAFigKAZyYBCZhZQIUYpd944NZ481cgc+j3 + rtNU2/xSCjOHEGqDdmJ/BMB7b+PfzAuNHfHGGGOMMcYYY4wxxhhjjDHGGGOMMcacKRQoxASwCkNE + t8MQXWlcad760h8fL/OffPb3j6WjWXITunnfu4aCQ1adDyBC24E9JAEEVgAgZYYIGBAlCIO2831B + 2+HPuTgci4c+c+Nf7n90//vf+NHLzn+7j5y2tKHWU9hZOiZHAErKKWfnnGwnUosqQEJM3rlTG5lN + kkUBxc54PlIA5JRLyt47ZqgqSJzbCYX1OZdUdJ6xVdwgPCiV0FARCFB2Mq0J2Am0FgCyszW2v7YO + TPR19QFRZt/6dmtrawXnfr9771lh5pQG55kYwxBVyAU3l1kZp4ePPCAiJYmn7SUkAump5frKULwP + HKIUeeDw/tftO3zhBa86fngLgQTZg8DUsEdgLWkYBnIO9IIZTK9M4gCFE4ZAa4ipQFmoANznmVvh + 1MS7H7jTvQgUwae4/esBxQAUqigEEIpLm2l9lc9pClPwKUnTjLXkGKMLp7VUFm8f4CTE4rQA4pQV + dZW5sAoEYC5NK3CKd7z8vUvN+K+u+tSRjUeb5aBhEIILmA/FKVRVBM7DBUqifY/Og3ZaEigTGAQh + SCi8JLceuvHY5x7/xQ9+4qK9l/lmdTqbjXynUYg9K5WiTdOGJtQKYoBAUU9YRdn+UHWnsLrK89ng + vfeeHUMkqyhTE9j3MZNDaD35NND0uGzcef8tV936Dwf8/cdxJIbMBAIcgygjK5GQyna7UdtJRWFM + o7QtKKPlNhfK87K7O2eXP+vXPvRbr1x5tZ81EG6ats9JYiECPc9jaAO7lAZwaXw78p0UEhHlXFyk + tq4kNEZizyAiVj2F00UI1NLRzaMvHb/ICa+vbayurs5KdHCktGiXdpru+s/TuD2VpHgkHRTkgmdG + TNFNZAtrn/36FYfjQ3Fl2odeAfWAQvP2ktVTgAHZWT71iCWKpmbcSsuPpM3Hb37om9+++qOX/ZNX + v/iNe5b39rPoJXjfUOI8aEHy3ocQhCUWSTEqKzPnnIjIM7ODqmYt0AImVXTdKGeJfWzCqG06ySWh + SJeO02NXXvvXV99xpeyZtyNem22Nu9ZLyHHuArmg04ikxTXR+wZJJn7JbfoLRhf80vt+vZstT9yS + JiElpe1Vg1LdC/LDqMrIAINEqYCyktacacfs1LVp8sE3/PT9j9+5Ndvom2EWU9vAAZRACgcnSgB8 + CLnPBPW83ZVyJ+vrB75w3RW//M69eyfnBm6m02njGygkl5STC64QtveestL2FLZhlpYmS/MhTYd+ + ZTzy6uKsR3Hc8ixv5PF0vnTsM9f8xeH0YO+mglKv2JR2tpXCFRAgqsR0vC9NQOODDs5tNRetvOp9 + l33kLHdhvxZVfBhpSZGEAPUnnEZCdZbESWbJme9hZWVlY2Ojxl4651JKbdsOQ/Khueaaa/71v/4f + iFCKEuHkE+JqgrX3Puc8DEPXdX3f1zmTS0tLa2trdU6d975+0Q9p9cwPSA0mX+w4VXXOlVJyzl3X + pZRUNedcjx/bxcYYcyZwzonIoo4zACKq7XPtoOtc95yzzRQ1xhhjjDHGGGOMMcYYY4wxxhhjfiSV + UuqoAO99HS3Qtm3O2TlXw6FFpI4uqPnQz5e6+Sml0WiUc2bmGGMdESEiZ3JEtzHGGGOMMcYYY4wx + xhhjFpi5/mG/7/u2bZ1zqvq0QZ4vQCGEnHO9/VEn9QOoj5/2/fV+0Pr6+urqKhERUdM0fd+Dajkg + rWU5BJgBAP7g6i/ftXl0ChB7BVEt3PbMy6Oqde/UBaj3lZj5+XJf6blSb9LV3Vfvai2eWdzVYuaU + Ut3jNtXXGPNMVAuxQ7OMGAE4ggLOAQJRgB1KBCIO7b/7W1+/4G0/UwABimQi7xk5C4QkJx94xeOL + //CXGA5Dh8ZDEk7elNfGv9YriDFaJRljKuuzjTHGGGOMMcYYY4wxxhhjjDHGGGOMOVPUQEOQAIkB + RlGQqIeCuGw8NnvFnrf89/9037/79O8eHB5e77cmk5V5v0UBPgg3IkApyAopaAnQRQ4o72SCQihj + J3Y3KFhraKg0yyNouHPttoeuevj1F33r/Zf/5EWrr04bERFa2IGcIwaXUhy5JjQ1hlaJhVg0C4RA + TKdcK0eQiZXgdrIzHSlD2QHIEM0KBYiZhSSjlG7aN9PVXeNp3Lztvpu/+/DdQ54nKs5jJ84assiS + Vd7OtlY8JQ81Rkw6SkmJ0LQjmakkWVlawdbpjE0FYokhOAalIkSeO18wbMlmxvqjaw/RRCEaHKvK + 9zG8vaareg5xiIXz/Uf2Yzkdmz3m2pFzAcWTCoREkvcBjssLJs96QcE1r3QRQwtASch7CuhjH3n+ + 3YN3NSt04NjWZC9S/n6+hVRA2/nxAmSXbr3vlnNffWGe07jdXfoy35pNxq0PfLonMZACEKWsKDWX + loQJXogALlxPGiGgKew16NH29ee+ZfLRyX/8wh8f7B8IwW3FWWF0HRpiSZIHUIIT8szLTmuM/Xbq + KoEUTqEkKWcAk93jR+cP/P6n/7cPvOm/eN9rf3aku/M0jfxYs6pi3HQxxtnmvBs1dZIHqEgNGocK + CeqH6rM/JXm5W1VVURFJIAJDRWOJbeMG6WdlnpvZY+nh6+/++k3fve4IHj2OdW0Q4IqIL+oZqppL + dq62xvVYYVZAPWkejYUIGDjP09JkWYu7UC755M//i7PwItf7rSEH15I6ysJoXOuyRJxqMPqZhCDe + e9FQVJPkEqWo6EjmsnZseriXiJaR2Xs/aCqST6n1VJLi89HZkReF2cSHpdXJdDptlyb9MICJlX8o + OcpPKCzFZxEhCUQaVRMPEqa3P3jTbQ/cMOzaKGFIAnJAAgsa1wjy9oEqYDwR/MzMoqKqRXshKGMu + 0628+anr/uCs9vy3Xf6ed77m3StyztZ6HGMyGXcpRdHc55pm7Vvf1DBr4SHnWGJSIudcQ85RozVA + vECjOOfgaNpvxZxoKT48u/tPr/zD7659e3w+bfTH+02sjFsduKR+1PoiQz9HO0LbYFrKbD6fFPJ5 + 6exywa+855+/fPmyNo1KzDUQkMAQD5LCQqoMsJLQ9xis/4+iTMJKKK4oJwCkRAohaTo3nW6OVyYi + u37+nb/6h3+/diA+3I02cxICkMEE771CYo7i4L2TgpIVhCJAU3IzvePh62/Yf/H7X/eRforgRkuj + 1flGz5DRuI15AAB1wGJ2QWagCT72AzWhacNW7CmVJR63XbO+dWz0IncEh2584Oqv3v05LOetrWGy + PEo6aD0eFKRwwqQMQDS7Bm0DJsLM+Xl3XvfSX3rPP9s3urSNK33ZalovTuIwMLEnVyPdlaDECgiI + lABmlNO3+X90bG++J1qjY8fWvffM3LbtfD4w+2FIIYSbbr7513/jn4tCBACIwMQ12/hpP7jOl1sk + W9epknWC4vr6+mLCZJ1QZ+Ujn6cW84EXs4K9933fL97Qtm09Ep6b5TPGGLOjlCIiIYRau7lWB6g1 + qesbapPe933Xdc/pkhpjjDHGGGOMMcYYY4wxxhhjjDHmdHHOxRhrjek6UHBRE7++tLS01Pd9jPG5 + XtJTsyj0Xytoq6oNSjTGGGOMMcYYY4wxxhhjni/q3YpSSillMcNxMRHS1BjpekOn3gGpE0WfaQq/ + 915VJ5NJ/VkAJ07/JwjvpFYnYAO45sABBVJ9WYoCDiDinSJsT1VzsodhWHx413VWUuB7qvmvtchG + LcHBzKUUZq53terjEIJN9TXGnJQAEnxIMdbSSrmAgVyg2zUjHUp2msv6gTuuvfLlb34vifPtxMFp + kZSUiIIjx47S/PGHb5vd9GXIOijnXMtksT5D8bfaNTNzSomZa4NWSrFwa2Ms1toYY4wxxhhjjDHG + GGOMMcYYY4wxxpgzx04cM0GQASZlBgSspUzCapOa2Wz6X3/sv/vcDZ+++o6r146urazuTmXo81yc + UAN2EIHSzphrADXaduefyoCChFlBKjVWV0j6YVoI3DSbZe3au77ywAP3v+OS9/7Ype/Z251Lcy5C + zKLwgKgyEatCVRVKTMwuiagIA4xTrJjjAGJSAhEXViUIM4iZiwq74DwJSpKkBGp15tbjeH7n3Td8 + 9brPH4wPTsOxMHECQtG6jk+M4qdFkvf2/90JI/xDQM5KgBakPiNTSkWz0mmeBaAEck5S1iK+cz0N + CVthtdz+8M3r5QhCISUiqiGLurO/nj0Hx4oo6Kl/fDjw2PDI2fDL7uya9K3kGBDNOaoLnr2XF15M + phJq0rBTBlBIAI25b0Y+Y97z8fuO3LU2HFteDTGn7/srCGCFCoRROO8/dMe73vCeBrQ10KTb0wLs + neSC0zntZHtQLUFrSjSJEwYc4EkYDKhgO4RVCPCFl2iln7mXLl/62x/7V//PF/7g3vU7l3YtRZ4O + vRYnXhGCc+RzzpKkDa4eqYW4nmxMNVtdiKQZN8enW+O2zUWvvOlzDz148Gff9QsvWXrZxkbfNaNJ + MxnmUxBPltqShIlEoWBVBWlhJYgSn2qIbilFhQRSQOwcM8hDKM+xGd2sjIb9h+/4wk2fuevQbXE0 + 79u+BDgPFcYAkcIEd0KOthIAJoWAGcziNcWcEYqbNCuyTm/e97aP/9hv7pHzaI7C1HQdk89RlJzn + FlmIWOl5HGsd4xDahiiUkhjwrSPl3PauKQ/cf09hcaHRnImo9gc4lSBq5dLT9Oj88Nb4eMujnMGB + hmFwzrHo4qNqvvgPZbi3Zo3k2WXklNWDO8zc9JvfvppWhCfIImB4hUQEdUSuIAujHv2kvOhicpQQ + HDuIahERD+cIjGk5Hkv562/+p2u+/bX3v/5Db3r520nirOdJM2ElFYiolEIkqjlJCQ2Y4V1gOFbW + jFxK0eID9/20W+7UpePD0dGuJs43b7jn2s9/62/my+u8d3g8Dq7F6phoLnGeulFTShSFYyAiDnAN + lsdNszEa9Su/8XP/8kXNS7u0WgYFZUUhcG09FCwQplPrhv4RHCBKWUhYmZRr7z3P/XhpsnHs+Gj3 + 5ILRKz72rl/546v+Hbiw2wJBCUngXfLsc1SocggilIsGDyHME9pRFpp96ca/O//88y/c/XKP8Pjm + 0ZHr2rYb+pkyAQxsNzgEASkJJBciZkZCzponk4aVjqwf7Pa0j+VH71i78Yqv/imW45xS07oa/K2E + ehXhxJMyK4SEG4pJ4RBnupdXurL6Cz/+T/eNL87HXHHUho6DpBKJlclL2W6BlFAI9bKQFYCc0vll + Frquq9MIjx07tmfPWdPplIju+e69v/M7/xJ4ohMOIQzD9+j0U0oAal5mztk5VzM1Syl12uQwDG3b + YqempHkeqcHki8nAqloDzuv8SQAigp2ZrsYYY55zzrmu6xalB+rM9mEYACzqBRBRfey9J7ILKWOM + McYYY4wxxhhjjDHGGGOMMeZHUB281zQNgOl0uqiJn3MWkfl8XscMjEaj+Xz+HC7nKanD1QB0Xbe5 + uemcs0GJxhhjjDHGGGOMMcYYY8zzhfdeRIio3sXATtC1zXOsFtuhZlpj50bPM03kL6WoaghhPp+3 + bVvLAoiIQkFgBQEMKUAhgJAJIEfkNOVaSUQAUXmmMgGqGmNcPO66TkROrDxgnlbda1UtuHFifHWM + cfGGrussJtYYc3IpJVAg57Sg9hKyqAgkApWGMZde7v7WkXtu7l7+9rk0gfyQM5EPDZeUWwxN2bjy + yisg66O2DEP98Vpqr37eUz3Roex0BPWZH9ZKG3PmslhrY4wxxhhjjDHGGGOMMcYYY4wxxhhjzhTb + IdPbQ6XZqZAyQRgs3DTBzbc2drd749b4p177y7tXzv3qLV98fP3xpuXQhqjzPs7UgRxaD30irfiJ + QXVCEIAJTsQpk7IAwlIYPCIUcCYiEieH5g///e3/7zfuvPK9r/nJy/a97ryzL+hnucxk0q54tJuz + aevHEBQtDCYHZlbV72NMNpGrS1gHAhKYHCvQpyhOhFSQ1UOdZI0zt3Hdd79y893XrR87mt18k49O + ZSMQxaSeWMGLmN6dFX/qgELdGeQfAs82ZTL2OUvKsnu8vFSWamDhaeVCGFIKBZ7dvPSZh9TMZzjy + 9Tu+mJr1jCLQkhUEcahBls8eK6AopYQWScpWOX7Vtz77Gz/xr9KRGbJHYRB7553XUnJajOJ8wVCC + sACqpKQgYaqBoVQyeoHmbmto17/5navzKAIEkJximqsSBFInNtR4ZCHJLn33yJ13HLrp1Re8yaMM + qVVhTySa6fTG9YpTAFJIlFDAwt6pZ3U1wHU7xJ1yjYYF0IYORaXnbRHCwQAAIABJREFUsxv+7Z/+ + b//6q396+4HrlFMY5UKioKykIHaBKJZSGKwEICsD6sv2SF4hwtY0th2m86H17QzTh+Z3/t7f/C8f + eO1HX3/xW/Yu713fOBzQjJuJSBNCK3l7iYlo50T2p56kK1GLD95RYFURKUgDzQa/Wcaz+4/eefW1 + V9583/XRz5vdXiiVAiKUBC3FKYihCiE4hyKAAsQKgIQAKEiD732rUCYewodf/TMfeePPLcU9eU7N + eJKkOCKRXMg1TUuFUsoUfhC78TkjPkAkZxCRA2lGTlL6tMV7032H7ioNJ1UnKJJA8E/qd763wjm3 + /bfvu+Gdl7xv49Gj4JVRsxT72IUJpEC5nkFKAEEIOP3ZyizKDFYmYjgprswxf+jYQ2UpDWUuwMiB + hFXQuGbISYIU3k5zd1KPE2agcZ6UkAERT14IWnRWBvKa0nR5z+SI3vMfrr39i7de+J7Xf/hNL397 + zHvH2B1yW0QolLZDor70M0HruQnqi3DJYPWh6drAQ94cr3Rrw6FBj+uu4fq7r//aDV8+PD04b+M8 + z5Iv3IAUca4hp8mozRSTig9ExBoxJi+55GNl3/JLfu3jnzyH953TXjhf7wEaLS9Np5sthydtlbr5 + 6XRvf1awkIAKQ0gCKTOKkEqReRz2nrX72Prj3eru15z/tp95y+G/u/Yv87KLboZAfYpRcue0JSIO + MQ6+CZkSHAfP/SyrEwrz4+2R//TFP/zEx/6r80b7RpPds60isTg4IoICNUWbBABrzZB2bdtuDUMq + 0Xeul9lMjjfnYY0PfP3OL33u2389C5vrfdpzVjsdkmpZxE6TeKiHopAICwiloMm8tzlLDje/9OFf + u/SsN/Dx0dgvQUBaSsqqJbjGcRNTcgQlFK5B1sxat71An7iGMadkOp227WhpaSWl1LbtQw899IlP + fOLo0fV6SDvniGgYEhGd5Dq2XujWB3UeaZ0AiRNmSLZtm3MmIpum8rxTJ5fmnEMIAEIIKaWaXI6d + IqE1IXXxHmOMMc8hVa2Z1uPxeDabqeowDLXd7vu+NtS10IPVejDGGGOMMcYYY4wxxhhjjDHGGGN+ + VNWxAYsHk8kEOxEI9Xki6rqu7/s6zOD5IoRQxyIOw1AL/ePJ1f+NMcYYY4wxxhhjjDHGGHPGqgm+ + tRaBqtbbFiklm6JexRjrpiilhBBqFrKqPtNU0EU6eAihaZphGHbqmzEAWQRXA2CAgAIAigywB7BT + QOiZLHYWgJyziLRt+wNc3x9VNQi27rVaXmOxHxd3tYZhYOYQgtXfMMacnGohDpoKM2cR1MJvROxY + cgYk1TqM00Pf/sp//sDFrx+iJz8mItcwe6RZH9x09tDt+aaroNM8ZNZaqsnpSctl1aasViSonYvV + JTAGFmttjDHGGGOMMcYYY4wxxhhjjDHGGGPMmURI4RTZEZQFXPMySUWT9kMMzo99J1s87tz7Lv3J + C/ZceOU3P/fIsYfXjh/xk2Y04mnZkgLfQQHQ9sBqJSzikZ2Ctb4kSqyExBBGTgqggbIXIA9+HjkK + bV1x3X+47q6LLn/l61536RvPOfvF037NpXZp9y6NmQtzIZFC4uCYCKqnEsIMAFAlwIkASgIQk0KV + MsaiIUXMepoln45NH7/trm/fsv/Go+Xh7OeJYi49Lymx9ALfOo0BSkCBZpCAQBAGyk6UNT05DzJG + aQJEoErjdtxvxM3NaRM65Gda0h8AIRAoi4xDW0Qy0mT3aH326Jeu/+z+Q7fS8iCspECBilMHJQEp + nUqUKBHlErUBEQad3nTvdZdf+M23XPgeF9m7LqeUS2zZO49eiuNAcrqDYs8oUhiA8PbEBGJhsAiX + bqWb6zHptq648s/W8mGMct/n4BzoacLRT/4VWgNIFawNAEWfXZ7y+l9e+anf/PnlfZNLSFdHyytr + a0dXlpc0S83uPR0I20NrVbmAlaBwIFZwPRUYEGwndxcWUp7OZ865EU84eRb6Zx/85Geu3XXD/q8d + nx8tbSpeosZhyI1HFxrJBcUB21nyyhlAURBABGSUzKPRiBLPy9bBuNZw+5lb/uL6/de89XVve/Nl + b+v87tnmRkhdStG7xsl23hjBOZCoYGcaybMXgsuSYx7ECXeUm34rHzuWDnzl6i/c8fC31oZDfi+p + yEYefIBzgEILIOKdc2AVKQpmSE253d5IUIJQDkKtLOkcu1b2fvhdH337i9+/ND9r7JaL05glDoMG + z+yEclGoJt+6cupN4hmExDs/TxGuU3bzuCWaQtOEMe544NZ7H7krc8pQ9hAUJZzqqGwhSW62/9E7 + 73/snkv3Xu56irOZ912RXI8EJQhBdx6fvjOlIqWWgiZicexDLNNZnK/JsYGHXvus4hkQylEDBw4o + fcYJq1yDtxlSwEwquj1ynYnZOSZqvStUwsjN0+YgGJ8VDs7u/fNr/ugL3/zMa/e9/TX7Xn/pSy4b + LS/F+fx4jj6omzhWF5P0eU5woQ3MPCtlyDNtY6H+GB361t3fuPE71xztD7pRSbuSBlIpqtAEEQSC + a0OUPIsyWW2GIZZZ2TVaRU8y04tWXvbTb/n4pXtfL2thvtmP2iURmR7fGk+WS8pCNcodDAhxIfBp + 7iuUavsMUiYFCwMMElYow5OLMY66pdlmmuza9a6LP7h5fP3q/V/aQO9XHAcpJYsUKvCEIcOPqBD1 + gyyNxs4PgtRL1G72eD74f/3F//7JX/hvLpq0I7eCGSAOsjMDqmZaQ0iZAfIuleJUGsfMkn2JtHmM + 1q684TM33veNw/kQjXVp7NfXhnHXpjLozvKzMoDCopyVhBRcsOL2hM3JR97xc5ef96bJsDpudns0 + wzBXLVwvUISKkHddQVKSQgCYlAE4zQDK6T0DfmSpatu2wzCEELa2tmKMn/zkJx9/fJ0YbdP1fa+q + dcYjMw/D8EyfIyIhhFJKKcV7X2ck5pydc977Ukp9Q50VaTNVnqdqmrWq1kxrZgZQSmHmmnouIqPR + 6LleTGOMMSCipmlKKbPZDEDt62vVgNqht21bSwk456zcgzHGGGOMMcYYY4wxxhhjjDHGGPMjaRFj + sHggsj1ym4iIqGYhMLOI1IEEz82CnqKUEgBmds6JSB29ZpnWxhhjjDHGGGOMMcYYY8zzwmJuI4Cc + MzPXueqLuxgvcItbNqPRaD6f17shMcbF7Z7/v1rVQVXX1tbG47GIMHPdnLUIRwEryXaZtVomBQyA + 4QqyEkDPWDtqcf+ofmydjroIZjbPhIhqNQbsRLkvNlqd/+ucqwHhwzA0TWP1N4wxz4DjMDRtG7xL + OZHz5LiUAiEFg5gQAWQFnEeZbd38tc1D9zZnv5oIhUJW5B5d8KuMr33hrzB/DDrodkfwPZqdRX9U + yxSo6qJZM+YFzmKtjTHGGGOMMcYYY4wxxhhjjDHGGGOMOVMQwMgAO/GFIAQhMMRBxj6klJj9fJZc + 4zxGzXz5la3f8xNnfe32L19/x7VH54+xd+O2i9pLxpOzkEUJSmDd/g/b+Yg1ThpQ9o4BREpDid7D + t6CM9Xh8fFZ/X96699t3XnnrZy97yevecfmPv3TvxSlGV9qJW/auQeQaHrTzXacSfalM5EQEQkQE + RqFcNEXMEmbc6Xo5fMeDt950z3UPHr63x1S7IuNh1sdmjGbU9BqTAow+lQYdb4ee7uQQP3lgodKT + 8oNV0bbNMI9KnHMOaPfs2ptjeeqP/aANJYfQQKEQsB58/JEb77vm2tu+nEabpQUAzmDlXEhUBeRO + MjT+6TjSosgKcqAGwzD9+y9/evLOs16y+pq9k3OdcykOIagyCcS7mr58ulb2TCMEpQyoQJwysP2f + kmz264Nf/8KX/+b2+65Pq1vZiwtNSuJOZYRdPcsAFIIrgDqABRmcZZyn/bFPffqPPvauX3n9Oatx + S847/7zDhx7rmu60jmYlFVIUcgAXYhAJw6k4AaE4FYIoQQCFB0E9d+NxnE05Y8/krPnmxs+9+Rcv + Ovdlf3f9FQenDwzdtFkiYc2KTCWLBqrbEIRct0BhQEEZK0urw9agWVLZ6FbDNGfycnjjwSkdf+Rb + 9371ji++6RVvfePFbzt3fGGXEafFcevVExyRAznWoqo4lVB3AqTPoSW3XOZu87F04J5H77p5/413 + P3xH4n6gvgQqmZwfscyHQRsPR77mJjNYQIpcVIqC6q5UD2UFCFldFhXCrn1nv/Kn3vQzr73gzc3W + yEk7JBVRR751bdMEgVBJIn1WIdciP7+nVaQyiAp7R0TkdNQ2wu7gxpGvXvfFo7PDcTkrEzunnJSQ + BJ5OoQdQkkGlbfq/u/KKsz9y7qRf3tWclVP2cIADIKB6cAIsp5pwfuqccigNxGkKhDAAwtiYbxan + 4pSdc0QxCQnE54Eid0CBV5Ya607YWVoZMpxD0zCzK1lTiSJgIDjIvLQMEGaz5D35XXRk/tDVBw59 + 89EvLN+46/zdF1x03isvPGff7vGeBqPVyR4ERhAKGllmcfPI2sEjW0cePHTffQf2P7r2aA6ROpTd + Ukj6lBs4Keg8MaPPqh4x6HwofhyiUiwIHiUm2movP/+tH3/vJy4YXaJHOcfYNewCzzf6liaavIKF + sqtXIzWhmZBZ+HT2F7V9JkUogVSUICSqDSCBClGZzko3HrugeZ72hHN+8g0fW0vHr3/kms28pR2I + IIArICld4JyzOo2KlNlxA2Q47bkf+r5b7v74L//P//Jdv/q6l7y9c7upOIIDACpArocZqwN4npMj + GYUgmlLu0fV3PXbb52/+29sP3SST5JcbVUXmwHHIg2+gAlLUSxEhEc7JCQBOOHf57OERvOOyd3/g + dT/l1scdreQokXqh5D0YJOqlsCj7xiWkeiyxcg35JmRAty8SzSli5vl83rajOtvzN3/zN/fvf2A0 + CrN56vsIsIKJKKUCpFq88pk+KqVUZ40CiDHWqpf1+RBCjdKEZVo/P9X5rjWbfDFhsu5x51yNR805 + 11cB2DRUY4x5bqWUal8cQsg5D8Pgvc85A2DmOtG9lOK9r234c728xhhjjDHGGGOMMcYYY4wxxhhj + jDktcs51zAAROefqQMH6EhGllLz3tRT18yXTGkAdDqE7nHM12fq5Xi5jjDHGGGOMMcYYY4wxxnxv + i+IDRDSZTFJKAOzv/AullLZtY4zz+bzO5S+l1DDpp7WIHfXen/g2IoUSAQXYriClgGAMYuKpgoiz + FgGIoQCeuR5OLRyxmKZav2vx2JxECKEe4aPR6NixYwBSSrV0wyLNvW1bO/6NMSfRtC2AGGdMoZQC + JcBREzRnzdkDxEgaQJ4w1/nj13/1H972cy/1za4BGDJQymrjHr3nO9Mbv4Kyzg7MqJXw9KR10Grn + UocZ1DozVi/ImMpGqBhjjDHGGGOMMcYYY4wxxhhjjDHGvHDVcVR1KNUJtU4W2cA7qP7PqWp9noB7 + 9t/GKuygYiOxfmAIYBUAAl+IhQEIqzgVrw5CcD6WqF4a7+Px5Cc0LG9sNevfeeS2r97ypbseuTWP + Bh5rX6ZENQ65xitCSWrgbo2llJ383Z2hdyzqhESpsKv/BiuYkQUi6NB16HSLfd9cuOeiV7z40jde + +pY943OXwy5KARIcNwBr1iBEeuIhsR3BWL/0ieOKtH65ehTNiqIs8JJo6MtWj+l37r/ljgdu3X/g + Oz1t6Tgn7iMG9ciC0EITcl05BykI5Cg3rCxclLJyJsALWJHoibBhLh4kSkIKr0EG9dJMmuVhmn/s + sve/95IPX9i9vI1LPp9y6FEdu14YCpBy3Y8EQFnrWpMIIKyDz84L5lPX8Jpufv6bf/+12z8vezbn + S0e3CryDFHTUlKwSWEhYCumzj7VmyqCGh5D7hEmDbu7ao7vOoYs+9NaPXf6yN66OV/M0j30D8Czm + 1o1YHCsvlnB7h23nfzMpA1DKIMETz5+hZOcIX6ghszuHOoOkEJQKKJMySRDGEPoYpo9vPvTFa//2 + O4/euDVaPz7ZHBhNYY9GND77WPHFkQaABa6MCnHyAyj7gib6Lk0m/d63XvTBD7z9JwHau3KWTimU + QNLUs+aE3PVF6nZds+2wdj2VTFMCnABAIc7MhUgJpGCoFyHIdmtDKMQKVmLPbr65tTKaNMFtbm66 + jkqTtvz6wfTAp6/5q1sfvYFWYqRZUnUOUkDoWAmUhHPNtFYCFA1CmmvLAVJcoz2SNhgylhzFmTZo + R7xEUx7r6qsuuPyyi17/qpdcHmTcSuvRsTpSViHVGuu+szoKJRBEAZAAUs8x0PYzQsKsm2n9wWP3 + 3v7ALXcdvPXw/ECkeQkKDyE4R1lKSj0Hdg1izL7AMxORChWQAurKorUk8SSB1ZPCITWpe+NL3/uz + b/+lfeGi/nBaaldI/DCk0WiccxIU8trH3gfuuqbve0eehM+os6YGMDNAytsdAXi7gwAIQrUJ256R + UzSUQZO4NpYIno+Xm3sfuu+z11xx1/o3yq7+mB8K5yarZ80OqiA5hSByJaABzXj5+Lkvm1zyyx/9 + tb3dOZOwO84RtCVlUFYw4EnI6XZzVNeDFW77nyKEQqgNOwDAb7dpz/rMrQhwpbQ0HnqmwEMz71fW + bzn69T/6zP8xjNejmxE5EfHeF5R5zKMREIGdDVjXqLZCLlDOKhnM8ESqynBMQkUdoAoByFGBioKZ + QIoEV9wIE5c6zH1TupGfSHJN07iAJPNZ3ozai8vS5ExRG0SKhUWYBimiaBtGkgYUBKqaCRkoDkrs + yc9ncc9kHKKjtfDuyz7002/8+Go5t0mrpZd2ycccKVNwnUc75CQugzJDSMHqBcgMJbDK6Yy1ZgWT + IkhtuqUwFB4AU4oxdqPVISVyIFbpeyyXh+neT1317+84etvQrqvrGw83R2CfWbZ68SucsjZYKikz + 93AagaW2icfyXn9us7X09le++6fe9XGOXSMjJ7XjBilIPUsQgjaS8tSVHEZ6eOvgV++46hsPfO0x + Oigrw0xnje/SNLc8cl77suUCUABsn/LCUliEEARdWnJrzS+889c+cPlHwvpk2Z3F0Q0piwe8MlJO + Q6DOuy4VCIr4rCQCZmUWdipOM0gL0Sl1AS84dMIp/0RzwSISQisis+n8t37rt755w7dqA6EAsVcR + 7PwS5D2fZG5hLXlZ31ArYC5+h6pz6nDClBWbqfK8s9iJJ+7NxYRJPDm83HauMcacCWprfGJpgEVL + rqqLBtwYY37kPeW3j/pbSm0BywnXraTMgEBqyRYA9Vfu79x00wXnnodT+OOzMcYYY4wxxhhjjHmh + WAwBqsOoCiEz7Xv5RdN5rDfaVfVpRho/mZW2M8YYY06T53AIUx1uV3v5M2ek3KIyPnaWcJFwUNXx + fiLPm/tizFyXdhHLXTMAnuvlMsYYY4wxxhhjjDHGGGPMs8XMqtp1Xd/3i/Rfsyhwd+I2ecqdnaeo + ry7untRPICJSEEgAdQAJBCRoAAZFOCUHFUUiD6kFJk46jKV+7OJbzMmdUKhw+/Fih3rvU0r1/p2I + 1EI9z+nCGmPOaKqFiFCyb9oCcqEtteQlEbQEFXKI0gLwOmQ3wviVH/63f1iWX77FqzpiX7BrdvBz + //f/JDf9NfJhEAhwCgYKt0XKSaqQee9ns5n3/gwZ+WDMGcJirY0xxhhjjDHGGGOMMcYYY4wxxhhj + XrhOKda6RsTVQjQE7P/u7awCkjMqs/NHwAlh01xzoGuK5PbAN2Xdye0jZZCo5uyHPB6OyeHrv3vN + 12794oHNB8MujjIUzsxcVEWEfM2orsm4IMA5QFAHddcEvp2w2PrhqImxCg8wqTiFUzhhJ+xL4Ox3 + jc7ed/bFF7/ksn0vesXK+BxHbYjNqIy9OKq5GUJEXJWUlaAq2/m5pAVSXEx+Lk0aZH50evi+Q/fe + d+Duhx6/f23+eKR5cQmQ7fUlgbJwLjuH205O9vZjJl9SLgrvAU+qigIAAmLvRDVJYYV3joSRdayT + sqW7+aw3vOItb3/Nj+9bvXg0rHBsiU4thraAPDFLGSBl7GYxdW7kC8akSCUXEgKHoixJU8/DtDne + rOra+qEbb/3GLd+95ehwJDez3s2i74W3w4xZmBXCNZxJ9FQGPZKykhQGCKzwBSE3bRxj2l5ywWve + 9Kp3XHLBZXubcygFiZ5S6DBhQDEIFWEhopA9QBnkEDh1RFT8VF2WlNvQ5nKGjTdzKKV4OBBlEEg8 + VIsIEwNOFZBCLAQnBCApXMsR877M3IhKM3z3yD3fefDWa759VfbT5KYxzIeQazbzsw/oXVjsLFLU + trSQgOCVkDRI28hIezcJK6961WvefMlbXrZ0ybLsDbKKgSjDEXuGChVtHHc5gSHBKyMXiaqqxKea + bA1AASHGzrkPoAZanxhtLwRWfsoqK6FwLi5pE9fLkWvv+OLXbrvymDyqy/3gcnbQ3BC8IgtFODBD + CDnD1zZEmRWAgCAEIlDBThfDpMziSBtXQlO6C/buu2Tfay4675XnLr144pca6lg7xOBQux4iVbAS + RCkLF0EqlJUyXJ4Ns8eOHDqw/sitD950eHZoY3YcXNRr1qEgs3eCUptTrXnYi01Y4JmcBhFkATmo + K0KltiROgbkfyR6a+3OXz//QWz/6hgvf0Q3LIbc7efA4IYu8ZoQ/0XWekBB/RhASdQBAQw7KXeii + aC+CrhmQBMmReGgAWFQLovZYlqlMxSO5eODYQ7fcdcOd997+2OwAlmbJz6PPNSidngiPP8VFcnCC + Jo780O5qznrFiy957aVvfuUFr6YhdJg46Sg5Lt5r65VJPMAChZOg5KYyDt1Q+swyNFpYCZnFUxmT + skMGitIpTBYiCKR4arws9amXNg4rxx/B/t/99//j1miNJzKUGQgsYHYqLknhoE/zFfSkpmP7seLE + rnzRUJzYi5HWc5Ch3gmRelcCwEoiVIrLSlK4KOUk4gLI1Qk8UAUReGdIvSqKQhjiagg8PDcheZ76 + 87oL3/Oqn/jxSz90Ll/Qr2XvGq2ZvCSsXM/K+sziIpC2G5DthTythLhuh3opUi+E6ivYebwdza4o + LpWuf2x45C++/h9vPvBNWZ5JG+fTPB5zSbK9ldlBgzJUk7KkLF3XaVKXqMW4bOmLJhe88ZK3/Njr + 3jPhlVaXePDITaCxQ6uctujxdkWOrj967S1f+9b+646Vx/pRP6WpthCnEOfgPDxKVonMrJko+EFi + JnEdIMCAFd0z3lz5+Lt/9a0veV87X2pl5NWV3Pu2SfLEdRcpY3vjP7kZUd7Z/GKZ1icnJTVNmM/7 + 0WiUi5ZSum48DAPY1z7kt3/7d77y5auLSv2155nGzy8qWtZETOxUh1z8orT4wWEY2rb9Ya2fMcYY + Y56k/jHzaTv0E588cypoG2PMaWKx1sYYY4wxxhhjjDHmNLFYa1PVP0LWWsOLkRInuQWjqqWURT3i + GGMIwe7XGGPMD9wLLdZ68UU5Z+99jLFpmsWr9cnFkpw89mDxNlX13uecFy/VH6wrWBMmTsvK/CPU + YY3MTxpNWlf/uVokY4wxxhhjjDHGGGOMMcY8rXpLoiZb2/CJ06dWRMHihpXCAQDkifoocsL7zA9D + LdBRD/uUUgjhuV4iY8yZrpTiXK0G5Nj/f+zdWYxk6XUf+P855/vuvZFZS1Z1VW/sjb2w2Wyu3dwl + kxRlU6PFsiV7MLLhRfMysA1oDNh+MGbmSQIGEDDjAQTDMw9eZgTNgx9s2YJH0FiyyJEtSqQkaiEp + tsSluTR7X6oqMyPuvd93zpmHLyIqe2UVxequap4fyOjMyMiIu343u+/5zl+sboZsMlpPh2UABHPK + yKfPfuS/ec/f+J+e5TOcqR+fS1/9rV/9n/8+yuOwg3ZFOPJbDFjXpXmeAfR9P01TzrmUcrT4obUV + iiK3EJqItQ4hhBBCCCGEEEIIIYQQQgghhBBC+O4VsdbXOnIWY0ooMk3d4dgtHzn/lU9+/hOf/sIn + az+WPM+2tFTzkIvOpXjKcAIRTGGGxEgpuXspynw00dZaWKkTwzoAhAqqLSmZWgCnQrxLdUGlE10c + G87cdOaWG/ZuvOn4TcfziRMn9k4eOz4MxxKLO8xMRMxUVcf5cLk6OLd/7uBg/2A+/42nv/bs4VPP + 7D91WC9oV7yrRcbJVuielxDM1gKbYbxeNjw/YtMdIsQkbXVUQQkpsbq1yM/EGZVtMrZul451ZeeB + e9777nvef9veXcdxOo99ql3iXFAvp6CKiQSmWlb9qeFxf8YGYiOUqdMxORkNJqSYVnV5ME6Hdf+r + z3zxS498/muPfWml5zWPIw6rGHpS8pZp3ULECcZuIBiw2Rff+vFowm47L1s2uRh25BhWKc2LU92N + t5+9+66b773lhjfu7ZzZyccZxJhdrLIJpCtMllLXzwd83G/UqXpepew6Vphx6q+ugjNhKzUTO9Ps + LQJUi690ocZFvDp5YXKCGAhQw1Sn8+O5J84/8vUnv/zwE1/6xrmvnpue7Y5xkdGoKtftdAXHpW75 + 7ePLYZCqC6RLGcrzPDN4F3u37dx1697db7zxvlvO3nbq2ImdLrvNc9WqfU47CYOrUSmZ0iB9nSxR + f+WGXFpHqMKAbX48nEHmVAst6djqi0997ld+5xcfeuIPdWc5pqlC1IkZnN1MSwUIOcM33cAunqpH + 5ngYr79chyI7i3ZiOc1ZSjfg+Mlh78zJG07snj5z4uZehq5POWdmNlOtc7XpcDwwKueX55969tFn + zj19OB8oSuFRT06Hdd8MKbEQmxm5M7O27mktr5cuZgknQp1hipw7lqzQ6qMTiJEIMtKO7nXLk2+9 + 7X0//KG/eibdMCx3k16r8yWMbGXzTj8MRjoVMveOlkkPaIVdmjGW+bDOS53GMk5lnEadnzp8/KkL + Tz7x3OPPHj51fn5uSYfeVRp8tKVyRduDAI6EN1/W+WK2zmOmmrimpLnDTuc7b7zhzpP96euO33Td + sTN7O6dPLE72ecGUumHBjDzkcm6+ETfKipyALh2QO1VxJWfqa6XsAAAgAElEQVSyHXYWTOSmfDkT + i8gAd6Xed1TV+zoN+0/nR3/hV//5F89/7rHVI/1JEIMcqCD0RFJo9E35O7bbgcAv262XDcwwI7Cb + EXidKH/x8SJv5yMDZptDt32UMFSh7XLMIAI5sVBZ2mJBBV4VkrlWs4Ljw4l5X/t5574b3vbnH/ih + d7zh3by/sAt0bDhRvV5W8vfVhczZlnLhcPHsL/zKv/z8E5/Zz891J3x/GrsEEeHKpajBhbORFa0p + JRZMUxXCzmJXR8NEp3fOlPN+y+nb77zxTTddd+vezpksC511WQ4fee4rDz/2p488+ZWVX/B+mvOq + cuWBV3OVLAKZ59krEiEJ3CE8VFVZsJMul7UH79GZvL/7Ex/+yftvfPdZeYPuU0YidvWZs6h7/An9 + neQqwi3EbS7add04zil1RY2Z//E//h/+7b/9dw70/WKapq7r5vllO05u/52o9azMObfOlSJSSqm1 + tn7NOedIygwhhBBeKxFrHUIITcRahxBCCCGEEEIIIYQrJGKtQ9OyM7ddhsdxHIbhW/5We5mqigii + SXEIIVwB322x1jjSRbqU0lKcVdXMuq5rgRDM3Gr8XuFNWk1g13WtYzUAIhKRWmvLtG7dq7Hptv8q + rNflasnW2ylgq9VqsVi81gsVQgghhBBCCCGEEEIIIYSLVDWl1IIz2w2Ivu/neY4iivDdo91r296D + A9AKSEII4cVajVmrUmv3wZkZwMvcsmdIj+N3/fDP/pun0/U7i8WePfvx/+N/PPdbvwQ7gE9AAoxg + 2IZhE7lrqxYA0Mal9lm11nmeu65rnz5NU9/3r+Kqh3CViljrEEIIIYQQQgghhBBCCCGEEEIIIYTv + XhFr/TpA7ERUixVT7sh7e7Y89cTya7/8yV/8xoUvnqenfDHPmCgj99ACUgAgggJFoQZmZElewVhH + 2AJmZJuMXt48iaNHhSsy5ywdGdVRbTahlFKWlo3rRA64MBFTakV7ICMiEgfMycy1mnd5p2hVLcSe + MyNBtRSdOJGRgcyfH4LbjkbyixG5rYWiOdrxS4CIMCV3UZ1Sp2WCAAvOPHW06s/u3HrL6bs+/OAP + XH/89pPpjI+Qyh1ncjMzwWWUQZMzCg9DnnT/HD/5z//jP31kfHj2MXWlowKyQr0SV6+z2ljNKu2m + xbi8UHmZdwldnXSaGWlAaTHADnY+kroLNhjx0dDTV3gEIJYIpmTOZnQxaFkVUIhxh6GjQbSzClXv + +0xEGUxEVcCGvqZUuU7p3pse+Gsf+Tt7csZ1JK1UkSUV4quq4IwoWy2ZDEwT4OJK84E8+7P/90+P + /QXQVMUqCcgJVczKPKsWEpKBC03LckDZu91+HJfWRrK2CzbDmpNdypZ/iUja7clCACBOBLgJmQNJ + iF1dKwkPmQaxTksVzF3mLjkzc1qYks2Mmk/Q3jvvef+H3v6xsztvsAsqdqUq9VustW3WeoPZeZ7H + bjeNOKyL8TCf+83PfuI3fv/j5/Txeef8xJM7CCBid0+UmLmo4mJcrgHwFvu9uVyQgzabmh0wSpyJ + mJ21upsRhIhrNYEI54uhZe7mXqykTiAomKtXsFESoBadATCjTWcwQ0u13wwX66ubE1p+vDiY4eAC + VHUj54Qk7JPtUp8Oh1t37/rYgz/+wO3fk5bHZe7lclKSrzZGIAHMpZraLD2WfPDpL//2f/y9X33K + npjS0nlyNiElcri7u1Ai8gqdfTKplq1Aq4GPdBllX2eTt6Hh0s8XACl1VtWd2CGSGewKVMAocxJK + MIKZG8FgMBnSPM+LfveU3fDffezv39Lf2fvOXMmkB9BZIYAtEUwwAVYpK13qnyhG4ETTajyWd604 + Jxl5nIbzv/vIJ3/+1/7pdOrC2O9XQZ0gQMfs1dp7k7c/kHh9uQQupriTAbWdCw4YkhNvgr3NCQR7 + QdT3lpO1ACoC2MEOsfXWZkit6gTKmYSLqrq1a+hqUmLkDKsQpa4ek+Vwndz4/e/+4Q/c+727OGmH + lJGzdOxsdg3HKhuZYqIB55fn8mn861/7vz7z9d863z2GPT03Fmf0jI5BhVSdOaWUah1ZqJirISWC + p1IUyoMsEmU2uKq7MYPYKwipW42jo/YDs9hUJzNIggPu60BxEERAwFyghixUZl8QjqeT+gzfdd1b + f/RDP3Hn6ft39KSvCAVZOgBFqzNd0+PJVci0uPswDNM0EadSyqlT1z333PlhZ/dnfuZn/sW//PmU + uNbWW1vc/eXaah8NyMw5m1mbJgfA3VtDzPavTrXW1hwzhBBCCK++iLUOIYQmYq1DCCGEEEIIIYQQ + whUSsdZhq/Xr3GZUt1aer7Bzzay90t1bcUXcrwkhhO+477ZY69ZCWlWJqIVYbz93OxnK3dsFaFvy + 95JacDUR7e7uHhwcbJ9n5pzzNE1ElFIqpVyFydbtqnpwcNB1HYDWa3t75Q0hhBBCCCGEEEIIIYQQ + wlViZ2dntVoBaLc2XvnmRQivJ+3uVbuj1+pGEJnWIYRL0MoAVDXn/K3KDhn57Nkf/0fv/4t/y6s+ + 99U//M2f/e9RnoIewCvAwLo9n4IBMHNKPM9zK4GrtW57FKxWq2EY2ptuq+NCCNFOK4QQQgghhBBC + CCGEEEIIIYQQQgghhGtYUYUiS7fT77pjXI6n+cze3sk7fvyNf/zI7/36H/zKnz722bSTClarpeUe + pgDQmgqmhJzYzFUrMcwZZOyGVp3XPsANMGziNtujAbmXuZblXISQes4LcZtGP1RZvz853MAM5kRE + aZeLqWq1lvUp60jIw9V+GoZOEjnGOnkpAFiY4Iy2SIDb89OsnxfD6WQAmImEYW5m5uQArLq7zzjZ + dTzlcs7P7tzy4Ds/+M57PnDzyTu7+TjVgQ5zIkldqlqmslwv2OV0U2SGQzlztyPn7LHz/M0pTy4r + UhhhdtT2ugTuu+T9+eV+v5f7LldfFhTPEMKsF+O6jYwBOC4mW7c94viWj+RMDgIzYAYmU4a3lOwE + HsCEUldjWbogDZxSWtWZnZNnJ1E2wLoEqZK7Y+fSEzim0+GIUnuSLgkzl6usPRNaeyx2BsTEyCvJ + xNMFeWK/f0q5KJtRBsBeGFV2RLWouhJIQB3UsRyXLZiyZS0DaFnORgbYpW9/ftHBuQ23LdW7RCLk + DjMFIXVZehzW/UkOGWJ9hRVhCMEdZQYJ0kB93anT+QO/29hWq6lHxhXzgpBPJyNfx+juLo6tVst+ + 2PUx0co/+q6/8pY7P/Cffuc/fOrLv5IWwkwKN6jBq9tsEyeBE5hARs4v6F56JNMaDAOBElUf3a06 + KAEMETATM6vZrG7aTgpiZiIB08qsenGAEpHQrFOdsZshBCKoox2qxDDDpndZWxhuOcQMmJk7kJ2Z + RIgrUIjHvJdO4zn+C+/5oQ+//WMn/Pq032OZ+twrzU5X3Slw6VQdgHDHDEszDV6G5TP29Wn3wpgO + K0/btTMABrE2OcQKHAzOIAJsnXa0OTyYjLmlI1O99PMFYC2tFhwKr3W1/mRB6lMFzOt6chYjMTjJ + ZGoJk53XWrAwFatTTdSrA4A4U7tgoQJm9IKk+UvAZAwTVQVZFstDOXHfDW+798a3fX36wtcv7A9n + oRXVIDDarshLJENvM61t8yoz4iMpxi88isgvPh5Znotvtt1oWNfBJxI2JrXWK5hAGCfd3VmUebSl + L7jPZdij6+98w1t+6P0/dpJvWByeSLbopANZ0bGYZR4ucwNdXZg6qji7c/PTTz7+E9/3t098+sTH + H/rlc+ee3rtODupYZqiiYxeHu9ZZzcHknfAMm6uLlG7IRDJPh5WZk7srMSBQR1E40O8lZip1NvPU + QQBV5Ezjyt2RO5hhngHADP0gddQTsuBDSmP/kbd89KMP/Oh1/a1YDvOkDEkpKZkZvEVa08smK4dv + Q9/3tdZ5npm5Hwbm9Nxz53d3d/+Xf/K//at/9fMAVNcnS855brvtpbTWlkRUay2lAEgptclyANrs + l1qriKSU2oS6V2X9QgghhBBCCCGEEEIIIYQQQgghhBBeA33ftz6epZSc1+WLrxBi2kopWqfR7etD + CCGEPwtmrrWmlAC0Er6cc7sYtc747euWCfHKcdTtlSJycHBARMMwjONIRGY2TVPf9/M8l1L6vp+m + 6VVavUvWShm3mdatp3Z01g4hhBBCCCGEEEIIIYQQrirL5XIcx9ayQFVV9ZVvXoTwOpNzbp06sLmT + 1W7DvaYLFUK4qtVaVbXv++1NcF03HXshAhimMj/1a/+u/8j35Zx//eO/hLoEKtwIcBhd7PkHAGY2 + z5WZWw1Aq21r1QIt6Lo1GhKRVvD26qxyCFeziLUOIYQQQgghhBBCCCGEEEIIIYQQQgjh2sW73Umv + Wsq8qvsQpExsPB1Qv9x9x6kPvPkH3vHQo5/9xB/92leeeajrD4tOk5VhGJh5HiebTDph0UlnCJTW + caRsEEOnANBykQHYJtMaAANjUSbkngUCcDUC3NzJlQgszA4nqLpWdXdIApkASQDACG4wR9cnU1vN + h+5ODM5ISYhRSwXWIdbsaRMSmth6gEBqVJyrUXWCE0Ao1dBCW8U4OcxSlbzc8XPdTWfueu/3fvBt + d7z7VDqb58VwsCs1eSWzmVKuqnMtSN2JY8fGw4NLj5l0Mkp6OM+adHQtyQujJFnN1AsTEXPqAHIl + B4wIil1e6oHWQgwwdJO8u13Z9oWvNznsctIS2cwJDrb2uH5PA8zMTFFhxGgRzgabdRaDqLCJgU3U + WSdSYSjhwPYLrEdadIuexMt8uFzK0F3GAl1hRgCKsxJVOCfLcAZESCpWM19QhoNISYwFZoTDOqdO + OLFpAUGEhBy6zp51AAQlI6/uDJiR4XJqTdlsvWDP/y3pYOZqhQgkrK5lnqt7HkBEihkEEIiEuSci + 5WpUKkywqqXvja5LJxfjbrX6HdyAL178I7HcdROubgBYvaPOZiy6IfHu8tnx+nT3X//+v/ve+x/8 + 9Gd//Y8e+t2Jlv2JrsjoVGjg4moggAUMMnJIq/bVBGess5PNyZRgBDMQgxlMIIY7qoGqu6oADMi6 + Xthdzd1TSkIpkaiZFmfFjmR0QHEicqiqglwExOxsvskMZrd1rDUMYObMiSqmMhsMu5J2fC+Nx99x + 6wd+8L/6sZuGO3CQWBlF+11RW/ll5yRfVZhY3IDUaaXluFzqatTJpRpmxwQYOQSZIACMMfk0QwGQ + AEAtaFuSCexMQAu0Jmd2MnInu/Qhi92qGjOYaXvGODMRrUolAhEoA20Ic5C6KS/STlLJuqA5MTFN + OvSYvGCdaQ1lc3DFtzOhxdWYWYkrnOBwkTmfXtzwE9//t//ZL/6vp2j//HPPHjsj+4daFcNAPhHA + 6/hq2pz722vlkSBqeAJAZARjmAEMGIEdduSsO7IoACCb071VzStB2zsLM5tBW055SpSonVTddGA7 + crKzJIf5zuvu+Z63ft99t7xzR0/t5bNU8ricrXrqc0dQXNHB5IpjT8J5XI5p8DPHz5678MyPvO+v + Xn/2pl/+nX//9Sce6k8IBq2GWkECNkdBFpQCdhNhuJEDWq2WQTo2dyV3J3JJBIbBlDCPdVaQAAwl + QCA9RnV0AGAOU5ClTnpOvDw8PNHt4RncceLuH/nIj735+remw51jOK7KCkIWELWWgiLk7ut8+PAd + Mhftut7MxnHkogA4pf/z53/+537unzEDvhm+mFv09SvM/GyTW7ZdKVtrZjMrpRBRS7Nu/TFrra1B + ZAghhBBCCCGEEEIIIYQQQgghhBDC64+7q2pKqfXuBNB13St38Nz+tNVmtL6fr9oChxBCeF06mmlN + RC3Tuuu6Usr2crPtN/1y7a0B5JznecYmHzqltFqtsOlbnXPeRlm3l11tUkq11paB0Rp5m1nO+bVe + rhBCCCGEEEIIIYQQQgghXLS3t7e9YcHMRGRmXdddnXcfQvjOMrN2A6slW7fOHpFpHUJ4Ba2VXCsJ + mOe5lJJS2g4jL8BAAnTcBx79/Cd+8b5737T8/U/A9qHL1iWPNh30DNj0l+M2NGEzKAEgovPnzxNR + K2xzdzNj5lJK3IIPIWKtQwghhBBCCCGEEEIIIYQQQgghhBBCuIbt7+/3fd/1qZjClMwzUmJxAjnv + r/J9Zx94819++8NPf+k3PvOJP3r4MzvH/PBgv2hJuWOq43LkbMMOT2o4Eq7pBCd+ccAzbZI6M4OI + XDFrcQMTCTGxt5TAWg3r+EDKOTNzrRVgIxAcLc/RoQ6CQlQEABHB3WdVK5pl+3kXl0EAcgLYSQEY + Wcu0NgK7Z2SWLEY+giqEeKBj77jj/W+9891vvv0tu3SSDlM/D1l7zJ4pE6ES4CYpS+rGUs+dOzfk + yympIlMo95K7YUwHFWVZ9k0yuk6dGW1tVcxJnRzKVlyVTRJEWlAr2mZ3B1sridxk7q6jf+EE8kt6 + NAYwt91oF9+KxTmRO9RaTLOAaB2eLYkYEGdyEiJld4YmeF1R75wBYBwnJ8mCfjHUqyyG08kc7pvj + MrmosxtRUs8wBrmzOpOzA4Tk4k6qmkjMzMwZSEy2SaDdZLebbrY/Lnn7YxNq++IkbGaowxxCIHIH + OMnAmOYKcSQQwQ1FrU6lmlNmFydBR/BkDkWhsqyc2emK7gLy533bhgVfri7sDMdBabWqxHJ8cXKu + Zflkfcveu+/58N0fuOdD/+UPP/HQNz+rifohrXQlHRMB8G20L4GIYG5G2MZaY5MBnHNLX28ZsQCw + DjpmONASjttL3ZxA4zwLMyUhhrhXNagCLEjuAISZiBzsgDvBj6wYORgghwPVsTqYhpRPp0GX1tfd + B+/+ng+/42O3nnjLiXTD6um5TLp3/LjxtJz2U2KhBL+cqPmrjLuXUmE5pW5nccrzTB0VX3maKRkB + 66jkVpdNtthdzDqrOrU8WPdMnHMu89y2J7dDfr3PzPhyxivCcKxT1VLVDNR2urk5cubWeI6I3B1m + 5nA35q7WWqcymDH1i+EE2ezu7I5NPLSTKbGDAfA6yPySsMMUQsmcjL26ChEZccmn083/7V/8e//7 + v/8nRPTs48/0x0EJtTgRsT/vrPejsdbrccng3H7axvaW/N1+a/vIzz/x2rDDm1GFAWM40E5/IlLA + zK0lvoO0mE7o0Hd1J835jjNv/PAHvu/em+8/5qeOyZ5NNM+z15pS11rauSJJr64v+NhrCDl88p76 + 5f7+7qnFqcWZcVq9984/d+r4df/ht//NI8uvPH3wKPra9dSmE+RBoE5m7oA7COYgdwKgBogIsQuA + qlXnqo6UkYEuQTJXx1TMFM5QR98DFVrQp0VOQ5l8HuuJfJ0/S99z74d/8L0/8oZ8u+z3PS94FDAh + QYnUzQlCnIhLncDX8GByFaq1bhsot+kiH//4J376p38GWAda55xrraoKcEuqfklt2EkptfaUKaX2 + bq0VJoA2a9TdSymRaR1CCCGEEEIIIYQQQgghhBBCCCGE1zEiaj1DARweHqaU+r7nVyx6cfdpmvq+ + 30Zfv3IMdgghhPAttYtRa1rdMq2xSZ7u+74V+20TqVve80u+TymFiJi5xUK3IkAza7WF7UfbF7w6 + q3ZZaq27u7uHh4fDMMzz3Aom27q81osWQgghhBBCCCGEEEIIIYS1WiuAxWKxWq22bQoi0zp8l2Dm + nHO7c9d6d9Rat5UnIYTwYu7u7szcSs7aky+Zad0QIKhq+1/4xC8tv3Enlk/CVgRrfe62NWq8af3F + DFu3syMzExEiak2KAKxWq8Vi0YoQaq2RaR0CItY6hBBCCCGEEEIIIYQQQgghhBBCCCGEaxjVxUmu + dbWqYAh7tuJOLsIgnebDnFh8dzqvb1q85+4Pvve5dz/5G3/8/3zx8c89+uw3TCZazOx1ttmKJV8n + axoAsIKrAABvkq19/X8m53UiIDnDRChlInJTLRWcwSxE1F6j1WdT1yoi7m4Gh7eQWiKIYFJnRiLA + oQpyCCNngroRNqnV1lJCjUfQzJ6MTNmMTWkT/wniim6mblqcsNO3XnfXu+598L47HxTf69PxvEo+ + V64tFdvcUXXOOTNQawGMEy0SkNj08jKDzcmdVstaxZLoYsBSJmFi69ytYG6puknAABFyxwzobOOE + LBg6wDBNSMJs6UiwOEDJyJzMt9v/Wz4SLAGwFp7acmfFmBxCRMQENq1W4S30NaGIVykwBdjZnA20 + DhJmqWU+ED6VMotDoaZGV1MMJzucxNyN2EEZ5uxkTkSVSiW0hlKMSYmVjB29ZKu1VbIyi7urOzkZ + fJuSDkAZaGnKm8PhUrY/8KJA6823qwkpIXVgkKqbwbVCkTpSeAXUwQCLZ/GB0oRi7DPAZJLqxO7C + lMTpCqfQrvN3HWBCWSdPux0/sVOm0VUysTl8sj5RwnF7etXl/p1nb3zTDzzw0OOf/fQXfvNPHv8j + sqctTYVHo6rYHGxGKl7ElI19HdlLm//VGc5gZmEicoOruwF9B3dMBvcWCexgEFkeUq21VIODBZQ2 + udfV4QJyZjKowtytJWS3UmOsM62ZHCAoys6ws1junplufOD293347d9/88k36hJ6IZ2fnt09ecJT + PajP9X2PwkN/vIzTld3+V5YlccrMsFLLPC5XeljqikWrjipwAglYnGBgAqQcrpgoc5ZEMG9d28qo + aVuAvQkpN6yH68sar/aXMxGYIRlJmByqztXZzCrcnQEmJAczkfBIc2X0qVfTQ5vPT9MusbkaM5wF + bGRKrqwO3sZCXzo2F05VDYLqBXBi8Wqp7NzUv/Hv/qV/8C9+6ef6rh91/9y0nwehdZy2XVyrtlVe + +Lnr1/jLd2e1oz/y9bZ1cPvFo8nZaOX4CgZlzmRko/fcLbpj+fyx+255+4P3vffuG950TE73dZCa + ytJSEogb5hm1aoYxayLOwHRxjLsGLYa8v39w+szZC6vzRafcUVf7+0+/87aP3fWbn/+N//LQrz52 + +LD6subRzIsrAZLghOquBjBESDiXSjCn9RSEigQeuCP2uYpBZ5pXnrr+WM7mtZRVl5AtzXO1gkRU + ylgnnMynbz95zw9+7EffdMNb6Xyi8UTvQy2FSGcryOQu6sicMsCmyeCMKzygf3fZ3T0+TVMp82Kx + uxznT33qU//wH/6jWoFNrHWbstJ1Xa3Wws5fkruLSJsa19Kvz5071+aftClzrWdli75+VdYshBBC + CCGEEEIIIYQQQgghhBBCCOG1UUppzTpVdXd3F0Br7vlyYZ8tzbpVXKhqq7UYhuHVXOYQQgivS/M8 + d10nImY2DEOttXWUbj3xc84to3qe51dOpHZ3M9sGV7cMiVIKMwNQ1ZRSC4q+CpOtU0qHh4dE1Ooh + I9A6hBBCCCGEEEIIIYQQQrgKtTvmq9UKQOtL8ArZnCG8zpjZNE3tXlvf9+M4xv2sEMIra4HWItIG + ja7rWm/Adj19SYtEB2UfT49fe/Zr0EnYbH17f90+k450AmudgloroVZU0Dphrt9qsQBgZkQUfYRC + aOJMCCGEEEIIIYQQQgghhBBCCCGEEEII4VplQC0zEYHYncEpJ1Ero85QpSQwSi7JxWYm5d1+8ePv + /WsX+JmvPvbFz3zht/700S+wdX0ep7JCgpEZgQkGBsHWGcvWivUIDGeA10+7M4Hhplars4ABYVTF + 0SY+LUxZmN0NcBYATNSypd0MXYI7zEEOIRCDDNAX1hSSt3xrqJj7DIAdrCk5i0nSlG1Ic77p2K3v + ePMDb7/zgeuP3ZJ1QXUn054euFnNqUtCZpXMJZGqOjEJJyYAWorCUkogwC85udkZ8C4vai3JMxVn + N5s8L8iLE5ASESO1racweJ2VMy1EIG7VsAQR7VLyKgBtP9qJQExkDhitayW/5SPYgHUE+DY2WAzk + 7O7kTkSJMshAcPdiprRO0k4u7gQ1EGDgmgZfcEFyZ6twdRY+UpF5lWjJ1g5yuJIyqxMBIM/SEtqd + W7D3Oq961pyyEKu6zkpIicjgicjJjNCiTYkNRHDAqCXUXtL2B/jowXMxL9aEQU422VSdCDlnYjEz + mlnIXIzZGUbmSQ1eWQwJTCBiot6cjHHZIb3fBjJy3oTvMm8idQ8P9/t+4V5h1Pdd0TJPdeh2Wfbg + NO6vEtlbr3/P3W9488NP/8lD3/zsJ//w/xvloNKq0lSpOlciuLWA6xeE/jI7KAmcDfBNnr2QE6PW + 4gwGQACvo0kdGEsFwAnE6wEEDoYBhcycHXBzVQMxRGg9pLQRzBOZsIMsHSe+4dgtD9z9vvfd9aHb + h3uw3/mTeWfYKT4Px3fGeSXZ3aiUeRh2Dg6WfbqGJ0swzFQJwpoJ6FOv3SJzFuQMhpkRHHBrYeDK + jo4SQ1zdq8E9s3SSAHN1p/VoYwS0PHhveeHr3XUpjx0B4uTw6tNk7BCiTpKbsxvAzEwOcvfqbp46 + Ws3OvborJwFR6nqdihIIEGsfrewGb1ewy8hsJgBGQihuKaG6mTlEyGS328FsN/W3/72//A/+9Sd+ + 4Y8f/4Pr9nYO5/OWZuXnfYRju/qGdqjT+sRdb96XSrYmf368MTEAsvXGdDK4+WY8ISCRmHr2RY/B + RmbN15+6/o7r7v7wRz96w86tO+mEz6mbB/JsapkYps5O5EQQJiNyI4W/Qsz2NYDscLk/7PYXLpzj + zH3uYcbe+YGfoJ2PvfUv3fOGu//z53/1D7752xemZ3ShlWbVmtJ6GMmZSHiuOs+zgIlEEoPdnasr + zNktGTI4dz3ARW1ejcyU8mBlZlBX04DUz7tl8puuu+2Db//I++76ULc6li/s9rZI1rtLymI0Czmy + FygUZq5GMBaRGqnW31GtGWXXdeM4fulLX/mpn/qpw8NJBKroujTNdbFYrFareZ6JvsVI3mabtHkp + pZQ2Tc7diSjn3P4QeuUJMCGEEEIIIYQQQgghhBBCCCGEEEIIrwM5Z1Vl5tbNs5VPvELM5zRNXdcB + cPdWcRHtPkMIIfzZuXvXdUTUqvjGcWzPl1KGYRjHsW9RY/8AACAASURBVJRCRC36upTyctV9RNR+ + 1JKtmbnWun3GzFJK8zynlJh5++KrR621ZQAcXbxSSs75tV60EEIIIYQQQgghhBBCCCGstf+YDyDn + 3G5hiIiZXW33HUK4Etrx306Blmnd6kwi3DqE8HLMrO/79sUwDO3Jl7toGmDAWJ1YnYAyMYFaLRu1 + znIv7DbWLseq2uoBADBzuy63oWl7z317L/4KrWkI14qo+AwhhBBCCCGEEEIIIYQQQgghhBBCCJeN + CNQyX8NrjAkdHERwcvMyWTFipAxkd4aDAHFjM4bq7IQTQsPeyZve9aEPLv3CV5/40h98+Xf+9LHP + PTs/uZJlkVGpEDmDkgtTUiUAiRmAg93V3d1VBHCDg1tUp8MIzMgtvNOxOTy8pcsyX4z+hQMthRSA + XnxeADis5dRqO8zARLkl+roraklgxmBIJdEq9fPu6XzT2eHmd775Pbdcf88bTt+WZajVuBCEiYjq + 3EPA5G5ezQlOMBC4m9YZu0KoEM4gc8dllhW6ybyqu93xqvvd3HU172Wmib1mJxRjJycngNlSBowr + qnEBweHEAPk2LxwOArm1HFMyI3Gkl85BfcmFIQfNRs4A+TrZGgA5Aevc2ban2ls6IO7slGtiY9J1 + PRm7iHd8uNilnWQQkVpUJBdTbqnXVw1xhnvl5GxgnX2q1SVzLrv9dBwuAJKrk1VmI2NWtRajzkyJ + jeG8TaK2tlkIFxNknS99+69fD1zcRM8PcHZCbm9WACdxzpqNoOTOs6Ak02QAsMh9BS19tpGT7nRy + rEzzIIBe+Y1PRpv46U2FoXGX1I0YxF5sAlnKUFs5FnAWloEWtiyJ5c07D95139v/wtv+68995Q9+ + 76FPfe3pP1nS0zVfUFkZoS/sxK3BJQTM7OskawUczu4OZwIIkhjq63GGiEBGQIsZV3NmtI5cZnAH + MwQwMyEDk7m7IxHIQQbyXKYqPPTdrlWblvXMqbN3X3/XR9780ZsWt54czsi8KAeSuedFWunE7D6b + MHlt5y9pqTnnFxcuX1tIK4EywU2sGEuXyg6vFh2dgI++Dg9PRnCqICUYu7ezgAFUxpHTxMhAYHKj + FuHM2TPZJc8kaWdHxSZ13tCy4M3WpxyZW7tcAAS4d7MuGPNBpVF38sAm81wIABhgcgjABiO0PXW5 + f6Qws7tmUlVjAoiLOQm5K1Xa4ZN7e6f++kf+zn/+/H/6vYc/WbUeJqsyH/0YIRCRVWWAiABnBYAs + IOHR3OGwdbJyu8YRrVOtaR2V264IIIgoA1A2JrWkTsoOcpHSdyWn1bAnZ++7+f533POeO2+860Te + S9NCpmwzO6itPgmbg0GsxOjgcHNjRXZD27+XuY2uGg6gx+xjygAUKkAmFwIGoYPz5a7+/ju+9+4H + nvrgxz/7/37uqd/z7oCpmqqTEbuZuSsIkiBwuJkr2sHDTNT+roGRV0zqUIYPJHB1FyL2fkE9rfLN + x+/44IMfuv+uB3Zwqts/meog3hLWvVIFyCFK7qpEKgQmJ0pE4sZgA13bQ8prhYiOto8UkXmeU9+R + 0zjXRx99/G/+zb91cDAC67/p5rkCWK1W7dfddfs+Oec25wRASkm1/ZXrbZ5JmyzXGkFup528+Ivw + +tAalbavWxvu9kyb7NR6gLZjo/30NV3YEEL4btcmjtZaAWwbOrQ5pX3fl1JSSkRUa42YhBBCCCGE + EEIIIYQQQgghhD+jbWfhFib6LV/fKjG2r4yb7N/Ntnu/3blrlTmvzi28Fm179Jm4exhe4JWHpqP9 + kWMce5VN09Q6VreYh3bmtmtQSsnM2vNtv7j7NuK67bVtQeBLYuY2FrXXt8KD9vW2OXXLujaz9u02 + PfpqSLluMQBtRG3PtHqJ13apQgghhBBCCCGEEEIIIYRwVJvhaGZm624Sr/kthu+Io/dKtondbUr+ + a7tg4aqyvY2FIxHvkWkdXmdaUcq2GGZ7hLe72C0juY2NfLGtXXhZ2610dHO9XKmGA6V9YZWstn6V + m1aXAgKcDXb0ultKASAitdZWeLC/v3/0s7b33F/fg1U7Vo9Gd7+4vOpSbFvx1Frbptt248EmI3z7 + B0PU21yjosYuhBBCCCGEEEIIIYQQQgghhBBCCCGEaxU7w/loFmiLLga4JRmzM8HIATK4E7BjO7kO + 5lXHKmm49/TxW8/es+T9b5x7+GtPf+nL3/yTx579xjxPQFXVonMehkrq6u5KYk7OZM4GmPHzMqAN + IMAMbK3AD4IWULu26QEE9k04rIOR0FKcYXpx+SGZyVkseXEUSZ4yZ0o0W6m1psJnF2fvuf0t993y + trvO3HdmcSMO+yGfSD6U0dgKkhupq4tXcXOCAS3A2KiFcQNAS2NlBwi2jnq+vGJQzslmn1eqjLff + 8b6b9frJ3J2T906oohc/Yl2sVbdJx7zJMW2f2z7fyNZ5sbAWMQtcRnGeE5ycvOXCGh+JoF5vcmIH + jNjB7YARs6Gy2CbwG4ktMfiG4dZ+3pWaiA3CFaRGYL96YlDbOgJkDidWqoAJS+/DO257/9hfIMvi + xjQZWaWkDKcKODmxMzm3FOq26cmxWbcWq9u2P1/W9ucXxFqvF3S9yV7wLDuLJTgrG6iKl+QlucF5 + 5dCcZjHh4bidvGPvrsE60m8nqfdyGMDsMDICfHMuOFELC15H5a5zWM2JtQ0/LdJYM1RyhRZf2ep9 + t/25d939nicPH3nokc/88dc+881nHr4wn2fpnQAxE5vrOOlo4pJBDPcKEIHFAGdywEAggwDmABk7 + mzu5GxHWL3BidzhcUR19hhlUHUBmIgiZoKTsC7FsqzSMO3fe8qZ33v+u295w5wk/sTi/OOF7eVqU + 2dXYiZTdhZR0nbLszE6b7PkW7nwNz6hJKUEdDlYqK4fmG3Zuf/DOD63yc8oFSHAiZyczVqdCMMDY + eX1NcW7jlRNvxhNTbpnMRs5i60vPJeIjh/MmSX5dF370bYzAbgTDXPpMzt5Pp9NhzwQSTozi619Z + j27MZOzERnbp54uvrwjGMDjYWVu4MaDQ48eP18mXjx/ecOL2v/I9f+Ntb7r/dx7+5Ke+/MkVDlWL + wUCmUPViVkUYAnFnYSd39XFGNZMeaEc5E9o10eBw5paWSu4GbWsscBdLjJTcqpmNBiCjy+ivW5y5 + 95773/7GB247edsxnOxtkeqQDhNZD2fltl+M2iAGJmN2JoezKYHcjAxkZNfy5AeyttMAtJHcsV6d + 1cF0YnE6Me8vD9569r13/NBdn3vid3//y5/+46885Kkoaq2rGctKswiQvFYnUmKAiQAHVQM5iLEq + 7uYpUS87bMJG2VK23s/rHdff/eE/9+fvv+2duexi7AY+QXMnJoCCzKkCbBCA4EwwIFFLWycoMQiX + c66Ei5h5mqZjx47t7++36Q3zPHfdsBoLMz/33HM/+ZM/ub9/4I6UsOk5+RLaVMl5ntuki2EYWnfL + 9q2ILJfLNk0oGtp+N9g2IW3aBCdm3gajtnk123aor+GihhBCACAi7b8v9X0/TVPOWVXboL39VkTa + RfzofOAQQgghhBBCCCGEEEIIIYQQwqum1WO0u3UppdbMtPWKvdLah07T1GqBzCxiX0O4JrRM66Pt + j1uO9TAMAFoKdeuPjG8rAaJlQtdah2FolQbu3mrD2gva1+1bd++6bjtqpZRenRHsFajqYrFYrVYA + RKTVs7Vu6a/tgoUQQgghhBBCCCGEEEII4ah2q/Rovu/rINna3Y+mWWMTY/laL1e4urSbcYvFYhzH + 7fTeo/fjQngd6Lpuf3//+PHjAESk5fi2+7bTNJ0+fXocx3a7eZomZm69a65dV9lQz04Mby3C1q2m + CtBabAEAKXzTaBHApo/Qtt6glNL3fdtrr8Xyv2a2x+q2zODbyLTGpmaDiNpbtT8JmLnWKiJtqxJR + K/+IeoZrFF1lp30IIYQQQgghhBBCCCGEEEIIIYQQQnj1tKqgVhjU2poA2BRrHSmGa9FdzgCI3N2Z + 8MUvfZ5MQXa5McDhO4g2MbpKLa0ZTiAHObfI5JZqbFSdXNnEkGdKLp5QxQubJldxT2Qos0+zHhzM + F56+8OijT3z1649/9dELjz5dnp5pZSjGSqlAiqIaYAID1OEEApxEHTDfFcDNHQaQwwB3uD8vAJsB + ZhARm6AIgY1cuSpXI4DBDJ+RPPW+kDnnuet82MnHdtKJu2+/7w1nb7/1xttOHbuu92NJs1ShShkJ + RmbmTsTCSQzsWjKqGAwJEDg7MdZ5xdXIQO4AOQHcsq7ZL6MM1Agk0ILBTmo3HQ6PrPiCYCHep5IA + rlKdVLwePVNo+0X7R/v2Yphriydvi9FiYi/1FHOQoQPafreW+rs5l82InWBghzgY4BbeLF57dXGr + DCOGZQAFlUe5Od1cD0vlZWGnfExBYvNlbaIri4wc5lQpO5PwxI5cUuF5f/d8lTlrl8wYLda6V0rr + GNEW1Nyyk9ESc7FOSF0HirdvCZ42Q+Ilae9PL8rqffFr2k9pHaabAIjXZJbM4YJuMQFLKkTkky5K + fx1O2+Q1u9IV3P5Hcxp9W62LzciyPqjW28dAjgQwORgQN/L2AjXRmUrhiQb2npd19eT5p5++8PgX + v/FHX3vsi9946uszrdJJ8kEnPiw+l7a5fX10JgcZubsTtQx6I7BDN9uz67JXV3WYC4SZhZJTnXwi + AgOCxNpzzVy6PPc3HHvDW+5459vuetfZ3Zt2sDvgWPIOBYl6BtRJVZ0MwiRwMrP17CA2ysZsSYxa + UP0V3f5XFpl7teoDnxReVFXrdcz756cn8y4BEEtsQg6QOalxdXJb574zObNzO3e2x4bROqYXAGDi + TpdRkdpyly+mETthPS75Oh3b1ina7XKGrAYqmkef8vHptq4OJGPxqSY4KBmz82b3CADfxJNf2tKY + s5IjG5MRwMqobE5uZuSUMTAYbCrTlC6MaTw/n//Gk1//0y8/9NVHv/TcwZMTH6JX6nWi5YRRfVZ2 + 6kDCgLnDKshBhO0crXY0uYOZiWS9VQCCZGSZWHzIPgyyc93u9bffcPfdN9978+lbT+2cyTWLCiuR + M4PI3JWEdxwJm6sbO+BC3nZr25ItsNz+f/bu7UeyLDsP+7fW3vuciMysru7pmZ6Z5ohkayjTpCWK + ouW/woCf/ULAtigKksayTUggLMCyZBAibNmiZcEQDD/IMOQHPujFAAESkChBzzMwTZpDmeJF4xEv + M+yZ7urMjDiXvdfyw4o4GZVVld1ZnVWZWfX9GsiOjIw8sc89svbe64tPBWkXVX4/iQFxnia4Qsx2 + oes52wom8AatczdMeTOWcUrzo+nDr//u1//vX//q73/nd6yc69E8y/n5fNqflOZ1dp+BOGKaiKgn + gRoKSl+PZJt1WD3UN99ef+7HfujP/qkv/8iXPvt9dYN541mOkpc2+0qzOkzMZTnsMvafxyA1Tg6T + 3TEvMOUI7uuLmQwRMBx1b8dxbM1Lv/6j73znx3/8x3/zN38nZpakJK1dtYlFJCbFxV9AEZCpqlHK + NqZGmFlr7XWbi/Laip0e0yMPS6O6ezwpIpwzQ0R0FyxT2eNBa01EDmtML/d0XrSJ6JW3zPoO0dMT + F8R28M980VlgMIh4/MnkyMBvfO1rX/r8F3F3/rGXiIiIiIiIiIjujGUIUIzhaYKq8r1ffu98Oxl2 + w4ifMtL4cSxt96o6/GfJpzrc9Zf+GZNeQ8skhVLKNE0v4R2XisCttRhrtAwIeQnvTvfF1Zemu38d + u8UmxZyjJVj6xluyLDNGcKWUTk5O5nlW1WmaYpBASinGBjzH8mNsWFwlcs7TNMUYg3hyWf40TTFM + cb1eR2XnCGmI0Qg3u8rXavzy7qqacz49PX2+StNERERERERERERERPTiRF9G/GP+NE0555iuftvt + +lSiH7bv+3Ecse+qqLXe97hWunFd18XYgOUIuYP9rUSfXvSbH05vj6v94WsOSnrSTVFIAQw+p32t + vApA8vI83GKEUIvXYzc8IMYRLQMGXkNd122329gI0zT1ff9846mmaeq6LkZkxePD5ZydnR0fH4vI + a5gd/srglYuIiIiIiIiIiIiIiIiIiIjo9cVY61dAcmCXkhhpmBF0bct4XhczwBRNkNyPqqh5hZtI + EzXRZjC4QkvJmr3pMLWzyTfoalu3b3z0r98/f/9b3/69P3z/977z6A9Ptx9sp/PRtrmTGdbgrgma + IWqiYk3qJKgukL04aHbDLt3NzHexnUhecuvVs4s1bzMqYKqqmrPnh6u33nn4+c8/fPcLb3zxC2+9 + +85b7zxcfW77gfTpQdECS94kuySIAN5qEkS1IHdUg7uIuGIGAGhkV2Mf7SkwF4/ttssHh4pfL2bS + xKSoVfTTg+a1Pjgd2pBsnVvfVQXQ1Exb8op4n90bIc6yi/zXyLSW3YDHCIZ1EXEkxzViYj07Sgym + FNhBTjNcDK4mClcXWRK+AVNvxSdBq6oGUe8MomvRJmmjRdD6YfBW0ZtJJ+2OxVq7i8zemSDplIB+ + Loa2zZMJupZyA3R0QUNvyMtuwH5PYBc4aohQ84Mg8EhgN1xvcPwuAPrgCezHt+qSmC0Qh4vFTncU + cVVYcs8NgFTvWkpTMi0oYjK3biriMucXHKu8S9mNYyMDgCfsLii2O4xl2T4XMd7qu4Nb3CBucC1q + 7qPV2U1Kl0q2PA3+/pw2Z/Pptx79/m998zf+1e//y28/+oMB58it2mxWRUQhRTJMzD1S3m13+sD2 + ecBtbKo5a1bNCckbrDYz0ywikrU7ysdvn3z2+7745R/8Yz/0PZ/93jfLZ/08pbE7wsnaj6QmTEkk + VWlVZgeQHeoNzcWgcHdxEdfkSBbp8q9CrHVDRUMvJxndNDcX87Wh+DgN6sitiKs6IGZSmy6jSwUR + gLRLgtfHA9D3u0dcUD95jDQAhWP3C8sCL66QJjj8gKEu2bRhbOsxa8GHR6vcSz9v2mnNAiCbiKsj + 28WnFvvk7TFtplUcuZXcsjqaWk2tqTXRBNXWqcFrc1QU015mmEtrOs0yfjS8/wcf/Jv/71u/+4en + v/eds2+fz6fn4+m2bma0OLQA9GnttiMO3UNzd3cTEem61fHx8cMHbx6vTr7w1rtvH3/2C2+/+/bx + O2t5I0+9jF2qeZ1OMAPuKSVVAO6YzaXGjQwm8GSRR57FFEhLdrhpBRqk+uOb9/4RE9/dKw37G6qL + umY5QoNZhbSKwcUlJ8ly6htZO8r80fjtb37rt377977+zW/99oeb99//4NuSXXJCSa7S4LOjei0l + +WwrWX/+je/58jt/4ge+8G//8c/9wOdOvjif2lrWPqu0klPvLu7SdbmNG4g3gUH210ZV12S6bzFc + vIk1BWDZwFjr55NSGoZhvV631sZxXK/Xksu3/vCP/pOf+Ilf+7XfALDqu2GYVOGOTzJMPqZQLgVt + YwqKiMST8cwylYheK7XW+OMiPn7EnJnlwCAiolsUpavjXzKXr0/OnByGYbVa3VYjiYheAsZaExER + ERERERHRC8JYa7oCY63pkxuG4eHDh0uaddd18zy/nItD13XjOLJAKj0LY60/zVu/0FhrAOM49n0f + jw8H70W29PKyS99+EtF4VY0Ky5vNRlVTSpeuFZe+ba3lnFer1TAMyzjDWxGx1jH2uNYKQFUj8/u2 + mkRERERERERERERERJdET4eIxD/sr9fr7XYbj2+7aZ/K4SocHR1tNpvbbQ/dTXGc5Jwj0JRjh+iV + 9OR8dhGJITEppehGj/5cegEi1hrwMWKtHWhQSNqPZtzFWu8r5Slg0d1/uJRX4L78KcXgh5zz8x2r + ywiK1lrkW7t7FHaI439JvL7xltNLwFhrIiIiIiIiIiIiIiIiIiIiotcXY63vO4uAVfFkor7kI7op + DGga4cYR55zVBdJUJ1gTS+qdakle3MXdTeDeHDNkBpqoJ2mm2KapalVVT2aYN/Nwtnl0Pp++/8G3 + N/PpR+cfnm4efbQ5Pds+2m63wzwaWttnCPo+/zUCAgEAKiKKpKpJNHv+7IN31nl9tD55cPTgwfqN + N47ffHj0cFWO333niwkle4EBDTBRh8vqaP3OsJ3nOiqkJBU3uIt5Sgm2Oxbd3VsVkVTy1NQEkKao + 4r5P5IW6GsShJlF70VxcHOKin/iQNjGT6lXW7YGmdK6PPGnyVbastYpbTYgwXHUAGjGjl2KS/SID + Ofam7fNHI2a7Xiv20nZh1VjCp2V3LisQZ/E+ktY14rQFLfvoalUUSGIdgME3JWXZYN2VthpmNLO1 + oMDvVqy1ipmLee8iLnOCd00BbNUAdDWrm+vsAvMegGISuO/3wOG+2EdNI4ak7h/LJ7/E7bOf8eQv + xNL2yzyIuBaHi6MDIlLdBKaezXtNZdZ5tkl0Tg6fNGuShGvFBl/X7pICVQeQxeFIy/NxrB7GsStm + yG5kqkEBVUtAtiaAugnESkZK2mwcpo0WeHITN58kmadaMY+++ca/+Z3z8fTDzXc/OPvg0el3P9p8 + dLY5HeatFGlozZu7N7iICFSSKhKg6polH/UnDx+8+dbDzzzoH75z8sW3jt9++63PPjg5yShWXaom + S+K5Q9dJD5NkIp7NYM1VtYl5ViQYWrUZJgrJEHXVXRitucAFFvHz95aJIZk36yyLq1WvqJa8CVJK + 4lpMxRRigDVtLmZYRmwjLoyHke26PxRtfxpde/s8nhH+eB7844m/4vCUcTJJnfotzMuwKsgtb0ef + WpcBFIOaOqKQXIOY+DVigyPWGkCu69ySoEGqaWuCiqypwAR1Too+F6861kGyN58jDV2SWHITazJL + wdymuQ5j3c7zWNs0z1O1thlrc7M2xw1RVYsWVS25X61Wx+uT9XqdUhERQBQpS4aJVPcGNBTvSipZ + e68uvoupatWrNVWVTicZTaw0zabJRC0DChdTmOyua+JQWGzqpvf4kI7EaIjNaTatcBXXZEVcW2up + qEltrRUtq9xj9PPtNj2QWWqT2XW2VF1qxWw+mdl2e356+uj07KOpjkgofdaupP7k4RufeeuNtwpy + G00nSZ476+vW31g/FMM8WoTdttZEmuRmYo7O9jniAlNYMhVXeHFoU2vamlZIyy0x1vo5tNZWq1Wt + dZ7n1trJycnZ2VmD/Gd/5af+6S//i+VlKUlr3nXdUhX3ksgqXmprRqlKM3P3J2cQ0Wsi4swPS3zG + kRAHRiSds9gxEdGdEpfuuETHzMnz8/P1em1mcT2POs4559tuKRHRi8JYayIiIiIiIiIiekEYa01X + YKw1XUscADnn1lp07b3od+y6TkTGcYxDMarQcjgQXcJY60/z1i861jo6/SO/OaUU7xg1kd09qipf + MTjwaoe51DHDxd1TSlFP+clqy0udZRGJsO1xHG9wZZ9b3/fjOB4dHZ2enjLWmoiIiIiIiIiIiIjo + Tjms55ZSiv6I227UDej7fgkqju6bqPlw2+2iu8vda63uzhIN9CpZOspjJIyqllJqrdEZfZiXnHOO + KfC32t5P67b6o5+x3RSaAMDmGAlkgEMhug+5rvDdIwjgmrPG3sF+wMB6vT4/P3/d+tlje8bHkhh3 + 8dwfTo6OjjabzfJtrXUZl3WpJg9L9NxTrM1BRERERERERERERERERERERHSPuTjEPMKM/fD53QMF + 4CoOcTh0mC3l1OWsnrx5rZM3AChdaqjuLpJUizjaVJu1o25V3ZqZiCBhrfhMfreV+QcfeJW5YTSt + khoSIObi5+Mww1pr1WYza21uzc3qanUkIikllVxyzqkULQpB0+RJPWlLqJJalz1nL3ImYrsYDhF3 + jZJE+eyPzkRSX7pc0FqtbcyipevmuVlt4q6qWZOmBKC1ffFEd0Bd9nEernCB6D7SAwAEDU8LJL5a + KQXwZDXn5LNKLl51rvMqNcCg2SECcQE8CcQkgkUP/oPsA61j/4m4iO/DpyW5XGNk6sVaCPZ5zAlY + 0ptF4bsDRQwRTCwuIhBxFbioCICj9ZGqwKzB5jZXtySWErxecwO9eAoRN4c2qGM3l0ORHCpqcDdV + hwIqgLoIDK4RVCyAyz6MenfW7A4B2W80+8THxLI0fyz6d/czAQAVMYMKzKECU08mcUQgYrrFk0FQ + m0CLipurSC65qebczdPw4mJQ9xHvQARvuwEqaC5QwMQOB+wCUI/VUizPR8KxQ3OXJQPqzdAmb3NS + HOejZiipwFFrlRk5q/k8zsMX3n1vxjzLUGWqqVlq0Obij84fNa9mtbpFCbSYuqOau1y67qhLRUzV + VZGKrbr5WGs2MzmDICXVBBURaw5grrW1KiKlIHXJzeYGqLtUmLm7OhSeJImJmMYQZVeYWNMGQP0a + Med3j5pDRBs8oeY+ddrN4tXcPSlUAJG4AjnUXSRZjBhWwCACWFSfi2PQ97ecOGpcIK5LxPUnc7F8 + 9f01L7Lh/bFca3EHZKozSnJDs7bqHK1KQp/6jUdkcyTFq4tBqqDpQSz3x3MRy4CYoKlHErQ4EtRM + 6lw1S9dn2DwMG7Fu1a2aTykuIAIz3w2GV/HJ1iKaIMlcXbyh9ypuWQ2Og0HzcXyKxHB5scGsAYBq + UtU6zaqqqimrChxepzq0MefcqgNIqaRcOhRrPs+zFE27kPG42gEOT82wy2XfbfF7fAxfIha3uzh3 + ISZQmGZvNjSBJm21nQ/blZaHDx5s51FVTIqhmgDqqhARq/52NrwFvGWQZmJAdeSKTr2zD6q3eZWk + L50b5nF+eHTSJq+zldTlnN2aKFJJs22BuHerxEYWA2ASt5EWt5pIWxe/3qlCi5zzdrstpXRdp6rj + OD548OAv/KW//Mu//C8A5Kzu3lqcIOnqspWHMySjwuPuI8Q+/zK+LlUp6RUWWeaHk46iIuo8zwDi + Txh3j4OBwedERLcu7tHjOC4Fo/u+j3mkx8fHAFQ1pgTzPk5ERERERERERERERER0W6I4eyllnuen + Vu99QaZpihzcKI2qqiLC8R5E90KMBwAQ3f0xIdekRQAAIABJREFUaDxqK2+3WwAnJyfn5+d4PHr8 + WswsIrHd/fDKsARLnJ2dnZycrNfreH4ZdbCMUrhFEbndWiulRLr2ZrN53WptExERERERERERERHd + ce6+3W6Pjo5ikmNrLbo/ar17BZuuIyZ1Aoj6X6WUYRhuu1F058SQgDhIomOLmdb06lkyraO7OWa1 + x8Efg2RSSlGu5L5f+cOdi+X2pdDd3lKe0S/9HwBqrTFIQERij0Sm9Z1brxcvPpYAiFo6y5PXWoiq + Rqa1qkZRptVqFUd7jMeIU6O1FonvN7sK9HIw1pqIiIiIiIiIiIiIiIiIiIiI6L7axcm6msAEENmV + pXGFIznSLhjUIkzRXEWO3OCw0UZYTSpdl3PO07R1Fag2YHJzUV+nLAVm2TW7AnAxd7dmgKhrxtrF + HC0WHMP5jsQAuLuLSRYr5iYQU8lRP8hNdFT3Xaiza4TmJvUknhNUkAQqKr4bouzx5g4Tnx4eFW/V + pYp5ztql3Nzmuild70Uj99YhjlRrrXUu5SCV2IvvN5vBduHOjhQ5yFCIXavEkQJ1GjOS+dBmTzlD + siVNyZqPgDVpLuJo4qqmgCqqwRS6fI1GqANuEvsOpq7wDKAprpO1bbtcWNdlTQG13SODmMEAh9ju + XaQA6qJNsDuKHBDbbM+LpuPuDW8maU5mqNrmpndsxJm5C1pydVdE1LJUAA51qU0N8KoATJuri0uO + iGsT88eDnIMsgc2uLtil7X7CxsTBv0SI+0XY/C73FwpHNNRE1SGw5ABqi7WBx69rzq0NxZAxep3N + 8zjl1lxfcBCqCwxxRiAOGAACc3E8Fpar+xXs1QC4iSUxFwMMMrnNoxmgSJqzujeIGWCGGvnUhiTq + DqBoStNQTUSkqFpODnUoxHGU3hVzl9bg7m2Xc6zi7glZJ1FXb3E4C6Du3txUNUkSSdJ8bl7r3Pe9 + u3f9WnJqrQ3TFrWlnJu7iKuZWuscCeKq7qhAS3BxFxMY0BJimPi9Himr4p2IOKZmk4g1t9ndkFVS + 3DVczERdtKoDSLBkcUibCQCL43MfA7+/NLkiDnLBtWLXdxnYrhAsedixhMvx2JKAnHP2BFFkhY2j + GGyakUsnCa6dmTjcYQpoA6pf4+IJ9QwrgLU01twiezhZEdO1Fs82+DzOQ0qpHPeYbTueqmaXpAku + 5hCHGRocIuJAqwKL9OIuS1Zxr2NSjxBrcSDuleZwBzRBkyTsqsspzEq3djQzs8mrQCRBUxJtZqUv + KSXzGkO6AcsQrWl3jgJz3OhgQNwEfX9eiwl25/F95mItrpZWsDtgzKU2gcCTdtndqqec0lprnc+G + s5Uei2eJ0fTubtYspszJUt4wvoO6eOqm3KdeUp0w1Gmc65Rz7vv1NDdz1dI3kalWeFP1uZlgjV06 + +3LgqQEq1qQJZgDiqiJqeZcWT9c3TdMbb7xxenq6TOb5yle+8ou/+E9TEnOp1QA8fPjw0aNHeuX1 + yN1Xq1WtNebCRab1Ugpznuecc855nueu66LE7ctaRboFMVtsKYoaM2TMLOccUyVjZk78lGVAiYhu + XVyQ+76Pye193y838ZhBGoXpY+pjlH647SYTERERERERERERERERvXZUtbUWBWFjqM9qtXoJlc1T + SlEXO+cco0GiP/FFvy8RfXo5ZzNT1ZRSBEu7u6rG9cTdz87OAETJ6TjHr7v8Wus0TcfHx7XWZdgY + gAiK7vv+5OQk3ncZMxY1l+Pasl6vbzGhIZqqqvM8R5XtGCDx3CHfRERERERERERERER040Tk6Ogo + 6hXEv+G/GjMco+sEgLv3fT+OYyS5sp+CDi1ppnFgRC9b9GrddtOIbthybV+uilGsBkBrLa6Z0SW9 + XD/vqdu6iz39/iIW1REVkMfiq22p8revsLV7vUCmaVpyrONy9BrWH4gDdRiGZayFiKSUrjvuQmRX + u0tEpmlanlz213LZf9228KvkjhUZJSIiIiIiIiIiIiIiIiIiIiKiT851lzjr4gIIGgCoAOoRoAvx + yE20eEHWXM0BTSmlLIDNbRyHbUrJod6siTjU1Rw6W7PWkgP7QWMikiQn5Do3EUm6C/nz6g0eMb3x + dvuGRMbjbtSZiMBVRbDPyZ4xN43kYFUoXMXF3NxFxF1FVAVmEffrVtuYI7y2GUwkJcDMbKxjSskh + rVW3OaVeU+lTMp/E3UXgeZc06YJdIKvJfmTiEgdu10y2NkPuMpoP05C69TDPaLX02RuwS/ndLTsy + jxWmcMjBVwAX220fVb7LEob45dzlq4nvkjL3Iyz1Yn8I1OHiEVcsbibQeAuIQyPT2sUArFYrm5uL + jPPk5inlrMUFjrs1TNYMuovTvcgHNdklt1qsLNQAEQPUfBcZe7GXn9jfuos5v/bGj9+OM04uLTbe + 9yLuVA/eCyZQ2MXhCEsZ0zhLkqLiSNCUFKoKf+Hb/4l5AAZ4xHvvk4YVYrvQbsCgCgMUu+RrAzwV + rdVNmgoM1mDikKQ5q5nB47SWGnnZoqpdEjEgwax5a7t3VNcEMUGBiYibiQiau8PdYa6uIqJICjT3 + plWTAjCD1wqoqq7XazMAbZybzxNEkpY4ziVLgoohmSUgqZpjbNVLcbEmCoHuLxT7tPh7rDVPSZNm + iAPevAEopbQK7E4c+C5h+vDQP7iq712cGvsjwR//nWtzjRj1SHx/clML4N5qbdbqalXM5r503lpr + Jikt+0Zg6g5/ci0+lu7D7HeXQUNOULi62dxmiGlWdx/GKQO5L+4qrubNDSYGvRh47R5HjyoSXGEQ + c0G6PKjdXYCiyR0wgS9b1gFpVkUcUBGIqCDttpNLc2tTa14FllISUTQkA5Bd0C4yyA1we+ySBwcg + Ftv5XjMxQNVVXBRu+/V1oNaaXAG01qpNlryse59dkRwukgQNksQBEYUCuvuY4gDMzcRyh85ms7ml + pLmsGpqZNJhrMXNRh6iZpZxykmmakuymPOju1mS7yHZYXEniYi+e4dgnizPZ+tqOjo7Ozs5yzvFR + 9r/97//OL/zCPzGDwwGPAriPHj2KqW5Xl62MKGsAMfkkytfG1/V6HRUqY4HMtH7lxZSYZTLkcmDU + WkUkjpB4EBMpb7Wx9HpxwBB/RUKgF5+QPuYzju0+WV36eujis9wTv32x8Phj1g7+ltm//uIDy8W9 + zKFPLvX6nw8Pb4569XIe++lj3+z/DD94Mv4y5An8aoircdyszSziq+NiHvWs41M3r9tERERERERE + REREREREtyh666ILL+r2vrQs2BgLJCI5ZwDMtCa6L6Lr38xSSssVQ0RiHGAMCIxS4MuT11JrjVGC + 5+fncX0ws3jg7l3XxXiDGDQYzy+Xsvh6enoaL7vJ1f7EYgRy1NpettWttISIiIiIiIiIiIiIiK5W + Sqm1xgT2Vyn4OTpTYl5nzpmJlXRJ9OhFH9ZSYIeZ1vSKiU7kOLyjW3kcxyXBupTSWlvOglegS/du + 3cV8n2x96UkAzypJ4b70rcct7DCD+bUSwzCWnPUoqnPdhSyR2LGcqOeAfbL1pQ8/r2F8+KvhNT1D + iIiIiIiIiIiIiIiIiIiIiAj7QT8x7udgrFWMBD0YD7eLLLp4Pif8v7/562hV0y5IkG6TGFwP94M+ + Y6Rd5Bzvv7PDDCpcPLtPdBOI49PGXj4rRmt5u8facJiyqbgUVIyLfNnF01OHfUkOtos27J557M0v + mrn86rNW5FkiHNd3adQm+7hiVMBtv2C9aBUuB4xdWt4+U/k523PZ4Srvt8bFsmUJJ3aJMbAHhwdU + dwFmFQA8Xyzk7nh8+2Of570cMNhHrCOy3oGLeLb45vFDaH8EHm6Ha1iW9glPnMNsOMgyDHl3Iuyz + uiOXXU1U/cVu/6e3/8kLxcXpfJj/bU95vWss9iAV+3D5ly8Lj18QLm/G/RJsv0MP2vgxJ4su+/3J + W5Y6BNhvW41wYRMcHCe2P0/u90yJuECpI9bPn31t3J1B/sxr41Pv/M97v9BLZ+WzXhZHmonFHonb + gctuv+xatL9quTj8Wgl2uj9CDEt+pOt+geaHJ0g09fHjwS6fKcvWvriemNjTj6FPdGg9djapw8Qu + nQW7he3as3vTxxZ9z4/hpzncvNjtu8PV3F9a1Zfk8ghQv9iSh6dA7Md4cTwBsX1MOK78OPHYDfSx + o3p3bOzD310/wQH/ukuqMZVxmiZD67punlrOeazzer0ehslNfv7nf/5v/M2fSYLmz7wHxB848TUy + qiPxOn4aFSpj2gnjqwnAUn708Mnlz2R355wZeskarMIAT5AMhethqvTyt/D+/7s7i1sVVyhQHVlg + gDgkoTWIQNXmWbsCwF1t9JQFAlSgAG7Q5a9UAxS+j4iOtzG1adJVdjORCL12iEAwAxlRz9eRxAA4 + ikDiD+N92+MME4EZdJc97e6uouINbruZhJqawyWbQ2UXRy2P/5HSoHFB73y/9gKoxR0eAOq+5YpJ + 4ED3PEnbREREd9elD6jR0xN/nbaDe564KmAwiHjckx0Z+I2vfe1Ln/8iXvC/NxIRERERERER0X20 + 9MtEn1QTVJXv/fJ759sp6ju6+1NGGj+Ope1eVR/bb36469nPTjFPIY6Kl1YWNt6IBVLpClcfFU9e + x6LYdNShPnzyiuVM01RKWTKSo7D1y2n/i1ZKmaZpWf1aa5TqvkGHBe5vtsx3lKuOXRwtj3zo6zYv + KvIvwxFvN2E66m4DmKap6zrsC6nfVnuIiIiIiIiIiIiIiIhegmfUzXsm9iNfTUSi0sJ1u71UNfJN + OVKIXh9LL7mILIVreAq8TM9fLpJejKjdhH1lnoi75riFe+qGxwAREREREREREREREREREREREdHL + 5pcTXi9+8pTnLifOXnKDicoXb/HsHE195o+emm77xFpe1cj9ElwvP/OE51/Xg0BlXCTjYhdo+uxf + edaWuemBklcEEj/+dn6pINNB0Un/mAKUt+nx7b88PkxNPlgve+LBs4J4n3Nlrxvr+9jLL+8C+NL2 + SKR/8RkzT2//VTm4hifT5Z94vfqzFn55ja7egM8Kw8bHnzhLMt8zL5VNHltsZD8v333M4u+Jw0PI + cdW1cdlKz9qwz5tg/VR28PWql/k+bDv2yK4Jl5oq+4Dha7fQDo6QfY75xQKXt949fPL3P8nt7Nmv + +YQtfOxsurQXLq3xFefLq+XSDnpifQ8urf7E3txvpSf3svljOeWXjqinHq72xOMnP4dcvQS6ENN1 + Tk9PHzx40Lyqqoi11rqu225HQfqlX/rFv/E3fwZAM6QkrT39nHf34+Pj8/Pz5ZmliKS7L5nW4zje + eGFNIqJPTwGBYglpvorFrapZg3hKOp5vulzEy8WcvJxigrZ2fVw0zZF6aTNSAqxidiRBbbCKiKx+ + rC0AgNYUgrNB4sOzAW4wQ7PSd5AEb7kUjIacoIraICoCmIkb+jJvN93xEaDWZtUy17nLfTMTBRy4 + yOKEihqgAjOkZQNIvOzxli1p3wIHDAogLS/ev4x3XyIiIiIiIiIiIiIiIiIiInoOUcP9sDJ1hFU/ + qyx1ay0yrSNmeBzHvu9fbpNfiKg4PM8zgGXtbnDoXQROR4RAKSXeaImO/vRiuGBKabvdrlarnPPz + lVGepil2aGut7/txHG+kec9tyRfvui6OzJTSEnFNREREREREREREREREdDV3b62p6mHP5hXh34c/ + il857OkjerXVWqNkDYCc8zRNq9VqGIbbbtfrhWnWd427L4NqVBVASon3hXuKFbiIiIiIiIiIiIiI + iIiIiIiIiIiIiIiI6E4wMxF58803T09PRTVnuHvOeZqbiPyzf/7P/8p//lcB5KQiKYpXPpWqnp+f + R13LeZ67rmutubuZLW8EoO/7wwkSRER3hLh2BkAj4NrlIs55///Hk5oFmlJDatDu+EQAOOp2yqvO + DJZQZfc7CUiAKEaftXOFy9owTJgEzTA3uOzeZvemCgDeYCPMv/In//QbUnw7HEmWcVzlriAPbT4H + 5pPuZ3/lq+gyVFErJAGAOnJGFoxD1yc//0COj0rJQOtygrUEoDmQqgAJ3qCAeS1J0epFqLcoABc1 + AFAFUuR5CyDqggY0qO+TsS/CsGF5F4f9sQHhRERERERERERERERERERERI+JWOVldFkkB2MfJ/yk + S+PQovL7KzA+LYbexXi8lFLXdTdbg3gpbRzB4QButvy3u0da9nq9jm+fbzmlFDOLVOxxHG8wePv5 + iEgMsKy1RttEhJnWRERERERERERERERE9AmJSPTQReWN6AFsrT2rf3P5kbv3fb/0nxK9DqKnOM6a + Wmvf98Mw3HqvMdHtEpFSyjAMcUasVit3v+I+QncZ9xkRERERERERERERERERERERERERERER3Qlm + 1vf9+fl53/fro6Pvfve7Oed5nvv10Ve/+tWf+qmfyhl1Rq0GWN/34zhesbSoPnn4spgmZGZRwDFK + bXIuBBHdRX7w9aIIsO2/Mwf2Ic4Kx2SWkg7jtO46ACLIR50vC3DvRKQCACqgNWGADZCEYQISNuPf + /vf/g+9845udQxwuaIomEunZCa1IS+Pwx1zWVfJsudaV5jac9sgNOkr6qJ7/7L/zwxu4qbogqYzT + lNer03kcROdc/rdf/38kZZwOcCD3mBr6FUygBmguySdIBgRJMmBQuDcR3a+4Ckyh+y3QAINo/Mj2 + qdWxyi4Q328xx76KMpOtiYiIiIiIiIiIiIiIiIiI6BpSSvGg1tpa6/vezFT1WbnIUb1aVSNaeLvd + llJegfFpsb4x3C6eier2N1WkPrZqfF1KHt/IkkOMQgTg7u6uqiml50vmnqZpGIau66KpN9jI56Cq + URU65xyrc7Nx40RERERERERERERERPRqa63N8xwppADMLCKun/X6nPM4jqWU6JmKfr1SytKNSPQK + q7Ue9v7HuWBmt9gkoluXUoqUd+zH2IjIKzBO5vXE3UZEREREREREREREREREREREREREREREd4Km + NIxjyllUv/Od76zXa8kFwK/8X7/653/iL5yfTwD6vphhnts4zs9ajpl1XTdNU0op5gLN86yqtdaY + FCQirTVOhCCiO0qAg9m7FwnNskxrVAEOc5o7VTccly6CrOcGzzA0hRd4NsNs2M4wQXXYBMx/9cf+ + TJrmPM3HyFLnNNcvH61tGpPt3tEFVVFVAZN52yetU+sUCUhZDXPKeTtXd6RS+mleD96PU+76itZS + E3F8d/P5VAQZsL//pR+emg/QdHzykdk268/92q8iKbyi7zAnsYZ1gTu6BJGP2rDKR2UJ9XbAIDBA + odXFICb7TVEAAxqggBz+Cuv0EhERERERERERERERERER0afQWlPVnHMMNlPV1toVZdkjNRmAma3X + awA3GP98F2y3W1Xt+/4GV2pJszaz1to4jgBSSq21G1l+lBePZOtIfb56J14hAsvNTERiR99gO69L + RKI29FI/3d2fe9WIiIiIiIiIiIiIiIjodZNSiq6lzWZzfHwc3U9X9De11pbQ6/Pz8+Pj49Vq9fKa + S3Srcs5L13AUtIk+aKLXXEppu92u1+sY9aGqwzDw7nAfsQgXERERERERERERERERERERERERERER + Ed0Jy/S209PTz3zmM9vtdh6Gb3zjGz/5kz/5wQdnKaPkbhimKA15BVWdpimyqwEsc4GimuQ4jn3f + RxnHafr4pRERvWQORGJz8uUpgwCuu5zm/QMHIPE/IGZBKubzbTnuMU1Qx3YLFVTDdvwvfvTP6mY4 + 0nSiYmdnX1JdOWSe4Zt16Txhc/rBMUTgeR8HbUBcQFc5acXGMTUcF63VmqPCUoE5rM490Fc/QrHZ + KpqZi0ANMs095gas0DW4oTuf/ugIua5X/9V739/W3Vzypk7f831//K//0i9gOMXRGpbR2hvHK7jB + FQBE983ZfTUogASFCwwilkRV0ACPPOvdGizJ3xcR4ERERERERERERERERERERESfnJlFdHFKKUag + PeuVrbVSSoxJU9UIG34FMobdve/7zWbTWousbndfArxvyjzPscDVajXP8w1mRZdSYgyhu8fejF15 + 3fa7e60VQM7Z3ZeE7Jtq53XFWy+Z1hwMSURERERERERERERERNdiZtHZdHx8DCAKcVzRHxo/ipcd + Hx8vv36z/YZEd5O7L73/0XFMRLVWd2+txSCKZdTHbbeLngdjrYmIiIiIiIiIiIiIiIiIiIiIiIiI + iIjoTthsNkdHR6p6dHR0utmWUs6+8+F//B/9uW9/+wNVtIpWJwDTVHPOV8zzMTMcTApqrfV9PwwD + gNPT0wcPHkQFzJQSyzgS0R1mF5HVAggey2Z2ADBBAyBo23G9Lm5VIGUNbM8wzZjsr//pP9NthjIP + Jzl9adysSxY3G6a1ZHFLmps0QzMZpsnfOC7jdnZBdRcHAHX0gOynVq77lc5znc2BNURTOp+rAwki + UKmRQa05FWtTyoLmqhDDOpXapowyYvtWtx5hH27f//4HDx+dfzi6v3u09t/+jZ997/uxWr0/jP/D + b/0r5IIqsIoHD5AFDig8wYAEAKpQAdD2IdcAUhUBoA1ogECTYPcyIiIiIiIiIiIiIiIiIiIiouei + qlGc3d2HYVitVleUcY9RbVGxd6ln/WrUcB/HUVXneV6ynEspN7VwEem6rtYaA/+maVqixG9k+dHs + paT+YTHl67Yz1noJLG+tqWo0++WLBuScp2nKOXddFxECt9IYIiIiIiIiIiIiIiIiundUNQpuTNNU + Sun7fp7nK/oBo8ew73t3n+e56zrW66DXyjISYLvdHh8fR5TvbTeK6DYdHR0Nw9D3vYhM09R1XXy9 + 7XbR82CsNRERERERERERERERERERERERERERERHdCScnJ8MwiEjOWeHvv//+T/y5n/yDP3hfFVH4 + UVXdJUpMfuzSlqqRAIZhiGKUDx48qLUuxSVV9dUoG0pErxbbRTUDEAUAV3gkWy//B4DkSA54RarY + bgSO5hjm//Tf/fdOToeTYXpnbg9EpE4+zp1q2w4G9Aq3KtDZplLyWDHP3hecnc8lAYAJIFAHPGKk + kSEGGcYhp2xwAaC6bTWrIKmYTK2u03pqU/OqVYukNjUBErTBaptXOW3qXIBhOgfwMGE6ffRmhgN2 + diqC2VA3m7cfPvzbX/7yObSujuY33vi7X/squoyUkFWyJkWDOBRAAiJ7G66Q3eYSmACR/+2AARov + Y7o1ERERERERERERERERERERXVPUpDYzdz85ORnH8YpMawC11shLjrFqZlZrTSld/Vt3X6xRjLuL + ROebCpxezPPs7hERbWYREH5TCxcRd49mx4Ory/FfYbvdrtfrnPOyc28r0xpAZFrXWruuc3cz6/ve + zDgkkoiIiIiIiIiIiIiIiD6JKMchewBKKVf0N5lZ9LItr49eqgj6JXq1iUhKKQYDlFLcnZnWRJvN + ZrVaAViGYTDT+v5irDURERERERERERERERERERERERERERERvVTu3nXdOI4AorCjqrbWGtwEIoqU + t2dnf+kvfuXXfu1fLpnWAMwM0MO5PUvFzyg3udSyFJGYRBdFRQ9fmXO+9IBeT3HgxdelKGp8jYmU + MaMsXsm5lPQyCaCAwxvEYBkZtstldgcyqkBgyRvGGc3QJtQN6vjTf/LH1oMdz/ZDonW7XfWloVV3 + UUuOGaaCBFQHABdrgtYqFMmBiiMAbZcL7QIAJmgOB5o44Alwqy2hCUZpokju0hoAVZz7VgXFITC4 + amRLOxQK2FxbOsjkNkPRfXi3wx0qKAqcPfq8axOda502m7/7ve/90VzP3jj++7/+qzheYZU8SZUO + 0AZ0GeJAA+BQBSBwaa2k7O7uogoHXJhq/UqJ0tjLvN94cqlqvcyWjzrat9lQIiIiIiIiIiIiIiKi + eys6YpZM1uiI+dhfqbWq6hLmetibQ0R0xz15vYoI55TSPM9d1y0ji1T1irjlw6tfVLKutS6j3SIR + GcA0TV3X3aN+7UhujtYefn0OkRSO/UYAENsnvi4R0TeYaR0Lj+UvY8CeL9N6nuf1en14ZxyGYbVa + Lc1OKS2jGm48+fup4pDDPjkgRsG9hPclIiIiIiIiIiIiIiKiV8BTu8+u6G86/NGSb806DPRaWYZF + 1Vqjlzaq5cRPSynzPOOgJ/dWRFz90qrbbcx1HY67WLb21b+yVImJV8aVaunHfwUsh9lLG4rw1DZc + qvUUm33Zzs83DIPulPsxhomIiIiIiIiIiIiIiIiIiIiIiIiIiIiIXhmqOgyDu5dSYj5MGIYhpdL3 + /YcffvjX/tpPf+1rv4pdzdCnTzKJHOuu66ZpAhDTflJKUQw054g19WVuBmfE0aFlMlI8WBKsc86t + tZg84+6Rac1y2/RyaW01p2zmWROAcaqrVa4VuQCwPI8Qw3ZAA8b6l3/wBx7qdGLtnY09RFrNXiAV + Xseh6UVMtUSaNQCgaTyjgIlDHbq/0KrDBLJLs0aTXcS1ONITF2NxxPxjA1zQBOlgHpzvf4R9TjYA + 7FsS7xWvM9k9ViCbCcxaNYyO8tbxyTcfPfrpH/yhDzv9X77+9dwh944EqyarzsQtiyBZqzAvuaim + Os25K4CbuYq6Abz8vypSSma23PpxMOM9ZvnGfMh7VPubiIiIiIiIiIiIiIjoDlr6x5cMV+w7Yq4Q + HTRRJBRPy4glIrqzRMTMYoBZDBMqpbh73/eRb72kLF8dt7xcKg+r90a1aBFZr9etNVXtuq619hr2 + ay9R1hEWHp379+h+EUdFDDCLdYkdDSBGMsRujdfcdmOJiIiIiIiIiIiIiIiIiOiGHYZYD8OwWq3i + 2+gBn+dZRLquG8fxtlq4TMM/Pj4+Pz8H0Pf9MAy31Z7rinEXMYojhnBcPWhtybTGPnr51eivjypJ + sXZRN+nw2Hv54t1VtZQyjmM06bYaQy/IazeMiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIhuV0RZR5HH + cRxjfoiqqubV+vjRo0d/67/5mX/yy//NtdqJAAAgAElEQVTMBXA0W0Kt9dJyomboNE0icnx8fHZ2 + 5u7xZGut1lprjZkq2GcYEy2iEO1SHzam0KSUIhhVRFQ1jqiu65YDieglcADaAcgNmIGCss7ncCnS + MPStYq7Yjv/lj/xo/+j02O2Hk6Qmw6Ydr/s6jOdAB6w0daZm5kCLHGugCZLDBE1UgWSaAAEAi0lj + S4I1AFOYYEoAkA0JcIcAaZ9vvQRdOyACd5jsUrThFkszge8fYJ9jLYLI0jbsvraDn04KAMnRBIPN + PnzwtuTPTsNbp/Pfee9PrNZH3zzf/nf/+nd1XTDNg8/oT2a049RnBQZHJ6krZ9O06rKgwkQgcIXw + LnDvtdbMbLn1xxzUlNJSNDxqi8cc4FttKRERERERERERERER0b0XJT6jPmZKaZomVX1WAmsMz4iU + 1qWk5j2KKSUiMrMYOLQ8s9lsjo+Pl59GD3Vc66IA9LPEoKPleriMO1oqL0fX9ut5key6LkpOR1J4 + 3Fbu0aaY57mUknNe7nrL6sSQM3xc8DkREREREREREREREREREd1H0dcPYBla0Pe9mcXAgJgFv16v + t9vtOI4xYOBW2llrjXZGprWqDsNwi+15DpHljP1YDgCHnfJPfXHEYGOfBn2/1vepov3ufmkFb2uI + xbJHxnHMOS9VHW6lMfSCMNaaiIiIiIiIiIiIiIiIiIiIiIiIiIiIiF6qeZ5VVVUjgjrKH7umhHR+ + fv5zP/dz//gf/58AupKnqUZBz2dZpv2cnZ2JyGq1GoYhJvzEL9ZaVXUcx77vX87a0X0Rs8ViAk88 + Y2ZLfHXMHxORruuiIOlttpVeMwIUwbid+r4DAPdUp2MBYBgGtPoX/60f/sz59u1x/GxXHm22R8AG + +NzJ8XfPzo+6okVtmrfWOkAAARS7zGlgHy8NiGOZsuZQ7DOtF+pwIFk8Njia7GKtd+nUkcC9X0S8 + UQRUQ3ZLixfYpclxy6+57t/LlubFg/j14+P+o/Px7V7bdvOmJjt75GeP1tr/1++99/6Do//5679y + tC6YNyg9rMEVnYznY/egX3dd9bkTqdOQ+xXuTQFkukpKKW708a2qllLGcQSwWq3iWh0fBuZ5zjnf + o8rXREREREREREREREREd0r0vETveUppnueu6654feSSLn3r8YvsaieiO+iKoWhLGnFrLef85ptv + xrdLjnUUpL460zoqKUdx4dZaKWV5fRRNjoLLAFR1qXn9Wom1dve4dwzDsBSkvvuW6uRLcjkAd99u + t6WUWJHlgDkc4UBERERERERERERERERERPeaiMRoqOgsrrVGr3dKycyGYVhmu7v7x462enFizEP0 + XEexlJzzknV9L0TVlxhiEVv7WZnWAGJH1FpjlZcBG/dofZ8qjrHYAgBioMXtjq+I+PbWWpSK+uij + j5ZBFPRqYKw1EREREREREREREREREREREREREREREd2CcRzdfbVaubuZpSxw/L2/9z/9w3/4v0fi + 6Tw3AIA+/nsXUyyWup9RVDTnvN1uAbh7hFjHfBsAzLSmZ4lasYcla2NGlqrGPKWYOWZmS1VZohfO + 4dPcFzUftSjGAe7YVgzz3/pTPyoffvAjfYft0CW0zfYzBZqztPzh2fbh6sFmOE2I4vJldnM09V24 + dXK47K6hpRkAFywT+JIjOQDYPlJaHJ1jZXCgQavuI6sdLkiOBlSFC9SgQHKYwwUutuRYR352cohD + HeIX55Huvyggbu5oEpd/NcBFk2E+Hz+bummceqBZO1ZMBtj4xU09GbY/+94Pfsva//jbv4mVISnW + PSTpOlbWEmSchrxebW1eId3veYcEAHD3qPh8dHS02WzcfRzHmJU6DEOEIsTUU2ZaExERERERERER + ERERfRoxHqPruqXzZbvdrlarZ3XBRD/OarXCvr8mfv3ltpqI6FNZhgZFj/NSezcuaNM0jeMYz0Q/ + 9VMXEpWpp2mKosm11vV6HVfI7XYrIlF2OaoMv4aDkaJydNxN4n7R9/096t+PXRYDyaI0eTx/WKx8 + miYR6fuemdZERERERERERERERERERK8MM4vCIymlcRyjiM3S371areJHANz9FsdNpZSW8ikx4CGS + oQ9rqtxxEeG8bFsRiTEYT31xKWWeZxGZpil2UGvN3e/R+j6ViGy326OjI+z3aazpLTYp6jvFhuXg + wFcSY62JiIiIiIiIiIiIiIiIiIiIiIiIiIiI6KVSVVWNGp3TNJlZ13XV/f/4R//oH/yD/zVCTXPO + rTXVfMV0kZhbEotKKUVVUDOrtQ7DYGYxWSUm/ywFlIkORYHUZSJWrTWCUeNxSqmUEq+57ZbS68RN + RGBNUXG+hch/+P3vvTvYu0hf2E4P3OfNaYHAsXGMMzDX9dHKpwGtZqCIakITDHPLCQ4kR0zaE4cK + xJEQYdXmAhdIREo7xNXFAAUMUIElKPD/s3evP7Zt6V3ff88YY861VlWd3fe2Tdvtdl/dptvYJkoU + RUIikfI3kAQnjuxYDh1zcQONY2wMyHEQiMSKiIAoCYlERGQhQWISCZG8QHmBMLbAFxqwCYHEdmN3 + +5y9d63LnHOMZ+TFU7V2ncuu3nufqn05+/vR6upZq+Yac6y5Zs1VZ89nPT/1eKySzLsldXelbt6V + pOM9F5uQUrqIz5bpGGgtScf7JfnlVpLcLpZ1kXYdPzcNSmr1ldVqO02jdN+VpGJ6l7VXzO7fffXO + 2Z0/8bFP/kZJ/9Uv/RMdJo2rYVxpmVUXbVY5ly7LiU/EvUOYWXx0drfbSVqtVtM0xR8JKaX4AHB0 + D4+/CuJ8DgAAAAAAAAAAgCcQjUFzzufn52dnZ5vN5vqVY4Xz8/PT01Nd6dkKAM+Vh9WhtdaiCXLO + OcqEIrg6ys/meY7E4t77er2+Pq44NtFaOzs7Oz8/3+/3kvb7fTwwKpQiHTmubt/4c3yexfON7sbH + Hf6wjPDnUJQmjuM4TVNKaRiGYyvtY8vmeF6Hw2Gz2cSrDwAAAAAAAAAAAAB4J1mtVlFIYGYRohy9 + bt5Qb/BM5hZTipjn6LETV+pfoGoud4+yCknRmOhhmdaSlmWJy/TRN8bd3zDCi2uz2UTfG0mllP1+ + f30J322Lrk0RHx4FElfTx/EOQFslAAAAAAAAAAAAAAAAAAAAAMBTFZ8bKaWUUqZp2mw2u93ub/4f + /+ef/JM/pq5oVVmrS5aSPayXaIjU6ki2ljTPc9x5NcwyPmNDpjXeIILP44Nh0Xk2mo3Gx5YiMf3Y + p/tZTxYvme6ad1qqWv/cp7/lfcvy7VN9f8l+fm9TbK59VczNdoufrTfdbTfv7u/O3zumad6fZWtt + WaqydJJVu7opuv/mLusqXZK65CaTcpdL3eSSmyJbOnVPXZJ3aZHHvblr6HJ5N0neTDIvXeoRRx33 + a+jS5Zi6SLl+wCWZ4j43l9TNrSt7kZK6TG5yM5lLkhVNVXenaSX1sXjvS+vx0KS6Wmna33uf5Vd6 + /jNf99F6crb+pg///r/5v+tk1JBlsrxOXebdMh+HeydYlmWeZzMbhqHWOk1TfMpUUkpptVrpstV4 + RFw/6/kCAAAAAAAAAAC8qGqtwzDENZezszNJvfe4EPOw9aNPa6wcD4xuoU913gDw1Tysne7xfBXB + 1bvdTtJqtYr0Yl12nR6G4XA4HC9Vv+U4y7KM4zjP8/n5eWwxyuEiDHuz2URU9kuYaR3iPeKYD/1i + JVubWcz5WKIQVWfHbuCS4oBJKZFpDQAAAAAAAAAAAADvGCml7XZ7enoqKa53H++/uhCR0s8wU/nY + bCeuYkfMs5m9QPHDxz0ZBWmSrq8riPWjkCPWfwdkWh9fuChoiUzrZxgjHdntksZx7L1HJ6gX6KDC + o3jhf20AAAAAAAAAAAAAAAAAAAAAAC+WnHO05nR3d7nrZ3/27/+B3/+HqsvsQQ9QSccPM7yl4ycc + IpA4PowRDxmGIcZx99bai9L4Ek9TSunq0RWHk7sfj71YIdKvr49Xx0unX95et3jlR2/BL29vGkfq + 8i6XqnpVrZoXTfMPfPazf+SjH/uG7f7r9/UDhymfn59IXnvOmnvfLr4ZyuFw2M27dR7uZOvVi7S0 + 3qUkpSS/PPNFwrQr6eImt4s7ZUqXE3aLZOsHj3JTM7XLO02eJYsca3NJ1t365T06rqYkWU+5x0Re + N2a/sqGYQ5eaqct0+UmnfDnXWpVGG5NsyIel7hdvli0XMyVTd6WmV1J/n7cPLvsP3r1rv/DFP/rR + T+h8p2nSYcpezXs2u/piXb4cb3wpmsRbxXPu6t8GcVqutaaUUkrxQVN3jz8GyLQGAAAAAAAAAAB4 + O0op0YkyLsosy3Jskfmw9c1sWRZdtrDsvZNpDeAFEmewUsowDLvdLi5PT9MUocXHoOK4Nv2wTOv4 + UZwPoxIpTqdXWycfo45fzkxrd49M62M+dCnlBSrtM7N5nuMNLg6PZVlSSuv1urUWb5rzPEeW+bOe + LAAAAAAAAAAAAADgJp2ensZ14fgaF7uPX48BzKWUKEJ4JoZhiOlN06TLWoUXKH742NrlGJzs0Zno + IeI6/jHN+mojghdaVKoce91EpvU1++G2RVh7tG+Kvf0O2Ml4AzorAQAAAAAAAAAAAAAAvLx672Z2 + LDi7rCSJ/KArdUtRitajEY/cfb3Kv/CL/yB1l3ncDwAAAABvVkrZ7/fDMOScvdf4uIgkV8QJp1KK + evrZn/3Z7/zOf3+/X66pbj9+SCYaHx97g+acW2vDMBybI8d/6dz2UwPwUutSc5mU1aSuJClLdoxN + Nsmkrm6X/6yiKnW/jJzOltTVF7chyXxRldog6TDLs3bTj3z8o++ZpuI+ei+u3N266zIE+vWS5NYv + /wHn9dOUHiRVR3y1RcDz61f213+bHnI6fsvHvuU6sZr1B1/f0pW5Hf996a0/SudX/3lKXrok1XQR + uW1do0tKrnwow29ke/Vs82d+8ed0dqpV7j0rrZW1dHX10XpbplJGHd9ZlBbJJZPGi03x713PqfjH + zLf8QNzVO/ljAMA73htOdHGlJ9692pXzn/WUJJfLrMefBl1F+uLP/MzXf83XqT+zT7ADAAAAAADg + uXX1Wo+kZqrJPvyxb9ruZ5d08a/0b6o0fj1a2wHQ6y/tPewa321s9NialouGeAK11s1mc01q9duR + UorauSh4e7Jfimd4VJdSpmmKX+3W2tXA7ycQIxwOh81mEzvkZmf7Zrd9FopzTqR0P7WTXhRSxraW + ZYkqyghlBwAAAAAAAAAAeKd6SN+8h+I6MgC8WN78+dlHf+zVcz6Ax1Ke9QQAAAAAAAAAAAAAAAAA + AAAAAO9M2+32zp078zzXWmUXLa2HYZiW1nsfhlIX/8Vf/IXv+Z7/aL9fcrba3vqTIdF+cRzHeZ4l + 1VrNLOdca22tjeMYfS2PnXCf1vMD8BI75jFL7Q139gffXq7lUp+9jmmQkrotS0uyXJLcpTZ4k5rm + qt3+P/6Of/Vd988/tN+/sixXN/jwMGm/9qdvzKh+yzUflmP9BtcHWr95nVh+tLl9lVjNyzVd0mUs + p9QlU5dkaqbSfZCPTWMqJ4f6R775t/76avjvvvhztjmVL+p5KMllJitlJXeli1fIL7aeTLKeYtg3 + x4QDAAAAAAAAAAAAAIB3qlrrMAw55/h2HEd3v8GI66htW61W0zTFPfM8j+N4U+PfqijVSyldTU1+ + skxrd++9x4Dr9VrSU8i0fgri+JnnOeecUso5L8ty252ya63HI3YYhtbaMAxvJ24cAAAAAAAAAAAA + AAAALyFirQEAAAAAAAAAAAAAAAAAAAAAtyICp3f789PTU7Pi7tPSljaP49rM9rvptdde+/7v//7d + biepPSTTWld6PprZ6enp+fl57z0aZfbeI+s6WkAuy2JmpVAqD+AWdZNycilJdvnhnB751ibZxf1X + ubcxFZfm6bAZT4aS3bW0NgymRdo13dv+6Ld++51l/sZpt0lt847o2HtLuqlJbupSM0lKXd3kXUNJ + d+uS5uXU+7v3/c6dd33hY5/9Uz//c3pl1mo0y9aksvHF0lAuU7E9yaObr/Wk6EfN2wgAAAAAAAAA + AAAAAC8Nd88555zNLio+oibtpphZRB1P05RzjiDnFyXTWlJrLUryItM659x7j532WOMcE5cPh8Ox + xu+4Q15cvfdIlY6jqLX2dJ7R8YittZZScs4vUFY6AAAAAAAAAAAAAAAAnhM0WQEAAAAAAAAAAAAA + AAAAAAAA3IrIoo6GidvtdhzH9Xqdc95u95vN5u7du9/1Xd/1K7/ypd41jmWe6zVDRfvFnPP5+bmZ + rdfrw+Hg7nF/73273Z6enkbfTAC4bcvlQpbUJUWQtT9s/ZxKV89Km9WJXHVaynpIMp1v1ez7PvKJ + r9tN751371a35NZ79YcOBV1mWktKXZKsyyUz7arfGfM8t1qnrz+582uv/frHX/ngj33q018+y//F + z/2MTl5Jw0qtprG0qefR5DJL3dwkdckvgskBAAAAAAAAAAAAAMDLw8willhSSmkYhmmazKz3fiPj + 994j6jil5O7DMJjZPM8vSrXbOI6R8x1P4VjL92SjHQ6H9Xodox1zxF9okfBtZhEv3VobhsHdbzvc + OsZ391JK793MyLQGAAAAAAAAAAAAAADA4yLWGgAAAAAAAAAAAAAAAAAAAABwK5ovlvp6XNdak5Wh + rO7vtpLW65OvfPnVz33uP/mlX/p/Ys3auqXUHxLjGvHYkmqtkkop+/0+ftR7j6afp6enkqZpihTt + 239yAF5q6XKhS7KIQvbLU88bTmWRvFzqNA8lSarLvqyHvr9rVnRoP/DRT37SVebt+05Gn869aZXU + LsOy8ZbSxd5JdrGzXVI3NenQmptMve3ufng4me5/5YPqd1r5kW/6RPuar/2xn/57endalIYxHXOs + raTLMaSiFmnlAAAAAAAAAAAAAADg5WBmEbHcey+lTNMU+dM3NX5KqZQyz7OZuXutNcKzb2r82xYz + l5TSRcmMu8e+eqxxUkqttfV6HZHeOeeo/bv5GT9d8URSSiml7XZbSlmW5XF3zpNtNyoq3T1eoMjV + vu3tAgAAAAAAAAAAAAAA4J0kffVVAAAAAAAAAAAAAAAAAAAAAAB4fL13M6u1ttZOTk6iheLZ2Z17 + d8+/93u/9+d//udjtdPTTWvtmjagy7KYWYRV55yXZRnHMdov9t4j8bq11ntfrVZkWgN4CkwyKcVC + v5pB/cZM6/jaWh9Wo3rXcigladpbq9/1iY9//sMf/oj5K/P5K6nvd+dT0zpre2Ndkd/hcleWipSV + kpJ1jat0cE1deaUuHZbdifod9Xcd5g83ves3vvL9n/iUttuhTmquKkkySakrKSVdBoqTKQ4AAAAA + AAAAAAAAwMvD3Y9hwFGN1vtN1g64ewwbsdBR53azm7htq9VKl/HJh8Mhgrofd5DWWs7Z3cdxjG9X + q9ULFO/9MLXWCCyXZGYppc1mE9WSt6q1FmWTkRceh/GLdVwBAAAAAAAAAAAAAADgmSPWGgAAAAAA + AAAAAAAAAAAAAABwK8xMklJRKrvpsJsO73vfB+7fv/+jP/qjP/MzP7dU5ZJkdr7d5zxcX9/ee3f3 + aL8oaZ7nWmvvfZqmcRxzzimlWCdWAIDbE4HWucuaVKUqNV3kISu97taTejLJzWbvvVTlpt257p7/ + 0Y996hOv3v0tbbdqd/d1X4clD1oV2zadrgf6y17P7WLBpKQUsdZJ6XzycZ3SRncPmpM861D8oL6S + 1tOyOd99Yuk/+LFP67VzHXbynZIrX7x61VRNScoRdQ0AAAAAAAAAAAAAAF4OKaWoRss5R8ryE2Q2 + XyNSnHPOtdZhGFJK+/3+Bse/bcMwvPrqq8uy5Jxba+v12syeILY56gndvfduZlH+9xTin29bKWWe + 58gsjzrG/X6fc77t7a5Wq4sSzcs47cgdv+3tAgAAAAAAAAAAAAAA4J3kJiulAAAAAAAAAAAAAAAA + AAAAAAA4SilN05RKinaWm83pvXv3/tiP/PG//tf/t3FM0+y1+jAMy7K01koptc5vOU60sJQUydbH + LqKttdVqFT+KbozRWvRpPkcALyfr0vF2cVeSeVeS3Hq6sqrUNXapVanqMH3uW7/t3a++9v7z/XuL + NW/zVuuV3LVUufqQxnuHebQk+bN4Zi8AN3WTS9bdlFy63N1plXxZ3LPKSsk0L5qbTkdb5i7pfZZ2 + 2/Ovm8cf+ejHf2U9/rf/9JeUTWlIVpokySLQupNrDQAAAAAAAAAAAADAS8Tdo8ItpJSOEc43Mv48 + zzGamcXIpZQXKH641rper2M5wrlLKU+Q/B1POeccC6WUyLe+2dk+E5GGHmIX3dTBc41pmiJFOza6 + LMswDK01SigBAAAAAAAAAAAAAADw6NJXXwUAAAAAAAAAAAAAAAC45O6Sou/JMVgOAAAAwEuu9x7/ + jRDiW0mHuZ6c3ZnneVmWzeZUPf1nP/af/9W/+r900zRfxLUuS4vK9lrrNePnnHvv4zi6e2Ra11qj + /WJsNBZSokgejyH+Izcco9N1eUjHwvGeZzRHPJcizdov84+TlNWSZqUqNZm6VC/WaZK6a9rr7l29 + ev6Fj376a7/0la/ZH+70luY6Vm2ScpN1KUtWuttGK+OIu1Yz1aQlazZVqcpc5lLykj2VqtTkVWay + rEPrU9acdDBP1s6W6WsP0yd38+c/9kntd1oO1nbyqXTl1i/CxNn/z6XWWrS01pUm19EYerVaLcsS + P6q1vjN6XgMAAAAAAAAAADwrx4vpj36t/HidvbUWFSBXr8gDwHPOzNw9kptjOaX0FGKJr9EvSVqW + 5RnORFfeDuLcHmV7T1BPdazIOl7fv8lZPoLYk8dp3OpWbtAxDlzSarU63hn56/HTYzkl778AAAAA + AAAAAAAAAAB4LHTsAgAAAAAAAAAAAAAAAAAAAAC8LREt6e7u3ntPV+x2uzt37pjl3fbw5//8n/9L + f+kvl/LYcaFmFk1C53nOOQ/DsN1uSym38mTw0nD3q7mn0ag0en3qskFtdP+M1PZnNlE8n6IBbJKy + ela1ixjrJpmsNVeO71t212HS/bs/8W/+Wz/+iW/+wFde/Tr39zS/sxriqMqu0lRc2ZO6XeRlc8Rd + K0kySermzdSkJnWZSdlT8VRc2ZV6Sj25qUueLvbq2P2V2t5zWD64O/yBT3xS2/uaDqVWtVnd1TqZ + 1s+tnHOcq1erVURcx0m7lDJN0zAM0Zo5/kJ4tu3FAQAAAAAAAAAAXmjHMFczOz8/j6sw119/aa1F + dUdKqZRSa02JPocAXhhm1ns/HA7jOEaZ0M0WC43j2FqL1OFhGHrvtdZrYpVrrWY2z3NM4/iQG5zS + 4zpWBkq6OrfHklKapinKseZ5jveL2C23KjZxOByGYXiymT9bMeHY+dM0bTYbSb33yF+XFDszXqNh + GJ7tbAEAAAAAAAAAAAAAAPBiodwTAAAAAAAAAAAAAAAAAAAAAPB2vbnVo7unVFrr9+9vx2H91/7a + X/vTf/q/tKTljd01/crtuvGjA2Nr7e7du6enp9e09QQeRUrJzKLfa3TW7r0vyzIMQ3SqjUafIhsV + b8lc5sqaTXtpjvukEqezoqXPsipzbfc6TH/iU988fPEffnB772tTGpcpdd09LJNUTU3KXYOn4snU + a/IltetOiC+91DU0jU2pR7a13LpfvgvlK/uztJQ8lZaKKz3Yp0WSrJ4t8ze8dv9Hv+bDP/RNn9Ld + e9Jh77s+WO0Xmdl43rTWoq/3drvtve/3+2VZ4tQdJ21Jx0beT6HtNQAAAAAAAAAAwDtV7z3qQFpr + Z2dnKSV3v+b6S6RpHg6HnHNciI9wzac4ZQB4JP0h4qer1WqeZ3dfrVZRTXRT253nWVJrrZSyLIu7 + RzLxw8Rqq9Wq935+fi7JzEopNzWfx5VSilIrd4+L8k+8c2Inp5TGcYxM66dQmhWbiLzn2G5r7QUK + t45jclmWOAb2+/04jro8nltr4zi6eynFzJZledbzBQAAAAAAAAAAAAAAwIuEWGsAAAAAAAAAAAAA + AAAAAAAAwNvSWuu9R+dKSREt6e5mtl6dDGX1Uz/1Uz/8wz8yDPZkUdSRN3yMq9xsNsuyRH9M4G2K + Xp/RfdvMhmGIvqXxo2j0SbttvJG5TMo+S3FkJKlIY1eWlmXfzYfc5ZPmvfaH3//Rj797tz1ptXgd + tJg0dT9db3IurtJVuoopZSl3T72ZXMYh91Am5a7iGlzZdfkidCneY9wkk1J87ZcLknWlniSZLPe+ + 8uXdbfn4OH7d/e0f/tjHtZs22UyurCd6s8KtyzlHrHXOOb4e0xQkpZTinohMeNaTBQAAAAAAAAAA + eIGZWZRqSFqWZZqmuMceYrVaDcOwXq/j4fHAG4yDBYCb8rDzWPz0WJA2TdMwDDdYLxQpzpJiTDOL + U+s1D4lzaWvt7Oys977f7/uT1d7dhJh2ay2SuXvvEbz9uOO01tw9dkWt9Wrh1lOQc16WZb/fl1Ke + 2kZvxNUDJsK5Q7wQEQ1+fNt9sZ4aAAAAAAAAAAAAAAAAnjnKPQEAAAAAAAAAAAAAAAAAAAAAb8ux + 26au9CZOqUyHRbKf/um/93t/7x9w17L03qNtcXpjNXtkkD5ExFXqSufQnPPV/ozAE3P33vvVNrXr + 9Xq1WkU2akppGIZlWWi3jau61JJmqUsmraR1V66Sy7o2Q+l9L99rOej83h/9xKc+stR17rbRlPSb + 7uPJpkvzYemtd1kzW0xV6vIiX3VfuWdSrR8udWWpSEXKUu5KXalL5t3c5U3e5S6/TLyWJOsaPJWe + siyrj+7FvZu22924339o0Q9906f1lZ3qvvn+6gPxXCmlmNmxdXjvvdaac95ut+5ea3V3d1+v18e/ + GQAAAAAAAAAAAPAEaq1mFuUZcY3mmjjVq1dw3P1ms2AB4CmI8qFSyjiOOeeU0hNkNl/D3ed5vroh + d79mE8uyRPZzBD/XWjebzfUx2KPI4EgAACAASURBVLcq3gLiTSHylWutT1C/F4nLvffIY5Y0TdNT + iOterVaSlmUZhmGz2cRrcSxKfCFEmnitNQ6beZ7jrTnKJGLHxpsvdW4AAAAAAAAAAAAAAAB4LJSb + AAAAAAAAAAAAAAAAAAAAAADelpRSNEkMEQaccz45Ofk7f+fvfPd3f/cwZHdFv8QnaJuYc462jNGa + 88VqKInnVhxIcfTGPRFi3VqLH0Wy9TzPceeznCueO6lLUsrSKOUuXfbXXfb3zetqaTosv+tD3/DD + n/nW957fe2+b26EtrjJaNp3v98c2wybJejd3c5d3KcWdeDiX2uWtx2ejzGURYu3dJJNb7Me4X11J + PcWOber1IvRachXp/Senm8Ph3a/u/tNPf1bn56vWjCb7z6VIqp6mKU7dsRDNvk9PT2M5/giZ5zn6 + XwMAAAAAAAAAAOAJuHspZZqm+PZ4xdweIqUUUZq11siCTSnFxR0AeK5ccx5LKU3TFIHH7n61puhG + tptzHscxRl6Wpfd+TSz0MAy99zj9ttaGYZjn+RmeV48zkbRareJt4smSv6POMIKxJa3Xa7/9Io14 + ZWOHt9YiMjyioF8IEWgdB+Tp6WmkdB+P0jiioohinuensD8BAAAAAAAAAAAAAADwTkKsNQAAAAAA + AAAAAAAAAAAAAADgbbnawTNaJbbW9vv9P/pH//jzn/9D+/0yz20Yx+aSUq2vb5toXz3BNUaL3sfu + nnOOVow3/0zwMonOpMd+rzlnd1+WJVJRozNs9GDVE8Wx4x3MpAi0Li5rUpdMtahnDau1trNenT// + DZ/+19LZB169+57ih2l/mtPQhnroRcmUhnGl1CVPWnKvJpd5S5qTZlM1daKtH64lTUmHpClpMbWL + feWmi/eUfrwlmVxy9dSVulI135V6PvpU5KbTPIzSq7vtqOED6817tvsvfOijem2rhST751GckFer + VZyfV6tV9BM3M3ePvsyxPI5j7/2rDAcAAAAAAAAAAICHiPKMCC5dliXiPyX1h2itrVar3ntc0BmG + Yb/fxzIAvBByzq21lNLZ2VmEN0f50E2N33t393me45J33BmXvB8m4oqjWE7SOI7P8Lwac4jyqgil + 1hPFQs/zfIxhLqVE4dbTub4f72W995j2i1V8GIHcMfntdhsJ1lE7EbWawzCsVqta6ziONxjHDgAA + AAAAAAAAAAAAgJcBbZUAAAAAAAAAAAAAAAAAAAAAADcgGlZG+u80Lfe259/7vd/7a7/2L1NS7xdd + OCP0+glGTimVUtw9mnW6e/RqBJ5YBKAe86qjQWpKKfqlxv1xSLfWaPf5ztalJrXjN3G7+PK61bok + ueQmv3iYS13NVCWTa7fTVP/YZ37bh/d1/MpvvDcln9tJUWuemhVZSSmbTfPUFIHYMinF5kzd1B7k + ND8h6w9u1//0mtXewC1Sox91048ixnSlRxn5DbqpJXVLl/nf/khblLt5Sw9yr+e6jGkclLtaO2zf + 1ZYPLf7HP/kpnW+136sucr88DvzydjwS8LRFa+9pmqLHdMRXR49mSSml45mc8zYAAAAAAAAAAMCN + SCkNw3A4HCRdc/3FzKZpMrOIO22tbTabpxNTCgA3Iq5Hu/v5+XlUDbXWniC2+RpxFj1e8tZl0PJb + Op5Co1guypme4XXwKNjTlZKqKOR73HHGcYxdPQzDPM8R1P103i8i/vmYVv4MM8KfwLIsOefjU4gC + iZxzBITnnKdpklRKieDwZz1fAAAAAAAAAAAAAAAAvEiItQYAAAAAAAAAAAAAAMDjocMJAAAA8NIy + 6cF/EJgfb5Z6V+vJ5lbTMM7N99Py7/273/kv/sWvdqn5g/hP99p7OyaDSlcSZC9XOoZTxrfLshy/ + PX59gp6YwBscD6dIZI/Wq/G19x4NTI8Jqc90prh1Li1SjUX3SDLuF4nVkh7EWTd5l0utq8lc6cFp + 0V2qTfv5R7/lt777tS+f7O+eDTa3atJSZaammsziNFhMqV/8G0tsIl0GQqd+kXL9eE/hMnbapHRx + S0nJ+tWbrCtJWcpSuVy48iQuo6bfdOtSv5I//Zap2LHpiwG7HkzkykMud3hypa7klmpKbo+XbB27 + qLhSd5OnyzeUrte/p3TF6yhdvGd189Q1Vq2qSpN1dengc1c3c9OSfT5p9b273Y9/5MPf/f73ardT + a74sXTpMO6mpe7zUDzbZibh+eqK192q10pU/Bq5+PbZmvtne4gAAAAAAAAAAAC+hqxfK1+u1ro0d + vRq/amY557gQf9uTxHPravytnlZ8bFSAxPKyLHEERoAu8FUdD9fIDI7lGzx+SinufqyLm+dZ155X + Y83j+k8zgznO5Lpy5b33frVg7w3VfY/rzaneMeAwDG9/8GuUUuLtKcoRzSwWbkrMPM5+0zTF07nB + wVtrccAMw+Dux4MzNhSlFLo2Kx0AAAAAAAAAAAAAAAB4S3RWAgAAAAAAAAAAAAAAAAAAAAC8LdF2 + c1mW09NXtuf76bB83/d93y//8j9/3KTP6O0YfTDdfbPZLMvyNJtyAnhpmWSXUcUyyfwNmda6jH+O + zuv7/UGSL3P8ILtOatXd8x/+tu/Y/OarHyxDkmzpcqWSlONBD9KQ39KTBVq/8Wk8eDoXuj24XX1C + x6/XzenKmrGi24N06uP4RxGALUlKepClnS4eclzTXBZ7w3Ov1r/qFN4o9Qe3R/Ng5+eu7MpdqR/3 + jEue5Ll76fW0Lu8/HD47jN/1Wz6ku+dJaof9elxLFk8hyZNEnDUAAAAAAAAAAAAAvKVa6zAMkbo6 + DMPNZsc+TKTJrlar2Hps9DbCcfGO5O7r9TqWa61mdkxfvhG11jgac87LslyNcH7euHvvfbVaxa/w + arWKX65bFWnT4zhGcnNEa99g3eAwDLXWWqu7H7dys8nTh8NBUkop9t6yLDf4+vbeY7RSSmSiP58H + DwAAAAAAAAAAAAAAAF5ElNkBAAAAAAAAAAAAAAAAAAAAAB5Jf0iI5257KHkchtU0TbXW3/f7ft9P + //Tff4LGib33YRjMzN1zzq+++mopZbfbve2JA8B1srSSj5JcSt6SzybJoz9xNzVTl8yVL/r02sn6 + FfWU1kWlNpvUzvXlV//kp7719Etf/uC4WabprOQxpySZe2u3HoCcuq4GLffLvOpucsnN3dzt4rk0 + 02wpboulZqmZXMlN6m/8qNFbTN0ubt3U0pVbDJ5idyWTJVlWylLcUpddeXiWBtfoGl35OYuIrrWd + zMu37NsPfONHtNuVXLSk+dC7ShwJ1h6EoNMnGAAAAAAAAAAAAACOaq3jOC7LklIqpSzLcoPZtNdb + r9fTNEVgrZndbKws3vEiljjyg3POrbUbPH5KKe5eSmmtxSEaQc43Nf5NiTBpM5umSZe/U08nHn4Y + hnmeY1u11t57rfWmBl+W5ezsLPa/pNZavNw3pfceseiRiR5uMBk9BozxY3PHZQAAAAAAAAAAAAAA + AOBtekoVfgAAAAAAAAAAAAAAAAAAAACAd6rVaiXJzOap/uAP/uDf/tv/lySzh4RgP1x0pTx2XZzn + WdLJycmNTxgAXicioCUll6lLSR4def11adFST+qSSUpaZpWuZZfdtTv8iW/+zJ3723etVtv93UFK + Pc3NN0lWcp3bbT8DuzLLy7zli0zrfqXHsptixWZJstRjLVlPSX6Rad1TjBEr6/Jkbj3JPPUruyNW + 6JebMEnyi/3jSfKuJJlcr39DSF3SRUb489lBfExq+/03jOt17//B133of/jSl7Q5G9apSVmSXRwu + Mkn1YllPo4kzAAAAAAAAAAAAALwQjsG9rbWU0tOJxZ2mKaqYJEWW9jzP4zg+hU3jHSClZGattZxz + rXUYhhuMnT6mKUdcdIRnD8NwU+PflAiTTinFr/A8zzHhpyD2ds7Z3Y9lhDGNG3F+fh5p5ZJKKXGK + uEGRgx5R1mZ2s7HcZubucUyu1+vY1o0/BQAAAAAAAAAAAAAAALycaJgCAAAAAAAAAAAAAAAAAAAA + AHg05g9uoSf1dFhqT7ku/uM//uN/42/8zd6VktyvHeqtuPuyLKvVyt1779FVNsKtAeDWmZqpSkW9 + qJu65E2qkkkWbXJT/C81kwbTvbu6f/if/pXf+Wff//Uf8t27Nq66PU359JU7k+VueTbbz208PvyW + Jt6VLm8RH91NzdRM/SG3mqxaWlJyZfWcullPuafSVbpyl12GT8eesZ5ST8mL9XIZ4ZykpP765X7x + SaWevCWv2efsc9ac1ZJakqTsyp6yJ6lIQ9PQVPrz9BGn1DUqrZO282G93P/tefU9X/thLdMieSSd + m5RUrVZVqb8+sxsAAAAAAAAAAAAAXmpXk1Z776WUGwymvV5KqbV2NUr2OYwNxvMpDtTIPJaUc16W + 5cZjgyOZWFLkZz+fdXHjOLp7KSWilMdxfDrzjBPFsiwpJXePAsKbGjznHE+n9x5P5wYzyyVFoHUk + o0vqvQ/DcIPHj7tvNpuY836/773HXrqp8QEAAAAAAAAAAAAAAPAye456vgAAAAAAAAAAAAAAAAAA + AAAAXkTDMLTaf+InfuKv/JX/WZK73DUM+XHHSSlJmqap9x4dHiVFuDUA3C5LMrXjB226qytOQ3b8 + +I09+Jp71WHRYn/hX/+d9sV/8jEpbefl0Hrr7u3u/XtzXYb16tD6kJUG63b7z+ByoZvcFFs8bte6 + rk6heMtqubesZmpSj8jmLnW5pNQv0rKzK/vFHogRklKK/9eVwfvFQuopddnlyjEZv4zZbqZmyZVc + uSk3pabS9NjvF7dtcZd0slKu2mzvf2wcNU3jYR6lLlWlGofIMUUcAAAAAAAAAAAAAHBpt9tJaq1F + 8GrOudbab5mklFLOuZQSaa/zPB8LkIDrHQ/RWI4j52pE+o1orZnZ4XCQlHN+Puvi4hcndkiU8z2F + eU7TpMsc+pRShGrf4PhxOjIzMxvHsbU2DMPNxkIfM9Fjwd1v8PgZx3G/38dCrTUCs+PVAQAAAAAA + AAAAAAAAAN4mylAAAAAAAAAAAAAAAAAAAAAAAI+vJ/XkltxSTsNf/It/8S/8hf+md5NUcpG0LO2x + h+w9vqaU9vv9jTcGBYC3ZupJzVLWMWA5qZu6Rl2JXO6SqUqSa7fT7vCX/41/e/NP/7+5T4eiIWud + ZNLZWD5QTt6XT+ph6lI5Wf/m0v3WG2Wn482VupKkbrLu1j0yrSN82rpK10nz01pPWl15HXo1q928 + XdwiebokldxL6SX3IsnNu3k3d7nLIwZb5pe51Z778aaxaWipNCWXurynplQtVUtzSkvSkvqcfc5e + c/XUbrJP8Nvmpp7Viw6T1mNOxYfDvR/6xDdre9BSXWpSk2V5katbvCE+61kDAAAAAAAAAAAAwHNh + WZaTk5MIkZWUUjo/P4+Q2lu1LEvOOSqOjlm8kZULPLqTkxNJtdac81dd+dFFZnZKaZ7n9XotaZqm + KJZ73pyenh4ndoxqvm2r1UrSPM+x0QjVjpTrGxFR1jnnSOw+np1uanxJOefIyd5sNpJuNjN7nueU + Us55mqZSSrwunN8AAAAAAAAAAAAAAABwI+iZAgAAAAAAAAAAAAAAAAAAAAB4G3r6yZ/8yT/35/7r + 1lSrD2WorUoyPXaIa7+0LMtmsyml6KY7PALAm3XJpS4lJSl1mcykpJ7kyl0W3XqPZ7XW5P6nfsfv + 2P3T//u0Lytp6WqSSylrN9ep7rwtuWuQ9rvDWVa6/UbEF8/C1E26yLS+mHXuSl3ZlV25K7tMigxv + izVMOsZT20WCtV/uFpe7uczdjmnWkrnpIkA7vuaufJmtbSqmnFSyl+Ql95R7yl2ppwjDdruMh1aT + uez5OtX3rt7VpVq91nbS5g9Phy9840e0ncZaR/lFAroneeLzWQAAAAAAAAAAAABwNAyDu1+NBD47 + O3s625W0Wq1KKe4eBUiRlQs8CjPrvb/22msRlH4bRWvLsozjKKnWulqtzB67vu4p2O12sbBardw9 + fptue6OxCXc/ZtKnlJZluanxIxa61joMQ5wiJN3g+Loy+Tjz9N5v8PWNOUfst6Scc++d8xsAAAAA + AAAAAAAAAABuBG1TAAAAAAAAAAAAAAAA8KiiqUrvSilFy57ns40OAAAAgFsyz/Nms5mnasq1S7kk + K3/rb/2tP/yHf2i3u+iZONelS13JHx5rffxPiZzzcTn+KyMWos9siG6PwI2L/qTuHsdeHGnxNbrT + 6vJYJVv9Hc+kFCnFkistKrOSUpKSutQkqXXJ5G0pbdYy6/xe+/VfOfTdueZRKk2LazItiizs0tSL + bKN00rRuyrfZ4Leb/DLT2i/vse6SJ9egZF2lXwROFyUr1pIm00FaTLXLXdaVTd2Vktzl2WuuB6vL + WOfsni7Sst0eJGdLF6nXyZT8IiR7lLJUVT1ZlUu+6v3ENXrdtJ69nshS85OhlO6l+2ie5U8h9vvR + pa6hy6qKijyvTKetnW7vfuN0+MI3fkx3d7a0ImnJqlluF7ngeFpaa601XXa1Du5ea9WVM3Z8CwAA + AAAAAAAAgCdwvJ4eV2Qe5cqLmV3NBz0WgeDldKy+eFabjs87XC1AAr6qOHgikT2ldDyJHRPT49vI + pX6Y42F/9fDLOccZMkLfJZVSlmW5/jwZD4nr4621UsqTPa9rpnoskbo6eO89dsJ+v5eUUoo53KrY + hJnVWnvvtdar9VoxvasTflzHd6Xjs9Pla3R8FY6h0ceFN3vzOseHx47KOZdSpml6snlenVuMkFI6 + vgQppasFb08wPgAAAAAAAAAAAAAAAPBmtPcCAAAAAAAAAAAAAAAAAAAAADySk5OT8/PzaK+ZUmq1 + /92/+3d/4Ac+/7jj9N6j12RrLfqBllKuaQcJ3IY49o4tUI8J1nFwxv29d3fPOdNu+x3PuuzyRe6S + lJokk6rkPi/VUpNqknT3vnbTH//tvz3ffe30dNhLi+RSzqknc1NX6uaSm/xp5h1HpvXVzGmTSkpy + NynJxlSalFK5X/s9V1kVZZkp5zSW0rt601jyvmock0v7pvHEWtFO2pV0byznQzkfyv2x3B/K+ZDO + hzSdjPezXpO2Q5rG4Z6ks1dek1yWi7l8GIZJTalXyaSzMnprSfLDnF3uWpey3Hr348djXbkr9ySZ + ZLmnIq3kd+r0DfPh89/0Ub261aGrmiwpW6NR8FMUDaBPTk7MLDrRp5SGYVitVnH2PiYr3HhHbwAA + AAAAAAAAgJdHSmmapkh1nee5lFJrzTnbw0kahqH3Htdr5nkmcRPAC+RqcvD5+XlrbbPZxLfLsuSc + p2nSZZHbNee33nsEYC/LcsxWj1Dq8/PzKLqLMrk4Zz5sHHePx8b6Oedjod2NKKX03o9zqLWaWVxn + Pzk5aa1tt9vj03wK19+PV/zNbLvdunvs/1JKZF3HT2PCTzCfeHvKOUdg9tVisFiYpmkcx1i+Jrk8 + ftR7H8cxDomr4+Sc3T3eMY9viI8lDsJhGCL1PAZ099774XCIrdzskQAAAAAAAAAAAAAAAABQjAIA + AAAAAAAAAAAAAAAAAAAAeCTb/TSuTw5LLau1Kf/CL/zC7/k9n9vvl/hpv8iCDS75w8YZhqHWGt0V + oxXjsizET+Ipi2PveOBFx884OKNHas45pRR9UY/p13hnc6lLRRqOH7kZpTGlMe2mrXzSMqnlL3z0 + k8NvfPmDY+67/Z1hJVvPSt5Lq6mrSMrdk9zkXX6wtLf0lJKPzS9Pvy4pdVX3wfJogynvva7yeLfN + d05OXlltdgdfmi2eWi/3ak1p1ZSWqtNhXZfU3dZjmg59WdSG/OX16a+d3DnevrS586snd3715M4/ + z6t/efauV9/9vi+tX/l/y+bunff9o8nr2bsX2TJPMp3XqQ26l1vLmnI/r3OSXiklS6P0SkrbQ31l + 9byd/1NWMWVJXd2UJM1Sl2+mux/p8w999tt1b6+c26Ca3vD2h1vUWovO3Ve7hEfX6WMPazM7HA78 + UQEAAAAAAAAAAPA2rVareZ5TSuM4RkJna60/hJldDUZ193Ec46I8ALxAzKy1dnJyImm/30ty97iz + lLJarWqt4zheE2sdudeSTk5O4gx5rD46OzuLE2PEJ8ePHjbOsiySWmtmFlscx/EG65dqrceL72dn + Z5J67zHP3W4X84/nHnc+BfFk3f3q/o86LklnZ2dmNs9zFHc97uA554jrPj09lXR82wrLshwDyKMy + 4WHjuHuso8vw6eOP4t1Q0unpaRxFOefHnWd4Qz1bbDfi0nPOx2GfIDYbAAAAAAAAAAAAAAAAeDM6 + dAAAAAAAAAAAAAAAAAAAAAAAHsnp6el2uz89PZ2n+qUvfem7v/t77t69n5Iet2Fm9HOMNo7Hxp3H + 7pDA0xEtPqMBa9zj7u5+vP/YGZaD86Vg0mWUtV1p+tpN83JYfDlbDTrstNePfubb33d/94HVcNgu + K6n3drfXMW1KyWk65MuHR9TxklRN3TS40lPsJWs9npBWlrx7U5OsSa2U3ubZe5vnZCbLaVztaxte + ef+v3L178q737JfFs8nW2/lw+srZb/7mb37mW37r7/lff0qrjcpwkd4cX80fLE+zyqBukj73bd/x + qk93rG6s1e7jWLbb/WYoZfG1pdXkRTqvdSUrOe1b22Tdn+r4dGK/H5nLJHV1mbeepNTNTcpd75r3 + 20P/g9/2HX/ml7+YhuLWq885rZ/1lF8KOef1en04HOLblNIwDNM0SVqv13GuNrNYLqVc00kcAAAA + AAAAAAAA1zheLpdUay2l9N5zzg9L0DymkMa19ZTSdruN6FAAeCG4e5z0Isg5MqePF53jzlprSilS + qx8mCuGGYdjtduM4zvOcUiqlxJjDMLh7Silyso9ByG8WGcbHQqbY7hvCmN+m2HTO+fz8PC61Hw6H + uLO1tixLztnMSikx55va7ls6PtPe+7Is8aYT38bEzs/PYzc+WSVAay3iurfb7TAMMX48x5RSVDBG + Zvn14xz3Q7yy8a7Xe2+tDcMQhQrb7VaX6eBPJiK9dZmcHSHounxHbq3F5KlnAwAAAAAAAAAAAAAA + wI0g1hoAAAAAAAAAAAAAAAAAAAAA8Eh2u8M4rOvid+/e/V2/69+5d+/cr6SaPrphGFprxwaL0aCT + Not4JqLZ6NWWr9H/9NiaNnqAPoX2rHiGuuRSukxqliSTumQyaTWUlboOO+3r577+Yx+r6d3qeVru + mF4Z1+fTklWa9TrtN0rZm8m7NCfVpBZp2U8j0Npf951JXdbl8i4l5TSsWvdXp93pu+68dvfeB8s4 + 17nnfK9Nr2Wb8rz9Le95zdv/+M9+WWbKgyxLpt7lplJUkpK9xenem2S6Yxc53l1/7h//Q1lTbjqc + a1iptv/wM996tvj71mV3b/veVenzPEjNdN5qSaqmcZTXpxr7fb1uqr1LMtVm8iSXuql0nUmHub5v + aIftXZ3fs3KWU8tluDyCcLt675FpfXJystvteu/TNOWcW2uHwyH+kIgmzmRaAwAAAAAAAAAAvB05 + Z3ePK+mlFEnTNPXe3f1h6+92u9aau0fi5unp6TVxrQDwvDGzyKuOIGdJ8zxvNpvWWpwMU0ruHqfB + WH7LcSKHeFmWGCGuaA/DMI5ja02XEcillHmerwlRbq1F3LIuz8kR6nxTz3cYhni+MWYpJaKUc85x + Xf4Y2KwrWc63J6V03FZsbp7n1Wrl7vv9PvZqTHWe5/j2scaPiHFJZnZ8bLzQ2+329PQ0lkOUNb7l + OMcfHV+7qw+PGR7Tx48bfXRxwKzX62VZ3D2+HuvWSinTNMVuoZ4NAAAAAAAAAAAAAAAAN4VYawAA + AAAAAAAAAAAAAAAAAADAIxnKStL5+fnv/t3f+au/+uulJKmPwzgtj9d+MVpDrlar7XZ7bOMYOZS3 + MW3gGnHgRcvR3nut9diVtdaacx6GgYPzZdDlLmVJPV3EE5tk6l7NXUvVef3CZ37bR1I680k+V6lK + 83TI0mp1cn/abfI4mFRdke9sF5nW2VPurp7emDx906wrSf76tvDJkpktru2y81SG05Mv77arO2f/ + cm5bt+GV01d7+1Nf/EWNg0zabFSyLCkNu93elDanp31xS0lZ/fUjuyLk+uJXI6n3ppxMq0GmbtVO + N2rSXP/7f/LPtDRNs7z/wW/9rJm/e1ylw+GsrNI8FVOf6vh8ddn1fplw3qVmclPqybpPriL1Op0d + /Ae+7TN/9uf+gdYrbbJSFg35b5+ZRafv3f/P3r0EWZLd933//c85mXlvVXXPCxBJB0WREkGCkCnK + IkMLb73zwitH2CtpowAfoiQSJPiQKQVpPmyKD5E0IxhiBK0IKRxyWAuHHZYdlEUraC9oWSZNESCI + wWBAgCQwHAADTHdX3Xszz+PvxanKrpnp7kE1uqd7er6fKBTy5s3HyZOJrNvIc/+/3U7SNE09PkFS + CKHXbu4lnmOMOed+PwcAAAAAAAAAAMB96MM5Qgj9iflms7lbhqukntUaY+xhn/0pPFmbAN5GQghr + 7HS/+4UQDodDSiml1LOo13Fu94i17uPiLoco95lm1gOVY4x95r0HI/Xbab+vttbMrD8K74388vUm + 9aPuT9jHcWytHQ6HfvfuO10P+a3Rd9daizH2/t9utyGENSC898ZVM60lLcvSk7P7uXP3fiLc/fj4 + WJK79/PS93637fQG9C7qq/TVzay3sPdq772rZlr3vffW9omUUj8Lvc211mmarrpNAAAAAAAAAAAA + AAAA4N4oqwQAAAAAAAAAAAAAAAAAAAAA+JKM4/jyyy9/13f9zeeffzGlUEoz2ZIXnWfAXiGx1cz2 + +32f7vUxiQ3GW6zXD+0X3lpydBiGnHMvCRpC6OVK71GrFE8GU4v9DhZ6VnOQqUiSkkml6mb+4F94 + 37v3+2cHVS15UHYdj5tNUai+zPtR2mzTK6e76zpPN46uscqkscmkotfHQj/I9vv5Xfj1pYtN2Vtw + KYzRQh3CaV58mv402CvPVmYqNAAAIABJREFUPfWrH/oTRcmSJLlre6SSFTbu8upHx9fkatVDCjKp + yczlJnPJJA8mN5XW3D3FZPIQQy1LDOOSFx/HpYaTGixN2u+12Wqqqvuffenj8qLDrKZv/7pv/Erb + vCuHUXv5Qedd/liwHkx+cdZi09iUPJiamZq3a5a/8tUv/Mhf+g9+4sN/IJM2PRQdD1fOeVkWMxuG + oZQyz3NKqZQiKYTQazf3TxQ94vpRtxcAAAAAAAAAAODtalmWcRx7Amt/NNNTXe+2fF+gr9UX6zGc + b2GTAeDLssZFr/nWa3RxSqnW2pOq+3R/Tn1H/Sl2f7Tt7v322G+nkqZpqrWu4cc9p/mO2+m3357E + nFJqrbXWHlSmddcDpNfj7RnMa3taa31U1XoID3DXd2xMKWUYhjVIuw/rWru61romUt/H9tdw6H6w + PS+8DzCotfa996Fi/bzfw9qM1lpv8JpB3tu5Xj/3EQrel2+tTdM0z/PZ2Vmf2VsVY+ynI4RwdnbW + E7UBAAAAAAAAAAAAAACALxNlvwAAAAAAAAAAAAAAAAAAAAAAb65ZeOWVV/7e3/v7//bf/n9mKuUK + IdZv1KtzrsUx11DhB9Va4E31GqNr0dU+0euNunuvB3p5gorbTzZ77VSVav/WTa3aH37gfe/7s+7H + 3oZlcVNLisF28yFXHSlKnqTdbncU1ZqCq5nkipK5emB2ULtSaWG7qGrrpmbyixYGl6Rmki5megim + 5q0vEbwnc6uHUGuYvpBn2443pUOKSxr+wb/7XY2DTo7lTdNGzRWS+u13GGr1EM1cOdchxRCt1RpC + UDDJZGtXmVkzaQjWZEGttpqCYkqel3Ec99IQgwUpSydbSUrBQzyoBA3jONm8/KM//KRmfftX/fmv + ffpdtrw6tjy0MtY2NI9eZPKLI10P3C4X+73TAt3lmX2VLyNTPERv5ooeerp3tBBDbbldt6W+8rnv + +Yb3/MLHXtQQFYPUpNZDxk23/6JVKayN8iDuJfdrGAZJfkFSryvdJ3SprDaZ1gAAAAAAAAAAAF+O + cRx72OowDD2sWtI9npunlA6Hw2az0UX6Zo/85Dk7gLeLfuOS1O9+/Xef04Of10xrdx+GocdUv1Ep + JcbYn2ivz7XX7feoY0kxxmVZ7pZpLannJUsys76F3qoHdbxr4nJvVQihlHI56LpnaYcQ+pP6h83M + +o76c/8e3rz+KVmDvdc+uY9w6z5eMaWUc+7n0cxqrZeztPsZv8c4xvWtHozdm51z7qeprz4MQyml + 7+4++kGSu8/zvF4/fSBEvybXS4tMawAAAAAAAAAAAAAAADwoxFoDAAAAAAAAAAAAAADgasxEiTEA + AADgyWAeJLk1SbLbMdW9+qGdl0EM8zwfHZ3kQ/7xH/+Jf/m//4ZLwzAuy2JmrSf+tjtHXPcSk5LW + Up69vOZaVtLM1n9fkGmNt97lq65fin3O5azrtRzqo2gg7l+/y9gbZ91ZkKstS5hGWSjS0pSCYs7a + 71UOz7T9ON+aog7FT4bjs2WOoUkeTdXPSwb3POketLzuyk3Fm6Q73yXvwvz8Cz8uFYVicpOpRZek + ELQUKUlB1mJssbYm+XnAdfXB1FyDDTv3m813z7zrT1r9lRc/rmGSBw2TQtDQzmOXw/l/emfFaH16 + GM5LJ4cU79B1dr6WSUEyKV38r8nSKGlcFxtunwxTGJUkmZrGQcG10T86fVmlKZf3v/cbv7L55saN + rzBP0uIlBlWTgsy1L7qeUiklKkSpqrkrB3nP/HZJYU2wdsmt9Ze9edWvlmztklxmMm+SgqupSapS + blVBMdmSfWvla8tOp69oeE7jkfKsQUUypdBasNTbU9RMbeyJ4xZup5Tjvqw1u7t26UPIelcnIwEA + AAAAAAAAAODLtIat9kxrvdlz855prYtHNvfIagWAx1BPU9bFva4/mO5z5nnud7Y+7G0cx2VZdCmB + eJ3TJ+4YPt0fba8Pu3tE8ZfSsHWg3f1lWvfc5T7Sr29qs9kcDoc1HblnMPdMa3e/fA9/JMP5+k57 + z/fpNUm6H0Lv5D4KcQ0L7y2/Rxetx7vmka+DDfq6fZBYa22e52ma7tG8vsC603XL65lad3GP7O01 + Rn29eNbY8nWD/dB6V+jipPTwb0ZEAAAAAAAAAAAAAAAA4AGi7BcAAAAAAAAAAAAAAAAAAAAA4DV6 + CUh3L6XEGMdxLKX8zM/8zP/0P/8vtXoIoddSTCmt9S7vqBfiNLOc81rmcp7nt+YoAOBL10oO0yg3 + rzJpCtJhVmta6g9+w3un3WlsXppvh3BzOYsK0RXXEGV7TWTy6+6J1VTtapnKb9xIs3aeieyyoiiZ + y137UocxNVWlEGJYqocQi6eD4hc300ub6TPPPPVffPj3fuWPPqmjI203urZdYqiDFINs/XmzlGV7 + w89r33zj6yjF9WW4CJeWokJUkJIsaRi0GXQ06HjQJv3qiy/8lx/50CvPPf3pTfrCdjPHWKNCP2TT + 8SbuSpm2m6y2XEoJP88Rt9AuzkV/z7686OhmaqbgCq7Yemy2mmTBQgq1qRQvrqenMd04/elv+yua + sw5ZVqWWJFN5bXHn136Bi9rCAAAAAAAAAAAAAAA8Cn4Xy7LM85xzXgODU0p9XFxPs9ZFCHTPVNbF + ELtpmnpotJn1MXWPlX5o4zj2xks6HA59IqW02Wz6IffFHs+w5Dv2f611PUGtNXevtW42m6tuvA+M + 7KHm7p5SOjo6ukc/mNnR0dG6cGutlDKO41X32zOt++FM0zRNU611HbTZD+d1UegAAAAAAAAAAAAA + AADAQ5IedQMAAAAAAAAAAAAAAAAAAAAAAI+GW7vjfDNrrUlhGKazs/1ms/mlX/zlX/u1f+KSmcUY + W2shhF5C8R7bH8dxWZYQQggh5yyptXYfZRwB4KruUF/27qV3XWrj0CRrzYqiR9USk7Tb//DXfv1X + nR2Ox2TDss8q1jbD2EqR7nz/fCBqUPUgKahJLblik0vB5a5JQ5LX6rtan9rEm4fdU5t4a66bzXCi + lIsd0nRjM34y1l/55AsagqZRISk3mVTKMKXWE7If3gFcVWiamrxqCD/3hx9Wrt/53r/4VTfTu5Z8 + VPfHMX6h5JzrZtDOFw2hFgVv6h2iEKSm0IKCyyTz1hPHezZ4DW8ICf8y1OZmcmkcUgo2z/Px0Xjj + izd+8C9/20//3u/o6bRIo1tUktS8WQhNSlLo3+EK7eLKCffaDQAAAAAAAAAAAAAAeAv1pGd375HG + IYR5nsdxzDkPw9CTlXuC9evW6oPiesKxu/co4sdHT4Duze5NnaZpnmdJpZRSirvnnHPOj+egvrv1 + f0qp5z2P49gToEMIh8Phqtvvmd/LsqwR5pJCCHdLtg4hrKe4r9JXv+p++3npm5rnuQelD8Ow3+/7 + sfQ5/UivunEAAAAAAAAAAAAAAADgSqiBAgAAAAAAAAAAAAAAAAAAAAB4jVLK8dE1M2tNJycn//0/ + +x9+6Zd+RdI4phhjL3AZY+ylIdcSim+0LEtKqda6Fr6c5/lxq90JAJKatC9zCxbGKGvKe52efddX + /7l/b6lfOY5lWVQVp3hYNJfF/SHfxzyUEJqFZpIUpOjnKdSTTU1W1aQwyIaUBukw17ixG7l8zvyl + zfCH2/SDL/zBr/zxH+noyI+vnaVhtuTTVsk0hlz20sON5b4foSnKk3yQjje/8vGP//0/fPEz16/f + PH72s9WPt8fHQ7Cmw6GV0uIQqqkpSCG6QgvRb3eRpMtH16T24BK8XRpjGlPYzcVLLUU3d8tz03Tt + i1/8G1//Hu0Oo4KUVE0tBFdPEI+SuWRyBZdc7bHrfwAAAAAAAAAAAAAA3tmWZekD4eZ5zjlP02Rm + PVM5xrgsyzzP8zz34XBm1lrLOYcQpmnKOa8pxY+V3viU0jRNfc48z2bWx/L1YOaUUk/17qMBHyt3 + 6/+eP93n925vrd1jHOM9LMvS97J2yz3OY39rHEczq7UOw3AfmdZ9O30LkjabTd/U2dmZpBDOqwSv + p+MxPC8AAAAAAAAAAAAAAAB4kqRH3QAAAAAAAAAAAAAAAAAAAAAAwCNib6jA6EGSgp3uD5vNZj7k + f/Hr/9uP/uiP9ZKPy1LMztNBe7h1SukeZRNDCJffzTmnxCB2AI+Sv2FOv6m1mrdpkkJVW5YbW7Nf + +w//o287bea7HHSShkPJ22mstj86ivtdfdj3suCStdBkklxuMpc8HLyYLGlMMdXqN0/ndx8Nr+xy + a+PpYF+4tv35j/6+tpPGSTZVT23R0ahmalJzBWsWFOQPLuj5AXFXkA1bKXgz2waN00987EPK5Ue+ + +VvKq6fP+Vjq4dkh7nJdlmIxyENwRdeofl6bS01yO8+x9tfGXIc3nvurS9J+yUOwyaTmz1w72u/3 + bZ6fqzlMTylLuXmrNk4qkgVXqwrJz6+8ZnLJpCA9dqcAAAAAAAAAAAAAAIB3sHEcl2UZx3FNgK61 + unsPSx7HsdY6jmPO2czc/eTk5PT0tJSyDpCLMfag4sdHCKE3qZTSmx1CaK0ty+LufThfHxBYSnkM + h/aVUu7W/+syKaU+8z46v5+yPhKyZ1T3ZOu7DYnsXdQXW5alr3gf593de7eb2eFw6IfTZ9ZaD4fD + 8fFx31dr7TE8LwAAAAAAAAAAAAAAAHiShEfdAAAAAAAAAAAAAAAAAAAAAADA4yb0TOvf+q3f+tt/ + +3tKUQjWSy+GEIZhWEslrinXd9RaM7O+ys2bN3sG9uNWuxMATNrEyWuTtBxOt0PU7iy/8PGn/eya + aWnN3VMYXtntR6nkWh9EQPI9BLXgLXg7f+mKTbEpSB5DS3FWO6t7k07S5tO7vLu+/dQw/MgnPvHz + H/uojje+mW6GeEvmQcMga/JZagoWpJBCbK093AO4KpMUpdjm4s0U0kHaDUnPPaOnr//E8x/906ev + f25zvA+bW7lux3GKt1cNUpSSWur9Jp3nW5vOs6Rd5g8m01pSSmkKITffjmOu/sVbu1rcSn1K9anT + W3/rz79Hr9yyGCVpv79ooKTXBKo/5MsHAAAAAAAAAAAAAABcTc8V7tnJffpwOMQYe+pzrXWe556v + PM9zX+D09FSXhs89hpnWklprMcbecklm1lpz934I/ehaa7XWlNJjN57kooVv7P8QgqQ16DqltAZF + X0nfgqTNZrMsi5mVUpZlaXexLEsPCF+WZbPZ6OKauep+e1NjjOu50MVF6O7Hx8d9X7p7wDYAAAAA + AAAAAAAAAADwoBBrDQAAAAAAAAAAAAAAAAAAAACQPMhDs9AspDjuzg6/+7v/7nu+53tr1TDEnN1k + vfhmznmtlphzvkc5SDOLMbbWDofDNE29/OVaJRMAHiJ/fXrwG2bcni9JVUNMRct2jLo5//Cf/4Zk + +SDP3gYp1xJcf3Z7ElyDND3kr+OYa/A2NDVTNUkhKIwK5tqHvLOlxhZjmtLxLR9ePrn2/wT7rz7z + x7p+rONrSkem8ZrGjaxnOdelpajoik1hruZjtOkx+0pRaDbIhpC2lmXSEMIkax40bXW8/a9f+MgH + PvX8J7bp7Oh4X71UhabgLXozNV38BMn0mgrL5ooe4oOrunxWymazMWmel5NxeGozHm3GKMnbUVu+ + Zq7f/S3fplxVs462qsWki8rQ0kWnN4XHrP8BAAAAAAAAAAAAAHhH6+nOPQTazHLOm82mlNKTnmOM + 0zT16Wma3L2U0kOIh2HoudGPYaZ1V2sNIYQQ1tTkns3cX+ac+7uttZ4V/Vi5R//vdrvtdtuzqO97 + ++M49i0cDgdJwzDoIkv7jlJK62KHw6HnW/dg7CvpadbLsuSc3b3vPYRQSkkp5ZzXvO37i80GAAAA + AAAAAAAAAAAAvnTpUTcAAAAAAAAAAAAAAAAAAAAAAPB4aa29+OKL3/Vd3/Xqq6eSSqmSXN5qNbMQ + Qq21F2rs5SzvVjnR3VtrvQKmpC+ngiQAPEQ98vpQNxvXcvje97z365Vy3q1J2HEY5py1z6OUF8Wo + 5ncOyX4wTOZyye12FrdJQW2Q7aoPY7xR9Hlvr27Hn3zxeR1P2m6yhcGCvEpm7oOZ1FRaHIJcOsya + JktDq7Kgx+x+HCyEUloyaUytteYtxVRMh6ZxmmIwq/VnP/XJD3zjNz7r9VnFtJTUelp1a1KQmuTq + KeCSZC7zEKTokkLz5g/ikI9kh90uSCaVUnLzII0mcw3Vj/Znz6VB+4O00ShN6Xa9Z1t/PX5FoAEA + AAAAAAAAAAAAeGertcYYSyk94LmnF6eU+si3Wqu7p5T6WLieY92TofuIuB4I3dd9tAfyOj1vO+cs + qadBS1pjmHskc49SNrP1cB4fvT1v7P/W2na7vXHjxjAMZtaPqx/ClbbfM637gfeMaklrBPgdtdaW + ZenL9BX7WlfSWrt85Ww2m37lpJTmeZ6mSVI/L/33VbcPAAAAAAAAAAAAAAAAfOkohAIAAAAAAAAA + AAAAAIAvVS+54q4Y42NYsAYAAADAVfVP9b3SYprGZqEWj2H49Kc/8x3f8Z2vvHLDTC41l4VkIUly + 9x5i3SOrJfWXvbTl5Ym1pmQppS/Z51y1diTwkKxlZNeJfjGvL9eKrly0b3d+Oxtar0mjdreeNNyk + JoWoedayvEsez043kktNKkGzZ0+qQS6NUqgKD/micKmZlOzQNI1DVVvUooVt9hMLs+vzm/Tbx/qJ + T39c262GEyml80ZFKchcavKqUKUqLxqHnoxdknJ4mJnc98VcMYUSQzFZCCGmLFUpBDXJ0igLOtn8 + /Cc/9iOf+NiL14/OtmM1H8cxS2ZhkbJpScpRNSi6UtPoSh5MQec/D0CV9xRtk9R8kKJUpWZq0qh6 + fZl/8H3frDm3+XSW3GUuWZNneZMrPpB2vFPVWtei4THG/rliGAZJ0zTlnPtbpRT+f0sAAAAAAAAA + AAAAwJcuxiip5weHENaHzn0ixri+1ZdcF+6Jy324UY9e7u/2Z9nrFi5P6CLM+D4ebb9uxbWpff6a + V702YH3O3odFhRB6AnTXl1/b/Bg+ar9b//cD6dOXQ691cSrX/t9ut2/cZl+m/+4jDdaTKOlyF73O + OgyyB2z3btcbuu51p2ltTG9krfWNXR1C6Gv1TGtdnBcyrQEAAAAAAAAAAAAAAPCwEWsNAAAAAAAA + AAAAAAAAAAAAAO9QvWxlSmkzHe12h1rrMAwvv/zy+9///k9/+mVJ7kopxRhba2vdxjvqhRpPTk5K + Ke6+2WzWMOCUUghhXf0xLH+Jd6Z+WZZSQgjLskiKMa6pqPM8D8OQczaznHNfAE8Ak+32O0kmlVLy + vOR5OY8pXmbt8t95z7+fbn7xaAhDjCHEamoXP94DjBWk0B7mV3Jc8hgOrtaUol5d5hi0iTF7y5Z2 + 4/aVa9d+/BMv/LM//RNtJ223PSrZeoLyudCPVnbxKkhB1c5Dvu91Q38U3M6zxdtF2/o5qa7izU0a + J42T0qhnnv25j/zBn0TdHONnl0McxuptmqYqZVc1uUlSvPiRrOl85sPTolrQtaPhuC2bz35e+zmk + IciDVOeSl0OrWWrytj9b1tOCq4ox9lv0NE39M0wIIeecUuo37f5hYy0d/oibCwAAAAAAAAAAAAB4 + cvXw455S7BdKKcMw9OFGuhRRbGbu3uON+5ilPseuqLWWUuoD8I6OjtZw5f4wvQ9w6mHVOec+Jmqe + 5z4Cqrekp1w/gv56oC4niPfenud5WZaUUh9OkHMehmEYhv1+v9ls1jDsvm6tNYTQ46UfYP+v7VnP + 79q8vsytW7fMbA3DBgAAAAAAAAAAAAAAAB4HDGcBAAAAAAAAAAAAAAAAAAAAgHeo6j7nvJRW5ZvN + ZkjTjRs33//+b3/++ReHIZ5HnzbV6pJ6Vc07WlOrT09P+5x5nnuZzl4rsxeC7GUc35IjA95cvyx7 + GdNxHHPOrbVhGGKMtdZe4DWl1Fobx7G/xBOg1LLZbExalmVIwzCOwzhKTbHJygf/wvu+5gu7Zyzu + l3JW61mrTck8DE1Dk0luyopZ8aHGJDeFaskUNsOoqs1RLKZDrdN48ur2+MPWfuyjv6dnrklRcaNo + Hi8SrNfMau9B1tEtejSPocbzTGuT4mP2haI1ZnuURj/P4E6uSToyjaasNivnUjUelzro+Kmf++TH + PnU8lnc/c9NVFPfzPFro5YrXxO6ekF2kevWTFVzh4u+V25ukYruUpbOm/ZKHunyF2d9/7zdptwyl + SoqbNIxjSIPmWa7NZizlyu1BV2stpbj72dmZu+/3+5yzu/ff/aNI//ghqdekBgAAAAAAAAAAAADg + Yeixyiml/nh6zbfuGdI9+Xie576wu2+32x5v3FqLMbr7OI5+RZJijP35+G63k9RTtHtCdh/gV2uV + VEqptQ7DEEIIIfT2hBDWJr3dzfPc86F7UHQIYRiGw+Hg7ofDIYSQc+7h4ofDofdJj6DWRfJ0n/NA + +r937xqevQZdj+PYB1L2a2Oz2fSdPpouAwAAAAAAAAAAAAAAAO7ksapCAwAAAAAAAAAAAAAAAAAA + AAB46wzDME1Ta00KZ6d7Sd/5nd/5oQ/9wTCcJ0H2TF9JZtaLPN5RX6aXaOz1OnuiZEqppwX3io0x + xntvB3jr5Zz7pXt8fBxjTCmZ2TiOvZzrNE0hhP1+/6ibiQcmxhgtuPs4jHJfznZqrlJ1+kXNh6d3 + +z9jacj1+mY4SjFaaCZJwZWaJFUL1VTtdnbyQ5K9KYabu3k7DfOhLsHmYXohzx944fd/9aU/0TNP + 33D37bGC9cTl8+jl/qOebx2k0JSy0qJQpKomtUEtqj3MVO7704JaT6K2JmvnqdTmrS57b3MyCyHJ + zI7GZkFH21/6xMeePxxe3Wzy5sQ0xbRpVbGdx1G75GpNrQb3h3++atNTJ0NrCq5rSdfmwwe+9Vt1 + 61Qlu9f9bieXhknuIWggbfl+xRh7rHUv8N0/V+iiJHcIoc9JKR0Oh0fdWAAAAAAAAAAAAADAE6uP + jrs80fOS+0PtWuvhcNhut+vy4zju9/s1TbkHTi/LEq5IF1HZ2+22xzn33Unqidr9d0/O7o/Ol2Xp + g/fmec45T9PUH7W/rfVhXTnn3hsxxmVZdBEsbWa11p4kLSml1Mc09kzxvoAu8qcfSP/37Xd9v601 + d9/tdj1rvJTSW9VaewL6HwAAAAAAAAAAAAAAAE8SYq0BAAAAAAAAAAAAAAAAAAAA4B2qNc1zDiHV + Wjebzbd/+3f8m3/z20dHmyXXJVcpmEWpDUM0c71ZKmgvyNiLb/Yaju6+3+8vB10vy9ILNQKPXL9K + 16KiPXC9l3ld3+3FXi8XHsXbXZDlnL02SWo+bo8k0/6g2r776/5s8nwrn5qF5ZBbqVuzZq2EFpti + D0vu6dEPm7WUwlLLte2mVlMLh7D95LWjv/e5T+n6pGvH1YbtcC1Lh1KVi3lrKlWlWHFrCpKdBzuH + i+8OuYIkUzNv5u1Nb+lvJdN5k88zuatUz9uv0qY4TcViVZTtDrlKdRw0Huvo+Ff/6I8+/9S1z4T4 + xZD+dNmdHF0LLVwEkKuYcmje+8SudrzmMn/NnH7q7/jTTGa6cZqjFKNOl92x581nXtJStT81a9uj + E/coJVWVXKlO/OVIKa1lviW5eyklxnh2dtZaK6W01lprm81mLR0OAAAAAAAAAAAAAMCDlVLqT677 + sKKzs7NaawghpdRHH6WUbty40TOP3b0nWK/rSso5D8PQrijGGEIws/1+34fq9bxkd++B1rpIUHb3 + zWYjaRzHHvk8TVMfubeOj3r76ocwDMM0TZKWZRnH8XXL9GED7n44HHLOu92ur9Wjr++j8+/R/znn + /ns9Ef1ljLGflH72Y4z91Ly1vQUAAAAAAAAAAAAAAADcC7HWAAAAAAAAAAAAAAAAAAAAAPAO1Yst + juOY4vjDP/x3f/M3f1PSbneQNAzDWlTR3XtNxrttp9e77IUaD4dDL9coycy2262ZnZ6e9tWNME88 + NszscpFWM+tXaS87u2amctE+eYaYQozKRaXKpXlRCH/nPd/w1SWfBD+ettFCkp4at2etBrWL0OUQ + PJgHk8sebnnf4FJero0pL/Wstlvp6KVh85MvvKBx0slTexuyn5c6HlK0GOQ1nKdAX0qrNqnHM1/k + Rkfp/D7++FXHNen8W05rxnX/HZMUFEblqhC226HPzj5IG22Pf+EjH/nRFz7y6nPX7fiZz+8OwUP0 + 8wzyZnKTSeYPPcM7KG5CUNC+6NoQjs3encuPvO99Mtf+4AqzmzdpiCkFe5wyxd9e+qeLeZ57keg+ + 0W/ax8fHfdrMQgjLsvQ64AAAAAAAAAAAAAAAPAz9EfayLP2Zdc8q7qnGkvpzbUm11p5sXWud57nW + WkrpIcd9bN6V9EFNPTzb3Xu4cimltdYflJdShmGotZrZsiy9MeM49uUlHQ6He4wDfLuIMR4OB0m9 + Y3umdZ/u3dtHRfZD7se73W574PThcKi19tDxq+73bv3fB1j2BqwLr4MqJYUQ9vt9Py+MRgMAAAAA + AAAAAAAAAMBjhfIcAAAAAAAAAAAAAAAAAAAAAPAOldI4DMN+N//0T//0P//n/6OkaRrmOUsh53qx + TOr5vvco45hz7sUfa6295mZKKefcc4LN7OTkRNI8z9M0lVJImsTjY60Tul7hpZTNZpNz7vVG+3Vb + a30CKrpC/US7m5lSkkuHWdOkL9x6djm8OxdrXmoL8qM4fXbZD5Msy5okuUJ0matak7XwMBsZXaNL + S9lLh6Prn7l2/PN/8FFtt0pJzSZXiFpKVlSwqJaVgt3+jlCTJAu6SK/ugdbyPhnkkjV50GNVI9cv + Mq1Naza3KzTp9Gw5Pt4oTbWWoBblwQbloLDR4PKi57Y/9pHf+d5veO/X2Lae7QcPUpOrmcx1uyO+ + PO3u3RVcaq3JbZy2gx/2i2n/zDCdnd3SrVM999w8Z01Dk6LLPZtLls5jvHEV/fNDvyeb2TRNy7KM + 42hm/bNKLwu+FqduR7oZAAAgAElEQVSmEjQAAAAAAAAAAAAA4GFYo5THccw511qHYejj4tZk6xjj + OlKuP+buOcc55/sePpdzHoahtWZm7t4TrPugpr5xSa21GGPOubewP0/vy+ScN5vNEzB+bx3fNQxD + jHEdM7CmiYcQ+pjG/rIvYGb9wPf7fU+5vmqy9T36391DCJeDtHs7+1motW63296M1lofYwkAAAAA + AAAAAAAAAAA8DhjLAgAAAAAAAAAAAAAAAAAAAADvTEEebrx669d+7b/9x//4n+p2prUul3cspbTW + 1nDre6i19lKPfZVhGFJKZpZzluTuPWPy7V4TE08Md48xrsVJzawXkE0pHQ6HWmu/8nvJVzKt337O + A5LPJyU1taZmZhaCXCpVahqCbt78m3/lr1xf8lFTas2kSWNpdZGaZK7ofXVJCmpBb3IzvEeLoss8 + VAslhHvEJFfTYroV4nzt2c9upp//g9/XFH1KJVltCkGH3TymYbDYvChFb37pgM+/K7SW3T3PifaL + H5P0mGVav0ZztarmUpOatD3eVKlKFsMQQ4hBJUtSVQ22bK5p3Ohk8w9ffP7T0UtIUQoXvb1mWpuf + /5zvw17zo959fvstv3r/TON0dpgP++VoDJsgy/Mz0b/vW/+qznabYZBUqtxkIdxuB66o1ippnud+ + T+7x1b1atKS1PHQIod/PH21rAQAAAAAAAAAAAABPqh5ZLamUMgzDMAzzPPdM62EY7MIaJr3mK/d1 + 13jjq+635yi7u5m11i6nMvf5fZhfb4a711pDCOvu+si9J2D83nogl4Oi1+GLfXTBMAzrsfcY6Z5F + LalnWj/Y/u9zLo9VWIdK9qDx/m4phUxrAAAAAAAAAAAAAAAAPFYYzgIAAAAAAAAAAAAAAIAr6xV2 + JF0uwgIAAADgMRAu/UiSrMmaq6YhlFLMrDXFOLSmEFJr/uv/8jf+wc/8fHO5dJizSxZCa8W9Sq21 + 0jfTq3BKCiGsdS3XiZ4H3Es9mlkI4XLtxZ4KvBbrfEv6AXhz/Wrs5UrXoqU9l319txcS5R+/bztr + grP8PNHZ1KpaUXOpliaXYpSq8v6Df/G9X/eFV46LL01NHsyySvFyFGRZLlVTk9xaD8aWFO7jijAF + 16SQFIsNc4zNgkzVNYySZCZ3NVdMOrPwmen6Hz39lf9v8X/w8Y9ru9EmLWaLVJKqaXM0yWUK0ZIr + KKTX3v+DLmKu7WLvr3n9GN6Jb7c1mEI4/60kDVI6n+iHFpUGBSmdB1e7jZpOdO34Zz/xwiFEk8Uh + KshdyaU0lPMobwUF8/Oo62YqQS3ITSYFV5TM+1vhjcnWwe/600wt+FzmI9NoOtSWpSCl5fDs6U6H + ppwHaZDMVb053+e6X73e9zRNktYPG5d/Xy4C/shaCQAAAAAAAAAA8ISyN3N5YZ6zA3jbORwOfaKn + HffhcPdY/nUR0f1Z9utuhv3lOnxunXn5SfdVxRj7M/Eelb0+H08p9V2sE+u7vZEhhCds8N7ak/0A + Y4z9GC+PGVg7+XXjCl632Jfubv2vN5zQdV/r6TazJyBTHAAAAAAAAAAAAAAAAE8YyqAAAAAAAAAA + AAAAAAAAAAAAwBPOzHLOR0dHtdaUUs+fbtK/+lf/x/d93wfNzgtW9kqLvS7n3bTWSikxxmEYSikn + JyeS3L2X9XT3Wuv9FdwEgAeoSk092vq2Xiw2hNAWV5FK1u7s3bv9s8uS2rpsc2tu54nFfRtut+ff + n2ZqJklBMpf1nblS1Dzr4NpsJpOuHR3dzNpvN5+7dv0nP/Thf/rSp7WZNKYa4uyHdr6Ny8HdoZ0n + d98OtL4De0Oy9WMt2GuTuaMUzxsepCALCq2/jpJcbsnTpO10/E3veTWmL+RqUWNQdrl7cXc776ju + nn1w/3/CzGUXmdkyDU3Puv3Qt3yLDvtYJVdrChaa7vV3FgAAAAAAAAAAAAAAvMVKKZvNZlkWSWbm + 7mb2hIVAAwAAAAAAAAAAAAAAAMAbUSwMAAAAAAAAAAAAAAAAAAAAAJ4wl7M7JSmGoVWdnp6O41hr + XZZycnL9N3/z//zgBz/Yq3C6e3/L3WOM99j0OI6Saq0552maTk9PJbXWpmmSFGNcV3f3e2wHAB6q + tv5XlSSXokJUOMwHiwqTyYr2y/vf982p5qVm2UNMGu6Z1iVoUWuq21aPsqcmSSmFvXSytbPd3KQb + u1073nxxGv7hh35bT0/aSL4oqHo9snFyja7YLlK7/fW53e8U1hSbYtNFRri5TFFp+Bu/8b9+7OnN + TbNcQnNFKQaN0aq1GlStJ5SHnlme2vm6bmp2uy/tir3at7aGoK8bia7D2c1tazoU5RKCWlSrHnWv + v7MAAAAAAAAAAAAAAOAhsbsYhsHM+tC4PqePqXvU7QUAAAAAAAAAAAAAAACAh4tYawAAAAAAAAAA + AAAAAAAAAAB4ktwhmbW1ZmZHR0fuJoVhGH77t3/7Ax/4wOnpLqXk7pvNZlmWXpqz1nqPrS/LIiml + JGme517Bs79VSpHUV885m9kDPzYAuC/NpKAQFLbTRi4vey07HfLXDduwLJvh4cYMu1Slaj1iuyWV + QSW6JOWlbUyHvaeoozDadHwjTT/5od/TtY1C1Ri0nVw22GDVB4XzoG5/B2dan3OpyiS7eKWgOOna + yc89/5HdV3zlyyHccgtDaktp1c+Dq03tPAk7xBZ6NHZwyeXqodfBPJgr+NW+cnXRkHD+XS2XpOB6 + erOdDvN3/6W/rDnLW1aNMapIV9w+AAAAAAAAAAAAAAB4eGKMkmqtOWd3L6X0OQAAAAAAAAAAAAAA + AADwZKMGCgAAAAAAAAAAAAAAAAAAAAA8MS4yra2d/3iQB3ebpu1ud3C3EMInXvzDb3//d7z66uk0 + DTlnSYfDoa/XU6vvLcZYa+2p1bXWUkqfTinN8xxjbK0Nw9DaHQK2AeCtcR4vbJI1tSZX67fIJi3Z + gkvlB9/7XnvppZOUcq4PuznVkisEaa15bGrRg7vGGINUZTvp5XlJX/vndHKi5GUzFQuLqUimEC1c + HMP5+rdDnd+hWlWrOu+HInlIShvF8Uc/+nsvfdWz87WTpTSXtoNJPda6yZqkfiKiQvRgHppCtVAt + NIXgV/62lbmCK/h5bHaPrDYpSOWwP6nlud1eh4M8W6sqLksPuisAAAAAAAAAAAAAAMCbC3dRaz06 + OooxDsNgZimls7OzPigOAAAAAAAAAAAAAAAAAJ5gxFoDAAAAAAAAAAAAAAAAAAAAwJPFXp8nbWbL + sozjprX22Zc/99f+2l97+bOvHB1tDnPuCwzDIMndt9vtPTacUjKzWqtf6EU8W2u11mVZpml6SMcE + AFdikrmkJmuXs589VwUpF+33T+flq6bJ5r1JwR9ye9yk0ExrgLZLTYoa9qWarMbps9H0NV/9Q7/x + rzVMGo+KbFat1ZOSXJKp+Xled/8x6eKrQe/IOsrB1Vxyk5skVUkx6frTOt7+wr/9vz97NN3cjCnF + lr2f4p4/fSkbPJhCUAge1JOpTVqvnC+d3U4YdwtSCK5+QR1J182ezsv3ftM3qeRNMFW/0rYBAAAA + AAAAAAAAAMCD0u4ihLDb7STlnPtix8fHtdY32x4AAAAAAAAAAAAAAAAAvL0Raw0AAAAAAAAAAAAA + AAAAAAAATwprtzOtPcjPR4y7eyktpGF3mP/T/+w/f/XWaZN2u0NPs5ZkZj2ger/fh3DXceallBBC + z65urfUt97KeMcZxHEspkkIIZ2dn99gOADxUtn5hxs7TimUKUnRZMtWiW7sfeM83XVfL8y650sNu + j2usik05KAeZFKUlKsc2DMOJbarCqcVbX/Huv/tv/i9Nk9IoRdV6pHEbJ3OVXGVSMkV5/wlyk+t8 + a+880WUmSa1KTefB0vvFa0jFot717p96/sN/cjy+VOrReJSqUlNymSRTU6s6771LmdQ6z0G/r9zp + nlPu56nYwSWXqmSHs5Pl8EwtmmfdvKUU3pknDAAAAAAAAAAAAACAR87uwt3NTFJKyd1DCMuyxMgD + fgAAAAAAAAAAAAAAAABPOMqEAQAAAAAAAAAAAAAAAAAAAMCTLbjb8fHx5z//+b/+1//6Sy+9tNsd + TBZDzDnHGFNKy7JI6qHU7n6vbYUwz3NrzcyWZTGzHl9da13Xdffj4+O34sgA4C6s38lMsp4u3CTJ + JTUd5l/+j/+TP7O0ttsdbWJxTcnsXne+L1eQontwNVM1ValKbqqmW3m3cy1H1z87jD/5u7+jp65p + CApRVZswWZVqkyulmEt1U5GytEhZqg+xyY81V6gKTSkqJDVTkVp0RVcc7eBS3CgNGsLPfuyj9uy7 + Prsc3EJfILhcqkE1tKZ2kWwtc/VAa/Om9fr5khvkUjO5SVJwMw894noMkrTxci3Pf+M936iQVKt7 + u52jDQAAAAAAAAAAAAAA3ip+d5Jyzj3lWtI4jvceRwcAAAAAAAAAAAAAAAAATwBirQEAAAAAAAAA + AAAAAHAFvS5PT63rOXaPukUAAAAAbmutxRhSSrXWi4RpCyFU99Pd/gd+4Id+53d+r9bzapu1VUm1 + 1telWfeJYRj6y2ma+sQwDGaWc17reKaU1l3HGNe1+JcC3hZaa7r4t62793/q9t9rgdr+uy+Jtx1v + kmnJucqqdHN/JjWVrFJ3L3ziZL87TsqtRimXh12GuMWg2cuglGLKplly15BSisOtMXwstJ/65Ava + bhUHjYOiFIKapCAFuSQNQ+x52D0v+XaL/XWvn3xNmnuqdwkqIcqDqlqT5FL1liQV6ehE0/SpGPbX + n6shJYX+NarmUlIJyqG5muRRHv01UehX4qZmcp3HWpsUpGohB5WmUWpqmzx/jYJevSVvFh9gZ7wT + 1Vprrbr00UVSa61/nlnv2P0lAAAAAAAAAAAAAABfCndfh8z1l4yCAwAAAAAAAAAAAB43ZlZK6UUw + er0LnusBwDtZr3fU/xaEEC6XQgLwpSPWGgAAAAAAAAAAAAAAAAAAAACeEO6+LEspJcZ4dHRUSkkp + ldIk+/7v//5//a9/M6VwfHQsye+ZgDoMQ865f1VjnufNZiOpB1ofDoe+o1prCIxIx9tYv4DXANQ1 + wbpf+X2+u7fWYoyXw1Px9tDUv3cWh3EpJbd6vN2qZp3t/9Z7v8luvvrcNFnR2aJhMIXzQOKHp7Ty + 3PFRKSUvbXa1qCHE3aHcGMIXTja/8KkXNQ0apxZTtZDVpDuEK9udft5pmdbq2dVSk9SkKrmsT3mJ + 0iaEslSF0ZU0bX/5+ec/6eVWGs7UJIumKs1FNiq7mprUTC2omdra51e9HlyqpmZN1noOuVvo7TxI + x1Gp5OPT+fu/7a9qv1M7bz7uQ4wxpXR0dGRmIYT+exiGaZr63bvfrvtHoEfdWAAAAAAAAAAAAAAA + AAAAAAAAAAAAADwY7t6/QDrPs6Scs6RhGB51uwAAj8Y4jrXWnmw9DEP/M0F9GOA+UEQMAAAAAAAA + AAAAAAAAAAAAAJ4QMcbt9qjk1qrmOac07g6LxfhTP/VT/+Jf/LpLubTT3ZlLwzje40sYOedhGNZI + yFLKmvg7TVPfUf9Shy7yI4G3nVqrpDX3tCe19yvf3c0sxhhCKKXoUvo13k5MS8lS2KZNdDVlqSj7 + c2fzc8fH+/mQpKeimnx++KfXgm6e7QalFIfja08NaTvnOp0cf8LKj3/8w5oGDamkIcfB5UnBTQpS + WMOrJVeUBilJSRqkqB5oHd7Z3w8KapJLoUmeWg2lKAYP5lVqUdvtL33y46fH2zJs9lJ2nRyPMao0 + 2djjq1vv5uAyv8+A83axlrmaWm+RW4hDmJL2Vde347i/+cxSpKb5IOeWcj9qra21YRiWZemfTCTF + GEsp/V7d796Hw4FMawAAAAAAAAAAAAAAAAAAAAAAAAAAgCeJu6eUeoGLk5OTXvJiWZZH3S4AwKPR + /wTUWlNKOefW2na7fdSNAt6W3sllawAAAAAAAAAAAAAAAAAAAADgidJam+c5pTQMQ87Z3adp+sVf + /KV/8k/+O0kp3R5A/qZZ1DlnST0kspQSwu11+8weCZxzXnMlgbeX/j3V1tr6P4fWWs55TW2vtbr7 + OI595qNsK+5DkExDGsrSmrdNHEY1HeYfet/7nqmt7s7qRWb0WdY4pvaQ72RNOtpEU6l1uXHr1mku + rvi5qv/mjz+po0lDrNPRTnIpykxqUpHOw617srVLTeaKruj/P3t392PLttb3/feMMapqdvdae284 + J0cxdlAUCOHlgPEFFlLukv8iKCGKbZIIbARSEimJUBQFg0HIiQ0iVi6dC3NtRYlzQ6LcWDjGNoe3 + wznEPsYYw3nZb6u7Z72M58nFmHOuuXp1996915zdvfb6ftSr1uzq2aNGVY0aVd1dNX6yaJnWkra5 + 128Mk3J7JqptHJkiSUkmWZScSjavkXKn0oe7huEPVc/PTpe8CpXz88lc87wpK7ZlvroUkrnkYZvU + 6ovZLxYNvX1wOb2trvvwwx/9/u9XhPwjzsK4Vs55tVrNm52nlNIwDO2ypM03s5RSe/2RlzoAAAAA + AAAAAAAAAAAAAAAAAAAAAAB4XaSU3L09+P/s2bOWY7o/FAYA4I2SUur7XpK7SzKzcRwZBwn4BLic + AgAAAAAAAAAAAAAAAAAAAIBPid1jeJeXl5K6bvjbf/t//Zt/85dbsOOyeHsYQ9Jc51syPFNKZmZm + OeeWENnyfduTG6WUcRxzzu7edV17tAN4TbXWHnvW6/U4jq3Nt6Br2vnryC0kqaqkIksxjVpXjbW/ + vDhJ3puKpC4tUmeapiUdMwDXTWF6tq5nVk5UTLLV6mI4e/bWU5WioY9+CGklyV3xvHeuUm3h1rYX + br37aGxv+mZI0iBlSVkqkpLMJJOZLI3jWq6YliqNCg2DuvLLX/7SH1hcrFbR9aucrerJIHP5Ji68 + bc5P/phVimSRUshCYXJzkyxSV0rJmqY4kTrT556cnbz3oT44V6VL+SRaFy3p9PS0ddHtgkTSer3u + uk5SS7kupfC4KQAAAAAAAAAAAAAAAAAAAAAAAAAAwKdJC7FuQ2G0QQAYBwAA3ljuPk2TpDYmUiml + jRLz0PUCXj/EWgMAAAAAAAAAAAAAAAAAAADAp0TXde0Ri2E4GYaTX/mVX/mZn/mZ3Vf7vm8PY6S0 + l4d6HXdveZC11hZf7e7Lsrh7rXWapmEYjr0uwP1oAai1VkkRMc9zKaWFpC7LEhFd1y3L0h5wxesi + JMlDilBOksus0+X0s//ev/+51TCNF8mrmS5mP6/qc3da+mNXyUynXZpicc1PumGs/q+69Fd/6zd0 + 9nRW7yo+1ywNKflSJaVtjPXzNbo2otcUth+E/UYwKbdY6/ZJSlKRlapSlXLpFSpD5yEzU07y0En3 + P37xt95TXCyhmp6WfHmhrkjbTOtQciVFuv38eINkkXIoh5LkSWGykIW5S7kskiSP+dl7X3uyHqUk + HpD/RMys7/uc88XFhaR2NRIRklJK4zhKyjnXWs2Mx00BAAAAAAAAAAAAAAAAAAAAAAAAAAA+TeZ5 + zjlHRBsZwN3N3rAHrQEAW2aWc+773t1TSvM8t1FiHrpewOuHYZUAAAAAAAAAAAAAAAAAAAAA4FNi + nuq4nqVUSvnVX/3Vn/qpnxrHmrOFFFLLtC59TiVLyuW2+8lbGGSL8p2myczMLKXUHudoScAppfPz + c+J+8Zpy94gopUhq8e1m1nVde06pNfj2FGtLucZrxWudXW5FIdksTa5FH/zzfzG++/XPDkNInlLq + u64r0zLHUu2ThBl/XCmUFy2zj1LJqczjPE4/989+V086pb5Tn119ylnudc6lKJKFZ20+JHd5yGWu + 5DLfRF6bqjRLVZ8oi/l1FnLJ5S5XmCbTKC2bj1DS+uK8uPctDXzoNHQair391mW4y7X4270tl7vS + UouZbnHUd92aFkpSklLItAkaT/Icqu7jVJ8MnUtr6aTvPqP0k//Od8nJtf4k5nmepsndu64zs3Ec + SynuLiml1FKua60553EcedwUAAAAAAAAAAAAAAAAAAAAAAAAAADg06TrumVZdo//55wZ7wIA3lgR + 4e7TNA3DUGttM9vwSgDuhMspAAAAAAAAAAAAAAAAXOHSx0rWcTt2TQAAAADcjbtOn741jvPf/7X/ + 96/85R9fTyHJPbQNqM45L3Nd5pqy1eXGK38zazmR7r5er3POEdEe7WtPcUREm56dnd3PqgEHl1Jq + LblpCdYtLVVSRLQ3tLjr/XfitWC5XIyXLpmUsuRV8/zWsjwppc5jlWr1aZ7NLKSkT/g7Dgvdkofd + vuomN4XJTC6tTWsN3/J9f045aTjxVGKbo+zrKadthnqkl8OVNzNsM32DG6Xf8vurrnQyrc7OLCVf + qiSZhRWdnPx3/+AfzN/0zWvlRVFrmCmpbU9Lyilsszdf5VdeIcWuYUSxnJQuxrnt1+Q1j5ffbKZ5 + 1Dy/uAv31mjbJN7gXXy9Xf/cSFqWJaWUUlqWRZK7l1IktYhrAAAAAAAAAAAAAAAAAAAAAAAAAAAA + fDrUWiOi67paq7vvZj5srQAAD6iNFTOOYxsWSVLf9w9aI+C1VB66AgAAAAAAAAAAAAAAAHjknqco + 5ZxrdUk1POU8zXMpfSz1Tlk/x04Ciwgza3eY7V5I2t2C/Orltxe7RRyk2IO7dju3jXOQ8ls5u6Uc + sOSP7ygLfTGsbheS1DIgD6WVud8+7y0hb9du26oddvPt1muXL5W2t3gedhHaHXp2mOP6Ey79lV05 + jnYzD9Vf3eRKfOOxy3/eHg50HO3amO73OHq5/z/sEvdX5OV8zesqlK685356kv2+d9dDHrDdtvaz + v7U3/dXhjrtrN9TRz2GW9eJ58yPefsedafl5e9glDeteLlSuLGKz3MM1xivt7UiXHLvOZH/rHc/u + evVKb3b9cs1D15/yzKzW2r4357wsS855md3Vrdf+lT/4l//JX/iRZ+fr2CxU2l4S7x7J82WzxK7r + 5nmWNAzDOI67Oa3MuWW+7lWvPcXRciUf7fUw8DHtX6K09tzm7Nr2/g+YD1FBfEIuVUU/nMQimWJ8 + Zlr+m89/x59e1sV9cXVSSF1S1LmTqpa7LyRZqMglLVL7+WpzEgyFJQtlKaRFqsmzaZz1Tjd8EN0f + nK1++u/971o9CfUhmSmyLJS6lVoQd/vQpn2alK5csdjz+en5jDdH2qxv2sRSd9tE6L1DWgqlkiUp + kkmqRW8//Sfz5b/79En+8F1X5E6qyt6+rxQtEbVlkN8pUDrMPSR5yxpvzSBsU65MEVpMWXL3laXT + 8fJHv/3bf+n3/z+d5CWllJRMsYxWSquttiW4lNuv5t6wHXw7M2sh1s3+DyO7Xv1BflUFAAAAAAAA + AADwqdH+2tKm7n7X2/8iwt3zoW8iBYCjMrN5ntt9cdreccqfngEAAAAAAAAAAIDHo92KsD8IxrFH + agIAPHK7MZE4IwCvglhrAAAAAAAAAAAAAAAA3OL5GGRd10leq2QahsFdpjxNU5fuNuLYYeOBry1/ + F6Hq7ruwwFIOc6tMu635yiJ0/PW6q/0wy/0Ytl2K4Sva3brXCt8Fv+2nCh1DW9CVtTtg+ct2+7SV + 2l/cMeyHWR5pES8vUdKu3eYDtdvdJmrptrvVqUe7xXMTPnqk0rf2g3X32/mhjqOWRbq/iPtJTDx2 + XGsLRrUXHXC512Zm6/j9cM75yqLb9FDL3WXTai9N85a4aLN0JX/3PsOt9x32Zu7942v3BNHBQ8Sv + sGMfddvzy/4uvn2N7lqfl6PQWys6VH/1kYu+0vzscLmL117SHLbwK1nyOn4/vN/h75r3jcNftpk3 + VKl9i7u3y7CUknLp0vCVf/4v/sP/6D/+8MNzM+u7bpqmW+rTEqxLKcuyjOO4Wq3W63XrzJ88efLu + u++2K4dSSq2V4YYBvEZaEHDO0ijrss7P8+WHg5I9jz92a3HUd8wwbiyUtt/3Qqb19lNJphSbxalW + feabVl99d/2NVH7697+oJ2fK2be/eXEpmWy/Ji/2/TednN7gsZOT9Hz9TbrmFLW/dTzJsmz5O3/4 + lf/q3/jWbz0ddLnuqvqssG0QtZK3hhF3bhEtxHpTs+ff7Nuv7n/JB18+61XTqOHEumTSPNeuK5Jv + 1uNKjvUbvJsBAAAAAAAAAADwIMxsmqa+77V3b/Yt79+lwLp7rbWUknPelQAAj8ft9wd2Xbe7a5FO + DAAAAAAAAAAAAAAAAMCbgFhrAAAAAAAAAAAAAAAAfCzzPNs2oGkcR3dfrVZeq+r1McY3DV522PDL + l9VtXu8m0zHnlhd4qFjHaRxfSGpNKW3TBA9S/qHkUiS14O1dhrG2sdyvbpdeGduM5MPGh9/kmpjk + gwadtoGodpurrZq7HyMu934CjG9Z6C1xuXeVcm7bre2gXdLw8drDdh8dOcZ4m2seEe6xbfIHi0/u + Sqe9zdWa2wHL/5h2jfBQscFD3++Oo4hQbA6lw65Xq/Sm8vdyGC3zC3HLmzhayethtlvJm5HvIiI2 + 3arr5l7CzPdjre+tS9ntx/2Wc2Mc7yfy/BTzYmL3Qexvrv2ZfuQ48JR2McZtid4iK29ctTuusb2Q + 0NyCwDfLfYVaf7SWpixpuzqb+XcPgvyoBUVsFyIdrkmknHbFvpjPfdzrOndX7KV02/Y4unbH37wt + a62r1crMxnHMqeuKKczdv/bVP/7hH/7hP/mTP2nXqtM0pZRuOenP87yfbL0sSwvbNrP33nsvItoF + 5LIspZQjXRcBwMElpaIwpSXUZWld/8K3fcf3np5N7z4bTFJqP01YuKSQ3O529mqZ1i7VtAlF3nWO + ++W43KUcylUKPftwXE5O3/r2b9OJ6VTr6kXZtinbVV5SUuyikAkzPqi5qnTKJp//2pe/+N/+29/+ + Zy71TtGFazblqCFVS5IVKcWdm8SdJI+ynlVd5s/OL94+PY3q6lQXz0Wyza43baLTaQgAAAAAAAAA + AAC4f+0WkYVf5v4AACAASURBVGVZUkoppXYjyk23oMzzLCkilmVpKbDuThwsgEfopvuld13csixd + 17VOrNZ6qOcvAAAAAAAAAAAAAAAAAOBxItYaAAAAAAAAAAAAAAAAH0tKyX0pJZVSTk5Oaq3n59PJ + yckSSuHaxDl99DSl8jHf+SrTCIuoUqq1Hna5fb+KqBG2Xcpmvlm+h/X6+NNpWtprs5CSmcxyCyg8 + SPm1hlm0Mve37aHKf6j2syy+225m2czNslk97P59ubRjbzd3tdf7LTbucuR+jCOubbe2xeLKcl+9 + /I/chseY1hrb9qCUyvZoit38V5y243S3xdpxeg/rdWV6wJbQpuM47x9H2/n1gO1818Z2ZZrFodrb + TdNS+v02uVu7Qy13v12Z5ZQ+ssd+njC9S5U+YFz9TVpIuTax3tayb7XdEAdxbWD2oWKMd+XswrOv + zD+S3YL2w8gPlSW/78p6HWMRVxZ37aY71HJ3q3OkHfRyuz3ertmXc94/jvRx4+Gv9gOt+uv1NM9T + Kf08z6enT95/9/0f+ZEf+cpXviKp7/txHD/OGrWRhZdladOcc63V3WutpRRJ4zgOw6C9ngcAHjmT + irK7l5Q0Lzpf/2nl9XsfvL1KMbqkkHm79Aq5KX3Svn9JklTihTjrVmCYZinJc7RY4rROwx926ef/ + r/9TQw5LVrYP9ZiyvEpVSqYjX5i8qbqscdIqqSQlf/fJ2bdOdbm89CQ3yVxSNcmU2wXCMdOkc+hk + 8R//7u/5n/7wK2+dnURVP3TzdNH1fUtI37UBk4JkawAAAAAAAAAAANy7eZ67rouIUso8zxGRc3b3 + W+5vafey9H3f3nbsO3AA4JO56RY4dz89PZXUdV172/n5+dnZ2b1WDgAAAAAAAAAAAAAAAADuHbHW + AAAAAAAAAAAAAAAA+Jhc0rL4skzjOHZdF5EiIqUkJUWS+ceZLnWbyXOcaXhYkilZNlM2y+Emc3eT + SZFedaoUFhEWCilZsmQlZS2zH6b8A01TyVe3v3KY+2b7v2r5lpPMI1KYK0xm4WrbXWaKdKTpsoQs + TMdqPyWVFoi+DYxUhEXYocbWMzPJIlp6pUdUKSTPuZPseB85byKZI6q7IhRhkrWj5RCtzmQp3ELa + /IuQRbEimZReeWr7c8xSe33sEGj31tRSRG05p21/vVirT/5RSnfdcm273ON+mLVB2Q4/7brnx1Hb + R+04OkRL2Oz3K+1ZUoseP2p7aEfN9hBWRAu7a+t4ADl3ES38e9e2LSJu2lMRVXoexrxL5z12PHNK + 6cp4o8dY4n6Udcv9bZm7r24/C3w/+/nYSb37i9slGaeUDhUHfiVrfDf/HmLO24srzeBQrWJ/u+3v + o0MNetuG0G0l37SsY4it9uluGN+bl9vmX+3JT07Ovv71r37mM//a6empu1Ka33//w7/4F//iF77w + W5LOzs7Oz89b+W184ZvqszuuW5s0s1rrlYTvYRiWZTGznA/T6QHAfZgjtR956vyT3/Hd/2atfa/1 + pQ9JYfJQyFIkN5c+WbK1h23CrNu0miSlUApZeE1aklKoq0pKVcP7efj5f/qbertTKtM8D10XUk3t + xwBlUzVVqbQT6XEv6948JnWdUmhYqe9+6Te+8At/5tuLLiM6tzBbJJdZiuRKJo9jbv8c/rnV2R+f + X6jOpl5JdfGuX9ValSVp73TrJoWOe3ECAAAAAAAAAAAAXNF13bIspZRxHIdhaDP373i5ot0AM01T + ezM3mQB4tG66rzLnfHFxUWt191JKrfXs7Cwijn1fLgAAAAAAAAAAAAAAAAA8LGKtAQAAAAAAAAAA + AAAA8LG0iLu+L+M4m9lv/MYXzOTu6YacpZuG73nnnXeOWU25e0qplFJKyTnvwh0PNZzQfnxjzrmU + knPNOeecpSzTI5lu6/l8GrGoxSVGkvSK05RShMnU0s21SZq+ZrmHnbYYdTPb5sjmiJA2ieOvPnV3 + yaT9HNnYLevVpy2h1sykvI00TSmlZVmOkS68m87znFLLymyHQjazsBzuB2kP22mY2kFX9ranDrH3 + X0ggj5BZSFbrcqDyb2xvbe+blYhoezDCck4H2S8RIVmER4RUzUxqAat21Pbgvkltv3IctZbZ5rzK + tIWhmrmkNpV2o7odpv5mbQxMkyKlsnccmY6ReK9Ne0spmbU+IcyKpJTKphc6xHq1tGyzXS/0Eful + VWYbIl6l1AK/jxrvLSUz1ybu3SJ8FzR+kPJTKtuSN+Ho7XXXDYcOKfdtfPjzOcfbblcimdshcahs + Zm2PsStZ3bqXmPP24kgLainL++NCuru7H2rQ25TS7lpxPxr82HHg+/Vvy7qSR36lmtuOQlf6gcvL + y7feeufy8rJ1jMOw+kt/6T/9h//wN/qhc9f5+bmZ9X0/juM8zznnWuu1C3D3rutqrbXWUsqyLO6+ + LEu71m3DdHZdV0rRXocOAI9dSNkkqS6apm9a6jvLPEvqpNq+3n6QiST3u3dsYaqSm3YJ1G6tTJdk + 25N83ZZclT8oq3dPT1XSkvusznxRSK7IcnmWFAqTS7EtFgfTNmixudaUk5u61cn7p8PJuCqyFEt7 + Q/FoaeX1k8Sc30EOvf/B177lM5/TPOv8Q50+tWxSSjltfoKy561I0vaqEgAAAAAAAAAAALgn8zx3 + XefuLaa61tpuPrnlvp15ntubU0rt27nPBMBrpN1ft30wRO3euf2bPwEAAAAAAAAAAAAAAADgU4lY + awAAAAAAAAAAAAAAANyihekkSV3XzfM8jnPO9vWvv/9DP/RDl5fzw1buJmbajYEWocNFRkpSSteU + aXbgpby6lJSStsHbeZfaeFOc4V1tY2UtpbS/lIMUfounT5/qpejHA8aCWqitVNk6bLxli13fVb5t + vZxz3/cHKf8mbQeVUvbzRzcpnocYMLDtgl1j2IXKyw9/YOwH1bf2cFRXWnjbbsuyHHARV46jnPMB + m/S1nj59umsAu2Ddww4fuX8c7TbdAY+j/U6gLegejqOu69oO2h1Hbb0O1a/uyiyltPhYM5NZvb45 + bPeYK9RS71JOXcpaZpdJkY40XeaaiyVLSgq3kExpkzJ+kKUouTzCLOVkxVKEm8cy15CF4lWn4S7z + cJO5QpbCJGtZ8sfcbrt+cpeg7C1E+UCnTktZeydEM0t7Uc3Hs9TaFqfjLMd8EzadbLvpZCGLdJhY + 61qrbWMuQyaznLOlpIMe1y+7nKbd2JdhadM8LN3QT7bj/Joueq5+9vR0fvZsOFnVWn/8J37y//5/ + /n7fp3GctQ0FH8exbbrbO6t5nlNKrX+bpmnXze6GGG5vY6xhAK8Tk1clScukaf3ZpDRfztJqkFfp + hZTglMLvmiPttvlhqnjLP04u1ZTanCxJ7Wzfoqv1LJd/NpSf+73f1pM8SX5+/uT0HVWZbR/sMall + Wm/rRod7WC6Zaa7R52RKKt1//4V//NPf/X3f/OG6r+FZKVRiCaUxqSoV+fGSrS38s3n1R88++M+/ + 5/O//OXfUcyRVvM8K0rpzVsbMNnmCpnGAAAAAAAAAAAAgPvW7mxpdxhGRPv0lkxrSX3fu3tEpJS6 + rhvHsaVcA8CjctN9lcuylFKmaer7fnPn4ovPLAAAAAAAAAAAAAAAAADApxKx1gAAAAAAAAAAAAAA + APhYWtadu9caXZcvL+eus3nejukTkj2WaUjhV+ebDlO+1+uX+OBr/XI93bXMdUr1GDVs/1+ZY+n6 + 7XPQ6R+115Y2c17e168yTXqeUH6ktPI2ttX+UnLWQVOSb9SyU/dz2Tcpma++3VqA9cvt5AgbsLmy + GY/t5U13qGKvlGkmMx0o/fmj7UZaO+x6vTyA25HK3xWbklJ6sOPogAPWXWkMd9puOavrNjniB6vQ + dVrqdtd17aqgZdy21wcpv42CKqnrumEYWrz3AZN0bS/suQ042KJ8V6vVQcq/QUhq6fVt06WUjhQ4 + bWZtQffQGCQ9efKkbdIrO+hQ+6vF2KeU+r5v0fK7oXIPUn5rWrs21kbU3U+vP5Kcc9d1fd/vWsJH + HUfXb8++78/Pz/u+X5bl137t1/7u3/3fJE2T55xrrbtVK6XM83xLfVqzWZZF20zrK4MRl1JaKnbO + mWRrAK+RlKXLUdV/7POf/56LD98u/VKnOj/vVV3Kshd+tL+L9v7eZUpVaVtukp7359lTTRpT+mAo + P/dPf09Dp1R65XJ6ppCqlKUWqm0ekpToZI8hpDCtp3noOw+lkFLWk9N/WfQ0pydVCplUpJBPkSIp + 4oVdeXh16mqcnV9qnFXymNJpt1JVxN6Zn9YAAAAAAAAAAACAB9JuZWk3t7R7adqdLTfdV9PevH9b + 1D3cvAQAB1RKWa/X7W7S1vXt7pJ96KoBAAAAAAAAAAAAAAAAwBERaw0AAAAAAAAAAAAAAICPtEnx + 2SXtzXOVNE8vRj7Fo57GI6jDg0xjP4PpsNtTV+dEvff1OnTJL2yto+SNXi02Qn4vWbyS6rWBXAfZ + bruVuqmdHNq9BVo312+6IxQboSNutZfcTyO/h/KrH2sfvez6vXYEdy12qVrqIt1Xh/Kpc+xBB+OO + y7A7NoB4ZKMm3rX+uN1dN2eLoG5TSbtM611edUTsBhpuI28uy9IGHW4DCi/L0kLEdyMR7wYaZoxO + fMq0YbX3X9Rac867T+d57rpul0D/sLXFXdVQ7pIupyfrcVXS+/PYDzoflZNckrmi9XIphUvyO55S + 3ZRCSWqlRAtLlpRs8dpbUkSq8lTOV/lfFemk08nQ4rbVrvxb5xpq4douJcna7CDS+OB86DtJnbYn + 19XwS7/3xb/xb33XevpwSBqyllGnSRfhSdmO/IPNIj/N3WfGRetZTwdJETKTbVuUpCpPNIQX1VpL + KcuySGrddUR0XTfP8zAM8zyXUsxsWZZSeGgOAAAAAAAAAADglbS/m+/+Vn5ToPWVr+7ez99rALx2 + Wqa1tn3a7q45AAAAAAAAAAAAAAAAAPgUu+0OUQAAAAAAAAAAAAAAAAAAAAAHEHf5OGrh9/CBRyki + zKzW6u5t4OCWXd0G38w5j+Po7u2rxPfiDdHC3Vuy+zRNknLO8zy3HOtxHFtUqpnN89zegNeIxaw6 + /dj3ff7JNM3zeHbWfziqPB9wOFq89as8V2MvTosrhY9eW0z1qvRJFqn7mvTXv/RFdSVSqrvvbHnF + z7vbJKVNprVzSj04b9O0+9Sk0qnvvrq+6LuTuepy1FnRuauTJd80j6M6KfmdGv/Fd32PFu9l4fIq + hSxau/DQ8Svxusk5ty56GIYWcZ1SamnWrdN2d20zEmqtD1xdAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAA4BErD10BAAAAAAAAAAAAAAAAAAAA3NWrJDDiqntJjXQpfcxpfOx3Ps7p617/xzc9DDNrMZDt + dcvuba/NbJqmluzr7vM8d113qOUCj1mtNefcIlH7vp/nOefc2n+tte97SaUUd2+v8ToJT5LW69Nn + F59brdIyfv18OsmKUJVknkIyKTY9rdudrwdyyEIhteDcJEluoeF09exiLfnlMhadjFbePUkqWZYt + FKZZyqYkWdImX3lTQpL2Aq3t5WXiE9rFiEtSSOYyVSs5d5/7zu/48Hd/b1hysfr+IkmdYvIwKY62 + C8JUQ+tx3Sl9rjzRN94tf+pkr4p77zzoxcCnQK11WRZtO/Baq5mltPnhqGVaR0RLvM4531YWAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAA8GZjTEMAAAAAAAAAAAAAAAAAAADg2BJTpq8wPYCIyDmXUiTN + 89ziHiUty+Lu7r7LhmwhvodaLvD4tSh3Mzs7O2uHiZn1fZ9SMrNhGFJKl5eXD11N3FG4prXcny7L + /P77OVuVqixi16+6haeWaa07Z1qnUHblUDz/djdJ8g8u1kNvplTU1f7kw67/xS9+UaensqRQCSVp + kWapmu/FGKfnPW/cvUL4CMkkKanFmZvUgsxT+cv/x9/7alIdhikUSX2nUspw/FBxS/ZkNXTyPK51 + cqpxXtbz3mIXkxvh5i/JOS/L0q5q2tTMJNVaJaWU2pxSynq9fujKAgAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAI9aeegKAAAAAAAAAAAAAAAA4PXVsqBIvAMA4P5x/gVwZy3xcRiGcRwlRUSb1lpzzpLa + VFJLiAQ+9VJKklrEu6R5nrU9UtpX3X2e54jYvQevDQ8t8Vc+/73fVfJZ1sUUJ6tuvZ77VDbXUaYU + MnkoVUthblK6S5h0blnWUlj7XxYu01mSz+GK1D/5evgfW9EwyKScJGlRyZqSqryXsqQlSfKi1vKy + JGtXeumapeKTipZsHZJcKcVmU2ethq+t+v6DZ39qWOWyfO18+Yy5Hz9X/NJjMJulZX35n333n/2f + v/T75aSbXL1J4bLQtgVsao6t1iG7e7tcaVcypZTz8/OTkxN3b337arValoXeGwAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAALgJY9wAAAAAAAAAAAAAAAAAAAAAwKdci34spbRM610kpKSU0rIsktp0mqaH + rChwj8xsF2LdPm3h7qUUd28HiAh6f025a73u3v/Azz/oU1oVXa7nJ8Nbk1dJMrWdmiWTPKna3Z6v + seepx0mSS9V8yaqmXNWHTvPJ16fz9dunv/jl31FXYlgpSSaZFJ7lbdHXFa3NO3E4sfsvvP1fW1Z0 + kuS/9KUvxdMnF8s8zv7OkBeFWT52lU67/v3Ldc7qS36ySLPpct60SLnCJbdtQzh6yPbro12rjOOY + UjKz9qJ12mdnZ+21maWUpmki0xoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4BcNzAAAAAAAAAAAA + AAAA4BO4W9oT8Np405LDjh2N9aZtTwCvr2gZk8eZ6u794V3758fW3xK9eFgHaj8RkXNelqWUEhGS + 3nvvvRbWa2bzPJdSSinzPPd9P89z13WvWHHgdbFLrW6HhqRlWVar1TzPEeHu4zgOw1BrbaHXeD24 + 5PGO0mnOUetFVScbxzqkk6pLk2ubMS2pWnLzXG8v8QXRipBSqG6CsVWTele4BsmrT/L3V1knRWZV + xaRsUnHJh00pSdr8iiWHksmlKsmU7NGd3l93Vcqbreq+e5wqSU/e0uXFhx7vlG4c1y4NpZvrUo67 + A1Is0ZcyxWJJw+WkyXXWTaFekkLmm+bR0q3JOt9qSdWtTzazYRimaer73szcXVKLu3b3vu8jYtfD + AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALiC8YUBAAAAAAAAAAAAAAAAAAAA4NPP3c1sWRZ3X6/X + T548kTRNk6STk5NxHCV1XUemNd4cLe59l2ZtZi0ntZSyXq9rre5eSmlHBJnWj4BrkyV9dU5sP57P + 9/knvvO7ntYlKy6r3um60261aHJ323tr+9/iSrF3q5MiWfuQJJ3mPEpr1f6dz/zCP/p19Z36Ydku + brPkSKpJISnJNg/3bP9XSP7C6uAANuHGKcnKNiLaJdUkle6v/fbvvhfpZPVWlrl7sqNvfg+fl6UU + pWV6WqvmWbHkTS2zosh45usatVZJ4zi2PrnFV7crHEkppdalp5Raf/6wtQUAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAes/LQFQAAAAAAAAAAAAAAAMDDqLW+HMplZrtAr1t98sAnHEMpZVmWXVrPx9uJ + uA5b7rDYngBeI3G0qY7fH9Lffrrdcf+2S/o2bRnVOeeWAdnmS3L3Fm6dUur7vn3jMAztBZnWeHO0 + I2L/qJEUEcuy7OYvy9JyUolHfWj7mdZp+7plWqexKmeZZK5sVVpUx3d8OV1md8+maZml2itJs+QK + hVRNEXJ5CeWqu+7gsOevipeiJcJlerfWfnX6jfX0J+4qg/JQPZWkbZRy2qzCLrPYnk+3ydZpNxsH + YfIsl9JiSVKWcqilh2frlLO61fsnT79pvX7HusWnIi123CsskzqpKNXZSxr/6+/9jr/6B1/q+nek + JCXFJuo85FnkWz/XfpnZLlpS2myZ9qJNd331y7/2BAAAAAAAAAAAwMfX/kre7i0Zx7H9geaWP51H + RK21lM3AhtM0dV3H39kBAAAAAAAAAAAAAAAAAAAeOUY2AQAAAAAAAAAAAAAAeEO14cOmaTKzUkoL + vSMO+bVTSjGzFrq224m7UeEAAADwpomIs7Oz/Qv7lmndLhEjwt3dvZTS5gPA6+n54zCz15JlUnV5 + y7m+uNB6vapLv/m8cdkiW3bfuEm2NqVQDqU7/kbE7XnOdpNdFuqy3p/H86dnP/s7v6mh9zAlvfjr + liTT848X3TAbryyq5FVaJAsp9HyvRNLq9INh9dXxwzDL0vFPkF5SMVlU70J5mYd5koX5etNeQy18 + fVvDo1cIAAAAAAAAAAAA2NfuPGn3lgzDsF6vJd0SU91uR29vq7X2fW9m8zzfV30BAAAAAAAAAAAA + AAAAAADwSRBrDQAAAAAAAAAAAAAA8IZqsXZ933ddtyxLGzss5/zQ9cLdLMvSRo578uSJmU3T1Hbo + Q9cLAAAADyOldH5+3i7s53nu+z7nnFJql4gRYWYppXEcufgH8NoJqcVPx+5zqUs2z0uWOlMxaT1J + 6Ud/4Aez+21lvRq3TR1yyKTFljnXmpRcXhW5fON00KooS77UORI51Q/v+keoorrCpflv/fY//ubP + fsvotSaFHT1I2t2rYlm0WpVVLoNJi2ePlyLPefILAAAAAAAAAAAAD6DdW9J1XUu2Xq1W0zRJipvV + WlerVfveeZ4jouu6B14NAAAAAAAAAAAAAAAAAAAA3IrBTQAAAAAAAAAAAAAAAN5Q0zS1gcamaWrJ + dqWUNgevETM7OTkxs2fPnrVgQjPCsgAAAN50ESFpGIZ22e/uZtZmSqq1DsOQUvJjZr4CwGFdHzMc + mpd51RVflEKmUM6aovvgvBy5hwtTmEwpKWqea9p0qSelq6X8wj/5dZ2eaKmpdH1nvjg/qz+wkCK1 + tOhoO8NMkqWkvui0k/l70zindOHycg/VqVnWdTq/XGqtdZx1ObZWXp9nWgMAAAAAAAAAAAAPZhiG + dqvJPM+7mXazlJKkiDCzruu4nxkAAAAAAAAAAAAAAAAAAODxI9YaAAAAAAAAAAAAAADgDdX3fd/3 + 0zRJ6rqu1rosy0NXCncWEZeXl6UUSW0PTtPUdd1D1wsAAAAPw937vnf3nPM4ju3KMKXUBhqOiFpr + zjkixnFkBGEArxdXcmkTVx1JniT1pZvnmpPk0nSpumiqb43e+xFzrUNyKSSTNvUwhWm29N4yn+ei + 1UrDoFUf8yyJ/vYRMMXeY1S2/c98mtc1m066Z0VjLsOTk6Xq2DnkZibFsuhkSEn2Vrf6sR/4QV3O + Cq9SNYXtmvpxawIAAAAAAAAAAAC8LCKWZTGznHO7+aTv+3bzyS3f0l64u7vXWu+jogAAAAAAAAAA + AAAAAAAAAHgFxFoDAAAAAAAAAAAAAAC8odrYYX3fp5SmaWq5yG2K10gppe/7eZ5zzpJyzmY2z/ND + 1wsAAAAPo13em1kbHXh3ZdiGDJbUrhvNbBgGYlYBvD5SewQmtpHSG55qjb7Lmy90nZblv/z8n307 + VI6Yaq1WEzdJnuQllEMhzSlPp0/+WKHhdIokZes6d8+Z/vZRMMla+9ntkFDuylpVxX7uN7/wDV/G + pS5HbjySIiLllDs7Hz3kMY3l2aVCUr0+CoBwawAAAAAAAAAAANwjM9vdVX5+fj6Oo6SUkt0spTSO + Y3uRUso53x6DDQAAAAAAAAAAAAAAAAAAgAdHrDUAAAAAAAAAAAAAAMAbqgXdLcvSouwiouu6ZVke + ul64m2VZpmmSVGtNKbk7Y8ABAAC8yVp2dUTknFuC9TAMtdY2anD76uXlZXvRoq8B4PWx9xSMbT5N + OXuL/PVZy6io9v57T2vkI/907JYUKeQmdVVdlYUuS/njLv+N3/+ycskph1mEpyTT8XOScTuTbJuO + 3kKibdOckqVZVSVrKPHO257KkHM68i9XqnypPs9xUqyz1Esn46y5KhbJW3Z72qVvG+0HAAAAAAAA + AAAA92qe5/ai1np2djYMg7u3G1GuNQyDmQ3D0L5lWZb1et3uUQcAAAAAAAAAAAAAAAAAAMCjVR66 + AgAAAAAAAAAAAAAAAHgYy7KUUty9RVlHRK21FO4nef2YWduV7t4GgDMzwq0BAADeZDnnWmtKSdJ6 + vY4IM6u1tvGFT05OJO0+BYDXgklJ8hZIvDd3qUvKpS5TzklLVa2fXa3sw/fzcZOAU4rU4odDylK4 + qqU5p/fOTjX0UvZFqUg5uS9pG6B8zCrhFqkFRJuU9WLGeEQNP0mr6eL9vssfdunJN+a3UqkKHTOM + vOTivswhSTW81PrNqzONo3yw7C8muJNpDQAAAAAAAAAAgPvWdV278yTnHBG7O09uev84jn3fS4qI + djsKN6UDAAAAAAAAAAAAAAAAAAA8ftzxCQAAAAAAAAAAAAAA8IZqg4WlbbBSi0Z+0BrhEyLBGgA+ + 3ZZlSSm1U7aZDcMwjuNDVwrAo1ZKcXdJ7h4R4zgOwyDpSog1mdaAu6eU2jQidq/d3czMrA3M3d7J + IfMY7JKtJSkkk5TCIqQy9KqzzP6D7/yOP1/roIhQ2NFqEiqRFJrkfZZcHkqS5+4Xf/M3tVrJUpfV + xnTPKSlcR6sMPo5NewmZJJNLWUmmiKWkIq9ldap5/qN5/Jbc51r9mO1HkvsiKSfVGkVKoTJNP/H9 + 3//X/+D3XBe5e0vSNPnQp3C3RCD6C1peQktQaL20JHd393YV1H56WpaF33YCAAAAAAAAAAB8Yru/ + krc/oH/k+6dpam9un+7/KQcAHo/bu6b9RzPoxwAAAAAAAAAAAAAAAAC8CRibAwAAAAAAAAAAAAAA + AAAA4JGapqnve0m11pzzsixmloi1A3CreZ5zzimlFvo4DMMu3xHAvnZc7A6QXYJ1KaXW2uLhI6Jl + WjNSOU/P7gAAIABJREFU7QPbDRp8ZSeYsuXZp5yK1UXz9E1dv1x+tSjPm1Dpo0jSUucnq7PL9bNF + GkNJmkKXEep6dZ1iE6QcH10Yji4kl5KS7c3ZvIiYx8u+P5MW5eXv/P6Xf/Zf/7b1MqbjH+5um2lE + ShFD9ZNaVZfV6mSe59R1fZ+qS+45ScZ5fCPn7O5937dcBElm1jIV5nnWdpBxMq0BAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAACA2zGmCQAAAAAAAAAAAAAAAAAAwCO1n9YmqeXUtpRNALjWsixd17VARzOr + tdZaybQGrtWi33e5p+1g6bpuWZYWYt3OvMuySOL8+/BC0jV7wbWUVCRXkqqV8/U7T84mLUeti4V6 + 5Xl9kaSaZJ3yk7M590u/Uu6qJdkmgdskoq0fh+tOhZFSzn0/aHZVqStK5qcry4Pf7yNXJhVfhrqo + VtXoSk7SOCtCuZS6HLc9v0Zqre7edd00TWab7PGc87Isra9uvfd6vSbTGgAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAALgdw5MBAAAAAAAAAAAAAAAAAAA8Xn3fz/Occ5bUIq6JpwVwixbimHOepiml1IIe + ieMFrtVOr+7ekuDb63babV+qtUbE/rkYD8Zc5pJ8PyTaJKmoRIu7rlXr+WlVnda+/erx9KkrlpPp + YtYc+ur5+XlX/odf/0eyHG3pzyuQpKTgEu4RsOuS0edZkqxIWbJ35+kiyY/cfiSlF/LOPcmLVy2L + Flf4sshMOavWmku5NtP9DZRzXq1Wc9tlUkppGIYWaN3mm1lKqb3e9e0AAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAXsaYOAAAAAAAAAAAAAAAAAAAAI9UrVVS13WSpmnq+36aphZuDQA3qbW6e+s6Li4u + hmFIifvGgRullMws9qzX63EcW6Z1C7ruuo54+IcXasm+bU/ELje6eqdkksJ+4s/94NPJrS5Dp2Pn + 2Y4+fhBz6e10kLtq6d89WensRJZ2EeipPbdjkqVjx2zjFialXc64bUPPQ5Kq19R3CtV5lor61c9/ + 8bc+6GI+8pnzeXOI5GpVqiVci8sX/+BZl9UXSaLz2de6aEmnp6etix7HMecsab1et4uflnJdSjHj + qAMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABuxPBkAAAAAAAAAAAAAAAAAAAAj1TOuYVYr9frvu8l + lVLaCwC4Vq0159xieud5Pj09lXR+fv7Q9QIerxaAWmuVFBHzPJdSWkjqsiwR0XXdsizEwz8S8dIn + lpJCXquq9+frs0W+6NhBwGHu8ndWq2djeFVy9Wdn3+h7dUlJFlJIIZObvEpVR4/Zxu02O+WlHZFT + nuZJfcqrTpKqy3w+W/n9HPGxWUyYS0rhP/kDf15LpLOz9XqR5BKdzz4z6/s+53xxcSFpGAZJESEp + pTSOo6Scc621XQg9bG0BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAx4yRTQAAAAAAAAAAAAAAAAAA + AB6peZ5biPVqtWqfmllL3wSAa+WcW4jjPM9d17WZZ2dnD1op4JFy94gopUjKObu7mXVdN89zRJhZ + S4hvX33oykJSyEJ7scRhkqT1JFfKSWFvW5/r+p2Tfq5Hr0236t9bryX11j217v33PvhbX/wtPTlR + crk2H1Elr9IiHTloG7eKXbtxl6s9TxVSqHpNOVdTmHwM5V5Dfi+m+V6euArbfLS6mXz9wQeqoWle + rYpC7vQ/L5jneZomd++6zszGcSyluLuklFJLua615pzHcdxdCAEAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAB4GbHWAAAAAAAAAAAAAAAAAAAAj9QuiS0iduFtLV8TAK4VEa3raNOIGMfxoSsFPFIppYhd + RLLaGbadcCVFRHtDi7vefycehpnCTLp6JTT0Ctc8ax77af22rcb1lI7/uMx6PZ30pSt6Ns+z2cln + PqvFlbMsSa5W0TCFkmQ8wPNYJGnbOkwy5ZSVUkjjUlNnkjSsPuwGt5xDFps9aSG13Gkl3zZBi93H + nXdv7JXQSpaUIt5areSukiS5KyXVIBL9uV3/3EhaliWllFJalkWSu5dSJLWIawAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAA3YVQcAAAAAAAAAAAAAAAAAACAx87M0jaAL+f8sJUB8Ji1XN79T4l1BG6R + 9tKP2+HT5phZ+3R3Cr5ycOG+RVIURcnyTi7Jt0nDCsld0/ij3/d93XKZbJlD95AD3JVunJaSpKKv + 9/lPUlJ3Ik9SUkpKruStzkXqXk7jxn16HoeektLms81/KStnaShZJnVZw9n/8ttfXmo5zStJOXRa + cpVSKqG0pLRYcttElScptzLvkmzttknITlLatVZTCs8R+v/Zu5teSZJ8T8g/M3OPiMys6tt3hIYt + 0giBRsPLDB8ICVZsQYgNG9YIxA6xY8UOiS3sEWIkpDtzFyPEBwAx4k7f6sw8EeHuZiz8nMhT1ZXV + Uy8nT2ae51G0l0eEh7tluqWpJXP7/96/yxhJ+rj/ZnzkPC9WKWUPsd713nu//2u8jep76DUAAAAA + AAAAAAAAAAAAAAAA8DFirQEAAAAAAAAAAAAAAPiMlQ/JxCU9tyTgkZGkjGx9uns/j+3cz29Ox08Q + a31dl6mVZclW84da/st/+leZ51s7x63ZScbeZp7Vh/7zA7U8DrquNW1Om8vx9N12Pk2tJHfLdkpq + rWsfo/zwrL9scdZITVLGQzp7UkZqUtee0+skfVnmlsvdUkt9SNAGAAAAAAAAAAAAAAAAAAAAAPjN + qGkCAAAAAAAAAAAAAADAF+NDxm9JaUmS8/K7+VDLSHK9XsufZBc/QRtKa63WLD3TX3yTqeXu3TYe + ZVrnIUL56RvDb6zkrpWtTn9ct7vkdCil5u1yfX04tZ6a1Id7PJIt6cn4OXe5jocQ60cru2oy9ZS7 + c3rP9Vxb2da8Ps0Zn6A7AwAAAAAAAAAAAAAAAAAAAAAvjlhrAAAAAAAAAAAAAAAAPmuPY4PLo6jo + npHLXWqbrltLOdXDpY9PsFqmlHK5rvPces0/v3uXOvLtm9RHmdYPbR35kw/5zNW8b+NtG9eS47Fu + fVx73tRpuy51pPYPB/aSkWw/P3e6/snbMjJt+V07/od/79/IfEirtSbJuq6/6s8CAAAAAAAAAAAA + AAAAAAAAAPBjxFoDAAAAAAAAAAAAAADw+RpJ/36y9Z4UPUpGRuY5a7/8zd/0bbn066uprduTN6mU + 0mq+O2/t9PpynDPXtFyWvkce37e0ZJT0pKdKtv6SlHo9THdTPf3lt3dLf7+mJXMtI72O1FFLUsdD + pnXt+13+eVcYvYyePPxwpI1MPcdr/zunV1mXZb2MMZLM87yNn3t6AAAAAAAAAAAAAAAAAAAAAIA/ + Q6w1AAAAAAAAAAAAAAAAn7sP0b6PMqJHtizLf/pv/8N/7fd/9zjNazJNUy0/8vPf1ujbPB0Prf3h + fP3v/vqf5jCl1LSHdTqj/rDNfEFK/uv//R+fa/vnf/PHN6+PJdmS3vucUlL2ztUf+tgoGaWn/Lxb + XR+t6bqdah59u7z9ts1pZZ6m2sre1bft6XPaAQAAAAAAAAAAAAAAAAAAAIAXRqw1AAAAAAAAAAAA + AAAAn7Vx/6pJvX+TlKQlKTlt2/KHP1yud4epvT1fytPHWs9Te3u9LKmH3/+dlJKprts6PV6mMz76 + Wz53peZ4rPPh2zevzu8vSaZk7dv6EFM+vnd7f3l2eU/Go75akn/18LvlD98lY2Tb1rX3MZJ5Ov7i + SwAAAAAAAAAAAAAAAAAAAAAA/Cix1gAAAAAAAAAAAAAAAHyRRl+SvFr765RXbdoyakmtT79epo+5 + tD6d/vm79zm9Si11antCccn3Urj58pSkj620benpObUsSam11DoyRtJL+kMcdRkpPz/CfD/DKOmp + +wn3y67X8+uppfeS0eZap5Jk6ZuQdAAAAAAAAAAAAAAAAAAAAADgt6U8DgAAAAAAAAAAAAAA8OL0 + 3n+ws23b47fLsiQZY4whUvb5lT0u+t5tOUyvY2TbjmufM8rItvVtpNX5aRsz0ns/HI/fLet4823q + nF7GGB9W6Yxk1D28uCb1e43nSzAd7i5LS3vdDtuWJKnj2rdestX0UsdDEHVJykj9mYPEnnu+lfSa + UTJK9hO0JGvPuiY9Y1v71hND0M22bdM07X8hrbVSSpJ5npMcj8dlWfav1nXdvwIAAAAAAOCX2add + 9m3vfV3XP/uTaZryMOHee9/up9kAvhi11jw8PpTkX2boAwAAAAAAAAAA+NKJtQYAAAAAAAAAAAAA + AF6cWutefbvWer1ek7TWbqmol8tlnudlWUopy7LsB/DZGT0j2Xq/nKeMS18Ph1aSu+vlqa881fzh + /H55/eq/+id/ndJSp1rquq1J7gOKx/2OcN0vUE2pafO29LFtNRlJL5mmac+f7g83uY7U8QtXZ/WH + ntFT97cj6emnqWXr6UkpPUkytek3+DN9FVpr+xB9PB73iOta67Is0zTtg/Yek7BHJkhKAAAAAAAA + +MVKuZ/N6r3XWvf5l/Fx1+v1crnsM+z7D6dp2md2AL4U+xNErbUk1+t1nufnbhEAAAAAAAAAAMCT + E2sNAAAAAAAAAAAAAAC8ONu27dW3l2U5HA7LsvTe53lurW3bdjgckkzT1Hs/HA77W55LSerDGpix + vy8Pb67rv/8P/q0389Szvpnqu8tWaz0+/f3aek5vXv+xJfWhdWMc2pRklEdZ1pKtv0QlWddXv/99 + SetJLZmSbYxljy3fb/HDgWUk6T/3Cv1Rn6hJRkbJVtLT695pzteM1NrOl2u6LnRv27Z1XccY7969 + G2Pc3d0tyzLG2Ld7pvUYY13XJHupcQAAAAAAAH6Zff6l1rptW5Lr9brPwvyoeZ5rrbXWPda61nq5 + XD5dWwF+tcPhsK7r4XAYY+wPDu2jHwAAAAAAAAAAwNdNrDUAAAAAAAAAAAAAAPBCLcsyz3Mp5c2b + N621aZpKKYfDYS+3fTwea613d3fP3UxSxp7s+/384DGyrL+b5m25zGnL2r+ZaynlfL32p1wyM0rq + VL673N2dDjkekpYx7fXZx62J90HEfQ8p5ktSSl6fDr//i/bqdM1WajknY+R4nHN/Y2uS+lvc2TJq + GUnS7wPRy+Vy9x/8/X+Q0rIuSU7zoVn+9aC1tsdat9b27f7vbi8mXmvdP5mm6Xw+P3djAQAAAAAA + vmDLspRSWmtJWmvLshwOh2mafuIn1+t1P/5yuSzLcjwe96kcgM/K+IjL5bKua+89yW30298CAAAA + AAAAAAB8xdQ1AQAAAAAAAAAAAAAAXpxaa5Jb3e1lWfKQjXr7dlmWMcZP1+bmUxgftj0PidGlpye1 + Tddl3ZaerSTXpY8xDk9/y96uo705fTfVzC3bSE/WsTdvS1KTmhTVjb9MJZnKf/6//M/vsrZyOG/j + 29etjNydl9ZTRsr4/sG/+Drj4ZUk6cl1bK9Pr96kZu2pU+9bK9nOq3D0m2maSim30uFjjHVdW2vv + 3r3rve9Fxnvvp9NpXdfnbSoAAAAAAMCXa57n6/WaZJ9zmabp7u5ujJ+atTocDvtPjsfjPM95NP8O + 8PkbY9Raa62llCT7rPS+DwAAAAAAAAAA8BUTaw0AAAAAAAAAAAAAALw4pZTHRbRLKa21JNM07amo + t8+fp338wPj+7n5bRs/d9VVpLaVOU03mliTL08fZ/v6b4x+X5b//67/KGJmPWZKRkTFuydY3etAX + pyZTzbFep3Ye61Zyd7cled1qS9pIfZRsPZL+K25xHalJHUkySubDYVkvb8qUt3dZLq2WjLT5yWPa + vxR7asLlctkrie87+6D95s2bfb+UUmu9Xq/T08fbAwAAAAAAfMX2ufLW2tu3b0spr169+onZ8z3x + +nA4bNu275/P530KHuCzMj6i915K2Wele++11vP57KkhAAAAAAAAAADgqyfWGgAAAAAAAAAAAAAA + eKFu9Wf3ytpJ1nU9nU6ttVprksvlMs/z4wBsnse4T7bec6M/hFy3aX13N8/z3Xodybql1jo/cZxt + L/n/3l5ymDK3nA7Zkpa0Vh7FWo9HlY3Hx0/F56hkZMlc7zL61EZJWmqybb0m7SGFOg+Z1qP87GTr + +vAqSXsUkr1s1/W61GXL4VWmuSbjvKT/ln+4L9qeVH08Hrdt670fj8fr9ZqklNJ7773f9g+Hw21U + BwAAAAAA4Oda13We58vlUkr55ptvkowx9rTXH3Wbr2mtlVKWZTmdTj9xPMBzKR/RWrter9M07XPN + 5/P5dDp5XggAAAAAAAAAAPjqibUGAAAAAAAAAAAAAABenDFGa+2We1pK2batlDJN0/l83jNTp2ma + 5zlJa+1ZG8u9kpTHi2F6z7r9rm9TRknG/QF9W9f6lFHAI2mHts3HbNeMLXMyknXdm3aLK95bI1n3 + C1TLfEyt27Ydylxrti2lZC6p6S1po7dHyda/5BZ/+FlPeh5SsWvaPLf0nvWaZFm3cpwt/7rZK4Zf + Lpd9TN7jq3vvpZQktdZ9SK+17uP587YWAAAAAADgy7UHux4Oh33+ZVmWfTL9Y8dv21Zr7b0n2afa + 95N8sgYD/Er7BPQYo5RSaz2dTvG8EAAAAAAAAAAA8AKoawIAAAAAAAAAAAAAALw4e+JpKWWvSLsX + 4x5jrOt6+3Zd11tOKs9sT4oetY1a0sueWj36f/b3/82/vFzauiW1J6WkrH1+4rZsZbq01+/6nOmQ + NjJ6WtKmddn2TOspPWNNMlLLSNGDvjQjyXX5y/b6sJRtzTSl92wjPT1Zp/RpJElPyqhl/Oz1WftP + eulb7VvNKKmjtm3KNlopvW05lPTSpjmtpz1hRvuXZa8Yfjwek9Raa637zm17i7JWWxwAAAAAAOBX + Kg+SzPOfmYPdZ2f2HOta623WBuBL8YNJZwAAAAAAAAAAgBdCrDUAAAAAAAAAAAAAAACfsZL8aN3g + MV5t63Hb2kiSUer4iYN/OyPlfS/v1pFWk54pY9tSMk+tJi09o2dkpHYrd75UNdPx7u5cMmrJHm0/ + zXVkD1S/72KjZNzv/YIbXfcz9JJe9jO0uczpo9SR0tP7SNZ1TfpTd2kAAAAAAAAAAAAAAAAAAAAA + 4KVRHAcAAAAAAAAAAAAAAIDPXnkcWd2TZKSX0Uvfw4Z70ktGMp64Ib2kHY7/7T/7Z9m2lJJ1LXNL + sq7fa23Z1+0IJP4CbWNLrf0wvUuvbUrJNnK39LVkzX0f2+OoPyRb/xoPXXYbW+mj9eTuktZKUqvF + XwAAAAAAAAAAAAAAAAAAAADAb09lEwAAAAAAAAAAAAAAAD5rj8Kq+/3+SEa//2iPFt7zrZ8+RnqU + LFPJes00975lnsbaMzJN9021YOeLNpJSpowx3ry61nZe123LPGc+1q1k+9F7W/qvv+6eg15T+vWa + wzEjZUhFBwAAAAAAAAAAAAAAAAAAAACehCo5AAAAAAAAAAAAAAAAfBlGch8gPHpG6sgofZTeP+Re + P3my9Vbqd8s1h2NqSmlJylSTbNvTXpdPpW5jpE3/xf/2v66vDmWe51bultxd+lrTy4eetvfGX5Bo + PR530dvp0lup81TnjKxbtrWWlFqX6/XX/GEAAAAAAAAAAAAAAAAAAAAAAP6UWGsAAAAAAAAAAAAA + AAA+X+OHH/SSPU+41/Ehw7okT5xn/dCekvmbbzN6Upd1HaOPkdTUaf/eap0vXistY8vr02Uul2XZ + tjG3nI5TL7Wn5tbTSmr6L7vfPwjD3k+4je3t+e7NPCcj28hI+pgPpz/9NwAAAAAAAAAAAAAAAAAA + AAAA8GsolAMAAAAAAAAAAAAAAMCX4SG4eqSPbGn9J49+Glupf3u55NUpYxzmU6m1tPSR3h9aWJJU + y3a+UCXJSNqcqb5Lb/PUUtLz/rKOkvHQBetIGSm/aeB0Tf7um28vf/juP/6H/162NUlK6dv2W14D + AAAAAAAAAAAAAAAAAAAAAEB9HAAAAAAAAAAAAAAAAD5n5bY3Hu1sydbb6HWkpCep48PrSY1kPc5p + LWV63KIfX6bzxI3hKZSRlGSs5/RaptpLHTnNU8b9Pd7/U0dKfmGy9Y92llLKd+/++JdvvmnbltaS + jLXX1h79GwAAAAAAAAAAAAAAAAAAAAAA+A2ItQYAAAAAAAAAAAAAAF6c3vu+LaWMMWqtSfZtKaWU + su/cjuR5lVu49Rg1SU/W7T/6d/7R1O9DrGt6GSkjZdSnXi+z1fztdUmpe7v2HlK/d80nbwNPqtaa + UTLPY5qSjPRW2tge+liShz5ZR8rPT1Ivoyc9o/4g9byP9dRKzud5HRklSZk+ZGmz27Zt27YkY3z4 + 6+u9r+uaRyP2/hYAAAAAAIBf4Dbn8nhG5qeNMfaDt237wcQNwOdvH7L+5Qc9AAAAAAAAAACAr4Oy + JgAAAAAAAAAAAAAAwIuzJ1jf6mjfEqynacqjYrW999aaqrXPb3x4lSSjZ8u8jan329qYW5R0eeLb + 1VP/h//r/8w8ZZr6uO8t1+tab9nbud8rHzsFn7m9s5Vs5VGO9Ugb31uLdZ9p/dtcstzOOfUx9570 + 739DkrTWpml6/fp1KaXWum/neT4ej/vovQ/X67rubwEAAAAAAPgFaq3btiUppbx9+3afEt0/+Zht + 20op27bVWqdpWtd1n5QH+CLUWq/Xayllf1jofD7nz417AAAAAAAAAAAAXwGPewIAAAAAAAAAAAAA + AC/OXnn2lnu6F9ee53ld1zFGKaW1Vmtd1zWP0q95fuP+f9ly2NL2XOGHHOvySZbK9JqU0s93Sa0p + 0zQl/XCYRka1VucrMO67WDI+ZEunJ2kjtT+8efDbxaiPXpKMqfep94yRyLT+nm3beu/zPO/FxPcP + W2vruu5j9T56n89nmdYAAAAAAAC/xj7tkmTbtm+++abW2ntvrX3s+Ov1Ok3T+XxurZVS1nWdpsk8 + O/AZGh+xbdvhcFjXdR/9TqfTPqY9d3sBAAAAAAAAAACelgodAAAAAAAAAAAAAADAi7NXnu2937JR + e++3Sty9923bSimHw2FZlnmen7Ot3IxHO70feq/pSXpJHZ8u/7enZoz6zV+k9JKS5HK5HI+vRBB/ + Pcp9Z6vJKPf9rqan1/KoG/4W6vczslOSNkbbr1H6SI2O9aC1tpcO39/WWud5vlwuSU6n0z5Wl1L2 + /WmabsM7AAAAAAAAP0sppZQyxkiyLEvv/XA41Fr3T37UNE3Lsuz7+2G11k/TWoB/eR+bR96HuGma + 9v3e++l0GmOYdwYAAAAAAAAAAL5uHvcEAAAAAAAAAAAAAABeqFrrXoz75nw+Xy6XbdvGGL33PSe1 + 9/7nz8VTGnuV4JLcQoVHar//apT0h+zhfjv4SRszT9frsl63jLqt6/F47H0dfS0PgcgfqrmXnqL/ + fFHuO1vP6GX0lD4eOlV7iKHeSraS/ot72g9+OO5XeN2u0h7yAPrepX/pdb4y+xCd5PXr1/sQfblc + WmtJzufzPM9J1nVNItMaAAAAAADgV1rXtZTSWpvneZ98+YlM61LKbVa9926SHfji7ONY730f62qt + SX5i3AMAAAAAAAAAAPg6iLUGAAAAAAAAAAAAAABeqD0Addu2JGOMZVmmadpDUtd1HWPM87yu616s + ls9CSU+SntFr8iHk+kOCbX20fTK1tcM8zXPG2PtPrVU/+XrsYeTjPsS6J72kl+xJ1qNkK7eo6d/m + pj+OQq9JGf12ckWyb0oph8Ohtfb+/fskx+MxD2XEa62XyyVJa23btlLKsizP21oAAAAAAIAvV+99 + mqZ9/iUPU+pJykfUWvcc6316fVmWWus+HQ/wWfnYOHY8Hqdp2h/82Ae9bds8BwIAAAAAAAAAAHz1 + PCYFAAAAAAAAAAAAAAC8OL33McY0TUlaa733Uso8z8uyjDH2utullP3b527sSzeSvgdXl6RkpKck + SRlJ0ktGeTjm4eAn1ZOMPmrWZUsph+Oxb9vd3d19W/nKlDGSUT7c2q3cp1yPZJTHkeo/66wf+yL1 + 0YovHeqxZVmu12vvfZ7nUsrlcpmmaQ9IqLXuKdfbtrXWLpfLPM/P3V4AAAAAAIAv1R5TfTwee+/L + shwOh/3z8RHbth2Px9sU/DzPd3d3+z7AF+F6vU7TdL1eSymttev16nkhAAAAAAAAAADgJRBrDQAA + AAAAAAAAAAAAvDi11jE+JMbuCdZ7WmqSMcZ+wB53/fhInsWjlN+atCQpY5S+x1xn7DnX6Ukv/WH3 + Z9hTivO9sOGa1PsPk5K0kTKylbrWllpLMs0t2za21NZq/bBI5xfFHPNZqRk1SRkZKT0pI3Ukyfhh + 1/rVKepj7zkjpfeHCzx1NPsX6jY+75Ks61prrbWu65qk976nI+wR1wAAAAAAAPxKtdZ5ns/ncx5m + 1X9UKeVyuZRSeu97yvWrV6/MswNflt774XDYti3J4XBYluW5WwQAAAAAAAAAAPDkxFoDAAAAAAAA + AAAAAAAv0fdyiEu5fVJK2d+WUm6fPFMbSe5Tpfv9PRilZcqYUte1LT21jkw9rWeUjJKaXn9+pvWe + WVxG6n2ydc2oPbWPMh2ndSQjvzsct+RS53Nt2dfklKS10mpSj8dXSb0lWj/qMdX6nS9TzbjvBkla + L2VkLdlKSlJH2qMw9Z9tL+Bfeknf+0d5CLMeyZr0hy7THlLVuSml7CHWu9577/c34Taqy0gAAAAA + AAD4lR7Pp59Op/zkFMztq1prKaW1NsYwzw58Wfap59ba/naapmdtDgAAAAAAAPBb6r3vjzdYggoA + 8APK4gAAAAAAAAAAAAAAAPA564+2D0rvpY+SJGX82tzf/TzfX2ZTktIzvjuvr095c8jfXC+vDqe7 + Uq+1pTxcUSX2r9JIUjJKUkfJSOpITXqyldRx//pVVyg/coY69pD1bKXvfatY/QUAAAAAAAAAAABL + HG92AAAgAElEQVQAAAAAAD9Ta22McTqdxhi11j3Quvf+Z38IAPCiKGwCAAAAAAAAAAAAAADAi1Ye + Aob3FOEykoxk1Fq/fT3/8Zy317ye2h+u53We/pu//itp1gAAAAAAAAAAAAAAAAAAAJ+nbdtOp9Pf + /u3fbtuWpJSybVtr7bnbBQDweZmeuwEAAAAAAAAAAAAAAADwzEq+l1W9749S3p2Xw5SRfLdu0+vX + y1yzbUl9nlYCAAAAAAAAAAAAAAAAAADw55zP58PhsO/XWvd8awAAHlNDBwAAAAAAAAAAAAAAgBeu + 7/95CLe+fzvGGCOjpM5JzXfL+X3pOR2tyAEAAAAAAAAAAAAAAAAAAPg83QKtr9frHmhdax1jPGuj + AAA+O9NzNwAAAAAAAAAAAAAAAAA+IzXpSR2ZylSmZV1H7+k1ZZrL4Zhtee4GAgAAAAAAAAAAAAAA + AAAA8OOu12uSZVlu+dZJSinP1yIAgM9Rfe4GAAAAAAAAAAAAAAAAwOelJiVZ16Wl1GSaUkpbRr9b + r5mPz906AAAAAAAAAAAAAAAAAAAAftw8z/t2XdckvfdSyhjjudsFAPB5EWsNAAAAAAAAAAAAAADA + SzdKRu5fN1NGHalJTTkv29wOtU7P1kQAAAAAAAAAAAAAAAAAAAD+nGVZSilJWmtJ9nBrsdYAAD8g + 1hoAAAAAAAAAAAAAAHhxeu8/2Nm27fHbZVmSjDGsTX0JRkmSXjKSXtKTpNekpWbrU5J1vKql9NHS + kmJJzguxjwCllFKKgeDZbds2TdM+JrfW9lIC8zwnOR6Py7LsX63run8FAAAAAADAL7PPm/feb/Mv + f/YnpZR9kv321lT7i7V3mOv1ur993DE+ZQOe5dIAAAAAAAAAfFb2pxf2ZaeHw2GMUatF4gAA3+P/ + HgEAAAAAAAAAAAAAAC9OrbX3vq5rrXUvptxau6WiXi6XeZ6XZdlLb9+qLfMVG8ko98nW4z4St9ek + jdSRMlJ7raOWkai+Ds+htbYP0cfjcY+4rrUuyzJN0z5o7/kK0zQl2bbtmZsLAAAAAADwxaq1Xi6X + WusY43q9TtO0rmtrrXxcknmexxj7hM71et0/5AWapul8Ph8Oh33+bp7nfKr5u7u7u70Be9e9zSEC + AAAAAAAAAAAAP2p67gYAAAAAAAAAAAAAAAB8atu2tdb2SNTD4bAsS2vtVkz5cDgkmaap977v87Wr + Sf9YXnVN0rMldaTux0i2hk9u27Z1XfMwgG/bVkqpte7f7vXoxxh74nVr7TnbCgAAAAAA8IU7Ho/X + 6/VwOBwOhz3T+idiiWut+2TNuq57kPDhcNjndD5hk/mMnE6nx7nmrbXe+x55/nRqra21ZVnGGPuj + IOu6TpN6mwAAAAAAAAAAAPBR9bkbAAAAAAAAAAAAAAAA8DyWZZnnuZTy5s2b1to0TaWUw+FQay2l + HI/HWuvd3d1zN5NPoTwU0O4lvaTn/vWxw4BPrLW2rusYo7W2b/dS+HuCwl6kvpQyTdP5fH7uxgIA + AAAAAHzBtm3bo6mT3IKBW2v1I3rvr1+/TjLPc5Ja67t372Rav1jruiapte49Z5qmbdueOtM6Se99 + WZYkt8tN03S9Xp/6ugAAAAAAAAAAAPDlmp67AQAAAAAAAAAAAAAAAJ9arTXJXkM5ya208e3bvd7x + GON2DF+xMlJGLaOnJMlItpKaJOn7t/t+ySjP10p48fYBufe+B1qPMbZtm6bp3bt3r1696r3vY/vp + dLrlKwAAAAAAAPBztdZ677dg4CSXy2WM0Xv/2PHv37/fw7D3DOM3b96MMfY5HV6aaZru7u5673uH + Wdf1m2++efv27T6X93T2y7XWWmt739u2bU9nBwAAAAAAAAAAAH6U2hwAAAAAAAAAAAAAAMCLU0rZ + tu1WNLmUUmvd41HXdX182DM1kE+njtpTa+4rsI/s2dW1j56kJiNJSR8ZSd97hH4Bn9yeVH25XI7H + Y5J9p9bae3/z5k2SWuuejnC9XpWnBwAAAAAA+DVKKWOMWus+R3M6nT6WaZ1k27Y8xAkn2ZOtnzrD + mM/Wuq6vXr1K0lrb+8bbt29LKT/RhX4rh8Pher3unXZvwPl8Pp1OT31dAAAAAAAAAAAA+EJ53BMA + AAAAAAAAAAAAAHihbqnVY4x9Z13X0+nUWttLbF8ul3me9zrLfMXqSBm1pua+I9yHW4+SXtJLtppe + 7j+RaQ3PYi89fzwet23rvR+Px+v1mmSvgL8Xwd/3D4fDbVQHAAAAAADg57per6WUWuuyLNM0reua + ZHzcsixjjH3uZv+klHKbjuel2dPNk+w9Ick+f1eeWJLr9bo/77EsSxKZ1gAAAAAAAAAAAPDTpudu + AAAAAAAAAAAAAAAAwKc2xmit3Wool1K2bSultNbO5/N+zDRN8zznUc1lvlblB/sjSb99MkpGsidc + 9ySpn7Z1fHJ7hyg1qWXUMmqvZRs9SRl17xv9odPUh65SRkb53vYnzl/2MPUkIyM16ftP6ihrGUm9 + dUrF/m+2bWutXS6X4/G451gfDofee611jLFv93yF/cjnbi8AAAAAAMCX6nA47BMu8zxfr9fD4ZBH + EcV/apqmW37wPnHTe/+J4/m6lVLGGLdA9DwEpY8xnvrSrbVt22qte7L16XQydQgAAAAAAAAAAAA/ + QRkdAAAAAAAAAAAAAADgxbmlWe+ltPfqyWOMvary/u26rntO6vM2lafX99dWMkqtI22kjV7Sy6gZ + dU8tbmO00etPhRXzlVj7llKybK0eytpO7XTuaz1M91+PKWMaqbehoYyUkZqUfG/7sVeSNjInx3G/ + uGukJrWNuodbb7clX2MPWSdJ9nLzx+MxyV6Jft+5bW+5CArTAwAAAAAA/Eq3CZc90zqP5mJ+1J5p + nYeJm9aaTOuXrJSyruutD3yaTOskvffb/jRNMXXIz7H3mb0X9d63bXvuFgEAAAAAAAAAvCC991LK + sixjjMcPAgFPbfrzhwAAAAAAAAAAAAAAAMDXq6b3pGdK+q2mdR3JSC816dkDiUfKSFI/fia+Bq2W + nN9mmvuyZutLrrVk3dZSUkctyXjoE3XkVoy//8n2Q132/TcP216SnmnsR9ZeSjLqqCW9jKRk72Uj + UeofAAAAAAAAAH4rH0tYX5altbYsyx5unWSapjFGMW0PAAAAAAAAAPBJbNuWZJ7nJLdk61LKx573 + AH4ryugAAAAAAAAAAAAAAAAA7HoZW45TtvWYMc3j9ZtTHSlbalLSa9aWnnIfdp5klLrdv/J422+v + fNiuJUvNpeVccknWUm6H7Yspy/1/siRrsj2OxwYAAAAAAAAAfmvzPNdaa617jnWt9XK5PHejAAAA + AAAAAABekHmexxj7MxvbttUqaRc+Ef/YAAAAAAAAAAAAAAAAAO5tpackfT1f3pep/It3b19NOdx/ + tQdU95GMh8DpnoxSR8nI97f3rzx+JempI3UrWWsdJX0Px859TnYbmXrPsO4LAAAAAAAAAD6R6/Xa + WktyuVyWZTkej3vENQAAAAAAAAAAn8D79+9ba8fjsfc+z/O6rq9fvx5jPHe74OunvAkAAAAAAAAA + AAAAAABAkmzJmpZWcminv/j2OpYkfU2SrWZtWWuWlmura00vGcnYt6kjNfevx+rjVxn1sNWp1zJq + GSkjraek1/SS3pJDMvdky5RMSUvUyQYAAAAAAACAJ3U4HK7Xa5Lj8TjPc5Jt2567UQAAAAAAAAAA + L8UtxLqUkmSapvfv39cqbxeenH9mAAAAAAAAAAAAAAAAALva01JbWvt/379d+vj9t69GsmXPrk5G + yqh1pIyasSdVp6Tfv8b+SsmPb9vI1DP1JBmlp2wpW9J7yVayZTw0Y9xvxkeaCQAAAAAAAAD8Fvaa + yIfDYdu2ff98PrfWnrtdAAAAAAAAAAAvxbqupZRlWbZtS9J7r7X23p+7XfD1m567AQAAAAAAAAAA + AAAAAACfhZocUrK21Plf/3f/0fKP/4/v/vj+25rRs2QPpa41dc+lrknSS/qPh0+PnqSO/duHbVLS + R7KVjJqM3ktGSZI+0kfKll6SmpGUsv+kfpI/OgAAAAAAAAC8RKWUvQjyHmW9LMvpdFrXdZqUbAUA + AAAAAAAA+BT25zRKKdM0nc/n0+nUWhNrDZ+AmiYAAAAAAAAAAAAAAAAASVKSuiZjylz/k//pfyzz + 3Mp01zOS2jNtOSTH5JDstav3nOofvPYlWzWpfxJ3XZK2f5WUkbLvJCXpSa8ZJb3cHzzSfzQvGwAA + AAAAAAD4rWzbVmvd6yD33vcqyTKtAQAAAAAAAAA+mW3bkkzTtK7r6XQqpSzLUkr5sz8EfiWx1gAA + AAAAAAAAAAAAwItzK0RbShlj1FqT7NtSyr6uad/uR/KSjYdg4d77GFKGv3YjJUlJjjXT6NPUx2g1 + Saae48gxaVkPGS3bXdbl0LeWUXIZOZymnqRmSVIzakZNL/evLdmSntSkJ23kWFO3vKrpS16XTD1l + S6sZvWTU0ocVln9q27Z9Perjf4y993Vd82jE3t8CAAAAAAAAwJ/VWstDjnWtVUFkAAAAAAAAAIBP + bH9+Y4yxP8KRZC8I86yNghdBrDUAAAAAAAAAAAAAAPDi7AnWtwDUW4L1vrpp/3yM0XtvrVnmBC/I + SEayJaXn0LZtqUmb2pYc5pSau/QtSXorLVPOW5Y1S8/r0/Qv7tZtZGptJCXpI31kJL0mpYyW2mpa + 3ievXh3WkW3LXLJe868c6rjmUNq5ZxsttWUZ6SXJtl2T/sx/J5+N1to0Ta9fvy6l7JXEa63zPB+P + x3303ofrdV1vS1UBAAAAAAAAAAAAAAAAAAAAgD+lPAcAAAAAAAAAAAAAAPDibNu2x6Pe3tZaW2vL + siTZo1LHGOu6Hg6HPdz6WdsLfEIjmXqyZay5rofUZVm2lr9dM0amQ3qZt8vSxnqopZVklNenN398 + /8dXdW6tfXc9f3t8/f7yvqYlIyljGzV1lNFH6aX0Vv+fy/X1aTqf16nkkFyv/Zjpbiu/O725W653 + 5546Z6Sfr9PJ+q9727b13ud5vl6vpZQ9wbq1tq7rfsAYo5RyPp9Pp9OzthQAAAAAAAAAAAAAAAAA + AAAAPnfKmgAAAAAAAAAAAAAAAC/OHlPdey+l7J/03m/x1b33bdtKKYfDYVmWeZ6fs63Ap1SSKVku + mZLrcjq8Wq6Xqc136zIdpvejvz/M27a9am1s/Tqy9jFSD72f014dj7XWHA7/93WdX/9FKWUbYz/r + GGOUpI+1ZZvbsl6OYzu8Pk1l6ufrN5nWrV9qW7e+tnp+/Sq1JalHi78+aK2dTqfz+by/rbXO83y5 + XJKcTqd9rC6l7PvTNN2GdwAAAAAAAAAAAAAAAAAAAADgB1Q2AQAAAAAAAAAAAAAAXqhaa5LxkDub + ZF3XUkqtdc9D3XNSe+/7kcBXbytZSurxeBjv0l4tvcz1d31bX03Hd8uWV6d/MY3LXF6fWinjXa7l + 9XHZ2mXp56lu21ZKeu/t1K7X87KNPARajzF6RvrYSu7e923k7/2d3x1rHaO8/uabb7a6LMsyHc51 + Te3/P3v3siNXkq2JeZnZvniQLDVaDQE9F/TCgt5ADyRAEwFC90CDFlqTPn1OJUnfFzPTwEjPYFaS + VWRlhkcwvg8Oz30xd1+RvsMiGHv7+v9lvsSceo6e+tnrnBb5zBHRex+Z1m/evPnw4UPvfdu2Ukqt + 9Xq9zvMcEed5TtMk0xoAAAAAAAAAAAAAAAAAAAAAvk2sNQAAAAAAAAAAAAAA8EqNANRaayml936e + 5whGHcullHmex5h7Vwo8kR7RIlrEkpbI/b8s6cNe3zw87MfR1zf/LfX/9f/+v6K3iBL1jDVFPWJe + /ud//z+ViCniY0SK6BE9IiLa5+e8qT16xBTx//y3f/tP/99/icsleo5/u8Z6iRwxR9SPcXmInFuJ + HlFSucP/hWcppbQsS631w4cPEbGu67ZtvfeIyDlv27au60i5LqUcxzHmcwAAAAAAAAAAAAAAAAAA + AADgb2mrBAAAAAAAAAAAAAAAvDqttZTSyKsupbTWcs7zPB/HMU1TSinnnFIae+9dLPB0UkSJSJHr + mUqv/9v/+5+inhEtIkdbopRYpkgp9h4RkWqUHj39S8QZEREl4oiIiCnF0aN9Trm+6RFnRIn4j8sS + 7/5dLFN8+BD/4d9HREwR/YjyEGePJW1bvFlLjzM98f+C5+o4jn3fU0rzPJ/nuW3bNE3neUZEznld + 14iotU7TNCKu710vAAAAAAAAAAAAAAAAAAAAADxfYq0BAAAAAAAAAAAAAIBXJ+c8kq3H6lhorc3z + 3HvvveecHy/cRnIP+VOocEREpBERnPOHaf7rvLbIOVrq0SO3FBGtp+ifB/8jUkTpLfWoI8e8t/Ea + PVL0qaXoETmnqP39PO0lIrVwOPy88ucPXJVpiXe5HR/yw/ppz5GjzH9tdZ2mJUW0iGmOXiOlv0bk + XGrvrbeISDm39utB+ynaOn1eTqVG/6/7HuvDEef0P/y7VCP2PeY5Uon+Maal9VjXaK3l/B0H889t + nueI6J9FxHme4//PCLdurU3TFBEyrQEAAAAAAAAAAAAAAAAAAADg28RaAwAAAAAAAAAAAAAAr9Hj + sNiRWj22PM66HssyrZ+BHBGRoteaUooe0eNfLu+2Vv7ypky1HvueS/l47v/24X1e5731lr6MwY6I + iJFTnlPKOW/bFhFv3769TOkhtdLbo/FjObfoLUVKD3lKx163nNLbNfrxhF84Ty3F41D0nOc3v65N + OSK/m3JERP48LpWIqBGttRafjrbexiHUfucF+khp78d4+rT03iOnuFwiRUSL9DCC1HtEytPjTHci + IqU0QqyH1n79n3yb1Xvv5m0AAAAAAIA/1rfPv/TeHy+nlJyyAV6W6/V6uVwiorWWc6615pzNYwAA + AAAAAAAAwM9NrDUAAAAAAAAAAAAAAADP2lHPiJjKlKcUvcV+xNs3//v/+X9ET/Fhi2WJiDiP/+U/ + /o894vy41Yge8bi1cIroEeVRzvAlYouY/vXDf/7XX6Ie8TnWOvqjx4z71iKn2Ld4uMRxxGUNbYt/ + ao/e3fxFpHR6tPfLQ6DH54Psd/VH9xERLfXUHz/Jr8/26yumX7cAAAAAAADA85VSSikdxzFNU++9 + 1joWxMECL8V5npfLZd/3ZVlSSmMGM4kBAAAAAAAAAAA/PbHWAAAAAAAAAAAAAAAAPGtTmVpEjai9 + 9tbWh7l+vE5vlmgRlxwfr/FwiVz+a4otIqXILdKXacDpc9B1j8gRJcV/75+jrx+W6OuvkcOPk4nP + M6YS9Yx5jncRvcWb3PZrLj6SAwAAAAAAABARMU1TzvkWBJtzjoje+999IMAT+3ZS9Zi4xpjW2pjT + nqgyAAAAAAAAAACAe9BDBwAAAAAAAAAAAAAAgGctRRzbkaZSylxzbZHKw8N5HNM8Rc8xv4toR7Rf + HlKc/VMu9ece6enLZunTFOcZuUfJUVtc1qmXlMZHbL58YETEMkVEpPk4+jSns8dx1PXNu0952AAA + AAAAAACv3nEcEVFK6b3v+74sS621lHLvugD+UaWUWmuttbU2TVOtdZqmkXINAAAAAAAAAADwE8v3 + LgAAAAAAAAAAAAAAAAC+rkeccZnnqecSMfWyXbcU0zQtESVairNHmue8xrVHKWldIiJ9vv26lKJH + HGfMy9QipnVtER+2M6Xp8ZjIj24t6t6jRc6p98gp5qloWgwAAAAAAABwU0pJKdVaU0rLslyv11JK + a+3edQH8Vv6KWuubN29KKfM8p5SmaXr//n1K6d71AgAAAAAAAAAA/LmmexcAAAAAAAAAAAAAAAAA + 35QjIkqJY+/Tkh4uDz0ipRK1RZ7aueeeW63LtBxn9OseEf330qeXZdn3vdbeIz5+3NZljYjWI+WI + Wwb24weWKCWdZ0xznDWOds7zpGkxAAAAAAAAwGO991LKeZ7neV4ul3uXA/D7Wmu/uz3n/OHDh4g4 + jmOe59ba27dva62llKctEAAAAAAAAAAA4EmJtQYAAAAAAAAAAAAAAOAZSxERv/xyffuXS17S1lpE + 5EhzTinntp15XuJoOeXYzylKKeu1Hr/7TPt+ruvDtm05Tyml635ERIuaIvVoKeWIFilHtBw5on34 + eH3zcKlx9si5THOJiHb2uqT56b58AAAAAAAAgGes1npbvlwutdaUUs75jiUB/K6U0u9u772PXdM0 + 9d5zzvu+L8vytNUBAAAAAAAAAAA8NZd7AgAAAAAAAAAAAAAA8Kz1iLd/ubSIHpFznnLOOR2tR4q8 + TJEiSo6cInKPftbza8+TUtq2LSJaa6MfcUqp5BKfsrNHYHbLn5ffPlwiYplLiZSjRas9zimlFO3P + /6IBAAAAAAAAXoBSSkRcr9dpmmqtpRSZ1sDz1L8uIo7jSCmN60mWZRkbAQAAAAAAAAAAfmLTvQsA + AAAAAAAAAAAAAAB4aq210Uf7tjCaa99Wj+OY53k0qB39armjFBE9SooekSJG2+CcU43IOXqPPEVE + 7NFSSp/aCqffSZ7un54rIuKse+TUe4uIEvnLQb8up/T5PmJK+XMFORwU8LRqrdM0necZEWO67r3P + 83wcx7qux3FM05RSOs9zmnxoDgAAAAAA4OnUWiPicrnE54jr3rvz7MDLMk5AP141jwEAAAAAAAAA + AD+9/PeHAAAAAAAAAAAAAAAA/Fxyzq218zxzzvu+R0Qp5TiOkYi8bduISk0pHccxBnA3PaK3cUvR + SkSJSJ/zqVtES9E/p1H3iEjtU6b1r5u+DKv+Uvr0EvnTLXLEo+X+aPXXMcBTK6WMKXpd1xFxnXMe + adZj0m6tRcTItB7ZCQAAAAAAAAAAAAAAAAAAAADA75ruXQAAAAAAAAAAAAAAAMBTq7WWUkYk6rIs + x3GUUuZ5HruWZYmIaZpaa2OZOxvJ1p+zrFOKEvlTrnVE7z2lTyHXKVL0GLnTKaL3L+5/I42M3B6R + fo29Tl/8B3guaq3necbnCbzWmlLK+VPM/Mi07r2PxOtSyj1rBQAAAAAAAAAAAAAAAAAAAIDnLd+7 + AAAAAAAAAAAAAAAAgPs4jmOe55TS27dvSynTNKWUlmXJOaeU1nXNOX/8+PHeZb56qUWKyHGLtf6k + R/QvVlNEiv544N/e/+7z94j25bN++wY8vVLKeZ6991LKuB959rXWiMg5jy3TNF2v13sXCwAAAAAA + AAAAAAAAAAAAAADP2nTvAgAAAAAAAAAAAAAAAJ5azjkipunTByuO44jP2ahjb2vtOI7e+20M99Ij + IkeLyJEjIlL+bbZ1ihQRqUVE7tEj6m8e/hu3x6cUvfeIiDbCsP/hyOr8XV8C8IcYE3JrbQRa995r + rdM0vX///uHhobU25vbL5XKep9kbAAAAAAAAAAAAAAAAAAAAAL5GDx0AAAAAAAAAAAAAAODVSSnd + QqzHaiklIqZpaq211m7b71MfX8g1YtxaRBtR1p9vQ4+4fUzmtwPS39z+5vlvmx8vxO+O/cpG4M92 + nmdEbNuWc04pjYUxab99+3Ysp5Ryzvu+y7QGAAAAAAAAAAAAAAAAAAAAgG/QngMAAAAAAAAAAAAA + AHilbqnVvX/KRz7P83K5HMfRe2+tbdu2rmutdYRec0c5coqcfy9Vuvc0tvaIlm5h1/nz7r95QHq8 + 1CMiev5if4roj+6BZ2AkVY85OaW0ruu+78uypJRaaxEx4q5ba8uy9N6T714AAAAAAAAAAAAAAAAA + AAAA+Ir894cAAAAAAAAAAAAAAAD8XHrvpZRbmnVKaeSkTtN0vV5rra21aZrmeY4ImdZ3lyJSxK+Z + 1v3z7cf0v7mPL5e/NiD+udcF/gm11ojYtm3MySO+urU24qtzzmNKzzmP+fy+1QIAAAAAAAAAAAAA + AAAAAADAcybWGgAAAAAAAAAAAAAAeHVG4mlKqfc+7iOi936e523veZ63nFTuKEXkyDlyerwp3TKu + I0W/7eqRPr9h7R+8pcdP+Lu331QjLRfuYaRZr+saETnnnPNYuN3foqzHSAAAAAAAAH7M7QR6RLTW + fuDhP/AofibjABhn8SJimqYneNHHF3gcxzHOHtZan+Cl+TmklI7jeLzqkiEAAAAAAAAAAOCnJ9Ya + AAAAAAAAAAAAAACAZ+2Pz5LuEfFrAvavLwMAAAAAAADwuqWU9n0fqcAjKvjbMdXzPM/zHBGttREK + W0rZ9/1pquUZOs9znucRKT3P8+Oo4D/POErXdR2vPl70Fq0NQ/q6iJjnufc+0qxv0yAAAAAAAAAA + AMBPzGV2AAAAAAAAAAAAAAAAAAAAAAAAAEDE5zDg8zxbaymlnPM34oGP4ziOo/c+4oRTSq21ZVme + sF6ekfM8l2U5jiPnPE3TcRzTND3NS18ul23bRiZxSuk4DrHE/Eb/ipTSOHLO87xNYiOaHQAAAAAA + AAAA4Cf2RFf4AQAAAAAAAAAAAAAAAAAAAAAAAADP2XEc8zz33kcmce+9lDLyrb/2kNZaRCzLMoaN + dFhes3EM9N5rrd+ORf8Dbdu2rutYHlna+75LWOexrx2KrbU3b95ExDzPY9j79+/fvn37pMUBAAAA + AAAAAAA8ObHWAAAAAAAAAAAAAAAAAAAAAAAAAEDM83ye5zRNj0OCe+9fC6seOdb7vo/BKaVSytOV + yzMz8qSHEY5ea32al84511rHi44tI6IYblprv7u9lPLhw4daa2ttHLRv377tvaeUnrhCAAAAAAAA + AACApyTWGgAAAAAAAAAAAAAAAAAAAAAAAACI4zjmeW6tjZjqWmspZdx/4yFjcM55PFwc7Gv24cOH + N2/ejGOmtVZKOc/zzw47TynlnMertNZyzvu+L8vyp74oP40Rvl5KGYfQSLbOOd+7LgAAAAAAAAAA + gD+XWGsAAAAAAAAAAAAAAAAAAAAAAAAAIEaqa0qp9957H6vfziRelqW11nvPOc/zvG3bSM8qZAcA + ACAASURBVLnmFTqOY2Raj0jgnPMvv/zy7t27J3jdeZ4/fvw4z/M0TRGxLItDkd/ovf/u9vM8p2ka + UehjTEoppfS01QEAAAAAAAAAADy1fO8CAAAAAAAAAAAAAAAAAAAAAAAAAID7yzm31kae60gmbq21 + 1r42fuzKOY/B8fcysPm5zfPcWnt8DDxBpvV43YhY13WaphGyfhyHTGv+QdM0Xa/XZVkioveeUuq9 + fy0DGwAAAAAAAAAA4Kch1hoAAAAAAAAAAAAAAHh1Rlvt0Ym79z6aa4/7lNJozz3uv9GbG4AnVmut + tUbE4wbirbXzPOPRjD1WAQAAAAAA+DG3s+e31Vtk9dcGx6Oz7dM0/fk18nz95vh5+pfOOaeURtA1 + /IMul8tYGEdRKeUuxzAAAAAAAAAAAMBTcsUnAAAAAAAAAAAAAADw6owWtK21x82UW2vTNNVaRzBq + 7721VkrpvetUC3B3pZTW2rIs+76PLSmlUkpEHMcRn7Ouz/OUlAAAAAAAAAAAAAAAAAAAAAAA35Dv + XQAAAAAAAAAAAAAAAMBTq7VGxC33tNaac57n+TzPEWJdSsk5n+cZESPlGoA7qrW21uZ53vc9pTQ2 + llLO8xxz9Zi9r9erTGsAAAAAAAAAAAAAAAAAAAAA+Dax1gAAAAAAAAAAAAAAwKtTSomI1lrvfWxp + rR3HUUoZu2qtvfdlWcbGe9YKQEQp5XK5HMcxVnPO67qOQOuxPaWUcx7Lt7kdAAAAAAAAAAAAAAAA + AAAAAPhbYq0BAAAAAAAAAAAAAIBXKuecUuqPXK/XbdtGpvUIup7nubV270oBXrsxRUfEmzdvxhS9 + bVspJSKu1+s8zxExUq6naUop3bdaAAAAAAAAAAAAAAAAAAAAAHjOxFoDAAAAAAAAAAAAAACv1AhA + rbVGRO/9OI5pmkZI6nmevfd5ns/zzNnnLwDuLKW0LEsp5cOHDxGxrmtE9N4jIue8bVtElFJqrSml + 4zjuWy0AAAAAAAAAAAAAAAAAAAAAPGfaKgEAAAAAAAAAAAAAAK9Oa633Pk1TRJRSWmsppXmej+Po + vaeUcs4ppbH33sUCEMdx7PveWpvnOaW0bds0Ta21iMg5j5TrWmspZdu2eZ7vXS8AAAAAAAAAAAAA + AAAAAAAAPF9irQEAAAAAAAAAAAAAgFcn59x7v62OBOuRlhoRvfcxYMRdPx4JwF3c5uchIs7zzDnn + nM/zjIjW2jRNETEirgEAAAAAAAAAAAAAAAAAAACArxFrDQAAAAAAAAAAAAAAvEY5//qpihFrPbak + lMZqSum25U41AvCFlNIIsR5aa621sXyb1UfoNQAAAAAAAD9mnG0ZZ2G2bXu88WvjH5/B2ffd+RoA + AAAAAAAAAACA50+sNQAAAAAAAAAAAAAAAAAAAAAAAADwKcG61hoR67per9eISCl9bXxKaZqmMazW + uixLSuk4jqeqFwAAAAAAAAAAAIAfIdYaAAAAAAAAAAAAAAAAAAAAAAAAAIic87Zt8zyPZOvL5bLv + e0T0r6u1Xi6X8djjOHrv8zzf+csAAAAAAAAAAAAA4JumexcAAAAAAAAAAAAAAAAAAAAAAAAAADwL + 67r23iPiOI5bQHVK6Wvjc84R0XtPKQm0BgAAAAAAAAAAAHgR8r0LAAAAAAAAAAAAAAAAAAAAAAAA + AADur/d+nmdKqZQyMqqXZRkp1994yFhorbXWaq1PUSgAAAAAAAAAAAAA/4Tp3gUAAAAAAAAAAAAA + AAAAAAAAAAAAAPeXUpqmT10K379/P03Tuq455288pPe+bdu6riml25bbMgAAAAAAAAAAAADPkFhr + AAAAAAAAAAAAAAAAAAAAAAAAACCO45jnOSJqrW/fvo2I1loppdb6u+NHmnXvfTyk936e5+Vyecqa + AQAAAAAAAAAAAPheYq0BAAAAAAAAAAAAAAAAAAAAAAAAgJjnudaacy6l9N577ymlr2VaR8S2bcuy + RETvvZQSEdOkySEAAAAAAAAAAADAc5fvXQAAAAAAAAAAAAAAAMBTa639ZmH04L6tHscREaND9z0K + BOALtdZpmsacXEpJKUXEPM8Rsa7rcRxj13meYxcAAAAAAAA/7HY6JqWUc/6751/2fR+Dx6rz7MDz + lL7p8UjzGAAAAAAAAAAA8BqItQYAAAAAAAAAAAAAAF6dnHNr7TzPnPPor11KuaWibts2z/NxHCml + 4zjGAADuqJQypuh1XUfEdc75OI5pmsak3VqLiGmaIqLWeudyAQAAAAAAAAAAAAAAAAAAAOAZm+5d + AAAAAAAAAAAAAAAAwFOrtZZSRiTqsizHcZRS5nkeu5ZliYhpmlprYxmA+6q1nucZnyfwWmtKKec8 + 9o5M6977SLwupdyzVgAAAAAAAAAAAAAAAAAAAAB43vK9CwAAAAAAAAAAAAAAALiP4zjmeU4pvX37 + tpQyTVNKaVmWnHNKaV3XnPPHjx/vXSYAUUo5z7P3XkoZ9ymliKi1RkTOeWyZpul6vd67WAAAAAAA + AAAAAAAAAAAAAAB41sRaAwAAAAAAAAAAAAAAr07OOSKmaRqrx3HE52zU297jOHrvtzEA3Nc0TSml + 1tpY7b2f51lKef/+fWvtPM/WWmvtcrmc53nfUgEAAAAAAAAAAAAAAAAAAADgORNrDQAAAAAAAAAA + AAAAvDoppVuI9VgtpUTENE0jFfW2/T71AfClkVS9bVvOOaU0Fsak/fbt27GcUso57/s+TdO96wUA + AAAAAAAAAAAAAAAAAACA50usNQAAAAAAAAAAAAAA8ErdUqt772PhPM/L5VJKyTlHxLZt8zw/DsAG + 4C5GUvW6rrXW1tq6rvu+R0RKqbXWWrstL8tym9UBAAAAAAAAAAAAAAAAAAAAgL8l1hoAAAAAAAAA + AAAAAHh1eu+llFvuaUqp1ppSmqbper2OzNRpmuZ5johSyl2LBSBqrRGxbduYk0d8dWstpRQROecx + peecx3x+32oBAAAAAAAAAAAAAAAAAAAA4DkTaw0AAAAAAAAAAAAAALw6I/E0pdR7H/cR0Xs/z/O2 + 9zzPW04qAPc10qzXdY2InHPOeSzc7m9R1mMkAAAAAAAAAAAAAAAAAAAAAPA1Yq0BAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAABeBbHWAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAr4JY + awAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgFdBrDUAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAMCrINYaAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgVRBrDQAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAA8CqItQYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHgVxFoD + AAAAAAAAALxSrbXb/XmeEVFr/YHnuT2qtdZ7/+MKBAAAgD/R7d/FKaXee845IsZ9SimlNBZuIwF4 + Dmqt4w+Sj/8U2Vobf+G8zdhjFQAAAAAAgB8wTsSM+9uJmG+bpikenYj/sevSAe5oXDV0m76cdAYA + AAAAAIDnprU2rkxY1zUics63LgEAvELjB8GyLLctuqHCj/HrFAAAAAAAAADAq5Zz3vd9mqbzPH/s + 2tzee+/9OI6c84j7iojjOP7QMgEAAOAPNv4VfAtAvSVYP+613XtvrZVSfHIJ4DkopUzT9ObNm5TS + +Gtkznme53Vdx+w9puvzPMcqAAAAAAAAP+B2TXhrLed8OxHzNfu+b9t2HMftgdM0Oc8OvCzjwzWl + lIjY932e53tXBAAAAAAAAHwh5zxaBFyv14horaWUbu0CAHhtbr0FImJc8pFS2vf9zmXBCyTWGgAA + AAAAAADglco5H8fRWluWZaR2pZTGVVnfZTQdGy17xpW+OvgAAADw/NVaI+KWe1prHdmo53n23lNK + pZSc8/iXso+zAtxdrbW1Ns/zvu+3RIRSynmeY64es/f1epVpDQAAAAAA8E/qvY9M63Fufd/3b1xn + Ps/z6Bw9TuLknLdte7paAf5py7Kc57ksS++91rosy5j9AAAAAAAAgGdl27bb9QnTNI2GAPcuCoD7 + GO0FRkOY8zxHB9RlWe5dF7w8fp0CAAAAAAAAAHiljuMYHcRGcNe2bcdx/EDiy61J2b7vl8slInTw + AQAA4PkrpUREa633Pra01o7jKKWMXbXW3vuyLGPjPWsFIKKUcrlcjuMYqznndV3HXybH9pRSznks + 3+Z2AAAAAAAAvtc48zJOlJdSjuNYluXb15nv+z7Gj4vS13UdLaQBnpX+Fdu2nec52hzfZr+xCgAA + AAAAADwTtdZ1XVtrI7L0PM/baT4AXqHbJWqllNEcJiL2fb9rUfAiibUGAAAAAAAAAHil5nmOiFrr + 6LmzruvY8r2WZVmWZd/3cZnvuJxL3BcAAAAvQs45pfS4Te31et22bWRaj6DreZ59nBXg7sYUHRFv + 3rwZU/S2bePvkNfrdfxtc6RcT9MkJgEAAAAAAOCHzfM8mnveTr58/Pix9/6Nh4zryePRRem11icp + FuAP0HvPOY/riCJiXCnkvDMAAAAAAAA8K7fWdtu2jdV5nvW7A3i1xvUeEVFrvaVZj56owHcRaw0A + AAAAAAAA8KqN63HbZz/QPmz06xnXb9Vab13MAAAA4PkbPbjHP4d778dxTNM0/rF8nmfvfZ7n8zzH + B5kAuKOU0rIspZQPHz5ExLquETHiE3LOtx4EtdaU0nEc960WAAAAAADgRRthrqWUX375JaX08PDw + jXjXccpmWZZa61i+Xq/aRgPPUP+K1lpKaVxH1FrLOV+vV7HWAAAAAAAA8Kzs+z5N01he17XWehzH + D/TNA+BnMhrCTNO0LMvHjx/vXQ68SNoqAQAAAAAAAAC8UiOOOiJ67/mzH2gflnP+TR72siySrQEA + AHjmWmu99/HJ1VLK6E47z/NxHL33lFLO+dan+97FAhDHcez73lqb5zmltG3bNE3jj5w555FyXWst + pWzbNs/zvesFAAAAAAB4qc7znOd527aU0rt37yKi9z7SXn9XSqm11lorpaSUjuO4XC7fGA9wL+kr + SimjA37vPSKu1+vlctH+HgAAAAAAAJ6VZVlqreMc37Ztl8sltAIAeMVKKePnQkTUWv/1X//14eHB + 9R7wA6Z7FwAAAAAAAAAAwD211kZMV0pppHaNLjzfZV3Xbdt67yMGrLW2LMufUS0AAAD8UXLOI8p6 + rI6FkZbae++955wfL9xGAnAXI6m6fxYR53nmnMdCRLTWpmmKiBFxDQAAAAAAwI8Zwa7Lsoxz5cdx + zPM8TsT8rlprKWWcu7mdsvnGeIDnZnwKZkx6KSXt7wEAAAAAAOAZOo4j55xzPs8zpXS9XiOitXbv + ugC4j/EjYLSFaa1dLpfREPXedcHL43JPAAAAAAAAAIBXaiS+3KK5RhLMD2RaR8S2bfE5AIyfzI8d + EsA/7zzP0RcyImqt2ju+UL95B8fbeu+i+D6jJWt8br0aEa218XsUL8jt7XuJqbS3Q24cjWYS+GM9 + ntLH/DC2PM66HssvbvYA+FmllEaI9fC43cBtVn+Jv/UBAAAAAAA8K4/PtowLqL5hXJkzrmlxbRXw + Ev3mkiEAAAAAAADgGZrnubX2m3Z5mqQBvFq3nwh+FsA/SUczAAAAAAAAAADgqzRm+jm42vJlGaml + 0zR9/Pjx4eEhfCe+TPu+L8vSWkspTdP04cOHdV0l0b44rbV5nscsOr4Tb+nIvCDned7etREzf71e + L5fLS/n5eGv1O8/zmFvCoQgAAAAAAAAAAAAAAAAAAAAAAAD8E7RHBAAAAAAAAAAA+MkJRX5Zcs6t + tYh4eHj4+PFjKaW1tu+7ROSXZVmWbdvWdY2I4zjevHlz74r4ETnnER7cWhvRwqWUl5KFzM2YP3vv + I2Y+Isb35kv5+Tgqr7V++PDhL3/5S0Sc5+mHAgAAAAAAAAAAAAAAAAAAAAAAAPDDtDMDAAAAAAAA + AACAZ6S1llKa5/njx4/rum7bVkqZ5/nedfHdpmmqtc7zvO/7siz7vp/nOaKReSlSSrfk45FvPQKG + 71sVP2zf93meW2ullIh4KQnlx3Esy5JSGpnWvfeXUjkAAAAAAAAAAAAAAAAAAAAAAADwPIm1BgAA + AAAAAAAA+MmJ0X1Zcs7nee77HhHbtuWca63TNJ3nee/S+D7neaaU9n0vpYxAa/HkL07vfZ7nWuv4 + xkwpvX///uHh4d518X2O45jnufe+LEtE3DKtX0pC+bIs53lO01RrjYiU0jzPY8u9SwMAAAAAAAAA + AAAAAAAAAAAAAABeJL3MAAAAAAAAAAAAfnKttXuXwHfovUfE5XI5jqPWOs/ztm0jT/fepfEdeu85 + 52ma9n0fSbQRkVIa7y8vxTRNx3EsyzKS5ud5fvfu3Xhb710a36GUUmtd13Xf9/G9+fHjxxFx/SL0 + 3qdp6r2XUm4/02VaAwAAAAAAAAAAAAAAAAAAAAAAAD9MOzMAAAAAAAAAAICfnDjkl2UkH1+v17G6 + bVspJSJu0ci8FK21fd9TSqWUkWbtTXxxzvP8y1/+8te//jUics4jzVqm9YszvvW2bZumqdbaWnv3 + 7t1xHPeu6x+Vcx5p1vM8H8exruu2bed5jp8OAAAAAAAAAAAAAAAAAAAAAAAAAN9LrDUAAAAAAAAA + AMBPbuTp8lL03qdpepyC3FrzJr44txjanPNIn6213jbygvz1r39NKeWcvYMv2rIs+76f5zmS5o/j + GN+V967rO4xM64jYti0ilmV5WfUDAAAAAAAAAAAAAAAAAAAAAAAAz0e+dwEAAAAAAAAAAADAF87z + rLXe8kplWr9Et/Dj8T7eEsrvWRM/qvfuHXzp9n0fC7d38wVlQo8Db2RaP96YUvrNmBf0RcEzcZvY + f/OD+7Y6vvV6734fA3gOaq3TNI05uZQyfh2a5zki1nU9jmPsOs/z8W9KAAAAAAAAfK/befN//HT5 + 7dx6rfU8z3C1FfCijCnLNUIAAAAAAAAAAMBrI9YaAAAAAAAAAAAAAOCFSSmNvMZ938eC+Eb4Xjnn + 1tp5njnnfd8jopRyS0Xdtm2e5+M4UkrHcYwBANxRKWVM0eu6jojrnPNxHNM0jUl79Bmfpikiaq13 + LhcAAAAAAODFyjmPsy0ppV9++WWchfn2+Zdaa0qp1ppznqZpnIt/onIB/mnj8qGUUu+9tXa9XsN5 + ZwAAAAAAAAAA4BWY7l0AAAAAAAAAAAAAAADfZ2Q6jjaaEbFt27qu53mOHEfgH1FrLaWMSNRlWY7j + KKXM8zx2LcsSEdM0tdbGMgD3VWs9zzM+T+AjGuEWhzDSFHrvI/G6lHLPWgEAAAAAAF6y3ntKKSJq + re/evYuI1to3zr/s+74sy/V6vVwuETGuYGmtSbYGnptxud3f+v/Zu/dg2dKzvu/P877vWr33OaOZ + kUASUzIUKoGxJBwJGWGwwGBhy+U4McRcHZwiFE4KGVKpCthQFVccEUHAl8IWYHMvDA5IAVKkEkCG + xJGREAihAI4QRrKFEDC6AGLmXPbuXut9nyd/PN1rr927e599zsy5zXw/tbXUe/W73tu6dJ/dPesX + XxCKy5e7HxwcTNc0AAAAAAAAAAAAAAAAAHgK455lAAAAAAAAAAAAAAAAAAAAAHCfiSjHlFIp5cqV + K5cuXYqVd7tfwP1nHMeu61S167pIto6TK+JRu64bhuH4+Pjw8PBu9xQAnu5yzrXWnHPO2d1jKZuU + 6ykUoZTC7cUBAAAAAAAAAACeCFVV1fgsZhzHSHtNKe2LgxWRUso4jvE4ipFpDeAepKo718clrpQS + j83s4ODA3feVBwAAAAAAAAAAAAAAAICnBr7uCQAAAAAAAAAAAAAAAAAAAAD3mdaaiJhZa+3BBx8U + kVprrARwQXEH7bgdrYjE/bWn8yieHcdxumUtAOCuK6WoqpnFr+4eQdfXr183s1qrmcXtxWutd7er + AAAAAAAAAAAA97Vaq6rmnLuui89ozsm0nn+CY2Zd102/AsB9Ia5jZhbXuvji0DnXPQAAAAAAAAAA + AAAAAAB4aiDWGgAAAAAAAAAAAAAAAAAAAADuMznnyNnNObfWVDWlRPIucFNUdR4GH/fjFpFSStym + dlp/d/oHADgtkqpXq1VKSVXjQVy0L1++HI/jTdEwDLwvAgAAAAAAAAAAuGVmVkpZrVbx6/TZuu6R + UooP2WutKaVxHFNK8eEOANxT9l3HFotFKSXSrOOi11qLXwEAAAAAAAAAAAAAAADgKYyvSQEAAAAA + AAAAAAAAAAAAAADAfaa1Fjf/ba1FEO84ju5+t/sF3H+m1OrpDKq1Hhwc5Jzj1rSr1arrunkANgDg + roik6sVi0Vozs8ViMQyDiKiqmUVSQjzu+573RQAAAAAAAAAAALcsYqoXi4WZjePY932s9z1aa4vF + wt3jA52u646Pj+MxANwXhmEopQzDoKo552EY4lt5AAAAAAAAAAAAAAAAAPDURqw1AAAAAAAAAAAA + AAAAnhC9z5cAAADA/Svu/6uqqvrAAw8Q3wjcFHfPOU8njqq21lS1lLJcLiMztZTSdZ2IcKdaALjr + Wmsislqt4poc8dVmpqoiklKKS3pKKa7nd7e3AAAAAAAAAAAATwEppa7rlsuliJzz+YuqrlYrVTWz + SLk+PDzkeywA7i/xGXR8MN33/TiOd7tHAAAAAAAAAAAAAAAAAHDblbvdAQAAAAAAAAAAAAAAANxr + koiI2MmKuAWZi0hSdVV1s4ceuiziZtalUt3U3JMWTdWtDeOqjlbFRJLsXmYRT5Jcmoj6qfWm6/X7 + to1l0R3behKxU/VMy6mkq2Q52XbvLCQRkbid2vymaimJ+6k1qqIqZuvH8/KqmyZcNImKiIo1ERXx + 3cuY/bO3cdvXbrOTX+ftxuPp9nE3vC9cKak1O1vs7IhijZ0zd2f6c3E37PBWf3auuYVGp0rm83ZO + H27Yz1vrT9zvL+7iN3+8VeDs+icg7eyGqrr7TbVyU32L7MALNhGdOb/RabrOr/DJalfOHe/Zfbdz + b96CuALL6ZGePz83nLp9/Z+72Z5vtRtHlIjYDS8cs8NPRNxvLoHs7HjntZ1/VD+RfbS1bfwad+e8 + hXpk18FzU33bu9/ne/aGl3sR0Zvs/yZA7qSerWNpq9HNgSExuvP7/EReUU7XcNLPc1q8UHN5/uq4 + nvZzXjluagjnl79YbRc8qncewDvtvFycvRbFeRfH/5N19dvq7db5Ne/z/Hw/u+FZ7j5do+abuLcn + patR/7xv+8uv30+mtH6X1fdlGOrm6ajizFYiItJqVZFWq4i0aiV3zerUATOL0Md5ZwBMpgtLnCOb + NyFea53W11ojJ5WTCADuunhjs1gsRCSl9d804kEsp2t1lAQAAAAAAAAAAMAtmz6OEZGDgwM59wsw + 01OxVXxdk8/ZAdxf4quJ08fNpXCzVgAAAAAAAAAAAAAAAABPfXxTCgAAAAAAAAAAAAAAABeULl26 + dHx83cz6Lv+Tf/Jtn/WKV+Ss7uug0HlwaWtt3018Ig8sMvZqra211pqZzeMPZRPa6u7Xr1/f16Fo + KCoxsygf90Gbnqq1xlNbcbZRoLV2vFrtrPzq1atTpNlF2o3xRubZOI7RrohoTmYRF+0iatZas9bq + ONad0dZXH78aj91tvt5dYttax9bMrLmLu+euuLub1jbU0WobrIlLE0+aXDyJmnhyaW4qalevXI81 + W8s6WrOxVZ9KumnUY15b9ajfXNb9kpPexf9vjWaTcrk3wDuWJSdzm6K+T+rZs62fefbsmossk4rL + eix+tl3Z7r+ert/8BvWr7pgT2RVFOo9Fnx9uO+/9d4F00ih2fqm5HbG182BW3ZhOnH0Vnc4a3xtj + HOtbG3eu3zPqvb0/E6GrKZ1EF5+NNW3tJmJ6z5/Gc8Z7dvZaa9MaOXewp5vYLhBnvaxHmuRGSd7z + fbdV4c74503/bxx0va+HO9dfMEd8V+F4kETsAssb1DZNnewa784k6Vsebyzn9/Sc23ce7dwp8+Nn + Whk1R9zmBfu5fTrMI6WjP+5xPVofz2pmunWg7w6rnto9O649Odbr86W1rS6d9HPr3L4FO4Of49Q7 + 3U9NaT3PMZ8Xb3Fecn7czjOS5wPZd4He+cJw8aanKfXt2PtYTtcK2XNs7DyAz5rXMy+cUppf0+bv + tWZ93I6dvri0iSHfWs7X7zvm54/Pff06r8PnVLuvtn0PTtczlT95MOWtR7J1ZFrnrO5uN3kq9H1/ + fHxcSpkyrWut3GETAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwITbkwEAAAAAAAAAAAAAAOCi + jo6ORPzgoB9Ww6VLlyJZs7UWaYYR3quqOWtKecoF3mK2juHMWXPuRLop7W8r9TDWP+tZD5/Tpa1t + I7Zwno0dy+hq1DnlvEaWdu67nTWfjYHcxPGeihedlmYWyY7zQMeTdk1FTSVHaLR5zanbGS+tkiNS + euez0/qIrJ5iSlXTJkBzHYYduyDWR0j2PA7ybBRzzmUTlW3z2rqu36o5RjyVmYK6a21Rw1TntJW7 + Xb9+tLPd1WqIGqZtpz6bNTOPGO/Wqpm7WyndvN35s/N2p+XVq9d2tltri61aq2efjfW1tlrHaNdO + B1m3VqPP++LJr127vtWTiMuOoy4C12PYm9PhVBz7lJi+dbRPcezDMOw8bq9evbp1asgmJnYKem/t + xgmqs+xaFz8Jgd0X5tl12cxam4Vyu4tIV6YY+1NV57SVYb85y2b9uUjyaSnJzOaVn6pHPOJiIzs8 + 5kFn0bnzOZ5Pwg2b3tdu2ur55lqQNpWr+qz4XvtSU7sut9bMTlWh+8vP43tjEqJ7OU/Zt5veurt7 + 3pXCrKone3brqfMGcardKav17LMpndQfPTxT3i669L1dimx6lwix34TZnx6FynRMvBMFOAAAIABJ + REFU7o6/zbqJEp+9wKmoi0/bnsr3td2x02lPN6eYXvP1cKKlaHe+MvLZLzL/E3eZYrbjpNzKXxcR + d/PZ8FT1VHryZtQXz9fdOjLd1nXH9nEcyvritt7f87k9v7b50HYUc09p6yKzuf7kuBqvzyFvp8aj + J2frDS4FLrYVMB9XmGluVVVOgsJ1HkOuMzviyafNd4/XzxbeeYpt4tvPa/figdN26nqyiT8XaW27 + /6dj48+p8kK24qinDp8d17z89iT53vmc3krN3+ztexMrN5ql883ONZ9ej+JAnartum4YBhHNOUUi + tVm8Cbk4E5Fares6d49MazMrpYzj2HW73/cCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeLoh + 1hoAAAAAAAAAAAAAAAAX0nXdOI4ppeVyUJHI3B2GIdLyQkQJTrnR+6qaxweeXb/16xTDeZHCU2r1 + PFg0ulRrnRIEY03OOaXUbHd86RRNvWUeZb1Vfp6oPZUxM/cmklRcU0qqkpNIac1ELJKOTy91tjxZ + r0lFXPNUv4t6NKuqoutk2hi3qpqNUWprijb7y7eWzQYREZXI5t4MJEc9W/HhotpsHYeZsmrSXErp + knuZHw/zHf3wMx/cOc+llIhqnsdJbh1Cp5MmRVVVsiZ3U5dmTVxaTl1Efbu0rQjwncuSe/Ma284D + xbfCxadnk5Z1lHhy8RTbmteS+3NamfoQ9WjyUso6JXsTaTkf7Dztcut4mw6nUMrur35tzds0aVNs + 9la7OXWxvtY6jmPkXptZxF5OQa22yR4fx3Fnu8MwTIHcsgmY3yS8etuYsrqnmNJ5Vre7b8WsxrMi + cv369Z3tjuM49W0TZbq+bsT6cRxjXPHsPNZ33u7U7fmoReTatWs7260b84G4e8QSx3zOxzuvM4a/ + tfmWxx9/fNd+1BjyMNRaT214kZTVeZmzMdUpiaq0tnvTC8YJz2ubRnaSnH3SkJ4kCvuOzuyZlRP7 + +rPO7TY9G40ca1RFXKdz2dpJiHKkU5/frruLbg96k9N86noVkp66GpyU2dNQs1M7QFWSZk0+3916 + kqO992V2KnNq1Opn4oElrhlxYdisXM+Rqm/tmlsI841Q+Z1x5qo7dn2sn3dzHnJ/wQ5MxXbWf876 + rVYudlqtrx7zNVuPpzUpJRFz160LwjTn8ayIi+g6P/1G5tf8qGez7boDmwvIqTX7OnxxW/XEsXRO + u09EzJvIyeyd35+U0jy1fV5m31guUmxyw7jxecb21kRtHRJbv4ZhGGR2aMXLYs657blA7zO9b6+1 + xmtTrLypSgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8hRFrDQAAAAAAAAAAAAAAgAuJONuD + g4Ojo6Octeu6CI51X8fBuvsmhVHd98b+pVSmkL9pqao746unUNuzpvjkeWqsiMyzpedpghEnPK85 + ftW0u59ns7HldJahz0R/5u1OyyzuYm4uatYswpgjJlnUxGVr6aIipprcY+CRFO7uTcQi6FAkuTd3 + FZEu5ejl9njPzmcMx3bHInYpyXpfiIj7JiF4Xc9JcOYm9tNNRDRCSCOJNOWt+d9kz+5JoI3nrKVo + dDPhcSyZNZ1CndOUwqzjOIqLqqi5SlIVKeuey3rGXJO4u8SenXdhvrSaxKb6p04OVlVcVKYYctUk + 63hyi5lRzaqesiaJrM0d8eSa1N00pQgg34zFWxunuZn/DMOYUpqOu/W0no5j3yw9pfWBsXNGVacg + 8M3+EhnHOi8xtXu8vJ5SSinlorn0Iv20CzbHw6mzad95HfHkW8H27t51XTyYAp5ldnJNTs7H009N + p9j+60man4bzgPCtjPkYZuSDbjXq7tP5O/X8hu1GKnY83hy0J3HgWwniUX7aVkRi83kM/FysP5uu + qpKj16qimiLRu7V6fLyc4mzny3Gsm9TvFmMVUXcrpYv14ziMY611NHMRTylHCHGMYNp2GMad9V+9 + eu2cdmtt0a67TZG3UX9rdRxrazXWtGbzON5ISI/Wd9b/2GOP71ovcb605q2NZhJXSxHLuXNvZlLr + MI5tHFeRZl5KvwnKbe5qVltzszqObYrRnS+PjpZRcqpZJKn6OLZp23m70cpUc/TKbG8s99YOd5fm + bTrX45ydDtvzMrg3Z/CsWheXkuNAnRpY/0839U9HnbvFnMbKWF4kbnxHIrBLiRdCO7VSZvWfjN3l + 5OK99dS57e4sltJ2qvr65WNT/+ZoX5fZejswbbhvf6W0Owl+qn9ew+Y4FxHfrm9dLl5VT/bdnrcn + p0ak4tOrfYt3EO4nL3YumtZrNsHTJ8vNeXnR5VTD5lqyXuO2rm9eXpO4nerJ2Xp2Ls/2ysxERdxO + RnemttgqHq/Lz2ZA5aTPO5fxbJRcj2j/UXf2yny2wLxM2ry/2tpcNe+rqu97dx/HsZSSUhqGYZbF + vv3uztdHzrZ4366qpZRxHHPO8bpDsjUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAQKw1AAAA + AAAAAAAAAAAALiRiWY+OjkTEzIdhODo6evjhB5fLoykOVk/Cj/fG/tVap/Lr1OAprnhPuzvXT3F9 + kygZsbXxeN6x1tpW7PS8t2ftfGqK2Z63GNUOw6BniFhSEcmSJVKo3SUVFcnr1Go9s7Qa/yciIhGY + 2aaYcNXInVXVdQrvsFzOplE28dA6j++9yHya180YT4bv7q2ehATPo4Jz0XVasbvbSYJjSmlnqPm+ + qXabpYaLTmtKKdOE26z+vjuYhQ7XrWpV11ngqurrEdm5y+hqJBBXEVmUbJuEVLNmtl5fSnGPtFpx + j2PYz6nZvbl77MGpVyKienKCbKbPRaTruk2gss1DoLuuc5/ipWOpm5zgXfM5231zpZzEJM9ipn2x + WOgmvn1mHRcdz2z2u54T5VnrMMuBjsLu7sNwEvo6O4R8q//TkeVuZ1pxVUn78l3FNhHdaX6Cu/s0 + pilP+myc9tS0WZ1+nWZJRCLU/KyoP2cVkTj/YtJqHaYmotubC6PF7o3fRCRnTWlvpunUnzMFTETE + k6iLR5R8Sjn3/YOiJp62lkmLebUmLk08afKzZWK9Stbkbhpl4vG0bVcWO+t3053rS+5jW/M6tTu1 + Ip5c2vzZpEXUpj64tFbdvJbc7xvXjvUn8eoecdpxYIi4mU+PI8Z25+PNKW8p5Z3ht6opIrp9Fr2r + KqV0UxB4HD6qKdbPTrt1WLiIn1+/mUewd61jbBU9jMcRZD5Fhu+s59q161M/t8pE3HgEmZu1ebvu + UutYa2uttmZbY4y48fNjzq9fP5r6OYWUx7PTiKLmWJ9zicfTs7a5/u1sdxzrrY13qmG+VVzkW7Po + VWt169lpee3a9V3tyjAMKbVa45o5nbzTdXh+xdh5os8vRKcen61hq/D01Dy3O6m4RjL2JuU4rquy + eb3aWkb8djpZN18zr0Gm4PCpSzqrY1Nm3q675HIq2HuKNt/XHz3T4s7+TMHkrZ1kk89HmrKYnWzr + 8wj4Xe2mtE7FjpIq63xr25VZfo75u8qUkqq7a7yL2VM4Gkgippo35ZtIGoZlrBeReFxKqvVGwfKn + lVLirWC81l+/fn2xWOx7EwgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgaYhYawAAAAAAAAAA + AAAAAFyImZVSVL3WUVUODg4Wi8XR0VHOKrqOJp5l0/q+GFqVJJtQ2E16pbh7znln+U3s37a+L5vw + 2ikrVEQk50jssykzeAqxPhtqm5Luiwfe3XnVktPUaMQ5R8OHfbcZ15Sm6+7exOaN6iaOcufsuIqq + 7pyIk+xhsyn41927XKanpixkEYn5nGfiRjFre8d7qp+yTsmOeOPZoCKz2afCSVVTmkK+13HjW+Ny + z3uSFDXpVoXRThtrdElFkiZN6303DstZbLDmnKYY71nUtEQW8jmm+MlNcrO4uIkP4zgdKllVTvKS + Izx0E/25qXxnXOV6MnUz/5Hz7evyUfk0b1F4tVrNk8OnqHJfN+sSUzQdPHvyTteJlWci29smBj6l + 9d6K9cOwnJ8aad0zdbcYrLurqPiplNezulKm3R5nd4Sdni7vIuspnHbONEXxYMd1YH1J2ZsvOgXn + +rzCGKyqqJQy5b5ra01OF4vt9OR65ZvOxTTvOV82pdzdW5vqyiqnDzwXFxV1M13XKN7a1IF9CaPT + SX3qXPKUc4rUZm/NxcQ8p6KqZibR1a2l1ySWUlJNEZsqkkTULAY4pZW7iKl7s8jITeKeNCcVKXHF + buImcZbMlippZ7ttXEU466b19eBbG8VcJKl6SVk1x+NxrCIWI0qpiHgu6eT7jTvidXf1R2RcjSIi + klRM1yG/quop6SZR3teh1C6qsevMJam6as7qOacpRf5sfPKmAzGeCJ1NImZ1VPUkKSVRzRFSK2J1 + HKbA2qRZk0jKIvGCZfMa1kuXpJ5zKin3pbiXKex2qidKxpodNUgSsZRKzH8s589urZnqnNc8lYlR + TOXNqpm4t1L6ne2q5p3tbvUnZls1Xk/WrUztqnrO3bwG92YmZjWlsm+805zMZ2aqOdZMI3XXs6M+ + W/PU5z3tSkrJIkd99hImIjlnd2+t1Vprra21eKWI19N4sY5n46n5VWv+gnv9+vWd14dxHFtrU7VR + vprF5mfb7ft+Z7vT9XC6IsXy6tWr+65L0e685+7edd1U+dS39SyfvEsSM4sN5+3OXblyZd6ZydTb + rXb7vl+PvdZxHGutMd64JM7bnbq9s92rV6/L/EV180p/7puIHZL65q2o+Ppd6folO6X1zo09piop + yWYaTOKaG9clERXPJdVqXZfHcVCRg0W/XC2jkZ0ti4iLbfW51ppzjtlurR0eHsZjPf/tEQAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAICnDWKtAQAAAAAAAAAAAAAAcCEppVprxO+Zy/Hx8WKxGIaj + CIecAhFP0lj32IqJnWJ959mH86f2mWcWyia1WkRaazozPTuFHcomMPL8fu5rfR5jPG8l4py3Vsb/ + zQOb5XSE83ajLr555myxeT731Farbd7ofD636pk2P3/I88lR1XEcpzpllsI7LxxzEjGWZ2N6o7bz + 4p/P9M03MefzgM94qusiPnwdgGoW0adtHvi6M8x11zIiS0+iW5OY5l6TiydRc9NYuppKdlmvicdR + RiWLrh/Pl9vrN+WncZ2NIZ8Pfxqyngmons/bPmc32czbej6n3RHr541OWaRTQ/ODal8M81Y86tYJ + eLZ701PzCs+emDdM35yOn/lRutXEfLz7qr2FSd4qEHHhW7GpW6fhNNhpis45L3Z0Sa3WqEdF4kAS + 9zYraPuX6t7M3Gyc9uOU9OzuquYuXZc3B6e713mZG9V/aqnqqjHYtLm61ziv3cXd3EWkRf9FpOuK + 2TqJ1mzebtuz3N2fnKfs60jsNvdm1lTzJuo+TrrYxEvJMVgRm8Wj74+dXgchx7MnS9UIUVYRd2/T + Tyn9JtzdYuCna9segkck/LpmVfXNz7qSzRVv6snuXWBWRczd5v2MeuYH9VRnnPSnQ6DX8x9l4mhN + KQ6cuPDu2C+qtpUIHq8bm1j66dn1cbu52jRV3bQiIlrrsFXnJi98Z9a4zqYujpyT9SISfd5cW5p7 + nF/rI3AzabLnSIus6N3jrdXOvtlIKU3hwV3XlVKiQLyJigfzF9Cdl6+w73qbc46o5ihzUtts786v + w9Hu1M999U/XnH3t6jqYef3KFU2r6vS+a17J/CIWJd09QqnjdXBn/VMN8wtgxITPXxbDOI5pc1BO + 4eKRNT5VqJt3hmZWyu6vTE9djQe11mEYaq07XxdkFr99tp5xHOfbRutmFonmUXO8XYyDQmQ6C07a + HcdxsVgsl8vFYhGXzfe+972ve913rIbdsdz7xK6RWZ56HDk3VQkAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAACApzBirQEAAAAAAAAAAAAAAHAhW0l4OedIy3N30e381xvGwe50U1udjRucchbPFpiH + yz6RHu7MONxq90zJNE/fFpEbtLwprLPHIhIJiWealpR2fAVoXvjiA905N2fGtV14Xn5nWxfpwFYZ + 1Xn9p55dH4auokk8qYp4ElXx5GLiKqrTs7J+dsfS7aSMW2yVJBJYzURUXEWSuMTSRUWSahI5tX7f + 0s/UsF4/H9d8aCdPnR6xy/zxDc3rn1un0K7Xz5qdp7TvaXTesX1B8ConMaXrinz3QSunj+2tLPvt + 3t9oyOvk0E3JPV09m2J9g2rnlV+wgDURcZU8r3yTUisp4kvt1DPup+btVM22u2lNdnqUNx7Fpgvr + 8inLJvR3tnozg22Kg03bm96ymCTVaLXF45NnVESk2RiN6kmLt9LudKEXtVhqzL27qLmLqIlE2Ly4 + mJuLmmoS9c1WEltt1pxdrsucXU71a3J1lYhDnrV4w6XOSm76YC42tavpQj3ZMwqTdYrzJuh6k0Eu + 67Rp20R0+7QXTnbWbIekfQeen7rQTLtk73G6OfC2XllOn7EnL6d76/HdT/msznUNOn/midrM1axF + l3hrNLW1ObnczNI6xbid6dKpSYiVEYi8s93WprhunXaWqrhuXfXc431CXvdhug7E+bhV/zTtvo7u + 3rbeO2mabYtK1+nMm8o3lcisnMlm25x037jmNZx63fc6dXvqiLvkojHls165S5tfvqLOlCXldF67 + evKgdKl0BztLhocefsY5z94E3x0f7u6llGEYIty667pa6yOPPPJ3/+43xK4XEZckIl3XRUi2iIgk + EfH5GDf7ZHoDHxHaZjYliE8p41O8OvD0FPHzUwj99DjOl8ien4Lq46wBANx18cY75zx/J2NmZlZK + iSu5iNRaS+E/nQMAAAAAAAAAALgVW5+eX+STF1Udx7HruulXvpcCAAAAAAAAAAAAAAAAAABwj9t9 + TzQAAAAAAAAAAAAAAAAAuBd5WidB3r6l3O4lgNtJjeXupdzUcncGMPD0YLf9R6toFbWtpXl1GTW5 + aM1FzYeHn/ngq/7y577mNX9fRBaLTkRy1rgF8C0MrO/7WqvM0nlrrdw7GE9zkXs6ZcBPCdZxM+5Y + PyXB+yYzHgBwF+WcSymXLl1S1ZRSLLuuWywWcfWOyzWZ1gAAAAAAAAAAAE9ESmm1WqWU3H0YhlJK + rTXHN1f2EJGu69w9Pq8ZhoHvpQAAAAAAAAAAAAAAAAAAANzjuD0HAAAAAAAAAAAAAAAAcDv5TSYZ + 3+wN3AhWu8+QbI1bRswwcE+5t07JxPuBJ53e/l3sImrzZSklJamt1qFmLatheeWPP/Lg5Utf9EVf + OI7ja17zTZcuHRwdLVVUU55SeC+u1hr3Do5M6wjuHcex67rbMDzg/tBai3jU6deUUs45wuMjKtXd + a61930+R8ACAu6W1ZmZd10UKQiQi5JxrrVHA3VV1uVweHBzc1Z4CAAAAAAAAAADc9xaLxTAMfd/3 + fR+Z1q21fYVTSvFtlviCipn1fR8fyt/BLgMAAAAAAAAAAAAAAAAAAODmcI9UAAAAAAAAAAAAAAAA + PBF8/wQA7hTnkgsAd8QdyLSeWpktzWtrLWVx99KlS5cumdfVaiVqX/EV/+WrX/23jo6WOauLm9Up + hffiIr56uolwxECSaY2nuSnlPc6IeDyOY845nmqtuXvf97HybvYVACCScz44OBjHMX5NKS0Wi8i0 + jvWqmlKKx9O1HQAAAAAAAAAAADertRbR1CJS6/qbKjnntIeZXbp0SWZfULl+/TqfswMAAAAAAAAA + AAAAAAAAANzjbvp2ZgAAAAAAAAAAAAAAAMA2klb3c73d9d+R2EU8ae61k4Xj537D9Ra36n7Pc7vf + +4/7zF262JqZiHfdorU2rGrf9weLSyml5Thcu37l67/h71y7du2Hf/j1qpI1tzrc7PuKCIBU1VJK + BPSaWWuNZGsgpSSbrPdQa41gVFUVkXEcu64zsygJALhb3H25XIrIpUuXjo6O3H21WuWcW2vL5TLe + 1USsQiklruEAAAAAAAAAAAC4BfHFkvgkPTKtV6uVu5vt/t5pzvno6CjCsEsprbXLly+7Ox/ZAAAA + AAAAAAAAAAAAAAAA3Mu4pxIAAAAAAAAAAAAAAABwW9kmOfj2LYFbw/EDAMA50m1b7tb3fdzbN6XU + Wjs+Ph6GYRiGvu9z1lqH137TN37xF/9n7tKs3cJ44hbDIuLui8VitVqllMi0BkSk1ioirTURcfdx + HEspOed4yt27rqu1kmkNAHedqvZ9H6EIIrJYLEQk0hRSSqvVSkQi5VpVx3G8u70FAAAAAAAAAAC4 + r6lq5FLHp+oHBwf7Mq1l85l7zrnrOlWNZOs711cAAAAAAAAAAAAAAAAAAADcknK3OwAAAAAAAAAA + AAAAAICnm3srCUz9ttZuLiJq4hddqstNxVq73s7+P/08LXOen5aDvi/dOA/1KYeD88mUbuvrHe42 + 3g48yfz2BVqfdxn3JllLG63ruksHl+Pevs3rcnl0+fKlxx+/Wqu99rWvvXr12s/8zM8t+sVyOJPU + GIfCnvO91hopjyLSWjs8PIzHqhxBePoys7ibtojknCNXvuu6SLZW1ZRSnCORcg0AuLvGcRyGQVW7 + rqu1rlarUkrkKKSUIuW6tVZKWa1W8SsAAAAAAAAAAABuwTAMfd+r6jiO8dFMKcV977fQokBsFcVU + lS+lAAAAAAAAAAAAAAAAAAAA3OOeVje4BAAAAAAAAAAAAAAAwAVdMEmUwNELU7vo8mYyrW9vn/G0 + wFEEAMC9YhiGw8PDnPM4jq01MxMRVU0iwzA88MADpSRR+8f/+B997ud+9mpYidjs58ZUNXKsI6bX + 3XPO3D4YT3MppflNt+OMMLOu60TE3aNApF+fc3tuAMCdMV2fg4jUWlNKKaUItzazUoqIkGkNAAAA + 4HbTC/+tKEremeXt6P89zp/UP3JHba4nP03FRadl0/OWLuoqsl5e1K3twfP7f3a9rzt56ifW33U3 + eyTPd9DWzoqffQWexD5cZEQAAAD3u77v43smXdcNwxAfwZzzuXkpZblc9n0fxeJDdj5nBwAAAAAA + AAAAAAAAAAAAuMeVu90BAAAAAAAAAAAAAAAA3Gt2p+LF/cVSKmZVRHLOZqa3civWeytG907cotfT + TZS96cpvdgOc5yZ21VPE02/E97176xIK4B7B24EnmU4XW7ttyx1yl1bjUlRSUZMmSUyaiPTdwWq1 + kiylFFNbHC7+4T/8B69+9avf9vb/1329+1PJ1ppGQO+5dwRWzWaeUs55nf7YWss5x32Ep3sKp8Sb + BDxdzI/2iLWONVPou6rGY2LgAeAeoarxNiaYnby/mq7qEZZwp3sGAAAA4P4RfwvdWiMiYhf9i3ty + sdPfN9j37YkoeWeWriYiF/nnUIq/Vqu6u4qUUoZhWCwWx8fHfd9bOyk5T0q+e2G9u/+07ppMJOl2 + yVJKrVVsHaQXf+JrzbtcWotxa3NLKWlKZhZ/GFdVSUlFmpu7u4qrSlL15GqxdFd3u379aBiWtZqI + pdz1i3KwuHR40HuVpJ40mzS3dUMppVZrSkndzUxVc84i0lqLZ721aq3PRVKKAqpqZpI8peTu8a/g + Uoq3GPV8BranKalMc9LndOXKlYceftaq1Uc/+MHjsZr7aC2l1KWsIuNq+fyP/bjDftHqmDXZbf7E + yeXkMJoHSGfRsbVF1y/HQczLoq8xY5paa5LWE6KqotpaS10xs+jteue6m1mUVNVmHrs1q6aUsqaY + 7awa6+Nvvqoitv5AxFvTnKInSTSqyl1ZrVbV2uHBpVqriqvvzQ6fj+js9QEAAOA+Fe9dRSTCquVG + n5sfHBzEg3iXNW0OAPeLUoqImFlKyczMLNYAAAAAAAAAAAAAAAAAwFMYX5MCAAAAAAAAAAAAAAAA + AAAAgC13NNP6vH5sbo45jqOIDMPw3I959j993bd95d/6r9/5zt9KeRNsrupNNGWXurOeKaNluuem + SFLN7m21Wi0WiygTxSLr+hZ6CwAAAAAAAAD3o/jTqKYb59BGbK2rqIiouG7CnnVHsanknVmK5hv+ + IVpnvTJ3V7FmXquLpJRKKfHX45uZvLtBTXxvJ4dhyDlr0ik6WkRS0rE1Ve26TlVtHJuZi7v7YrEY + x7GJJ5XjcfjABz7wm7/1W7/3e7/3/t9/9PHHH//gBz/46KOPfvjDH37s6pXVOIqIbv4wP+35nFNJ + +aMffubDDz703Oc+95FHHnne8x75+I//+Bc8//nPec5zPvZ5f6JLuS9FWqu1NquqqlmH1XC4OCiL + XlsTkfjT/TrTWsQ3Edc553U8drvxpwzTpxFJZLVaPfNZz3rssSt50b/6q/+bt/ziWwdx0WRuSURF + DlL+9Xf8yiMf/RwzE3HNd3S/TwnQotKlPAyDi/cHi02qt4pbc1NPSVXiJydV+cOP/NGH//AP3/e+ + 9733fb/96KOPfuQjHzk6OhpbffzaVRFR1YOuf+ihh5797Gd/zHOe+9BDD33iCz7hEz/xEz/mOc9p + Zqqp5Nxa82YqamLDcplzPjg4qLXWWhd97+5a8jAMq6OjUsrh4aGbt9bKxeYnbc79KQMbAAAAAHBP + mb5Ht2Ucx5zzOI5TlHUpxd1V+dcdAAAAAAAAAAAAAAAAgKcyYq0BAAAAAAAAAAAAAAAAAAAA4B7V + WovIDTM7ODgwsytXrjzyyCPf/73f9yVf8iXv/71HzUSsdV2X+m61XJ5TlaqmJK3VnLvWWt+XSMhw + 9wj5EJGUEjfiBAAAAAAAAPDUthVfFH8mdXfbnWp0TkViepJie6rO3cVvJ/WbbcA15ZxVzd2b23I1 + qmZ3P9t7vb1dvwnrrnlyEXVJutW3JCIl5VabiHTdQlWPj4+b28HBgaQ01joOq5yzJ9Gc3WwYx5/7 + f/71e97znne84x3vete7PvChDx4tV77ZWfMcaRdREVWZjpOIQa8urdnQ7MMeKRslAAAgAElEQVSP + feRDf/xHv/nb/95sfYQlkZTk4Wc84/nPf/6LX/ziF7/4xZ/ykpe+6EUvevDBZ6yWy/7ypeayqmNr + rWgSEVHtSkniKSV3NzMxV1c3X62G3G3fImaeni5n0shz6oahHl6+5Dn9h99+bxUXEfOTMY3x4UJK + KjmJ2u0+QqN+P9VzUxnH4eDgICUV8aGO1VrOxUVNU+pLLmW5XL73ve99+9vf/ua3/sK73vWud//7 + 99TW2unemoiquK9303oGRLKmnFJt9WOe+zEveclL/uzLP+0Vr3jFC1/4wsuHizrWw4PDxWIxDMPY + ai7ZVVd1HMex67qcc9ESeWbjOB4eHlptJ2PZxNufte+aAAAAAAC4x3VdJyJTjnVKabVa9X1/t/sF + AAAAAAAAAAAAAAAAALcXsdYAAAAAAAAAAAAAAAAAAAAAcI+KeJUIllgul4eHhz6M169ff97znveD + P/iDX/4VX/E7v/P7JadxHGVsorovdaLrunEcW2si0lrrum4YhngqIltaa5FsvVqtSinxGAAAAAAA + AACeDiLXOZVuZ07tOXRXWPW+gOmbrfyW+KZTN1iaurhWq16t77tFd7hcLvtSzD3tTuW+t6icBCRv + Tew4jn3fm9nxarno+ksPXK61DrX2Bwee0ziOj37g0V/99V97y1ve8ra3ve3d7353czOTqG8KLs5J + 3ERV1E/CrV0kUr+bSBJpIlNCuoscj3U9cyopq4iM1cXlD65c/fCv/du3/dq/LUWsysGivOQlL/m0 + l7/8s//cZ774hS963vOeZ1XN/eDgwJsdHR1l0ZRVXVR1in8+/4/2U8pyzEb8mrtydHR06YHL/+F3 + fuf3P/iBpOq+LhVzd2lx8Pjjjz/rGQ91JVtt65juO670Xem7K1euaE6Lw8M2jE0l59RM3vyLv/BT + P/VTb3rTm9773vdGjrWKVBGZpYyLrIPGWxwPut5NSaSKVDdtJiK/+6EP/v7PfvCnf/ZficjzPuaR + z/j0T/+8//SvfdYrPuOjn/VRq1YvHRyY+/GwvHx46eDS4XK5jJBvd8+aWkpifrPh7nfkfAcAAAAA + PJmGYYgc69VqlVJaLBZ3u0cAAAAAAAAAAAAAAAAAcNup+03+dzMAAAAAAAAAAAAAAAB4anH3nPN5 + XyPRWGR3TymZVRX5sR/7kZe99D+Sk5v3AgAAAHjy5Zxrre5+eHh47dq1vu8lp5TSY49d+ahnPfvf + vfvdX/7lX/7o738o3s6nlNbhG7v0fT8MQyml1qqqBwcHy+XS3SPW2t2vX79++fLlOzc2AHjyxNVM + RFQ1LmsX3HC6Bt7O3uFp4Ykce9MBjBs6Z57nK5lSAE95Wxc6VVWRJCIibXb9U09JxMREN9maLkXk + N9/xjj/x3EfE+YgHAPC0Nv/Hhaqa2djqt3/3d5umm6hk3/o9/zp03R13/WRSE08XXFoTz54slaxi + Xmt95ed8zp/5lJeJta1RTKnJt1XUf3b29NyvpiQXUxFPJzWkHH8tH1pVc8lJVSXpW3/57b/w1re+ + 8ed+9p3vfOdqHFVERWwrmDyJiMQf2pMmc0unvxkTydFTPPjJelWPmGVVMVvHX4tIkogJd3ffNJZi + lckzLl365E/+5Fe+8pV/8S+88hNe8IJLB4cpSUnJm6l5UlUXd48x7stVnlbPY61dxd1zV1Zjfesv + v+2vfeEXNhETSTlba0lERbLIe975zmc//KyctLW2s/In0dnu+yaU+mi1vHTpUrfor1y7dunSA49+ + 8AP/4od+6If+lx957Mrj165fi8I5ZXc3N98VHH92apJoSklVSynL1TKJlFxqqyLSlc7qWDQ95znP + +U/+6l/9sr/xpZ/8ohdFV7quG1dLq22xWKhqrbWUoqqr1arLZavn88d3/nwBAOD2mb+7EJGmUpN+ + 3Auef/14MBFZv5GOt81736fx6RsA4D41JVuH1lrO+S72BwAAAAAAAAAAAMCWm/3veYX//hQA7jc3 + e/+QqUzcwIHrPHALyo2LAAAAAAAAAAAAAAAAAAAAAADuhtZa3/dXr14tpRweHprZcrVy94ceemi5 + Ovq4j/vYH/iBH/jSL/nP//iPH++6bhzHc6qK/wCjtfbAAw9cu3bt+PhYRFJKsX76LzTGcYyYhzsy + PgAAAAAAAAC4OyLNerrHTTX/n77lW24q2nfffW72JWNHqLHetuXN8iQppeRizVSkU7l8+fLLXvYy + F023P4D7iUun+xixi67Sal3VcbFYXH7GA1evXn3Hr7z9DT/2Ez/9xp/5w8f+eDQTERPJIlKSpuS1 + ppRqs5hHFbFNtc1NRCIcWcybm4qYuKqmuMnR5q/rImLi4hF4PXVIJIumZOM67lGTZE21WsRm9315 + /OjoF375l9/29l/+ln/wrc96+Jl/5VV/+Qv++l9/xWf8uSQm5lm05Jxy9mbWmuYb3FkpEiinZTNL + 7prTG9/4xiwqSWwztpJLErfW3H1Vx041pZsIdH9STPurWywudbm5j8Pq1/+/d/7z7/quN/7czx4P + w5Q4HmeouSXRJhKrI7JaNredOskRFxFVMTdxsyYiY6vxkceq1qypuVkdu9KNbfzdD33wu37g+//l + 63/0M17+aV/zNX/7lZ/zF65eufLMhx4eV8va2kG/cPfVarVYLLquOzkyLjY0AAAAAMD9JW5q3Pd9 + ay3+1blcLg8ODu52vwAAAAAAAAAAAAAAAADg9uJeYwAAAAAAAAAAAAAAAAAAAABwjxrHcbFYHBwc + 1FpFJKXU59J13fEw5JyT6ws/6ZO+//u+56u+6qv+4A/++JzkklLKOI593w/DcO3aNRFRlZxTrSYi + cTvOSIbouu7ODQ8AAAAAAAAA7riIKTq7solsxVqfH2OrexKsbf8mJpJu2/LiYlxJ0lgtJqKImKuk + vBrHPmXx9d+bdR0sbOst7qx163vateiVJxcRjS6rifSXD9oqvee97/vJn/zJ1/+vb3jf774/SR6l + ecyVppS0tebVYtqa2ZSIHLHUmlMpZRwGiXDrKZBcNOUUadBTN6bHSdM6sFok5ywirTYXE5Gu69Rl + rGMTS6I559rqMNRSiruPran7H3zkIz/0+h/94df/6GHpPv/zPu+/+LK/+bKXvPSwdGOzLCo5uW4f + j7EiQpTj8RT1bSpdv/CShuPVG3/250bxCOmO3tZWVaQXPTo6es5HPzu711rPnhRPMrXkYpKmPruo + iVw5Ol4cHvzqr/7qt/+z7/ypn/qZoY0m0vW9uEXwfMyqi7uqpiQibmZu8/NTc1qXnEVca0qRQ1bH + UUQkqblLUnEZ6igipZRW6+NHR//Xv3nTz/2bN73ys/78N3z933nhJ/2pj3rmM68/9piZLRYLzSkl + ac32BdnP98LWSgAAAADAfWT6F2j8o34cx/jOXincshUAAAAAAAAAAAAAAADAUxnfkQIAAAAAAAAA + AAAAAAAAAACAe9Th4eHVq1dLKaWUKXl6GIZSutaaSl4uly996Utf97rXfeVXfuVyObQ90SWRSDGO + Y9RQSqrVItM65zwMQ9d1U/07M10AAAAAAAAA4CksMnLP5Aaft4m7NBHdRB6fv5w1dFuWEWq8/k0v + kMgtYm6ak7qoi7uN4iLS9wfeRhPJ93Yu75Qi7ElkMw+exER+9A1v+Bc//ENv+aW3JRHX3ESqrP92 + 7iLNTW0dOpxKdnePLGoVURVVMXOzyLQW1fXO00iEdm9tvX5KtlZVVW/mbipSNDX3iEHOIpqymdVx + TCJFU0qptmqtxqZ186Dk4u5uzUWq2xt+4sff8BM//sizn/P5n//5f+NLvvRFL3qRuqif2q9JxPUk + PjkeR0p5cnGVaq2N7c2/8Jbfef/7XURTVnEzU5GkSdyaeM651hqDeFL3z26mIr7JtFYxEVd57Mrj + r/m6r/3f/8//4/pyaSKl69R9GIf1uKaNVU1c7GTaT+p1d9s84ZtdKeJmzUxENKXYe5Fa7rLOva6t + ikpOuVlTl3/95p9/85vf/MVf9AVf99997Z/8hBfUYayxeU51tcq5u3hY9XzXAAAAAADuC621+Gdy + SsnMIs2aTGsAAAAAAAAAAAAAAAAAT3npbncAAAAAAAAAAAAAAAAA94e4uauZxa1sc853u0cAAADA + U1+tte/7uFdmZE6LiKomt6LSbOz6vFotX/7yT/3u7/6ulCTndZBD35fNgwORJJLc15HVIlKrRWaD + qkaa9TiOKaVSiqrGShGZyru72Z7EbOC+NR3V04M48qdfx3GU2YkAALi7WmullLgm55zjr5Rd14nI + YrEYx3HzPqfemSwuAAAAAPe1+BNQ/NHV3ae/vso6pHjHPys0JU1p/qsklaQuIiop5/XjzZqt5e3+ + WSs5l14kaSqaiqjkroiKJBWNuF/RvBmFqpuZmbmVkkWk67q7/qdg15PI6hB/sq7WukVf+q65uYqJ + N01NU1MZrFWR66vV6/7ZP3/Zyz/tv/rqr/75X3pbExlFBm9NpElySdMsTfvaavNmJzPoLlvDdz/5 + 2VovmxhskQjGLpqSiLvFCJLIZunrvHG31pqepJwn8SSeVFJttVkzERMZWnNNTeTRP/zD7/je7/nM + v/jKz/5Ln/sd3/Ndf/T4Y81Nc6rW6qawJDVxEy99pzmZeCrZk1ZrriJJX/tN3xRZ182au4okF2nu + m/RnTSmpqnt7Mvbe2vSJQ5xc6zn31Kq31haLhWhaDqOU/C9f/6Mv/7RP+7H/7SeuLpdNRFTHVmu1 + mJlTP6Ziuv3r1kpPKjsKe5OTX6cHEj8SM99Emsgg/iM/9uN/4S997uu+4ztL34+tNvexueaSUor5 + H1t198VisVqtpniziIcHAAAAANy/4j+QiX/oxT+W73aPAAAAAAAAAAAAAAAAAOBOINYaAAAAAAAA + AAAAAAAAAAAAAO4zkbabc45kiJzzp37qp377t7/OxVOSvi/DUEWk7/thGBaLxb56pvSOSM6utYqI + quacV6tVxLdMmb6R+As8ZUyHfUppGAYRyTlPqair1arrunEcI/c9CgAA7qKcc1yiF4tFRFynlMZx + LKXERTui1+I+47xvAQAAAHCOncHV6uvc58gwXj/tIi45ZRX1Zj5lHkcMtnspJZUsIs2auEtScV/H + Fm8t70wWUmtNXERSV9ysdF2rVVyypqQphhNBzl3J6hKxzCmJuiSR1WpV66DzCOh7gJlpTpcvX75y + 5crjV69I0lSyq7YkXpKl9OiHP/w/fOP/+MI//eK//02vff+jvx/5xBFU7LIdkv3EaUqRZn2SeK2a + czaPw0NzyillEXGRZi2XTjTZukvexEVTVzrdc1yYiKRkIqNb7kvqy6/9xm984zf/z3/6U17ypX/z + y376Z/+Vlnxw+dLgrbmNbpqzq67GcXSr1lbj4FlTKamU137zN/3Gv/vN6m4xFb5zvz7JO3scx2c8 + +KCIrFYrM4t/oXddl7vSHyxSX64eHx2tlo9du/oFX/SF/+3Xft3xsBrN1gHwKusz6Ob3mp4+5y66 + zeyxi8Rueuza0d97zWte9R//lQ986MMHz7i8GgdXdZXclVprKUVVj46OFosFfzcGAAAAAAAAAAAA + AAAAAAAAAADAfY1YawAAAAAAAAAAAAAAAAAAAAC4Z6Xtb32riVrX51xUWq2rpXoblkcHfXnVq171 + rd/6zSnLWKuoLBbdMAxd161Wqx0Vb4IqVDXCfXPOItL3fUQyRB5Da83MokwUAJ4yWmsRDD+OY9/3 + 4ziaWdd1OefWWt/3IlJKMbO+7+NXAMBd1Fqrtbr79evX3f34+HgcR3ePZWRau3utVUR43wIAAADg + HFuZ1u7u7qqaNn+QTSJZpIgUERWx1iLoWkWSioqIuZh3fV/H0VqLSvvFQtzTuf8e0dv2IyK5FBGV + 2haLgzYsRcxqE5eS1VpzMxXpk2aRLGJji0jmJKImrbUk0pd86eBARETXf0H2ddJwEr9r9yfRnEX0 + +Hj5jAcfeuDBh6SUKjK6V/ff/dAHv/6//3t/5s9++nd+z/cdDWPOqYqsI5xjumN/bnb42Uk74Wd+ + zppywc1VNGlKmlRUzFtrruvg6tHaaM1EPKXUdUMdm5tvMrZdpbmMzfZUv4niVhWVYazDWF3leKxH + Q33Tz7/5y778K/7kJ/2pv/3VX/P2X3nHUFu3OBjdPefmnrsuLxaWkoker1bf9k9f993f+/1DayaR + td2JSMr5dt9qpu/7Oo7Xrl0rpSwWi1JKa205rI6Ol6vWBrNycPDzb/3Fl3/6Z/zfb35L6bvR7Mxc + mIiJ2iZm+tSP7vm5SBndPLsu6Tv2QirJRXIpv/T2X/nMP/9ZP/0zb+wPDgez68fHY2vdoneV0ncm + nnPeupioT6cOAAAAAAAAAAAAAAAAAAAA8P+zd+dxkmR1vfd/v7NEZGZVdffMMMMqXkVfssiiouhz + 730EWVRkVwEXEC/7KKiAV0EQERQVWURkgAEclkFWt8sDKpvgwtVhBESQRQdmZFFmWGa6low4y+/5 + 41RlVy/VzNLd1d3zeb/yVR0ZGRlx4kRkdMaJyPMFAAA4BRBrDQAAAAAAAAAAAAAAAAAAAACnmJbd + qKqLwN1hGEzKj/zw/Z/2tKeaSd/7YUgiktKRMq23xBjNrJQiIqWUGOM4ji0MUlVbjm8LZhiGoU0G + nGZSSjFGVV1aWvLet1j3ruuccy3f3Tm3sbGx28UEAIj3vsVae+/b35Yd1b6iOOfamBDCfD7f7cIC + AAAAOMWoatjKe/bbMq3dwcPORKt4k6jinaRhFFXvvIioSUpJWgb2Lik5hxBEZBw2xMSJSrXOqRVz + W+vlqgURL9KpRJFpdEux81trmsdxvr7hjhzpvDtMJeccu25MaSz5qtX9udYhpSvX9v/Gb//Of//e + O5//ylcWJ1VkyGUsNQS3WfpDY6uPmRCCc6610tdarUWeq1bbzNJWJ+qciVSrKSfZSkRX78R7cU68 + O0oVq2rO2cTUOXGbEdyxDxrERV9FvrR/9cI3vuGH7v+AO373dz3q3Mf8+dve+sUvX2HerQ3zouK6 + +P6L/vExP/szz3z2b67N5z5EUW3B7Tst0Y5pXbULCu3KxXw+r7XGGKfT6XTPcrKaSnnGM5/1oIf8 + xJXra33fbYypxZCLUzmkhMdnNzz6uppIyrWKDDlnkfUx/cTDHvpbv/s7oYt79u313u9fWzPVlFLX + de0yzXEpJQAAAAAAAAAAAAAAAAAAAAAAAHBChN0uAAAAAAAAAAAAAAAAAAAAAADgatC6GMw5O+di + F1OqpaQQQoxe1FIafvzHH3zllVc+73m/LyJdF1IqZlXEiYiIE6nbZ9miF8ZxDCHknHPO0+l0Pp+3 + iAtVNbO1tbWlpaW+70/oygLHn3NORFrSj8hm7NAivt05V2tNKZnZYhoAwO5qB+RFapSZlVJCCGtr + a9PptNbaju2TySRvZbkBAAAAwOHMzOygyFxV9d6/821vr3LgpWKWcy6lXLn/qquuuuryyy//zGc+ + 88EPf+ijH/1oKuZN1HlTqaV472spVmx5eXl1dfXQ5W3LvT2uedHea06DEzWzPnY5jSpSq3iRb77F + N9z+tre7xS1ucZMb3XjfGXuXp7M46afTqYholRhD9GF9ff0W3/ANky5aqYfM+djGHl99bbktHXm6 + vLS2sb68Z898HJ73whec97KXfnV1rVTpQhxTUtUYYykl5a1Y8c263loXFbFrWP+HTd2qoZZsdmCr + qoqaVNuqJBMzMamq4pyKSKkmqrLY61xrqK87FaZNpk5EqlRRJ1YljVlNqhQRcSq5ioh89r8uf/2b + 3/JHb3rLpOvOOeecW9/61nkYP/KRj3zlK18ZrZqICy7l5LwvxUrOGkNNefuyDt3Sx0K7ftF1XWtc + 9d5vbGxoctJ1X77yqnPPPfdd73tvW8P1YXTel1LEbV6PkEM+mEesn2tVKj3sqdnmXrFtW4qI+BCs + 1FKrilTRnO03f+d3L7300mc/61lLk+lseWkcx5LypOu6ENfX17sQD5RNRUT0JMqFBwAAAAAAAAAA + AAAAAAAAAAAAAI6GvjkAAAAAAAAAAAAAAAAAAAAA4BQTQiilmJlzbhzGnFsQhTnnxNkjH/nwWusL + XvAH45hb6OM2hyZbt4SMUkoLXNnY2BAR59xmckZLkhBJKakq8ZA4nahqKaUFoLanzrkWj7r1mdoc + v0sFBAAcpCVVD8PQ972ItAHnXK11aWlJtr7AqOo4jl3X7XZ5AQAAAJzU2unDov1TVb26b7/9HRZP + VbWIlVJqrS74ruuGYdjY2JgtL6+vr//pn/7pq17z6n/40IerSPDBzGKIZrZ2eKa1bMXwHv9GplpK + F2LKKajkNPYhfPM33uJRj3z4Xe5ylxucedbK0lIp2UpVVa9atypBam3rO86HyWRyjbOfj79ciniX + awld9/+9/W2//JQnf+4/vzBmqyIxxjElEQkhjOPY4slLKQe9/1ivT0teVhVV0bo5/6Bim+nVmwus + JrWYbG15VVdrFadOtdYqixeOVDznnIqVamIiVboYSimlmAYnZqnYgThmlWoyL+nSz3720s9+VkSc + St/3Nh9iH+dDEpFi1flQS7GcN4OcD3Us463bVsg5p5Rms5mIhBC62ezTn/vcjz/0IR/65382kSpi + VkWk1NIipg9KmldV26FqjmE5tzblIZ/QkrM6JyLO+7EWFfXRXfiGN+2/8qqXvuS8aLHve4ndOI7j + 6urS0lI5OCkcAAAAAAAAAAAAAAAAAAAAAAAAOIXQpxgAAAAAAAAAAAAAAAAAAAAAnHpUNefsve8n + UUTEXLZaSophEoI+7nGP+8pXvvqqCy5s0dd1h0yKEEJKqeu6cRxXV1dlW+CEiHRdV0ppyS4xxhO3 + bsAJtEitXuSm5Jwnk0lKycxqrS02tZTivd+9YgIAJIQgIu2YrKp937f4at3KA3POteGu6zaz2QAA + AADgSI54vqCqZRxV1XQz1lpFoqoFn1Iac3be711ZMbOlvn/wA3/0QQ960F+8+91PeerTLr3s0uBD + zsmpcyImekgwtC3+Oa6nKSYiYiU5Ea/u5je76TOf8fQf/P4fKCn3MYbgS0olpeiDd1JrkVr7rsu1 + 5Jycc8772bSvteScvTvQGmx64K+ekLzrw5cSQig5XXLJJb/6a7/2tne8Q5xUkxYnXYqJuNaaJ+LM + pBRTcSJyeFTzgYjpI7xwpJLsUMI2d69a62aTYlDt+/7ss87oYxdjFKfjkNfX16+66qrV+Ya0tkcT + 35Kta1ERH0Iu+YiLCd7lXLeGtVZL41ZwsvN5TKISY5drqbmUKqqaizlR730uuZrk+aCiLdPaOVfF + vPe1FhEn3ktOO6zZsbH4fDnnUkq11r7vP/qxj/3Ywx72r5++pE1hZi74Wko/mQzz+YE3m6iqmJkd + VDFH2ERX/9NkR5iDbvt7yCfUxVhT8jGUnKWFW6cSVd76F3/5kIc+9HUXXljGtDSdqepsNss5by/I + 9r3XaJYAAAAAAAAAAAAAAAAAAAAAAADASY9YawAAAAAAAAAAAAAAAAAAAAA4xSxid1uytYg4VS3W + 9/36fD36zkx/5clP3tjY+JM//rOUylHmo6oppZZdHULIObdMa+/9OI4xxkWyNfGQOM2Ymfd+sWOr + atvbvffzrSSVEELLdCfTGgB2XSnFez8MQ9/3tdYWX11rdc6ZWfurqs65NuVulxcAAADAyWsrtHrT + ooGoNQS1tlCrJiLmREWD8yGEKpaGQUS89975sdZ73uP7/9///j+e8YxnvOLVr2qz886nWuTgDGs9 + UcnWQcVMoshd7/K9L3vJS/csr1gpk36Sc5IsTsT54L2KmdXqnBuGwTnXd11rJa6liEjXdSWfkPzq + wxwxEriKzsf5S88//7nPf96Va+tVRE2yiYqq01prO1U0s8lkMo5jrYemWR+kLeJqbIU2iTtsjIjc + +AZn3+KbvuHb7vAd33rbW/+3r//GG97o7LPPOmdlZWmcD9F7Eam1lrYTVcl5/MxnLvvcFz5/ySWX + fOpTn/rIRz7yr//6r2vD3HLWtpqHVXbOVVWcSkvpbiODD7mWnJJ6F0IYx1FEWjL0osZS2Wzbr7XW + rcbPatWHkMZRVFz0dTyQab1tye7wFPCvaaew87Y7ee99DGZm6v/l4x+//4/+yH9cfrmKVjGnamKt + 5JuZ1u3zaNbebnbc98Ajf0JFRKSmJCK1VnXOai1WRSSbeJH3vO9vf/Zxj/+9FzzfVMwslawmevD+ + RJo1AAAAAAAAAAAAAAAAAAAAAAAATiHEWgMAAAAAAAAAAAAAAODqOhBltzVMpB0AAABwnG1LkrAD + CRreuTQWEefUWRURKVJUdZzPZ5Npzbla6aN/1jN/7UuXX/7Xf/03pYqIhOByrlsJC15VrVazA0tp + gdYioiot3zel5FxwzqlGs7SYLITQBpxzzm2P9gBOGYs06xZc1LJSzKx9ENqYtpOT6Q4AJ4OWVN33 + vYgsvn60gfZ3cawm0xoAAADA0dVa2xnEotmnDbT8WhER3crZXbxUiogE50VETMQsqtac904mz/+d + 3775TW/yjGc/26wWE1WpJqKyPZn3oGTr40NFzCSI/M/v+Z5XvfKVzmQSQq7VUgqqUq21hdUqIqou + iIj3TkRKFRFrY0QkFzs89fnw6OKrVSQTOVLKr/c+pSQirXXZzHJKMcaSre/7+Tj6EMacxKkL4dOX + /cdjfubcD/3zh4cxqddaxMyc96UUqSYipWxutfl8vQ0csbAqoiLeaykmos65UkrLjt4Mh7bNJsGu + 66zUXHI7t2znnyvTybd/2x3ufc973elO33WbW91mKxb5wN86HzoRKUVEvIgXkZZN3fe3+5Zvud2t + b1XufGczizGurq5++MMfvviD//Snb3vrBz704WrmvculyrbrAWpaRcwsxj6lpKq5bt6tY2UzvlpE + am4Z6psNm209Sy2bA1uJ1yVtTZ+GtnFN9KD90zYj3K8+U2kXG5xa20JByvUAACAASURBVNBqIlpF + JLhuKIM6r6JJ7Atf/OIDH/KQz15+edkq6hGix7eVZvHq19jprvNH6Qgz2B6svrVftbKZSBYpIn/8 + 1reeeYOznvG0py9Np8Pa2rSfiJVhGGLo2zHEeW9VSine0aQMAABOQ0e/bm7bvtctrsJzqR3AKWQ+ + n08mExGptbamA+ccxzEAAAAAAAAAAAAAAAAApzfdfg8oAAAAAAAAAAAAAAAArofMzHt/tNtIWj88 + 5rZSvqqK/MmfvPG2t7mVXruuiwEAAAAcH+rMOZdzNrOSLcYoPvyvn37EX7/v772XFnUxXZptrK+L + +G1BEYdlSLS5qepmzElL7qhmZf/+/SsrKykl5xyZkTg9HPG8eHvQNX1tn/wW26gduK7+j2XaxPy4 + Btfdddn3OMgAAK6pQ/7vUFXdOm0r2/5LUXNOpEoV1ZYgKCZB5F8vvvhmN7yx2JHPBAEAwNXnnBtz + qrX6vvuZn/u517/lzbmKOalVDiQEb2YNLwaPFxWJIrPo//H9//frvu7rtNRSSh1zjHH7ZC1kuv09 + 3nd87BRrXWsNIYiIqs7n6zHGvutKKWJuY2Mj9n3KWaLvJpMLXvPaJ//qU9eH+ThmdW6Rhx1Cl3Pe + qWV7x/KIhOByrrK1LXwMtVarNYaYUnKiXdcN4yAis75LwziN/na3u92PPfjB9/rBe551xpl5HL33 + Xt01Wu6i+THnrKohhFrrfBz8dHr5l654+9v/8jWvec1HP/axVEsxc87XWouYD10ppWV412LOa92K + qW61uONKHljwjq+qqJgFkX+5+OKb3ejG3sxKlWsSw3wg1lpMRFwVEXFSq4qKd8Gb6No4378x/9Ef + e/AHPvhBE63H9xNw/KkEH0rOXuS833vBA+5z36XpdJzPO+/6vs+p5pyLVVOJoRMRq+VrzhIAgJPT + 4lti+yJXVLLTm9/iG9Y2xioim1dDNm8h2GkmXH07XR3lkla7+JVSCiGYWSmlffV17pp9fwaA3ZJz + DiGM49h13eJSPgcxAAAAAAAAAAAA4GRzTX/PKwffysJPegHg5HdN+w+hfxjguuM2KQAAAAAAAAAA + AAAAAAAAAAA4fQzD0PoI3rt3b865lPL85z//Tt/57TXLZNKJyLAx986LFD1qJrWqOOdqrd57kdrF + LoRgJisrK8MwxBhbpvU4jidmvQAAAAAAAADgpJJSmk6ns9mslPLsZz97ZWVZRHYxvy84eeITn3ij + G91IRMZxNLPZbLZrpRExPUKmtYi0aOf19XUzm82WnQuraxvzIVWR6dKSiUyXl7781a8+8MEPesIv + Pumq/avjmE1EVVum9XXpYKhlWutWJJWVaqWqaEop+qBiUmtoL1d7zCMe/va3ve097373Tz/0p/bs + 2aOqfd+3QO5rVg9mpRQRae3qpRTn3MrKShC9wb4zfuJBD3zPO/7qr9/1zof++I+dubKnbmUhl5LM + yub6qm1lWtetx04LO87x6UddWBUn5oZhKFaHnMacH3vuuf/0wQ+KiJ3qmdYiolpKURUT+fkn/MLH + Pv7xYRhms9kwT8M8bWxseO+XlpZUNeWxGpnWAADgeieE0Pd96x5UVVsQLAHnAE5CuoMYo6p2XSfb + +kTmOAYAAAAAAAAAAAAAAADgtEesNQAAAAAAAAAAAAAAAAAAAACcJmqtquq9DyFcfvnlk8nEe7/v + jD0vfelLbn/728zn42IyEbG6Y/RFjN5MWtJGKSXGOKZ5ztk5NbO+780s5ywirStPAAAAAAAAALi+ + aTlta2trIrK0tHSPe9xD5ESGCh9cGJFJ1//kT/6kmaVhbC234zhelxDo40RVSyktH3o+n9danXOz + 2WxjPk85p1r+6UMfvOe9fug97/3rIWf1Grvg3GZ7dYuVaq3T15TzvuUwLzL2WlO5mAXna8kqUnM6 + Y3n515/6tH//xMef9cxf/447fNvqlVetXrW/CzF6n1Kaz+fXdLltW+ScN1vmzVJK8/WNMqZO/b6l + FTW5+U1u+uIX/cEHLrrol5/4pH17VloAYN/3zkkpo5iFzh8tzfqEUxO31WeN2oH8clPpJr2ZqXfP + fe5z3/Hud5lIFXF6OvRvY2KiIiK52KMf+5icy9ra2vKeFe99jLHWOo6jV+ecOwk/dwAAAMdbSmkY + Bu+9iIzj6JwrpbSnAHBKaIesUkpKqd0ax0EMAAAAAAAAAAAAAAAAwPXB6fCzHwAAAAAAAAAAAAAA + AAAAAACAiIQQvPer+9dLtnPOOWcYhvl8nnM+88wzX/KS8255q2+KndZazUxMJv1k633ukHvLUypd + F9oMRWrO43Taq1qbv4iYmfe+5YgAAAAAAAAAwPVQjPHKK6+czWZ936dhvOO3ffskxs3XDg63thOS + dn3729/+hjc4ezaZhhDM7KDk5pOJqs7n8+l06pxruXfOuf1rq5Ol2WjlHe959z3vc+9LPnPpRiox + +FJsHHNbCeec977WKlLdNe8uxcxERVRKLa1anGgfOycSVbzIUtc/9pGP+sd/eP/PPvYxTrSMaZwP + 0YfZZFJSKin3sZt0/TVdbs5ZVbc3rccYu66TUnp1+7/61TIM+5aX16+66sw9K0998i9ffNE//OIT + nrBnaTYOQ63VeRWtOaUjVeW2x0GreiJ2OLXNx+YydTPc2oc4pPxX73z3i158Xt0spRQ76fbDa8xM + RJwLVURU/+0zlz7xF580W17ZGOZDGvvppIptbGyEEKIPNXP1BAAAXO9471W1lKKqXdfN5/Otb+8A + cHJxOyilzGazdtquqiGEtbU1Vf3acwQAAAAAAAAAAAAAAACAUxmx1gAAAAAAAAAAAAAAAAAAAABw + msg555xXVlZKKfv3748xeu9DCOvr62ecccYrXvGKs88+O0bvnITg5vO5yI49CJuZiJRSlpeXzWxj + Y8PMcs5mpqrOuZbD0UaewFUEAAAAAAAAgJPCMAx7lldSSrXWGONtbnObISXvdy3v6I53vKOqXnnl + lSKSUgrOt4bcXSrOjswsxphSGoZhZWWljdy7d+/axvrvPu95P/Gwh61tzLNICH7IpYUmt5WotbaI + aNlqwb5GqlV1Tp0TEVWNPphYTqOKlFJ+4G53/7v3vfe3nvXMM1b2TGO31E8mXS+1etVpPwnOp5RK + uTZZxe1di23RGtWHjfnydFZyjs5PQ6cm3qSkvL66undlzy8+8Rf+6QMXP+De9+69C+rUDs2tPmmZ + ytp844ovf+kx5z42i3kfilhYxL2fulREREPIJfsQsll0/vV//Md/9c53uBCK1fk4OOf6vheRUsq1 + 2D8BAABOA2bmvc85z+fzyWSy28UBgCOrO3DOra+vi0hKqU22tLR07ZoCAAAAAAAAAAAAAAAAAOAU + Qqw1AAAAAAAAAAAAAAAAAAAAAJwmVHU6nV555ZUxxlqrmfUh1pSn02nf92efddab3/jGfXtXrIp3 + 4g7kYNRD8q1DcCmVrgtmtrq6KiKqEoJbBG8450RkGIZaawjhxK4lAAAAAAAAAOy+LsSUkpnlMZVS + bn7zmzuRUnYtzvamN77J6lX79+7dm3Pu+76UsrGxcRK239Zau64z0Vyqic6HMcTus//5nw97xCOf + +4LfKyJJxESqiog4J8459V50M1rYOee9vzaxwebM1GoVkVYtTmTW9zc886zff/7zXv/a13zD1908 + rc977zf27++ck1yiD1bqfH1DqkUf1ESqqYlek4X3fa+qKaWWgK6qMcbJZDKMG2Yldj6XsZTS930f + w7TvO+eC6sp08pIX/f7rXv2qM1aWo4q3RQXs4Gu8fOxtrwdTMRUTraLm3cMf/aivru6PMeaSRSSl + pP6U79+mn0wsZxHJOavIUIuKPO3pT1/b2FjZu69FoHnv5+sbTrQLp36SNwAAwDW0Pfl1MpmUUlpG + 7C4WCQCOSHdgZu2+uBCCmTnnxnH03u92eQEAAAAAAAAAAAAAAADg+OJ2TwAAAAAAAAAAAAAAAAAA + AAA4TZhZrXU2m6lqCKHlKIjIMAwppclkcsYZZ7zhDa+/4Q3PGsd6lPnkXFUlpawqIhKCM5Oca4vK + 7rqu9d3Z932bPwAAAAAAAABcD9VcvLoYo6qeffbZVaTrdi1G+mY3u9mePXtWV1eXptM0jGa2srIy + juNulWcnXdddtbpfRJaXl4ecfBev+MqXH/7IR77zXe8axUzEBWcqYyomYibVai1FVJ33IlJK2R6Y + d81UE1UxySnVkicx3umO3/l3f/u+H/3hH/HqLJfppK+5zCbTPKaaS3BeqtVa2ybOOV+LOKtxHNsc + JpOJcy6lNI6jmcUYU8nee/Gu5aNLtZrzOB869Uv9ZBq7u37vXT7ygQ8+9EEPdiJtwQdFV+9ahPoR + VJGqYiqvfd2F77/oA977eUomGmIUFTv1LyUM87k450NwzhURE/E+fPSTn3zNhRd+5cqvuhDr1vbo + +n4Yht0tLQAAwInXvirP5/MQQinFe0+mNYCTk+1MRFJKLeVaRNo9crtdXgAAAAAAAAAAAAAAAAA4 + vrjjEwAAAAAAAAAAAAAAANfSor8eAAAAACcJFV+ytfBpEVFVkapqwYmTmvIQorv519/svPNevHfv + bKvXzdq+2IcQRGTRrbDZ5kNEcj4ocKJFhrQzghjjovvOnPNigLhrnPzaXlprVdWW1C5bH4HFCW/7 + y/4MACePRXrZ9g7Ea63te8jiiL34WgIAAAAAx4+ZdV1XSsk59zGqyZn79o3jcT8fOeRWDd1qzLrR + OeeUlKZ9v7GxEWOstaaSXdiMYTYV23qfmujxT2Xy3i9yoVTVOdfa4ubDOJ3MRGSeRnH6hcu/eO/7 + 3++9f//3SayKVJGUa90q3oFimtWrl2bdlnVISUTEexWpajLpeicSVJ/65Ke85c1vPmvvvs75kkbv + NOfsRK0krxadzzmraggh52xmLZ9ve01eHc45VV2cuoYQvPfFaqrFd3HIqZqFzpeSzMyJBuei95Jz + EJFx3DOZPP+3f/vlL3rRLHbRqROJwbmtAni3a/ftOOda/YiIU+99KOou+/wXnvq0p3vvx1KqiImd + VmfotZacq1UTqSIbJReRF7zw9zeGIaXkXWg7SRpHEhwBAMD1ULt8M5lMZOsbOFmwAE45ZhZj3P6U + 38sAAAAAAAAAAAAAAAAAOO3xMxgAAAAAAAAAAAAAAAAAAAAAOM214BARafG93/4dd3jZ+S/Zs2fa + ghVaF5yLMMjWv/BRlFK8963Xzslk0gb2798fQkgplVJCCGQ24OTX9tJFAOoiwbpFvLfxZtY+FPS4 + DQAnA+99CGE2m7WIsvY3xtj3fTt6t8N1zrk9BQAAAIDjytlm42prd3XOTafTE9AwesSmKhOZzWZt + uJVn+8CuGIYhhBBjDCHM5/Occ9/3Vcw5l2rR4IvVyz772Xvd594f++QnTDcTrA8q7rWKjmpteq25 + rzV3tzbtWkoXojNL43CTG97wrX/25498xCOsZDVxWznfh6R9u+NZeSZSVQ5fghNNw1hS7kO31E/r + mJeX99zvXvd6/9/+zS1u/t8672uuarKyPFORUuwIszghUkqz2Wwcx9h3qZarVvd3k/4Zv/7rQ06p + lNbqaq3d9TTIADuskluytYlcfsUVr/jDC2bLS6mWXIsLXkoNIZyA5HgAAAAAAAAAAAAAAAAAAAAA + AADgOqKnMAAAAAAAAAAAAAAAAAAAAAA4zXmvquaslnHog0/zjTvd8Tue9/zfDdHFTkWkhVCH4ESk + lLLTfFoMsKqWUtpkwzC0xN+VlZVhGGKMLSZkHMcTsmbAtdf24UXuaSmlZaPmnFsckffeObdIfN/N + sgIAREoptdYY4ziOuhWI5b3PObdjdTt6z+dzMq0BAAAAnBhm1tKsvWqt1Xu/d3nlxOf3tmKIyN69 + e9XESnVyIM261moqthuxwq2FbX193cyWlpa892vrGzmVyWQiImvD/D++8Pn73P8B/3bZf4wmxTZD + gndkR3/5UK2l2szagFfnRNSKitzyG2/x9v/z1u/5ru9c6ruoTmQzzvpADrE5MVdV6la9tTrcqSbV + Ds3DvjpMpaqYusWjjXei0QevblzfkFItl3H/6lI/vdmNbvyOv3j7d9/xO6bBqcj66rpz4r0eqJwT + m6OsqjnnEMI4juJ035lnvvktb/mT//PnqdZ2jcEWwep117K3j6Xtq7BtN0hiL3/FKz73hS+IU+dc + Ssl7T3syAAAAAAAAAAAAAAAAAAAAAAAATgnEWgMAAAAAAAAAAAAAAAAAAADAac7MWuZ013XDMIhI + zvn7vu/Oz/nt3xaRyTSUas5JzlVEuq7baT611q7rFkEgMcY23jlnZn3fm1nLlTzKTICTRNuNa63b + M35a6Eh7qZRiZl3XtZG7WVYAgIj3fjKZpJTaU+dc3/fti0cbr6rOuTa8OLYDAAAAwHHSYoydiRNV + 1Vqrqi4vL5/QMuiBcF0V2bO80iKuW5awqm6f4MRr4b6tYa21S8cYV/bs+eKXrpgtL33hv/7zgQ9+ + 0GVf+HxVESflWIcyO+dERFVLKSKScorOWan/807f/c53/OVNb3yjYWMjj0lKdWKH5FJvD7QWOb6h + 4FXkkADkVuDOh5yzqs5mM6t1bW0tOn/G3r1vesMb73/f+zmR6JxUqWXXzn+99/NxmEwm6/O5iIw5 + Ped5z22rs4jYXmSunya2Z4ermEgVcU6/cMXlr37thX3fpzF776tuxskDAAAAAAAAAAAAAAAAAAAA + AAAAJzlirQEAAAAAAAAAAAAAAAAAAADgNFdKWV5eHoZBVdVZ14d+EldXV+93//v85m/+xnyevRdR + EZEQwjiOW+9zh9xz7pwbx9E5V0rp+77lStZaQwgtm6QlXrfUDeCU4JxrMT8L8/l8GIaWad2CrmOM + tdavPS8AwPHUDtEiMpvN2iF6GIb2DWQ+n8cYRaSlXIcQdje5DQAAAMD1ymZqbzU1mU6nuxXh60Qm + k4lUayWRrdDrXTw/UrWNjbXJZOK9Tyl5783sy1/9yt4z9l322c/+5EN+6pOXfEaDqyLFROKRymnb + HgfNerNB+4jaqeI4jm1ARGKMTp3V+kN3v/sfvebVy5NJEFnqe68SvNueaW26+ThQBD30pe0THJKH + fQ05Z661wm+fTwhhPp+b0zjps9WxZHVuaWkphqC5TmP3/Of87uMe+5ha6/LSbKc5n4D+ZFTVe1+r + xdipj6957es+9vFPtExrcSpOF9voqJvrlHFgFQ5Ots5VTORl55//pa98JdUymc6GYei6blcKCQAA + AAAAAAAAAAAAAAAAAAAAAFwjxFoDAAAAAAAAAAAAAAAAAAAAwGlOVbuuyzmP4zidTtfX19fX1/fu + XVlb23//+9/36U9/ipnUKl3vNiNYvtbcRKQFM3jvnXM5ZzNT1ZYQ3OJJWq4kcJJrO2rLYjezlNIi + pr3t2DHGnLNz/P4CAHZZ+z7jvV9fXxeRvu9lKz3OOTcMg4h470spqppS2t3SAgAAALieUFUza62j + tdYY44lZ6OHDzjnvvaq2Ii3Ktoux1mYWQkgpDcOwvLxcay2lLC8vf/nLX37Aj/zwRz/5iSKSctXo + xItUO4bRx619r5TSqiKlJFZ/4K53/b3nPu/MffssZa8anFeTYRi2Z1ofKPzB8dV2HGqxRVkfHowd + Qmg7lThNJQ9pTFLHnNbW1rxzlstsMn3arzz1Zx79qLW19aC7mRhtZmNK3vv9+/e/8IUvdM6ZiKiI + mWy71qCiTk+H9tUjVLVKFXPqvvilK972tr9YWlpKKRWru/i5AwAAAAAAAAAAAAAAAAAAAAAAAK6+ + 0+FnPwAAAAAAAAAAAAAAAAAAAACAo3Bevnrll/tJ7PowDMN0Ou26bn19fc+ePWOaP/zhP/34x/+M + 9zKOtZTinBNx2+42Xzx1tdalpaUWABxjHMexlFJrbWnWItKif4dhqLWGEHZthYGrodbaknVExHtf + a1XVGGNKaXtMu2yl4AAAdldKaRzHlhKnqsMwhBBqrSLinGsp16UU7/0wDCcmSQ4AAADA9ZyZOefM + TE3aQGsgPWEOybRuDVytJO3VdtJ0hDceFqV8PNRau64TkdaMPAzD0tLSVav7f/rhD//oJz4ZYzCR + 2AfLVUzERMxta47egR4xW/ggrQXbObdo3xOR7/rOO770vPPO2LtHam2rn8eUx7Q0nbUJtidYt7Dp + xQwXL1WRI1aou1aVuT3WevsWGdIYuljFci2x76ZLs346ybVM+17NYghSqpo94+m/9sD7P6CFR+9K + hLKqllJUNdfyhje98d8u/UyqxTm3tR0PTCZqduSaO8WZE3POuWxVVV/1mlePOc3n8xhjznm3CwcA + AAAAAAAAAAAAAAAAAAAAAAB8bcRaAwAAAAAAAAAAAAAAAAAAAMBpTlX7vp/P5865lFLOWVWDc/P5 + fDqd7r/qqsc//mcf/chHiMjS0rTWuhXPcWjOhKqura0tgkBkK+63xQN3XdeCW/q+3ykuBTh5LAJ+ + mrZjt7RU2RZK1NKAtk8JANgVi+NzIyI555ZS1sKiaq0hBBFpEdcAAAAAcLy1c5P2t7WU+hOSa729 + hXYxZjNgW3X7q7vbTtv3/dramojMZrP5OPTTyXoafvGXful973+/8zKmLCJpzOJVnD+2i25B2mZW + S/Eit/ymW7zq/FesLC1HH/KYQghOVFVjjOM4bn+jHRYQvX3MToHb9TrESh8eid2aKIvVtmttDMM4 + jiGEWmtr4ReR3gUn8sLnP+9e3/8DXsSd8GRrtc3TcO+9c+65z32uV3Xqqh28yzkVkWpSj9S8qgc/ + /FEfh0y8y7atTq1VRYvZP1z8gf+47HMuBuc8l0gAAAAAAAAAAAAAAAAAAAAAAABwSgi7XQAAAAAA + AAAAAAAAAACcelQ3e0km1gsAAAA4JdQiItrFSU41hl5ESjanQaTmceiDF7MnPeHn5/P5q1/9Wt1K + ZOi6MI5ZnKqqFXHe11JE1ExENKUi4kopi6WUkkRE1VTVrJgVVV9KaYEuW9Mc9BTYXdtjhtp5bhuz + yP5Z5AAdnhUEANgVqtpCrJvtMVGLo3oLcjvRJQMAAABwPWMqGiRZ8t6bWU3ZBzftJ4dOt9Xeqgcl + 4V4n7VRIVWut7fSnlNIvLalqNRMzcaoiZrZTyvbh4c1Xx9V/l5qISB5L9J1zfj6Mk9nS/rXVV134 + ute9+c1VxIpsns6ZSDYxE3EiVyMJeHslbqvblje9+aJW533NxTuJ4ifBv/6Vf/h1NzhbarWthr66 + eKfqQbO0QxejR91s164mt7+3HjYT731KyasTESs1OKcmUk2cy7XGGETErLpSe9ULXvrSu37/PT7+ + yU8MJkXEea/e5bTVbn9N9rnta9qKtL1g7VU9KM7Zuq6/an3j7e/4q//60hVFREOUnEXEOe99l8ZR + TDSoleJDKCmHENpJffBqZlI3A6pveNYZt7vd7e5wu9vf/GZft2fPnjPO3HfmmWdOp9Oc8+r+tS9+ + 8YufuezSj3z0Xy6++OJP/PunVcR5N5bqnJQq3msp5pyzKsEHU8k5O7f5MXHObW862F7T1/TzeOj0 + W8+dc1arqhOrb3jzm570hJ8XdS4EM5KtAQDAqa1dbWl/a607nVwc5e21Vu4PAXBqUdWUUoxx8ZRL + zwAAAAAAAAAAAMApbXHzqoi0q4E558U1QQAAADTEWgMAAAAAAAAAAAAAAAAAAADA9VQppeu6WqSk + sVZ5xtOf9vnPf/5d73q3OE3JxjHH6NWHcRhC7HNKX2tu5n3rx9Mmk8l8PhcR7zeTrTc2Nvq+Xzw9 + /isHAAAAAAAAAMfdIvq3Jf5uRr1tDzzSrxGNfGyLcfJQVe99i7Kbj8M/XHTRk5/21LIVXn2gSq5L + 5WwlW2/PxFbnaikhOMu1SnnpS19662/65prLSVhFcjU23GLnqWa6tYOpiRM1UXXyypedf5e7381V + G2oeS1ExDcG2OuA7HpxJVXHOVbMY4x+c9+IqIupyzuqcqtZSainqnIjUYupcydl7X3JWkb6PeUgi + 8q23/pYH3Pd+9733fc65wdl7V5ZrrVZqjFGtbIxD54M5deaqiDjNVtfXNlbX1970lje/8lUXfOE/ + vziMqYs+peLV1Von/Ww+zE0khFBrjjGmlLZnWh9DmxdCRGQrZl6cq6W+92/e9+T//UvD+lpHd4cA + AODUp6rjOHZdJyLOOTMzO9p390WPz7XWUkoIwXu/mAMAnDyOHlMdY2yHu+2HQQAAAAAAAAAAAACn + qJzzomuLGGMpJcZYa3XO7W7BAAAATip8NwIAAAAAAAAAAAAAAAAAAACA66kY4ziOrUPh2Ww2juP5 + 559/t7vdNY+mJl0XUirjfFDRXEbRQ+If6iIopP1sT1VLsVJMRIYhee/NbPGjvul02gbItAYAAAAA + AACA04baoY9mzDmV0k8mG+Pwb5dc8pCfeujiLcc25tu2ZVqLiNXaTyY5VxF59CMeft9730eqHad4 + 4xPMthER55xz7pa3vOUrX/7yMadarY+d1Wo5awjHrxhVRUSK1fVh/o8XXXTxhz/knK9WRapZrqX0 + k4mIWK1Ws1hxok6dlLI06b1IHdIP3eP73/anf/a+d73ncY/9ma+/6c32LC97dVFdcE5qVZNp13t1 + XlRNpFYttVN/xsqem5xzw599zLkfuujiCy949R1vd/uaysR7sepE5sO6U1GparXWmlKazKaisvlo + FbjtccxsS0O76KKLvvSlL5lTOWpGGgAAwKmi3eORc661qmr7/rnTxCmllJKZ5ZxjjKpaayUOFsBJ + yHagqu10O+e8OIiVUna7vAAAAAAAAAAAAACuPe+9qopIzrk9HceRTGsAAIBD8PUIAAAAAAAAAAAA + AAAAAAAAAK6nUkrLy8ullJTS+vp6CGFjY+O3fuu37na3O6vKOOb2izzvvZjFnbsbbv14mlmLrI5x + M7h60alx6+LTzIZhaB2AAgAAAAAAAABOY7PZzIfw5Su/aiqP8tVCLgAAIABJREFUOfexq/NBvavH + OtP6CEyGjbmK3O42t3nWrz9zGIa1tbXpdKqnXcu0qqrqfG397ne/+2Mf9WgRqbWKiffBUj6uFW0q + zvvQxd978YtEpNRiIrHvWpzzMJ+LSAhhNpmqai3FalWRcT7c9wfv+b53v+ePXnvh//ie/6dzPno/ + m0zrkMb53KsLzqdhHIfBidZS1MSJenVOVM2k1JpLF0Id013vfJf3vvs9L3/xeTfYd0YQUZE+xGpV + RHLJ7drEfGPDeX8ca2GLcy6X7NUXsYsuumgymXAdBAAAnAZSSiEEMwshlFLaXR8t33onLRS267pa + a8uI3e2VAIAjcDuotc5mMxGJMbbJ1tbW/Ak5rwQAAAAAAAAAAABwnJRScs611nYXhIh0O3eaAQAA + cL1FrDUAAAAAAAAAAAAAAAAAAAAAXE/F0K+tboQQYowhhJSSiJx11lnPec5zbn/7bxWVEF0ILufs + nc9j2npfFanb5+OcG8fROVdK6fs+pdadsaiqiKiq976Uoqp939NzMQAAAAAAAACc0tQOPA4f00bO + hyFJjdPJr//mb3z4ox9zzuVSd5jfoW3O11wVqSpVpU66XkU67174/BeUUoK6ffv2ra6uXrf57z7v + nFPdPqY1tk8mk5zzrzz5Kd/yjbeoJTvnShl9PAb9yWzfvptLVLGtIgw5ffGKK97xrneK0yqi3qVx + FDMRcc51wZc8DvMNMXMiQeSmZ59zwXkv+8OXvfxbvvGbyjCv47B+5f5gmocxeB+cz2OyUqf9ZBqn + NVvnOjWn1axUqSbVxMyLjmsb09BJTvPV/T9y3/v/zbv/+gfufNcgknOaxE5E+q6vtTrnfAi1lK2V + 2fY4PkzFRP7vRf9oZrVex/0ZAABg98UYc86qOgxDjLEFu5pZ2UGLsh6Gob293Siyq2sAAEdWd+C9 + X19fL6WklMws57y0tMR9bgAAAAAAAAAAAMApzXtvZs65xb2dOefdLRIAAMBJiFhrAAAAAAAAAAAA + AAAAAAAAALieMjMzaz/GE5Gu68xsdXV13759F1xwwW1ve6txrO2lUoqZHT1ZpIVYD8PQdZ336pyY + mW7FbHjvh2FoYRLHf80AAAAAAAAAALtpXlI/nf7lO/7qvPNfHkJItVaR6WxybOauhw1sGcYhOn38 + zz7u1re61dJ0Op/Px3E8PdqlVQ9b22qqqqpLS0vPetazRMRqdepKOr497plK7LvXvfH1RSSb+RAW + ZVNVJ1py8iLTbuJFguo9vu+uf/ue9973h+7VOR/VedHO+dl0KmZONYQQnK+11pS1mpnVlM1Mq9Va + vahzLjjvvQ/ez6bTjfX1ldlSdF5yuekNb/TaC171y7/wpE7DmMagbhiHPnY555Jz7LrjWg9NFROR + UouIfOpTnzpt9jcAAHA9l1IKIdRa+74XkVJK+6s7aG9pEzvnUkoiQhwsgFNIO9B572OMqhpCaGMA + AAAAAAAAAAAAnLpKKa2jDOdcKaXWGkLgfgYAAIBD8DMYAAAAAAAAAAAAAAAAAAAAALieqrV670Uk + 5ywiGxsbky5MupDSOJ1OXnH+y297m1vWYt7JpPdbcRlHSLautS4tLbWuPGOM4ziWYrVKCEFEVNXM + WmfHdPcJAAAAAAAAAKcHtQOPQ5hKP51ecull5z7+50xlyLmKdF1YX5+LiOjW49iUQxbLdyJO5Oyz + bvCEx//cbNJ/9ctfWVleFpGWrndamg8bVkoe53e/2/f91E/+ZFCJ6q511ZqKHfzmtn23j28D85xe + 9/o3Ou+qSS65lqLOhRhVrdSkIl50HOe984/46f/1ugtfs3fPskpVqV30YjbOBys1OO9ESkqllBBC + y000s77vW4+BzrkWEZ1zTsOQhqGkPO0nX7niS041BjffWJtOul/4+cc/77nPUZHoXVAZ09iKeuRL + Esdw3xNR58TMO9+efvrTnxanRwggBwAAONW0m0kW93u0p+3vTrquq7W2zqBjjMMw8L0IwEnIdpBS + MrNxHBfTqHJ+BwAAAAAAAAAAAJza+r5fXPVTVedcrZXrgAAAAIcg1hoAAAAAAAAAAAAAAAAAAAAA + rqfa7+5ah8Ippel02roYVlXv/dlnn/2iF73oJjc5R1WGocS448/zVHVtbW377/e2p2W3H/g553LO + R+/jGAAAAAAAAABwGqgiYy7n/tzjrlrdn0189CYypqzHKlH48CDtrS5UnMivPe1XZ5O+jml5Ostj + cs4Nw2CneAd0LVJLRHRLGz+ZTETEe19SftpTfmXfnr2l5HAdkq2vjiryzx/56L9d8u9DqS6oeC+q + Vmsek1fnRKJ4EYvqnvTEX3jO7/xWzSWoc85ZqXlMUq3ruhBCSql1DuicU9WqUlVEJFs1syImIkU2 + s8RCCF3XjeMYY1xaWpJqVmoX4sbGRh/igx/4oPNe+Ps5ZzPpg1cRMalHjLU+Fg7ZAXMtLdn6sssu + izGOJR+n5QIAAJww2/txds6JSK211rrT9O2ldnNIG8P9IQBOLSGE+XzedZ2ItPPQxZk4AAAAAAAA + AAAAgFPUMAzb72RIKTnnynG7vxQAAOAURaw1AAAAAAAAAAAAAAAArq7WO4+ImIn3nj56AAAAgFOd + mTnnzKzWGqPPedz82l9zSYOI3fSmN7nggj8866wznJOUNgMzFr/ca9oc2txEJKUkIovf8i2iNVoo + RXvvOI5t5DAMbaC9CziRFj1uLwbafrt42nZL+qgFgJPK9jiEhRCCbB3Aa630KQAAAAAAx4+a6MGt + ZapaSqm1OufGnEwldLGKvf4Nb/ibv/+7VM15HVMREVV3bBvadOsMcTabiEgMXkVue6tb//D97hvV + OZNaiqqOY4qxO6ZL3kVqdlCjZc7Ze9/+7llZ+t9PeoIT8Sq6lR8eQthsqNejRV2byk7J3+3Cgfe+ + WC32/7N379GypGWd55/nvURk7l2nzikKChDqIpRFi0JbVd5KbBFUVGxWiwI2o0tFuQzqOGrDTHsB + RbsdEWwVL6CjgKt7xJ7luMaZnq62nenV7VrY8ofD6pn2Mio2olwUEKrqnJ0ZEe/7PvPHs3fUrqqz + d53Lvp1zvp+VK0+c2JERb2a+O3bG+775/poE1Rje9T//y2LS932r5huJdwSYqUiTGkS+97//x9/9 + 335XnUoKPtzITEVj0BiaWLWqUSWoqTSxaq2JmUpTaWIWVII23S7YvE3u83pcN7H5gSml1lrS8HUv + eckPv/4Hs+hUqojkvEeMop0nFv2i7H60tSY+0WGrJnJ2WJ07dy7nfFkHAAAAOBm8R2b3qI9H9tE8 + bGPf3h/i3Te4NpVSZNfooKMfFOQFOJZD44q2WCx8wc9pMUbd91IaAAAAAAAAAAAAwBVh7vjzEZ4x + 7jHEFAAA4FpFrDUAAAAAAAAAAAAAAAAAAAAA4CGWy2WMcRxHVX3605/+zne+8/Tp60Sk7/PutIwY + YwhhzgA+Lw+9TimFEBaLxdmzZ0Wk6zoRWa1Wfd+LyDRNOWdmksUR89pbSgkh+GTKMcZpmryGD8Pg + 1VJVp2maZ1sGABwXM6u1llK2trZsR2ttHMdhGPyM7VumlOaPKwAAAACAw1Zr3djYUNWpls3NzW7R + f+xvP37ffff/0x/7H2o1DVKrqYqqNNuvMfkSWGu56zSEra21iniY8X/32n/U5zytBzELoqra9/0c + aXZVSiGoSZ2mjcXyxV/7oqfeelvZabdPKdVa/TL50rK4VNUf7snZMcbW2jhO9957r4kM4yCyk2kd + Y2uttZpElqn7vte+9nu+6ztzDOPWVtSLmN/G07V3319QOUWG1fqVL3/FS1784iASRMpUw+Gnj3m2 + ur9E5sUYhlrrhZccAAAAuPqklNbrddd1PqbIpwWvtR7BoVerlex0F47jmHPef1wTAAAAAAAAAAAA + AAAAAADXOGKtAQAAAAAAAAAAAAAAAAAAAACzJtLuv//+lFKfY52G9dbZZ3zGp/9P//xXHvvY08Mw + iYjHVKtqrbW1llI6336CSPBtUkqlFJ+d9syZM9vpDmY+a20pJefsM8ke5fMEaq0hhJTSNE1d103T + 1FrLOccYa60evp5Saq11Xef/BQAcI1UNIUzT1Pf9nFpda805hxBCCJ7OFUIYhuFYSwoAAAAAVz81 + 0e0rMzGzaZpCik1sa726/+zZx9500+t+8Ac/8tGP5q4zUxFRDX4lF+f2ZBOx8+77QqXYqcRpHK21 + GDUEUZGn33H7V33l8622nGJU9VDnak3jVTW/iumDec9RQ2ut63Jrdb219bgbH/sd3/bqtCvE2i+i + Q7jEV2DeT2tNQqhmpbX//Id/+MGPfKTv++0yhCCqVpuKLGIykRc8/6te8z3/aBrGILq5XEoromYP + v8ll3B6yK1HTVk8tF4suvfnH3/i0p94et5/7w164y611KvKwuGrbPtCD+y2t2cMPDAAAAFxzFouF + qsYYVVVVU0o5Zz1kMcbTp0/7VYwPBSmlXPLVEAAAAAAAAAAAAAAAAAAA1wKG2QEAAAAAAAAAAAAA + AAAAAAAAHqLv+2maVNVTfre2tm677baf+7mfu+HMdb5BKcXDrcWjLPbgyQ2lFA+3KKXITnjGnIft + 92QG47hM0+RTJ29ubsYYPbK96zqPR+37PoSwWq2Ou5gAAKm1rtfrnLN/wGitDcPgHyTGcYwxisgw + DJ57PWduAQAAAAAOW9d1EnSqRULoFovU5X/1r/+Pf/nrvx5UpmkyM29/ExFRraUc1HFLKSklFRWR + EEJrYiLf8W3f3koJJl3KrbUQgl8/+mXjVcnMhtW6ldLnrs/dNAz/8CUv+dRbb/EJZeZmeTOz1vTi + 49zMzN8+vy+lpC7/b//qfw8q4zh6qnMtRZqJWRfTWMtdz/i7b/npnxy2VjmGqFbG4Qhi5FprwWS9 + tepz/h9/8RebSBfD5edYPzozETExEVERE+m6jnYJAAAAXOPmK5F5aFCt1fv4DlVrbZomEZkPl1Ia + x/GwjwsAAAAAAAAAAAAAAAAAwJWLWGsAAAAAAAAAAAAAAAAAAAAAwEO0Vvo+1zr1fR7HdYx65sz1 + d971d9/4xjcuFp2qeAJFKWWxWDwi1jrsHqnuOcHDMPh/5/SLGGNrzcx8Jlkz2yceGzgMnqTicyiL + yDy18e6feu7OvA0A4BjFGBeLhYhsbW2pagih73s/b3dd5/PR932fc5Zd53MAAAAAwGErrU7T1HWd + mW1Nw1DL977uB0ykmHiKmLcDp5SC6HbM8MOyzFTk4lOAVWSaJlWNIU5TTSk8/nGP+Zqv+Zo6TX2X + p2lqU6m1pi7HlMrVeZ3YRFprZbnsd9rYrUupz90rX/6KZs2vkb2pc34vLvYYHmttZp4RLkFV9d57 + 7/UfxRj9DfWj1FrOXHfqbT/388uuXy4WXcrTMKYYW6lqcqi3GMI0jjnEHNMzP/MzXvWtL6t1p9Ph + obVOJegBzrdjJjH6QUIMJrJcLg9s5wAAAMCVKaW0Wq1aa55vXUq57rrrRCQcMj96jDHGOHcadl13 + jC8FAAAAAAAAAAAAAAAAAAAnHLHWAAAAAAAAAAAAAAAAAAAAAICHSCmdPXs2xjiOowdGnjt3TkSe + +9zn/vzP/7yZtCZ934vIer32eWDPS1VrrT5Hbc45xujLKSWfT7bWGmM0s1LKPL0scDS8fu7+b4xR + RFJKrbU5Z92z2AEAx661No5ja21zc1NEhmEQEQ/WEpGu62qtvrxer/2UDgAAAAA4Aq21qRZTbWJd + 1739ne9431/8ZREJQbqum5uFPXd5n/bkixVDVJHWmrfyldJe+tKXdilvLBZ1Km0qfd9P0yQiXddN + 7aqMtRYRUVVvrm+lWK3TNNVaX/rSl545fcafvoi01rbbOS8+1lpEzMzMPEM7pfT+97//fX/+X8wk + yHbcdQghiHoT/0+86c03P/nJtRSztnXuATGLUYOaSHuUw1weq63PXZfzMAyllO/+7u8+tbkZLyUw + /aLt7t1QEe/1OPzDAgAAACdXKWW5XIrI3Gd39uxZVW2HTHY6DedhSDHG9Xp9jC8FAAAAAAAAAAAA + AAAAAAAnHNN+AQAAAAAAAAAAAAAAAAAAAAAewsyWy2UpZWNjY2t1NibNXUwpmNnnf/7nv/nNPx6j + DsPQdUlE5mAMkfCwMepmNkcCm1mtNefs8ZNm1nWdiPissgeYZQJclN1V1BdKKYvFIsbocxwPw5Bz + 3h2ADQA4FiGErutCCOM4mpmHk4UQ5hnwY4yqOk3TYrHwyDQAAAAAwAFSEz1fVm/XdYvFYrVaSQwf + /9u/fctb3uJJwq3JOJZaTSTMLcAPv17TS48drq0GDd6Il1Poonz9P3xpqZOIjOMYYwwpqUqtxa8Z + L/EwJ9j84m1tbZVxSiltbGx0KS27vkvpJS95iW/mjfBmFi7yRfB33K+75+TmEMK//q1/MydUz+lx + tVURef6XfcXXvejFZpZzDqKnrjuVNGib603bue3a/yXdHrZDlbbsuq0HHlDVHKLV+oSbHv8dr/42 + 23mhdr9iBz7VTttpPa61PfYxN1ZrEo4gTRsAAAA4ueZLsHngUNd1vnyoZL4eDMGHM63X68VicYwv + BQAAAAAAAAAAAAAAAAAAJxyx1gAAAAAAAAAAAAAAAAAAAACAh5imKcbYWiuleBC1qpZhDEG7Pn31 + P3jBD7zu+2KQcSqebL0XT7D26WI9raTW6vnWvtz3fQjBfzqHCgNHwyvnXPFUtdaqqiml9Xpda22t + pZQ8ceeqjL0BgCuLf4oQkXlW+pxza63WGkLwjC4/dYuI3wMAAAAAjsBYptUwLDc3TPQtP/uzf/2x + TzSTKqIhhBD8Cm6aplprzvkA24FjiM2aSFCRVtpn3333p956W5+yqkpQiWFYrXLOMcZhGHK4atv3 + zCyEsLGxoSaf/OQnQwjr9brv+5d/y8uWi86DnL1506+d3cOmm7G9U5i9nT+l5A+x2v79//XvdDsi + 2rJKVkkiQeS6rv+RH/6hra2t6zc3SplqrdMwiEgp7Qjmt9na2jp1+vS4Hvq+VxOr7SUvfvGy63Yf + 2CtfO/8OLkNrIuLB3zc+9garLV299Q0AAAC4EPN1RGvNLwPHcfSVh0pEYozee5hznqZpsVj4ICUA + AAAAAAAAAAAAAAAAAHBexFoDAAAAAAAAAAAAAADggvhEwzvLD4bHAAAAALj6pJQ82drMxIJYKFOL + MapNMdQ6rb/lZd/4nd/xajWppahIjCoiMaqqiUiIUSSEncBgjwf2Pc8LPlltay2EUGtNKfklhqdf + zw88jmePa4VXOa+Kfi8iZuaVcK6QIQQy1wHgJIgxzmnW88oQgkdzeY71nJcGAAAAADhwptvhx2oS + pPlNtIUQYk5jax/9xCd/5q1vKyLevtZaa62Y1TlHeJqmR+x01+0ilVZNtbUWRaPIK77xZUnUqtVa + Q4qmYilUa2aWU2y1XN6zPwke/mKZBJOgGkWCt2ouur6VmlO0Wm+/5ZYv/JzPiSI5eMu9xJC9wd8f + Hnbe070yrf1HpZSUkgdFW605xh/7J//0Dd/7vX/nqU+JXhlMokgWefUrX/G0pz4lRZ3K6OHiTYJp + lJDEgsju24P7v7Tbju0dmgTNOtUh5jiNQwqqtd52y83P+9Lnxp0uDAkquv3yabj4+XY8x3t3VdUm + 2uYfikkQedITPyXHKK0qjcoAAOAK573kPsZjGIbdK/fa3j+UunEc6We/xqlqKWXuuZtHZRy2eWCS + 7HQgemciAAAAAAAAAAAAAAAAAAA4L2KtAQAAAAAAAAAAAAAAAAAAAAAXJESxOnVdGrbOveY13/Pq + V32zTwZbq8WotVafglZVu75vte4zZN2jglNKIYTFYnH27FkRGYYhpVRK8fmOfU5bwq0BAAAAAAAA + 4Hh5kHA734+8Cdck/NAPv0FCCjHG1NleUckHRUVS3EkTthuvf8xzvug50izpQyKTt7c1udYyhoNI + Cvp1L3pRFGnNpFURqQ+mDD686X6ft6vv+3Ech2HIOUcNrdSnfdodr3rFK373d37n3f/+333Xt7/6 + U256bC9y+y03v+F1rxtWq3AJEeUHQUMYp0lEQggpxFZKEHn+V3xlE6nVVFW8/yIGeWjQ3eVLOXvG + uIg8/nE3RT3kyg8AAHAkfPiHf9rv+369XouI7v1RR1VTSr5ZrbXrOlWdpumoygsAAAAAAAAAAAAA + AAAAAIBLQaw1AAAAAAAAAAAAAAAAAAAAAOCC1Fp90uHrrrvu/vvvf+1rX/vyl3+TiPRdatW6rhOR + rutqKeMwyL7TGddaPcHaJ0E+c+aMmfV931ozsxCCJ16raozxqJ4fAAAAAAAAAOCCWTAJIaX1NH74 + wx/+zd/8zXEaa621lHAE7bpmYm3R9SryBV/wBU984hPNzLP3ICJlnJ7//Of3KYpIFQkhiIiEB9vt + mzyY9r1P7HcpJcYYY/QIw1JKa21jY0NEnnb7p/3Q617/n//T//O//sZv/PRP/tQ4jsfYnh9C8Hff + zFRVVa22533ply37Xuanfzi2jyuiIrfeeqt3cxze4QAAAI5GCGEYhpyzD+pYLBbjOIqI7a3Wulgs + /LHTNJlZzvmYnwYAAAAAAAAAAAAAAAAAAAD2Raw1AAAAAAAAAAAAAAAAAAAAAOCChBA2NjZKKWfP + nvWF17zmNd/wDV83jkVEPPHaJzLu+l7MRNp59+OJDqWUvu99QXbmRFbVeV5jX+/3AAAAAAAAAICT + wHaSkZuKiKSUcure+ta3euOw5we3dv7G4YMrhEhtGsIwDimk5335l7bW2kRj8oPM7MYzNzzrWc8S + EVUxbRJFtuOWH3x79gm0nvfT972qrtdrM0sp1Vq3trakmUdHT8N49913P/vZz1bVY5zFZg5NrLW2 + 1lJKZvaYxzzmjjvuEBHP5Jad7okDTLnWEGopIuIHuOOOO1pr8+EAAACuaH3f+8cnHw3idG/+KcvM + fOAHH4oAAAAAAAAAAAAAAAAAAABOPmKtAQAAAAAAAAAAAAAAAAAAAAAXykOmY4wicurUqXEcv//7 + v/8rv/LL+j6qmojkHFVtHFYppX32E2NMKQ3D4P/16YxPnz6tqn6IYRh8D/vvBwAAAAAAAABw1CyI + BRExlbNbW/c9cP/bf+Wdqppiaq3FGHfikw+TqrUWpC1y9/ef/1Wr1Wpzc9NaU3v0qOarnpr0OU/D + +PUv/a+Cx1qbSGuiTbSZPnzj/V+xUkqtNefcdZ2HFF5/3akuJWm26LoupS6l+z7xiagaNezem+mD + t8NWaw0htNb83ss5juPn3v3ZUcRqE5tfiAOKtTYR2+7dSCl4aOMzPuMzQggkOAIAgKuAmZVSVDXG + mHMWEf80uP9DfKG11lqrtR5FQQEAAAAAAAAAAAAAAAAAAHAZiLUGAAAAAAAAAAAAAAAAAAAAAFyQ + YRh8zuIY4zAM999//5kzZ1JKP/ETP/F5n/d5PkFxrdXMQpBSxr32o6q1Vo+vzjnHGOcoa9+/mfV9 + 31o7qmcGAAAAAAAAALhQTaXtZBX3ff+ud71ra72empVWRaTWGmM87DKoqocH33PPPcvl8vpTm+v1 + OqV02Me9YjSrtT73i784BZEm2znLF/++mJnHE/oLXmsdx3G1Wo3roYzjuB5SSsNq/ZibHj8N4/4x + h4fNcxNTSqpaSgkhhBCe+cxnmkizJrvSrA8wYbHVqqKttWaSVG699VbZFegIAABw5VLV+dP1uXPn + hmEQkRCC7i2E4KM+/JOYj/041icBAAAAAAAAAAAAAAAAAACAR0GsNQAAAAAAAAAAAAAAAAAAAADg + gmxsbLTWcs611pRSjHEaVnUaFov+zW9+0113PjMGCWo5BWtN996Pmel2hoaYWa015zyvmRdCCOO4 + ZzY2AAAAAAAAAOBYaRO974Fzv/CLv5TSdl7yYrGQA40N3pNVsbrI/Zd9yZcmDVtbWyLS2nmOazsJ + 3NeUWmsK4YbTZ+6+8y4RsSqiIlZFReTBcMFgovtGDXpfgJmt1+thGLquWy6XIYSNjY3Tp64f10Od + ynUbm+c+8YkY4xHEme8paBMLIdRaPW2xtdaldPtTnzrPrdNaExFVPch4RRMza01U5LM+67NyztKa + 1XZg+wcAADgm0zT5Qq11c3Oz7/vW2j6f9/q+V9W+7/0hpZT1ej0P/wAAAAAAAAAAAAAAAAAAAMDJ + RKw1AAAAAAAAAAAAAAAAAAAAAOCC1FprrT55sZnlnEspi8WilHLTTTf90i/90h133N6aldJS2m+w + uodGxBhDCKUU3/McI6Gqc6pE13VHEX8CAAAAAAAAANibJx/vbvY1FRMxld/+7d/+0F9/pJSaUvDw + YxE5gnhjb0MepuGLnv2FGkybdTl5bjFEJMeUQhyG4Qu/4FlRREVSirLz8oSd22yvcOtpmmqtKaXl + chljLKXUWltr663VuB76vg+ipZQUYs55GIbDfl57UdUQQoxxtVqJSJdym0qt9XGPe1yYwxTNRDWl + dIDH9aqeg4rIPffcMwyDqh5nvDcAAMAByTn7QI4Yo5m11lR1n/EbwzB0XSci/pCU0mKxOMLyAgAA + AAAAAAAAAAAAAAAA4FIQaw0AAAAAAAAAAAAAAIALYmYeLKeqZhJC8OXjLhcAAACAo2NNY8iynTxt + pYwppVqrmqlZ33fvetevPvWpt6mK2XY4Rt/3IhLC9th1j4vwuBGPvvD1vqA7eRKq2lprrfl8x/MG + shOtPe8EuGReqXz2bTPzWur3nq3uC7Kr+uFkMjM/Ifj9fMI5r93vrDduyIk8n/g50Je9eNdsPZxf + imma/KWYpum4C/VwrbWcsxcvpeQVLOe8z0PmWldK8e2Psh6WUnzhBL6Yj+q8nwRaa/6k5t+U+TkC + AAAAAA6KWRXZbkyTEERUYqhmb3/nO3LOVaSZ7rS6SK2HfsmpIkHk9ltv+9Rbb4saRKTWGuQh41hM + xa7hgS2qGkWf9axnBZEuShlrCKoq0kRFkoqZpRi9QX6Xp+jDAAAgAElEQVSvnYQQfAO/Hp8b1ubk + 5vkKvZWaQlTbMyH7sJlZrXXZL6y21lpKSU1uueWWahY89zpGMZumSQ5uvNPul+Vz7v7sLmUGUwEA + gKtGjHHuXQ3bHyX3M46j7Hw0khPZCQsAsjMoaC+7t+Q8BgAAAAAAAAAAAAAAcPR2f8GB8RvA0SDW + GgAAAAAAAAAAAAAAAAAAAABwWTY3N++///5Tp05dd91173jHO5785CfWKn0fRWQYBtn5xkgIYf+M + STMrpYQQQgiLxaLWqqq1Vs+iEJFSSowxxmhmJEPgMnn48fx1pjnB2rPVfb2Ztda8yh1fSbEfP6t4 + QLVPMeynjr22N7Ou6+ag6PNOTHwS+JlQdlXFEMI1mGzt71EIYZqmnHMpxcz2j4s+FvO703Wd/6lS + 1f0To/u+F5H5z9l6vT6aerharUQkpWRm4zjmnK+sehVjTCltbGzM8QkhhJxz3/d+9vbf7lKK/xcA + AAAAcIC8sUJEYoyllGY2TdMHPvCB//u9711PU9DgTTS7tzxUKhqD3nnnnV2XRCSophBV9bgylU+a + WutqtVoul8/+e39v0eVaJYoEE6nSx5BVqsmi69fr9TiOXdcdd3kPxu5335taQgg5RhUVEattO9D6 + QNt7o4ZSW5/zXXfd5c1EV1Z7CwAAAAAAAAAAAAAAAAAAAAAAx67WOk8Y4vdMGgAcDWKtAQAAAAAA + AAAAAAAAAAAAAACX5b77PrG5ubQ6qdUn3PS4X3nH25/8KY8bhtr32b8l4hGkfh9j3GdXvs0cDmpm + noOSc/Z8a99MVYkZxmXyrPT5K0y1Vs9G9dxcVY0xzlnsxJCcWCklPyF4WoyfQ/ZPTvJ3M6XkSUv+ + 2CMq7gXz+unVcj7j+cprisd7q+p1112nqhsbG/6On0wxxnEcVdVPI/t/Q3IYBj/JLBYLVT116tTR + lPD06dOqKiLTNHkI99EkjR2IWqv/mvvr7Cs9Ss3P1X72Xq/XfD0VAAAAAA5Da013milMJHZ5sdz8 + F7/6rlWZfINp2l5Q204UPlxq1uy5z3lOCkFqE7Ht4mkTOXGtPUdvudzour6U0kq95/M+v1fpg0i1 + rGK1NZMoUkrp+z7nbprKQR3XVOwo3v4LFUS7mGKMc3eD6OWWT+XBGh5jbNZE5Cm33vakJz2ptSbN + UtivHwQAAAAAAAAAAAAAAAAAAAAAADyMz8AQQvAvsPjUDcddKOCawAwdAAAAAAAAAAAAAAAAAAAA + AIDLslgsWmvr9drMlsvNW2+99Zd/+Ze/9RWv/Ku/+oiJ5Jw9zmQYBlXdJ5Z1jhCOMXqYq4fOikgp + JaXkkdilFM/mPKrnh6uTVyH/LpOv8VzkeX2tVVW7rpumycOSsY/jSpr3ROoQgifTjOM4n3POy3/q + 317LOftJaT7VnBxeD/1+GIa+773Ax12uo1Zr7bpuHMdxHGXn/H/chTqP+fuQ/g1JX7l/XLr/pYsx + +mb+/u5TdQ+En+VEpNbqdT6lNI5j13WHetyDEmNcLBbr9dr/G0LIOQ/DICKLxcLP1arqy56Afqzl + BQAAAICrzfZlb9MYo6pO09Ss/Nqv/VqXunWZPNnXm1lKPfRpg3QnPPuuuz6r1ipWo6k202YWRUXE + Tla48tF74IEHcs7Nqjb7qX/2z/7gj/6oW/Te+BBC6HO3dfbsDdefXq/XKcQYY7u6ssB1VwUws/0b + ai7rQKoikmL48i//8vV63ce0vfJkNTcCAAAAAAAAAAAAAAAAAAAAAHDSmdl6vV4ulz4fwhFMwgBA + iLUGAAAAAAAAAAAAAAAAAAAAAFwGj4IIqqqqfd+LNFV7ylNu+6mf+slXverbPvHJ+6dpCiF4dqyZ + qepe8bFzsIRHX5uZ56P4o/xHHu96BE8M14gQgjw0ktlzc73SiojnpLbWfEvs5biS5j3N2k8asiu1 + eq/zjIfdllI8LNlX+hnsiEp8YczMn1drre97M0spXYMJ6x6RJSL+romI/24eXhDRJfMqNOeszwHS + e208x0vLTg30P5eHWkg/aIzR/7zKTnD4oR70APl3UEVkY2Nja2vLzIZh8IDw9Xrtvx2llJQSmdYA + AAAAcBhijK21udVlquXd//E9H/nYR6t4gG/QEGLUaZpUJIZYWj3sIt1042NvftKTpVnUEFRFm4a5 + Vaipiem126a3XC5VtbSaQ7j5iU/8lCc8wVRqrX3fD8PQ585qDRq7nEVkbii7cCc5NTyYNN1eEJNa + iseubzcb7tFyeGlqKSLSWnvhC1+oZl1M4zjVWuUEvz4AAAAAAAAAAAAAAAAAAAAAAJw0586d29zc + XC6X4zimlMxsniHkuIsGXOWItQYAAAAAAAAAAAAAAAAAAAAAXJZSikeuxhjvu+++ruuWy+Wdd975 + Mz/zMy/7lpd7LKuIxBgXi8W5c+f22ZVH0vpXSlJKnvcZQpimydf0fe8LxAzjQMw1zdORSykejOrL + Mcacs29z3CU96faKkT5s/vUzVfVo20f9Tpr/NITgUT3+KL8/ukJfAD+/efaw18C5cl5TPMQ6xujv + qacuHXehzs9TkfxP4TAMXdeVUvZKtvZnlHMOIQzD4CnXy+VytVoddjk90H0+rcUY1+v1YrE47OMe + CFXtuq7WurW1JTv1wU8+/kr2fT//Ul+DMfAAAAAAcNjGcey6rlgdpjF1/fX95q//+m/4j7xhyFor + O21ER9NY9PSnP32xWEgzVRWT7RYeJU9YZKfdPqQoZmWaukVfSln0i3Ecs4Yc41CKWVutVmYWQgjh + anvd1MRUyk6mddRgeig1s+/605sbT3va07q03cyoqmJ2kpO/AQAAAAAAAAAAAAAAAAAAAAA4UTY3 + N0XE5w3wCRlqrUwuBBwBfs0AAAAAAAAAAAAAAAAAAAAAAJclpZBzFGn33//JG2+8QaRN01DqeM89 + 9/zCL/yCqnp2Zq313LlzunemyO5MaxFJKXnChJmdOXPG9zCHEPO1E1ym1ppn0IpIjLG1pqpeCc1M + VT1P13963IXFnnLOHifsb6iI7B9Q7THD/harqm980jKtRaS15s8ohOC1dK+A5KtbKcWDvf2N9rho + EdETJoRgZh5WPQxDSmkcx31CkjxbfZomf4Ktta7rVqvVYZdTRMZxjDH60UXkCsq0FpFpmsZxbK3N + 9SGl5L8aIYS+70XEM62HYSDTGgAAAAAOXCtVTWJOtVZVfeCBB/7D7/xOE9GQQ0i+jYmFEIIGDxI+ + VEHks+++s7UWQqi1mtnRZGlfKUzFVEIIpZSNjQ2rzW9BNIj6ct/3OWcNIXfdpe3/kbeTZmtrq4kE + UfEWtkOoI2b2ghe8IMZoZt40oWSrAwAAAAAAAAAAAAAAAAAAAABwMebphkQkxjhNU855HMfjLhdw + 9WMaLwAAAAAAAAAAAAAAAAAAAADAZZlzYfu+X61WIYTWWtIwDKsv+qIv/LmffUtrJcXtFId94hz8 + +yT+JZO+79frtYh4LOXZs2cXi4XHu3rO61E8MVzVPIZ2/q/XTE9LFRGvZh6WrKok4pxYHs3rwcBz + uvA+5xkPOvI31O9DCCfzlOLB6nMOd9d112A9TCnVWlNK/kaHEMZx9F/JE8VPHf53UERKKfu/X9t/ + KFPyzK2+7z1t+rDLKSIxxlprCCHnPE3TYrE4gbHue5nPz/PT8YBwD+gSEX9VRcTfCAAAAADAwVos + FsMwmFnu+6mW9/6n//dDH/1wjKm26i0zMSVRba1Va0fQiqEqt99+u4j4NbWqSgwST2I7z7HwJq9a + a9d143qIGhZdPw1jjklNPH15vV77VbZfWV/pdKfaNRURMZWm8sDWORMR1Qej1i8tc9pkjsTetShB + pE7jN379NwTVOpUQNaRYaz2BCd8AAAAAAAAAAAAAAAAAAAAAAJxYPlWI39daVdUnmgBw2Pg6FgAA + AAAAAAAAAAAAAC6O7WQ+EesFAAAAwHnw6nyZoKqqKtJyDGLleV/y3De/6Y1WTUVyCtaaPySlFGMU + FVEJSUVFdhJqRWQYBl+Ypsk39hhOTwCdD+2BryJSa/VgTq5TcOF2hxn795p8zU4dFo9Jln3j2HHs + 5oBbTxeWRzsPPGyb1tp8JjmBdtfAa7Yezqf3C3l/j4v//Zr/eI3juM/G/kTmvCh/1NHES++u7Z4A + HWM8guMeIFXdHbW1+1d4PqufzEoCAAAAAFe6IDHHrkxVYxxqu/ff/lYVKa2ZNJFmVmsZ5SjyrHeY + 3H3XndJqKZMElaDNVCWKBbEgEkSC2oNRx9casxaCilhrVWOo1kqrMafSqoS58VPNWopB5aJfJn9t + H3k7LinEUor3U4hISLGZaQwf+Ku/VNUmYt68piJmu1uGL3T/4p0YElIUFQ9QjxpySJ92y1Pu+sxn + ylgWuQshlFaLXA0x4QAAAAAAAAAAAAAAAAAAAAAAHBmf+iCE4JMXMWkAcGSItQYAAAAAAAAAAAAA + AAAAAAAAHJJmdYopvOhrXvgD3/+Pc5BSmuzkaJZSaq2imruuVctd2msvZuZxFCGExWJRa1VVz7f2 + ENBSSowxxujfSzmypwcAAAAAAAAA14hpPZhZSqmUElO69//8tyLSrPlPdSf09xH/ORRBZJHi9ddf + v7GxoTG01ppYjLG0ergHxklVa40xhhBqrVMtpdVirbX2sY9/vJhtz2YVVFRFpLV2UTtXEduZo2d7 + V62JSrPWWnnlK761lpJUapmmYTSrXdcd8NMDAAAAAAAAAAAAAAAAAAAAAAAADgGx1gAAAAAAAAAA + AAAAAAAAAACAQ6FqtU4hyHq99fJXfOt3fud/IyIxai1FTWKMqirNgqiITGPZZ1c5Z9mJmui6znZS + KHLOnm+9c0SdlwEAAAAAAAAAByjG6OHBH/rQh/7oj/8/Pezw6n3dfPPNN1x/2tuEQwhm5sumYsdZ + LhyPWut2BTCLMXoHRBP54Ac/eFCH8Chs24lyDzGKSA7xa7/6hVJbTimEICJmdrGx2QAAAAAAAAAA + AAAAAAAAAAAAAMCxINYaAAAAAAAAAAAAAAAAAAAAAHAozGyxWJw7d25jY2MYhv/61a96+cu/uVZT + URPzOOoY4zAMHv+wlxBCKcU3rrWGEEIIKSVVLaXEGD30upTiwRVH9fwAAAAAAAAA4FqRF32ttdYq + Iu95z3tERMJxTlry6Z/+6Tnnra0tqy3n3FprrYVjLRKOkb/1pRRVzTmHEFS1tfaBD3xg3sZaEzMR + udh+BBNpItsPsp21zYLIC7/6q0+fPp1zFBEzSykFUf81AQAAAAAAAAAAAAAAAAAAAAAAAE44vo4F + AAAAAAAAAAAAAAAAAAAAADgUGuzc1gM33njDMKxULYTw2te+9pu+6etFTUT6vhcRT3cIog9GQTxC + a83MzLaTsFtrMUaPnZjDJ4ZhSCnFfeOxAQAAAAAAAACXxsymWiSGJvLud79bREIIezfrHrpbbrlF + RFqprbW5hMdXHBwzz7E2sxBCrXUYBl/5p3/6pyJicw/ERQZaz0xEdHueHg1BRKy1Rc6vetWrrLWU + UimljJOqhhBSoKsCAAAAAAAAAAAAAAAAAAAAAAAAVwBirQEAAAAAAAAAAAAAAAAAAAAAh6LWmnMe + hmFrayuE0HXJrL3+9a9/8YtfJCLDMIQQ5i3331XOWURSSn7vOSX+8FKKiPR97wtzhAkAAAAAAAAA + 4KAMw7BYLGqtKaX3vOc9IjKV6RjL84SbHh9Eu65T1VKKpxrTPnzNqrWaWYwxpFhKaa11XRdj/JM/ + +RPfQHcFWl9aArqqejq2LwSRz737s5/5mc/IOZdS1JkI8eoAAAAAAAAAAAAAAAAAAAAAAAC4QhBr + DQAAAAAAAAAAAAAAAAAAAAA4FDHGEHQqw3KjXy77ra2tVkpUecMbfvAFL/hKFRFri65XETHLMe21 + n5zzNE0pJQ+uTil55oSZnTlzRkRqraWUlFKtdY7KBgAAAAAAAAAclCYWc1LVD37wg+97/3/xlXN7 + rIkccZbvzTffLK2lEFIIZuaZ1rQPX8vMzHQ7vjqk1MT+5mMf/dBff6R55Qz6aDvYl0rbqeNWq4qc + OXXqZd/8zWpVpHnfRNd1rTWx0ArJ1gAAAAAAAAAAAAAAAAAAAAAAALgC8HUsAAAAAAAAAAAAAAAA + AAAAAMAh0CYirTVVzTnfd999KYVTpzanaUopvulNb/qSL3mOmQzjoKIqWmrZa0/TNOWcPdO67/v1 + ei0iOWcROXv27GKxCCF41jWZJQAAAAAAAABwGLqu29ra2tjY+P3f//2pVo0aNLTWjqs8tzz5yaWU + cRxVNcYoIq21lNJxlQfHK6Xk0eatNVMJIWxtbb3vfe+bao1RQwhmJiJiJrIdfX1pVERMosinPP4J + X/PV/0BV21RSjLVWVZ2mKWlQVSXYGgAAAAAAAAAAAAAAAAAAAAAAACceM3YBAAAAAAAAAAAAAADg + UvgEr9tTvgIAAADA+ZiZXzvUWnMXRWQc1yFIVM0x/NiP/eg993yOiJiYqMWoIuLpIzFGD6ieY6qn + afKFYRh2r8k511rnNXMWha/0bbhywXnNiTvzgleb+b9z/aEKAcDJEUKYPx7MPLTMT+CttfmzAQAA + AADgwI1l+sM//iMRUdVm7XLigS/KfDE4H/GWW25ppXYpS7PWmpmllEopj3ysmpAxfNVrrcUYzayU + slwuh2FYbm7+7u/9RxOp1VprsruZ95KafM1UVGLQIBJEXv/93yetqUlMQcRUpdaac27t6H4vAAAA + Do/3kvt9a+28n7Qfhv4aAFc6b3yYT18XcuoDAAAAAAAAAAAAAAAAgCsdsdYAAAAAAAAAAAAAAAAA + AAAAgENgjxivrp4W3IZhlVK66abHvfWtb33a056akk8Jap45ISK11tZaSsmDKPY5SGut7/sQgpl1 + XWdmPkWyP8qjI1T13Llzh/AMcWULIfjs2yGEcRxFJMY4TZNXwmEYcs6elT5Nk28AADhGZlZrLaVs + bW3ZjtbaOI7DMPgZ27dMKdklxVMBAAAAAPbhF2Kq+ud//uciUkqznZS7ozn67v/mEDYWy5yz/1dV + /aqQ68FrlqqWUrquCyGsVqvFYjFN0+/93u+JiInIrpjpS0mc1u1DSBOrFkU+9647X/BVXzWuhyBU + OQAAcHWau11aayEEj6y2vdFfA+Aq4COIfNTZOI5zswMAAAAAAAAAAAAAAAAAXMWItQYAAAAAAAAA + AAAAAAAAAAAAHJ6HJkRoE5GNjcU4rsu4zjH86r/453/n025Xk65LtVaf1Dil1HVdKUVEPKb6vDz3 + ehgGEQkhiIiqelZxrXUcR59YubW2ubm5z35wbaq1+uzb0zR1XTdNU2st5xxjrLV2XSc7dazrOv8v + AOAY+V/5aZr6vp9TEGqtOecQQgjBYxJCCP7ZAAAAAABwsDQGCUFV/+AP/sCvymKMlxQRfAA2NzcX + i0UK0cxaa2oSRE2lPTRjWE2UHL1rg9cE2ZW/OE3Te9/73gPrGFDtuk5F/Paa7/ru1bmtjUW/ffRj + +kUAAAA4VP4RK4RQaxWRcRx9FMd50V8D4ErnY9W6rjMzHzjkZz8AAAAAAAAAAAAAAAAAuLoRaw0A + AAAAAAAAAAAAAAAAAAAAOELaVqvVYtENw/CYx5xZLpc//ZafuvWWJ41jSVFVNaVUSimlLBYL2RVB + 8UilFDOLMeoOMyulhBBijF3XMUsyHtU0TTlnVd3c3IwxppRUtes6n2677/sQwmq1Ou5iAgCk1rpe + r3POnmndWhuGIaUkIuM4xhhFZBgGz73e5/MDAAAAAOCSeVvZX/zFX6jIUaZFe9vv7jXXX3+97MTs + tdbMzDfgevCapaoxxnEcW2t936/X6/e///2f+OT9InIA4esmYjas1yLSh/i85zznuV/8nC7Fh2yi + hFsDAICryjRN/hFLRGKM0zR1Xef9MnuhvwbAFcH2MAxDKaW1JiLz2c//CwAAAAAAAAAAAAAAAABX + MWKtAQAAAAAAAAAAAAAAAAAAAACHw8KDt11OXb/5wNn7Fotuvd7a3Fw+5Sm3vfNX3nHTTY/xDJJS + ynK5bK2t12u5gBiSWmuM0TNLPJbYl0spfd/XWkMI0zSFwPh5PIRXiXne7WmaRKTWuvun0zSZ2f5z + cwMAjkaMcbFYiMjW1paqhhD8D72IdF03jqOI9H2fc5Zd53MAAAAAwEGptZrZBz/84QdW63mNqIoe + RGzwRTp9+rSnWQfRqEF2UqlUlXTha5OKpBhFxCPHUpfv/a1/c7CtA0FDDtrl/CNveEOfcgpRmqk1 + tSbiNwAAgKtHztk7X0opIpJSWq1WPhhjL/TXALiimVkIIYTgY9X86vJRx60BAAAAAAAAAAAAAAAA + wJWOabkAAAAAAAAAAAAAAAAAAAAAAEdqvV57GqWIjOM4DMOtt9769re//cYbb4wxdl23Wq26rhMR + Vd1/mmPfzKdR7vveY0v8Rx5FHGMUkZzz/tMr4xr0sNqlql5bUkqtNZ+dVpigFgBOjNbaOI6ttc3N + TREZhkFEVNX/xHdd5/lqIrJer/2UDgAAAAA4QN76+oEPfEBEQthuNPNm3sP2yDa606dPq6q3M3vi + lMdaH0FhcDK11mqtKSWNoZTS9/299957gPvvF4vWWmv2Hd/27Xfc/mnTOAbRaRgftpmpNN1eAAAA + uNL55/AY49mzZ1V1uVzu03tOfw2AK4XtobWmqj4IrbUWQvARbsddXgAAAAAAAAAAAAAAAAA4XMRa + AwAAAAAAAAAAAAAAAAAAAAAOSXjEqHUTsVrL9def2lqdbVZyjn2fh2H1jGd85tve9rbFYlFKUdVx + 3E6D2D8WxTeLMeach2G47rrr/CEedVlrnXOLmWYU5zVXjDnzppSyWCxijF73hmHIOe8frw4AOAIh + hK7rQgjjOJpZ3/fTNHl0WWuttRZjVNVpmvzjxHGXFwAAAACuNh4j/Zd/+ZfzmhBCO8J2s91tvJub + m8Ek2IPNel68IysMThrPHvOY89ban/3Zn/3xH//xAVaIYb1eLBa33XLLK1/5ymASRGuti8Vi3qAp + UdYAAOCqUkrxYRiq6iMxzGyf/hf6awBcKXQPMcZxHFNK3tSwXq8XiwXjhQAAAAAAAAAAAAAAAABc + 9Yi1BgAAAAAAAAAAAAAAAAAAAAAcGRWRnLtz57aWy6XHV6tqSmlYre6++85f/MW3pRTMzPNHzKy1 + ts/uQggppVrrNE0ppbNnz4qIqnqYhE85uv8ecM0ysxjj7tibWqvXxvV6XWttraWUcs4iEmM81sIC + AKTW6iftOags59xaq7V6YJWI+KlbRPweAAAAAHCwWmsf//jHRaQ1E5GQjq3RzFvtinlwHi3A2G4K + 8NxEU/3d9/ze2fWwXTNs13YmNq9Q77K4IDmGsl7/yA/+0ONuvKHVmlIKIaxWq3mDsHMUwq0BAMDV + wYNdu67z3plpmrwzfa/t6a8BcKVrrflJT1VDCD72jPFCAAAAAAAAAAAAAAAAAK56xFoDAAAAAAAA + AAAAAADggqjqnPUlIiF4zhxTsQIAAADYRxPZCRSxsH0TbVViyK2KNY0x1lpFWoxaa/ncz7nrrT// + sxvLLCYqkmNSEZGQUqca5zHw88VIa81jKmQnr0JEzCznPGdezutFpNYqItM0+WaH/gLgBPPq4Ve7 + 8zWvmXmFmSuPX/8eb1EBACISY5zTrOeVIQSfSdxzEUIItFgCAAAAwCEJGpvIRz7yNyIiIcpOc+tO + w+8u9tAg4cvWWptb8EIIIpJzbioSdPsmIiJqD962C6IP3nAVU5NgYqWKiIRQRN71v/y6xtDmmmi7 + q2UTbQ8GWuuD//othe0mCFEJKYpKihpK+9ov/4q//7zntXGMMVSrY5kWG0uRIBLU/La9K+obAAC4 + OugOeWjvzHnRX4OH8Yxzv4KTo8o43z3Aw7PYZb50BR6NV1dOXwAAAAAAAAAAAMCFMzPvHfav5x9Z + /6yZ7e6G3r+bz/uRd8844WUGAADAjFhrAAAAAAAAAAAAAAAAAAAAAMARsr3GsbdSR9H27Gd/0Y// + +Bs3NjoRaVaCBhEppfg3Bv1bhWY2T317/n211ve9z1fbdd38lUifSXnOMz537tyBPjcAAAAAAAAA + uAp5TG9r7WMf+5jszOZmxzGn23a4dYpHf2icZMMw9H3fdd16HP7mbz/2H37n3RLD+dPVHzl14a41 + QUNt25MqxhBbrSkmq/aEG2748R/90ayqJrXW1lrOefcUhwAAAAB2K6XknH3K8pzzNE1HcFC/Vu37 + 3o/uB91/fBEAAAAAAAAAAADw/7N371H2pXWd3z/f57L3PlXVF5qLIiMo3ShiHBIjEmZlYCQqgxIT + IxhHI86MulwxrlkrKk5EQS5yGVBZGWgEWhRnkLvXePsjydI/JhOW4wBrDCzNBBw13IJA96+qztl7 + P5f88VSdrt+lqn/d/qrqV1Xv19qr+tSpXXs/p87u89v72c/z/QB4CNoQypRSuyfrnCultEoOJ7Bf + M3POtV3HGNvo4msKIZhZ3SdpnmfuIwMAAFyB0yMAAAAAAAAAAAAAAAAAAAAAwE2h1uycQnTPfOYz + f/Inf3JrayhFpRbvTdoLpY4x7q981PTCUso4jtqvTtumJpZScs7TNIUQJJVSNjc3y2kkrwAAAAAA + AADA2WJmkj7xiU84qaqunz2ZvddaWwNaj27r4wXWYujNx53lbt/3v/7rvy5pmh5M5rSpSlWSsyoF + 500qOXtnOaXo3M+85rUPf/jD272GlNLGxkatlfsLAAAAwDWllLqua6XAQwjzPJ/YRdwwDOM4tjFF + ZjbPs53UdSsAAAAAAAAAAAAAXBwhhNVq1SKlc84tZPqI+g83cL8xRjObpqmU0vf90THVKaW2Zvut + cRxjjIz/BAAAuALTtAAAAAAAAAAAAAAAAAAAAAAANwXvvZmllLo+PPe537ZcLl/5ylfnXHPObYWc + cym1BVQ759bPXyGl1LbWphSaWQu0bkVyvffjOG7CScEAACAASURBVPZ9f1IvCwAAAAAAAADOvFqr + c+5zn/vcFc9Kx5sQZmZXF7nz3h/rTnG2VFMxjatVvzEsU37Pu99nJlWFENr9guuXcx6GYVytgg+5 + JOXqpOd927c+61nPCs7VWqdpijHu7u52XSfpBCowAgAAAGdUO1s+WMH8BHZ6cERQGyY0TVM7ewcA + AAAAAAAAAAAA3ChtvKUkM/PetzuzZsc7qFhSrXWeZ0kbGxu7u7vjOLY2HPEr7Zbx7u7uxsZGu6HM + 4E8AAIArnMTwPgAAAAAAAAAAAAAAAAAAAAAAHpBzynn23loQ9fd8z/P/yT/5Ie9lkkl9H81qrVaK + JFfKA2wt5+y9b7MKvfchhPY4pdT3fauZO8/zyZTNBQAAAAAAAIAzrdbaqs4VyZmT5MiWxk2jqLrg + p5Q/+MEPfvgjHy5VztxRZQfrgaUxyWReq9UqRp9yCmYmPf5xj/uJH39hzrlfLKZpGoah3cJoTuTF + AQAAAGdMy5Nuaq3rETsnwDmXc04prZ+JMZ7MrgEAAAAAAAAAAADg4vDeS5rnuQVLd103TdMJ7NfM + Wpz27u6umTnnWuGII35ltVpJ2tjYqLWWUsZx9AyBBgAAuBzltwAAAAAAAAAAAAAAAAAAAAAAN4Va + a6stO89jiK6U8oM/+IPPf/53D0MnaZ7nVmq2BVEfXfG26zpJrUxt3/e1VjNrP2rFc9tswxjjiVXO + BQAAAAAAAICzq9bqnGudruvu1tNydPk5XEz9Ysi1/OLbfilJPvpcy6HHSW0Z1rr6OK5FMfp5zk4q + pTrplS97+Zc+7ku2Nhaf/+xnvfchhJxzu+/AcQgAAAAcZnd3V1LO2TlXSvHep5TqMZPknGvn7aUU + SdM0nfoFLAAAAAAAAAAAAACcP7XWcRxjjH3fS8o5t/IOxy3nvFqtWtEJSS2mupWPuCbv/TAM8zy3 + b1sM9vpbAAAANMRaAwAAAAAAAAAAAAAAAAAAAABuDqV4s42hG2JnZilPMYYXvvDHv/mbn71YxFrK + PE1SMatmdnTZ2WmaJHnvY4zjOG5tbUlyzo3jKCnnvE6boHwtAAAAAAAAADwgM2ul3yS1tLBygpm+ + ZtZ22np0KSeHK0xzWk3zJz/96d/4rd800zxn59y6//9aCdbusKo785wlOVOQfuB7//FzvunZu/dd + 2tnZue2224Zh2N7eds4tl8uu644ogwgAAABcZPM8b2xstExrSc657e3tEIIds3mevffL5TKl1Hbd + dV27jAUAAAAAAAAAAAAA3Fh935dSJNVaSymllBMY3+u9d87N89x1XRtaLCkfPqQ551xKiTFO07Qe + Vsr4TwAAgCtwegQAAAAAAAAAAAAAAAAAAAAAuCk455xzu7u7tdbYL7z3Oeda62te85pSyu/+7u+P + 46y9iYX3x1EcsamUUs45hLC9vS3JzIZhqLWamXOulNKK2AIAAAAAAAAAHlDOebVaSSq1mFlVNefq + MWdbr9OsDz44ovwcLqBqikM/pfkd73rnakpFkqnWuq5XeE1OKnu/f3/qtTnVLCdZ1Zc/4a6fetGL + p91lH2Lw7r777osxLhaLnHOMkWw8AAAA4DAxxlKK9359Tr61tXUy+5XU930bFGRmKaW+709g1wAA + AAAAAAAAAABwobQbsq1WQ601xhhjTCmdwK7bTltMdbsrffR4Ue+9915Hpl8/ZEfvGgAA4KygAhcA + AAAAAAAAAAAAAACuy7o6cPvWzI7OkAMAAACAB6uFTHjvQwg15WAqNZmr8zy9+tWv+vqvf6Ykk5zJ + qarmdSh1m0l48EEpZT3vcf2gbbxlWtdaSymllPXe53let4EJhBdBe/dbIeNaazuc2tf1NW/7evA4 + AQCcovaPuF3LwdX4dxwAAAAAjsPVedLO+3oiXWdmtu6ja9eALV2b7twLqPXthxBaTF17xszGeUql + /PK/eHuRnA97B4UzyR1WXcfb3g0F51zsOknmpCKTvOm2WzZ/+Zfetoidcokh5JxbNl5Kqe3xZF4v + AADAaVmfgV//+fb65Dzn3MZpcKv9IluPvjitXbe7ii3oGrge62vM024IAAAAAAAAAAAAcDa0wZwp + pWEYDqZcH7eHMKQh53wcmdaSQghtnPPBxrSyFQAAAGcIsdYAAAAAAAAAAAAAAAAAAAAAgJuac25j + Y+NVr3rV0572FO+tVlXV4MPBCsgt0LoFSxy9tZZsbWZtquQ8zy2FopWyNbNpmtrMyWN9UTh1bWbs + FXE4LRBFB4rVllK891StBQAAAAAAwAXXMurWkWCt6+wkG9B68FpP3TiOkty+k2wGTpdzznvfghJL + KX3fr1arEMJisXjDG+/+689/rkopJzOTMx3Zr+tikBRj9N7P02ROViXJSZ13P3/3G7/ksY91VYth + aMcbAADAheKcawWdzWx7e7ud/B9d4jnnbGY5Z+dcCOHEylUDwA3hnGtjxlqPx2q10gN97gEAAAAA + AAAAAAAXVhvQa2abm5stxdk5dwHn47dhEsMw1FrHcWwVKtbDrQEAAM4KhnsCAAAAAAAAAAAAAAAA + AAAAAG4+VmTF1eJq8cEubd+7sbF461t/4clP/ipJ3ivlFGNsCcQ555zzYrGQNE3TYZuMMeacSylm + 1moup7S3kRaIsr29XWvt+36e57ZlnGOt8uz6jW6zRmOMLebczLz3zrk2ffSEE3oAAAAAAACAm03r + RO373iSTSTo6M/gGOljkrj3e3t4+2Crtp26fTHtwitob3Tpsu66bpun222/f2dn57Oc//453vns1 + TUWqUlU1edVD6uqYQoyraazSPM/zPMcQow+1yFXdsjl8z3c//5v+/rOtVnMKwfv9wwwAAODiaDfN + JeWct7a2nHOlFO/9YetP0xRCWK1W3nszSymFELjPDuAmVA+Rc+66LqXUPv2GYWifaafdXgAAAAAA + AAAAAOBmVGt1zklqM/G9962Gw2m36xQ45+Z5Hoah7/v2TCtiAAAAcIYQaw0AAAAAAAAAAAAAAAAA + AAAAuKmlNA3DkMvc9/2b3/ymJz3py3JWjDbPc5voGGOUNE2TmR2RXDLPs6S2zjAMtdYWaVxrXS6X + tdatra02S5D4k4ugVZ4tpazf7lLKPM/e+/ajnHOtteu69uRpthUAAAAAAAA4ba323DAMWodJm5k7 + nbol29vb63jj1sVnZhezFt4F1N76GKOZrVarUkq/2Hjvr/7af/irv2xdvdfTndvuBbSj2nuf5zRP + 6dbNDZOe9OVPfPlLX1ZS2hiGeZwuXbpE/zAAALiAzMw5126mz/M8jmN7xg7R932MsV0vaH/QhTul + 6wUAOMJhn2PtQ6+NJXPOlVLa6LLTbi8AAAAAAAAAAABwM3LOtVEBpZT2oA3sPO12nYKUUku2NrN2 + w5FxpwAA4MxhuCcAAAAAAAAAAAAAAAAAAAAA4OZQ3f1LY0VWgpOVPAzdcrVzx8Nvf9vbfumuux43 + zzUE13Wd9gsitxSKB+S9b8nWrQKpJDNbLBYtIbvNFWxJxsfzInFzaXW36wGr1Wocx5Zp3YKuY4zt + UAEAAAAAAAAuslpr3/f18meOe6frCnfrfZnZ9vb2umdv3c3bvj3u9uB0OefWnfzzPHddd+nSpdVq + 9cY3vjG1I0EmOVVXrrplcLBWYinFeS8550LJuar2zi13dh/98Dve+S9/xZvSNJVSFouFc8o1neBL + BAAAuFmklMzMex9jDCEcfb5tZuu76qUUbrIDOHPa51gppX3Wtcr79DMAAAAAAAAAAAAA1+Sca7Ud + WsWGnHNK6TqrPZw/Lcd6PViila0AAAA4Q4i1BgAAAAAAAAAAAAAAAAAAAADc1Jxzl7bvHcdxa2sj + pfTIRz38nnvuufPOx6VU1lP7YoythvI64+Sa25E0z3Ot9WCIdUppmqYYY5sq2fKMY4wn9PJwqtqR + 0N76loMSQmhzR1NK7UhIKbWDBwAAAAAAALiwSilmtlgsJJVaJKlWnXi8U+sE3t7elmRmzrmWb916 + hombOvfW4YgppRBCCOGWW255y1ve8rG/+A9VMuerKefsQ5DkvL/GJtoxYlZyrvsbjM5bqZ3zb3zD + 3XfcfrtV9THWXJbLZdd1Xded1OsDAAC4WZRSQgjjOLZv14Wn7RCtSrWkdnt9nmfnHGWaAdyEDvsc + 6/s+hNAGCK2HkDFeCAAAAAAAAAAAALimWqv3vgU5t4n5bUzvabfrpLWSFPM8a3/IhPZLWAAAAJwh + F+40DgAAAAAAAAAAAAAAAAAAAABwc7LqrF5jlHtK6Y477igl5TyXkqZp9cWPfcyb3vTzj3nMo1ug + dauP/ICBJaWUNhVwa2urPdP3vZmFEFoohfe+rXNENjbOjXbMtHmh7a03sxhjCz5vdbfbkeCvmX0C + AAAAAAAAXCQt1u7WW2+VZDrpHtR1cLWkWuv29vZqtco5t3487Qda07V77h28F+C9r7X+6Z/+6d13 + 3+1k3nnnXPtp1v33C0xycu7KGjtVplqKpK3NrVxyUX35y1/+X3zd16nUvu/bvhaLRSmlxagDAABc + KG0YRt/3pZR5ntuYCkn1EDnnvu/Xt+BjjMvlkjLNAM6QaZpCCNM0mZn3fpomxgsBAAAAAAAAAAAA + h+n7Puc8z3OMMeccQsg5l1JOu10nrQVaSxqGodZ6PSUvAAAAbkLEWgMAAAAAAAAAAAAAAAAAAAAA + bmre+zajrxVN9t475x772Me+5c0//4hH3DHPcykl5yxpHVlxmBZUvL29HUIws9VqtU5DSSmtc6+1 + H4KCc+yKo6UdBqWUGKOkWmtbocVdczwAAAAAAADgIrOqFlC3sbHh9zKmTSeSIX11XnWtNeWyu1qu + K8G1ID0Ra32OVFM98GZa3VvCfna1j2FnuVuc+/l77vn0fffOqqmUKc0ydX2vlGVW98sjFunKQolW + 5ZzMnNPuzraXvvO//Y5/+PzvSdPch5imqaQsqdVYHIbhpF43AADATcc5F2NcrVY68nzbzMZxNLNW + oDnnvFgsuM8O4GwppXRd1wahdV237nYAAAAAAAAAAAAAcIVxHNuDeZ7NLKXUyjicbqtORYzRe99G + VrQ/AuMlAADAmUOsNQAAAAAAAAAAAAAAAB6KdfAbAAAAANwo1Uq1/WiJ6tZLLVayoouuupqylVrT + 7Lye+MQv/6VfvOe2WzdMciaTVFrOhev7hXNBcvvLnpRSmwe4ftDSi80shOCcazMnW5Jx+5VWorTu + O8k/CI7bOsVc+wW42zPra14zWz9zSm0EAAAAAAAAbgo+uOXOzhOf+GVZVbU4J9UqM1mRXR4ZbNKN + 7k5r2XgHn/nUpz7lfMi5SBacV6kqtfXmtUTkampxxqZiV4Ua42ZWbS+IuvX4m4rbX6xUlVqrxjnF + zc1/+yd/8ta3vz2bslRU24E3TUupqOZas1ScN+etqlQ5M793y6BWZ1U1qxYv/Wdf85RXv/wVG10M + 5nJKTubNOVnNxTlXCscPAAC4iA7eTx+GQdIRQybWP2oDMLz3tVbuswM4W9rVn/e+fRtCONXmAAAA + AAAAAAAAAGdDGzNw9VjfC2Ke54MDTRkvAeAiaOV3Ukrt25zzDdxye5BSup6RG22Q28E1b+zg//XW + Wumh9Us++CT1iHA+EGsNAAAAAAAAAAAAAAAAAAAAADh7XC25zF/+xCfcc89bbr11Uav6PlZVSWY2 + jmMpxTkXQlgXGz1Mm0ayTjWepqlNXGnR1zHG9tNpmszsikkmAAAAAAAAAHAROOe895ubm97kTKVU + Z06nV3nns3/9+daqWmspxczM7JrlkFyVJKNG0Bm3fgdLKTLb2NrcXY0vfPGLktm8d29gf9UD77X3 + Pue8PjDMzDlnMlWVlGNwVvWYL3z02//FvxwW3TRNdvm+AAAAAAAAAAAAAAAAAAAAAACApHEcJZlZ + KSWE0HKdvff1BmmzQlqpn7bxVv/nMKWUYRjmeY4xOudSSq3E0I1qz3rvMcZpmlp+ds6ZekQ4f4i1 + BgAAAAAAAAAAAAAAAAAAAACcSTHGWutTn/rU173udZLWEzyGYZAUQiilpJRyzmbe7NBw6xDCeq6I + 977rulprm0LTsq63t7drrX3fz/PcJpkAAAAAAAAAwIXSKgQ96lGPqlVtObo80HH7q0983DlnZuvq + Ra000ik2CceqmiTVWuVM3u0sl+9+z3v+1b/+16WW1sMvSfWyTOu99SVJZmZWS0mlpFZeyqSSysNu + vfVX3/e+W2+9te/7q/dYTQAAAAAAAAAAAAAAAAAAAAAAoO/7FursvU8pxRhzztobrn8DrDdVSrme + GSvDMKxWK0nzPDvnQgjTNN3A9rT5CDnnS5cudV0nqb126hHh/CHWGgAAAAAAAAAAAAAAAAAAAABw + JpVSzGyapmc84xlvetMbcq7em3d+uVxKSim1SSCSWq7JYdtJKc3zLGlra2v9K865Wutyuay1bm1t + tYk0R2wEAAAAAAAAAM6xnLNz7nGPe1yRWjdq6zU9LR//+MflXT6QY93CrU+xSbhRrF67IE4x+S6a + mXPuL//yL1/60peaKcSu9fBfU0s6d8557w/28LtSgsmkn3/D3Xc9/k7lstpdWuEWAAAAAAAAAAAA + AAAAAAAAAAAA17BarVqoc621pTh77+d5rjfIeket5k+bCHDEPJHWnrZ+Siml1MKnb1R72lQFM7vl + llt0oHhRpR4Rzh1irQEAAAAAAAAAAAAAAAAAAAAAZ1JK02LRx86nPH3DN3z9a1/7qpxrLtlUot8b + Ld/3fXtwxDQV731bbXt7O4RgZm1lM1ssFiklSW06TdcdFY8BAAAAAAAAAOeYc+6uxz/eJOecpFqr + D0FVOo3yOx//5Ceccznn1qPbygO1huF8cJK1Q6u6IlfkVN1yXKWS5ewlL3vp5y7dV6umeWod+Fce + h7a3mHeSWle/mYUQvDmTrOqVL3np3/u7T695Xgydldp3ndY7vawhHFcAAAAAAAAAAAAAAAAAAAAA + gAttGAZJLch5/SDGaDeI9qertC2XUlpm9mHtiTGu29DytiXVWm9Ue7quSym1qSs551prjDGlZNQj + wrnDtBkAAAAAAAAAAAAAAAAAAAAAwJlkZqWU7e3tYRhSSt/yLf/li1704zF6Sc4551ytdRzH9q0d + Hmudcx7H0cy897XWvu/byimlaZrWk1jWM0xO6vUBAAAAAAAAwM2i5UY/8pGPvG1rs9bqDu1wPSF/ + 8Rd/UU1Z1fYztlvtoavXLCZJ9bQbjL+5avIxyLlf+43f+O3f+/2+67IUu65VgzpCKUX79a1SSqol + yL7zud/+/d/7fbdt3RKcX27v3HrrrdM0XZVpDQAAAAAAAAAAAAAAAAAAAAAA1BKmvfdmNs/zEZV8 + /oZ7afV/vN+rIHTYmvM8t1TpUkorDXR0DPZDaEkIobVk/WJDCNQjwvkTTrsBAAAAAAAAAAAAAAAA + AAAAAAA8FEMXdncubQ6LPI2LjcU85ec//7vvvffeN7/5nnGcnDmnWmRd103TdMR22mwWSaWUWmvO + uSVbr2eqeO9LKUdnYwMAAAAAAADAOWZVKiXGeNddd/3RBz/UOk9LyqfVno997GPrbttSq6SDnbo4 + 6w7GS68jyaspV23vbL/op15SpeU0SZqmSSYdfOcv78g329uY9zbPxaSNxfD0r33a3a//n+d5LnlW + Loth2L50qa2jy0PQ22PirgEAAAAAAAAAAAAAAAAAAAAAF1lKKcZoZjHGeZ77vh/H8cbuwjlXSpG0 + 3nj79rCVU0pd183zLMnMFovFcrm84Y05+HpTSi1LW9QjwjlyaHo8AAAAAAAAAAAAAAAAAAAAAAA3 + s3meu64LIeScd3Z2pnkVQvihH/ofvvu7vysEV2rx3mt/gkrXdYdtJ+csqdZaazUzMxvH0XvfJo2k + lNockrYysSgAAAAAAAAALqDW3WpmX/qlX9qeCc6fVn9pkT716U+n/Z7bor2uXRX6b8+naqqmIvkY + fvhHf/RTn/50kYrkvMkUD+//l7Tu3i+lmNR14a7H3/mLv/CWNM23DBu7l7aD9+12Q4zxRF4NAAAA + AAAAAAAAAAAAAAAAAABnTMu0ds61GOn2dT1i/4Yopawr/0g6Oi66lBJCmKap1trmvNzATOu1lmkt + qTWp6zrqEeH8IdYaAAAAAAAAAAAAAAAAD0IbL2X2AGO8AAAAAOAEtAuTOY0+WOdd513Kk5m94AU/ + +rznfZukUpM35TQNXZyn1fpC5uAVTQhBUimlXe+0cGtJOWfnXJtO0+aQtEkmODda3vnBBy3gfP1t + e8fXhwQA4Gaw/nf5oPW/5u1r+zwHAAAAANxwKaUuxCd+2ZdXybn7e9JOxe7u7sc//vFa6zzP3ns5 + q7W2vl+jP+/sq7kE51tFKplTteU8u657z6/9+m/+9u9MtVQpBJdz7fo4T9Nh23GmNM19F0wquQ4x + fMEjHvned717c7ERnZ/GcTEMpZTgfM1Zp3pIAwAA3FTWt13a7fKU0gP+ipkdHFZhZtxqBwAAAAAA + AAAAAAAA2p+wf1a08RJ1r9oepfYAXETr2frT/lj9lFLdn7JxRbWWGz61ZP0hrOvIil6PbTuOCgPt + pV1RbqhFWZtZCGFvDovZ3twH4Mwi1hoAAAAAAAAAAAAAAAAAAAAAcI5Ycc697GUvfd7zvrUUlVq0 + P0/GDlgnYh5RfLlNL1ksFpJyzl3XMY3kPHHOlVJSSs65doR47+d5bpOaxnGMMc7z3EpvT4fHogAA + TkatNeecUtrd3T1YHWCapnEc2yd2WzOE8IAzVAEAAAAAD1YpZWtr69KlS0972tMkqaiqrjtaT16q + 5d9/9P/phj7VImellJzzKbYHN5b3fhzHjVtuSSWP4+hiuPX22//0//6zF734xVMtMYYizalImsb5 + iO2UIpOmKQ0xOGlzsfGb7/u1R97xMFe17usnBx0AAOBqzrlxHJ1ztdZpmkIIKSXvvR1OUoxxXVF0 + mibGVwAAAAAAAAAAAAAAAADAmdOqr0gKIbTJGiGEVn4H2k+2HsdxPUbuhmd7AyeM6VgAAAAAAAAA + AAAAAAAAAAAAgLOpuvsXSZKrxdVirprZT//0y7/hG75OUgiupVKUUtYRmLXW6ymg3HVdK7XsvT/W + l4KT10JuQgjzPHddN89zKSXG6L1vKeban2HVdV37FgBwiszMOTfPc9/369TqnHOM0TnnnGv/srcp + oKfaUgAAAAA4n2ouJWUze9KTnnTbxmZ7cl15x6QTDqwr0p/92Z+VUkIIksysPcD5UJVj5z/3mc/E + GEPfTWneWa5e9OKXfOIz/1+MYTWnKnlv184xr/cvwZskJ+U53b65+JVfftuX3fl4q9Ukq7UtbdVq + Wi97mznwGAAA4ALq+36aJudc13Ut0zrnXA9hZu32TUrJzNp99pzzab8IAAAAAAAAAAAAAAAAAMCD + M01TjDGl1AqztCeZwr8WYyyl3HbbbdqfVhNCYLwczjRirQEAAAAAAAAAAAAAAAAAAAAA58o4jrIi + 6e673/D0p/+dlMp+9uX91oWVj97UNE1935uZmbV6zcfUZpyWeZ5jjGa2ubnpvQ8hmFnXdS0ete97 + 59xyuTztZgIAlHNerVYxxhaKUEoZx7Ellk3T5L2XNI5jy71+wH/iAQAAAAAPVusoWywWm5ubd955 + p3enfOVl0kf//GO7q1WMsVX/aVWTTrdVuIFSzrfddtuUkgtezr3h7rt//3/7X8005VSlrusk7eeq + Hyrn6iQvBXM/+9qfefp//nfTNLncoqwBAABwqJxzi6aWlFJqd2S89+4QpZSNjQ1JMUZJzrmdnZ12 + +wYAAAAAAAAAAAAAAAAAcIZ0XVdKMbP1eLBWg+W023WzaHNYpmlKKaWUWrJ1vbq2EXB2UFELAAAA + AAAAAAAAAAAAAAAAAHBGuStHxVuRlVs3N5RT7Lzzev3r//lXf/VXSWqB1M457/16qsz1JFuP49im + jrR6zTg3Wkh5q74taZ5n7c8dWv90nuda63odAMAp8t4PwyBpd3fXzJxzfd+3z+2u66ZpktT3fZsc + u/48BwAAAADcKGYWfbBSo/df/dVf3XpWgzu1mLoqffjDH/bep5JbDaCUEmWAzhPn3DRNOedc6wc+ + 9MGfed3POWepKhc556Y051wleWf7EdXXrqITvDPp5S97yXc893m7912KckPfW5WkavcvB139DAAA + wEXTEqlrres75m3sRDmE9353dzfn3G6yp5Q2Nzc5PwcAAAAAAAAAAAAAAACAs2gcR+99m7NvZmbG + eLC1lvndBtetixFRmgZnGrHWAAAAAAAAAAAAAAAAAAAAAIBzZZqmVkw5pbS1tXXPPfd87df+J6VI + Uikl59wmzFzPplo0pvfeOdd+hWk254aZHQw9NbNWmDuE0Opur58/nfYBAC5XSpmmqZSyubkpaRxH + SesZsF3X5Zzb49Vq1T7SAQAAAAA3kKtyzpVS5nl+5jOfmXJxp9pfWqWPfOQjcjbPcwjBzKZpaj26 + OAe899M0FVXn3DiOL/zJn9je3Z1LjV3nnDPvVKtz8t5yrma6Zgkdk5wUnH/BD/+P//gf/qM8p63F + RprneRxP/AUBAACcPe0ujJmllCQNw7C+jX61dvPdex9jNLMQwsHb8QAAAAAAAAAAAAAAAACAs6LW + ulgsUkre+3meWw0f58i93RNCaIHWrfpBe/KI8XXAzY//vQEAAAAAAAAAAAAAAAAAAAAAZ5JVWZXk + rhgbP82rfoilpBBcytMjHnnHq179iq/4irtC2FutlLLOKj46tHieZ+dczrnNHiET5fxZHwDrAJ6U + 0jAMLctc0jiOMUYqbgPAqXPOdV3nnJumqdba9337Z9rMSimlFO+9mc3zPAxDy1cAAAAAANxYKSXn + XErpKU95ysZi8M6Xempld0z63L2XPvrRj5pZuzyUdM0ySVU6tfBtPFTTPA/DEGPshv6nX/mK9//x + B6pksmma9o46sxC6nKtJXQxXb6H1/Hpn3kWbVQAAIABJREFU/90/+M5/+oIf62Msc6qlDF3XjpNq + RWqLJFW7f7lsO3t3IgAAAC6WaZramfY8zyGEduelHq6VLp2mab2amR09HgMAAAAAAAAAAAAAAAAA + cHPKObeRYzHGNhiM2Oa1lNI8z9M0teoHzrlaK7HfONM4fAEAAAAAAAAAAAAAAAAAAAAA50rf9yml + llJcax3H8c4773zzm998x8NuG4buQW2qTR2RtFgsJKWUvPfH0WacvFqr936dZm1mOWczCyGsVquW + ZR5CaFnmvO8AcOpyzu1De52CEGMspeScnXNtHmz76JbUvgIAAAAAbqBiMrNa6zAMdzzsYf/xV37V + wYy6y6KjTyRH2kxF+tCHPuS9z6m0S8J5nq+x5n7CMc4S512I27u7v/Xbv/PWX3ybpL7r1ZLLzXJK + qrVFLcbopykdDKhu77iTvPTc/+pbX/2qV3iz5c7uxsZGzinnrL1MawAAAByq67p24hRjnKap3XlZ + 316/WrvP3nVdW61dOxyxPs6WKuX9S71rvKl1/2vV3pl5vfz561Ku++vhrTx6uXJ39y/16C0f+irK + wSsRAAAAAAAAAAAAAAAA4HxoIda11jZy7OBjaL8ETZtT0wbata/A2UWsNQAAAAAAAAAAAAAAAK5X + GztlZrXqAcuTAQAAAMBxq1aqXV4itjpVV4vVYsGclRqdedU8jY/+oi9473vfsxg6ZwreaineySSr + ktxho+vb1BpJy+Vybw/79Zfb4xai2dY85peLG+zARe5l72lKaf3TlNI62hwAcLq89+s06/WTzrk2 + 7bN1VzrnDmaqAQAAAABuoFTmboglpzrPvpbnPPubak5Osr3+1ft7WU8gRrpKqapKH/7In6a9Xlwz + M+fc5eFkMslVudq6gi+Qavcvf5N1jqM9B5dSipnlnHPOXYhONo+Tc8683xnHz3z+8z/ygh9bprlK + y2l0zpVSVOo6lK7WOs+5Si74dtiZtNF3XvLSc77xG978htfHaprzou+mceWck7OirKuP23rtZd/B + 47pIxVRMpZbUdyGnKc1jjLGUUkrx3peq2PXr0lTOuXlaOavtt/a3bAcWAACAm067BSOphVVr/zb6 + YYZhaA+cczpwZwc3oWukPB+eBl2lWUrSLGWprH/3GqHRRbWoXivZ+qp46YNPlJKkUkvKaWoProid + vjx/uuSc6+VlYEvKextdr3uwJevn1+1UkXJbqtplRrmyjQ+Qjb2/kUqy9fmxLsTcvlJuGAAAAAAA + AAAAAAAAXFghhPUAsDYkrBVjOUxbRwdquRxzA2+wNm7EzNqD9ai5a8o5r8vUeO/J/MY5QKw1AAAA + AAAAAAAAAAAAAAAAAOD8c7U8+tGPfte73nnbbVs5V0mlqO/6Uh9Kbdk2q0T782pyzusJNgAAAAAA + AABw/nRdt1wuU0oxxlDtmX/v6U7mrhXH27pKTyDZOnThD//wD2PsW2DePM9nrvIRJDnnhmFooYnj + OG5sbJjZlFI2/cB//4Of+uvPhBCL5J1P5dBEsZKzD8F7kzSOU5T9na/5ml9405uthZqrHgiovpGB + c977e++9d3Nzc1gscs611q7rxnkqpaxWq2ry3jvncs633H770WW8AAAAgJuTSX5/MelAcLQkqe5f + /pkkd2BZPylZW8recvA5yaRaTXLmgg/duJzMBVU3jbOqk9ze1/2lynnv55yqNM25qFbJBX/tpq/3 + 5PYf7HFVVmV5r0FOkqlYVbt2qDqw8uUZ4FcmXHMZetbUQ0zTNI7jwb6FEEIbHgYAAAAAAAAAAAAA + AIAjmFkppRXeqbU65+xMkZRSaqWEUkrOuWmaYoyn/XcFTg5lswAAAAAAAAAAAAAAAAAAAAAAF4Jz + 7glPeMIv//LbtrYGMzmncRq72F1Wb/f61FoXi0UpRVIpxXtPHAUAAAAAAACAc6x1h7aM3pTSV3zF + V3zJF39J+8mNzQm+fjnnP/nIhz/72c+GEFJKXdddO26qthS0i6WFsa0j2R7yOsfRnoNLCGG5XLZA + 6OW48jGY2aWd7dB1//z1d/+r9/+fRZrTLKlWC6Hbi7K7LI5OJqkqOCu5tiy7Jz3pSe985zsXi8Xx + vBp3sGLP7bfffu99983zPE2TeSdnpZTQdy4GF0IqeZynOac0TTLbC8AzVaLvAAAAcHrs6ixmO3wp + 8kkhyWf5WryyaZZm2SgluVJN2ZSkZMrmqrlsyvtPZitJe0tWyetU7FpUi0pRlXe+yo1TVXX9MLQr + uK5bSNq7mqtOVXX/PHxnnHwXxpJc9El1qnkqudrlsdrm2lKtZJv3FqWqUuWqXFHI+0uVMzkVKReV + IikfTLY+8MeqUpGylKUkl2RZrlLS81yIMTrnWlVlSc65cRxPu1EAAAAAAAAAAAAAAABnQK2167pS + Sht3UUppj+sZIanv+5yzpGEYSikxxnmeT/nPCpwgxsABAAAAAAAAAAAAAAAAAAAAAC6Eqpxz/sqv + /Mq3vvUXtrYWpUjSNE8PdjutjOk4jn3f1/2UlBDCjW0tAAAAAAAAANw8cs5d1znn0tQyhut//a3f + UlXtqjS0k9F1IedaSvm/PvLh5TRKijG2KkIHVamYCinCNyvvfYwxpdTCw0IIU5pv2br1f/+DP3zF + a/6ZmbXQuBBjriWldNh2TJrGuWVaP/HOu979rnfdurWVptkdc2L3NE3jNA3DYN6Zd8MwbG9vLzY3 + pzQn1VzLnHPouq2trZ3lbt/3x9saAAAA4DjYfphzC6KW7s+OtpYPrbq/YittWfeDnyW1xGiT83JO + zh/cbPsFU9to11lqI3mmWoquuLw7eE3XR+9UVLNUnOTNy9lUc26r7S/rZmSVrNRWNsmqrLY9y+8v + qpJc+8WiIqWiUlVkRVbk2oO9F7//XycF6nmeJ9M0ee8ljeM4z3Pf963UMgAAAAAAAAAAAAAAAI4Q + QpimyTlnZiEE59zm5mYpxZ0RksZx9N5778dxlDTPc4zxtP+uwMlhGBwAAAAAAAAAAAAAAAAAAAAA + 4ELoulBqqspPfepTf+7nfnYYgpnM9urZXr95nksp3vuccwihFTCd5/mYmg0AAAAAAAAAp857X2tN + KeWc+75Xqc/9b76tM+ckM9sL+jpBOVdJpZT3v//9uZYQ4zhP65+2lLL9mLGLqP0F9v8OD32d47Zc + Lvu+L6XUWl3w4zyZd3/+l3/xwz/yI1WS8zInuXnOkroWC21SdaqXvbNDDF6K3v+tL/jCd7/jVx77 + RY8el6tF1+sa/f838qjohyGlVIrMvJmN89wNi93VKpvMO/NBIeRa7r10aRg2dpari3xMAgAA4OZX + r1okyYp8kkuyoupUvRSzYlKc5GapSiYFFa/Z6tI0t021+OdQ5Yt8lmVn2akEFafqqlySm+QmqZi2 + l1ORslSk2Jsk71Xr/YuKVFSrVBXMpp3twXsrc8rjfri1XfEq9rkqLwUvb9UpOxWpyop8ls/ySdZ2 + bMreTc5llaJaVbJKbuHW+4uptDDsUPcWXx/coCPczLqum6ZJUt/3rTBxviJfHQAAAAAAAAAAAAAA + AFdJKQ3DUEoppaSUSik7Ozve+3JGtFeRc84511pb1jV1hHChhNNuAAAAAAAAAAAAAAAAAAAAAAAA + J2F3d7frOjM3TstnPOMZP/uzP/MjP/Kjq1V6sNuJMc7zXOteaIpzrtbaipkCAAAAAAAAwLlkZiml + GGN74Jx7/OMf/+QnP/nffPCDViWzup8a1ir6HHdQcs45OF9K/oM/+IMff8GPjsuVr9W8298/zgYz + W61WzrlqKjl3w7C7vf2in3rxn/+/fxW7fpzGKpn5YRjGcZzG8Zp5cU6a57QIcXNj43/5rd967N96 + zLhcbQ6L5XLZHXPX/TzPi8ViZ7kK8i6EKc2vfe3P/NEf/7FF32paqdTg3ZP/o6966U+9ZLFY5PlB + 35IAAAAATl11Mie1bOnqJMmUD1z37Z1215b9nEzRS16yeujFYdbeFpxk2zu3DJ3G0TvXLulsudIw + XH36byZVaZr7bqHl5Lvona8qaW9TLksmubZylZeqSQqmoroXaN3av/d13TxTNbXtBDm7/9KythY5 + SSqm/b/AOj3bHdggzrJaq5l1XZdzds61y9VhGE67XQAAAAAAAAAAAAAAAGfAarUKIbRxF7XWUkrO + +bQbdb2ccyGEaZq89zlnM8s5t8en3TTghBBrDQAAAAAAAAAAAAAAAAAAAAC4EBZ9zDlJVTmFIX7j + N37jy1720he+8CdSkvYLzO6Xq21FZ68dfzLPcwghpSSpzUKJMS6XyxAYog8AAAAAAADgfCqlSGq9 + oMudXRdDF/w/+I5v/7cf/ECVsuzYg6yv1SQnfeCDH/z4Jz952y23dF1XUt7v3b1fJV3sJmBXHR7V + TNJiY3NnZ6frOnmXUtpZLt/+K+/4zd/7vS6E5TQO/cacU0pptVxKLUnvsn77llfXvj7i4Q/71fe+ + 7zFf8Kjowzgus2xjsUhpvmq/127P0Q4m3x18JoRutZq6rttZLrth+PgnP/Xme95633KnFa/yTq6q + Vj3mC7+olDKl5O3K4xMAAAA4BVed4B52glylLDfKmbTR1i+S5L2qqZ33ttN0L1ctFjmpeI1765mT + 6UDys5Nc3T+tb9vr2jef/5xCUJVqVa0yp+35/kYcbK05Wa9S5KPGomDmqoKX3N55eGvPfuy07RXc + dDJdtly18bL/ckJ1qnurVZWy/7rvP5vfS/g+8AMuPM8+M2tdH957SfM8D8OQUmI8GAAAAAAAAAAA + AAAAwNFa7Z1Wh0dSKaXWOs9zjPF0G3b9VqvVMAxm5pzLOfd9P47jaTcKODmMkQIAAAAAAAAAAAAA + AAAAAAAAXAjzPHddN0+57/vlOC4Wi+c85zm7u7sveckrHtR2zGydad3qmaaUuq4r5dox2AAAAAAA + AABw1rXqPOM4mpn33psLIX7zs7/px/6nH5dk9aRTrc2s1mqm7XH1R3/8b579rL8/p6RaiA0+W5bL + pYthyqkWOec+8KEP/dOfenH0YTcl5/1yXEnqh2FcrRS8JOWyf6gV20+Vc9IX3nHHu97+K0+4887g + /LRchRD6rtvZ2YnxeEvrlFLkbDmOtz3s9ks7u6941SvvW+6Y5L1LuaQiJznpP33KU8y7XIo/1tYA + AAAAx6ZKWfJWJKk6SeuTWy9ZlaRiLu+dpU+qVbVI+2HRxe09qGZVvrq97ZiUZi0vfd9jv/hhD3tY + KaXkHMwF51erVdd1ajnY7QJAkpTNTxZXsrf8u3+nYPJOyjFGqch7mdvbbGtItb3HJnnJu2p7gdR7 + FxSmA2N9SpDzrc3FtbN5s73c7suCrdsvt+RsMq3Pi5yz9z6l5JwrpbQ0azKtAQAAAAAAAAAAAAAA + HlDOWVIIIaVUSokxjuPY9/1pt+tBGIahPWilhMZxbGNITrdVwIlhmBQAAAAAAAAAAAAAAACuV63V + zKQqyTm3/y0AAAAAnA3e+5yz88pl7rzL0xg7/13f9V333Xff6173+loVo09zqapSCT7M+drTS2rd + C81o82rWT7YYlfZtKcU51wqeHvfrwkPT3qP2tda6flxKMbP9UBxra/I+AsDNYP35fPWPDj5JvyUA + AAAAHIcik5lzkhS8VavTND3qEY/49uc+7x3ve6+pmuRDSCk5C6UW6djL91QpVXnpd37vd5/1rGeF + 4MtUtB+oJqna/V/thGO3T1u7Uu66LqWUcnLOpZKHYZjnOaW0MSxyzjmlruvmeXbu2LPAU0pt7y0W + fZ5nOeecMzNzzszmnD756c/8o+/9PpPGnIpU814i3rhayaQ8SzJzzrucJpM2+mEcV10Ii9j91m/8 + xmMe/UVdiHmazcyZpTR3Xby6G+EhHgnt1+qBh+Yk+RhqSs75eU7//qMfe8+v/XowN9eScpHUeZdz + kfTkJz95mqbow+Xx7+0bOjEAAABwmuplj/dSoCVp/46bUx1kq2nXd4NcqaVdHYZa5Kqz9RmtyZsk + V1RUg0pSklJRKVqNqk4p/djf/tt9LqFkX4uvxVSsylVZ1ZNC0KVLVvfOt/cuUeZZl5/Dt+u7bK5K + P/eljymmaq7IVVl2mqrmYLYYXvH+/0NDJ1flTT5qloaFvC+ppjgUhaLayVKRc0pFpebOm69ZOUlO + NexFVifJuyrtXzO59krXLSn7z3Jafw60oUEtx/oELpMBAAAAAAAAAAAAAADOEzNLKbXH8zyfrUxr + HShQ0GY91FrJtMaFQqw1AAAAAAAAAAAAAAAAAAAAAOACs/L93//9q9XqTW+6Z56zc1IxM0s5Pegt + 7WdtTtMUY2zPHEOLcWO0ErQtylr7b1YpJYSQc27zi9pEI+89CakAAAAAAADAQVblpGz6gR/4gXe8 + 772SYvTTnMwshDDN03E3oNYaQsgpZemPP/Ch1WrV+9B5r3LB8qsPUUqJMW5vby82N7x87Dub53Ec + /3/27j1atquuE/3395tzPar2PidPSAyPEBLyOEkQUBH1dkv71la50tIttuADCUrrBS+oSIAAXkRB + QAI6bLqRZ0hUUNuBgt0XdHj1KigNKNrg645re0EhOY+9d1Wtteacv9/9Y9Wus8/JPjEnnPf5fsYc + lapVa6+aa661a685z8r81nVdVVW36Ma8LgDjiChO8vhn0zRmNo64unvV1KqhHwYTuJVSSnF/5rNu + +ad77rYjc/WAHQFxDoeXPFQhwnLfdwFoYvW+33rvwx7y0GnTei7uHkMQkVKKu5/sKLK+74ec9154 + 4cbW1utvv71pmkXfj/l3dV0PwxCBOlZXXnllXTdeykmtDBERERHR50kg88V8OpkKkHIeu1dVXQOY + SgRgEINHDQBUFAIU5NksTicww7wLVRUsAYacADzzsY/DxsaD2ybMZu2QHwpvSomWoyM4BFCgAIPC + BOIKmLhCTF0BA1TcgOVzwFwUsCKAYBWJrVBADSgiSbybzd/whY89YGmhhulk/9bWvpsf95x33YW9 + 61qFekhoW6CCK1TdERQBATDPRSTAHAaMHaaAYtAIAF0/TOp2bCYHDCiAAQLE7dWJiIiIiIiIiIiI + iIiIiIiIiIiIzjqMtSYiIiIiIiIiIiIiIiIiIiIiIiIiIiKi848YAHUAqJv43Oc+98CBA7/6q+/O + GU0T+z6FILkcX/yJuwMYk60B9H3fNE3OOUbeun8mKqWEEFZHp5SiqiGElBIAEVFVd88513U9hluf + 1voSERERERERERGdEcQBwAUQF8dN+274X57w+P/7jz9sZqoqIqcg03pZExEHBPgfn/rUJ/7yLx73 + 6McYROEA1GGHs5DHZ+dX3PWYqTxZm/Z9H0Lous7MmslkGIaccxXCZH1tsTWbd4s6VmNLnlQG7/qu + bduqqoZh6PvezM1dq9hOJltd/5Mvf/kffPhDIWgphtXREgMAPxxNLTCBiLs5InDBnvU73vHO6x51 + zbRuLJeUBhHREMfw7BDCOFx/8rRtm+fzYRj+/C8+cdd73u1A3bSLvgNQShl35Oqrr967d28IYUg5 + iGDnL9H2np7cUHEiIiIiovsnl9y2rQDDMNRVvVzqQDaJdc4WYgga4EAx2IAQgBzXFd0hHJrBq3d9 + w7d85q/+ptfSTptD+w/csL4e3HxxsFURNyk5uAVfbhWAAQ6owwUCAwAxdYgbBHDT5VowGATiVhRZ + lz0+dYjrGGqtjjpEyWlvs3b3wYOX1ZNknubdw5q1xYf+7I03fvH+xQx79txd0u1//ddoKsAwaSSq + QzTUcJOqRlEE9xppO7K6AJK9jjJp2rGCGC/gxXx8dxl7TURERERERERERERERERERERERHRW4l1w + RERERERERERERERERERERERERERERHReM7Ni+WUve9lsNnvve9/X92kyaRaL/ni3E0IYo5FjjBsb + G9PpdFx4EqpMJ8B4aMxMZJkYYmar+GozK6WISF3XKaWqqk5nXYmIiIiIiIiIiM4Mq/Rj2Q7jNbNn + P/vZf/DHH/biIlKsCDSGmMrJDbcWkXHgznJxt9/49d98whc/Pne9bg/3qcMA02XumO6o/PlgsVjs + 2bt30S0ANJN2tljEut7a2mqaZjKZDF23/5571ibTuq7bpt04dKhum5NaHxEZQ6aHYTAzjUEMbdt0 + Q7+xtfWB3/29X3jTf3RgKBarkHI5Rgq5waGAW6kVVYh3vuuOr3jCl3XzxTAMMB8/CICZAQgh5JxP + 3k65oJSiMaRSXvaylymQgEXfVVWVciqlCCCQm2++2QwppcD0aiIiIiI6s4UQFOLudVXDfZgv6skE + EES1gljp0PV1VaEUZINloINneHna9dc9IrbTzx28rEuXwxYw6ZsH1bX3fZ+6DJ/EKuUUV1fEvsyl + Xln113S7swlAt989vLIAQPCdrwDAxeDa50WDarY4uBchFiRIGrIMZa+GfuvAVdLOD27sCfryq67a + aKt0wfrr/+TDqJtKI3KPdgob0NRQNWBrsbU2Wc9uUVVUAJSMoICPQdxQqMACANiOmhIRERERERER + ERERERERERERERGdZRhrTURERERERERERERERERERERERERERETnB98xjazY6jFAQgwmeOUrXzkM + w+/8zgcWiz4EyeX4Qk5KKdhOy9i7d2/OeQzMiJG37p+5VBWA++FjnXMWEVUd40+W0Thm45pERERE + RERERESkMBMAqkBbV1/5L/7ljdde94m/+pS5KcSAVE5ilvDhWsDd3eAi+M3feu9tL3lRlKNigwWO + 8zNKeDqdbm1uStDpdLq1tSUhuPv63j2///u//8lPfvKW73/mdDqdbW5NJpONQ4f27t3bDf1Jrc+Q + UzNpS8p938e6qmIc+tR1Xd1MPvHJj//gs58NgTlckHKRGD3nZVwcgGU0OQRoYpVzUiBqePddv/zF + X/jYYb6YtnW/GKqq8m2qambjoP3J3a9hiE39K+9+zx996MPjh6lqymn73yOsrurrr7++qqrSd1VV + u5XzKl6diIiIiM4uCkkpBVEJAeb1ZArABiuqsQYctQq6GeZzmD3j8isesme9BWD5+r5vBWuiTRQz + ALGaNp/b2tgjsWnaupjlPKmbPCQXAHCBQX3ZWTPZvuZfGa+a7ciXo2Codyy3HT/bhCqE0BYUt8GH + zsq0bYY+L8za0FhJrXob0OZhfWNm883XPOQqkabPIe3d+5KPfRR7a4TeogSViycVkGqRrqQqNOaI + cUeFDKKoBctewIlofCIiIiIiIiIiIiIiIiIiIiIiIqLTgnfBERERERERERERERERERERERERERER + EdF5bRgGERGRuomvfvWrv/IrvwJAOc5MawAhhDHBOoRQShmjkZlpfYYbo8fHdBN3TynFGEMI41vu + XlVVzpmZ1kRERERERERERDupY0znNbOqis9+9rMBNLFyuBydLX0SjYN47vifn/70Rz/60dV47HmZ + ZH2EYRgma9O2be/ef08zmYQqZisf+9jHvud7vgdAznlzNtt70YWLxaKu65TSya6Pu5uZmbVtG2Nc + LBYmiHW1/9DBW265pc/JHKJatS0EnneJRRdAgZxTGyKAO97xzn/xFV8RRGClX3QhBBFx91KKu4cQ + VPUUxFo3TXPo0KFXvOIVBsQQHTA3UV1VuE/9o2++eVyzFGZaExEREdGZrgpRQ0DKyAUO9INWWglk + q8fmFhbzpz38yuft2/eKG65/9LR5eC6XbG49PONhLpcWNEPpcu7NILa5tXFhrFUFVkpJGcVyAbY7 + kkd85j9zP4bv6OCZAIAAAQjbV92rN0tJB4bOUEIQR6kUw9DHCoC5YkBWNSlDm+1ix8Ohlw/9Q/vh + ypIvPnD3T910w3945FXY2tKuw7zH3QfRD5LTxDzCdKyy7CgOuAZA2QMlIiIiIiIiIiIiIiIiIiIi + IiKisxmnVSIiIiIiIiIiIiIiIiIiIiIiIiIiIiKi84IcYybZ6Vqbcj8MXV3XVVXdfvvtj3/8Yx/A + 9kspq4zkMRc5peTOkIozlJm5+yqJ3MxEpKqq8aiNqeRjAM94NImIiIiIiIiIiOgIYuLmxQR40pOe + dMP116WcAIhIXden4PNVdRz2dcCAt73j7Xm3OGSXI1LQzhOp5DHze+/evWOz7N+//7u/73vv2dxq + 16ZDTu4Od41BgmY76fHPdV2bWZ8GCVqyCXR9fb3ruhe84AV/9Xd/O+RkgAtS1wGACvyIwLhVWJ0C + 7v6eu+76qic+MQ+Dl7y2thZDcC9m2Sy7FwDu7gIJu8yrI9uJ7CfE0Kdbb33Rp//xn2yVzOfqtjzh + VDRqvOmmm3I/iIiZHXtLRERERESnn7sv73KJEVWFvkddY7aBzX/C4nMvvem6F1xy6WO25tfNFtWB + jQtE+8VCY9jqO3cRVwAOTOpqPVZToPKh8rSVhjr4nmk1WHYxFwNMYYocPAe34Fkdq7KzF7DzRh+T + Zaa1CzIwjEUxKLIiBxSFNmE9QBV9yr1h77QJBh9KI1Dkpqnm2TcKtNYg2OxTVcVNdDNsauimw8Er + 5xu3X3/9rZd+wQ9e/nCUiIMLHJijOHIJ7gYUQVG4bmdZb2dsExEREREREREREREREREREREREZ29 + GGtNREREREREREREREREREREREREREREROe1vu+rqlLVvl/ESifT5hd/8RdvvPHaBzbz7BiTLCIi + sr6+zljrM5aq7jw6Y4K1mVVVBcDdxxXGuGseRyIiIiIiIiIiol3DgN09il504d7/8IPPFqCKlVse + hu4U1MfMIAJARFTxq+/59UObmw4BcK+annfje5PJJOfcp6G4u2D/oYPf8/3P+H/+5/+nwHw+r6qq + bduDBw9WVeXu4+joSZWHFDWEEMZB13rS3r3/njvuvPNXfu3dBpgDAjcDIFUFO/p4jfPjCLA+mb7r + jnd8xZd9WRBRiLuXnEspcBeRGGNVVSKSc/ZiUcOx6jOezMf7OHKBKVxgkPf+zvvufM97NAQAuWQR + gQjcAQQVc3vYFV/w4EsfFKL080UP4BoxAAAgAElEQVTb1Ds3QkRERER0ojjgQNl+suu728sNsJ1x + 0eOiAtjyXhcgJyzm6GawhEP3fO9jbn7+vute8siHr33609fHcMnQ7+26K6Lk2WI6qVIubVWpavFS + haqJ1XxIfd/XgBUEYK/A3WfzFPSImOpldrXbrj3N+2ACF/iYMI3lcwAu6PuSC0oxAS5s4tZWD6AK + EPcu5SGl2MietWrem4i0ij5lBaRGXVno+8vEJnfvv6b41SIvvPqRL/7Cx/xvNz8aW3NsbWIxD6kL + ngEbm2v7kl6BsR52X01/+BgYYMe3w0REREREREREREREREREREREREQnE2OtiYiIiIiIiIiIiIiI + iIiIiOg4MMeLiIiIiIjOXkfM3uu6KkErK1CHmKtbgE/b+h3vfNsjH/nwcRbdoADQti2gMdbLSWnH + IjhcAAA55/HR3XPOY0rH8jPdSymr56dw12l3qof/r4oxuGVcIsupmsc4HF29S0REREREREREdN4S + H8PPDGImMAFcAY2qeUh5SE99yrffuO+6ktNk0oyjaU0zUY33NbfJvcZXj8M4xGoCwAXFkM3e+s63 + J5jEmN1MIEHMy/ZI7rnD5XApblVThxCGYfBiCikpB1F3l6ASYjbLwA895zl/+CcfGYPuVAIgpVjb + tl4M5scbIwdA/HA5Vt22A9sMMJgrJGpMQ5YYUskf/fNPvODWF2XAARuPpwOA9wUABA6EGFWW508E + 9rbNne98x5c//ksvXNuTFh2ApmrLkGKMKtELvAAmMA+iQdRy2VnPo2qrkABRiDrEMT6KOczVsVou + 5l7MzJqqNgdEBzOpqqz6Bx/+8Pf/4LNdpNsx9L88M2HZigBf9LjHlNR7Smttm/oBAMRWmdYu2KUR + iYiIiM5Ucp92rjneEcH7Ik6N8To/ARlI48U3bBmjbMvrbQOyw2FAsTIUz+OxydmyowDDuAVLsITZ + JvpNHLrnxVde/oqrHnLtZ/7+4Ydmlye5AGppEKB3z8WjwPvUKqykUpJCs6VSUiUAkMdHR3EUQ5Cj + 47WXr2SVD33k8qNXh/rhsloi28vHy+ooUIE7VNAPWQUqKAYDokABSV4WqVHk4tnHJG9ohgw+VZQh + VQIr/XqeXdRtXL55zyMO7n/llY+49aqrMdvA4iDyVsBC0GUbALjBbOzS2LI4ckLJ23ty9C4Z3Jhs + fSbrum58YmYASin8HiMiIiIiIiIiIiIiIiIiIiIionMeY62JiIiIiIiIiIiIiIiIiIiIiIiIiIiI + 6Lw2zkCqqiLi7iISo661k7e//W1XXfUwAGao67rruslkMqZWH5e6rsefMrMQAoCcM2OSiYiIiIiI + iIiI6GwnDpg3TWM5l5Rf+uKXCNAv+qoKItL3vZmpaoxxHBo9sVQVAFxVNVSxAO/+td+A6rzvNAYz + G4d8zceYtnPWYrEopUwmk6ZpYox1iKUUM4sxFjeo/u/Pf95v/c5/AyAy5icvZ5s5lWHKdV0vFgsA + MUao/P0//MMzb7mls1LGTOvVeuMzVwChijnn4tbEIMC0nbz5zW9+7GMfu7a2NptvmVlVVSKS3dx9 + Z5z2/SnjuVHgZlbg7p7dzExiUFUTmJkJRERiCFU0s2ylz6m33K6vbXWL//yWt37Lk78twbK47ai+ + ALLdsgJce+21VQhj0HgUhRyOr3P+KwERERGdQ8Zk6/HWCHcvpYCx1qeQAAoEQAGFyuqaX7C11Y0v + pJglQzYNMYh2fZethKhRULlPzdqui7MFtmbfd801z7/66p961DUXHZhd0fUPzdg75Gmy2kz9iCDq + nTnTfuS1ru94PHl2Zl3rsU+3sQ5Hrek7lgdH2F4uQOjSxcCevrsk9ZcNw6VbWz957fXPvfp63HMI + 807T0IgLzABRACg5Q9RKARADQoAXWNmukIzFoIBgZ6eMzig557Zth2EAsLqFjPd3ERERERERERER + ERERERERERHROY/3tBERERERERERERERERERERERERERERHReW2ch3QVaz2GT9d1fdlll/3CL/z8 + ZZddIoI0DHUVFotZVR0ZvuI7Azd2l3OuqgrAGNwypomklE7W/hARERERERERERGdVK5j8DDG2Ke6 + zsPQtPUT/+VXfus3fYMCJZVJ0wIWo5rlnHMpZZc4qPsxvnofVluzUkrOIvjLT37y3b/2nsnaVIJm + K2ZWxypAxPxURjifbOKHSxWiQgCY2bxbLPrOVdxdNKRixf3nbn/9O+78ZRHEGIo7Tmiq3M5Au3vX + bZmpBwV00fcSQlXXfU6zxeKWH3jWpz/32fFHdz0yArG8DCN3l7au77jjjm/8xn+954K9BhSR0DRQ + yVYc6hqKyHEVEzGRArgqQpAYtaokxgJk9+xegHGd7D6Ytevr82Fo19ckhPf8+q9927958o/f+kID + knkxGHx5LguwfVoGhQOPe9zjAIjImOy4y576Kc0XJyIiIjpJYoxN06yCYFUVjLU+VQQIsOiIhmiQ + Atjh9/as1wLz1EdokAg0sIisk6aFSkFG3pQ0w8F7cPDQTzzs6tse/LB9i/IF87zHwgXr60OSoZxf + sb7BsO66ZgEFvXkp5cJYX3yo3zcLr3vU459z0VU4lNAtMGyo9mZd9lKaelDVuipDB8kofSlJo2wH + WmeXlMV6oEMcEHfvG9CpIsdQVZWI1HW9Wsfd+T1GRERERERERERERERERERERETnvHi6K0BERERE + REREREREREREREREREREREREdDqN2SfjPKSrCUlF3Nyvuuqqt73trU996nceOLA5Zk6klAA9ru1X + VZVSUtWcs6qO2x+DromIiIiIiIiIiIjOalVVLRYLVfVcmqr+sef/6Ac/+MGh5EW3AJBzHoOgcBIy + 7awUVXXA3QDUTZ264XWv/7kn/6/fFrY/S1XzYDFGs/vc1lnL3UMIZubuqqqqoYpFfChZY/ilN7/l + p1716hA01FW/6LEj3k4dOIXhdJPJpJjNukWo4k+84Mc+8tGPOWDHjjUf96tpmsV8Huv6jne+84lf + /VX779mvqk0dq6qC2azvFdAqJjc7zp0xFXeIwwVhDOc2y25VCDZmMKqqajbLwzDk/LG//MTf/u3f + /vGHP/R7v/d7f/f//r05xthu35EXuKr5mFNthjbKDTfckHMOyzi0B9J0RERERGeFlBKAEIK7D8NQ + 13UpJYRwuut1njA44AZTYHlpKg4IzLIqrOQYI7KVroRpBQMEKBY9I3UoGX3//Kuvu2DRP0JibWWY + z2Oozbzr5tMwHcoiQHZ0Js5xCgBWHAqZNk220nXzy5q9BxYHLsD6dZPmRVdf95na3/y3n5ShD3WD + ULY6rduJwxAAccQQEbcv/w1wWx4kOqOFEEoppRQzizGWUmKM7MgREREREREREREREREREREREdE5 + j7HWRERERERERERERERERERERERERERERHReW6WqABhjp0d13QB45NWPeOtb3/L0p3/3oUMzAFUV + Uirjuocflz+9+xy+4/TNIhJjTCmNESOlFCZbExERERERERER0dnFoTgyDdnMgmqoQjaznG66cd8P + PvNZr3nDGwDEGHPOAJqm6fseAohgHIz1Izb6AAQNxYpZhkCrylLqhkGAT/7N3/3Gb/6Xp3zbkwUq + IpaLZZcqAOUB7/WZwFfRdNuPy+VmIYRUSlVVGkNKqcspW2km0//8S29+yUtvM6AU80UvQIzq+Yhx + bHG4GES2R7yPrz5Hbeo+Vh5SsiAe9G13vPMdd94lqzNhFwagrtthGBbzDtCbb775D//wDz/4wQ+2 + dTUMQxQFUPJgZnVdhxD6vhc9vil0QgjZTcxNECDZzVJOVrrZvEtDP19szLa2Dm3cc/DAof0HNucz + 8wJAVYbsBtR17IccRHbZbTEAqnDDZZdd9qAHPciKNVXtxdRRzvLzkIiIiOhYVjdChBDquu66rm1b + M1M9vutMeoDG63Pd8dwAgSr6oWskQJGDy56qAGVAHRwlYZEwTy+85porYrhm0TeeF3mILYrDyrA2 + WW9KiCU0Mk3euZwvsdYGmMQCz1687wt8vWnv7jcmzWTWz0OXHrLAI/bu/T8e9Mj+gulPfvyP0NTr + ey9060UDYp2hAOapm1atwBQGSAACFL7963Cv/hSdSsf6XiqlTKfTEEIIAUCMcTabra2tndraERER + ERERERERERERERERERERnWqMtSYiIiIiIiIiIiIiIiIiIiIiIiIiIiKi890Ya62qY8T1+HKxWNR1 + rao37LvuP/2nNz3taU/vU9nOtD4Oq+wWd2+aZjabNU3DuZuJiIiIiIiIiIjoHODuIYRSSlRd9L3G + 8IIf+7Hffv/7PvHXf6Oqqmpmfd8DEFVsD8aeAGYYR19LNjOojJtWxe1vfMM3fv03XLz3grRYBNG6 + rkvK0HMtN0zHfPAx6FrEBcMwpFIma1M3fdddd/7oj79gHM4WhRvqphr6FE5TbYsCIh/56H//iVtf + KCLZHYoYwrGG3IdhAFBX9ZCGj3zkIx/68IcAKBBUirkA8nlno+8ayqe6alIAcF++rKqQUlFzF8QY + Us6QXbK9j7Jv374YY0ndeNq7O+PriIiI6Bw2dg1yzjnntm1Pd3XOKwoYZBmW7ON/l8+1rls4UEoW + RGhZpCZGDAtsbr3+m5+88YlPPmTRT7BogQJcNMXGHAZcMK32z7dqYF1k8IzzJtMagAsWnptYBai7 + 55KL5wGYVl5nb6TUGd3GZ6+UyaEDB19+/U2zi9Z/5k/+VCZT1JNQNTN301BXbUKpV50G38609u1M + a3YNTh+z3c9nVZ3P5wBSSlVVmdna2lopZUy5JiIiIiIiIiIiIiIiIiIiIiIiOldxGiwiIiIiIiIi + IiIiIiIiIiIiIiIiIiIioqOJyGTSDEPnXmKM+268/i1v+aX1abtjXlk7RuzF0XLOIYRxUtRSymQy + WSVnExEREREREREREZ0ldNdZStq6WSwWY3b12mRShQizl9320qau0jAANsYD13V0M19lR8nnG+Ll + O4OMSxnDh0OlyfDn/+NT77rrzkXfFXPVEEI894Zj1SEugLjDzFWDQDNQT6cHNjd/6/3v/6Effk4G + PEgGzBEq7fukJ2eaGXHI0S0sgLhsF4iE6h8+84/PeNYPzFPJ7i5Q1WWmtexyPigkahjSIBAzAFrF + yoBkbmNkX4guWoAyJsQta3G/iokUwMcwbBFRHYuGUAzmMEcxFINjfBdDKg5AYY5VFLeVY55YblDg + 8V/0xZZLVHV3MytHpm/v1m5EREREZ6tSyup527alFDPTk3QBSkdyIEMzNI+hyQ6YQQBFb1i4ukTE + qg0ah1kTCrYO4O4DL79u3+LjH7/U0lr0JtY9YAGbc6jggrUwn6epYtJUfRh6DHY+xVoDaDRaLkNO + RUrVxq2U9za6udVVxUJOA1KExMY0D5fncunff+5nH/qIt/+rr8M9B7E5m2pQwABFCIhwhSkMy96A + noDuMH2e5BjcXUQAxBjdXVWHYWCmNRERERERERERERERERERERERnfN4uycRERERERERERERERER + ERERERERERERne/G+UkBmFkpZcyczjnHGJumWSxma2trX/qEL7nttpdMp/XxTi8rIuMMzqo6zoIa + Qhg/joiIiIiIiIiIiOis1nXdpGlLKdN2YrkMXTdpmq/+V098+nc9LUZ1QwgKwMzgqOr6RH2uQwDk + nDUEhAAHFCWbAyZ45c/89N3794e6MnjXdTHGE/W5Z5oYo4hApMBdJFv54O/97tO/9/vGoO9cHILY + VqVYM6ntFEbR+Y4hcBPMusUzfuCWf/jMZwzLILeULUY91ni7iBQrKioi5hZDHHKCiAOiavBkubgh + KATFYPD7X9wdKlCBwOE2Jq67FSur5WPZfheqUD2cSm0GHWvu93oEBKJAAK6/9joAVVVhGZ/NeX6I + iIjonDUmv47X3qWUEAIvfk6f5XW/A5VqIwoAKaEfMAw4sP85N+579U03Xrx56KIyaJqn3C3yEKOU + glrRBGzMSqwlG4aS+pyb+ry7v8UtqyIAYl6GXAvcbG+FSpABVQziG11fKephuFzxkMHnH/noS298 + NLoiB7bWCkLe7uu4wsfOgkHMBUUO9yzotPBjA5BSWt1FVtf1uJCIiIiIiIiIiIiIiIiIiIiIiOgc + xjs+iYiIiIiIiIiIiIiIiIiIiOj4jFPzrMLYTnd1iIiIiIiIPl+rrs3R/R3LbR27rmvbdj7fAvDN + 3/JNt932EgUEqOuoCsAAa5rm/mzfzMbn7r6zS+XuY+71zpXpZLPtCJfVk/EorF6mlLA9m+3pqCAR + Ee1CVe+dgjCGk41f4Ga2+qtKRERERER0CqiqmcUYzSyEcAoGksZ0pdVII7YD5E6XEEIppQox5+zu + TVWXlMVx24tefNMN+wJQssUgORsAy+lEfa5vB4FZLhh7ggUAJMAcBzZnr3jlT6eSNYTxGInIOEJr + Zqqac67r2k5lzvPnJ6UUQhjHllU1WdEYQgjDMEjQUFfd0DeT6a/86nu+95m3FEdZZdkBuUtw9N3g + WCarich4vKAyNsjx1sfliODqw8sdgBg851xVlQO5FKi84NYXfvTPPm6AKIpBl8Prx4zHM7fx0dwc + SCUD6i4QmG//nMDNHDj2Zu5jBxy7DvweY7nZsmAZeA237XD1Ix/hh38xb7rpprB94g3DMOaiERER + EZ2Txn+dadsW2z0U/jv7KSNAAFA8OsSR+wTRIgAQegsZSB0sY7N73pXXvviaa6+cbeydb0xQoMlC + yRUsohSpoJWpZrSCUlwDHKgDkF3Ps4MpAMxqoDa0htqhGZZRHC7oBCnAauSIAUiOHpg4rt6Yv+6h + j7j1+ptxcNakIYydVAEMcENJEAxA3tFZozONu1dVtfMl+3FERERERERERERERERERERERHTOY6w1 + EREREREREREREREREREREREREREREdEuRGQYhjHdZDKZ5DxccMEF3/qkb37pS1+kilyyGUIIMca+ + 73fOano/1XWdcwYwBt4AyDlzOtRTZgyzyTmr6jAMAEIIKaVxcu3xmKaURCSlNK5ARESnkbuXUnLO + 8/nct5nZMAx934/f2OOaMUYmJRARERER0Sk2dkNOfUbyqit0JvSD5MgqTJv6ja97/dpkUgf14ioQ + oJRVGvXJMraECd5+153/7QMfGEo2eKhiKaWu66ZpSimlFFUdg/fOFnv27BlHKc1sHFKedYvsFtq6 + H4ZDmxuTtbX/+KY3/cjzn5fMbcxNwy55zw6MEctV28QYQwiq2vf9iaqnu0vQpmlCjLP53AShrt7y + trfd9Su/3PdJg4y/JTFGCOysOgT3Jsd+FODBl1z6oEsuHcPIVbVpmvGnTv/vKhERERGdc8wtBIEg + lxybJhdLZjklCNAvZGuBrfmPXvmIh3XDFUOabnSNITjEoTjcaxBAgQANjmBQhxrOt0BrbPdtV30p + AdSX7VAUWZeX9MGWBUBoKgVC6S5Im5cd/Nytj3okFvOwWIQ+wwAYFKhCSmkMueYEoERERERERERE + RERERERERERERHTm4F1tRERERERERERERERERERERERERERERES7EIQqNgHSzeaei+eytbUxmUz+ + 7b/79uc977leUFcisJKzKlI67tiPnPMYhj1mWptZjDGldOL3hHYzRteMbV7XdUrJzKqqCiGMCTcA + YoxmVtf1+JKIiE4jEVHVlFLTNKu0tlJKVVWqqqpjltuJzeIiIiIiIiI6LqcrJtndT0mitqyCvVx2 + L9tMgTrGfddde9utL/RiUUQcVRW2a7xdPg8Oc9jqxU51W5mjrqsfed7zP/u5e8zF3d2967qUUoyx + aZpxYHAcmz0rbBw8FERFREQMLkEna9MCH6yEtm4ma6/62dfc9vKXLYZBBBpk19YdY+rquh770Tsb + 5ETUUQCpmrrruq7vh5LbtfWU7Y//9CO3vuS2lIuoqsZx1b5PADQceUrsMB7f7aNsgEEMcuLOcz/O + strD7Q3ovcpqnfHx6quvXltbE18mkY9J6qu9XP2+iB8dCU9EREREdFwcBrFZ2logWYylIAatVKso + sAX6xa2PuuF1V173sDQ0860LRNYCDCiC4IiGuiA4ACswgwmsAVpHYwiGAhTA5J+txTlFAQGyYlAM + iiIwgQNZUATiqAumGWsZjWkwXfQ5V+08QBu9SLovOPi5Vz7koc+5/AosttBvIqKEmAwhNJVhsqNb + QURERERERERERERERERERERERHTaMdaaiIiIiIiIiIiIiIiIiIiIiIiIiIiIiGgXpRR3r6oq5ywi + 0+m0lDKbbcYYb3nW9z/jGU9391K8qoKZjVGax2XMtFbVMXJmTOgcF9Ipk1KqqkpE1tbWQggxRhFZ + xbo0TaOqi8XidFeTiIhQSum6rqqq8S+mmfV9H2MEMAzDmEPW9/2Ye/0A/i4TEREREREdr7F74u5j + H0REUkqn7HOPWnK6ErWPzfKQour3PP27n/qUb3f3AJRUwsmZ5kRwOHtYBEOfJGAxpH/cf8/zf+LH + PehWt5hO18ZBPxHp+36MGR77lWeFcQAT2wPXQ06z+VxicMFssXjFK3/qp1/1M7OuM8AcO9KTd3AA + MGCxWIzj0mODmNm9T6oHxgXDMNRNo1WsmrqYffaeu7/jO5/apQGiAFJK47jr6tfnhHzumeCowO2g + +tjHPCbnbGYhhFLKzux557gFEREREZ1QZqmtmoCQPXt067swJGzOsLHx4/uuf/DBjQctFtM07K11 + 6Iex+yiOWBBtWQBAYGOI9XKrqlBxPQ/nq9zuXy6NmdYmEEcwBNfgGqABKoBCI2LOZhrmvVlfLlG/ + vJtdb/k5j3g45h1SCm4xRFEMfXf01omIiIiIiIiIiIiIiIiIiIiIiIhOq/PuNkEiIiIiIiIiIiIi + IiIiIiIiIiIiIiIiovsjxtj3PYC2bd09pRRCWFtbE/FSym233fbN3/yvVZFSqaLi+OM3xpAbEYkx + llJCCGZ2apJvCICqAljl1owtv4r/Gd9NKbn7WZRtQ0R0DgshtG0LYD6fi4iqNk0zfm/XdT0MA4Cm + aaqqwo7vcyIiIiIiopNqFco7hlvnnE/LLB6nNtZaxhTpw58uhwtgYwKaOJpY+ZAnVf2an3nVY2++ + SYG6ima7bvMBxaRtV0QABcJ2uLUbALjBAVV57/vf98qffdXanvXZfD7mCo8B5CISQlgsFsf9uaeL + +XxrVtd1CMHg6xdcECfNrO/6Yj/0nOe+9o1vSIABoto0zTi8CQCuWKbQLZe0ISqkqqqUUowxxphy + zsd//oib+BGHcxXVrKqAdothq19859O+6+DWpoiY2yrXeRx4jyF6sV0S3Y4+xVYfsFvBvRLnThIB + 5IiPsiPLzjoCyGZPeMITxl/McRxjfHLyK0pERERE5x0BKq0iRHI/ERiyVgV373/RI/f99HU3Xfq5 + ey5uYNKpYmsoBsSqDqbBNECjY1UAFEUSdIJOkAQGDa7RVP08mrLSBYMiC6KhMdSGYDABgGjamEaH + ABnogQwYbC3WE0eb9KLpWhYsCuoasrX1qK6/7ZGPwv4Z5klK6S3XkxqSd+/yEBERERERERERERER + EREREREREZ0O59E9gkRERERERERERERERERERERERERERERE91+McYylGQNpUkpj7LS7q2JrtvHa + 1772G7/x6wHkvHsYyz+7/fGJuzdN0/e9qo5hnHQKiMjOsJ8xxgZAjNHscMIKg0aIiM4QZjYMg5mt + ra1hOwFLRMY/1nVdl1LG513XjV/pREREREREJ9uqVzJKKZ36CoxPTmGs9f0129pcm05zPwTRX77z + ri+47MEpZT1GYPFx27EV3c5B1u1ka4hUdR2qmMw1hje88Y133PnLVVW1bTsMg4g0TSMidoyQ7TPT + YrG48KKLZptb7l7X9YH9+4ecD21ufOuTnvSe3/wvAPZcsMcBM+uH3tyOyIbekcY8lDzuuzrMLOc8 + DlCfqHpWdd2loev7etLe8qxnffwv/6KqquxexSrGGEJY/cqcmM77KQi0vn+Wbbydfg3gxhtvrGMc + U+dV9aivCyIiIiKiE0eBmGZ95ZUMqV7McHDzFY973MX33H3RwQNXRJnNF7MCEbSNaog5Z5edP3uY + AVkxBAyqReCAAgqT8+lK1gQmKDsaRgF1qC8by4ECJEFRcwFgm3m2NmkGpAPzWdM2HSCKBrjI8iWb + Wy+7cd93XnEFFl2bipj13XB6doyIiIiIiIiIiIiIiIiIiIiIiIhoN4y1JiIiIiIiIiIiIiIiIiIi + IiIiIiIiIiLaRd+nqmrGzIm6rqfT6bStvSQzU9UQgnl+3ete+/Vf/1VVJSEcdxpLzjmEMEanlFIm + k4m7M9biFFsF/6xaPufctm0IQVUB9H1fVdUZGAtERHS+UdW6rlV1GIYxcCulNIZCmZmZhRBEJKXU + tm3O+XTXl4iIiIiIzn2ywziyl3M+XaN7pzih2SEOccGOLDQDbFwyLlyfrs02N+uq2ru2vmdt7a2/ + 9JZJrJq6wolKtr6XZbK1CIA0DAAcGLIl8x/98R/7ow9/yMxijKUUd08pmdl0Oj05dTnx2rYd+n7Z + Iy4W6/q/f+yjX/21X/ORP/tYAUIdDx7aBDBZm+oyLlrvPauMAgK55JJL+kXXNM3Yrf78E753ngkp + pRBCqKvX/tzrfvt33h9EupREQyqWs5XiqjHGqKp93x+9Ibm/J4ccWXZfet/luHdSt5tUfTvEemc5 + YrOCSy+++NJLL1XV1bB/KWU1HE1EREREdGKZo2rW0DsO9D//JV/96odde/Ghu6cY1sQ9lWnEeqNW + 0GibS05uBhRBFmTAoAYUoIxxzoIiurzIlwxkgQGntMt52onDgV41iRYooMFVXItYr9YHS8FcTB3i + psC0xv7FZgAunkzz4K3WW51IiJvJ9tS46NDdX1LLc668Eoe2MCtNnIJ3BhERERERERERERERERER + EREREdEZg7HWRERERERERMzTiFkAACAASURBVERERERERERERERERERERES7cPcYo5mNmRNd1w3D + EGMUEVVVVTMT9de85jVf9mVPKMWPN49CRMaw5DE7xN3HPM6TsCu0i7HBV2nW4+EQkRhj13WllDHk + pqoqAGGZBENERKfNmDqG7dw4AFVVmVkpZfyjDGD86gYwPhIREREREZ0kMqY6b3dPRi7IVsryxY61 + T3Ja1VgHP/anyGmKy8o5t22bc14sFnvX9zzm0Y9++9velocUluHKJyzc2rbb2Mfn7nDXEErOIuJw + g28u5t/19Kf98Z/+qYboAneMg7FD1y+P5hkfKmZmQ07T9fUh5eL29nfd8eRv/zf/8I+fTeaxCv2Q + AUjQxXw+noZ++NQzAAILgAD//t995zd87ddVVTVme6tqCCHnPK76ebaDA67iQX/rfb/9M696FYDB + XTRkK+4+fpaZ5ZzHPv5Z03//Z0/Wo1ZwXHfNo9oYYB4gdazG7HCOMxMRERHR/bV9Qe8AlqnStlri + q+XbfSEdClLC1sbzr70ufurvrlh0TTesheV6xbHZWxtjv5g3iK1GiLmYAS4oAoO6KFwBFVdd9pJs + VZfzj4rr2Dguy0xvBWx8KbDtPu04lWcqMKBq49ZinkvJVqbtnr6USRXKUC5R1Hff8/Cuf/G+mzBf + YGMLQ4+SYLbjKGP7QOexE7dc6OfpASAiIiIiIiIiIiIiIiIiIiIiIqJThrHWRERERERERERERERE + RERERPRAMGiNiIiIiIjOeSFIzsOYOT0GTgiCm9Qhpq4XK00MAb5nbfL6173m8Y9/LLazWARQjYCq + RpExpWW7yI6VAADjxsc05THJY8y6dvfxpbuPUZ10Yo0d2zFQfHwE4O5jgsv4bs5ZVf0+QoGIiOhU + GfPGAFRVtVo4BmJhOwdr/Kt9umpIRERERERnPzmqiO9e1EUdKBYFQ78IVcxuLnJoY+PogaSTM7C0 + GrAys+W4FnDgwIEQomUTF4XCIL5MJzuq/uPeuWDXch8fuyrLdbcDocUhrjsLoBJQPIugimG2uTGt + 6yd++Ze//U1vagQBaFTDspUR6wD1XQ7AvcquDTumWZcd+dZwWC5wjGOsBV6Az25uPvk7vuPPPvUp + qSpzASRqVBfPVodqGdsmLuIapU8DgF3bbdl69yrHbLVjtLOZA6KqAEpZpj4DGB+zFagYPFQxlSxB + C+AheIj/eODA993yrBe86MWzISOIBkm5jPXyYqvMMwmAGGCqCLAA1JCnPeUpr3/Nz7ZNpQ63EkIY + h6NFZNwN3ZHwfaxzY1zTC6JWYy64asi5iKqrIFYf//NP/NAP/7AFSWPcOwwynq25lLRMaHMHsIrT + vtcpdh/n4dEr+rGW3nc5XmKAjY9HnZOqy2eiy40H4IsefXMlAisqyDmbmQTNVsZ/KZB7tTMRERHR + mWb1D+gAHsAdC7zP4fPiQAEK4GOgsgEFVuA2vpOAAkNOsOzj+/1+dJ/9kUdfe0npqtxnpL1aoaAI + BoEBrcJyDoDCxCwYBNDtwGwf+w4wdYtu0Sy6jVep4xrn1SWrOsboanWT7fYxmMHUoY5oiLa8pDeg + CNwQFMOQVaHiUXzotyoRd1cgJd8T9MKuu/zAPb943TW3f+kXY3M/hgVKgaEYksMBKwkYS3HYsodr + yzOBTg0RSSntfMlbhoiIiIiIiIiIiIiIiIiIiIiI6JzHWGsiIiIiIiIiIiIiIiIiIiIiIiIiIiIi + ouNgZlVVqWpKqZSyWMwuu+xBb7z952688ToAKipY5iXf9zTNq5lPVdXMVmnKIYS+70VknB11Z9Y1 + ERERERERERERnV66nWekkHGo0Cy7YMjpwMah01ix/QcPpJQk6DiuqKpj4NZRTlkaWc5ZRACoah2r + kvKFF170dV/ztT//+tundTWOnU4mjQhySngAMVE7wonvO6p4DH7LQO/+Nd/0De//Pz+gdTWkkq2Y + oK7r2Wymqn3fC1DX9ebm5gUXXvgAd/t+izGaWUpJROq6FpEx/Hg2m4UQJpOJmZlZ3/cxxm5Ig7nW + ze/+X7//1V/7Nf/1gx9YDEPd1rl4se39FqhCBCJQgZsBCEHG9GUFnvpvn/KaV79aYOqQe7XWrmfL + fajrej7//9m793jJrrrO+9/fb629d9U5pzudQAiBjFyUEBIghIjiwzjehkcUQRFG5I6gAsKg+AwK + gsCogDwKzgBCRi5xVByvDIgzj4yjgE+8cBWiIQSRixDBhCTdfc6pqr3XWr/f/LGqqquvpENf0t3f + 9+u8KnVO12Vf6uyz107V/kx27toVQsg5N22b3VLJN+++5Ud+9Ef3TLb7XCASYoR77LrDh79PKft+ + /Vd+trJNABCDKHDRhfcOol5sGSwnIiIiOrWIyDAMy136r5ipbpqmaRoAdS8XQAhhGIYTM7WnMcVi + sYsAcMOQrKamERo4ZO8M0yny8LyL7r1zsrWRekXaGdY3LQlQBLbYFbf9x02H3P+v44Lg+wYILmdW + 07pS3/dVLZfDAf9Uf2iri0hs+aU+HwkFQ2d5w9KOPTeNrv+nF9//Adi9B6lHmgWFCIoVDWHx5DAY + ADnCQJe+CnJ4AJqmWb5Za7kZJCIiIiIiIiIiIiIiIiIiIiIiOo3xcy9ERERERERERERERERERERE + REREREREREehlFJPYFpKadu267rNzc273OUub37zm+52t7tCzOFuOap0XecH5Fj2P+esiNSmdQgB + QNu2MUYR6bpuGIZSipnV24T56WuJiIiIiIiIiIjouHDxW/Nl4iYOQFXrkcJSStM0McbtvZsnMXY0 + mUygCE1w8VRSnVSJusxrrdbIHBA/9NexEmOMMeacU0qqOp1Ot/fuXVtbe9SjHvWqV/6iAE0I/bR3 + QwzaNhErderDfX01RHVrNp2k/nFPeuLbfu934qjzoAW+1U83zt6FoKPRyE0mW9O10frm7j3iB05R + fQnMrx/0hYMW6fx5D7eczZoQogbLxXKBuaq2bbtr166tra2+71W1Hhwer++o6eiXvvSlj3r093/x + S1+a9n0MOpsN81xybVk7zOAOd5hBBQJYcRiCyBN+8HGvfvWru6478lKyw7yCD35tlCFtjNe2Nzcn + k0k9Eu6C4v70pz/905/7LGrgOcaSM4Dc97d9zd0uLYuOq4EzWyTGBbj88ssB1P9BUDOQJ3YCiYiI + iI4BVQWQc16+b0H1sOctTCmllNw959w0jYiYWdu2J3B6TyMCBCAAYgJzqKOBRChUba1BB2jS0huS + Y3vzp7/2nj919wt3bqezc9iQxpCL20gaE/H9x4NFMAiGM7JUfVytBrBXLQcC7q6ioQ4lprP1G/a+ + 4LJvwGwCn8FTBNQUKcA7oCkIBRrqsEN5utBjzw9DROoqyzkvN2KllJM9vURERERERERERERERERE + RERERMcX36dGRERERERERERERERERERERERERERERHQU6slMY4xN00yn0xqcnkwmF1xwwZVXXnnB + BXcBEILkkvt+KnLYkwE3TVObNwBq+WYYhpwzABGp53cOIYhI3/c8TSoREREREREREdHtQa1/maC4 + yVxw92EYtibb+w4HnvCI7c27dwNwd4PXw5Jmy/buftGyEzNppZQahQLQNM14PAYwTGcwf8LjHveG + 170+QARoVEq2NOTjPT3mFpqYiw3uz/rx57745162e2uzWRu1a+PNrc1pP6vHZruuC6LjbnRUD34b + iuCllBBCCMHMzExjAJBSmk6no7Wxu0sIGuOQy5e/fONVf/WX3/yt3/L6//LGDI9dK4KhmCjMAJED + jkLXb90ARwAE+LFnPPPVv/zLMPNih2ytATA5bNP6kOrh8a7r1jbWU87JSirlFb/4yvf95VXmDlVR + TSnFppnf4TTNOotgWax2hwBivjZq73nPe5pZ7T7WLtoykEZERER0SkgpxRjrWyNKKfUdC7VvfTh1 + /79tWzOrb6s42TNxqvJ5hdpqBBlQAwrgUMBKP3hfoiA4sLn5ivvf99ybbjq/twvCWPqpDkOHZsum + E0/DyjoQhwmKICuyHt3OP3016nAtw809aAiiHcIdRM/emv7YvS9C6jFMpAyq4iJwwBVQrUMoMQig + ANfXMaWHYWZra2sAmqapN9ve3q7vCiMiIiIiIiIiIiIiIiIiIiIiIjqNMWtNRERERERERERERERE + RERERERERERERHQUanC67/vapc45j0aj8Xh8yy23fN3Xfe2b3/ym888/t5T5yYFVASzPNQxAAYUr + XFNK9aFijAByzuPxuBZH5jGcELa3t1FLKjxNKhERERERERER0Ynlsu/rgJ8DyDmHEII2IYQ8pC9e + /88O7Bdu833/PQE9t+uvvz6bDTm7SNO1UDF4cXNxlzoJPr++iDAvr65+HSvuUoo3TRNCGIYBwHg0 + 6rpu3HaWy2O+73t/+zd/Y6MbuXkA2qDHt9IlQAgl59qH0ya87oo3fut3/Nv3f/gjyS12rYbYjccA + JpOJmOd+AA699g+50HTxdbhbHkxVLeX5q6iJquruQ04aYnGsbezYu7VV3G+48aan//CPfv9jH/u5 + L3yhaUcOmfS9OVTUDFCBu5stX3mqqhCFBCACAF72ohf//MteipyihjZEHCKIpqvnnznk/B58vRTr + ulHOxR0myFbe8Ufvet0br+jGowwUKzV5mIekoqdr0xqoZfH5ddV6qRd+3b3G43EtQYpIDczXxDUR + ERHRqaJpmpyziNS3RtR3LLh7OYyasu77vt69vuHhpM7BKUxggiwoDnVEW/knLxrakTYBw4CtrRfc + 4+6jL99wnpezhn681d8BrXrRIAZ04662qwUIhrAYsMyHOSdlxk536gd+1da7AA4keLJS3BxF87C+ + Pb1oUl50j/tgz16UAaXYYtVER4AWWIY5g9bHgR1GCGEymZRSUkr1/WDr6+vu/HUhIiIiIiIiIiIi + IiIiIiIiIqLTHD/xQkRERERERERERERERERERERERERERER0FEopbdumlHLOa2trIjKbzSaTrZ1n + bfR9/zVf8zVvecubd+5cA9A0oZRyhIeqJz8tpWxsbLj7dDp192XcQkTW19cB1Oc6/nNGRERERERE + REREt1aM0d2HYXD3GONnP/tZAA7oIbLBJ8Lnr/9CNx4BKKXU2lwI4YCS7iH7xMdJ27Y55xrxyjn3 + fd/3fU4JtfUF+bff/u2//3u/d8Gdz1cAdvwzUaWEtgXQtO2QSwb+6YYvPvx7H/lzr/iFm7f2xnE3 + TYO2MTSxGY9t/5r1kUNiepumXVX7nACEJuacZ0Mf22Zj586hZAl6057dt+zZ89MvevHlD/6Gd7/v + zx1IsOkwM7gBTdsVNwm62lEX1VpQNrdaqRvF5g3/6T8/40d/VNzXRuM2xMlkcrhZOWTD+whKKaFt + ZkOfS4HKx675+2c959lt225NZ2vrY4gA6LoOp0fO+TCr2FevOeBQwIpddtll9X8NiMgya01ERER0 + akkpxRjNrO7U1d2bUoocRr3Lcg8wpYTFOyLoNqmLTpe7knWkKarIjj5h980vf8D97lJm50YRs51o + YLMAazTcUAaJ2tuQHQDEEVa+6mCQreQTwxZl6yAigAEFALwTPTvo+mTvHfbsfdHXfwM2J8g5LNfK + fB2pAQVWDjsioWOsbuhCCE3TiEiM8chv+iIiIiIiIiIiIiIiIiIiIiIiIjo9nPqf/CEiIiIiIiIi + IiIiIiIiIiIiIiIiIiIiOoFSSiGE8Xiccx6GwcxijKPRqO+nEBP1+1x877e85c13uMNZOZWVcwEb + sF+7IsaYUmrb1t23trYA1JOimpm7t20bQj2xLZqmiTGe2LkkIiIiIiIiIiI6s4jbEb4Wx/cWX2Ix + tGkoZmZmGsPffuzqRpuTOP3XXntt7VjXSapZ62VGThZTvjI7h3asite1kVyPdnZdNxqNRKAqJWcA + KObFvuUh/9fbfuO/PuDii4+Yu9PDfB3V1CDEWIYBQBoGUUAx69NW3//K69/w4H/9zW/+9StnOQ1m + iHEyncS2XWaeD/jab8p8X9PaBHaoNNzhWtGGAvXQRBcMORmQ3G/aswex+fwXv/QzP/uSBz/kX//a + r185mDftKAMO1dAa1KHZHFA3i83iJVfXmrsACnSxPf+O5/7q61/7xMc/bhRDSdlzUdWubRcF5gOn + 8OCvI78SJIa+73eeddb2bPpPX/jnJz7pKQXYHgZRbE+mDgcwm80EKDlHDbd2TZ2KZL5E66vYgcsv + v9zMQgjL7iMAMzsdCt9ERER0xgghABARd6/7NssfHk7btnUk4u5N0/R9X3eE6LYwwPctPgXEF8O4 + UrB382fue0n84hfOjt6bF0GPIUB75Czl3PVxbwaVpoE6gkOBACgQHK0h2r6BDB0T4vu+9vs54A6D + Q0WDioqoBFX3oZTZSLHD7fwbN19wz/ug79H3q3cP0LAoW5/g2Tnt+WGklNx9GIblbZYDOiIiIiIi + IiIiIiIiIiIiIiIiotMYP+5CRERERERERERERERERERERERERERERHQUxuPx3r17zaxpGlWt53Ee + hiGEYJZFpO/7yy677HWve+143Bzh7KY5ZxFJKdVToMYY3T3nDCCEMAxDTVzUfz1i1oWIiIiIiIiI + iIhOKAeSFROs79hQCdNJ/4EPfCBZOomT9NGPfnR7e1tEVDWEUNtLtcZUneAQU86567qmaYZhqIc9 + a9ZXRIJqjFEdk63tS+93v3e8/e3f+/Dv1pWJPB6TWlJeG6/V/LCqQgCBCwpw/Q03/Pjzf+oh3/LN + b7ryrVuzaQkyy8kgqyXzZZ16tW+9TFnb4p9u/aXBXVAEqRRp4mjH+t6trQ9++ENPePKTvv5BD7ry + t35zczZ1IMG2h5mLGpBKdkBE6rFlUc0piep8piAAFBiPxueec/bvvO23nviDj5tsbSmki00pZTqZ + HDlDeFTq9Pc5mcoPPv5xN958kwkcMAcEEIFg1HYqqoBbOW07YIsZE5nHAiNw73tdGCA1a42Vg/zM + oREREdEpRFXNrO7A1LcumJnZYfO69Z9Utd4YX6mBTUfiCgS4AtDatAZQs9Z9wp7dP3vJxRfkdI6g + n2RRDIICFJiKzErZsz0NAbOpRUB9X8G6Po44zz554jRNFIE7zMzdzdzM3T0CAhQgmq/P+vOmw09c + eCH6KYYk9ZfMAYdCwQT5CRRjnM1mbdtiMYKrceuTPV1ERERERERERERERERERERERETHl/CdUkRE + RERERERERERERERERERnOHcPIRzpbSRSL4K7q6pZFuD977/qjufsAg57ejIiIiIiIqIzjaq6+1By + 27az2dA0zV//1fuf9rSnA8gZEFHVUrwWZXLOhxtS1fOi1st60uecM9/8f/zUQvnyBNz19NwAmqZJ + KXHJ30osshxzfO1VNcHFpXGs1JxSjRDUy1Pol7f+WcRKR2GZg3L3+pOcc4wRLEUR0WltuYmrG735 + qAEicACrtUBxVcBgEPGaOnRE4NoPf/iC886H83/xEBHRmetwQwY5zOizDkbq0AOLwyAGd/fR2nhr + c7sZdddc98l//W3f4oCLmpsBJ3goG4CR4Ld/620P/Y7vEIelXEpZG49SSnW+5gMolOV4MGhTSiml + qGrbtrPZrOnaWuE9IebLexmEfu2v/dovvOqVw2wwIEAKXEXNrd4shKZOW2yanGo+/Oj2Z8LiPo5D + dLMFCA4Ad77DHR/z6Ef/wGMefdFFF43a1t1rQzqIuruYLwfXYVnsMze4CKAiDoPXS4VApZTiIkHE + AAWKuwIGSNCh5Ppo137iuj/643f98R//8XX/+Blfnc65RXWu9tBEQpSSM3x+MDmIOiwA5hDgmx/y + kDe+7vV3Pe9OdRHXBlpdzEdYPr7fnuTKz93rPmc9mNA0zWw2U9UQm+zIpTz1R57+P//Xuw0osngC + mT/VfAIOMUenoLp8/ICr0ChWHA4RqKMNoZTyhU9/eqPp5BSfYyIiOmMt9wTq7kERZJWv+dp7bE+H + ujfs7it/4Q+N/2eH6Kvk891OAwCT+Sh2yNh9y0svvd+OW25czzaOIVtJDhFp3AXwlX3QGrQOvt/o + p+6hG+DC48Mnmi3WRLD5+DRLPaSvWUIfRjeOm5//zCfRKMY7HLEUj41kQfHSSuD/+CQiIiIiIiIi + IiIiIiKi25Wj/fzmqfVmkuW7zW/lZ5yXt6lvu+WHW4no9HDABu0rbtyWG8N65dTa8mMxv8vt/63c + +C+3/9z406mOZ3UhIiIiIiIiIiIiIiIiIiIiOtMxa01ERERERHRM1Kpcgbdt2/epbdvZdHjPe97z + nOf8OIBiABBjzNkAdF3X99MjPFr9uMuytYxT7eOapwQzq+GZecgnhJwz5munr9lUd5/NZqPR6GRP + 7O0dP2R1zPFXvmLW+hhadqDrxq1+W0qpHehTwrIkV79t23YYhnpsM6WkqqWUEMJJnUYiohOnbvRq + WVAhbgXMWhMRER2Ng8ebenDrGACQc3b3GGPbtmY2DAOApmsNvrm9vb6+4YJ/97jHv+e97x3cQohD + yTjhBV8BGuCySy55+9vfPmrbtdFYHdPptG1iCMHyvGatYT62ApCGEpoYRLOVGmZW1XqQ80RN8r6l + ZAKL8f0f/tAzn/nML33phj71DsTFwtzYsWNzcxvz46sZgKi65aN6Ml3Ulg8sWyvggEMFtVheb3yf + e134Hd/xbY985CMvueSS9fFa3/cw67oupSQiy2L08qRF2fcLNy8Pl4UQXOZZ9PlaUEXQre3tD33k + w+9+97uvuuqq6z71qSGZA7HVYbB9ceh9kwh4nWubP7HNZ6JruzT0QcXMFfiJf//cF77gBV0TbUi6 + mJzFI92WrHU9cCois9msaZo+DaO2g+j2rO9Go5f8x5e99oo3GFAADVJskX12YNHPO72z1hBAIA44 + GhUzf8DFl7znz/53yMasNRERnaKYtSY66RwowJCsFVMrGjsYMJmg5P948UVrN37xzl2zPUlRxFy0 + ie5FvCzvLoA4gkN9vm+OukNePxHgCmatT4Zl1loXY6UicKgLxBFNt5rwmY3ul//xk3DFWXeAKAQu + MLcgevImnIiIiIiIiIiIiIiIiIjoEJi1XsWsNRGdlpi1PvLtmbWm0wzP6kJERERERERERERERERE + RER0pmPWmoiIiIiI6JioPZIaNamh0GY0BvC7v/P7L33py1LyEMRNALiomR1hSFUfqmbqSim1l1N7 + LXRs1XZ1Xc51xdXrq5dt2+acVxPjRCcAP/JTMWt9DC23adPptB7oO7U+Hlk31wBUdRlaq38cVbX2 + rZe3XEbaiIhOS6sburptd7P6PbPWREREt8ZyNHTAeHM15bua+K0l43lNeTmSUknuUG2a7k1vefPz + X/iCEJpsJbsBtSZ8Qv/aChCAFvKwhz3sTf/l19oQBSYiAVJyVtUQFIDlUkqpM950ba1npZJh3rZt + PZNOKeUrPdux5wKLcXs62dzcfMlLXvaOd74zu9Wfh9D0OQUNGsMw5KZpUkoAjmoJ1+WDQ2atAajC + He4AggaFWMlRpL5Ezt551gMuvfQhD3nI5Zdffre73e2u59+laZoomnOuy0pEIKaqy3Mw1deJuxf3 + lNJyJPulL33p6quv/pu/+ZtPfPK6v/jrvzRHsfmchCDuXr89TGAdGgIAKwUOERF4fdFGEXc/Z9fO + X3n1ax760IeKQ4FO41FllReJu0P8U845tk0pxcyarispDblI077jne965nOeVeDj8dr2dGrLkDX2 + yz+fCVlrBdwQBe548g8+7rWvebUWZ9aaiIhOUcxaE510BaijsgbwvghMDNiz94UXX7Rrz03ndyH3 + uWmCedMPQ6OxWBYFYHVXNRiCz39LayG7KExgAnWIQxajVjpWarL6yEvVVgZ68/GXKaCmBlhjKAJb + 3/iHlF71xevRtGhG/VDaLkqMhxskEhERERERERERERERERGdLMxar2LWmohOS8xaH/n2zFrTaYZn + dSEiIiIiIiIiIiIiIiIiIiI60zFrTUREREREdKzUNomZdV3n7tMhtW0L1yuvvPJVr/p/l1lqP+Jp + vle6LIf4lo6V5eeIaue15l3NrA5+AbBjTScXP/JTMWt9bNW/KWZWl2rd0J0qBej6YgghqGpKqc5C + 0zTunnNevkjqxz75miGi01vd0C0/5S4iTYhWMpi1JiIiunWWyeHVb3HQYHxZtk4pjUYjERmGwd3b + tnWRIafQtH0a/vDt7/jJn/zJ7EglF3jbtH2qxwFPdNa6DVqKtaIPetCD/utbr7zTne40TGduuYuN + iDjMzMQRQogxquq0n6VS2hhVteaZh2HomvaknEzHBcXRjjozM+Bd7/ofP/vSl3zu+i/UhRhjk3Iy + QCUWt/ouF7P8FR50hQAKyGrWGos6sUgNWosqALd5nXl5e6xcV5Fzztp15zvf+R53v/td73rXO9/5 + zne84x3X19dVdTQaqSKEAKDv+7179958882bm5s3777l85///HXXXXf99dfPhvk0G2ACEdRjkA6E + IABK8cPlypq2TSnBXUVVteSs86QyBPj6B1z6xl99w9fd857u3sZmGAZdRK9v/SrAobLWdRgemgjV + nHOM0d0z8IEPf+Rhj/ieEKK7JysAQoxmZr6Ypf3z3Kf8QP0wWWsJcIMK3KBAA/zCy37uh5/21FYC + s9ZERHSKYtaa6KRzICEPQ+7QNSpICdubL7n4Pus33nCncTObJgW6qNNs69q1EiZlqiIm81/J4AiO + AAAwIAMpoCyy1tGYtT72jipr7UBRqEMtAnAxgSkgjuK4RfWfz73jqz9xHUZjNA0AK0WbhmVrIiIi + IiIiIiIiIiIiIrpdYdZ6FbPWRHRaYtb6yLdn1ppOMzxDBxEREREREREREREREREREdGZjllrIiIi + IiKiYyLnXJMwOWdVDSH0OYUQUipd173yFa+68spfT8lDECsQkXL4jFzbtsMw1IcSkdFoNJvN+CGW + Y8vMatD6gJ8vO+Ii6IgPqQAAIABJREFUUlOv9TanSvb1ZGEF/JjjR34qZq2PoWUHum7lAIQQROTg + LeHt0+rLYPUPJYC6PV+95B9NIjq91f+zs7rps1Lq3iqz1kRERLfG8twxyzPOVLYYdtj+Q4oYY9/3 + xa1pmjqMMjMJ4Qtf+ufX/urr33rlb9T7GXCoiNWJ+5tbp1qBru3O2XHWK1/58kd///fDvKReROrs + iaDOQkqpaZriFjWsnjyojXF+cKzme1fmx4/zSMvgZgbVlNLa2vr2dPLjz3veO/7onYN5CGEoZd+i + vE3HCpaTf8A927Yd0gDXejywDpNjVMtFVYvtGzXXPS4VDSF4seLzfwoSzEsXYimlwAHYojbt+z+d + L6bEFeUrvjTq/ZeXKw+xrJgHYK1rf/zfP/dZz3jGrl1nlZSn02lUHY/HJR1F9vvAZ16Z6GxlbW1t + a7KtMZZSRmvjzc3Nz3/xi9/9fd/3pRu/DKCJDVSGYbjNT3cKOUSoWxYXjgYA8Cd/9MffePkDxZxZ + ayIiOkUxa010O2CwAglwxaTHdPof7nbBnfrt8zotgwM6Hq/PJtNgOUATSoACZoAv2tXB57+lDmTB + EOaHjoMjGpvWx57JvnHb6uKtW9S6OV3NWi/G16qmjZvAssIEbRMmBZvaXd+0r/nCZzBuYYq2hSiz + 1kRERERERERERERERER0u8Ks9SpmrYnotMSs9ZFvz6w1nWZ4VhciIiIiIiIiIiIiIiIiIiKiMx2z + 1kRERERERMdE7cE0TVOrMCLiKiISY1tKKdlf97rXXXHFm8wQQ8wlH+Hd/LU5KiLr6+tbW1snbh7O + MKpqZvXDQsseuZnV5V9r4gC6ruv7/mRPLJ1x+JGfilnrY6tu60SkZlBPrQW7GnDFYhseYxSRlFII + wczqHNV/OtnTS0R0HC03dMvyYhubkhOYtSYiIrrVlufKqSeOcXeDG2S13Lz8Y1lKGY1GZtanYW1t + bTabvfe97/0f/9///PW3/TcoYOjG3XTaj8bjYch1zIJDPMzxJwCwvrY+zHorWYDL7nu/Jz/5yY98 + xCPW1kbjbuTuxTKAEEIIAcXcvZRSShl3o2EYmqaZTCbjrsPJyFo3TZNzzjlLUJUwHfqma9//wQ++ + 8EUv/tg1f29A07SzNAQNxS2EkPNRZpsP17UGAERtDO5my5A0YFBRiMHF56cZapomrfSbBTI/xrj/ + g6rApO6K1dfIPvXxRWGYV6/rm3bqDt5y2IuDCsoag5XSxMZygZsAoxDveY+7vf61r/uGr//6PAwl + pbZtmxABbG9vt217dMtndVGtZtiCzoZeVTXG0MTNyXZK6TGPfexff+SjDiwPoopI27Y5H/wrcFo5 + ZNY6RC3JBBjHWHL+zCc/tWtjHeXAVwUREdGpgllropPPAS8ohgzcfPMvPPCyjVtuOEuLZ2SDu2R4 + C+yKo+08awUStGRzoMi+fHXw+dCmCLKiCAQIhmjzQcrxHuKdOZa7/kebtRbXaIgAYElQFMXQNGEY + bGt956fPXv/Va69BN0ZsAGatiYiIiIiIiIiIiIiIiOj2hVnrVcxaE9FpiVnrI9+eWWs6zfCsLkRE + RERERERERERERERERERnOmatiYiIiIiIjgkRqUHNEIKou7sglFKSla4bB21ms9mLXvTid77znSm5 + KsphRlS1Odq27bDooyz7oyduZs4MNTlTPyC0HBfXpvWyFLhc8vwQ0ZHx8ynHHBdpxaz1sbVcmPPm + lkiMMaV0sqfr6Cw3yIvDlQZAVUWklMKmNRGdIermbllAlEVKiFlrIiKiW2/1jDNmlq38/Sc+YfM/ + qsBK4mv37t279+y58cYbP//5z1999dVXX331nq1NA0Ib+yG3TRjScjCiBz3PifqbK0BoYAarg2gb + d6OhnzUxeLGL7nXh5Q98wIUXXnjeeeedffbZ6+vrTdO4lRBCvfeoaffs2XPfiy8555xzSko4Flnr + 1XzXqkW+q363b/mkYVhfXy/ZJWgppbiFrpv2vQT93d//vV9+zX/69Oc+V2+tt+Fg6QGTUecOCiBo + qF3quv40xABJVooULI5IaAgArJTl7Q8+WNHGpmbC665XzVdDJIgWt+XpmaDixVYb28u2ugCCxZ7b + /i8mq5Ms6Nqm5OzFzz3nnCc/8fE//fyfGsUm9f1ZO3bcctPNGxtraRjcveu6vN8i0oOW/MqyOeJx + F4M3XVtKSaW4QGJ4/OMf/+4/f28JAg0l57br8pBUtRwUGl+Gn1e+ObUdMmutQSy7AK3IPf7V13zw + /R9ATgHCrDUREZ2imLUmuj0ohjAY9tzycxdduGP3zeeNwnZfIMjAuFuLJlq85GmBr200N2+lHYBD + B0FWuEAcrUF8XrDOasA8a90CAPL+B5Ppq1Gz1nWxY/+49cHj4tUbd4YAAFqADC2KmeUd49jP8rQJ + mzt3veiaazFqsHPnoY42EBERERERERERERERERGdTMxar2LWmohOS8xaH/n2zFrTaSae7AkgIiIi + IiIiIiIiIiIiIiIiIiIiIiIiIjod5JxHo9EwDKWUsPJJla7rhmEmktp29PKXv3w2m/3Jn/zJQYGP + /R5HRFJK9e61cp2PcAe6TWr9FCsd6/qTlFJtiscYSymllLoKTrkPTRERHaD+WVHVeQBVBMAp1LRW + VQC1HLacl2XBejlTq593PZmTS0R03Cw/6153X/lZdyIiotug/j1d/hmtA4ps/m0Pe1jBPPql+zf6 + FLCV3rBACjwPGcCQSgihDk/arhv6/gTPzj41Yyxomjal2WzoHfBsAv/4P1z38U9eV6c+iLh7nTsB + wmJ+A/DzL3vpDz3lqV3TnJTJH4/HwzCoxGE6a7q2ic3evZtrG+sppac84Unf/bDvevNbrrziiitu + 2rsnugM4yq71YRUrCgQJjWou2Uo2wCFwhwoAuNegNXx+LLHecZmjrtdTTti/3VzL1tkWU6ri7jCv + lTOBiIhjv7M1+coDrGbSFVCBCEqfADzq4d/zwhf81MUX3aek5KUoMN2enHXWWdtbe8fjce6H5Xj5 + mEgpDTmHJiKEn33ZS//3e95bgFIclmPTDH1f49wONE2TU6ozfpoOy+fbhuXcaQiWiyqCo7g/8IEP + dPegugjMExERERFVdTdS6556wGKfUvbtWwoMDkBREHLG7r0vvvg+d+lnLZBmpYlIItF1mM4ybITG + AQe2pmkc4AcNkAxQme+WLkPLK23k+VWT/cYOepjd2OD1xvOpNdnvlgaF2CHvK8s5hbrAABctAhfU + SxNkkT60WY+q3GziCI7gFgyxXpoFdwHETRdj+sXIH8D86epsyspU7X8AYH6b5c1qlNoEvhjnHBCu + Do6i+/3TkclitFhvbLDlmtlo29l0EMFa1H733hdceOEvfvZTmE0QRwgRMh9mGDTsvzQWV3TxsiIi + IiIiIiIiIiIiIiIiIiIiIiK6tZi1JiIiIiIiIiIiIiIiIiIiIqJbpZ7T2WzeUasnZT6680cRERER + ERGd1kJoUioiIiI1C1NQVDXN+lHX5WyWhzbG1/zyL023t/7sPVcBaJqQ0iHyK6shEwatj5PlQq4d + mtXQyzAMWFnyXAVEdHqo273VDvRJnZyjtrqhPmBelj9ZztQpN3dERLcet3hERETHRA0Sm5mZxRgB + pL4vQAYE6vNEl+0fua7lqf2vi8G1lHmR6lBN6xP1voqVnYI0DMvAlgGAFN83gMrL/QcVuOc66Q4H + snk7GlmpNTKX5WPOO2dHNy9+mI6W7Lf/su8xc3FIMHhoopnBbG3UIWfk3AI7R+P/5znPeeYP/dCV + V155xRVX/MvePbWIdvDshxBKKctHVlWsDiFFsNyVAlTVzAwwL/Mu+OrkH1Qmzintm60atN53XXFw + PMwhEAACcT9w561tupSS7ZsPiyEuM9juXgBVdffgHhzquN997vO85/3EIx/5vTDrt7dHbWtACBHA + MAxtMyrZJTRugBw4LXKYPUefZ7YNgNrqWhYTlFJCbNtR7Ev+7f/2O6+74tcKIEFhBtc8zBd1KQ5o + SvNv93uq02iP1Q/4LRDzYlj8iihw//vfP+ccYzitZpuIiIjOVMMwtG0LoO/7rutSSk3THOH2ZlZ3 + X+uAq5QSQjjC7c8kBi+AFNE6BFNAzAB40EnxJoiiyGwWmg5QDD1uufGV979019ZeKzk2oZRiGSou + UlRUXAsK4HV8sxjJmALR4T5/Ciz29pfBaQMyAKhB4WLiDrgY9iWWoYuxwzznDARHBAQojlxz1DVl + PR+2+Kjrpv10HKIXK7CoCA0mPVpBo5gVdAiQ0Hvx0MyA0jZ9wFbudX00LWmz6X71k/+I2B7lIjUM + 6Ycf9OBme7JefEe2dtavu3clB0sOa1WLWROQCroQpyVDxd3btp3Mhg2J4jBI8lKAEMTMHYgCBGQH + gLbMDwEURZbFINchQDCtS2b+Ejcrut+ixsryX7U84gAgL1aTwQQIjjxkAUQgxUZmd5xt/uK9vvYF + H7saG7uwvoFgs9yH2DngRaJKHbgKymLVzdeLsmxNREREREREREREREREREREREREtxqz1kRERERE + RERERERERERERER0m4h95dsQERERERERUM/s7O7unlIfY3zTm9701Kc97aqr/jqlEoKU4qowQ71+ + sqeXiIiIiIiIiIjodFbTwnJA9Fdrt0tXL/EVL/1EVau/WgdNp1iNcTnmzbMCaAxmVpfL4RLIJ1Kd + hvXx2p5bdu/YsUNEbBhe+PznP/0pT/nv7/qjV/zn1/zzl28oCSLQIKW4QGKMQ04Aaj+vlGI2j3uF + EOpBWlFdZvZq7npfvxvw/etfq2HzI19icd8DLhXiAhzUtAbQDz0AgXRdV48e55IFYospqtPp7g7c + /S53+cnnPvcxj3nMWWedtb29rao719Ynk8nxLgWura0lt83p7NpPXvfTL3xBrbK52anz4j9WDj2/ + 7vtCdQJceumlbdumftYEZdiaiIiITmm1/ptzjjF2XVdKaZrGzA4cSe2vlq3rlboHfuTbn0EcEBz4 + 5nuHGLog05LHIYauw1Bghq3NX3rApes337xzvdu7nRMKDE1UMYf5YvyxXzJ5eV2PuBfqMm9gL0d8 + +64cFLSGo44PHSiLAc5yyORiAgSNneqkn3YhppJbVTeIYejRKSRgKyF2oxv6WRitzVS3rOjGjk3P + r776bxEUaYbxCE2L0Q4cMZp+EIMbsr/5I38LDUgF0ykUz73o3l0Jd9zYYVtbOpvuXBtvTaZj1VTy + eoiDuhXfmg2t6mC5lWie1pqRiRnKzAoc62vNl7dTE1CHerbogsfat54vEC2C6PPlVtfIkZf8Kl0u + WEHZtwpMHF0TTZBy9lzMcI7q5pf3/OJ97/+CT30WqYeqBiRkQYihMV+OUvixDiIiIiIiIiIiIiIi + IiIiIiIiIrrtmLUmIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjo+pJ46NvR933XdMAxnnXXW3r17gfAr + v/Irz3jGMz/4oY/WG5ohRs2Zp5olIiIiIiIiIiI6vlaz1sts8OIfz6QDdL4IMjsCoEDU4O6C2112 + TlUnk8l4PB6Px5PJZOfOnU960pMe/9Sn/O7b/+Btv/GbH/zIh/uhBMDhJacgam6lpPlda83aUEqp + B2zdFyFrFRFx27fS3QHBan7aAL91l4DN77v/JUS9lqlXl6tDQ6hRQLMy6ycAotQkmQdgPOqms17c + 4X73Cy74D8/7ycd+/6M2RiN3zzk3TdP3/fb29gFN6xqfu21J8nqnZbNtaTabpZzP3nX2P33uc7NZ + 37Qx5QzA/Ez6ZTmY7Jt9EYhDReB+3/teDBj0dvdLRERERHS03D2l1LZt3/eq2jSNu9dk9SEtg9b1 + vqo6DEPbtidqem/fXCEKsQDTZYlYFQYYoqALMQMBQcQxnfz05Zft3H3LHdfaoe93jBsxH/rs7mYe + jvg8t5oBEKjCxKEOmeeZ4YuIdVlMpgBFdNDgQHCoezADrChcMJQ8Uu0ALVkaGbK1QCNhBJla3jLo + jl1fgu1eG+/twhV/dw3aiFIwHsMKmhYAXGCOeHRzZqYqatOJrm0gFzSGjYBh9tob/hG5hztcn3Pf + y9enecM7K2Vn6UP0SZ/HoW1bNZhn27I8AmZpNgACrHVx0ue0refIOJds8CRwseiIhggUIAmyzuPW + EIMf44MI05RVkByjLu5sJeW8a2O0PZ0+68J7v/HT/4DUtzt2RoSUHRGyb50FCIqoQ2ts+/Y3rCci + IiIiIiIiIiIiIiIiIiIiIqLbL2atiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOo9omiTG6+4033rhr + 165Sytlnn/2Wt7zl8U940jXXfBxA0wQzAxCClHKbqiNERERERERERER0NETEF2QRHRbAz4RLB3Rf + 6Cqq1uOTXkw13K4CWNPpdMeOHfWKmcUYAVjOabDHft+jn/joH7jm2mvf9ra3/f4f/sENN9/Stu10 + GAAEUYO7m5mJxNBoyRky5+5uBndfTVhjkf5amf+DM9WHuzzEIwiwzD/vu8G8PGZm9dlV1c1qMy6K + AIgxDrM+APe/+JJnP/vZj3zkI73kLkZ3H4bBzNq2XV9fh7mZmR3fvHQIoe262Wzm7mtNOxkGryHn + k/4aPmmXiwW+eO24QwF3/1fn33nnzp0ppa5pkPNxXS9EREREx5uqtm1rZl3XAZiPF9xFDj1cUNXt + 7e3xeFz71u7etm3Oue7An+nmy2wespZathZAgVxgEoLmoUgMGNKPXXSvc3bvPmc02rs1jUCUMk22 + FrXRMCvp2E2T1bh1jUoHzGvbBvX5PwCAOIJrESkSTdXMGuRQd4ABOAIwJBtHLfBt8xTRu5hIsmjt + jr4JzXnn/sxfvAdthBt2rCEGaEyQAlGoAqVY2+rRDkLrHXRtDQCCIyhiKF2bYYK1FgWT6es/fi1m + BUlf9IBLd/e7w2xzx3jsyZoCWGmCjtpYUgZw1riZTlPf5xZNj9x4G6CA1aWibnVdKWAOW0mTAzAB + 9g0OvloR6No2Wtnu86jRIfkkzXZujO+YEmY9dnQCg6GLHYCShxgiHECswxXBYuhCRERERERERERE + REREREREREREdKvxvZ5ERERERERERERERERERERERERERERERMdR04acfWt7b4zxvPPO3bt3bylF + m7brure+9a1PfOITP/nJT6VUwKY1ERERERERERHR8bfMsC2z1vXbUPNifqZc1tqy1uiVmQDi3rat + 53LAEvPVZtcJ1zTNnj17VLXrOlUVkRrJW29iCKGkfO973ONVL3/5y17ys3/yv/70d37vd//8ve+Z + 9qm4iQACEZjnkhE0lFIc8/Wtqi7wr9iEPihTfdhL3Lp+mHu9jYuHRksyd2+iirmZ1XByq/L4xz3u + aU996v0uuW8IYRiGrulySQY0TVODgn3fe7GmaQ7xDLcpS+6yby2vLpScM0SGftYE7dOgQBtjtmLu + J/01fGIvvUbtDALA4XVDEmOwVOqqv/zyy61kcU9934RwW1YDERER0e1GrVOrKoBSSggBR8xal1LW + 19cB1LsAmM1mo9HoRE3v7dpylCCr30stWwtS0YI1DdjaxmTr/DScFwP6YSPKeDyeTaadQMynOR1i + 7/82EzhQdD4txdHYPBW9HA4EQwAaSHE10wQEB4AUoI7GIIAoBsfebBmwELehk4325iZstzvefPXf + QRVBEQJCRBAEddG90+l4PK7LQQRt0H6aR+OjOyWmFZTsTRQEQAMUQypNE6NbHno0Y3QjFCACs/7l + n/kEdILJ5nMuufxuWXd8+ZazIZbTJEPHzU3T1GyntaZNZVgLEmI76Wcdotb59fk4LwG1pt24WoGL + ASiLUZQeo3f6RNVpPzRBRwqYn70xnk6naZbuEMOL7n3xy//x43CE8dhhlnMMEQaIusKAUNecmktN + p5/MgTwRERERERERERERERERERERERGdQpi1JiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6jlJKpZQd + O3ZsbW1tbm42TePuMcahlDvd6U5veMMbnvCEJ9x8880pFXdv2zgM+WRPMhERERERERER0Wmr1qwP + +KGqYFGiOoMuVRRSM8mjECyXYTprD1VKPolEZNfZZ+eU+r6PMZpZSqlpGpjn2RAgETrb2tagj/iu + 737Ewx8+G4Y/e997/vAP/vtf/OVV//LlL5ujbUIxs1IEUFF3d7itBq1vUwf66OaitpBXX3iOkkyA + OG9a+87x2jc9+Bse8fDv+YHHPKaNjefSaJhsba+vr6eUSs5xPIoxzmYzd2/btqQTcSQ5hJBS2rFj + R0mp09hb9lxqiO928Ro+cZdeo3AKOCCLNWmpAIAjAJfe/35ivrGxMdnavrXLl4iIiOj2qpRSA9Vm + FkIopdT3ORzu9rV7bWburqqlFDatV9XhR4DCDW6QeQdZVNGoODCZIqUXPOABdxlmedo3QAFu2ZxE + YEfXbvfDSFVVcz52owBBERQFHOqAa/BlCVngMPEw3xO2xrMYAHMtJiaLKrcZ4mi0N6dhPErt6CbH + qz/xMbQt4hjtCCUjtiiGJkIwzRYj1sdjAQJQMhAggqNtWsOhAm0FglKgEQaEJrgjiIZmDMBzkSbA + gSZCC0TRdK//xHXYO3nT//2d//L5L5Tp9vrG2pe3tzfOWrNpCiG0bTsMQ4BAPIuLo1k0rQ1wUQDB + tU68O4pojVsDsGNUtnb3AMyKrbfN9pD6rWkjaIOH0m9M0vMvueyX/v5qNHnwoWs7WIEHAAUwIMwf + wwTHYlKIiIiIiIiIiIiIiIiIiIiIiIjojMGsNRERERERERERERERERERERERERERERHR8TUajXbv + 3j0ajUrJDmmaJuU8Hq/t2bv52c9+9qabbsrZxuNuOu3ZtCYiIiIiIiIiIjreal1YZF4zVtU2xp94 + 9rP9+OeNbz+Km7vDvKSswLgbXXbZZaPRyEoGcLtaFHv37BGRpmncPYQQY0z90AQpVkTDqGtjidmK + 52zw4P7Qb//273rod+7d2rzm2o+/5y/e96d/+qd/+9G/mye+3LDIWAuAIKXsXzmv1bJjNfsOACJQ + cbPF8woa1VysiWLFR0381m/+Nz/wmH/3Td/44HPvcAdVzcMAK2vjEYCuaUpK467LTRyGwXJRSAjB + cun7/piXAvdf7w4ghKghzGazCy+88FnP+BEJYXt7e2PnjlLKsX3qU4JDIbb6E3GEoDmlRsO3/Ztv + UUjqB5iJ6OEehIiIiOiUUHe/SykxxmEY2rYtpSzHUAeLMeac611EpAawSyk1d01zDtRdSjGDoqaI + hwI1zDZfcuF9LpjNrO93rHX9pB+PWgBi3veDAmvd6KbppDsWUyGAOorABSYwQXAEg/o8v+3iRaCw + wQGDwiIQHFlQgOAQr3MTFc31s6nf4fzPWH/FtX+HtTFiA43QJg8ltiMIoFoKRDCOagUakFNRRRsD + xIa+b7sOOLr9Zyu9xliyhyY6MJlO1sZrLpimYdS0Vjy0wYqrSpoNzahFUXiDGHDu+Ec+9FdIPTw/ + 68J7f81419ZNu8/rxpPt6RiIQOseBTPNAEKGAkWQFUVMXNuCcLTTejSS+66NjT1bWymltSaaAMBk + yC7l7LZrb9z9Mxdd+opPfqQ7e6PkFKQBFI7gMMGgCPvi1kRERERERERERERERERERERERES3luz/ + 4SoiIiIiIiIiIiIiIiIiIiIiOuPUc/4e6W0k9RRkriLiXuoPPvThvzxrY4fyFKxERERERET71DHS + StNCDICZ1VM2u/t88OVaIIL4gQ9++MlPfnL9YSkegpjxbf5EBCzyWiQiIvwAFBER0Vcgi9FIWcnK + iKsCBoOIY5EZAa798IcvOO/8GnQkIiI6Y5mZqgJwd1UVkVSyi96uWs7HW90bUNWoCvPZbNbFJoTg + dvvKFa8eX1XVnLOZdU2rsJSSOCRoNgDQGABAJZUCVVXNZvWOqeT3ve///9jVV1911VXXXHPN3s29 + AArg8/D04rlWrn/1ByPqq0kX15ex7CaEGONDvukbH/iAy77zO7/z/ve/fxNi3/dNCE2IpeQmBC+W + UlJI27YAZrNZbBt3jzH+H/bu9Vey7Lzv++9Za+29q85lZji8CCYl0bR4EWUJiewE8F8QwPD7KEAc + SoljyrECOo4sy5ISKxIsmwJlxBYgw7GNXOC8iO3EQADDARzASt4YCKwrxdtwxOFFpKghxZlhn1vt + y3qevFhV1ae7p3v69HTPTPf5fnBmT52qXWuvfande+1aZ/3meU4p5Zz34YKvu6bSrYHWdmnlzZK7 + z8uyOjw4Pz8f1it3d7+mF5N3rraFt5x1M1sPwzzPyzSv1+vrGfsNAHgy7K8E2uVBNS3Jvvt73nd2 + Mbmk7bc2d3wzfiu+2XkCzPPcdV279msX5PM8r1are1wKDsNwcnJSSjGzZVnau9C0j8T282UeUvsc + WUiL6/z0Y9/zvg+dnT0zzmfhpSvmNWq41CULj5CKZLJ6qaXSPqQt+Thd5TNnoSS5acxa0vaZvqbi + Skoun7Oqyc1zqLhyqIQkLaYwKVQtLdZNqb/o+rP16uc+9dtad1oPKknpltzncRyHfmibwD1Ssm27 + yBeZyV3ZFCHrdIX2eNuAHq6U0jLPpeskLV4tZQ+5e8klSbHUlLMmV0qt/ChaNHdatMw6P9Pp5hf+ + nT8xfPvGweIHndkcbR/NWSGl2MZatwhwC5WacqTd3Xh387YXLK62Cy7vtcvtrySFtLR7/skWjyRZ + kZLNU6zz8Zej/sw3vqTjQamorORt/2kxuZSkokUhWXqU6dsAAAAAAAAAAAAAILOr9bp+vDqTRERb + wfv8G+f9PG00iatuHAB4a7rthPaaJ7f9ybA9eLzO/Nqt7/78f58n//35n5M/HneM6gIAAAAAAAAA + AAAAAHDdPVis9a//xr95+ujYjM4nAAAAALD36rHWpZSLi4tSSillnmrLy3FLn/n0cz/yn/65l156 + 5eb7k+6dBlJpeGD9AAAgAElEQVRKWZZFUkqphbiQjfHAUkru3v5SqE3bM22qS39otN/swBuJP/lp + iLV+iNr5bX9OG4ZhHMecM/+UAMDj5bZ/Gbuua3mHphCx1gAAvD7XKtNaksv2+VuSUsgeQpTzG8fk + klJs88AkKbbB5HfuSpfmWksplvM0TV//+tc/9alP/dpv/PoXvvCFz3zusy+99NIrN051a8p1SuZ+ + 88LLbg26NqkNOhShy/PcJpkUyqZ3veMd73//+z/84Q9///d//w/+4A9+6AMfzHa508320T52bPfS + 5Wu2R5tJdrdY6+2ru5Xbb+30WB0tD8GlT8ude+WWIPC4OTsAAI8jYq1xD6858ublXc9InTe1S0R3 + 5eSS5ElJVZY0S93m/K+97/3vOX05nW2OspJ107JkM3utW7ivM9Za0pwUkknZlbetnjTJrbcbU3SD + 3HVQVEf1Sb6oT6quPq1ecn1rvfrmQffLz39OQ6/UKWWlory9R521SPVyE+fm47i1aRO7ptR9HyxV + PkqSF6nXpYacSaaq1L77TNqulHy7nlOSSyYVKfuiedQ06uzkl/+DPzN95SsH55tunpOpS0quKi1F + yqpVddbTq3yxqWat1Zkk5fB2GnS72vbX3WOtX51tm5/udqMbXnr2mf/ut35dTx9rfVi3NdE0L11f + XJ7kHspGqPy93PvUxHkMAAAAAAAAAAAAuB/EWl9GrDWAJxKx1veen1hrPGHocwYAAAAAAAAAAAAA + AAAAAAAAwCM0z/PBwcE8z4pU65RSSql88Utf/It/8S++9NIrXZdrrWZWa9w701pSSx7dR5DWWluI + 3RuwFk+ey9nV+78U2mda72Nfc85kWgN4Mrh7SmlZlpyzu4/j2Pf9NE38kSQAPF4iYhtlnVJEtOZA + kJoHAMDDYLtAqbBrMU27HMKr5m+9RbRa+62XQfsV1G1Jw6auG5Zwn5fO0nvf853v/a7v+jN/+k9H + hOV8enr6h3/4h1/72te+8tXf+8pXvvLiiy+enp5++StfPT09femll1555ZVxmbXNYTMz83Yrty1F + 2+nBelitVs8888w73vGO9/yRd7/nPe9597vf/fTTT3/oAx9897vf/a53vEO7O7pmNo9TTvly3ff7 + 5S0uXVprXafPy3bqClO+dZvclkLXotYfi70JAACAN051S+a+KOmiLkPqO0ta1MWszebw5W8+VZdF + GlZ65Wx+dvXUxeb00dUlbNuc6VyS0qX06Ujmrlrj6CjHUsM0XuioU5Ui6zw05rzJ+Vtd97e+8Lsa + ko5WKt12QEuXQml7GbxtsWm7hJD80nIuuWKmdSswyV2pLcb2sdaSbLuwtjiX5+3y0+3X51aUk1Lo + +O0f+3/+X52f/cwf/4Hj0j0z5OX07KmU5rqY6XSjtx0md4+xDtIir0rVPEVyKSlJ/sjb1CEPlaKI + WNfx4Bvf+tk/8ad+7rO/rZWHJQ8lV98VaVG4W6kRya64RQEAAAAAAAAAAAAAAAAA1xix1gAAAAAA + AAAAAAAAAAAAAAAAPBqRJCni4nzsum4c58PDY3f/yle++l/8hR/72tdeLCXNc5XUdcm9xmuNddsi + lt19H0RKpvUD67qu1uruLSa8RQNGRCml1rqPsm6v7uOuAeDxVUpZlqXrupTSOI4ppWma1uv1xcXF + m101AMAVtFaAmbl7S7buum6hXQAAwMPQsmlTyK/HNFK7ffkYh12F7e7BNiZdyhi+JVosVLWkZGaK + iHCXK6UUZnWanj48fPapp77nve+d6/auoLtbLmbbbbMsyziOFxcX0zTt29E5567rVqvVMAxd1w3D + IAvzcPd2LzHnnFKSRynFzJZlMclqTSmtSol6++3gy+nIdyYi2z3vHl91/jvdOf/lMu2Om9fX7fOS + IvaPb/OYBsMDAADgDZWSvKZSPXyVh23A81h1evaTH/pjz8YSvcqFzs90XPqTzUm5Z0Pt8rX6g12O + VpNFylKWTC6pSi694vWdz6y/+crFxWn9jnU5m5bvMFvmmK18OzSuD/6gT7/4wue0HpSShrWia+9N + rUEWsiqZlHIo36xmSEqKS+1P2yZdt+rnq7RMs5RbadtNsFtESCFrw2vadtvUNovJpG675F3KtpKG + I4WUsg4PfuH3XtDF5q9+4Hvfnso8TccpR+g4Vz93j21adg65eVKS+a4CbfFX60+S7mj93bsFl7LO + Fj3dyeZ4l+qL3/q25kXLnOWl62UuuYdXRVbKr5YeDgAAAAAAAAAAAAAAAADA3Vi85qhXAAAAAAAA + AAAAAAAAeKJFRM75Xt1I2jBRkcwsorYnfv03/s3TR8d21UFwAQAAAOBJ9uqD1ZpZKWUc55zzNC3T + NP3ZP/tnP/mp53I2S2meaylpWVxSC0m5dzf/YRha+nJE7MOtH8naPOn2DWGz7d9WtC25f74FXUti + O98P/j7loWOTNma2/5DidbrtLNe2bYtEfVPrBQC4Gnc/PDw8Ozu7/OSqH+ZplFRviR5MSXK5zKIl + bISK9Nlf//Xv/I4/orhazAYAANeBxTWKp3VTmMKuHH781hHWrmeSbg0A28ctb39tM0uz11Ryzrk1 + h9st1jC1COrtb2aplCTViJTyPqC6NaJbC7r1sWkv3Xpf0VuOtZnJfXGXe0R0XdeSsA/XB2Z2cXFR + a+1Ll1JulfRtqNp+vW5OL99ttrhX+/31x1rfu8zbSrttI0OSm1LcnN65RwAAeFzs/91v/5xV05Ls + u7/nfWcXU7swiojXjHHlm50n1Wt+aX5517cL7Edco8dH2zA2LsuYy0Gda/FOm/Hn/tgH3n7+Sraz + mPS2YjHFElZ1z6v/V7v+vypXSlIXSnKTQppMS5KKFlcJHQzDcjYmaa1yQ8uNcnxjGE6PVx//1G/p + qFuyajkIlW53OrDYrWO787xt7uzCquNSo8f2U4/deSQpXWGd9m8zybZ3xdPlOuxf2sZae5bn7QtJ + kfb1GSeVtRbJtPTTqFp1Pv/E9//AO6e5Pzvz8eJt3RDzuDIl0+QyyU3VFLum6G5Pvd777fdowYWp + SsOQl03tpaz8ynD4yYPuV778gtaDzLS4uqKkqrRUlZzSVWLCr6F7n5o4jwEAAAAAAAAAAAD346pf + pT1enUn23xXe59847+fZd0h+I2oJAI/YbSe01zy5XR65pZ0PH239Hra2vvvz/32e/Pfnf07+eNyV + N7sCAAAAAAAAAAAAAAAAAAAAAAA8GV59mNp5rn2/mqaL1aqU3P2H//EPfeYzz/V9maYlatXur3dK + SbuQlLtKKY3jKCnnvCxLyyJ97P6Y5y3i8h8FtbCZtvX3j1umdURM09T3Pdv53ojFBd76IiLnXGvd + 52+1bK12ugMAPC7M7OzsrOu6ZVnaNVhEjNPI1RgAAA+FX7NhZB7fTGtJ0s0roBbq1lanPd7fZ91H + L7dbfHVetiMHJUspJVkpXa1Vpm3itcKXagp5zWal5HYj0d29Lu4+j75PuU62T82WR/iybLPVQkqW + ZJZSnZf1sJK0TLO7Z0ur9ZBznqb50vFmcWu49W7X3HKVZ/EIw5IvHwx3W8ptB8x1+7zcW5j80hQA + AAC4RUimpbpZtnkukbQ5+8h3ffcPnG6Obd6YlHRjDEnvPDiYxoux+n1eVV5uB90nN4VUpRzbRkg1 + zUlzlldlVw5tzsaklPLwcq2nh8/83uFTv/SZ39ZQ1JmWWroDLTeHsdy2FJJLrpCU9o2bbea0SXYp + 4lqSu+QWypKsNX3u+yb3dv7t5bf2Ode2Dce23TP1Zitrllzqta+DKUxa60IqkiktOZfSKw2f+N3n + dXr6k9//A28fDm6cnT+7Prq4OM1SSGXXxgx5bSXEg7TU7txr9yjBTTJdbOpa2rS07mV8X+0/+l3v + +we/97yO1ipF06Khz5acrwoAAAAAAAAAAAAAAAAAAFdErDUAAAAAAAAAAAAAAAAAAAAAAI9QSmmz + 2RwfH5+dnv/ET/zEZz7zOQ8t09L3xSPmuc5zlVRK2Wyme5RTSlmWRZKZzfMcES3E7nI8M+7fPM85 + 530QYEutboGvklJKLTJ8nue+71uC+JtcYwB43Vqmda21lOLupRQyrQHgcZRzbifwdu1KiwAAgIdl + H40cdi2mj7t7r8V+b+6jl33XBDaznLMkV9Raa63tXmvE9lczK6VERHh4eES0HOuSciqdu99cSsR+ + 2uUSEe7efrXQLrRNLT97Nk8ptYb5xcVFNwz3v6ZvTW/6MfzWmUq3TAEAAIA7hEdYXqvOMunsVBcX + H+q7Z7uNpppN68PjG/Usud84P0u62Zp4VLUxWcjlSXJTNdUkSSU0pFQWlbzapPwHMZ8ddl9Nyz/4 + 1G/q8FCpKkllrVDJSVLdl2iulhZtUmzXIKQkueSXFp1s11zydCkGO11tlU23tZO2RUrpZpT1zaDs + dPmhtrnb1bZJ1aaoy9SXfvGwoctdJ/kvPvfZH/3u97+r70wR0nHKQ5ZP9fJCbddee9St7AiVrKg6 + HlavjBuv8+HF5ruO1qpV86Ra1R/E4jWi9NugcAAAAAAAAAAAAAAAAAAA7hOx1gAAAAAAAAAAAAAA + AAAAAAAAPCJJUtd1Zra5GH/+53/+X/5f/6rkkqSpLtO0tLF0czZJLdN6GLpxfPWE0WVZzCyltCxL + C0dpCSgtggVX1XWddgmv2qWGt6jXWqu7m1lElFJEUiCAJ8UwDOM4uru755w3m81qtXqzKwUAuJrL + TYD22Mz60tXl1dsRAADgSu6Mp31Sp5IuJ4uF7SO+bvGWDVRuXrV6d8sS64absdO+C19LSWZmlsys + 1sVrTWY5p5w0zYuZmclMUkR4rbXW7a3Cll19syZmXqukZGZpW4MWi92VMo0X87LknLuuC3Ol6Ib+ + zrrbNnpsl4q9ky6Fc7fnH1Fe2uUFvTq7dMwovRWO5Dd1aqGbcXq+S+xrn6U7UvYAAABwnbmSxnGy + YWXR5fNTefz17/vet984CVOV+rJ+5caJpKODoUzjvEi618V5ehgXm2EKk8f2yjWHiuuprp82U8jO + 6vLNrG8+NfztL3xKpddwqJQ1hyIpqc5THnrtroFbU6blYtd9cLW89bPIUtxsf6Y2f1JSlrU3xQPk + MLvJdxVI+3en9jgkeb4lynq4bd3b/fQsKdS5Ka20qGQpNM1jX7LKwf/w9S/+0Lu/s6p851PPLjde + TjWyVJOqKUzJlULtZv0tYdcP5N5tMXd1nY015nGzysMsP51P+1l/6UPf93c/91k9tTpbpsN+VaRp + M3Zdp/zqbXwAAAAAAAAAAAAAAAAAAO5ErDUAAAAAAAAAAAAAAAAAAAAAAI9CGyU2jeO4Xh18/OMf + /9/+2f/R5TLVRUrJkpLVWtUSUVySSkl3y7SW1FKWW6Z1StshaPcPcFVtM+7zqt1dUksNN7O2tduD + ZVlauDUAPO7GcdTuHxRJq9Wqneve7HoBAK6gZVrP89x1XUppnue+7+dpomEAAMDr9xZIyX1Dp2/x + yOrXdD8Bz5dfHcfRzPa3BCOitY5rre3uX601mXVdFxGbzSblLOlurebLN2ZbOSml2GnvancXp2lK + Ka3Xa0nu3u4Jm2UlueyhxNE9LK+RaX3JvtZvhSP5TZveuikAAABwzXhIrVuI7VKZ9xeH7cq6/Woh + KUUsq2F16jrOktlH3v1H/t2oz2adzTpaD9PF+Gw6Xkq9cX4+SCXtQ6DvV47U3hLmktzuGn1toSTF + fgn7VkBIoYvNvEjRHb9c8h8clb/z/O/oMKusZlnM0fe9QvI5D727pyQppUuR1Ze3j+T7vGrb5U9f + fnkv2VVTrX1fgElJ7lJq++JyweGSFOmW0k0y31c4tWRr25XnoWT9MFQpy7Us/+Trv6/z8a996MPv + 6lbP+CKft9tst3lDSa0OcXv7dN/CSiGTUsilMLkpXbFJ3hfVGn3q3b367OGrolSnd52bNpO6i9XR + M/MUyaPvh5ay3bb95YVcrrZsmzWer1ALAAAAAAAAAAAAAAAAAMCTiWGVAAAAAAAAAAAAAAAA8CD2 + I/C2wXkBAAAA4Fqwew4d7FFKmZZZkcws57S4UspK8Y/+p//5f/xf/leZ+XaQWHdZ1G1p+xFzl2X7 + KKXU8pUllVLaAzNrcaTtpf08Xdc9mrV9wqXtEMupxcy0WOs23QfPtDZvCw4EgCfA/m5eKaWd68i0 + BoDHUUS0VoCZdV03TRNncwAAHorHPeb56m4mij2O6363QOu7rUvO2/uou34u2zZxzjlCEdsZlhqS + culvnVm6NWft1s4ypm2onV1Os2vzpNzp5k3gdDMPO5Tsrqncl1/w10rv1sPYg3cu4s4y45aYusfy + sHmobln/tMvq4+IcAADgGvCQ+zY5OOWQpDBVSZK1RORdUHKWFMlUxk1dr0zLhW5868Prg+GVV9xU + isZpPFRKflEnrVKass9SX5Xv73o7TBYpKSfJFR6qycNuD8ZuKde7eGmFqxuSLT5VHfT5ZKqWk+Vu + SuU05a919okXntNRp76odFW5qFi3i0rOnbY9LlpA87ad0K6Ed5fE6bYrY9slT9/p6pfQtzZMtgu9 + o3C7W+kp3dIelrSPd7b9DNNS+8NjLbPK8PEXXviJ970/e+1PXjqQDpLmRZ41uvq0Dq9FS9JSlVxq + oeRuClNNSqFcVUJZqtJockuS31bdu8WQb9WUI7Xg7GqeTMVVxmkl/9n3f+/P/cGX8rTJaaVsCiks + kny3r6u8rV+WVKWQkpQ1KwVNGAAAAAAAAAAAAAAAAACA7tK9DwAAAAAAAAAAAAAAAAAAAAAAXImZ + 5nk6ODiotXbdEBG1eq31X/yLf/nzP/83htUqpTTXJaUkU+heQ9K6+7IsOeeu65ZlOTo6ak+2yJNp + mkop7r5PswMAAAAAAAAAPF7uMxb6NTOt8VbQ9ua1j/oGAAC4XlqgcosF9svP3GEz1qHP5exEJ6c/ + ++/9+8PJyfHQWd6HT7vJTbJQWAq78viQIbUl75sPYbc3JfYXqylUSppH96qVqU71uOuOVoc35uml + vv/GUwef+NILOhg0rJfUVQ0eu/rY7mdX0uWn9493/0u3/dilAuzOwq7mtpJv3WJ3W9Juebcv/dUq + 1JXufJqjdBoGrdef+MLnv6hlPj6Mzs4WdUlJKpYWr9rtL7+0/VPc3jrYZmnHAwz+mSyStovxtmdN + 6l1HXp/1+Mj7PqB5lhaF5K6bUd93HI3xag9pxQAAAAAAAAAAAAAAAADA9UasNQAAAAAAAAAAAAAA + AAAAAAAA9yfSzZ87pFJqxOnJed/3tdZpmg4ODv71v/7XP/VTP2WmWmuttes6d5eUS7nHcvq+l1Rr + ned5GIbT01NJERERtda+7929lGJm8zw/mlUFAAAAAAAAAAAAAADA7UzKUm4hyKYwJalIeRtmnEIp + 9rnLSWmdJdeS//IP/KnVK+dPpXI+zuMuTniRFinkSeqr+upXCnsO06IYrc7m1bwlK1vIYleP7YJS + CzB2aVlc0rqkOZRMm3k+OztZHT39fJr+5vOf1mpQ6qWuWJeiFnvg8OnHVlSvtVcylzZVlnTU/Z2v + vPDlcT7P69zlU9dYZeE5zTXOqy1z2mdapxza/rgkhcmlqn2K+dWrs51EmOJSePasSOP0XvXaVKnO + ZVFJSkntOAxZKCul/dGYkiwppbiUMr5fAAAAAAAAAAAAAAAAAADgeiLWGgAAAAAAAAAAAAAAAAAA + AACAh6DWamaHh4ctfzrn7td+7dd+/Md/fJqWnNM8z33fz/NcSpFUl+UeRU3TJKnNOY6jmbUySyk5 + 51prSts/B8g5P/o1AwAAAAAAAAAAAAAAgLTPq46k2CYCmyS/mT/sUm7jPJpCmvxcddTF8sy3bhxv + lnUuOSkVtbmrUutBksOL+6Ug6vvi5kv2Jbkn1y7QWrqUZq0kpTCFJVeSUrK07rvN4kdDmludD45+ + P/k//OqXdTCo67RaaZE8mZni2oUemywnKyUrpJKVs9fQavW3v/rVbx4/9ftztX4YhlylZLXr5JfS + pi1kSqmFW7vM5VK1FmudpGQhxZWGAHWZS+5yN99mnm+PNFtJbzvdfOwDH9J4VlQVodD2xyVXDrV+ + RVVS2oexbx9u5wQAAAAAAAAAAAAAAAAAXGPEWgMAAAAAAAAAAAAAAAAAAAAAcHWRbvsJt9VwcH6+ + cVcNe+7553/0R3/07Gwchm5ZXNI0TTnnZVkU6rv+3sW3+Gozk1RrXZYlItzd3VuUtbtL2udbAwAA + AAAAAAAAAAAA4JHbdhSRomUE354NnCRzWbQwYz9KptOTn37/h96z2NtSN2/OS0rzrOyylnm8TxoO + 5SvGDIfk5tEyraUUyq7srbzkt/6EUpgs4pVptj6dT95JtRueH/QLX/ysUlLpl6FfktQlzYqq1nHl + GgnJFRejkuZQdJIp5UPltQ6Hn33+0ydPv+PEk6U+S12vaVaYwuTm0m4vSKZkkSxSKLlSVcsUl8WV + BwC1cMlrcjeF3cyiTkpD6Jlxfo9LtZpPstgHV7dY63aItjdVU9g28LpVUrGtMwAAAAAAAAAAAAAA + AADg2mL4KgAAAAAAAAAAAAAAAAAAAAAAHgIzm6ap7/ta64svvviRj3zkm998+eCgH8c5JfV935Kq + Ja3X62ma7lZOKcXMaq2xY2allJSSmaWU5nkexzGlNE1TC7cGAAAAAAAAAAAAAADAG8+0i7Vu8cbt + GZdcIWW5po28vst9tUyjnxSlcO+TcihFam/ZJyLvp1dYumSxy7QOJSkpSXKllrgc5m3qrXrFjvoi + 6y6UXunWLx4dfOILn1dXdHjgqVRprJJJvWroeo5XaaW4eyoaqzxJxSJSPTjSavhbv/vciwfrr11c + qNPJhVKRdoHikiRv/09KSSlFklJYiv3r0pWSpLcx2Nvk7P3TSUpV1TUdal6dnvz5D35I55O8hm49 + jEL7wyukKtV9ydrNAAAAAAAAAAAAAAAAAAC4xq5jN0EAAAAAAAAAAAAAAAAAAAAAAF6buew+R5JN + UoqUx6WG5dPzzQ/90H90dnYu6fx8yqVU1z7H+uDg4OLi4h5lLcuSUhqGQVJLrY4Id3f3Fnfddd0w + DMuy9H1vdqXRjAEAAAAAAAAAAAAAAPD6hGS7/OpwyWWqtssMjm2csEmqofPppz74vf00LrpY9Qdz + 9nCVaiVSDkmKXdeP2lKor1iXHMqh4io3M62TIoX5Nl5bbuEmD/Mwn6pPy3I+Tt9O/acP+v/md5/T + +lgHT8uSyQbpMKuaRslK8usWe2ySWTVLlsaL0eRhqlm1k0dy9Xp6/be+8vnxmWfPrStHedFu47ty + SKaQ+y652mQWJslN3nbH/fZEuqVGKVo8uSxk0UKyU1buZKFp8PE9U2jjmpdQVLV+TDcD0ttvfilP + O+lmFjsAAAAAAAAAAAAAAAAA4Doj1hoAAAAAAAAAAAAAAAAAAAAAgIcgItbr9YsvvvjDP/zDL774 + jXGsOZuZaq2SSintwfn5+WsWlVIax7HlWE/TZGYppZTSPM8553EcW4HtpUe9XgAAAAAAAAAAAAAA + AJB2kdXax1rvmMc+NngXBZ1DmqY//573PXs+rWwJxaaO1aMzSxF2a6kuhemqoccpZLFNts7bTOsW + ZN1KcpPnUJKnUAtpzkM5lV0cPv319fD3vviCjo+UO6VB1ilCLoUu6qSkkK5ht5RqkboSioP1MORk + oSq5KZms72rptOr/+nOf+drQvzjV1BVzlVAOWduPJpf7bk+2HdQCxR8g01q7o8xCFkohSW5WZZJm + xSL12Z/dzP/V+z6gpSZfklTb23bJ1rb77VWKvn77FwAAAAAAAAAAAAAAAABwGbHWAAAAAAAAAAAA + AAAAeBBmZmYR8dqzAgAAAMCTpdaac5aSlNyVc+fuKSVTPj05/7Ef+y8//enP1ypJoeSh1nRaluW2 + cnLO7UHXdS2dumVXm9k8zxHR3lhK2b+l6zpJwzC0X/u+f9Qr+2Srtbbs8Iho2eHa7ZeI2G95M3N/ + kJGFAQAPVztX26u5PBs3LQEAAAAAAAAAAF6P9m1Lm7r7nR0e7tS+YW/frbt7bd0mnmCm2sKDdTMb + OEJJqh4yKbls0ThrU/9o6t42LeFjzTFb9ay4lGltIUnVtj9h8teXNBxKYR4mJbekPkmho65YSK5s + xTWc9QfPH3d/52sv6Gitbpi7YTHJZW3Z5n0uJi+76l0fIYWlWXILaVEsSd7697SOI9mL8kpPr//m + Fz9/fvyO86WXSlGSZKYaiqzJtOSWbB2maMnWD7xXY3eI5diGZLupmmZ5SD4oQsPF2XusaJy0LKZl + Uq2mSJLJ56qQubKUL8dY26UfSJJax6H96et+Tn0AAAAAAAAAAAAAAAAA8Lgj1hoAAAAAAAAAAAAA + AAAAAAAAgCvo+36fhXxwcHBxcZFzHse51vrjP/5XfuM3fltSKdtxTi+HUt+mjYLadV0Lsc45u7u7 + R8Rms5EUEbXWNmQqHrppmnLOKaV5nkspEeHuLThc0jAMy7L0fT/Ps3YD1wIAAAAAAAAAAAAA8MQz + 2+bcuntKqfV8iLubpmkcx3me929s38K/aSvwhgipym8mWyt11pKDbaqzsqtOmpb/7Lv/2PE0D76Y + 3M1NSiFJLrUN1KKH3VQfqGOCtyTsbX1SVaotJDu5JS2LrKqXzqalM3VDd+r+TU/f6Ie/99zn1Bfl + sij55RJNIXVSJ5cWadkFOl8LfmnXSJJCVluydZLG0WVS6jwlHR39jU9++pXVwVkqp/KSS4Rk2izq + 1ja5anLJkzzL0wNvQ1NIIWVXcWVXktrRYjl3q3wySqFnh4N0cuMvffj7dHqhWn2eQr4o3CJ1WaEk + WTD26GtIKbXeRJKmadp3IgIAAAAAAAAAAAAAAACAJxhdywAAAAAAAAAAAAAAAAAAAAAAuDtz2S1j + yy6Lm+VpmqZpWhYvpa81hmH46Z/+mX/1f/+qJQtpXjykvu+XZZHSPXrv73Ov9wnW7j4Mg6Sccxsp + VdITP1o1nz4AACAASURBVNzzG6/Fk7dRaJdl6brOzOZ5bjtimiZJ7fmzszP3azRGMwAAAAAAAAAA + AADgmouIlmlda5U0TdOyLHebueu6lFJKqcVap5TGcXzj6vom2SVJa2kpyKHlfDJXSkolh6qS62L8 + ntIPdWlp1sXVVxVXWJotLaZqrSS5KSQLWWxzr+9fNbmp2rYyS9KSFCZJnbTuZNJhznPolXFennn2 + i0P/y1/8sspaykoWiljCXJEUWYvJlazKaiiqrD70TffWZ9uOPiHzliKd5Tm06pNMEUrpQN7rqcPf + 8emVg3X0BzfqIrNStB50MYd1ClOYm2R6kN3ahOQmSTmUQ3n3dFhs6rJ4PeoVrpPx/JnD9dNn55qr + vn1y2HWh6nJvidY1VEOxX69tyXFLgPd113p59X0fEbXWvu/b2Q8AAAAAAAAAAAAAAAAAnmzEWgMA + AAAAAAAAAAAAAAAAAAAAcAURsSzLarU6ODhYliUiUkq/+Ilf+uf//P+UVGukXVf9lF670/7FxUV7 + 0HWdu+/jq9t40G2A1Hme29DPeOj6vpdUaz0/P3f3tkPneW77YhzHZVkODw/vZ1cCAAAAAAAAAAAA + APAEaL0Ucs6Scs7zPPd9X0q5x1umaWrzj+M4z/MwDE94PwfbD+PoktqgjqXvNasqTNVi0jz91x98 + /+rkRtn1BUmxDSd2tZlUzdvzew8WfuwmVwqTm8K8BSH7omK6ManL6aTW8zJcHD71u5vNP/zKl7Tu + JVM3LHMtsqGYkqo0S9I+O/k6SrupSbekUYfLXdK0hKU2S1LJ//sffO1rJV5e9Xbw1LnHsmgcJZP7 + Nlk8LhX7YPbJ1u0TZaEcblq6IZ9PSqEsTdLm4uyZOv/VD39YudfFnMNNMWmaYlaS0rY27Xj1XeFP + 9Kf01cVdtD5C7i5pf/ZrvwIAAAAAAAAAAAAAAADAE4xhlQAAAAAAAAAAAAAAAAAAAAAAuIKcs5mZ + 5WXxWutqtfrH//gf//2//4+6bjuCs1mWUs7dZjPdo99+GwJ1tVq1AluUsru3kZ1LKeM4tgFSW+L1 + G7N210rb1PM8p5TaANxtp0hq+6KU0p7fbDZvYj0BAAAAAAAAAAAAAHjDdF03TZOkZVkklVIuLi52 + 0cyvru/79pZhGLquk1RrfUMq+4azXeKxlHf5xyYppCT1kkx10lI1jm+v9ZlkFl53Icmm1BKxwxTm + t5WaQnb1WOsUt4dht1+7rCl0UNK520nqXxrW3zhY/crvfVklqS/qV4pSbLC5SlqkRQqphFRbbUyW + rmHIdd6HPUcymZRDJkuKUKgvNm4mzbOGXqteQ/crL/zu7w/lWxH9wbFCq6Te1FLd/WZq9IMP++kt + AV1yKZQkz+HFNY91yFJNpXQpl77kp03H5+faLPIWdx1ZxSOUTMsiSaa2extr4dyQJEVESiml1HoT + tZ5a7TEAAAAAAAAAAAAAAAAAPMHoRgYAAAAAAAAAAAAAAAAAAAAAwBWYmZm1zOmU0j/9p//04x// + uKRxWiQdHh7e59DMbfzTaZpqrRFRSjGzlJK711qnaRqG4ZGuyDUXEW3w2ZYaHhFtPO5lWdKOpJOT + E0mr1erNrS0AAAAAAAAAAAAAAG+Y9n16zvn09NTM1uv1PeJdW+J13/et/4OkzWaT8xMbhxzWMqw9 + S6XFPrsk1aTZNPqYLel0/NiHfuDYa8ynMm/JxO2NLf96G1+9T8h22QNlWmuXh53Dc2yDsdtPrVp1 + tqlxGnYyrF7syy997rPKpsO+5qQkeSiknGcPV5hU5AqXXCZZkTqpXKshK1taeZYUSUqKYipSCSWV + vCyzpGHVq+9kXpVqLFp3//0nf+O87146vxjKqoSmUTlJUuyyqF1JSumB9m8rp1qaLbmUpBLqXAe9 + lZQ37tNSUyjqMm4237EqH/vghzW6zs7TPgi7ziqlFeXaHoTbch+0So+vuAt3N7PWd8jdU0qbzYZY + awAAAAAAAAAAAAAAAABPvGvURxAAAAAAAAAAAAAAAAAAAAAAgIei1ppzNrNf/dVf/cmf/Jlp2g7y + mko+Oz+TKaXUwq27rrtbIW0oZ3dv8dVnZ2ftyZRSzrnv+zZSakrp7OysRSzjIWqx4m3aosrb86WU + zWZTa23b//j4eJ7nN7GeAAAAAAAAAAAAAAC8kZZl6bpuHEczOzo6khQR7Tv0V2Vm7u7urSvFPM+r + 1eoe8z/WWlCxS5IsXC4tUpWkUbpoieCL63x+57gcywcpt9BrS1NqycTJQi2Cei+HOldYCrta/5AU + Kq7OVbT7ceVQdrlrcp1FTKuD84P1Lz//nA7XeuoowrN8cY/eaqfRlZOtZEMsOWalUXmWKaRFWq5b + 8HFI4QrJtqHj2sWNL5L6LhTzvFEskqvOue81ZB0MF6s+cj8tU460ToppX17yS8U/QLJ1e8uc05xT + NUlqeeM2xTzXLg85DVkp13h2lc6+ffLOVDSFSr9sziWZ0hItzHobYx27A/jmKl8ndhc552maSimt + Q9dms1mtVq33FwAAAAAAAAAAAAAAAAA8wRjWCgAAAAAAAAAAAAAAAAAAAACA+5fmeR6Gda31//u3 + v/ZXf+Ina0hJZiqleK2ShtXK3VsQ9b2HdW5RyuM4RkTLsW7PtEFR2zCpEXF4ePjGrNu1knOWlFKK + iLbZ2zPLsqxWq5xzKaXtvlJKS78GAAAAAAAAAAAAAOCJ14Jd+75v/RbmeTazUsrd5q+1ppTaF+vu + 3ua8x/yPuyRtc4HtlucXKUsHZro4/2s/+IPH4zRfnNutM4V5mKcWia1tXvJlD9Y7waQsmdykpJRD + klLSFMoHT90o5Rc+9Wl1vboyWbaUJFlKVbqYpbajqt8Wb0w/idt2zqxwqYZ3fa+cZK1jj4X16oZf + +s3fPHv2mYtu2Mjd1YVKVQ7JPKSQKZIrub36su63SpfenpJMyjkvvsjdFLX6UafVxdlf+f4/rmnp + VgeSzfLSZVnI5JJJaXu07DKuIUly93bSM7OU0mq10q4rEQAAAAAAAAAAAAAAAAA8wYi1BgAAAAAA + AAAAAAAAwP3aB31Jyjm3ccoAAAAA4ElloXkcu66LiHmeh2GYPaoiLG+m+YUvffnP/ecfffnGWUjV + 5aFlWdp4r+PFRnL3RfKIKnnXbQc5HYahPWjFRkTOuY3s3B63V9uDrusk7RtieET2W7g9uDyydnvc + xqt9U+qGa6sdjZvNZv/Mtc1Wtx3xYXzdUkr7G3ote0BSrfVNrRQAAAAAAAAAAADeii5/T9d6L9xD + 6+TQvmFPKT3Z/RxMsvAsVaVFSUnKrixJh9KhS9NGm5O3axrGi6Sw0kkphUp4CTe55C4PUwqlkKSQ + fBsj7enqcdKRNUshLVLp+lneyhxd+XD1UsTJ4bEOjzT0kSzLpSSlnJSlw069lCTLSSnJOqn9pBZ+ + nG8P737SmfZDdFr7zySTSStZJ5WU2waUUim9VEydhmM9c/xzz3/6q+vupPSzbLBuFWkISe7mpiTl + MEXLHr96pYp7cTe5S7M0S0som+q8KVbNPJnmRbnqbfPZU8u3lWKZPVSKeoVkNWyRvJP38hyLwvdr + B0mtN8KTffoCAAAAAAAAAAAAAAAAgDsxkgsAAAAAAAAAAAAAAAAAAAAAAK+ilLJer8/OziQdHByc + nJz0fV+X6Lr+61//+n/ykR85OTmTWd+vpCTLdyun67p5ntvwzeM4rlYrSfM8Szo6OtpsNu6+LIuZ + XdvYWgC3adnDq9VqnufrfGZw95SSu0dEO4u6e9/3b3a9HlftWBqGYVmW9m+TdmNzAwAAAAAAAAAA + ALgvsf2/SVKqkiy1X7JL5xca54/9yT85vnRj1WmwflzmaLPGpRzrO5JzW+DxgzGz2AVin8+bkopS + 8pD19sriL6+HT/zWb0quoR+n+dIa3Aw13i25pTWXNkbl9Y08vstq3/H09pvWCI1zjfWhVsMLdTo5 + OuhWR3PMkvvuLaGrx5VfXlIoh+fwFApTNVXb70e/mYoupdBgSzk/0VyLSp2qSREuhStCbnKFa3cc + XioHAAAAAAAAAAAAAAAAAHAdMewIAAAAAAAAAAAAAAAAAAAAAACv4uTsdK714OAgpVRrHYZhs9ms + 1+tvfOMbP/IjP/KNb/yhmVJK07SxlBR3HeV1nueu65ZlaZmsLcFakpm98sorknLOpZRlWXLO1zm/ + Fo81w0PVtuo0TV3XpZTGcWzpzm/uXn7jmVmtteu69Xq9LEtL+56m6c2u12NstVqN49i2pJnN87w/ + 3gAAAAAAAAAAAAC8tl24cZZneWpR0LF7KUub+W2TlZAni4hO2R5lbrCFYo5smnOupZgifBnrkoc+ + bPVy2C8+92k91akvqp5ku+xqPDTudRi6ixvflqV/8rWv/4HsxmaTpdE0JylSilTNPbnktos2f3Q2 + Vc8cHX70ve/X+XJYsiRLRWa1vdwi0CO1UPb6aOsCAAAAAAAAAAAAAAAAAHirK292BQAAAAAAAAAA + AAAAAAAAAAAAeCt66qmnzOz09FRSsrJeH55dzC+//PJHP/rRL33pq5L6vhvHWVK8VtbsPM+SlmVp + 05xzrdXda60t63ocx2EYJKXE2MF4LMXdk93xwHLO7cEwDMuytNPFtWJmEVFr1aWtgddj/8+NpHZE + TdPU9/2bWikAAAAAAAAAAADgMRQt3jptg65DWkb5/Jc/+H3v3lwcHQ6bkzHLc5vrUeqklNPJUufQ + oSmX3CndmP1sdfALn/+cDnr1pnEj9X031Edfn+smZ4uoB8fHqi7r/u7nn//F73rvXOsit1BWknKY + y+obkGntpmFVTm6cfOf6nbqY1eey7msomakFskdSJI4CAAAAAAAAAAAAAAAAAEDDcFcAAAAAAAAA + AAAAAAAAAAAAALyKeaonN86SlaeOn8n9cLa56Lruox/96Cc/+RlJh4frlmltZvfOmk0pmZmZ5ZzN + LKVUa20ZwPsk4JZZ26JbAaDFOS/LMs9zO2Ncw0xrSe2sGBEt0zoixnF09ze7Xo+x9m/Qsiz7Z7qu + exPrAwAAAAAAAAAAADx2dsHELlf7iZZsLdc0vnOa31Glzdx1ucvdouVeZT0MLrl7Duty8iF9e16W + 2bU+/v2DAx0dqhumcdK6qEsyC3KtHzKX3CyqVylpOFR/cON4uNGnagrTkmyxZIoU/qgzrZuzi+W4 + 779j8p/+4IflkeWKtERK+7FHt4erxGikAAAAAAAAAAAAAAAAAHDtXccRbQAAAAAAAAAAAAAAAAAA + AAAAeE3LshwcHETEt7/9bSudmX3sY3/p3/7b31qtirvOzi4k9X0/TdOyLDnnWl89adXdu66rtdZa + SynLsrh7e0sppdbaZmiZtRFhxgDCePzsM9rxsLj7MAySaq1m5u5mds3PD/M8t23C8fZgzCyl1DLC + 3T2lNE1T3/dvdr0AAAAAAAAAAACAx0bsptvvbl0y1aQi18XZX/jwB//4OPV1PpeX0m3q5qnh4Hw8 + f9RVunCt+35RPdksw2E3LvnFiF/5/HNar6ToD48VdZnGMnQ5P9K6XEfhvixL6g+2R4X7L/zOJ//b + D/7Rd4ZWs8Jk8j7cbgaiP1olqczLsMxPp6Rx1CrnnKSUJJMrbesRpixJbmRbAwAAAAAAAAAAAP8/ + e3f/I8mW1/n98z3nRERmVfWdGWbGsq1dZFvatYcHGdv4T0Hm4QdssdoHAzPaZVgvYCONEODlwbuS + BeYHwMbrBa2MkGx+WMsLY8uWdhAPZtGIYYCZ4cHgnbnL3Onb3ZWZEXHO9+sfTmV13Yfuud33Vvft + qvdLqeqozMjIExmnIrsU3/p+AAAAgFuMWGsAAAAAAAAAAAAAAAAAAAAAAN5EGsbVw8y2Z3eWuX7v + 937vr/zKxyXtD1WSmUXEsixmJpn7m2dad+u6ppRSSpL6U3o27bquwzBc5rOSaQ2ga63lnN19t9ud + nZ1Jioh+DrlVcs4RUUpZliXnPI5jf2c4VT6d/qGz3++HYSilSBrHcZ7nHhYOAAAAAAAAAAAA4AnY + Mdo65JK8qbb37w6nqkV+J41u1mSvzrtynZc3w2TKZ+N4b96H9BUn47/cLefvPf3hT39G282iPBSz + aAqVadqvtZRSTFxwfQeZpWEcd1GLldRUTk6V5/OveO/ZF++WVkNRvOXqSapSXPNbn0Ilaa5edO+9 + 5YNaDmqTrLh7LpIUkttFLnuWK1wmkWwNAAAAAAAAAAAAAAAAALcVBWQAAAAAAAAAAAAAAAAAAAAA + ALyJnlS9LMuyLD/2Yz/2i7/4v7QmSTmbpJRSz1UtpUTEZTT1G5lZKcXd3f1wOPSU1h7LmnPuW2it + tdZ6VPaz2TsA72b95JBSOjs766eFnnL9vMf1HJjZsizjOPb3hEDrt2MYBknTNPVPpYhY15VMawAA + AAAAAAAAAOCt6xcsXR46tnI0JUle/7Ov/Mr315pak7T6YiFTTGW45hGlULo3798zljtj/uJuyS+9 + 9IXNRieTxhJDj7DOstzch6FwxfWdF+EtihWX8ihlKZf/5v/55L1he8iDm5uqSUlK/ct1D8d1Ip3m + sc4P/vrXfI2WqsMylP66qSm1vtRXFXVKAAAAAAAAAAAAAAAAAHCrEWsNAAAAAAAAAAAAAACAJ2Ym + MyNGCAAAAMCNUUqptfaAanc3s1prSkmRchr+8f/0Cz/zM/+9pGlbwtRaSGqt9azZdV0ll7z/lpRz + TumiVr8vRESttS/omId9udzXzDmT2PqMXSYEXy601q5+u66rpMdnlgPPwOVp4fKMcduM43i5fGvf + hHdQfw/7h1EPun6xpJTeOA1KKTqewN29n88BAAAAAAAAAADwdC6vm7/1y+WX19Zba71G4nIjN1Io + Wg8EblIoLbOW9S8P09lalbRIRUm1JUutrdc9mBoxqixLrbWVUl6u/hOf/j1tpzBlyaIPtOQ0udx1 + k4/LcxBJllMaslQkNylL44nGsx/81Ke/NE5KMSWtUpNcyirX3QI0JZ1LB1/KoDvrqqWpJA/pSoT1 + xcTAFf2URY0QAAAAAAAAAAAAAAAAgNuGTi4AAAAAAAAAAAAAAAAAAAAAgFuttebu4zjWWs0s5zwM + w2Zzoki11l/+5V/+2Md+qDWlpMOhPiZ1OiLMrG+t52vmnK/m0bbWcs70P32XSCm5e88vX5ZFUs55 + Xdd+gOZ5HoZhXVczW9e1rwAAeI4ioqcg7Ha7OHL3ZVnmee5n7L5mKYVPWwAAAAAAAAAAgKfWKxwk + mdmDBw962mu/51Faa71kIqVUSunX4p/RcJ81D4XJQtHUg6OVIjTPd5Y6NUla88OV/dF1Ju/MaCRZ + zuMo6RC6N04/9Jk/UM41F5eyHkYZt4fPINn6nWPqLT1zKEtJLnPlorLRdHp/s60pHRZNYwrpGTX/ + jPTSZliT1nk+PSyaV3lblzmUvA9VsstZcM3z8wXSy4fMrF+GPhwO+nLnPQAAAAAAAAAAAAAAAAC4 + AW5quScAAAAAAAAAAAAAAAAAAAAAAG9JD7/sYZk5Z3e/e/fu4XBw93/+a7/20b/798I0bcbmUjyu + m2tvbHq5fJmRPAzDZefTy9Rke0w+Np6Jy27a67qO47iuq7sPw5Bzbq2N4yiplNIjz/u3AIDnyMxS + Suu6TtN0+YHbWuufsyml/tmaUprn+bmOFAAAAAAAAAAA4MUWEf3KS2vt7OwspeTuOedHrb8sSynl + cDjknM2s1tqvtj/DIT9TJmvRkrLJPLti1f7wkQ999UmtOdRMbgp7dunRNeq8VFfalfynU9J21HRq + snQls7iZQko96BrXwyRTuzjuuWg6+Ye/9VvzMAxb3V08D5PJatTrHsbe/Xxeq6k1/0ub7d/70Ffp + /P405SY1KUs5JJdCr50jt0U8Qi8WqrX2s99ms+nntOc9XgAAAAAAAAAAAAAAAAC4XsRaAwAAAAAA + AAAAAAAAAAAAAABuu9aapB6KeTgcPvCBD0wn29/4jd/4yEc+0tssHw6LpJOTzWO6LkdEzrmUImld + 19ba1eWcc0qpf621XuZx4vla13UYBjM7PT3th8/MxnHs8ajTNKWU9vv98x4mAECttcPhMAxD/wx1 + 93me+0ftsiy9n/g8zz33urcaBwAAAAAAAAAAwFMws5RSvyizrus8z/0ee4RpmoZh2Gw2/en9iSnd + 5D6H2QYpeaspuXzRsr5vnocWIYVJFyUh7s/kmtVgqYzDg5R3Zy/9xGc+q82mRxWbjiM5DiNLtzHH + +Nm5yDIPO+aH33nPF5vvLJ1uhnvrPExjTuW6B7Edpxpy05RVdruTeVZJsTt/eNyjTwyX1JTilrUk + fdR5rJ/0+gXolJK7bzYb6rsAAAAAAAAAAAAAAAAA3Hi3q4YMAAAAAAAAAAAAAAAAAAAAAIDXyTmv + 61prHcfxcDhM0/TgwYPf/d3f/fCHP3Lv1Z1COZmZtttptzs8flOttVrrNE39297bNCIiorW2rmu/ + p2cnX/d+4fF6B+3ejlbSuq46BpxfPtoP2eU6AIDnKOfcsxB2u13vKj5NUz9vj+O4LIukHpmgK+dz + AAAAAAAAAAAAPIVaq5nlnIdh6EUOj4l3NTN378vuPgzD5bc3UiiSNO/nIRVF03733V/zoZcOS5K3 + h5nWzyg+Oskt6qz6pXH4s5SVkoax9qTikMJlClOSsmSSKdGC8lqYJFckRWqmlqTNoJJ/9A8/+4Uq + jScnubwyn8++9Dzp63NY5rOTkwercsjqfOJV62q55MthSrIqczEZrujnMXfv57peOESsNQAAAAAA + AAAAAAAAAIAbjzIyAAAAAAAAAAAAAAAAAAAAAMCtNs/zOI5nZ2fn5+eSpmn6/Oc//63f+q1/8cqr + fYXesHS/n81su90+ajs9qbqUMs+zjnnJ7t5au+z1nHNurZG1+W5gZlcPRD9GkkopvU3t5f3PZ3wA + gNdy92VZ3P309FRS/7S9TFAYx7G11pcPh0M/pQMAAAAAAAAAAOApuPtl8YOky2vr9ggppX6Rvdaa + UlrXNaVUa31uO3DNTLbW9WQzKaTDrKQ7h+UrUrJQs+RKUrJjGG6Y/JrrDkwxR7u3nX7yD35fJ2dK + Qy7pmF58kWN8/JcY4+vTJ7zpGCmuJLmrbJaz9/7FvQfeNEqbzXjN8yGd5M1ht//g6Ulzve/kbIz2 + N7/6q1Wb3C+OvblSKF3M0dtWFvOo89g0TaWUnmbdT3qttf4tAAAAAAAAAAAAAAAAANxglEkBAAAA + AAAAAAAAAAAAAAAAAG61nj999+7daZru3Lnz53/+59/8zd/8xS/eTyaZ8lDi2NI0Ivb7/aO2ExE5 + 51prKaWnad69e7e3b+7dTvvTc845591u98x2EI9xmVrdk1Al1Vo3m03Oubemned5GAaSyAHguUsp + jeOYUlqWJSKmaeqJCGbm7u6eczazdV03m80NjkkAAAAAAAAAAAC4bj2mepomd1/XdRzHfn88Qmtt + mqaI6AUYwzDs9/u+fCO1WksawqQakmmt07yv+53kzSRlRUq6TA2+XiYVk6b8L9uqMsgmheq6hjxM + YUlykyuq5Opxy7gWIYVUZMqSSXM0bTcatu3kvXmztWLTNDw4LNc6CAtFq1la5zrm/OrunsW6XavW + qqbcR2lS8h5nnZkQR8uylFKWZTGznPOyLL36CwAAAAAAAAAAAAAAAABuNmKtAQAAAAAAAAAAAAAA + 8NT8eQ8AAAAAAN4B7l5KOTk5ibAvfOFf/aff9tdefvkVhdwls8tE6p5/3KOOH7MpM6u1uvvhcDg7 + O+v3926nZrbdbg+Hg6STk5Pr3zM8To8hv0yzNrPWmpmVUg6HQ2utT4xhGCTRqRYAnrvWWj9pm1n/ + UB6Gwd1baz1ZQcfPdEk3OCYBAAAAAAAAAADgmUkpDcPQ6xz6BZo3ZWbzPJuZu/eU6+12e3k5/sZJ + qWRLqVYpmmr78Ie+evS2HZKknmZtxzr7FLJ44nzryzfalfom3eRvePstZKFqujvlL6Tyj/74T9Sv + kZl6qcOx1p+Gk89OmEIyKUlmWSmplB/7zV8/jNOrdXXFmKWeNH7lmPZDaXHxULvyqD3xj5E31U3Z + tFqjqVjaJHtfq1pWtXZcJzEr3pS7j+PYS8XGcVzX9XmPCAAAAAAAAAAAAAAAAACuHfVkAAAAAAAA + AAAAAAAAeJ3HlZREhJkilFKKCBnJ1gAAAABeGEMeLVJbfRo2Pbd4HEd3L9Pm/DCvHvt5/c6P/J3P + fu5P5lWWTJI85KHeN/aYl9k7NV8GaupK5nFPv+7hmjnnWutlHvY4jn2dzWbzzHcdb+LyOPZj1Btq + R0St9fLRWuvF778AgOct53z1w7dLKfVP4Z5jnVJ6TKACAAAAAAAAAAAA3qKUHpaU9zqHx1w6v3yo + X6zJOV8WS9w8IYWyS1aaNOt8/tcPXlyL3KQUnqJZqFlqlqyv+iTsYTB2CmXFoMghhSklmclNVvIS + 2gwbk14N/fHp+37ws38iJZWkNq/LIaSQpZ6QHVIkqeiJ87XxJMIka6pNrpC5krRE1mbQ6eaVUg5p + 42lorU+h5JbcUk8uz0pZKSlJqSb128N47Cc5bGFK0lz3ybJZ8vBhrR847L/nQ39VOm8+W/8TkBhU + j6PGUa8NuywD69egAQAAAAAAAAAAAAAAAOBmI9YaAAAAAAAAAAAAAAAAb0RVCQAAAIAbaL/fR8Q0 + TfM8v/TSS9M07ff7nPM8zycnJ4r0Xd/10f/r//7EuoSl5I9uKxwRp6enV/s1t9Z07GraN+ju7l5K + ualtmgEAAAAAAAAAAAAAAG6hkDxUVNXW7/zKf3t6sH/p5HRelUIplMOTPEzNJKUnjSW+IknJ1ZOP + ZVJ15SIL7dd2WsbdepilzQf+tVemE00bbbeaF415GMu8zK2XtcTxhutzURnU/wQjTH75tqekOVxD + +ZHf/d3lZHM+z9OQLZIkl/xKqrRdbkZy00WQeegpqo7cLjabQlLKoZPVT+ssX0tKcimkVe4K+eLL + KgRxpQAAIABJREFU29l1AAAAAAAAAAAAAAAAAMCLjgbEAAAAAAAAAAAAAAAAAAAAAIBbYRjzMOZl + PeRitdb79++XUlJK7n44HL7vv/ov/9mv/qokM0XE1dTq10kpnZ+f9xDrdV3Hccw5p5TMzMyWZenr + 9Eef1c4BAAAAAAAAAAAAAADgWRgkHWYt61lK779z57Dbm2Qh67HG1jOLe8RxiqeIJpaS3ORhHuY5 + lEJVml1T0qnUwj0Vm6aXH9z/2U/+jkpWazrZNK9LXYdxSvaGPpOEW1+PHkHdA6iLlPu9pmyqqyJM + WRrifFNUhra2HMrhPf5cr71ZeA9H79PJn+qgXcm0fii7dKhqLlNrUlYaBg8vqbzN3QcAAAAAAAAA + AAAAAAAAvNCItQYAAAAAAAAAAAAAAAAAAAAA3AqttZ423Vprrd25cyfnvN/v79y586M/8uP/5J/8 + krskRcjsy3cU7rnX0zQty9Jac/daq7u7e865tWZmpRTvGwUAAAAAAAAAAAAAAMCLz11aqiJrbe+b + xgf3XkmhKRcp9SzhY8ixK5KUnqjlY0hukmShFBHmYZEjZdc4yJuWppKstbZKu5L30yC5StZmiKip + lFSmpjcvVnmqfG08ThwjqV9zl0kmXzQNGkpWrdqWH/4Xv9nKuB1Ok5SPwdWS3BRX4qtTqKeYv60x + XQxBLu/Tqbi+6au+VrtZoeruNSQlKwrqmgAAAAAAAAAAAAAAAADgViPWGgAAAAAAAAAAAAAAAAAA + AABwK+RS7j94ME5TSCkldz+sdTo5/cmf+Kmf+7l/lNLVTq2P6yns7uM49vjqeZ6HYZCUUoqInpxt + ZjlnMzOzHqQNAAAAAAAAAAAAAACAGyAnKZnW9Vv/0leOtd4ZNhFerKTXVZuYJMWTZ0mHpFCWm9yt + XaRcS23VZpNDqh6uUBl24/T3P/0pbUZZtGgqQ1NyqYbHZYj1a17f7RGJ13hqcbwppGbyizmQk8y1 + 1tBm0lS0Hc5zubfOpmRSOqZfh10kW6uXK4VSXCReh8l7SvoTsng4F/uWi/sHNai6wsdNSqO1WkMR + 8XYCtAEAAAAAAAAAAAAAAAAALzzaYwEAAAAAAAAAAAAAAAAAAAAAboVxHCVFRE+eXtc15/xLv/RL + P/RDP+p+kWk9TVPOuUdWP2o7KaVlWcystSZpXderD9VaJfWvy7Jc8z4BAAAAAAAAAAAAAADg2fGQ + 1lVr+zc322FdYl2HNBzqbMpScrtIkranzwtOkkxKcplLLiVTiVBd2mZbDtJYhvN1fSWZhkEKlZTK + 2JSqvKkVKw+jkIktvmb9CF3o77YpTEqSayq2StWatpsvRWg8O+ZZP5whoYfJ1tZjrSXrdz55prUe + DiEk9Vj04poe7NUk9928eMhySoqcHlkfBQAAAAAAAAAAAAAAAAC4DYi1BgAAAAAAAAAAAAAAAAAA + AADcCufn5ycnJ+5ea42U0zD+6q/8H//F3/2+nBWhUrKkZamthZR6ZPWbcndJEZFz7unX0zT19Xta + tqRSyrqu4zheDb0GAAAAAAAAAAAAAADACy2ZVEyv3jtb25SUrLn7ZjyLK60d7WGfxyft95hcKSyl + vpGQzF0K6aU8WdOr+1q2eV/rnfd/8C8UmjaaNi1UQ1JKKqacpfB2DLT2i5u5CLm+XhfHuklNUkhJ + 0aRInjYayo/94R+8Ylrt9VHVDzOtpSTlUOrfR/In7xfac6x7MHYctzw2vV9Fa1NdNlOxpJTSsixP + m5oNAAAAAAAAAAAAAAAAALghiLUGAAAAAAAAAAAAAAAAAAAAANwKOefD4WBmpZR5nn/7t3/7u7/7 + u1tTa0pJ69pSSpL6155X/fittdYiQtLhcIiIZVkkbbfbeZ4lDcOwruswDNe+YwAAAAAAAAAAAAAA + ALh+Jpma2vrhr//6rTdrSyhSSrvl0LOjJdnDgOunTQ2O5Mfnp1BIIS1t2ZSpSgd3GzZ/du/Vn/2D + P1SSkslKsrSuS5IGpSSlqy9tFwMh0/qaJMmUFBdvdUje73WlpGSpypWyhnJ/O8w5ve5AxGuPlfoU + CoUp7CKm+sm55JfPzRGna/3ef/8/ULQk9/AWPo5jHykAAAAAAAAAAAAAAAAA4NYi1hoAAAAAAAAA + AAAAAABvlZldXb76LQAAAAC8e7i7uw/D0AOqzazWOgxDJItkS/Mm+6PP/clf+7a/fu/e/viUiydG + hLtL3lrrv/X0rz2d+mrWdV+OiIhY19XMxnHsD03T1BfItH6Xa625u5lFhJldTTSPiFJKX83M3Gnj + CwDPXz9X25u5uloEDfkBAAAAAAAAAACeXr9E3osoJNVav+xTzGxd16vf3txLNp7kWpdhWU6Sao1x + U3a+bMbt1R22kMVlnPST6aHHLoWUQynk5i4llUOdsylvTv8i2uHsVKWoTLrIsdZmGIuUeyiyJckV + fjmCnrXshFu/01I/yv1ttaR08SaHHZt9tthqkIqS7p5s5iGvUlz8RYbclbPVkNtxG2+7SWi8Ydql + 8HFdp3nWupoiW6qrS/LW3t5LAQAAAAAAAAAAAAAAAABebMRaAwAAAAAAAAAAAAAAAAAAAABulGma + zOzBgwcppWVZ3P3s7OzBgwfTNKWUIuLll1/+lm/5lnv3dmayR/cPjojT09OrfZZba5J6oOayLD3t + eJ7nHoeMF8uyLDnnlNK6rqWUnmh+mUQ+TVOtdRzH3nebQwwAAAAAAAAAAAAAuCVSSr0WIiKWZSml + 1FpzzvZokoZhiIheZdFrKp73flyPcNVFFndKKh6bQfNcp1T2y66HGae4yKVOoWOW9NNoJjdlV47+ + ra+KlEsK3b3/YL5z8iO/90kNRXmQ0kWKdvQ45cuhHlO17WIcBFpfh4sU6v7mJrXj4tJUmxTKZhZJ + q+vs5Cd/57cOY1lNaZyWFkvVdirLEqUoJH/bPzRXtuBxZe7l0Ca8LItMaq7QOBZJKeennqIAAAAA + AAAAAAAAAAAAgBuAtkoAAAAAAAAAAAAAAAAAAAAAgBtlXdda68nJSa319PR0nufdbvfSSy+98srd + UDrfHf6Tb/zmu/ceWJbHReveK317/fKWUjo/P885922O49hTkN29d2F2d0k9LbvW+px2F09pHEd3 + X5ZlGIZa6zAMZraua2utB6JL6vefn5/3Yw0AAAAAAAAAAAAAwG0wTdOyLCmlcRx7pnVrLR7BzHod + Ra3VzNx9HMfW2vPeiesRobpq3k+trfPstcdFt3EoYS7JpOxSPH1EcX9eMzVTkkooycN8p7panGxO + Ts7e93I2jUmpRHNdpln38peQwhV+sSGlN9zwjumZ1qb+tj+MtzapZJUiSWqhQ1Mea121nb7kdR3K + /XnejOOUbT3UfNza5WF8W0UqV4ZxMchQkkLztkjrqsOuPzwve7+pP6cAAAAAAAAAAAAAAAAAgLeG + skIAAAAAAAAAAAAAAAAAAAAAwI3i7tM01Vp7aPHJycnp6ekX737pgx/84G53+IZv+IaXX375rYcU + 987LvV9za83dSym9I3PPt26tmVm56ESLF8w4jpJaa7vdzt1rrRGxrms/uPM893D0lPj7CwAAAAAA + AAAAAADArdCrI/r19Fprr4jIOadHcPeTkxNJwzBISimdn5/nnB//Ki8ql5r/za/9ujKv75k2PTB4 + Mw6H9RDmbpHiIk46JMnjNfnCb+kFwtzNLxtF9tTkME2btIYfDuu9Zf3J3/89DYPKYKXoan725avZ + 8au9/j688+I1/9oxP3xe1tZc2ZSKLOftibzp7KRNQ9lsDss65tGkkFLI7eHtbXKl0OtmnmdZrIdv + /Hf/ivKgVlv1aZzSTf05BQAAAAAAAAAAAAAAAAC8NbRVAgAAAAAAAAAAAAAAAAAAAADcKD122t0l + 1Vq32+0XvvCF97//g6988e7f+lv/+Wc/+6etuUKtahiGx+Rb9x7N7p5znuf5svlyrbW1JsnMWms3 + thHzLWBmktZ1TSldtuHuD7l7jzDv9x8Oh+c4TgAAAAAAAAAAAAAAnpl+6TwiIqJfNJ/nOSL8EXLO + u92utbaua0TUWk9PTyOeNM75BeGupZ0dDieumNeQsml3WLZD7jnCpmSSQm4Kc5lLj65NecRrSJJS + 8pKUJIVJpnX1iPBhPJRRKWu7Pcxzf+hRt6vZxul4I9z6uhzf2SQ3ebhP06Cec55tmfdS0jD8/V// + 9VfX5XxdyzjtlnmyYWup1y+FqV2NIX8bP0OX8dgWFx1HXW7yD5ycanVZKiUpoq7r078GAAAAAAAA + AAAAAAAAAODFR6w1AAAAAAAAAAAAAAAAAAAAAOBGcfd5nrfbbUppHMfdbnd6enr//v2PfvSjn/jE + b0xTrlWSzs5O1sf2Zk0pLcvSs6slXa7s7mY2z7OZ9fbNy7Jc+17hnRYRPdZ6GAZ37521JdVa05Gk + +/fvS9psNs93tAAAAAAAAAAAAAAAPDNm1q+q9yvpm83G/ZHZzL2sIuc8DEMvpej33Ezh2u3fE3ZW + xiIbJW86m9KyNpmHuR3zo+MiWvjJMq17GnGYW5jJpHQZiz2YhmHYye6bNGwkDSfbegzTfk1atUlS + SH68XawSZFpfjyvvv0m5zwBLCqWcl7aqaDzZSpJljYNPY95s56VmpaQcIYWix5BfTIDj1kLpScKt + U6i3GL2aaC7JpTKkiDaEdL7TsvbBlGF4u/sOAAAAAAAAAAAAAAAAAHiREWsNAAAAAAAAAAAAAAAA + AAAAALhR3D3nvCxLSqm1Vmvdbrff//3f/yu/8nEzrUtTKOf84MFOx1bCb1pd3zsyR0TOOecsaZqm + 1pqZ3b9/f5qmdV17I+ZxHJ/xPuLt68e3fzWzHnEtqZRyOBz6zJF0586dx8efAwAAAAAAAAAAAABw + kyzLYmYppXVdSyn96nk82rquEbEsy+VqV6/C3zSuv/31//F7mu3mB0U2puRSnf1ktGN+tJJSKIWl + sIuU4id7BZOkHMpKLnMlT5KpVKmudTP8g09/SsnWGiFVqV1mGJtkLnMdM61fk20cV77iHWdSzyF/ + GHLt5+f3XFIZduFz86ghT4rwUh7M+2EzbdO0xMGupI33ZOu3z48TLx2Ht1s9Zau7nTYb5UGhcI9H + x9UDAAAAAAAAAAAAAAAAAG4DYq0BAAAAAAAAAAAAAAAAAAAAADdKzrm3V57nuZRxszn52Md+4Bf/ + 5/+1upKZu8wUEZLMrJTyZbfWWuvrHw6HvnDnzp1a6zAMOedaq9Pj9QXUo8pTSr2h9uU9tdbNZpNz + vuzNXUrhEAMAAAAAAAAAAAAAbolxHFtrkoZhWJalV1b0eok3VUo5HA7jOPbVzKyHWz+zAb9d8fDf + 1+RAyy+Tqo+PuWId9nvbnb+koSkO7oM0ZO2XMMni4mk6Lj+1dBGNLJdf5hNX5ZfVNA1SHobRrqx2 + ZT8eLtiVR3VDc8bfba4kVMfp2VlfTJZKTimZIjSd3humPEy+zDufx7xd1MaUJbnJrsyc9La7haaQ + 5ElJkXJReP1gztrt5TVclpKlQktSAAAAAAAAAAAAAAAAALjNqCEDAAAAAAAAAAAAAADA0+iJXwAA + AADwHPVIaTPLOYeazEPNozaFm2ooj9NS/Wf/h//xp3/2H5tJodZCUoR6SnFEq3WR3CzMrP+mczXo + umdaD8PwxlTjy9VKKSlRnP8Cu/wN940ToC/3lPTnMjYAAAAAAAAAAAAAAJ69nHNf6GHV+nLV45vN + pi/0y+s55xem2jzU46tD7vL2MMjaQ03y2kIhubSGYtW6Ows/kararOpSM62uwWShJK/yKpe5yS1k + 0aOF36oUSqEcSVL0MZhSSCGX9nl68J73ajNJpkiqyyTPx/jqY/h1MiVTShe3K9HXRrj1O++172h6 + eLMspSQN0tT7fpo0jTp56R/8zu+N47jJscp3UQfl5q0/Obuyp4sDGk8cju6mMIUpxcVxN0nKUm6u + 02TvO7/34X/nL8tXV1Sl9cmm5w3Xy4R6kZi7t9ae94gAAAAAAAAAAAAAAAAA4NrRVgkAAAAAAAAA + AAAAAAAAAAAA8ELa7/d37twxs3Vd3T0izGwcx74sKVn5p//0f/uBH/hBSW+IpX6olBIRwzD0Z/Wo + 7N6otLXWNyipP/rCtF0GAAAAAAAAAAAAAADAW+Wvy/d1b5JyNnepSsni7itSjF5zeJi3HiHco7F1 + kQ8c5mEueQ+oforQ4BRJkiuOedoXwclRpn0q/91v/6aGomGoh0POqWdyv+l27DVx17hmb3yj7eHd + Fw+alJOGou321XnX3C1Zn0JjGaIHUEfKujKp9MTJ1g9fP5SkFHKlpqJsMcfJod2pTWrKFreyG2k8 + wrIs8zyv63pZG9Yryp7vaAEAAAAAAAAAAAAAAADgut3CQjIAAAAAAAAAAAAAAAAAAAAAwE0wDEOt + dbd/kIsNw5BSWlvsDkspYymjN3384x//ru/6aGuSVMoj6+drrcMwLMtiZmdnZ5IiotaaUjKzZVla + a71L6bqutdZntX8AAAAAAAAAAAAAAAC4bg9LSuzKNzllSfO8hkmDVJud3Pmmf+9D+c1TpN8xPac6 + JL9M2g410906t+2kUtRWKcp205qHiN19oYRUQ6Z1M54rhjSUMFe7X1c/BlGb1ExLfvpX6AnZPVXd + pGpek0eNUTrJ9tLpqaI1eQ4lJ/X8Qq8969ViklJK8zw/70EBAAAAAAAAAAAAAAAAwLUj1hoAAAAA + AAAAAAAAAAAAAAAA8EJKKS3LMo5jKeVwOEiapunk5GRZlv1+/5nPfObbv/3bW1MpktTa45oK94ak + OecHDx6Y2Xa7NTP3i6dExPn5uaRhGErfHAAAAAAAAAAAAAAAAF50PdQ3kitJSpIdc6JbrZKmaUg9 + ajib9vuvsDFfZ5C0m8IUJjePY+CwhZrJz86+6KuKaZyUsiQrOZRoKfmCySYzPzudS6p1lbech3G8 + qEfqx7IltaR424nTfWt9Rk3TlEJew9eqZFJNJpMIRr+0LEvOPcx+Xtd1mqZeUQYAAAAAAAAAAAAA + AAAANxg1iAAAAAAAAAAAAAAAAAAAAACAF1Jti6WYpqnWaspDmQ6Hw/n5+dnZ2R//0Z9+4zd+07JE + KalWheSP7sE6DMOyLJJqrZJKKfv9PiIkRUREtNZOT08lzfPcWntGuwcAAAAAAAAAAAAAAIDr9rCk + JNnxjlDKZej3rmsvImlqOltrcb/mAbnkMpcevlCzcjfpH37qXyhcCpn2h33I4mEMN14QLZTtv/7E + P19PNjkPg5V9W/dLTdJlYnpIcTEPnjjc2q5Esx8nUJj8/DDnbNkshVTXwUNxjHWHJGkcx15CNk3T + MAySqBMDAAAAAAAAAAAAAAAAcOMRaw0AAAAAAAAAAAAAAAAAAAAAeCGZmZnVWtd13W63vZHodnv6 + 6d/7g+/8zu+8f38vqVbP2cws5/yo7azrerlCznld13EcSymSIqK3K22tRcQ0TY/ZDgAAAAAAAAAA + AAAAAF4wJpmiN2a8khFdW+0Lw2BSyKua31m9+LMLkvaLnO1ULZ9vBp1sNI0yq+u62W53+wP9JF8w + JhWTh7bbQxkOrXl4TtpMKflFHHWfXhYK8yfNtL7K7SIeO4VSxHbMq0dVWLiazH3d7Z1k66OIkDSO + Yy8Sk3Q4HKgTAwAAAAAAAAAAAAAAAHDjUYYIAAAAAAAAAAAAAAAAAAAAAHgh9c6hrqRUDutyftif + nt75sz/7s+/4ju/43Of+32EsZcgh1RY5D609rqdwRLh7SqlnYy/LUmuNiHmex3HMOaeU+jp9BQAA + AAAAAAAAAAAAANw0cZEq7FLJ5fJus9Bh9z3/4X80nu+KX/MY7GHScLoYT6qp/Kt10VjkLktlHCRt + NifNqWN50USoZKWyd4syyCyFltkHVw41qSnlSPmi0OnJZlsKWRzjsU01qZlyeHHVpaUhHUKS/sZX + fY3u74bNFEnPLqT93c3M3N3dc85mtq7rZrOptT7vcQEAAAAAAAAAAAAAAADA9SLWGgAAAAAAAAAA + AAAAAAAAAADwQuotRN29lJJS2m5PP//5z3/Ht3/493//c6VoWWqtTVIpxf1xPV7NLpoB92TrUoqk + iGitTdMUERFhZn21nqUNAAAAAAAAAAAAAACAm+SifOQY8+vhkuraTJI3lWHYHe4o5bjGXOurscSX + 97nlJeWf+8xnVbLGUWatxbpGMplRx/KCiQiZ5L631EppERGakpKUj7nqyZU92Zff2Jvyy3+ayU1Z + yvJhyOezW9KUy2atSlnrTCj6pdZaSqnXmPVqNEn9KwAAAAAAAAAAAAAAAADcYMRaAwAAAAAAAAAA + AAAA4C0xs4iQFCFJOeee6/achwUAAADgFhiGwd1rrZf39JDp6srD1FrvsJoO++W7/s53/87vfCpM + a5Uufn9JtfrjY60vX0WSu8dRT7C+DLQ2s5Qowr+Z+hHvy5cNaq/ec7kMAHi+Ukpv/DjuzcQvT+DH + /xsAAAAAAAAAAAAATy+UpJRzlodCWuu4LidSvuYKgiFZcw1ZPf44ZMrTnIuGolyUsqScbRwsScVE + Qf+LxbJkSZvTr/jKf6uGlCyZwpUlO95SpBxKTzXT0pv0GHU7Zl1P09aX+dRdrfW5hK7XifVLzykl + /lIGAAAAAAAAAAAAAAAAwC1BRy0AAAAAAAAAAAAAAAAAAAAAwLvaPM8ppXEce4xlj6vsiZU552ma + WotW4yMf+cgnPvFrkvSETV0jIqW0LEvvT7rb7STRnPT2mOe5h5f3PNSUUp9yZlZrrbWmlIi1BoDn + LiJaa7XW3W4XR+6+LMs8z+u6Xn52l1I4bwMAAAAAAAAAAOCJmJSO0b9dsvTwQXe1tjVFnS38jU9/ + xyXZlLVIymUvnbspZ+WefSxFz7vGiyYUa5WHIj72v/+ztN286ktKPb9cr8uktpBJ9jRXPv1yC5JC + atKyttMhz/vDpgzZq1qVWbRnMZkBAAAAAAAAAAAAAAAAAO9axFoDAAAAAAAAAAAAAAAAAAAAAN7t + eqB1rbVHUOech2Fw17LUw2Ex5Y997GMf//j/uVbZ68vk/crty2itRcQ4jsuyXMtu4N2kh1i31qZp + MrM+r3q+9Z07d8xss9kMw1BKqbUScw4Az10/V6/rOk3TZWp1a20YhpRSSqmfq1NK8zw/15ECAAAA + AAAAAADgRXQsLDnGRrt0WNZk0lJ1f6/mo3y4/nGsNbbF3GNu2qa8NH/Q4lCKUgmV161MNcMLx3JR + LiqDIva1vXfctlDJalK7iFeXm7lSkvKTZ1q75CZJKS5ua1JLmoZszbMiDutgrmUn9yknphAAAAAA + AAAAAAAAAAAA3GbEWgMAAAAAAAAAAAAAAAAAAAAA3tVyzjpmWuecU0o9zHIYhlbj9OTOj//4j//C + L/yipFKSf/n06tczM3fvGZkRsSzLOI7v9E7gXadnpeec+7zqk8rMSinrukpydzOLiFLKZX4qAOB5 + aa0dDodhGPo52d3neS6lSFqWpf9vYZ7nnnvdI64BAAAAAAAAAACAtyhJJn8Ybi2FUr8apVQ0TH/j + 677usD+vuvYo6UGKGmvTNKaUc5XF6clPfPKTSkO7SD3Gi6xWLYvGjTYbOzt5ddm7a24XSeq9PCWk + MFmfa29jvlkkSWEKU2utepzmk5NxGpNpzNrvHr4kAAAAAAAAAAAAAAAAAOBWoioRAAAAAAAAAAAA + AAAAAAAAAPCuFhGttZTSOI4ppdZaa21d22G/vOc97/3pn/6Zn/qpn0lJram13mk1vb5a3h7X47Wn + Y87zLMnMyLS+JSJiXVd3l9Ra0zHiutbas1HdPSL6Cj0DGwDwHOWcN5uNpN1uZ2YppWma+gl8HMdl + WSRN0zQMg44ndgAAAAAAAAAAAOAtiZ5pLUk6xgk3haUcq1RdS5uqn945VX4GKcApWdqM6d7iX1qX + mKZ1MyolpewqUlKky/htIolfPKVoGmRSKv/f3S9uXzqLJDM102pqx0NrT3tok5SOzw0lP9ZQuesk + j3ObvbbzV87VXNPmujPaAQAAAAAAAAAAAAAAAADvcrRVAgAAAAAAAAAAAAAAAAAAAAC827l7zxVe + liUixnEcx3Gapp//+Z//gR/4YTNFyEwR8RTxw2YmKSJ6frakdV3f8V3Au42Z5Zz7hOlfe8D5ZrPp + 06C1NgxDzrlHpQIAni93X5bF3U9PTyXN8yzJzPrZexzH1lpfPhwOOefnO1oAAAAAAAAAAAC8gELm + l4nRJnPJiiTT0k6Hab8/rya/5iTgVT6Ht/Ap685mu8i/tM7abGSvLYkxf8QG8C5m3rzKcmuSZZ1s + H/i6usasluRJNamaSalHU7+d2HI/zlULmTQkK9N4UJP0b7x08re/5mvl9SLCHQAAAAAAAAAAAAAA + AABwWxFrDQAAAAAAAAAAAAAAAAAAAAB4V7tMqnb3WmuPIr5///4nPvFr3/M939czrT2UcpGSv65n + 71vrvlpr1THn2N2HYXjH9wLvWmbm7mbWA84Ph8M0TZJKKbXWWus4jj0nFQDwHKWUxnFMKS3LEhHT + NK3rmlLqp3F3zzmb2bqum82mf7IDAAAAAAAAAAAAb9mbFAYs66qQZCpjPcwtFPnax1Esn25O5lVz + 04PDXmVow6BkSleGaI8YMd71UilhyllS+m8/9cm/WOZpUq1SqFmas2qShbIspPbkmdMWsmMkdk0K + 0+AamhaPu7sHJU/NazrfnzWTVJlFAAAAAAAAAAAAAAAAAHC7EWsNAAAAAAAAAAAAAAAAAAAAAHgB + mFlKKaVUStntDp/5o89927d9W2teSpaUUuqJ10+x5dZaf+J+v9cx5PidHTzenXpieq11GIaIaK31 + aPP5/2fvfn8l2/L6vn++a629q8453T13BjBgBs8AMwwz/ExkGz/Lf4HzIGOIQ8ZYJiQkxgODMnFk + hMHGgGU5lm0kiycRCsZO5FiRbEVCshThSDGMB8IAFsgCh2Fm7ty53eecqr33Wt9vHqyq6tO2awoM + AAAgAElEQVR9u/t239unT5973i+16lbt2rX3qqrd65y+a63vZ5qGYai1RkQppW+/6sYCTyX2fx5+ + /PATj3rl4w5yGa18w4ZHNPMRmzzkIX9s+57+/eIaaq1FhCQz693yMAzu3lpLKfWf3e5eSpHUbwEA + AAAAAAAAAICn9oiJAathaC7JtWxueVsVixcyCnlve56zxkFhWrL+zqc+pWGQUr7f0iQle2Sj8VJL + oZAUTXJXi/GVW812g9u7RGqLJJfC31J4edJu1pNJKXS4TtYlD8PQog05lRbZm1Jqz++NAQAAAAAA + AAAAAAAAAACuI8pzAAAAAAAAAAAAAAAA3FwR0WPhJJlZPLHI1iEz5n54jCzCqYUFAAAA4Hnp/7rY + /cvE7gdLb6ftu9/97tdev5dzzuPqbDv9wX/4wz/30e+ZphbSvDRJ4S6pteXhg174h87hXzQppYjo + /ya6mGB9dHSkC2GZuCFyzrXWfr+HW0s6bNE+/Rp4qYUkhcml6MWpd52qyy/s1q/leNQlvSuSrdD9 + VyTJ9tv1wIGeLF38f0x28fgPnW6/x6HZ2t/J+xzrXTq19bbtuuzcW7d7jcfF/z912F9JduG4uP5y + 3lXpH4bhsPHQRfcca3psAAAAAAAAAACAS/LkqRQXJ6L3+Rj99vLb9TzswoOLyfejqi4pK9WqMkh1 + kW/uTKdHHpedA2yhkuQhqTT3lvw8S8fHyoPcS5Js14T9eK7vxkZxbaRwJZNkykdbH7emISt7slCR + y9wtqX+/b+mbTf2yCKWwPmzuJnmr3o5KWWodkrXZ5c6Fc9F2u12v15LcPaXUWkspXZt+DAAAAAAA + AAAAAMDb1lrLOZtZrbWvWo2IlNLFkhQAAADvPNTpAAAAAAAAAAAAAAAAAAAAAAC81G7duvXaa6+N + 42iWvekLn//iRz/60bt3N/HmL31ARPRETHfv1ZNbaxcLKwPAdWWS7dKs88VM64s9XEpSklKYwnYv + kS7mQO933B/nYm3meMbbxzfVJZdd+CNPF9py/x09+O72TTs08IGGPXiK+68K0yVXlQcAAAAAAAAA + AABuOjPrNZ0lRURrTQ8GXb/s4jDWmfq9kEtKUikKSbF8/CPfeCIvLm9Kl/zOFm9NSqksLdxUiymZ + ht5ED3lIrSdvhxTUzr5m7JARn0J5dT63ZrZtkpRDQ3gOSXIp7E0H4B/BTT2t2kJZSZIrhbR1DUle + qyR5pJRkaVmW5/S2rr1a63q9nudZkpn1qWVkWgMAAAAAAAAAAAA30DRNpZR5nodhkESmNQAAeMcr + V90AAAAAAAAAAAAAAAAAAAAAAACkxxdi3ZxPQ1nV6qWUV7/42nd/93d/9rOvpqT2jAsASym11pSS + u+ecz87OqD0K4B3Btc9uNvXO1BSSkkyy1B/typrv3Q+uPoRAy0PJ+l6x/5Nc+zrXSeoP3vRWD55I + kpn2z/g+ozpCMrmULDybQsmlLIXSLCVTUVIv1b3Ptk5Kvt/y4Bn2bPfu+4cS+z3o7gEAAAAAAAAA + AIBLUkpJKR2CYFNKul6x1o9X5xhHk8w8Wlty6Gi0ulzuWxtSXo+r17bnKQ/5eFhiN+B6cTw29YFS + xkGvp4g+RO9qS16tssb1OMVzCpjejaf38fgLl+rt43E6n2UaSpoWv31you1mfXxy0y6iJ88W6x1X + 38fde5/2gloGAAAAAAAAAAAA4KrlnFtr4zhKKqUsy3JycrLZbEi2BgAA72zEWgMAAAAAAAAAAAAA + AAAAAAAAXmrr9XqappTz3ddPP/axj/3u7/5+SnoL1Y9rrf2OmU3TlHN299baMAzPucUA8GKF3Hah + 0pKSIu6XNd9nPL+RS9n2yc+SdiHTup88vUvJdintD/20txeOefDAM7G7zYcgbTPPu9Lrng4724UX + hMyUpbp/t4fa7XFhZ79wfFHIHQAAAAAAAAAAALhky7JIyjlHxDzP4zi21nLOV92up/aGMUXro5BN + q2IxuzzGoeTZLOKyM63DdOptvczFchvyq/fOf/IPflMph8vsUcOfkRgTvUb6ALz1kfpcNI7n87S0 + ejbr2C6M3psr0hOO89Sn893RpNfP5+PBzOP1xe8M5fz8XK4cjKnv9PL0rTV3L6W01kop8RYmqAEA + AAAAAAAAAAB4A7NrMzCZUuoh1qWUUsrZ2dlVtwgAAODSEWsNAAAAAAAAAAAAAAAAAAAAAHg52Bty + VyNJ2sxLGcbzs+0P/MAP/Nqv/UbPVn0LVUOHYViWJSJqrSmlXkM5pedQBBYArpYpSyl6tWnrVcvT + /imZlCXFxW429WLZTUq2T69WknlI7cJB9089ayFr1yFm+6Fa2w8WVXeTlJLtE7X3z5bYteGBVu8q + fMuzvL8hKT8mtDurt78/SVcPAAAAAAAAAAAAXJacs7v3aRjjOG632/V67e7XfUpGSlLIUpJ7ajGm + PC31OKs9coTy+bm1Gk+nuZTxrC46HmRJdbGjorg/onptCn7jDTy8WFJI3mRuq1XSvC6b/VD92z5+ + /8/FS8Qk6bjkaWknY373kM63y3B0pJxVF5XhRl1Pj+uXWmvHx8c555yzpF6e/uTk5MW2DgAAAAAA + AAAAAMDV66OKtdb+cLVaTdN0pS0CAAC4XMRaAwAAAAAAAAAAAAAAAAAAAABeaimluvjHP/7xf/Wv + fiUluUtSKWmpz1YneFkWSe778q1mfeMwDM+5xQDwQh1qLqfewcX+sUlpV6HaJVfELgvaZNqVar5f + ntpcSi53pZBMSVKWm70hmvrJzBW9IT3Z2qWkfUz1rn0mC4UpHTKzLfXI6na/zReaF/s3EzpUabf9 + O0/7wtyHN34/kPuQan2TynADAAAAAAAAAAAAL1hE5JxrrbXW9Xp91c15e/qAptybpWQKV1Ob5rrU + 26syT/WyBx/Pp7lYqm0ut47LrWMptBpaU84P7GbxmNfj5ebunlNO0pDVymmtZ5vNu5K8KUn+9q4u + N0UfUn/D5VFrW+d0d27HqUnKIZnJbD+yf1McZo49JKV0fn6u/Vwydz85OWmt5Yf+4gEAAAAAAAAA + AAB4dr2ww8uvz3w4PLx9+/a9e/fItAYAAO94xFoDAAAAAAAAAAAAAAAAAAAAAF4+kSS5JUnh9qM/ + +ol/8S/+paQISUqW6jNmWksqpWw2G0m95GhKqdZKpjWAd4JI6jHPpoudY+zrT5t61WqXuaRQVQ+u + DvXnw9SUXAqliyWZZyWTBnuWkuiRHijjHpL8/pZDNWxL9yOr2+6pMIW0SDLlXkd79yqXqUrNFFJR + yg8mXqddcPeFM/TDxoMnBQAAAAAAAAAAAPC8tdYO99frdWvNzFK69qN0KZtqaF7kfrIabZnPpzqY + LjtOuuQyrMbt+fn5tP1cCq2OZSlnKXZDnyZPSrLdaCmul5JL85ZTVpVy+vnf+szf+sb3b187Hx/6 + Ks37TADpmadISUr9Mo3QPio7S635nXFIKfl2imVWc21nHa11k4KbH1cuPyL6U6WUiEgpzfM8juOL + bR0AAAAAAAAAAADwzhRx2SPtz0etdb1eL8tiZrXWe/fuDcOwLMtVtwsAAOByEWsNAAAAAAAAAAAA + AAAAAAAAAHiJRfqZn/npX/ql/y1Cq9UwTUvJRZK3Z67ZOs9zLz+aUurJ1r0O6ePKlQLANWV6ZCF1 + O8Q7+8WgZ3cpmVTUpKaQ3ORSSKFiUoR8fvQhHykOJ5F6LfXDnx5AvWvIIf26KIa+g++LrsfuML6r + w267iO4qmZTV47nvn9FMab9PP3c+fBD08QAAAAAAAAAAAMBlyjm31rbbbc+0zvlaZuQ+MIp6YCFL + f/7bvv1D3iQdDWlZ3KS4zFFIdz89Pz9eldOsOLmlnHqJbzMp0oNjpbiWUkoKKZmy5HWa63sGRX2e + X65pN+ge+4hrC0lWa5091tLJuP7uj3zLz//e7z2vM14XTyiXb2bLsgzD0B+O48i8MgAAAAAAAAAA + AOBGKaVst9t+v8+F6BHX1yWWGwAA4K0h1hoAAAAAAAAAAAAAAADPzExm9vRhRgAAAADwlIZhOD/b + rtfrzbwMw5Ct/KN/9I/+3t/7uf7sNC0hLa1KSUr79NKHHVYG5pzdvd83s0OZUTM71FCm9uhN1loz + s5RSr0JrZu7el5hGRCml1iqpb0/pEfWrgZdEmNdWSx4XbznlHuXcl0iHK5JMSba7hqu3sCyTXNlc + yyxJtWqepVCrclc0bedPfud32mY2X0p6hq7Swovv+uiaUk1WU5pymlP62X/7qypZuag1rUclKaQy + KodKcYWiZUtJEZL1POpwpdKkuc5DWSd5VrL+f6U8FKGUFAp3G9MhuDukZsq5p1s/QyQ3XnK9r37k + +v+LG6ktDgAAAAAAAAAA8CK11iSt12tJfT7GO2K8JmQuD7mPHiXMQq1eap71/sQRJaVpqvldRxuT + SlLaJ4U/4vRMZrh23Pq3lkyRNI5uKfz5XVkhk1LIpHbhkslSyFpoTAqPmKdbx7fVXPHoSPcbKCIO + mdZ6h/RjAAAAAAAAAAAAwGUZhmFZltVqNU2TpHEc53m+6ka9Xb22QNfnQujB5atv1EsTHB72NbAM + NQIAgOuFWGsAAAAAAAAAAAAAAAAAAAAAwEthHMe7d+/eOrnTl+qVPP7iL/7ij//4TzzrcQ6BxK21 + vg6wlLLZbC6jzbi+5nkex1HSsiz9gunVad1dUl9DO47jsizDMJBpjZdZyF1u2cLraEWheVrG9bA0 + LyV57hHXYU1aZimVZKoubzLJq5prOv+ej3zzbTOd3/uyWyexOV3L75ThK05P1x5DPFsB7RQq0VOz + 1Sw105zSkvOU0099y7e/uj3Xamzj8MXz7Z0vf88ffPaz/8vv/q7WR1qtUl/XbWZJ5q6UVZLclVNd + 6npYu2Q1hiKPGu45FympNoVZSdGUs0Ly3ceiuq/QnexR1d0BAAAAAAAAAAAA4E24mhf3tBuHlKRL + jrZOR0fHr29Obx2VL8zT3//V31bOki6WvE5ykxT7mQyMhl4f9lCCdDKl5/n9pZDbLtO6n8v323Xh + SjHJwsfmcteTCrADAAAAAAAAAAAAwCOklJZlyTn3TOtSyjzPPdH5qpv2ovVM61JKv59zrrUOw3DV + 7QIAAHgGxFoDAAAAAAAAAAAAAAAAAAAAAF4Kr987Oz65PTePiNV49Mu//Ms/9EOfOJTlfXAJo7/x + 5QfDMCzLklJy974OcFmWy2o0rq1xHN291jqOY18dWmvtl0pKaZ5nSX372dnZ0dERydZ4iaXqdUzF + lHS21bgec273Todb66Y2+zKmbHWylhSmWbp7T8o//JFvWpmlxXP4ndsn73vt1XfduV3God59rbiP + KU2nmy+/dXR+vrGhqNanb42b5guFuC00ug+udW3T+eZ9w9Cmc8vpa1qz//DZDw7Dz3zjR86PTz53 + epaH8ad//VOKppK0OlKpUlHJ8rYqJSKSbJ2yQktKkTRpHjSkwbKSQtZCZiZlSUkhNan1D+g5f+AA + AAAAAAAAAAAA3kn8MYOKLoXci7uFXAp7ERHAZ5vTUXa2qeuvuK1xpVyUVKtKIYD4ncCk3SXXo6ct + hZJFigf3eOsiqQef7050OGoKJZeb7RKvS7jaw1OyAAAAAAAAAAAAAOBNubuZtdZKKT3cui/Yv2mx + 1kdHR5vNRlKtVVL/KFar1VW3CwAA4NkQaw0AAAAAAAAAAAAAAAAAAAAAeCmcnJy0FhFRF//Mb376 + e7/3vywlufuzrl7sycRmJiml1BcBLssyDMMltBrX2ziOklpr7l5Kaa3lnN29h1jXWmutJycnV91M + 4ElMKlZMadlshvVKUaXIq6T5PMdyVLJONyqjJv+eD33keIqvGsfju1/6hpQ0TcVS9VqW8xzLenM2 + 12lwrYZUwot093SzKtrOdUhKT90V9/LYYbKQevX3kIVLupUGX1xSq/OqrKZpqtOSpfHu+e1hyMo/ + 8fUfWI5WdRxf3Wy/9iMf+vgv/RMdr1VWcrfViXKWklJTWmQ5Kbmiqg4awn3oRdx7O11msrdZ7BsA + AAAAAAAAAADATeFScik/uEXhiijhJpfk+2HQS7VKY0SMY/vD0zOlLEuSyv2ykS6F5DIp0tuNQMaL + 5g/EqFu6eM09P+lioLUkN6VQSLFP1baIwV03rKY8AAAAAAAAAAAAgOeir8Q3s74YP6U0z/NVN+oK + bDabcRxXq9W9e/eoZQEAAK4vYq0BAAAAAAAAAAAAAAAAAAAAAC+Fea4lj3Wpf/RHf/Rd3/Vn3SW5 + u561fuowDK21nk+8LEtEmBnrAPFGPfu8R5731bM576oFu7uksi8Lvd1u1+v1FTUTeHNZSdJwchRa + rC2qk5KpLZqrvnD+g9/+J2/Vlk43f/rWHZuWOLuXY3GLks2y0uIpx1ccrU/PtqNpWOezbYtBqeg4 + abS8jra4nr4vziGZ30+YlqQUJkXaeivD6mzZHI0nn5/PjofjVLItc67TsQ3baaO2zNP2+Nbt29tF + n/qt/+mb/8wXzs/nWyc/9uuf0vk9ldCdtVIaJbUp8tD2xeUt5+qtKN8/b1JOSpb8Me0EAAAAAAAA + AAAAgDcXnt3TPv3XlZIudxCyepXks8fKZEV5nM62q+P1IxKsybS+pvq4tqkpZSVXSlLEc/hC3RSS + hw4HC/PDOV1qliw1c4W5RUgkWwMAAAAAAAAAAAB4ZhEREZJSSu7eF+b3+1fdtBeq53nP85xSWpZl + mqbVatXLXFx10wAAAJ4BsdYAAAAAAAAAAAAAAAAAAAAAgJdCsuLur7322nd9159tLfqixXEYp2V+ + puMsyyJptVqdnZ2ZWV8SWWs9RBQDknreuaRhGNzdzFprpZSHLpV79+7dvn2bTGu81EJy1zIrZ4tF + XtVc0/Y/+8AH3jusx9PNN+RhPdXwdnJ6b/YlpNWq3JuqW3ir2eRLvLZsjyXLtmzbkBShks1a3K3t + REqSP9NtyE1Jcush026RwnwOl9fj1fHZdL7O6zCdbk6PUlkpnc/bJr1rPfpS/exslUrMU9rOK+Xt + xv7aBz5wb0jxnjt/8//5v2WuNGocrXjxZkOpyZMGl2T7FuzLbvcN1HIHAAAAAAAAAAAA8FaYK5Qk + SWEKKWw3JHp5snJOKZVlvV7JfY5YHT8wacHk0q4E9vOIQsaLFQ/etSRJkSRZ7MfZH9BHwZ/+8Mnk + 2udYH47WYn8Bm8z224m0BgAAAAAAAAAAAPDsIqKUEhGttUM9h5sZa+3uq9VqmqaU0mq16huvul0A + AADPhppcAAAAAAAAAAAAAAAAAAAAAICXgpm9+uqrH/3on/v8518bx2KmCM3LrF194GdYxGhmm82m + 308p9bjiS2gyrjF3zzm7e0rJzHrEtaRSyna7HYahr6e9ffv2sizDMFxta4Eniao6a6naurbLf/Ph + j7xiOpqX77QhTk+L5NtpCR2PxSOyazWMr03z7dU6yc6nzUrFkq2kGr7U5tKto1uvnp16jeNhPE6e + cl6WJUweerpb77nSuyrYu1rYbqHbQ4qo22k5SdbaNrmOpKNVUpQ0z+Zt3s7e1zu1uUlNipJXFifb + zSvlzt0//A//41d9bX7l3ffKyU/+X7+iO0da5ZxyTna2TMNw1KT8YIHv2IdtU8kdAAAAAAAAAAAA + wNMLaTfmGbIXHv2bzV6b3U5MY2lJbfGsROXId47YBZK7+mC2WewDpk0p1PYj3PGWhrrDFCG7kMVu + Uii5WbMw2x/W/JmmYwEAAAAAAAAAAABA15fht9bGcZznud+vtV51u160/panaZLk7r1wQS9icNVN + AwAAeAZMTgQAAAAAAAAAAAAAAAAAAAAAXD23dHZ6+uf//H/x+7//+2aa51pKqtVzytWfuTxwa83M + IqKv/TukF19Gy3FN9eWgKaWI6JnWfUutdb1e931qraWUUgrXD56nQ5dmD24xv39398TDV93+WVe4 + aihc7qpNy/S9H/nwram+J/LXnk9H83KsyGph0aJ5Vh7SstQaKtJmmY9TaUudvK6stPASaaO6ykOJ + PPl8dnb27tV6M02tNfeoXs2S9vWyn+r2gdbe/09UX0JrU/U4KmmqflLsdDP1nUdLR6txs93mLDWZ + aZYsNd9u7iRpe56XejKu733+i2W9/NX/+D96tU5/53d+U2NW0cl6kJ8rDZIplcOH/HAF7gsf/uHu + /dzr6P/xN37yAAAAAAAAAAAAAN7ZdmOEdnjUg60X1eW4Lvn+1BVPl55yHWdtXt86+nfTIlnUlof7 + Y6C2a2lSJJneUuoxrk6ki0PpSbsLLUwWIXkftk77wW4LhT1b8nQPy94Pe++Ds/ent5DtktqTgpFx + AAAAAAAAAAAAAG9FX3rfWjtkWkfEMAzLslx1016onHOvaCEpIiJCUi9cAAAAcI0Qaw0AAAAAAAAA + AAAAAIBn0GfN9iSviEjJ4tLLcgEAAAC4rix6DKpLu7jWrpSy3W6H1WpZllLGWus4rs/vnX/f9/3F + 3/l3vzsvkXMO96V6Sql6e8IpeiZxRBwdHW02G0lm1hf+9fuHVX9kEuNxDhdJv1PK/aUW/f7FCwl4 + a+JQJzouJCs/wBUuC5ckz0oKk6lWL7lIaovSqCaZPGvR2anCdLaVt49/63fcXqZvqrW4hvChKadQ + uIdCbiYL+eKpV143WahFlZSUalQpLapFqfoipcEk2Txvs6WI1gOt3xgP/Uzv/aCFzNRCMs3VZZpb + DCbvn074ZtrK1FwmhZRNqkr9QavrpLbM66xip/fuvvplq+O/+f73Trmcrcpf/8yntSo6HlVGabV4 + DGmMkIcO3X80tyTNVSnHkH3fvCaZNMb+i9i9WX5qAAAAAAAAAAAA4IaKCDPrt70U9bO+3N1zzpfU + vOculEy6GDYsJZNczVyf+PAH37vdjB5JKblb0iXPn/cwLUP5Iys//Xu/p8jHpbiiT1ywkCxJKSQy + ra+rSDIpuaSsJDdXNLVB3sfGXbJQjt2gtYfiqb/pFOqR1mFpN8EgpNgNypvZEIu5kitczYzL6CIz + W5ZlGIbDw94NXm2rAAAAAAAAAAAAgJdQSmm73eaczazWKinn/HwzrXPO7n5xzC4eP1qfc26t9YYd + ak28AP2kpZT+IZhZa+0azZcAgOeo99vu3mdcXJyDcS3M87xarQ7TRXLOvW8HbghirQEAAAAAAAAA + AAAAAAAAAAAAL9Rms1mtVttpGoahrwycpumHf/iH/82vfmqalpRSSukp1w326skR0TOtSynzPL+g + twEAzyJ6hfEn1De3JLkUUpqXZRzWUmpt6bHWeVQ0L1HlofN7ivjeD3zgqywdb7fvbX601PKG3vJQ + 2DrFo7eH9Zc8ze3z0U/9wO2jWnh49o3bJVcob6f3JA3T+Z2wluvrk/+1D3741SH/7K//W90+0aCh + DJJaqCQpNLUYilWvqzRqGCVFbUo5Jfm+Ln30Yt29gDiZ1gAAAAAAAAAAALjBzGye53EcJfVZGU+o + DS3pUIHU3VtrpZSc8+EI107sxhCTFAo/astxW1xJUg+WvtxUa0nymtLZMKqspNQb5PLcWxDpwZBj + Z3zzenJJFkmhMIX5QxfWW/5Sc8jlzYrkeT8gfhh8TyG7f4XrBmZaPzmmehiG3t1d7AYBAAAAAAAA + AAAAPKSHN0fEer2epklSDwF98uyCpzeO4zzPKaVDqughuPoJ7WmtufutW7fOz8/d/ckveS5SSr3A + RURM0zSOYz8pydYAbqBaa0pJUkQMw7BarUopy7JcdbueVkqp/yArpdRa3b3/MLrqdgEvCLHWAAAA + AAAAAAAAAAAAAAAAAIBLsQ9MfVjOOee8Wh1N0zTPfvv2nR/6yx//5//8XxwWKT79upRhGJZl6Yse + 3X1Zlue11hEAnpfYR0Pviox3D9dJ7kWkU+4Vq4ehSsvZfHS82nhrWsacxzTr7qzZP/Et3za8fveb + Sgxep81862iIeJ7h0y+57DqSzG2OmBSm9sp4NL4+feXR7Z/5wJ/698v2Z//gd3Xiyps8nri7IpUy + VEnDuGntKOeYPblrMIXy/nuZlCStJHk6lPEGAAAAAAAAAAAAbqZeY7QXG+0lO1NK7o8el+zTPCKi + 1tpTYHtZzxfZYOB6MB3Go0O6kLCcXJ4kN4VJITf1oOtg8Pq5etzUskMXV2sdhqF3YpSbBwAAAAAA + AAAAAB4p57zdbtfr9dnZWSlFUkqp508/Fz1G1N3d/ejoaFmWJx/8kKidcz49PdWbxWA/L+4+TVMf + asw592YwyAjgZjqEQPf6PxFxvTKtD1Pj+g8UMq1x0xBrDQAAAAAAAAAAAAAAAAAAAAB4oYZh2G7m + Yb2S0jCUn/jrf+Mf/+NfkjSOZVlaX+mRUur1jp98qL6IpS8p7CtDzCjmCuDlYlI63Ovlke1Q7T3p + Qu61pBwyyU2Sjo5HNT9KoaWqbrWd/+uPfPu77p6/ZzO9kuRnU5K+5s7R3bubdJN6vv5htogkO16t + qrft9vwrV3de27x2W8cfXK8/+cEPf3alf/Dbv2HLl3JZKedluy3rk1AKsyrlMaklhStc1j/+tDt2 + f8SCcQAAAAAAAAAAANxgy7IMwxARpZRlWXrNZXd/wpSMPtljHMe+2+OCYwGEyUwX/oYkRXrEbtql + X+P5SukRn7Ykdz8+PpY0DEPf7ezs7OTk5IU2DgAAAAAAAAAAALgm3H29Xh9mF0jqKdeXca7WWp/A + MAzD46pPRERE9NHAcRzNbJ7nJ89zeI56GGoppSe5EmsN4Gaa53kYhpTSNE2ttesVC32Y/LYsS2tt + GIZr1HjguSDWGgAAAAAAAAAAAAAAAAAAAABwOe7ntu5FkjRXd0ubzXTr5M7P/dzP/d2/+w8kmWme + d8sIU0o55x5ZnXPuqdWPs1qt5nmOiHmex3G8jPcBAG9LyEKSIvW8apf8work5FLv5g61k7PLTXNb + RpPOttrMP/KBD72nLl9fZ6vb41LGHLZoNdqX7m5u2uJml9xSk9VoMU1NcWu1/sJ094sp0l4AACAA + SURBVGh1tDRf1e1Xfmn5qvXxJ9/13vqe2z/+G/9Gx6thXGk6V1mXVLZSk2xbx1WRucx7qvgosx5r + TWVwAAAAAAAAAAAA3Gy9EnQpZZqm1WrVN/Ya0I/cv+dYz/PcdzYzajQDTxB9lFpKFwao+/0wufZb + Y7cdz1EvQ/xGOefz8/PWWi8331o7OTmJiBdT5h4AAAAAAAAAAAC4XiKi1joMQ2utzxBYr9fPsdqD + uy/L0gOqD3UnHpdp3Q3D0HfrTXphg339dK21lFI/Y59x8QJODQAvj94T9n5Y0vXKtJbUf371H2Tz + PD9lvSPgnYTfXQAAAAAAAAAAAAAAAAAAAAAAL1SE3blze7uZf+EXfuEnfuInU5K7LpY+dvdDKeTH + 1UTuxnGcpumwm7unRDVXAC+pvvp530nt/tuk2NenTn0nVw7Pviiqzrf/1bd8xx+7t/nae2d31ExL + SEOxL27qWsotkjQOaamPLrv8jhSmTfiqDFkp1GqNFnWWjoeYp+2xpVc01u3Zl69PXj3bfvIbvvH0 + 9vqnP/VpjWutpXFVrXiy28dFTVLS/uePaVcTnFhrAAAAAAAAAAAA3HDLsgzD4O49pvpQgvkJYdXL + svSdU0r95cTBAo8U+6HpA4sH7+//3oS5lB7eG5ejlyHOOfeOridbMw8NAAAAAAAAAAAAeKScc88r + zTkfUpzHcXxyaYinZ2ar1erpc7L7XIXVatVLT/TxPr1ZqYq3r7VWSunt7NMq3H0Yhks9KQC8hFpr + 6/V6u92aWUqp/4wws8vuh5+XeZ5TSmY2z3N/I9cumRt4m4i1BgAAAAAAAAAAAAAAAAAAAABcvkiS + 3JKkbPm1L77+K7/yrz/5yf9hnt1M41jmueacI8LdJUVELwzaHz5OX+M3TdOTqycDwFUyyXalqbN2 + /aEshWmRXErS0ItT9w6vVZ2+Jun73v/Br1b++qWl7d1bq7UtS3a5dL5ZXilWczqbWkjWblwx+FUq + XtssT8WGdTmd6p1Vune6/bIhq/qZti6l+fXm8SdOjk+/ePpTf+JDX/Zt3/yf/+//RCcnt27d2apM + plJUPElJ5ruP3lyJmuAAAAAAAAAAAAC46foEjF5XNCL6wyfPyhjH0d37ZI9hGKZp6inXAJ7gMNZv + kUzqI9cp5PcnATxp3hTegseVS+6l9vtUtL6PmdmNm44BAAAAAAAAAAAAPBV3H8fx9PT01q1bpZRe + IyIier71c9Fa61nR/c6Tg1HdPec8TVNKqZTSw60lXfaQXynl/Pz8+PhYUq+VMQzDIecbAG6OUsp2 + ux2GYVmW1trT1At6qZRS3H0Yhnmeezh3n0NCsjVujnTVDQAAAAAAAAAAAAAAAAAAAAAA3Cxm9ulP + f/oHf/AH57lKMtM815xya83dzayUYmbu/uQ1Kn0dy2azkZRznuc5pfTkFYkAcCVCCrv44H52cq9M + baryRW3StNHmVHX64W/4ug9FfPnp2Xvq9G5Lbdosria5tJKWGtPUlGy9Olq83bQlQuE1JWXJPNpc + R1O43xlUlzZHDFm5aFass9Lm/F1L+6p5vvtrn/or3/YdWha99vq6Vmv74t8hiySV6J+huZKLwtQA + AAAAAAAAAAC4wVJKff6G9nMznjyFoz+VUuo7680ysIGbzB4a4I9kkQ5PdSl2Dxm7fmF6eeVxHCVF + hJlFBPPQAAAAAAAAAAAAgEfqQ2lHR0faj6/1GhHP8RR9BkLOeRzHaZr6Kd60SRExz/N6ve5Dfs+x + PY/k7sfHx/1Ey7L0EhlkWgO4gWqt/bb33n362fWaQubuvWxR/wnSk62vulHAi8OvLwAAAAAAAAAA + AAAAAHhmh8m9EUGtJAAAAACP09dp9DuuyHkwNzP7zGd+6y/9pe+/e/dcUkjNZZarx+FVfb3KRT2v + +uIBc86ttcPOKaVhGF7o28M7SF8s2q8udz9U6D5sedOVrsAThNTUPHy0Yd4u42roWy00hmRq1iJm + W7aqprvzj3z4m79iOXtfXSSl7JOUTWay0HL/qGkIyZNNy5GKh8dNukL738xRkiskN6nKpSSFaSM1 + qRVJyq5satHWtX3d6fTj7/vQq+P6b/32Z1a31sqjlNR266u2i49DSaq749+wpPBrpP9K8FBqQl/k + f+jAWfYPAAAAAAAAAADwNvUCo4eB8kNe9RN2vrg/gzVv00N5uhHBnP13jFrbMOS6zOMwyqUeCR89 + 7dpTyE0KRqyvwHq97ncO9fGvtDkAAAAAAAAAAADAy6uPpvXbPlXgyfMK3oKLS/tXq5X2BQEepy87 + 7ftM03QoTHGpLk6u6MUunvvnAABXpdZaSjkUXZFUSnljOaCLDlOe+m6ttRfS0ufg8L4ORQye/EOn + 79B7/nmex3HsH9elNhK4VFy+AAAAAAAAAAAAAAAAAAAAAIBLYWbDMEzTVPJYazNzb/rc5z73fd/3 + fa+++nopKSJCqadTm9nj1nTknC8GWktarVZ9MaEuJFn2HcgexrOapqmvZe15qCmlw5a+9KiUcrjA + gLfETZbNWviwHqqkUDJFlbU5lZTnUyXTXP/Tr37vN63ufG209TQNrjmr7a+7FMlCzRQmC0nKUtqV + s/bHn/odqL/9w19Ik1JIkptaUjP1HybZd7cmrcYhtkucn32ZyjjWT3zDB3/83/2/Wo0a1sqDIm2n + Oq5Lk0upRRss8Rf+JRQRrbWLvXTfWGvNOS/LcljqWUp5MRUHAAAAAAAAAAAAAOCZDCUrlFMyyV1J + mueqnNRaT7buu/WR8WDMEwAAAAAAAAAAAAAAAC9WRKSUzKynNR/uX3W7XiJHR0ebzabWOo7jxeoH + wDVFrDUAAAAAAAAAAAAAAAAAAAAA4FK0iKh1rt5iuXPnlbPTzRe+8PmPfewv/P7v/2EpaakuaRhK + ayHpCbHWrbW+Q0pJUimlZ1rXWt19HMfWWs65R12SYYmn1EOsW2ur1crMzCz2l+AwDMuyHNLTe2Dq + 1bYW7wBTm8d8XCVVlSKXNCgNScukyfWle3/1W7/9T7XSTl8788hZnqRQCiVPOZSVJKWQh8Jc8jD5 + oYb1Y/rPd6okSVpMbpKUQiaFVE1uyq7RVXYbk6R5uxRll98a82Btfff1n/7jX//ZZH/j3/+2bt8K + aTw6Nvchpe1Sh2G4yveGx+t9de+fD6nVrbX+lR229NzrcRyvuLkAAAAAAAAAAAAA8JCQmpRVcpGU + shQ+HK02r9+9paIeah3Sfljcg2RrAAAAAAAAAAAAAAAAvGg5555pvSxLKaUHXbv7VbfrZbHZbMys + lCKpZ1r3IjZX3S7gLeLaBQAAAAAAAAAAAAAAAAAAAABcCjMbx7GUYpa/9NrdeZ6///u//zd/83dy + th482ZevSHrywoyccw+wbK2ZWU8a7i8fx3FZlh45nHPuaZcv5M3h2utXXc45IvqtpL5qqF9F7t6z + rvsKqytuLq63JGmV1+6tSEOWhSxmbe9qnnTv7L/74Df//T/zn3zl2dm7p+krj4+Oi9zkku0yrVPa + rwAyWZJsfz02k++jnW+UeDDI200huclC2ZVDPQg8K+0/m+ySybbzZNP2yyz+2Hz2Qfknv+Vb9aVX + rdU8T6lWC5Fh/zJrrW2322EYep/s7tM09aWe8zz3726app573X/TAAAAAAAAAAAAAICXS5LcQ9Gi + F/pNm2U+OjppUpPCHs6xNmasAAAAAAAAAAAAAAAA4AUys81mU0pprQ3DYGZkWj9kHMeIcPfWWq1V + b1Y6CXjJcfkCAAAAAAAAAAAAAAAAAAAAAC5FhJ2fb8dx3ZemfOxjf+FXf/XT6/VYW8xLk1Iv1FpK + Mgv3+rjjtNamaZJ069atWmtE9DzLiOjLYCT1NR7zPA/D8ILeHq65iFiWpV+crTXtI65rrT0b1d37 + IiKxfAhvWyglpVHZpllaVM9SLFmuu+ef+OC3vvfu1j/3B6+k0RTt3nmeNFaVloqnHElSk2ZTNW8K + yVNPsrZ+5F2i880Rpjmpmkpo5Rpd2XefQPG08lRCJlX5JK/yasrDaJaTpXetjyRtWo2itj1792tf + /PEPfMuPvPeD+tzrqrO0lKSb9FleMznn9Xot6fz8vK99Xa1WvQMfx3GeZ0mr1ar/JtC3AwAAAAAA + AAAAAMBLJarLzGRhFiaVZEM5neaarJn6nzBRBhgAAAAAAAAAAAAAAABXpS/k79VXep0fMyox7JRS + enGDlFL/iIDrjrJKAAAAAAAAAAAAAAAAAAAAAIBLYWattZRSyePHP/7Dv/Ir/1rSdjsPw9AjJ3sW + 9TAMT86eLKX0/U9PT3ugtSR3N7OjoyMzOz097cs8WAODp2dmOeeeV91v+9W1Xq/7BdlaG4Yh59xX + EwFvU60ub8qmaZI3nW8/+ie+/hPv/8BXn21fWaZXNMiapBQ6KWaRUqQkJbnkMpfVZt5Sbea76tWh + FMqu7Epxpe/txXKTm9qF/j5JKZSiL5TykJq0JLWkMLn5F5fN6s5qG/Xz283RethKrWgoerfZl0/b + 98/LT/7JP63NpHunKVoo+FnycnL3eZ7d/eTkRNI0TZLMrPfe4zi21vr97XbL+k8AAAAAAAAAAAAA + LyErSWbTMku2NMl0vkwtqZk8qVkKk5NsDQAAAAAAAAAAAAAAgCsyz3NKKSKWZZHk7u5+KPgDd5eU + UrpYjma73V5di4C3i1hrAAAAAAAAAAAAAAAAAAAAAMClyHk4Obl97+7Zj/3Yj/2zf/Z/tKb1epS0 + LG1ZmqSUUkpps9lIGsfxcceptfaFLhHRWpvn2cxSSrXWvujl1q1bZjZN0zAMPSobeHpm1lPSeyz6 + drtdrVaSSim11lrrOI4sr8LbYVKWSkmRJXPVRWfLX37/hz98r/3xs/mVqEOKreZzn/NYJG1qJEnm + Lnd5kufwHDIpTDVpyaomKeUoQ5QhisXNWiJkkcLSlNJiqSlJKUeySM18SpqylqwwpZBJSTo+si+e + 3ZPpPcMYk05SvrcNH8pc29LquGzsj/6/H/qar9M2NG2LmigP/lJKKY3j2Jd3RsRqtVqWJaXUu3F3 + zzmb2bIs6/Wa3wcAAAAAAAAAAAAAvIxaSBqHsalZllr925/5TZXck613mdZX3UYAAAAAAAAAAAAA + AADcWOM4LstSSsk59whnSb0SCyT1AjWttXEcW2ulFHdfr9dX3S7grbtZNWsAAAAAAAAAAAAAAAAA + AAAAAC9KajXOTjf/8B/+3M///P8sab0et9s5WUppN5U9IvrylVLKPM+PPVBKfWdJ7j6O4+FVPb2y + P9uzh0spl//W8A7RL61a6zAMPTTdzHLOh4j0fkX17VfdWLxcQh5y7f4cNh7+HJ7dbVHI3G2ZNS3a + 1v/2/d/wNafTVy/t3eZtPp99UlYNNffIZcy9H/Mw6cKld1gF5CYpKZJJSaYbuUDIQmH7ot6SpCT5 + vsa37z+3FJLUWkRoGNLdZa4RzeN4Pc5zLVnvGlLU+StXq6/L5a+87/062+j8TMsir/sv71AxfPeN + H77o3XP3H+Bytf5F7td5ShqGwd1bayml/ruBu/ffBPh9AAAAAAAAAAAAAMBLx6RiipiXmrUySUNR + SueyMFnI5OnBAeh4lhkrtn9t6gPpcWG7eZKnUA4lWYoUJpk/+kAAAAAAAAAAAAAAAAC4qVprwzBI + qrWmlHLOtdbNZnPV7XpZtNZ6lQNJOWdJKaX+ELimKM8BAAAAAAAAAAAAAACAZ9ATYnLOEZHMCO0B + AAAAbpiLwaku7WqbRkRKaZnber2epmUcx9aalGpt//R//Wc/+7f/rpkitNnOOefWmmJXETX2/6So + te5OkFJKqT8spfQ7sd/P3XuA5SEYW1JfCUPqMN6yvoCq3+/h1rpwTWqffg0chLzJJeWeOh1JUpia + 1CTXMkgmz1VKY0smqdiiOul8/ovv/8avnf0bm5f5PCmm5D24OiKGJHdvyWUqtstjjt7b2j4bW7Jd + VLPL1GK/g25QpekUcnNdqMcdJo/dU4fbrkeL56Ys+eKDycOTqc3zWlKo7h5uR5vfl/NPvu99nz9a + /dRv/46OT5STUppr5MGyFF4tWT9mKKmvy2pSuAq9xIvQl3Rq/6O/O3TRPceaHhsAAAAAAAAAAOC5 + mOd5HEdJ0zStVqtlWS6O0bxRn8sREX3+RmvtMLiDt8DMLs6EMbNg3v47hrmUVsPaQ9YnAYxlc7S+ + dXZevPUpBLsJA29pMpRJSfJQUgolC+8R100eUgmlMFc2jW5SMjHlCgAAAAAAAAAAAAAAABccpn71 + KWTar+Wf53kYhj6vycx6OaBenvS5nLcfqs9DOxz8cP+RDrWJdhWNnqvDezzcH4ZhWZa+8aEJcsyX + w7VGnQ4AAAAAAAAAAAAAAAAAAAAAwNtiZq21k5OTZVlKKT0YOMz+5b/8P3/0R//7/P+zd/c/kmX3 + fd8/3+85996q7pldPkgUbYqSLJoUnyTbgvN7gAD5BwI4gJDYcYDYliM/iNRDbFoh7MRS4pixHcU2 + bCBPQmxA+TEI/IOTKH4EHEMxFDgiZetZNGmKIrk7M91dde8953zzw6mq7lnODmfJ2emd3fcLs7VV + t27dPvfW6dvVfc79flJyT321r3khSmutlJJSGoahlHLnzh1JEbHf73WMHCaxEsCtM8kOFaS9Fw+3 + UJKSlHvscjSlJA+TbC1a9rq6+v5vf9+3r/Vd++Vtyzqqphxhai5JHkrt0cWiw67Lk3tc/5NaWKvW + wtrXV8z6+fXwcZD6UbJHPNUXpqbUTms2qaVQuvFyV8tRzsv8LVe791zsPvaRj+ryUvOs1sZsawlJ + 5vmwBbV2M0fc+KkEAAAAAAAAAACAN5WIGMexl/ucpqnWOgxDay1enaTWWq9Y2lpLKT2mlijwFtYk + yZpCFnL1GOq0uIfJ1VJT+oa/dW7MILCQm1xSs8NTLkm59UF2ezo1hQEAAAAAAAAAAAAAAPCmd5pF + 1m971vVTnCfWWhvH8bTxlNJpTtojuXspZRzHXvhI0na7fVqN0XHXhmHo97fb7f3795kXhzelfNsN + AAAAAAAAAAAAAAAAAAAAAAA8X15Zz9QttygPHjzYbreltFrj7Oz87/4f/+eP/MiPuHu/HGWapnme + JeWce+HjRxrHcVmWWmutdZqmi4sL3Sh8nFI6rRkRj7nyBABePyalQ9J0PjzudadNsS7DOGoN5UEK + Wa27+2PKutj/yd/5wW9XensUxWWTuVRaxDEQ2UIu96a+Yf+qMy2embNSv+VLD/7Uhz76E7/w84pZ + 5y8M2WtRksslr1J11SSXkjKZ1gAAAAAAAAAAAHiziYh1XcdxnOfZ3YdhiAj3Vx0aa62dnu1rLssy + juOzai+Aa302Q9j1tIOIQ6Z1Oyz0phY31wYAAAAAAAAAAAAAAACeTK01IiKi1ppS6rdPa+Prug7D + MM/zNE1mlnPuedWP1FrLOS/LMgzDuq592ltK6TFT3V6Tm6WN+m4uy/JUtgy80VA5BQAAAAAAAAAA + AAAAAAAAAADw5B6RtFprdfftdltrmKWc8z/9p//04x//+H6/DMPQWutXjKSUUkqPybSW1K/fyDlL + mufZzCIO9VP7C/vVJuu6kmkN4DaFHYpA93+HE1UbxiRJOatICq3L2Jru3//4e77tPVfrO9fVdw9e + yJumKJK7LGQhD7ncJJOGUKJs9K0aanu34u1fefmHP/JhlaJln1pL3i/DckVKUlIzlVAtUqHQNwAA + AAAAAAAAAN5c3H0cx9baNE194kdE9NtHcvfLy8vWWimlrzmO4+PnhwB4PYSp2SG+OqyFRZ/aEH2a + g9T6rSQLi9DxEQAAAAAAAAAAAAAAAPB4vb6Qu/e6QCmldV2fVoa0pFLKMAySerkhMyulPKa+0DAM + fZaau/do7WEYnmI9oh7d3VprrfXtj+N4qoMEvJkQaw0AAAAAAAAAAAAAAAAAAAAAeELHMqbWDv/C + FS75ZnN2dbWPMHf/lV/+1T/yh7//5ZcvpmnoMdXruuaczayHUj9eSqnW2q8SqbWerjDJOfds7J6T + 3Ro1VQHcFg9zKSukKvUTW2rVVczuz1eLS4Pr8oEu55/8N/7Nv/Tu9313S+9elnF3f1Bbyj4PLtda + ZaHUlMJNp39yybiQ7fa4Wlt372zrt3353id+x/t1eV/lStLa3+hwi2Tqb36T9NQuagQAAAAAAAAA + AADeGPqUjF5v9FSK1F5drfX8/LyXK+0r7/f7XroUwDPWo6pv3u9Z12GHZOvqqlKTXE3RxPwEAAAA + AAAAAAAAAAAAPIGU0m6361WA1nWV1FOo4ynJOfeY6pSSmfUJbI+pL7Sua0+bXte1VyjSMRL7qehp + 2X1GXK+YtN/vn2JsNvDGQaw1AAAAAAAAAAAAAAAAAAAAAOC1sFde79HrEU/TVtJvfuGLv//3//4v + f+Xe2dlmP68RIWkYhlJKKWW73T5mw6fo69MFHmaWc26t1VqXZZmm6fXbLQB4QiE1eZyuNXPJVeVV + WqKdbc6yquZLNfvER36XfeYXv6PatFxuYsnS5IqkB2tzl46Z1v0Cn5BCLSTpVS+rwzNgocF0bjpf + 5nfcf/nP/J7v1b17WvdDOq7RsiL3d81ItgYAAAAAAAAAAMCbTi/BKam1llLqFT8fU46z1wbtszsi + opSy2WyeUVsBPJ611ud69ekIpiaFmqylaArmJwAAAAAAAAAAAAAAAOCJtNa2223PmR6GoSdb9+pA + T8V+v885S0op9aTqcRwf057NZtOnurXWcs4552VZJD2t9izLcppKl1Lq8+JOS4A3E2KtAQAAAAAA + AAAAAAAAAAAAAABPxtp1pnW44npG+rIUz8NuXv6d3/fvvvzgoklXV/tetljH+sUppd1ud1r41Uop + 7t6zq/tFLBHRWnP3lNI4jqUUSe5+eXnpznx4ALcmevS0S7lFbsVbSC7fKOfWvOw17z/x3vd9x1eu + Bu1XzZJmaXVdSldNedJSNLiS3OQmhVSlYmpqTQpykm9PmErofm2bjd2V3vWFL37yI9+jqwda9/1Z + mRSDxZCVkmpSIYkcAAAAAAAAAAAAbybDMPR0andfliWl9PjyncMwmFmfyGFmvbQo5TuBW+SShWTN + Qh7ykEkKxXFCgkfLrYlkawAAAAAAAAAAAAAAADwZd5/nud9KGoahL4ynZLPZ9C2XUk4x1WavWn1j + v99vNpvektZaKaXHYD+t9ozjeKqS1GfT6VhDCXiToYwXAAAAAAAAAAAAAAAAAAAAAOAb4ZJvt9sv + felLf/AP/sHPfe5f73ZzTtnNe4XilNJ+v5dUa3X3x5ct7teutNbMrF9b0i/q6K+KiH57fn7+LPYM + AB7FpBxKIVmr1ha1IklKkoe0zrp3+UPv++C3rzXvv/J23y5qqxSDatIcyudpNytJqdkx09qqVLxV + a2FkWt++kDZJl/vYDHphqe+4d/9jH/yQdldaV4vTSq7mih5KDgAAAAAAAAAAALx5rOvaJ2ycCn22 + 1vr8jUcqpUzTtK5rX6eUIsp3ArfKoh2SrQ8PZT3Z+iiFhlZVGyPeAAAAAAAAAAAAAAAAeEJ9htg0 + TX2SmKSIsKek1trnoeWczayUklLqtYZerTH7/X4YhnVdW2s5516e6Gm1p+9jrbVvvE+oeyaHGXjW + iLUGAAAAAAAAAAAAAADAa9Bak+TufQLZY6Z5AQAAAHjziYiUPKVUaz0mTFtKaa31aj//8A//6M/+ + 7M/1NWutLdrp/mkL/XcKfVXx4v7QzNZ1jYi+8ZzzK1YYhqGv9rrtIt5a+rVD/ddbO2ao984WEace + aGanrgtIUkhFWltIVZK8KUyyaJovtNv9ue/88Adeutzsr5o0j3HptrrmpsVkg5aljklZLiWXQraa + iquawtRMnONunZmXkCetRc11Xst33Lv4xO94ny5eVpklhamsklzm1Ph+lvq5+pEXhd5cjT9aAgAA + AAAAAAAAfCP69Ax3P42bD8Pw+HHzeZ6HYeijNjlnxmuAW2QhlyyaqZlaf6imVhUhMwvVti7nnlTe + cvNhHl+M+OaanMeepT7DcJ5nSa21/hOH+VoAAAAAgNeq/zrfWlvX9bbbAgAAAAAA8ObUp5bpRlGg + p1gFqNd7uVlu6PGTB/qzN6Ome92Yp6W3JKV02uzNtgFvJvRsAAAAAAAAAAAAAAAAAAAAAMATiYh1 + Xc08pbSZthcXF9O0Xdcq2Q/90A/9vb/3D3L2zXR2cXkRjw35zDmXUtx9mqbdbtcf9u3v9/t+p9b6 + iuhr4OlalmUcR0nruvZOGBGnStzTNM3zPI7juq7DMDzdK5fw3AupFSWFrEmh2Cpp3WtdVdYffP8H + 33f/8kXVVev5Zvjyfj9kRbgkb2rWUnNvMrlLTRZSM1VXMymUQ5JMZCXfJovmUpNCCslDL86rpI9/ + 5MOf+syntb1j4zYPWtYYJ5dxfgAAAAAAAAAAAAAAvLG4FKFmcsmkIWkwKyELheLOZvr8S/d++Pd8 + 73/1a7922y0FDmWpp2mqtZqZu/eZXYSLAwAAAACehJnN8zxNU3/o7u7eWuNSIAAAAAAAAAB4EsRa + AwAAAAAAAAAAAAAAAAAAAACeSEppGIbLi11KaVlKSsPVfhnH8Sf+8z//d/7O3w1pLW0tF5LGcVyW + 5dW200OsW2u73U5SrdXde5S1melYp7KLiL4QeLrGcWytlVLGcSylDMNQSlnXVVIvjSqpL7+8vNxu + t5SzwTVvGqsiXOOkZJKWvcqstfyp93znt+2XMbfFfVmUa3t7sqjWwpvMLSR5eJL6aS6kKlWP6s2i + SUpNJlVOe7fHJJcspMhVtrqqhbyclfk9X1r+0w9815/7xV9Q3Wv79jTZXOWubOIdAwAAAAAAAAAA + AAC8QZjU04A9jsPZVU0xS6O1TcrrPP+2t71wUatIDcYbQCkl53xKIDvdYd4gAAAAAOAJTdNUa00p + XV5enp+f63i12m23CwAAAAAAAACeA8RaAwAAAAAAAAAAAAAAAAAAAACeSK21RZmtNgAAIABJREFU + tTYMQ0ppWVZ3n6bpL//lv/JTP/U/S8rZS2l9zdbaY7bj7uM4zvMcESmliGitRURE6FikshcTWdd1 + GIZnsGt4yxrHUce+fep4rbVevKaUUkrpFW2AG1qz4uZS09oUoRZ6cPknP/ih79qv35KGLywPSs6e + 7GqtLmWFy13ykOSmZocS0h6K6jqdMV3qT+F2Hd8gk7KFXCUkD31LMnvppR/98Ef+y0//vNJlmrbJ + Pci0BgAAAAAAAAAAAAC8MTSTSzfDqkNyaZG2KXtKu2Xe5HE/7++9fD+/+AKx1ngjyDlLmqaptWZm + /U5rLaV0200DAAAAADwH+qVA/X6/Ami/3282m1ttFAAAAAAAAAA8N4i1BgAAAAAAAAAAAAAAAAAA + AAA8EXcvpUzjuNvtUhqGYfof/qef+smf/OtmklRKm6ZpnmdJpZaeCPpIZrbf7yWllGqtfWFEmJmk + nPM8z7025TAMp4Bh4KnrXa6np/dudipk06PZe8lUUdEGD6vyqrzGemZZZZabdvtPvv+j37NqqjtV + +yb5XEpM09Lms2lY9mvvWC6FmqlJCqnqEGjtUgulkLfHfFk8UyFVRajlcIWKK0y70u4m5Zfuf+J3 + fNef/+V/IYXymYUpE20NAAAAAAAAAAAAALhlzRT9Ng4PJaVQSHfy5qrMNVqTdvP+hWnKZdml9KoT + vIBnq5SSUnL3iJBkZqeJWwAAAAAAPF7/FfLm1WebzeZ0nRoAAAAAAAAA4PGo7QUAAAAAAAAAAAAA + AAAAAAAAeCLDMOScW2ubzdk0bX/6p3/6x3/8x28+2zOtD0U/Xr30R63V3adpekWmdWut1rosyzRN + r+d+ANKNJPWenh4RpRRJpRQ/kvTgwQNJZFrjYd40DDbZuppJu/0f+tb3fsu82v7+ZH6lCLUh+Zfn + 2bPPtaxSU2uKphZq7ZhpHWphzUIplJpSk4dExejbFlIxFVNYM9WkkhT9Z1rO2ibd2S/vfPDgT/+e + 79X9Cy2LjHcMAAAAAAAAAAAAAPCGEMdk62YKU5iaJGlX9qFYW7t759yli3lWjbLfMUcBbwS11pyz + mdVa+20PtwYAAAAA4EnUWltr7p5S6hcKzfNMpjUAAAAAAAAAPKF82w0AAAAAAAAAAAAAAAAAAAAA + ADwflrmsa5mmIef8Mz/z9z75yU8uS0vJalMo1nWVNEw5IspaU/La2qttKqU0z3NKKSJ6uHUpJefc + n+r33f3y8vL8/PyZ7SDeUlprKaVeucbMTgVrcs77/X4YhojIOd+9e3dd12EYbre1eKMxuc1Fpeni + 4k994Ls+UNqYFEO+FzVCV1VnKd4zTRdXs8Zsg3atyYqHTDLJ4xCFbJKrefPWH0i198Q4PMSzV01L + cg9NtSU1k0LyUJNi1aX09nEoyxq/+dKf/u7v/fFP/3O5ZKOS33bDAQAAAAAAAAAAAABQ9HHuE1MP + CH7x7ou/9eD+5eXlJvkgMz9lXgO3LKWUc661TtM0z/MwDD2Q7LbbBQAAAAB4PvTLglJK/Qq1zWaz + 2+1uu1EAAAAAAAAA8Nwg1hoAAAAAAAAAAAAAAAAAAAAA8EQibHvn7u5i97P/7Of+2A/88d3cJNUa + MnP31lrOeV2KJHPV8riykrXWYRjWdY2IiDCznmlda+1Z15IigkxrvH5SSpLcvffA05JSymaz6ev0 + hPWcc0+/vsXW4nUVUpNcPWq635Uk2SlduklSeJiqZNJUqhSa5x/+8Effu68v1FiX3ZpUTZaUXfMa + 6zJPrsul5CHLWkjN5JLieEeyQ751S/K40Z6bmdZ2o9h0fFXW9c1nJUke1y2WP7YCdbNDIWsL77vv + xxeGqZqKq5pXl7fUTJJXUzM1UzVvrnajSf3lkjzaWFuKdlroTR4yhYdMzUKy5g+1vL+03dyjw47Y + 4dDY8c2IY+Ml3dzI9e4cmvHKTT0xD3mzw6GLHjIecnmobd0vl/UsZ81zffn+n/jwh/7Kv/yMkikN + kl9XBjdJLSTJ7bQhSXZ8ZwAAAAAAAAAAAAC8MZiO44JqpwG+MEkuuYVZeLpeVRavHIJsjxqR9FcO + 5t74iqGwV27n4THQdvjnTVKTmR4aEO3jjum17CbeGFxqisOQsYUUTRa1j85bzlF6HziNgJ80XQ/T + N3nY9aj6SUjVJSm35qFmzaWhKjVPoXsPLkxmcsmWWlLVuBmfxU4DX0uttU/fmuc5pVRKiYg+vfC2 + mwYAAAAAeA601k6Z1imleZ77tUK33S4AAAAAAAAAeD4Qaw0AAAAAAAAAAAAAAIDXxl5bDhAAAACA + 509P+e2VIpdlGccxpVRr1Ait9vkv/NYf+sN/9GK3lylCZtajqSWVUg5bqIdNnYpLTtM0z/NpSWvN + zFprN7+ijrnCwzBIMn79wDNx6mn9Tk9Y7/r907cD3qyaVCSTxpBaO6Qim8uOcdfropTrumjazFKO + SPuvqCw/+MGPvmuuZ6VEW942bHdlv3rUJguZKYei6Uwea3NTteus6lP58hsJ1tchyrpRr9yuA5Bd + x5zkGw0/xmNfb9hr2KG8tUVpLfei1G5LCXPVpuzJWvOUdrWs0nbMsbRBmmxoUUYb12jF/KLNcb69 + 521NQ9b2ar+O27OLaHPWktN/989/TsnlLpNMqk3mKlVhv++DH/hto9d79+5uzlTqRsolNuG2lkHV + S0mqmzTUskbIpCFrLYc9GN0tWkg5+1xbuGpTCU1uFqGQSeEqNw5UCknqBbuLq0kplJpbKEnWy3ZH + e/Jka1Ob6uHw1uOrDmXDTaU1k9ZasqcX6t6+sv9j7/32n/zNz2tIUWXNDn87y61GkbnkKXQj7rod + H5BsDQAAAAAAAAAAALwxHEb0yuGOufp4oZmaextH1VlX25wuiwbLTXVIw1VZppRrrUWx3Wwf7HbZ + TT2ouG/1kEIdY0r7UidXSj6vbUiypjWU7RhdbP3LHV9V5SZZkYq8yprkrSk9nF7cb42Rx+dLSGEy + rctiXnN2rVfytpjtUz6zNkqDWqjV0OKSNFra1TrmoUTMFotL47g31ZSqKeTtmG9toWZaYs05190u + N73t7M7y4HIynY95KOGtechlEZHNFHGa6wXcrh5l3Sci9hAySV9HpjUTvAA8P/gIBwAA8DSF2unX + ydOdftlav3V3Sf0qtn4fAAAAAAAAAHBCrDUAAAAAAAAAAAAAAAAAAAAA4CE9ebqUklI6Pz+f5zki + 9mt54e47f/03/tW/9+//gZdeumdm7l5rjYjHbyfnXEqZ53mz2ez3+15u8s6dOy+99FJrLSJyzrXW + HmgNALflUJrIXFKPag4dAqp7eHMaxgi10BShVj72wQ++cLXcWS218qKf3VuvBslCfiySe4jE7v9C + X885zg7FyPsGD3XJb5TgPdVDrw+9LDysWRxeaylanVtssq0lRpNb3Uybe/N+GH07TPNu8ZxnT19Z + 9nf8rHm6mvzLy95eeNs6DX/hn/0TTZOWpDQoJbkrmdS02chCg89rGYexlpLlvcH/y298VruLQ1B3 + k0rRWuXDx3/Xd5+ZtYvLs+TbaLJ2Z8htLaW0IXnfl2LtcPRqM9NaNW1HC1fUOq+SXthOX9rN2SV5 + imbHjPB2PM49+Pqho3SMDH9CHnpFyvgr9C/iatvQWGNeFu0WhWy6IzeF2rx4zjIPKiYDAAAAAAAA + AAAAz4U+sGehaJLXY06wavEhX+2uNtK+1Mm8thqKVpZvOju/vLpqiin5xW43JTtMobHjjR1GM6ti + yF5KK62FpHBL7qVEH9QNhSnsODoZGpIiQu5KoWhLVDN3Pw1jyuwUhE0Gz/PGFM3WZR03o1TK7jJP + o1q8NE1qvvdxu5YharNWvC1JYfJV43h3jZijtmH6V1/58ueW3SIVqemh4XCX6jGlPUlbaX95/1z6 + Fum953eHiFzD1FzWI9JbK18cJzFlCwAAAAAAvEm5e784rl+21gOtuYQNAAAAAAAAAF6BWGsAAAAA + AAAAAAAAAAAAAAAAwEMuLy9feOGFBw8epJRKKeu6brfbO9Pmc5/73Pd93/d9/vOfl9QzrYdhqLW2 + 9ujE0HVdbyZbl1LMLCLM7OWXX46IXgeklJJzbq31+iAA8Iyl60zrY6y1VE2SUmty35W2nUbNsw3D + XUn3X/6jH/zou67Wd7ThjllortEm5WI1jkHTvex4PZaQfq1nt0Me8jFUuf+/SXGoTX446/aa1M1U + zMNkah4tN+Xoideeh7P9upp8UKRqVevdZA9KPKj7jUmhi8vdHQ2XOf1GKuN3vOcr9+bz3/7uP/2P + /y8NSc0VrgiNWef1UHY9Qj2wuVUNY1GkYdOklEe1UES7uvJp0tmZLh/o/Fxl0XgmhWr91Gd/SbWo + hNbyH3/ko/nC3pGHodY7UwytrPPOXLuqnLUdx/lqGS1Vi8vd2hRZOp82+3m/388vJN83WXg6Rlb3 + 45NCXtXsUPa9eKvS0J5ysLSZIk73LbnOwz7+oY9+6jOfVpqVJ7n7dpzndZyG1quI283332/cAgAA + AAAAAAAAALhlITVTHNKom8xDrcgltVjypj0o977tzrS7mLPk3qxq6ybpy1eXG2ly7Wp7YfRlaXZj + bNKPCdfVtCst5XHaTGWZx5x3y9XYUiiZksVhbDjFdT5xqa1kv1iKzBVyS01qTannFZtkLfmx9dJT + HhPF6y0ppWFXwtyH7Ytl3eXtnZ/6xV/W2tSaWkiSN7nU85WqVKtSlkmev+nsrDw0oUAmJcmOUwj2 + x26SpI3UpJ+Xvvi5zyt6F4vehY4vdo3DMz4AwOsnvvYqAPAG8eh51wAAAHi6zCznHBGSer61mZFp + DQAAAAAAAACvQKw1AAAAAAAAAAAAAAAAAAAAAOAh0zTVWqdpklRrvXv37ssvv1xlP/ADP/DZz362 + rzDPs6RSSsTjqkGu69pX07ECSI/BrrXmnCXN89y/EJnWAG5RT6MOk47Vnw81w90lbabNutZhGFSK + 5lkl3rVb3+Obq4t7bmPWcBX7enjV9QZ76fMej53jULX8Sduj622dClj2TOu4UZG8mfqKYX4dd21S + 9NjkdrFeJXn2sbSyRsuyixLTJu/MvjyvPk0P2v7BNF5uxx//zP8rC9mZ8qi0xnayllVMQ26tei41 + 1mT9QiSf1zUPm/28TNNG0rys23GQmWR+fkdlUZ704iSXpu2urikNPrSksGhaq8b2V3tJ7qUo7OPf + /eFtuZws3RmGu3m8/9L9zWCDyyImM1nanG2vdrvLdc057UodazNll5lcx3fqVBQ+wqup9mTx4yF6 + WnWLzezmT71+fxu6+5WXf+R7fvdf+Ln/R3dDw6aEjdOwLC2P7odkaylcdnhnqCoPAAAAAAAAAAAA + vNFUSZJLTc3kJk1mWpdhM7z8lQdnk0bTbq8sXbVwl8yWbK1pOB/u75eWZeZS69vwcKlZeJha+DBt + vnx5f2PDftm97extV1dXrlwPXzMOA5qHoUg3jdHS+XimluTjvLuatneSH5tICOLzrzUN2SQrtY3D + VlJEsc146AMumTXr8w0iteouyXfzfpy2XzaXXO6HAOyQbsRaN6m6h6TWzO1S1qJMw1hyzjmHKaLK + LRRNURWmGJUZwgYAAAAAAG9KOed1XSOip1m31systcYlbAAAAAAAAABwE7HWAAAAAAAAAAAAAAAA + AAAAAPBW1QNQ45XFOHIeLy8vp2kahmG3211d7Tebsz/0R/7oz/7sPwvpzp07FxcXktzd3Xtk9SO5 + e4/8dPde+6PW2pecYkGnaSql9Pogr89OAsATsNZPhg/X/277KJOPbd+GnORFbdbV7hPf8b53L2Wj + 2GpUtJTTUjSdba72e5NMSu2QjV3tkEIdrynT+hBKrVN7bgZax1fXkw7ldmy4qZpaUmry0JnL3fZl + 7xqKWc3D1brui98bp6u3v3g56q//+i9qyFr2euFcltWSIsvOrLhK1ZQXKXKSQuZV4bJW2jiclbWe + T5vaJGk7DqW0Ifta1qhtHEeFLft13A7LEptpKk01PPVC2jnkTVZkRedb1fKpz/6ybNay/+Pf+ZFv + vlze4YN28+C+RHuH0sux3r9YfcyXSz0bxm0al3keZZLKKYk8epHufthccfwBJ1XT61Fxqv8o6/eH + Wn67j/fuPfjBj3zPX/qXn4kodfNCkqbhFGXeq8s3Re4x206yNQAAAAAAAAAAAPDGcAoD9sPDNiop + ej5wUeR1X5pZlV7ex4s+rTYs55v7dS61jdtpt9vnlOcx5Zz6LBwLk8LDZKGwpLpp67JcpDHZmG21 + 37p6eZA2eYwwSRYPDVN7qIafjy/WB3stSaOfj3cUiji21RRqVS7JjMHH51OUrKymbK6lyLOlLB3e + yzApDqPrMjPP0ZZSynbaSO1su7m6uvLILQ5vvR9jrSUlSa0ehqpbTXJTreuch0E9LNtcUihclmWi + /+D59oopIe3RawEAAAAA3qrWdc0537y6bZ7naZpuu10AAAAAAAAA8MZCrDUAAAAAAAAAAAAAAAAA + AAAA4CGXl5d37tyZ53m/3/fY6Y997GM/8zP/MA+pNfVM681ms9/vW2s551dLtm6tDcNQa6219tVa + a6WUlFLOudbaV8g5S4oIMwqlArg91m6WuzUp5OY2t7IZs5q0rlqWH33/+94/5PO11rbPGuT+m2V+ + Ifu+zmvTmGShFIdYa0m9XPTXcXY7bSFMza7vH56N6432IG1JkldvzRSmcKVQarpo9c4wPij1ahgf + mJe3v3jP7L/+9GeUkzYmL6tKvvsuk9dWUx7qvKbmitAwyCTTqmZqg3LqNdLDrElrKCn1NoRqrSl5 + HoaWm8wUypuhNo2jKaSiaVCrkqk0S55sk2wzXe0vz863pS4RGsL/m1/5NV3On/zAB77Zt7G7uJPT + S0u9m/ODVizZ3e0479fiClk9Rko3HeKsa7gfk61NSqEql7UwVSm9lljxx+jVrMwUcR1VnqLltr9z + Ud4dod3OtueupZRRoTxIcqlKTXYohv+U2gIAAAAAAAAAAADgaQhZKJnC1KQkP2Rah+RZYS9PZ5vN + 5gtlP2yHl8pwfy73o71U21f2l1f7yyzZXiG51GfPHOOxD7KUpbuSpA+983x/tc+b8Xx7/vkH97Mn + i75+H61uFi6Z2rSMaTfeVTKZSZovdtP5ViZZq2pV6iPG6VkfLHyjQmpqOXmrq4fJslKWFLVoyO04 + T8BMHrI4vNPmPgxZUi1ld3U1DeOyLnac4XAM8n1ozsNm3OyXvTyGNC7rEqVYup65YLLr9ZmuhTcP + J9kaAAAAAHDTMAzrukoys9PlbLfdKAAAAAAAAAB4w+EvpwAAAAAAAAAAAAAAAAAAAACAE5f04osv + 3r9/f3t+d1mW/VJ+4id+4n/93/73nLWuVZK7t9b2+31/watlWnfrurq7u0talsXMenb1uq7DMMQx + FJRMawC3K05xyKci0Ydy0ik8ipTbqovdxz7wwXfPu1QVTUk+ax3S8M3b7f3dbhg85/CmFPJTodzQ + 2B4uHf2krl/RbuRbWxzK75qOS6UUyqev2LylVq0HUkvSmbRbl6tp+vw4fuXO2d/89Gc0bOSTJI2S + K/f1WiS3RU1TSpJalYVMra7bNCRlhatUeUpyLTEMuV2uvh2Wec1T3kxDSHNZc85rtFpjyElNMq1L + DINJqqUNow/5ugh8STZLStMUG02SZr1z+LOf+yXNu//23/q3v/TZz56f2YN7l+/cTJe7eZRMGtRK + 0qIma2NVCoVUXdWawlMoNyUdSs9XV+s14O0pp0n3H1lxzCzP0juGnK8u/7Pv+tCP/YufG77pmyOP + szTEjbXVJAt5o7g8AAAAAAAAAAAA8IZSJZMlJXPJTlm/LbKf3/mrv/5rWi61zfKki6LtXbnedbap + 0sZ911ofXK4Pb/I0CaYPH/fc65/+hX+hPErSbtE06noQ8xBrrXB5U6waBpmUt5LWZT+dbRXHrdyY + X+NEEj+HFtUksxQuUzS1IskGLyrtRmC5mR/edGnZzeNmKyml8WzaXM17N6/RDm+/qap3hSZJoTxO + l/OV3JppKYvnZEPuTx2EFCb5aQvA8+ZVZuLQmQEAAADgrelVLhZY19XMImIYhrOzs4uLi2EYnm3L + AAAAAAAAAOA5QKw1AAAAAAAAAAAAAAAAAAAAAOAhV1dX0zSt65pz/tSnPvVTP/W3zVTKIdC6R1NH + xDRN8zz3hY/cjpmllHrudc+0joiUkqR+m3OutfaHJFsDeIMwSWoKmXkoXKa611r+5Hd99Nvmcjfk + rZZefNx9V9Z1XfOoedc2WR7X8cm9NLmF/Os6tx2yn03RE5RNdgxR7l/Cjvnb3kO5D4ubhVKTpGK6 + yFqH8WVLv5XSX/6VX1QedX6mlGuT8jHAu8lCITMpyZe61pTck6lJmtJgkiIU6oXbFdZ3ybeDTO7y + ZK21ppjy0Fvm2ULNXKXVPA6llJzzMHlrzc0ltWiSzobNEmWyMarMtZxNSUPK0mb4gX/497Xbq5ZP + /t7fu3v54tz9zFMua6vFpJQOkdJxo0xxWAupmnvI5UlNTWGvVqLq63pTQmbqP60i4vBTK2TJ7q37 + M9nblt0P/u7v/Uu/8Av2Ys42HYqMp94XDi157RnnAAAAAAAAAAAAAF5/4bJ2zKGWJMumGDSFtkNJ + tVjOm9GakuvLfbZMtGEa12WRJHe9yvwZuZSz1lJeeIdJZV2mszutVM/2UMzwIWC4hWpRbcomM2nY + bLRfNGaZZIdByD68a6cHzLh5fpiSJJeHVNZlGEdJ0ROpjx3CJWmVSXKFj9tztdZzzffzPvlQ23qI + Qj9u9LoPpFSWWSkraoR8GttSSn/GjuHXIcau8ebUz+Tccsvt83gLAAAAvD769WvrukrabreXl5fT + NN12owAAAAAAAADgjYVYawAAAAAAAAAAAAAAADwpM4tQhNzdzCKa2VONBgIAAADwbC3LcufOnd1u + l1IyJXcvpUmyNKy1mtnf+lt/+6/9tb/Zo0PN1OOrexC1pHmeJfWg655XHRF9nZ51HRGlFHfXsQ5I + KSWlZGZ94Wm5JDKtcYsiQsdO2Fq7meCuYyeni765WZNMq4WpxrIMw6ialWRmijnXoovL33a5e8fu + slotvXZ4z1E2ZUlVG5dXpZBJIfUTZfQy0nGIpn5CYWpxvHNcYtEkpVD21Fr164xkN09J+artm6Wc + c14XlxbZutl8bvDPZ/8ff/VXlZPSqDTITBaRrZdjT5KZZKomk1LVNgYdGuw6Fr4Ok0zWT903/xZk + SttBkptc1uPAZc0VVQqZ3KqKsoqaJHOFmklDP0zRcrjadYntKk/pXNY0Vt1dVOqf/cVf+r5vfe/v + vLtJL1+dSVNSrUpVVVpcV00vbtT2GiVzFal5W5unUJKSFE3NrrPGv0GH9z0e2lyY9hHZVS3afvn2 + afwPf/u3/vcvfSmPrjqoSJPLVdTWtm48R5NRHvwb4O6nzxsnOWfdOIG31voSAAAAAAAAAAAAfB0i + ok8FMbMnHHx5vsdrUh8z9ZDrFP0bsj7u66NM7Tjm6yaFojWXtYh1Xg6jqo/MtO6Z0+GqffRYIaVh + rJLnFLqOIn7FrHxXyn3ENlzSIdPaVdUOjZKSjiPT6ekdCrzOTNrE9YDxMGyOd90kP3S0fuuSZCbv + XcX7gHWTpybv+euhJh1GsA+zAKQSkvchepm3tSr8Zu80PyRaWxzbBElSn89Waz3NcxuG4bYbBek4 + F7HPOTzOXbzZqR+ehBGH746vvjUlyUL2yGe5Pd2aTHIzb6E3Qnve4Lf0q9fUrx57rPBoffJqe+RH + TeDJ9PnPr5j3COAZ4zsRr5P+F7zbbsUzlVLqvxj2XxWHYeh51WZx81C4e855WZa+gpnlnEsptda7 + d+/u9/v+R4BSSv8bZr/27XSlGwAAAAAAAAC81Tw/0z0BAAAAAAAAAAAAAAAAAAAAAE/VnTt3rq6u + hmFIKc37VdI4biKihlpr/+Af/P0f+7E/a6acvZT2mFInvZBxrwzSK330iOteRzKldHV11UuqPU81 + i/GWMc/zNE061td299OSUoqknHMvjXrLDcXrJ6QmJRWVJI3joBZqTeaDV6tNV8snvud3/U6Fax3H + fLWWmzHVJlkohfyYaS2p6RBlbV9vnaieaR12HYltksuil2GSJU/7VoY0vFzmjUqycY21lqiW7Pzs + nvkXc/qLv/QvtZm0GZqZKUVrbuolsA+Z1pLk/b9emf3wz471z0P9BXGqZX1j30Pq0duHMulNPdna + XLnXcr8+Bjfqbl9/IVdIdsh5TsdE8Oo+yz0Nm9RSWv/2r39W6/qfvO8D71zjvC1j1VZyKY9+J8Xu + Ml4YtKwamsJalTdvau4hk6do9hpjxV+rZvIh7+aynfSus+H+vYvvON9qt1OV8qBaFSnCizXzwdTM + /MbRxGsQEbXWm2fpvrB/8FjX9fQxI+fcP5zcXmMBAAAAAAAAAACeY6dxltMwuh6bvbSu63M8XmOS + FKaq60TF7MfI1DiM1MZxXbsx2HcYII6HNvXKXMa4HkXu46oR+uoDcxpollw6ROhcr3UYaG1x+KJ+ + WPQ8HGA8Qlx3PN2I501ySSa/XmaHYXT5aYhfTc2Og/V+GKfvfai/qneXFpKiKUzW+hZPT/f5AL0f + kop+4u7LsozjKOl0B28EEdGnI56iyx7+kdTvn2ak9Dvp5m0oJA+dZpD077XD8hsvf4vemuzmsYow + KeJwHnpDtPCNfEu/erVbN5f6d+t1v7p5rB6+xbVX5J5GxFstq/LrQALl471aLPrz8fva649vMbwe + vjrEmp6Gb1xKScfPBjc/Ktxqo25BrfUU5j2OY//9fVmWm3+KjIjW2ukpM0splVL6w/4HT0kPHjy4 + e/fuuq49A/s29woAAAAAAAAAbht/JAUAAAAAAAAAAAAAAAAAAACAt6hSSmstIvb7vVsehuFq3pvZ + dnP+T/7J//0Df+xPhGTma2mSpmma5/mR2znVBOn3e6nift/MlmUppbi6n/neAAAgAElEQVR7a21d + 12EYntkOAo/Ri9HUWqdp6n311I2HYVjXtff5U2Dq7bYWz0L02qJNcrmUpWU2k+b1xz7w0Xd86aVd + LFuplmKnWuS9xHNTikN9zR6QXV3N1Ewesmgm+ddXMMoeKibooRKxlfeKqLtWpjS+XOYXtme7ed+s + pGpL2n55yr/h9W/8xq9oM6matmf7eZk2Y5OsB0yvJY1Jaqp+2AHJmvcw6pAsZKHad9OuS4fGdTFt + 3WxZkkLtEOB9yKs29ZK3JoV/dbX1vuVDlXc77GlqLql4Dxnvq/q6xnD2guryX3zuV7Xsf+j9H373 + pPLg/tuHzf39vkrnLlWdS3Yoy92KefO2NqVQkiepRnv9kq1DejCXszv5/kXZzOvkentZP/Gh7/7z + /9+n5fd098XjvmaTVFbVIopff136ubqfn0+lx2qt/aPFaUnPvabCOAAAAAAAAAAAwDeip7+klGqt + KaVlWR6T7/LmGK9xqeoYbm1KSdZzgq1KPfDTUvQh1b7OVyUHPWpc2CSXvKn1cVGTxY1k7Ov1mg5j + r96OqcOSzORqx7U9Xcdaq0h9LgNhdM8fU5jacY6BH5ZJPTddOo2t90zrJplqlR1G500tHkoB9Ye2 + 3U6vPYSzh9J1L/rqV0C6EYXVz37jOPaz3223663uFAfYZznqOHPgUfGc/cTpN86gX3vreuslwD3S + 6SiYmZudouB6jjhezc3MvNMSM8WrxMe+1bS4Pg43I4dfJXmxH0wOXQ+qPPYlzlFfJed8M8vzdIhe + LbYZN/Wz1s3J0hEcN0ly91f0KOAbd+pN/ePrqZvx+QrfiFofOm+ffl06fS59ixjHcZ7nfsnPshR3 + X9c5JXcfJPVr2XS8Am5ZlpxzKaWU0q9uSymdfq+8e/fuPM/TNPWX9D8L3NqOAQAAAAAAAMCtItYa + AAAAAAAAAAAAAAAAAAAAAN6i1nXdbre9mvDZnTtXV1d37twta/tH/+gff//3f/+ylGFI61rdva/z + atuJiF7ao5TSi4CcCn/cLHPcCxz3LOFnuJfAo/V+mFLqHbhXibqZy95a6+Xber99q5X7ecsxRWnj + mJpMilpKslByXe3+o+98/4ev5ruxTtnCNS8RUj6lWB/TmfuCXiyqmaqpmULKT6PMncWhcufGPCKq + imRFSim1qotW6zbfu1y25y88GKY/85mf1zDo/HxNKcz3pZ1txhJqrQ29rTmrxTE2+lCa1k6B0NIo + KZRMx5VeWdC63djf66dOad+njYbLmsz1qKrs168yVSmp9Velh79a3m4UUgoNJvlf/OVf/w/e894P + v+Ndly995cXt2biW1MrSWpUGk4dySK1V8+aKkLdnUcN9nHxZyrveNi0Xs4WGubzzwf4Pf+u3/Y0v + fkHrTnmSe20yD6VEUfmvW611XdfNZtOr+7XWesS1btQRm+fZ3U/1xQAAAAAAAAAAAPB1WNd1GIae + 59rH0L9mpsvzPl5joWTSMQm4x0xlK31BVXPJ5DokUp9iiJ9oPLi/4Jgdd7z3KuOGFko6JmdLTYeM + vtOX7COq5K09t07TCtylJvdjX/A+cP/Y7tF0iMQOqT3cCcIOkdivGN/v2zSp5zPTcx6TVlhr7ROE + Tmc/5rnduj5xq89ClNSneNVaXzmNy26eZL/2NnWMH+Z74hUiGoGeT+6rj1UEUenX+rlUh5zmSljs + axIRZjK7mYp6qw16wyilvGLJKw4UHqkfH5KbX80rYtH7t1sE33dfA13p8dwPv8xHRL+gpi+nXz0e + /erxcvbWDr3pGGktvfXO7fM8D0Na17V/BuhHpLV6io3vgda11n410OkbMCLc/XTdkLu31qZpioha + a86ZTGsAAAAAAAAAb2XEWgMAAAAAAAAAAAAAAAAAAADAW9Rms7m6ukopnZ+fr+vq7vfu3fvCv/7i + j/7ojz54sJP0/7N3t7GSbfdd53//tdbeu+o8dN9rxzYBBgiO7SRO4gEUzeQNr2aYGSlMNDMCkaAg + lJA4D7YzhoTAIIEDJCQ2SawwCR6hEBCaSKBoXgwaBkTejIQYYHgIIYmfrx0/JLZj+95+OKdq773W + +s+LVVWn+tw+fbuv+9zu0/396HR1nX2qdu2HVbt27b3X/zfPJcbO3XOuFpLX8+XAdlppj1b+Q3ul + r0opu0Kf7ZFkA+Mx4e4555bI3hpwq3zaBrZKtbtcdmrUPuG2VcfNQzTNJXepkxednOpk/v1z9vWN + Zw6Pv3Byy6Shi/KgPEvybXa1bytP+3Zgtc2ITQovp1TUHWXyWvldc1VVl0whpCHJn59Wh9eOP3vz + VuwXp6+9/onF8mf/9f+na0dSkndpVk5apDDLO7MhBkllriEEs9DmukitAnsKLV66bl6uKlbJqhRk + kt8Rb73doLfivntF1G37054lycK22Pod2dabetxngitYqKqynJNJMbhUFebquZYh9dF7DQsN+nu/ + +WnNpz/4tW+ZvnDyOg3rmg8WyxvTyquuSamlgHsdk2Qqrnj5pbryWJeLcOOFsZeqadHFxZz/sxA0 + r9RLIUlhCCrVZKZaztcRx/2JMbbdidPT08PDQzMbhqHtafR935ISdgEJuz0QAAAAAAAAAAAAPKiu + 69rJl5xzSimltFqtFovFPS75uMLna7ZBwuZK1k6bNnWXad3OCEdVhaqapGAK5vcba+1S2T3U9m53 + zv2apRZQFBTD5nx0lUI70Vg3U3v2XLswBRmPndamVCU3U7S2OoM8nG9Ntln1LZF6G7zexmGSb07j + b54VdlHoRXV/VJuGuh3/+ZZC49lq6Va7rVwLtOY6t8dAaAFkLW+sDer7NE35jqa7i329jzi3zfPc + n7bst3s4y+/c/tqG3JnyibvbbSeIHz6nbmMFm13rwr0tl337AOKa1buyrRBCCKFdTrb/GYG7Oj4+ + 3i263UB3Z1enaW+31qhSSu2Onr6Y2Af1zDPPPOpJeKy19mNmXdcNw9CalqScL+yPA9GuXkprPyGE + ruv6vu+6rm3Jn7bPwfb+akHUh4eHt27d+if/5J98+MMfsRClMM9zWyA55/bZ135tOwzty34bT9uR + aJ2GWgeiq3EkEwAAAAAAAAAuB7HWAAAAAAAAAAAAAAAAAAAAAPCUGsex1nr9+vUXXnihFj3zzDNf + /M3P/ek//ac//enPSdrG/VZ3DzHq4lq8ZubuKaWWaZ1SamHAkkIIrcZxu20ljF+xGQTuwcxaPbtW + l7bVo5G0WCzW67WkUkrXdTFG2u1ToLrJovI0m8XQ9fO07lTl5S+96Q2/e86d2Y2TW0NSjN04zlJZ + KLhqqzxeWmW/VjdakhR8E+Ecq2JV2FSkfrBpMlfQJh77bKAsmM2u07yqIfWHB19Yndqrn/nY6ep9 + v/5BRenwUCHJOlVZUJlLGmKQmWrNHmLMde67TX+ibXn2XQXMvVezKtOmvrrb2WPu/CQw7YKrqyuY + qraVnnYPrHd5niS53VFhuErRgqxuJsurmYKCgimkuSgG1RBClI6PlON7PvhrP/jG/zyup36VT9er + 5eEQylQmb0W/ZQq+mZ+XsfAfiElHizhPpZM6xRp8nkvR6tXXnvnuN33l+z72nOaqo2vRg6qqFBIV + r16mWmvbnTg8PJQ0juMwDG0nxMz6vi+ltLqu6/V6sVg86ukFAAAAAAAAAAC4wlouTozx9u3bR0dH + y+XyHg++2udrrG7OapaksIkOblnC7VRpsFAkqe7nUvvmnGSxzQnhu9y6qm3PLJvJfXPe1V8y03F3 + 7tlrO/Mb7vrX7XTgKrHWGsL2F23vv3hVVu2yzLVtWZvHm1TOAq3b7f5Z+b1G4q3x0Wa2LoonrLXG + GNsp6RZzdZW2Y0+uXQJZU0ox0zd8wzd811v/zHbYHSvULrjAcbFYhK12mcElTfAVtYtdbHGeKaUW + 8MaCurflcrlrVyL99AL77aolet4rdtGqPDwttxeote5nDxM8fE5rP22ZtNb1dMZ5PqjWrrRddPvD + H9EUPV52y2G/UeEl8cF3b62Hwm4vS1LrX0No7r2xXbq39nX1XLtqkcyPetJeUTnnw8PD1WoVQjg5 + OVkul3/sj/+P3/zf/w+f/dzzXRckzfPcYqrbrlTbHZ2maRiGUkqLu951edt9Q2zfgFpa9iOeQwAA + AAAAAAB4FDg2CgAAAAAAAAAAAAAAAAAAAABPqWEYWiGPruvi0H32s5/9lm/51k9/+nMKSsnmqUiS + QkypVnnNF42nVUJpZT1bRY8XXnhhVwBrnudWkG6e577v53nuuu4VmkPgPrT6p7tSgOv1ehiGcRxb + HHvOue97igM+8armecpdf+jSap2P00K3bnzv17z5d5YxhSrzEOQKt8b52e6gzJOpSqFKxeSm4urr + JojaXOY1+SbWuiWiZ6nc98S08ejFTzHN7tFd1sUQSxdO8uzD8AUL7/utzyn16kynJ7rWycbsNcVu + EcO0HvthyHMJXSdTPwx1W6c6upLLwzbAWiGaNhXbNyWvzVxScNuUyDbb5lTrrDKwmdokl71q6u0l + ghTPfq/n6nHvatq1su5FCkEWwjZwO0gq2bvOLKgWD9FKztGKUqel3vPhX1Yuf/b3vP539UdxPap4 + DVonFZO5oqsv0iVnWksKrnldTHrm6Nlbt2+G4sdh+UJdrfPJa5YHmkYdDvP6pBuOY9A0ezILT1f1 + sIcmhND3vaRpmrquG4Zht1PRKou1umzzPC8Wi7Zb8mgnGAAAAAAAAAAA4IrKOXddN47jMAxHR0eS + 7h3rsosdvaLna9yKqZ2fTOaKpiiZB5UgyaLMtqdC2zlOk6y6TKru2p5DfdGtVd8+vp21LJuXO7v+ + YHeG9ewVTDVJUvTtK3o1hbNzrHYWS7zN4L4j9hqPM1eoIWi7zkx35pTvWJXqNtDy3PqtJttFyNnZ + KXwFhdpOke/CMn37Avvnze2OU/ZP29UwF13/E2OcpqldIySpZVqXUp62eLDHTbsoUXs5pimFN7zh + Dd/4jd8oae/Ns02jlMLdAhZLKQRVvqT95MWGuMp7yzm3RsXiuqu2QHaLxcxM1dzDXT72ds+pT9ft + 3QSTbS/ma+9Ke+o+q+8lxbMUeffqubQd7pYuj4sEd7nM7Nz7L/GxKEkKXfIteZVv330sn3sKLJ97 + 8ly0/ba16VYjBZPq/V9O/jRiu3RvZRp157fazff78nTtiCZTnac6T8X9VdevlVJ+x2u+7Of+7t/5 + tm/7juefv9H6rJVy9l5z92maUkrjOEpqiddt56Ft8EMItdbWgeiqHMwEAAAAAAAAgIeOw6MAAAAA + AAAAAAAAAAAAAAAA8JQa13PsO+Uy15Ln+du/87s+/vFPmqm68rypbNL1/TxNUrAQvF5YSa2lAuec + W451K+jZan0ul8tW6bjrOjKt8VhpxWhaPe5WvKYVMB3HsQ2RlFKiRu2V5rsSzLty4Zu/VO1XZ3br + +uWcPcqOh6SbtzTOr1uvX2VeT6cuaS5y82vLw9urk4O71QSvUjDVlgUtSQp+/nF1Wxi6VdFt8dV1 + 8/qbx9heXan9B7Qndimtco4pnMhvh+6kTz/yn/6jhgP1i9p1QVVH16RSSo4xVVWV0g+dqqfUyVS9 + RVB7lcXtMrG9StVR2r5mKJKpRoU2d669ZOuXKn51/u+tkvtZPfdzNjW7q1QVgqpta8ab1CUzV55L + 6qLXGoMUO3e3IVTV4PUnP/Gxv/Smr41TuRaVbS5BbopVschcbqFKcVsJ9FzE9bYK+Kao8W7hP1AS + tkkHUWPRF28/fxiWseaprhfLxe28Xty+/c6v/pqf+uAHusNrqrXU0Pfmdy0Ofr59nq0Xf/rKiF+k + FRHblQOW1HVdrdXdWyXrVlasFRSjrBgAAAAAAAAAAMDLllJy9xbv2q4D6bruHudf2ln1K3q+xvdP + U+6d8NXuxJ4r2F3OdLpV+TY/2O+SaX12+yCx01UqkkvB6ibTcJNqHHYp1ucETileKb49BW/nwq3v + 55mbzKo7zsnvhaPXIJXN2fkL3JlpjZ1a626jZ2aLxUIS1ws9cjlPkvav45rneZom22yybXvph7Wt + Y7jgGouui5Ja8DDZwy+2DcZzsxYW6+5yvzAGHk1KQZKZ3Kv7HfnNj3S6HhchbKI8Jbn7NqrZX+oj + 6EX7VE/qrd39emy74+K8zXIjN32n1KJdQOwmU7ctnAuvb4fO3o93fAiaWSVeV9KdKcOb1mXsMt8P + ls+9nSWj+/YaZnYSXhKt6t5iatuozY5B+wKrvTb2lDCz9Xiautj3/e2TmzHGWuvXfc1Xv/vH/8Y7 + vv+dq9UYo7VU65RSzllS3/fTNEkahmG/r9DuT+2Q5q4rHAAAAAAAAAA8ha7G5Z4AAAAAAAAAAAAA + AAB4fJjRexYAAAB4bLU6pedLnrVqm9M0LZfL09PTGGPfLeZ5Dl1/++T0+PCa5uk7vvPb/+OvvL+6 + YjCVs7JV87Rud7xuCqC021bII8ZYStFeSZRaa8651hpC6Pu+PXcYhnaHTGs8hlpx7Xbf3VuT3g3R + Nv0aV02V5AqbJOa9JOHaijirRmkb7RzcY7SwMKm68iSbfvD1v/craz1Yj0Fa1+AxyG0cx4WCVOs2 + 4zm1Kq7b7W+LQw570dl587Jq9SZLkEvRFTy0CWijqr597nYG3Cx7WXTDOI1RwZNqrbPqJHUxnVj4 + 9ND/zIc+qH6hGNX1IUkepSoLMXbtRRUlD7uRhk12tW3qLdne7Xaad1OxrckUdEcV7BfV/7XdzV1q + sdvuP7/L++jcmLYFu8P+I9pjUh8lWQxtPCZNeQ7DcfAs9T/ywQ/80Fd8pdVcVvNxVCfVWUVKSgoH + teRO65Y5Xl1um8/Kamfx1bWtFClIrlC8PkCytasUJcksTHXuJcnmcX0YdJhdt06+501v/Nsf+aj6 + Gocj91C2jSeq7qosb5bPpn0qSrE12lirFDar7mm3qxS2vzux20S3XAS22AAAAAAAAAAAAA/F/uXi + L3mxRzuPc0XP15hCkEzxjvOn7U46uxt0519dJt0rXnD/T1b3T5q63PZOpZ7TzlrW3ZnT/enZn5K9 + Z3Ay8Qqxdjp479e9//aFszubSL1tWpUkme+1sLq7aXaxvn424MUvQbM5p2276CnzeNpexxXmeTYp + mJu7rJ5FWUt3XDn5IrvUt1dgaq+icymnj3BKriLSrC9y7h3HG/C8u11Np/O7l7Y3kAYmnS0R6Y5l + xcJ5CXddVu4ykdz5Imyr8JDsvrzpjjfeI5kWPDna9rwdFpAk2W5X9BFN0aPhrhg6uaYxd2mQFKJK + Kf/Nf/1fve37vudv/s33BvMihaDajt9J0zy1547jKCnP8+YafrNdvnUppR0CbZ3jpmlqHeLW6/Vi + sXgUMwoAAAAAAAAAr6grdt0nAAAAAAAAAAAAAAAAAAAAAOBBmdk4jsMwtEIbKaVaa3aV7IeHx1PO + 3//9//O/+Jf/plYFUykX1stx98PDw/3qci0AuBUmdvdaa601pdSGA8CjdUep2nvWE48hustrlmet + x+96wxt/d9/F9TptU7HNN5VH6zbTepdgHf0sx/r8iE3FNpMRtpWkqqmazO+Igna7Y2pN5u5D7NbT + GBUk1VxdYe2Wl8vPHwy/ddD/zEc/pMWgYamDxaa6oEkWtnXOtz+2TdU+G/l2wP5wO1/SylqddNtk + S9/xd9OLR3tu8Pk/XviH8w+5aHrOhkuS+tStS86W1C91cPDjz33oI+bhmWvVNI466HWQQpXPpaa4 + KTa/W2vNZo2cf5UH7mzVxlA346l1k1Quk9JUr8/1d46u9Sir6/FEJjsL7g46a6V1v6S4n/0HAAAA + AAAAAAAA4HLZ/tnVvaH75zcvPs95H1zmmyRs0+Zk8T3OiNpe7PE9Tvje8+wrHl/3ve7CfZ6/9jt/ + zgYBT7gaXGF72YW1LS0AAAAA4Kl0enr7+9/+tj/1p74lZy0XqVbVXGJK93hKCMHdU0ohhMVicfv2 + 7Ta8lNL3vbuvVquWaX16evpKzAMAAAAAAAAAPDrEWgMAAAAAAAAAAAAAAAAAAADAEy7nfP369dVq + NY5jl4bTk/U0TQcHB6WUUuq73vWuX/qlX5JkploVLr7MPIRwcnISY5Q0z3Pf9zHGEELOWZK7m1kI + YRzH9hgAeITO16q1zdCo9hPCXmlyq6olq5M06Yu3Xu+L/MKNII2m0RSkvnhf3FwlaA4vzkK+X6Zd + DHZ11apaVIvVYtWtVtuEIk8qKYWpzAsp9bGEGkMs1VaKXxgWf/FD//GnP/7rOhi0HCbLk7386bmS + XKpZuQzVkstzVR/1zOJvffpjn17nUhdH/bCaVEotKsHGXE+K1Wwq4SxlvHWqakWNN3WNXVXKUrlL + 1vVLKCbfBlRHyaQSVIJCZwtLz57kP/cVb1aeh6GvVdGVXGn7ui5lbWuWu8KudvlmSAgKT9XqBQAA + AAAAAAAAAAAAAAAAAADgPg3DkPP0F3/oh/7wH/7G9Tp3vUnyki96vJmVUlJKOedSiqRnnnnG3Wut + rUOcmS2Xy1JKKeXg4OAVmxEAAAAAAAAAeCSItQYAAAAAAAAAAAAAAAAAAACAJ1ytdRzHlNIwDOv1 + +ujo6ODg4ObNm8fHxz/5kz/5D//hP3JXCPKWr3k+CfY8d5c0DMM0TaWUWquZ+fZppZRhGEIItdZ7 + jgYALtEuY/gsJFjnk623wzfJ1im41Unz/Fe+4b9Mv/3FLxuOun4oQdVkXqOyqQZVV6im+rJyhk2K + VWEvz7hK2VRMJchtE8VdpWAqng9iyNJqmqsrW5iPjm8+88wPv/9XdXikxUJ9P9eqvt/N7FMkBMXY + xSiXUvCYTmrVcnjPxz5+85lXfW6qbp3FXlIXZlNpq2yTXm2qmyUWgodYFTwE3wRRF1N5wJVbt4HZ + je9Fqk+T+5yvl/hlNSrL8hhDzaVu1r1LClWhqoVYb/7fdPeyTRsl0xoAAAAAAAAAAAAAAAAAAAAA + gLsKIeScU0o//d73ftVXfaUXl3SPbm2tE1zOeRiGdqeNJMbo7qWUeZ5LKTHGlnINAAAAAAAAAE82 + Yq0BAAAAAAAAAAAAAAAAAAAA4EnkQb65YrzrupOTk+Xi0BRDSPNcxnFeLpfve9/7fu7nfq4UlaJa + NjmcF8RaV6nWWvu+r7XGGMdx7LpOUgihlfNolTtaCY9xHM0I4gTwaLhUFXy/14ztYoOrvKqG7UYy + uMlNsqx5rfX07V/xxsXzLxyrWi03pjHujSioStVNL6M/TtuyBpdJwRVdkqo2gdYt07raHQnGU9FN + r74wk2LXvWD2XB3/yvt/Wc9eU01Vh9k67wZJxfNT2EEoj6NMU/ESlKVlOlZcaBn/wgf/0xeuXb8V + +slCZxqSqstNNSjulnxQtVAsRA/JQ/QghWohW6i7dfEgislN0RWlImWTpFh10Ol61wcfr1W99U1f + q9NRPqe0zb4+SzcPRW3tV/m2FdhZEDsAAAAAAAAAAAAAAAAAAAAAAHixaVofHx+6l2vXjv7e3/v5 + o6ODrjfZ2UX7LxZjTCmN49h+bZ3gzMzMYoxd17UhOWe/oKMdAAAAAAAAADwxnsKqNQAAAAAAAAAA + AAAAAAAAAADwdDGzYRjmeV6v113X1Vol/bN/+s//+o/+eM61PWYYuhAkKcYLwzxDCNM0mVkpRdI8 + z214rbWNM8a4ezlirQE8QpsY6bOc6OpW7/jzWaKwqqpUJNfJ+Nrbq1fFsDTL8/jag2vmIW5rEJ1F + HW8isR+YuYIrVtk2KruaXPI7t5dBqq4YpaBba9eivxHjby7CT3ziY7p+PJtpcRRilKsWmWthF2+4 + n0gmmaxLXmvfWS4yKZiKx3L8jJbDX//oBz//zPFvzZP3/QujDqIkmW/DzVUl1W17MAWTzIMktxY0 + Xi9+7Xtp6zSbim3Cyy3r+XmKCovqrzktmoPyWOt60y5tNzf7qlTVWujTtV4BAAAAAAAAAAAAAAAA + AAAAAHgwIYTnn39+uVzmnJ999tlf+IVf6LruHo9vPeNyzpK6rosx7t8vpdRa221Kif5xAAAAAAAA + AJ54xFoDAAAAAAAAAAAAAAAAAAAAwBNjk4V5xoM8lOy1KLtC16+nHLvhX/2bf/vOd77TTLVouRjk + Gse5Vkly97uOWlLLrnb3GGNLsB6GoZRiZiGE9tfVatXutOhrAHgk2qbQ1MKBq6tWVd9tIU2S3FQl + b71rPOt09b/8vq96g7qU17NmWXjh9GZsmcctf9pUbZOO/EAdctxUFaqCtAm0DtvJ2AVa7xKvWxT3 + YMGKFtZ3Id0K3W/E8BOf/KiuLdQNqTuoRXlScqWpdK7gpgu33E8gl2ZZllsI08mYvEapmDyaK8wW + 9ezyrz33a+vXfNkNV9/b7Iqu6Aq+SZsOXqNLqrtPzSCFquhVqtvQ8wcQfNMwJBWrJSi4UpVcr+66 + Mfh6Pv0do//g73uT5jkEV6iKm3YYpHg2piqrQdX0MqO1AQAAAAAAAAAAAAAAAAAAAAB4esRki2W/ + Wp2kFFzlDW98/Y/96I8O3aYzyP5P6zrg7ruwancvpXRdZ2bzPNda+7539zZE9+xnBwAAAAAAAABP + BmKtAQAAAAAAAAAAAAAAAAAAAOAJ11KoWzWNGOOv/MqvfM/3fM84Vq+StFqNIbSHmZnqSyVpxhhL + Ka0qx3q9bndKKS3oerlchhB2vwLAI7HpMOOSNsnF3v4zyUJ7RMu0Nsm8aJpV6mu8DqsTK1P12nUx + ynxvhC3ZOrrigycOl/0E6005JEmbF4h1k7i8K5ZU3U2plriKi9/qhp/6jed0dJS7wa2TmUWlTipK + fZRL+WmMQE4xea39wZBi8Fp9F1DdL3Ic1IW/+uu/+umh/6yHVQxVZ2stuLqq6NVcxWrZNIPaeU31 + ztVzf3Zp2S4V1c0QKbgkncyzd9FUjqbyuiKdrpVHqeZtk4hSlEJrs7a5occXAAAAAAAAAAAAAAAA + AAAAAAAvqdY6z3PXdaWUYRhqrd/0Td/09re/7aLHm5m7xxhDCKpIkhsAACAASURBVDlnSbuOcm1s + revcLvoaAAAAAAAAAJ5sFDkBAAAAAAAAAAAAAADA/dp1xqMPHgAAAPB4alUzQghmlnM2s5SSJMVU + ZKaY5/qxj338rW/97lu31pK2+/ibKOtdCY62z99uu65rY95/FUnu7u7zPO/Sss9NyWXPLPClK6XU + Wls9GjMLIWivhW/ePpKZ1ZfMe8fjZ3Pwws/+22VUr8axSEWSFFU1Zd3OP/Smr12YrzT1yTpTnsYQ + wmzKJttGF1v70V7e9X1oedhVZ8nWZ3+qipKKgqu3WF2zZJuk435tixuLwx9/7sNaLhSX5qlldNeg + EuSdFCST4tPVP8ikThbV/mUph1BNVVKV5uypBqVDHS/f/cmPf/b4+nhwrSoVqZiqyaQuqLq66DnW + KdZi1aRB6lzRt69x/9Pj6mowD8VqCTIpVZmrmkrUSlLU4bAY/cY1+Z9989dpPauOWbXIJVmRzW6u + UopvW+mDh2s/Ldq22u5m/2G7w5gAAAAAAAAAAAB4GXanyO//tEu7jERSKaUlwXCeHcAV0jZZnGsG + AAAAAOCKijFWzyGqzlMXTDV/73d/95/4E//Trn9bikmSSxbC7kjm7hhmu7PriNeuTq+1tt5G7fG7 + B+ec2yFQAAAAAAAAAHgyPF1lawAAAAAAAAAAAAAAAAAAAADgCTZN0zAM8zyHEFJK0zSFEMZxrLXO + 85xzvnXr1nd8x3d87nNfXCz6e4zH3Q8PD/fLdJZSJLUgyWmaWsrvOI4tBhi4iqZpijGGEOZ5Tim5 + e6215bhLGoYh59z3/TzPkmjqV461PjMuaVtmSJKCFMZp7peDS2Meg2aVWdP8Z37X733Vep7Hkyz1 + fSdXlaq8mqqd5WEHKaiG9scHtMu0btHFbbTJ1KokxSqVGmUH3SKHOMfht0P4zUV61/v/kw6WWhy6 + umBpbwy1qj696ce+F1huRSpRNaoGqUuWx6LYaRi0XPzUhz/8XPUbsaux85hiCkWqVUmyIDe5tVVZ + 1dbvNrz8gdheH63gmxx0ScW0POxunU63x9Wr+uNxdeNVU9Z6Vi6uyeSbalbBVGoMnRS2DWvTxtzu + koYOAAAAAAAAAAAAXKoQQrtQxMxu377d8lrakIuUUsyslNIuWck5c54dwBUSQmgXxbUriNbrtV5q + uwcAAAAAAB5v9S//5b/8h/7QH1guuxgtlxwsxBh36dQv5u7twGYIYbFY7I551lrbkc923KClX5Ns + DQAAAAAAAOCJweWeAAAAAAAAAAAAAAAAAAAAAPCEaMU1Sik558VikVK6dXqyPDocx/Hw8PD26cmf + /LZv+41P/KZLq/V0j8jOEMLJyUmMUdI8z33ft/TfWmvLum4lPIZhCCFQhgNXVN/3tdZpmrquyzl3 + XWdm8zy3WjPTNElqw09OTu5RtgaPrf0s4LrXhWYYurnWKi1TDF61GnW6fuOwfI1ZSnZw3N84nVxK + nblVU5VUTNn2044fWnuori6lTkqyUW6xf2Fef6HOtw4Pf+t48Vc/9QF92YG6QZ5Kiz/evHyuu3Tt + lqhM8rGX6DXIc9XcBQ/yUVKvg8Of/sTHP7MYxqNrN3MuxfpgVVLSzcnNFV3m1aVZKlKsSr4JpX6A + F5ckRVesd+Sgl6obJ/O1RXfU91+Ybh0thuvr+Qdf/yblvJTXOqYUNs8v0lnDOnv5lm79gJMDAAAA + AAAAAAAAfEnc3cwklVKOjo7aFSPtMpK7mqYppbRer2OMZpZzTilxnh3AY8gvUErp+z7n3LZ+i8Wi + bdMe9fQCAAAAAID74OHsZ6NKdeji3//5n3/Vq15Vq8do1Wsp8+Ya/gt0Xadtv7m+79txg9arTlLr + cBRjLKWklC57tgAAAAAAAADglUGsNQAAAAAAAAAAAAAAAAAAAAA8UbquSynduHHD3V/96lffvHnz + 2rVrv/25L3zXd731ox/9mKQY7X5qbrYE62EYpmkqpdRaU0pm5u4t37qUYmaU4cCV1ve9pFLK6elp + rTXn7O7zPLdGPo5jzvnw8LAVoMEVEs7fNSmYglxyhRBcxfNa06hS/+zXveVwnE5ObgavN0+mKA1D + nHLLPK7BQzGVllX8MOKFq50lH3dR45irtBgODrqDkzL5clGevf5Bn37iuQ/osNfQZ9l6rjGplLNZ + imdJ1k9lLXjbzb9JaqtVriCLQSFZlix2Ct3srsXwno986BN5nuOgbhirr6QSNSwUXakqSC5VqZgk + xQdcom4qpiqZ1D5cq8m0ycY+6MM85jLnZd+v16fDenpt6LSatJ67oDGvpCqXUmhtYtvEfBtpDQAA + AAAAAAAAALzSzCyE0K4bmed5HMc2xC4wDEPXdYvFoj29PZHz7AAeQxdtx9pGr10IF0KotS4Wi7Y1 + AwAAAAAAV1StteviL/6jf3h4uChl8zX/Ht/3QwitV1ELrg4hhBDa4YIWdL3rQxdjnKbp8ucAAAAA + AAAAAF4JXO4JAAAAAAAAAAAAAAAAAAAAAE+IEMI8z33fl1JayeDPf/6L168/+/wXb7zzne/8d//u + l2NsKaChFLdwYRx1rbXv+1prjHEcx67rtK3NUUqRZGallPvJxgYeZ2YmaZ7nXaGZXauutbYo9zZ8 + vV4/wunEQ2EKUVLWuM6SorxLUbXq9ur6eury+nX9sAxJVWkRb44lBPXBkiu6qlK2Fn98Nrr7F1zm + sr284mIqQdWUi5Zdv1D67fHk9jzm1D1f5s93+tlPfVRHvVLnsrXmMIRisqgqBSl5iB72ugU9XeHH + LmWpSPKgGlSjPMhCWynTNJtUyib62/pBXaeD4TeSpuvPfGZcdYfHfWfrrGlSdEWXKVSF2UI2abu+ + 7l9tqee2l7UtaZtynSzIffZa5nzYp0VUuH3yA1/9Fq0mlZJS8hgUpbklWrfVus3qvuN3AAAAAAAA + AAAA4JWTczazGGPXdSklM7tH3IuZtVgXbaJiut2vAHAltO1YrbVt60IIumfMFQAAAAAAeHxUC9U2 + 3Sts76dPXa31y7/8y//+z//dEBSjui62nnF3H0+t7u7upRR3bx3rWs+j3QHS9tfWfe+VmTsAAAAA + AAAAuGzEWgMAAAAAAAAAAAAAAAAAAADAE6Kl8E7TVGsdhqHVzliv1+9617v+5b/81ynZPHnXda0A + xz3KboYQpmlq2dWS5nnejd/MxnE0s5b1O03TKzJnwMPn7q24TCuo7e45Z0k557Al6datW5IWi8Wj + nVq8DK5NLaKWDhzaINMwpE1O8HqtXP7817/lcLVOtaym8XQ9XzscipJJgylnN6lK1VosdXQL1VQ3 + 43wAm3Bil6RqcpNLbqrSep6CpethWUKsQz8v+h/7D/9equqXsljmsoxpmtabOWpT3uKcPZzN6dPJ + JGuLNsqiLEjqUzCvXVQu1YMsWqlSjL/wyd/4dCl5efz5k1ur2Q+HmExVqpJv1k8wDy103B8wR9pN + xapLvl3Xm+FVdc5d6pYxJa8+5anU4y69bqq6NarUono7jyVInVTvkmBtZFoDAAAAAAAAAADgFdeu + PxnHsf26C3qxC4QQWo51O+E+z3MIoZ2CB4DHykXbsWEYUkrtYqG20SultF8BAAAAAMAVNc9zF9Nq + tfqDf/APvvvdPxaCzfOFmdZN13WSWqe5lFI77NkOgbYuSC3rendEFAAAAAAAAACeAFwmBQAAAAAA + AAAAAAAAAAAAAABPiGmaFovFPM+LxeL5558/PT3t+/7d7373L/4f/2eV5tlDUOq7vYTMsPdzplXW + cPcYY4xR0jAMpRQzu3Xr1jAM8zy38p1937+yswg8NK2d70rMtPoyklJK6/W6lNJKbB8fH++S3XEl + mdomziS5VCTXNM2qWTEq5/DCrdd0ffU6LFKf7IWT8WQ9Dl2MWdekKhVT8GCe3EKxl5N5LKnFYp+l + HWuTjT10yWSjj2PNWX6q8rrXf4WGXovjeTZlSyHGWg6TRWVX3oRzb+ZHrpBVi6rrKaqIZFKUgjbR + 4ArBQ3CFolDlqt4plNOVVM0UpZCiFoNC/JnnPrB65kiLg8PU6bQspGoak2ZTkA1unYccwhRVH3AV + m8tNY1A2paquSpJLQ4xWZe6l5Cj1CimpjOvFjdMf/gP/hcosKabutFSZ5C34fNtM2jQ8vaHlAAAA + AAAAAAAAeGRaKMswDLXWeZ53F4f4BUopwzC4e4t76bputVq1+wBwJUzTlFKapsnMYozTNLWr5gAA + AAAAwNVxvn9ciJLVo6ODeR7/6B/9pu/8zj/T9ffqKtB13TzPKaXWnyil5L65oN/dx3EspcQY1+s1 + xw0AAAAAAAAAPEmItQYAAAAAAAAAAAAAAMD920tI8nB2CwAAAODxcHBw8MUbLxwcHNw6PXn22VeH + rn/vT/30z//d/12Su7oUa9Xq9FRS6jr5S0RlxhhLKa0Ax3q9bneOj49zzl3XxRhzzi0SGLiKWhGZ + EIK7t0zrNiTnvFgsYoz7lWho6lfVJtM6RIVNPHCUXEPfRQ+a6ve9+et/R598daMPWo25Zj8e+qPl + wTyXPsSxhU+bzGv0ar5pBg+aeaz98ki2uQkuSadzzmbRupX85NrR55b9W/+v/1vdUrKui7Ko9SiX + VZc87PcDsv1xP3VsuxJqywjfHrTaFMqvpV8MKQX3IsnM3JIWC/Xpr//Kvz/p+9u5mILKNjnaapVH + eZCqQrHwMrKkW7L1rm20qbLqkmqtJi1in1WLK8meCaFfner2uq+1k/cxuEtJMoXNrNhmzT54YwMA + AAAAAAAAAAAelhBC13Xr9VpSO7F+V2Y2jqOZ1VpbyvVyufSXui4FAB4rtda+70spkvq+n+f5UU8R + AAAAAAD4krh7jPHk5KTruq6P73jHO/7bP/JH7OIeGPM8d13XehINw9COi3ZdJymlNAxD62q3WCym + aQrhaezKAQAAAAAAAOCJlB71BAAAAAAAAAAAAAAAAOBxc1FSV1XLAXJvQV9mXa3ZzGWEe10ZdsUL + xPklhzk9ZsuH8PhH7LLb29OHD4snGjsD98bGHF+S/fZz9l5LXVitVin2Xde1Spru5l6mkvvFMJVs + IZ2sxn/0i7/4Mz/7v9XtTs48F0kt2DXPYxu4Kzrsrl2Ir7aZ1l3XvbhAZ0rp3B3gStu9C9qd/Ybd + 7pvZPcpz47HVNpqhfddzyatMxTzEKEnFdXN+zc3Tw3KS5MVlUgzSPFXPyXRaiySXbDOm0sYafLMh + fRlMii5J7qoK1YKGuJ6m7HO9/uxHBv87H/modKjUKcXN1B8sJcmiSUHB2lhsb4QKamnIT5c21wpn + +c+SZK3EVIjbX7fDXR46O1rI8mdiOD5+5tatL1630Hn1olk1RK9FVRY8SO3p97uLG1zVanuV4JuG + IslN2auZWhL6aZmqZK4on+rJcTr+7q9+y/ue+3h34J7k0SU3lb3c810Sen06w8sBAAAAAAAAAADw + CO2HsiwWC0n3iKne/ak9K8bo7pxnB3C11Foltc4y4tK4x8m5D6B7fB4BAAAAAJ5Owc8u/t//0hhi + PF2tYoxm7qUsh+5H/toPf/4Ln/t//9V/aI8zU/uWGeOmg96m8500jpued61jXa3VzKZp2qVc716l + hWHvvq5yXBQAAAAAAADAlUNNEwAAAAAAAAAAAAAAADyQXVc+OtThHsJl3fol317elL/MWwAAAN11 + x2C1Wh0fH4cQpmmqtbbKF2lYeKPQ94t/9s//+Q+/66/VuhvJ7udMSsndd7Uzcs5m1iprlFL6vm/F + OttfKasB4KrYba1891+7ZzVEk3L0rNX4A1/7lt+9ONR8VrYoeAsTrpLKNmG4Ddn8vNy6uPsJycFb + /nEwqUxZsdfB8afz+u98+P0aei0W6uJmHkyyINtswO+6FbanMdP6zNly2j9WZXc5cDXNNStqMfz0 + h97/Kc81HYzupepgSAfLlFKo8qJ6eHCwmmd7wBW9aTkts9w2P+1+0wKr3bYPM8+rW6+zTuusk9Fc + JjvXTHb3KMYMAAAAAAAAAAAAAAAAAAAAAMADcfe+70MI8zyXUlark9e85tXvfe97v+qNv7/1ONhG + UW+60d1jVDHGGOPx8XHOudYaQpCUc2798iS10Gszyzlf9nwBAAAAAAAAwMOVHvUEAAAAAAAAAAAA + AAAA4Orzpzc86cp5pZKQLjPs2S/x1h+LKOvdbZUk2w+1AoDHFTsDwOW6c3/AqqSu60opp6enBwcH + IYRa6zznqeTFoi9e81z/xb/4f37gB35gnkt7cLvzYjnnruta1YzDw8Pbt2+7e845hODu0zRpm2k9 + z/Mu8RoAHn/miqYsVSluhgW53Gsw1zhpng9qufnC55819Z1W8+VOTwnVW5r1dmKqVUnJPS0PP7q6 + /aOf+Ii6QanXXBTivcaFB1dcQx9Ui9yUyo8/9+v/61f9oXBTUzmdx5ylXrrWpfWcfb2+3i/qPF3q + 9HRdWFiqN26oFKWlxtFTCml7KEBBqtb2sU37EdcAAAAAAAAAAAAAAAAAAAAAAOAltfxpM3P3YRhq + rTdv3vzyL//y97znPd/yJ//ker12V8mK0WJM07S+x6hKKSGEcRy7rnP3NuZdP7vbt28fHh4OwzDP + c0u5BgAAAAAAAIArhLImAAAAAAAAAAAAAAAA+FIELkHBncJjkAb9hN0CAAA0d4Rbxxinaer7vuu6 + 1WrVimscHBxMUx7X83PPffz7vu9t47qEIEmllIvGI8nM2ghv375tZsvl0sxq3TzM3U9OTiR1XUem + NYArwze3sX2zst3wEIJUquby1jd/3aHXg66Xa325EcaqJjeVoGKh2PaLs1VJy7T4zK2bt69f08Gh + UnIzJePr4ENnppqL3FSDrh1q2X+65M/mqXR9TPZlh4uFaT3nPmjKUy5TWzuXZ55r9Pqq1P35r3+L + 6qwUQoheJKW9owFV2jZmAAAAAAAAAAAAAAAAAAAAAABw39zd3VNKXdet1+sQQuso96Y3vekf/IN/ + kLNK0TB0pfg0TSFc2JEjpZRSar3thmEwsxBCrdXdW7e+o6Oj1n3PnQ4AAAAAAAAAAK4eyooBAAAA + AAAAAAAAAADgS2IuwnevELeXfszjzC65L+dVXz7AY+2SY+HwiDk7A8DluXP7ud2czvMcQlgshnme + zazrutPTtQc7Ojr61V97/7d+67euVlMIauHUtV4wNqnrummaJOWcJaWUVqtV+1Or3FFKOTw8lDSO + Y0opxng5swkAl8JcMhVTDFKRpDKNUa6T1WvX62Gao9cq9RayV13yV0KXZKoeTNptkE9KqYdH7/3Q + B7Toa+yrUh5PFouldunXeBiCSdE0V4VQQwwp/Y0P/vqPvuUP1JPbp7enmteLqKloMB0M3WqcLy5I + 9XB0QadTHsK0sFNNa/VBniwGudyCVO0s07qFoF/u9AAAAAAAAAAAAAAAAAAAAAAA8CRJKZVSxnFc + LBbjOJZSlsulpThN+c1v/pqf+Ikf+3N/7i+M0yxTl+I8l4vG07rdSYoxjuMYQjCzlmC9XC7nee66 + LqUkqe/79usrM4MAAAAAAAAA8FBQ4AYAAAAAAAAAAAAAAAAAAAAArgI7C6U2MzPLOeecl8tlKcXM + Dg+O3/+BD7397e94/vnb7qpVMcYQUrg4nLOlYrew6hjjPM9937c6Gu7eEq9LKe4+DAOZ1gCuHt/+ + b5tg4BiS1usffPObX+uexpOcp8PF0dqr2+V3sTG5yXcbcw9TCLcXi7/0a7+qYVDsRotVYbFcyvye + I8KDy7Mk9VGpK+o1LLTsPqn8+XE6ODiOQTGGw2RzUZ3nIIVLXgOl6KhLxylcU/32r/86lSqvvil1 + pbpruTQEAAAAAAAAAAAAAAAAAAAAAAAeXK2167ppmlr/OzObpml9cmpm7uWbv/mbv/d7v1NSCMr5 + wkzrHTMrpbQ7beQ552mauq5rw1sXPDKtAQAAAAAAAFw56VFPAAAAAAAAAAAAAAAAAK6+vXQ94HLZ + o54AAACAR+JFu9wtqbqaFMN6zjnna8fPfOpTn3rb297+4Q99rO9TrTXnWkqJcVMa40Xj3IRlunut + NYTQHtairN19HMdhGNr9HZKtAVwZLQ84yKXoclOOSlW6cVulHI+ncVx37gcprdbroCRd4sGN4Jux + b/+Xm6qFVeqGN75eQ9TQTWlowdpVXktJbG8fpqpo7vmkqo99p6AStNTf/uCvv+ur3vLFz/32axeH + t05PllKUkmRB9ZLzpE3Kc5byUX/94HSl9UpHC+vatMoVqmo0l1Xp8gPXAQAAAAAAAAAAAAAAAAAA + AAB4sszzPAzDYrHIOUsKIcQYu65bz1Mtue+6d7z9+z71iU/+43/8TyUthjiORdvOKHdeyV+HYRjH + McZYSmm98FJKtW56iMQYW++8lngNAAAAAAAAAFcLlU0AAAAAAAAAAAAAAADwpahkWgMAAACvvBDC + PM+11pRSCGG5XH72s599+9vf8cEPfDQETVNudTF2YdUX2RXLaLUzUkqS3L2UMgxDi7I2s/YwMq0B + XBm2/Wk3JklFkqpi9zf/yH93OI3PxjBIpWaTuS59+xZc5rJNfaNaLJym7oVheNsv/TNdPy4yb5Ps + MsUY02VPz1OnFAshxf40T+ZSllKnxfCuf/dv5mePb6zHJIWkIJUqq7rUUlLmClIyBamsb7/KXUUa + 1+2ve3297HKnAwAAAAAAAAAAAAAAAAAAAACAJ9Ryubx9+3brf9c6x7n7NE0ppRhjG/Ke97zn67/+ + q7vOWqb1XZnZOI6SWje9eZ4l7UKsc86tX157sLtfNB4AAAAAAAAAeDxR5gYAAAAAAAAAAAAAAAD3 + ZddVT1IIwd2nee77ZKrSpSdbn+u/Z2ZXvUffbhbabVu8D3G+9tfXHS+qV2i5XdI6aoFO+2O+65x+ + KS9w98EXLc+HOo9thE0bEuzhXOL1kJfSl+xhTcmux+9uoe2/oR6K3aTu4jx1+Uvysttb24bvD9lF + lj6U8e9ass4tt4cy9ofnXOM5N/xhjb/ZvcRDXM737+G+Ly5qn7Vebvvcdem/POcW1EPfnpwb88Nt + DLv1cteGd3l2r3hua/kQ92de3B708NbLflrzQx/5PbS06f25u/dyK3WOMYYQdjHVbSTrKS8Pjlar + 0d1M4eT26od+6C/823/7y5Jq1d7txfvqey/Ydd00TbXW3Wa8JVjvFsj+sgKeSPsbgVZNpr0jdkN4 + F1w5bqp1k1btplXOfUoqRTm/8PGPvyF2q/W4GFQtrtfzoMVlf3B2YbEu66ELOdci1UX/Wbcf+eAH + dLBU3yt2JvWbxwaX7kg3xpcuRklVWqReZfOrYqfjxWf69HtSdzQrqxbVA1NxBVe5zHd8l8LtXI+G + 5GN+pup73/CGn/3kb0hVHtqhCVeQqoxmcBftW8O5nZyUkvY24K302COaQAAAAAAAAAAAgCtvP4Ul + 5/ySZ15ijC3QRVIpZXd5JwAAAAAAAAA8Kjnnruu07RakXU/MXIbUnd6+tVgsPMSf+dm/9cf/2J/4 + zGc+764QJIullK7r5nkOlqrnu/b7a0dE90tGmNn+kVUAAAAAAAAAuCoozwEAAAAAAAAAAAAAAID7 + sostbEXGQgj9YuFevPplZ5U+kize/d6J+90UdzXXvkT7KYa7V2mdFR/W+F+83NwuPakx56w7F9rD + DVQupZzLVnyI4/eLl02t9a4v0eIev3S7+TKz1lt1097yQ1tu7c7+QnuI7e3eXhxD/rDa4TzP5+bo + 4captnVx10TVS3XXl3iIrzvPs7aLa9feHvrGwfZi2tvExxhlD9DkLntR72Jlz83+Q9wO7//60Lfz + F3lxj/eHu3J3Pe33x+zu9pDy9s5tMXYv8bA+f+9nAh7ulmp/zLrzc/+hj/zyYtr/f/buNdaSLq/v + ++//X6uq9jmn++lnJjPEGBwusYmHARzwRXkRQmQpQpEgkhNjm9hRZEikOFG4WCEj4xgkTGCMuAzg + DAYMDnkRWfGLSBGxIsUvSPIqQiQwaAy2udiKmZGBYeZ5us85u6rWWv+8WOfs3n19nu7ep09fvh8d + VVfX2XvVqqq1a+9dp9b6Pcquve2/HV9FYvd984d6HT30U8RDP0Qd1v6Hmf2VPmq/7cbO6BXrmx8R + wzCcn5/nPNYSUnzXd/31n/u5/+Mp6tOT45dl6eMLn52d6eobD/Cimed5miZdDszt7rsl/TtOznn3 + JREvhZCa5C61kFlt9ShnqWl7rmV9o7RlO79/tN+eo6je2two23LFNfJt3brcanNTNf9UbZ88mjSN + GqeahpCSLq+vhA714Qp3mULynhZ+uZ+VkrL/yC//0nf/wS99+zOfumXDlJdWIkvrFVdnLe3ItC3l + aErDUt47TVLVulU+tpBMTV57q7CrvvD2MomInoKwO0v3haWUlNK6rrtAhZzzYT+XAgAAAAAAAAAA + vFbcfV3XYRhaa/2uFTPLOfe/oT+o399Va00p9Xtr+52xJLgAAAAAAAAAeNGs6zqOY7/nPKX02Z/9 + 2T/90z/9dV/3ddvtXIrMa79AKqlFc/dH9Wccx3FZlt6felmWaZqWZdlsNs93awAAAAAAAADgWRFr + DQAAAAAAAAAAAAAAgHdlHMeIWNc1JV+Wxd2XZY6oObuuOiDmwQSaq8+kyXnYpbG2aLuYxl06zjPa + xSheruNiFYcavs3d7WEHpl1xnuowTftBti0iWtPhtisPWZf7re3tt0PFS0t66P4x94e2uXqg2EtL + SVKL0OVGdTmPPXLrGfnlfutruQzsjOc0XODevjtsFNewOboodtckIg6YANfKRXPYxdBGRI+jPUj5 + j3LV5Q9D3kvAvXsiOuT5xyTJbH9b2pPGEl91kt9llqzMTHE3jtf9YOf5e8Jx42KdDz05H1CrVxt5 + 55b1QBavJPfDnIf344QjtPvfoY7Lu1mv7t3AA63h4nyyCyS+b0XPyN370LH3hWdfdYz6g/vn8fHM + T1H+g43tgMPd3vc63a3rWobTfVxjC2+ttSYzNzOTNetnPlVuwAAAIABJREFUFJe81Egpfe/3fvh/ + +nv/8zPWodbaq7EsyziOz1ga8FLoIda11mmazGx3IpU0DMO6rtM0zfO8C0y93triiZjkUmuSRTLL + Soqiuii1b/uiD3zBvB6Pw+8t6/GoMH97e2dUvuoDnJQ34zQvp1tpuHXjd+blp//Zb+j4WGnYVVhq + Cl3MksZ7SN6TznMPiu76rj6aVOtnjsZp+8ZatrXEDVdpale8/7OnpdUairVGO7uZkuZZ46AouvvR + 1+PyWwS6fq7u5+fdZ8Va6zAM2vv02HOv+TwDAAAAAAAAAADw1FprwzDM8zxN0ziOtdZa66MyrXX5 + R/Y+X0rpt1uTaQ0AAAAAAADgBdS7Cx0dHZ2dnbXWcs5f8IWf9yM/+pG/9J/9F6W01pRSuJuZ1doi + HnlD/+6iaM65Xz6dpuk5bQMAAAAAAAAAHA6x1gAAAAAAAAAAAAAAAHhXlmWRNI55WUrOuda62Wxq + XVsriuc66Nh+/ujVKXUXA9nDEy+CbA8VD9nuBii6m8nuBl0fpvz6kHqamTxf6dFa1yq1Hh9mltxD + crOIsN3yZ5nWErJmSuYyJUuhcFlrVbLW559l2h6x3ta0v11S74N6Mf/s27Ur36xH6jbJI+Igmda6 + 7BZrl3YDBV7166h7MNz0UOvddfft26W7ydOHsdtj+0t0uPPAozwq7/ZQm7Yrdrd1h20JtdYHD/p9 + e/JFsF+f/X1+qOP74L69jH++4rjuh22XHvZKfDq7/O+HLj9I+Q/GGOvq4973j8sumPmAp5Rde7ii + Ddl/3T3Y6q5OSml/d92f5v7M9g/EfmM4YHvbFbX/5vgc3h+fqG3v0qZ7JVtrzWRm67rkNIbpx37s + b/3U3/4ZM+U81Fqf9DzWT33TNG2324hY15UMSLw++suqn81SSrVWSWaWUuofNVtr/bSTc+7z11xj + PInLT70ekspiboqmeX2fp7ysZ1qPRislarSTlGutPeX4yrSqtqwhaZiOfqfZD/zTX9PgJafLQGtZ + XHEVXnd+dz/rsn2Yr03DZvP9H/vYhz7/D441vzdNp3U+ctUrPhbnrR4NQ2mrNb1nON4u5T//0i/7 + 6K/9I6VRynaoywGvnFrruq6bzaZ/Omqt9YhrScuy9M8w8zy7O8OBAQAAAAAAAAAAPIv+55j+N5ez + s7Pj4+OU0mMe32846X9tH4ahlOLurTWSrQEAAAAAAAC8aCKitTbP88nJyZ07d3rX+6/6qq/60Ic+ + 9F3f9b0pq5aLDh7juOmDbDyqHHd3955pXUrJmfAXAAAAAAAAAC8frmwCAAAAAAAAAAAAAADgXck5 + l1KWpQxDkjSO4+md2+M4Nnsew431wdH2o1jjirOe7i8/pIs0wccNyvZUK7pnTe1AMYqe7rk1aLfr + Wq0HKf9RzJLU05dM8ogm9exJO8hPXGyIqYUk1TCTQurt8NmnevjU7GKLzLxvnZlH1Pu29xmmJlmE + 9QRPySIUoXygFPJHBUs/n1jrBx1qvT2A8L6SD5gae1/E6YPRp1dnl9K9q4Aedhyfzv7R300PuN9y + zrsE39ba3jF6sYanjNiPlfVd8PZhY8vd7zmUknrv9Ktzb/vcj7g+1BruSRQ2s76Nh421fjBS+qrP + V/vH/b6c5oOU/6hzyGG3a7fTdtOrPl/11/iDCc2H2m89QXa/tAcD4w9iv71dXfr4jrvv9tu72Wn9 + ON57jnIzVyjn/D/+3b/7A9//w8OQ1rWu67v/pLffDpukeZ77Wsi0xmslIkopKSUz65nWPdy6L+w5 + 8f0jTUqJsbZfRm4h2VrWcXCtiz5z+y9/+R/7rPOznH20XNayDSWTe4tDfRt/tKMp357LcZp+t8Y/ + T66jI22OtmonkrW7KctXXIvX1z1vtOnutZjsk6Jqiju3bpz/3tvV6mg2l7jSjwNh2qR8tq55SEut + ZV0n043TMy2zpiwlV9Z+/Lbai/Z96rqklHpewtnZ2cnJiZlN01RrTSmN49iTrXeB1n35tdYXAAAA + AAAAAADgZZVSmud5GAYzOz4+lrTdbh//lB7uMgxDay3nTKY1AAAAAAAAgBdUxDgMpZR5uz0+Pi6l + nBwd/e7v/u5f/Ib/+BOf+MRP/tTPeFI0RWhZtmb2qA4nZpZz7pdG93t3XnX/RAAAAAAAAAA4LGKt + AQAAAAAAAAAAAAAA8K6UUqZpmue5p+Wdn5/3kBhTj4e5yBa+imlEM5kUJu9L7CLO8KrWKIWb91TZ + 3ZLekbDVw6zXzHuZPfh5l2DrNhyk/PvqudsWU77S/bbbf7tpNOtbdt/yp5vmNIRqNJM1hcuaKcla + q/ev97DT3VaEWt+WaCbzy/U+69TkoVC4LEzJ3KNZUzlU/G2PcXowyvo5xJ0+NBT24DGx+yG4B+zu + W0vdr7+ZubuZHTb2+EG9/P1VHzbWWnudonfTA+63Usqu8r3Yp9tvzzN2vbfVPn/A9rnrhb6/7Tlf + 7a2bPZLz6jq97+LkY88B17grZz8v+Tn04d811N1GHXal7qlv0f4G9pDUA5Xvu5fzLk7+OZyvdvW/ + ooOVc96PzdZlwzjUftuv8/Nsb/112u0axn1R7vt2J9W7D3aLiM1m87M/+7/+1W//jnHMy1JyzqU8 + zRHfb/m9Wa7rOgzD020d8HIxs55XHRHuvjuFbjabPh53rXUYhpRSj0q97vriSfRzaos52pCHULEI + NT+6fefGkJbTM5lH2JSTWdRSayiZrvQD6J253LqxOdvavDn+0V/7R4oW5oMuz7e7ddveFAd0dw83 + 6fL7uDzCVVYbhv/uYx/7jn/lCz+9nd8zWVK0+siSDmJbymbaLPN2o8Gkk2F4T5hOz3QySdUi31vh + /hbPoP9qrZVScs4nJyeS5nmepmn3lXYcx1pr/2y83W43m8111xcAAAAAAAAAAOBl1e8b1+UNNuu6 + bjablNL+fS/7+v08y7LsbpCrtfa/uT+vKgMAAAAAAADAu9JvQR/H8c6dO+M0ret6enr6vve97zO3 + 73zzt/yXv/XJT/z9v/+/5+S1tgillB7V3z8idr9y92EYTk9PuSgKAAAAAAAA4KVDrDUAAAAAAAAA + AAAAAADelZTSPM/Hx5t5nm/fvvOH//CXuGSmGoori0h+RACwZHrj5s1dsPFVTKOZJyUf8uDJB08y + JfPYD1R+xvJ7JPNuLTmNnnSo8t3y/hLzHpncbp68ITXJr2hqZu6WUs45pZTdzczN1Nph4sBLaz0U + fLeWnAd3c08HKd8e0ZpPTm6YyfbCznuo66HWuyst5zQMY86pB58f6vW7ixl293R5eK4601rSzZs3 + dzGru7TOA4bF7tKsc87jOO4iCQ8V4+p7q+iryzn3fsUHKf9Rbt68qXtjrXXQ/baLms6XemN41HCT + T2F/p/VWl1J68v125VF+7nfruR/VfKjC90rbNSU74H5+1Jr7Gq+o9FLa5U7rp8Td6/ow5ffWuB+Y + 3dvPVe+3dV17W91f+2Nihp/UfmTy/onxUK/rXTT7rub9lHjV+21Zlv03lF6Nngt7kPJ3EbP7ueO7 + 0Nlntzu17t47ent71DATh9Ja6+1tF0Pet+5R+y3CLl8aNSVPKZWmZVn+z//r5/6r//rbzLSuVZZK + aZujk+356Tut3++db5L6JveW01pj7Ay8hvprcHdm3m630zTN85xzLqWUUsZxPODnMTwnTSblnNZW + cqz5M59WTUfzqrJMeQxZLaWVNiXV0Jh1pW+bJt3IOj3dnsZ0J2dtRp1s1tLGrMtvmVL0LyEKNUlG + hvEBxeU3bFNITVVSsqSQSxom1aKc52mcxvecvf2poWm44pd7zsPZvE3SYC7p9nL23vHmt//xP/E9 + //RXL0Ks70k6v9LI9ZeJu4/jKGlZlmEYpmla17V/dNl9nNNlrEIPwL7eCgMAAAAAAAAAALyk+p9d + JJlZ/9NMa+0xNyPVWnd3Lkni/hMAAAAAAAAAL6yyNjNrtd68cev8/CyldPPmzbfffnucNsMwfO9/ + +z2f+Oef+MVf+rj0uExr7XUX7R0hW2tvvPHG2dkZ/Y8AAAAAAAAAvFwYmwMAAAAAAAAAAAAAAADv + Sq0153x2tjW7CNPJoy9LC11m6zy3qUmht966fbBtewSz/rMLOzxk4e6K0H2ZjGb3Lzmgi/6PzysI + qa/u4JvjyULR6j0x5+baX/JMoenvVOFdN9LDbtr+ob+KXfdge+vN+7Ct+jH2u98ecNOuer91++Ht + SVJS1KsMh9+bhslDzWRxyJKTLsq8r/y+vC95lumDtU2SZbXyZPV8zxsnB6nPI+vZwnIaU/YhD57C + zUNVkWSHWktVeCjcBk8+5CkPSn7A8h86ffPmG/ct6Wu0FgcpX7Up+ZSHNA6Dp90WWbSDtE+7TJPu + S9IwbMYxDcNVv+KONxu5jzn3tdcIl8IsmR2kfLUSbtk8T+OUB8spyariUMfFWvQ23JdYTlMefMhX + 3d42w5jGYcpDuFmLEi3JlPxQ25Vkvcw0Dn1dfckBzwO9DZdofb7vtzHlK91v/ZywGca+r6oimyt5 + lPqIZ7mlZBFrrclM7mdn57dv3/7mb/7m7XlJKbVWPXlr7V1kWt+nSaq19k+85+fnR0dHPdx3l1YO + vPJ6ay+lDMOwrmt/Rbj7PM99iaScc611N2Y3Xh5N0UzunrNCN25867/8eV+YUi5qrbSmo81m2Z5H + DUkRT/x1uX9/DFMzSfLHPj+kaCpmtzfT9/zKxzXlU8VJnrSGBlP/xnT5td3MQ8/r29prwu5eD7GL + fXvxNbVWpSR51pD+xj/8pe/4/M/73JSOvC5NIaW4e6GgH+uHHej+pvlkh2wu6/Ewao0l1qR0w4bT + O3ekUW2RVulor473zL3maq3ubpck9QSFiOjjhfXhwHqaNZnWAAAAAAAAAAAAT20XuxIR4zj2mXin + ezTNrJSSc3b3ZVn6EwEAAAAAAADghTJthvPz8+OjG+fbU5n1vkX9jvRxHDebzY/+6I98/df/h7/1 + yX/RanV/ZH/8iOgdkXp3vNbaPM/jOD4mCRsAAAAAAAAAXkAMzwEAAAAAAAAAAAAAAIB3cjkEWe9B + txuRbF6uJ2Pp6oKfH1xR3E3tPrCHdl+80k17bvvtSldX6y5O6mIaUtR7ljzL9B1rfUXbtV/sVayi + PtDeIp5fxrle2v3WNSkup1WKehGI1p7DtLfwOPAa667Me8uve0ueZfpgbasU5Ym34tNvnz5zVD1T + pkyZvprTfbVWSa2u7/iOZmYRITV37wMN9yEzdg84OjrqD9sNTAy8PvrQM32+jymjy6/AHVnvLx9r + 8lXyVpSyWm3e2o0x57NTs1C0UW7bs1FqkpnXpieKJbZQzzlfTM1koWZKzSVZ8tZai+aSu9aejpys + teF8HH771pFuJJkPGhWSW0hVksmkFFJIITMXJ+PDCam6TEpNap68ybzHUbtLoVLXnJo2eXvjqHzq + 7aRcUguTVQ0X779tNYWpSa6LrOtqUngKlxSmJ2pCk1TXJZSbeVVIbfJ0YlKpWs4Vg4ajaCpNefQW + LRlnIUlKqb/yNAzDbuHuFN1zrDljAwAAAAAAAAAAHNDuNpJ3+VeY/icbSWRaA3jp9DPYLoaqtbY7 + pwEAAAAAgFfJWkoe01LmlLMuexKZ2WC2Pb1zdHTyBZ/3Bz76N3/06//8n9+era3JTGGmiJRzXVvv + pnfZWU8RTdKum16tNaU0z3NKqdaacy6lcJEBAAAAAAAAwIuMcToAAAAAAAAAAAAAAAAAAHikYMqU + KVOmTF+w6dOJiJ4E2VrrA2fUWvvYGQDwKmqyts7bnOVFXl2r2mfemqIHEyupXQy+bmrypvSkXWz6 + 08PUrEcaq+ckn9YyHU9VCinlNE5ps0lrjW2aPtX0wx//ZQ1SSuu6qtw9s1cpdqHIz37SxwMudm40 + SQpXeEhhilBtSuOgISu1880U08lWtR9Zl+zi55A9sCwuiqvewuRqLqVoY2v/yQe+RE3KKq3UUB51 + fj67MYIVAAAAAAAAAAAAAAAHEI+wLMs8z+u6ml3cUZJz5v46AAAAAABeTdYuptb2F2+32zfffHNZ + lrfeeuvLv/yP/OD3f9/xcUpJEVKEpFqKpIhwf1wXg4i4ceOGmfXrDGRaAwAAAAAAAHjBEWsNAAAA + AAAAAAAAAAAAAAAAAK+4nHOttQ+ZkVI6Pz/fDY0BAK8iX0vNm02TbC46K9/yhz74Pkt5WXOTSVVq + UjOFFNbCor1zmfeIHjwd8pCFe3jPPz4e0vl2G1Ke0ttLfWuu220N2b8o27dPRg2ulEOahkEuhSxk + UrrMyZZ0N0sZh+P7faisx5mrSe5KSafbc0XTMPzoL/2/Z5tha9nCh9YsWlweaw9ZyOPexHHrxbRd + cPa70TOzq18Eog+hISRpqHr/uelcWueaXYNq1fFmilIPsxcAAAAAAAAAAAAAAMDDDMPg7u7e76lz + 93mer7tSAAAAAADgubpx48ZnPvOZzWaz2WyWZfnqr/7qb/qmb6pVw5CSJ4WSp2maJLXWUkqPKici + 1nWVlFKqtUoqpfQZAAAAAAAAAHgBEWsNAAAAAAAAAAAAAAAAAAAAAK+4UkqfMbN5nqdpaq31ATIA + 4JU05ElSqUVZOp/ff+f8lmJQpJCHwlTvyY1usicLtg6pXZZgIYseTd1aa6XGJmlda55069ZmNNM4 + 6rPe98O//DENSTnd2W5NUrvIrnbJ1PzewnFYdndnS6ZQ6zs5Qqen82ZzpJQVVUP+RC3L8VGY8kWL + aHGZWe2XB2YXdO2hJ820fiiXmjRW3Xx70Vnr61yiLbVKcjPaBAAAAAAAAAAAAAAAV2pZlp5HNc/z + uq7TNPWIawAAAAAA8JrYZVFL6inU3/iN3/gX/sKfLWuttd64caPWOs/zMAxmtuus96Ccs5n1x/TS + cs6PicEGAAAAAAAAgOtFrDUAAAAAAAAAAAAAAAAAAAAAvOKGYZAUEX04jFqru/eFAPBKqlUmi7JV + Wf/mV/7b75+Xsa417iYT73niWOIwtcugYQu5lC7KUa2x2SQzm5vC0ttvbW9H1GnzT85v680bcl9b + O9rccElVKtJlprVJCskUpkay9UFZ70B1d5+2frxDMtPxjakqVoU2GyX7oV//x7+brMlyyKVmqtbi + YannF1HZpnjCUawt5KF0WWSTihTWxhp/wDb/w7/ztapmUbL5OKZSm8zEQNkAAAAAAAAAAAAAAFyl + cRyXZZE0TVO/s67nVwEAAAAAgFdN+N2fPaWU4+Pj7fastbLZbEop7v6hD33oT/7Jf8tdd+7cMZPU + ImpEfUw/lFprv6pw69atWmtrvbMJ1xkAAAAAAAAAvKCItQYAAAAAAAAAAAAAAAAAAACAV9y6rpJa + a+4uycx2CwHgVeTJB62xyZPKfP5b/98t02b0KrWLeGCX3ONuMvGTirtFye7NoC6lLiVORs+ylOxo + c/zJ+fxnfvM3NWaNk/lkUlmrspQvirK9aG0yra+C7f4xhRRq/QC2kEm1VFOWZXnWZjg/Omp+8ZR+ + oJvJ4u6B7kukh6akv7OLWHSTN1lcHHuThqa0nn7yV/6JmkazdZ0l5eQyo00AAAAAAAAAAAAAAHB1 + IkLSOI611j6/3W5TStddLwAAAAAA8PxM07Sua60157yuaymllHLz5OgHf/AHv+ALvsBdklJKpZTH + l9MvKUzTdHZ2lnPuvfm4zgAAAAAAAADghUWsNQAAAAAAAAAAAAAAAAAAAAC84vpoGpJqrZLcvZQy + DMN11wsArkaozk02qBStS163Nc4/vbQYVXtPmnCL1JOtPWTxlPnEknZ9c6rUpD7QUEh1afO2bMbj + 31nm+r73ajOGp6JU5K20IffHNVlramQWX7m+i03yy/xwSf3ghaacJT9dVm2O5Pn3pNWyLuOrwxR2 + 8WALb+qtRj0W/aLYJ9FMq6u6UmgMuZSkqWlUG5Q2m6yomrdTf5tuUn3WrQcAAAAAAAAAAAAAAI9h + Zq211lpKyczWdd1sNu8YUgUAAAAAAF4l8zznnCWVUqZpGMd8fLw5Pz+fpvGn/vZPfNb7/yWFFNV7 + /wJ3qemeDgoXSinuPs+zmY3jaGaSIug4AgAAAAAAAOAFRaw1AAAAAAAAAAAAAAAAAAAAALzilmXp + w2q4e0+2zjkzHAaAV5YpTa4aOtt+39f+e6PXkIZBJRRSM13kG8ubXHrKTGsP7TrmhFSl6mpN0ZSS + ck43lM7P1/bmm9/zCz8v95anppzkQ/blbJZL2etl4PLdYp84JRnvTtz9tw8c1ROuy/nSM8Y347Es + aTr66Y//wzl5uXxGPHCMwnSQPllJMqmaqppLplbVQlV3zmQptWKS2kNGuQIAAAAAAAAAAAAAAAdU + a3X31pqk1lq/165PAQAAAADAa2IYhohw93Ecz8/Pzez27dvHx5txyp/zOb//ox/96GaTW1OEUkrt + sbf69yhrScuy9P/ulgAAAAAAAADAi4ZYawAAAAAAAAAAAAAAgNdUrfXBzm+vQHe43chB7t435xXY + KAAAAOBd2n36TSnt5vdHvjCzlNJ9DwZeW7XW1pqZRYSZubuk/hqJiN0XTDN7/HAzeNGEtEhyk9Kn + /vGvRyurVKWl9F97sx5EnUJu8TQx0vc+xZtUXNXkrmgqTVGVZdNw458tW50caZxC7r0nT2g8mnop + PWL5bvMKWZBsfTVMugyo7nvYJIXyNKopy1wuuTxpGE5Np1JYMrMSMlPOufYsdEnhcXmEwvSkZwcP + DU1jVZKaNCdtsxZTkc5VItpH/oM/o7fOrVqKqmQX8deQJPVztT3M/sMiniqsHgAAAAAAAAAAAADw + Wur3C/WbhXZdUQAAAAAAwGslInono1prSikihmEopbTW8uAf/OAXf/jD39sfmdPdawf9qsJ+jyRJ + tVbde1t7L7Yv7Mv76p7XxgEAAAAAAADAIxFrDQAAAAAAAAAAAAAA8JqKiFrrsixmlnPu3epejcQX + dx/HsbXWY8kiYhzH664UAAAA8DzsgnhrrX04jJzzsizXXS/gRbQsS0rJ3dd17d+LW2vDMPTfTtNU + ShnHcV1XXY4vg5dFk6pJUbSWG6VOw2BJrejW8WCXVz6qqZraUw1DbCEPmdRLCylMtcdaS8k0TalE + DDp5a13+1m/8uqZBbiYlKekyWvky0zqkkId8F13M0MhX4iLIuidT772k++Fofbe73JXScvNEN2++ + 3apbHoY0F21LGT1Jza7g4tlFzrrJprzW7Sd//Tfkg0pTmNxK1MOvEgAAAAAAAAAAAAAAAAAAAAAA + vJNSSkSklL7ma77m277tWyUtS5F0dHSkywTr1pq711p3/ZIelFKa59nM+ggYfWSP/nQAAAAAAAAA + uEYMqwQAAAAAAAAAAAAAAPCa6ll34zgOw1BKMbN1XVNK112vZ1VKkdRTxzabTc+0JsYPAAAAr4n+ + 8b7n7/ZRLXpe73XXC3gRjePYWluWpb9whmHoX417Knz/ItmXn56ettauu754AiGtklKorHr7trea + TBaaT9fU5LqIEK6mZgpzPXlQsUm+96xd+1iqksnCQ34m3clZ01CjyTyFLO5mWsv6sy5Sllvv42OX + G4DDMkkXOeK7fa647FcVe1NJyT/88z//KY/joxutSbVl07TJ21b3E8fbMxyo2nPQJZOG6lNxk6rp + 7XnJR4ObFFVl1dmZomV/6a/XAQAAAAAAAAAAAAAAAAAAAADwYvC9n3c2Jlct63w+Zv+Gb/iLf+pP + fY27hsG25+fjkNw9pWRmrbVhGPowFw9lZtM0LctSa22tmVl/7uG2CwAAAAAAAACeBrHWAAAAAAAA + AAAAAAAAr6ne4a3P9D5vOee+5KU2jmPOuadZb7dbScuy0J0PAAAAr4k+8oWZSXL3HsT7mOEwAIzj + KKnWenZ21lorpUTEuq6ttYiY57mUcnJy0tPi8bIwKamqLn/5Ax943/G0LOtc9Oa0meRJsmiyKqth + rZmaFPbOZT5GM4XJQx46yhqTb+f1TOVO9t/3ZV+qlDSd3NOF53J1fQyktPvVs1UD75Lt72m7d7fH + RevRydGnTKdrlayUGAY725bN6JJczdQU73YEq0fpqdghpZBLYQrT5siilTRvVZrMNE2SlsL7OAAA + AAAAAAAAAAAAAAAAAAAA1yClVGs9Pj4+OztLKX34wx/+o3/0y9c1cvZSamut1trH61jX9fH9j8ys + 92PqSdjzPL8C43sAAAAAAAAAeNkxrBIAAAAAAAAAAAAAAMBrahzHcRyXZZE0DEOttZRy3ZU6gGVZ + lmUZx7FH9w3DIInufAAAAHhNDMPg7rXWlFJP59Xlp2IAD+oZ8H3UmJyzpJRS/1VrrbWWc+7Lt9vt + NdYTTyqpnajp/PxGq7msJ5vUpPN5NSWTXDI1V0kRKULWnmIVcXfWQya5N/dQKTpf28m0ydPJ7xyl + //R/+XsaN8v+M61d/IRMylKSkuQ95NguH4ADa1LTZZS49zDrnmntkrWLB/Q9765NOjs+WnwYfZNl + tcRmoztL20/BDlPTPU3h3UuhFKqmKlW7rJyUa9jp8sbcdDorQq7zZet5eKqVAAAAAAAAAAAAAAAA + AAAAAACAx/CHJ7bsun5YW8vsSWYxjnlIFrX++I//+Bd90ReWctH14/j4uPdCGqaxxeP6g5iZmaWU + Tk9PJU3TtOvHBAAAAAAAAADXhVhrAAAAAAAAAAAAAACA11TPtxvH0d2XZekxXX36UnN3ScuyRERK + aV3XcRyvu1IAAADAc7Kua2ttmqZ5nnter6RSyvXWCngxRUR/mQzD0FqLiP5iKaX4JUm3b9+WtNls + rre2eDKtaS6qdtSKl+V8W9+4eVKkVS0kk1Ioh3KUFE1Ss3cs8V6m+55loRQamqZsks7m7Sx95iTp + 5pE8DRpCF6MaxX4O8mWSssXuf7hCdjm1xy2SkpTTT3zslyLl03JuCgsti46Gy8deHsKwJ288l0+M + /VZkzaUUKos+581bx9X+2h//N/rycdrMbX2adQBe7VQ/AAAgAElEQVQAAAAAAAAAAAAAAAAAAAAA + gGdTSjk+Pj4/Pz8/Pzezccy3bt36yEc+8lmf9b4IufvZ2dk0TZLWZXlMOb2bkiQzOzk5kbSuK/3+ + AAAAAAAAAFw7Yq0BAAAAAAAAAAAAAABeU2ZWay2l9BCviBiG4RXo9tZac/eUkqS+acuykGwNAACA + 14eZnZ+f93Eu3L3WmnO+7koBL6LW2m5qZrsk+JzzdrvtX5kl3bx5c13JlH3ZVGlbv/WLv3SqxZM8 + 6ffunK7JIw89jziFctMQ6rHWTxpOHA9Lwk6SS2uJJt3cHNfBf+gX/m8duSzXpUkKtarW1JrafcnW + u6Tre0KvcXAh0+VeDoVUpeiZ1taPRIueLe7SOC5hGx1nH93lSUu9p7CnziBvpmqqpiZ5yEOpaWga + mk5cb33mraGG1aIoxULS5LyPAwAAAAAAAAAAAAAAAAAAAABwDd64ceOtT3/66Hi6efNma21Zllrr + Bz7wgY985CNmF/2S5nk2M3OXPbJ3SmstIsZxTCn1TkzDMNDvDwAAAAAAAMC1I9YaAAAAAAAAAAAA + AADgNVVKSSm5eyklItZ1PTs7i1fC2dlZj+supbh7znlZluve3wAAAMBzUmvtA1v0QTFSSn0GwH1S + SpLcPSL6q6YvKaVsNpuUUs65J1vnnHkdvTDafWnCd3Og95KhFaF1ybdvm5rMamgcU621ttJM0ZOM + JUkmechC/qRp0iGFXLKQLDxkkktJyq6353JbrjduylymaXS7qLbvTe9hcVEfkWx9pR7YuXdTxc37 + oQmZ0kZhp2prtrkt05DOVg3D3fbWD2hPN3/ixnPJJVNrXnqDdGltOpoG06KyKHuyNC9resy4VgAA + AAAAAAAAAAAAAAAAAAAA4Mrcvn3n5s03+nAcUttsNq3V1upXfMW//gM/8H39bv9xHCMialN7XAeD + lNKyLO4uqXdliqAHCQAAAAAAAIBrlq+7AgAAAAAAAAAAAAAAALgeOWdJvc+bJDPrS14B0zTpMsMP + APDqmed5mqbeYdvd3b3nTQIAtDeShZnZZQjm7mM/gIfavVj6zP634z6//4LCdYke+RxNF6HU3nOg + mzVJKUJKZYk02iqN1lS3N0cNcfR7b91+zzRm2ao5yyTVflK0iyTpFLInTpK+yD+2KEktIvca9oBk + S+NbMXx6OlE6qebJikIyN7lfxlqbLiOs/XLG5Pf8i8Ny6XJXXzLdt8/98iFeq6W82Z5Mt8/Pb8rK + Um+4Wu1R5nKpqfUs6hT9uU9wGcpDTfJQDoXa6iqSFXe5ks7n1aTNMKmVsizTeNL2mgkAAAAAAAAA + AABwXR7/d/P98JWIMLM+vfp6AcBh9POYmdVaIyLn3Frj1rsXEHFfAAAAAIBn9i7u/4+71wTGcbMs + xZXGIUVEreuQpLZMQ/6ar/13f/VXf+UnfuLvrMty0UckDbXW3hMpWpNav1g6DMO6rrXWlNK6ru6+ + Gw2jX4Lov+JyBAAAAAAAAIDn7xUZiRgAAAAAAAAAAAAAAAAAALwOWmvTNEkqpfQe2rXWYRiuu14A + AAB4Xiyk1uQpJFNVc0lNMuVsLdRMiqbWNq2ens3vv/XG+VtvZ+m949G6zqWHT5vUU41DuowZjicZ + UD20N/66NUkRzaRN8k+XenZ0/MMf/7jSUJMnlair5ekizXo/tdruCStmQPfnb2+f3zPwU/IkHz7y + sV/863/oXz1SpCbPWorc3EMWzXs+ekiShcL8SZOt7TJPvZlCCnlTKnWdxrGWxduqUobNiaSliWGp + AAAAAAAAAAAA8CIzMzNb1zXnHBG11j5DrDWAl0g/d9Va3d3MSik5M14rAAAAAAC4l93tOFDr6tJf + +fYPffKTn/zZn/3f3K3WiNokKSIizD25t9YiYl3Xy2fVlFKf32w22+22X0dNKe0uR/RrrVxfBQAA + AAAAAPB8MKgJAAAAAAAAAAAAAAAAAAB4abh7rbXW2vtjR8QwDKWU664XAAAAniffm+up1tEzhV2a + JM3Lt3zxB4cSb25OTt96++awuZWn28t5ROs5xPuanjjTWpIUoZAUdlGCpJDu1FbHPI9ZUdWqS9vz + c0vDU24orklr0p07GjzfOFqqBpfJzOR72dU9l1pP03jUTGEXjdF7OdakNqVhWZbs8nX9j/61D2pt + qi0ReQ4AAAAAAAAAAIAXW855miYz61HW7i4p4oG/zgLAi2pZlpzzdrtNKe0yrVtr7/xMAAAAAADw + ygtXPCTVJaXk7qWU7/zO7/yKr/gjF72eVccxD0NKyaKVUkprbZomSf3CqZn1XtKS5nlOKdVa+9VU + d+8zZFoDAAAAAAAAeJ6ItQYAAAAAAAAAAAAAAAAAAC+N1loppXf2XpYlpSQp53zd9QIAAMBztd8f + pqq6D31Rq7JSZOmNNORlXe/cfmPcxFrWshzL9wdNDyku04WfTjNVVzO55FI1VSmkmtLpmHU8yVNI + m6OTdbt96i3FtXCX3rip7L99dhZu0zSdl3A36SJ/2kIe+03qCUaybnbZ9iRJvSgLuaLVdZRcSrV9 + Vh60VLWW6P4FAAAAAAAAAACAF9u6rj1/RdKyLO5ea+3/BYAXij3CNE3DMGw2m/6wXZTUtVYWAAAA + AAC8SHqy9V6+dWtN0rqut27d+uhHP/o5n/M5kjbTZlmWdV1rrbto6h5i3VobxzEi+rXTYRj6bzeb + TS/K3c2sX5cAAAAAAAAAgOeG26QAAAAAAAAAAAAAAAAAAMBLw92naTo7O5M0DENrrXfnBgAAwKvN + 7g7Lc08MtUl2uaQWXYwnvMx2vj1RupknLSVUpOhjDV+EWN8zyI8/ef+a1rONiytMOZRCS9Kc5crb + qo/8yi8qFVlkSdJwtHnC8nHN1jUkU07boylNR3fOZ7scrvqiKVpve2rWmj1BpnUXPdlaapKpx2M3 + qWXzVXL3tsbm7TPNi7aLGJMKAAAAAAAAAAAAL7aUkpn1mJZxHLfbbUqpB7EAwEvBzHZnrdZav0X5 + eqsEAAAAAABeEGGXPxf/v+iBEhFmNqZ8cjTduvXGT/7kj7/55o3tvHW/7NuimKZJUinF3d19WRZ3 + r7VO07Suq6TWWo+7lrSua2vNzPavVAAAAAAAAADAVSPWGgAAAAAAAAAAAAAAAAAAvDROT08lHR8f + r+tqZu6eUiLZGgAA4NVnUuwirfe7w7QkX8uqqjRI20W1KvRGzq0sXqqrTsqDDaWV/qkxduHWz1Kd + 6MnWfVii1sur5hqG85w0ZJ1MGpKkdV2fKjkb18ndlEyj/9gvf+z2dk02TsO4rtWiSa23Q79Mm45d + C3gSYQq55B53i6pRNynNS3vf8ea9YVqrPPTksdkAAAAAAAAAAADAcxYRKaVSyna73Ww2110dAHg4 + ewR372FRPWVqXVd3L6Vcd30BAAAAAMCLYNcl5J6OIT2gel3XeZ5zzp//+Z/3Iz/ywykpmiQNQ4rQ + PM9mJqlPdzPzPI/jmFLqFyV6mvUwDJIiol+geJ5bCAAAAAAAAOB1xuVIAAAAAAAAAAAAAAAAAADw + 0jg5OekzwzCs6yppXdeU0rVWCgAAAFfvIvf3/o4wTW1e52EYlDVvi4asZf5LX/Ylmucjc1dIUVQi + apGSe7sbjO2SK56yZ00Pxk5NFgop1FKTh3+61bdTVvJQrlIJDcN0fnb2lFuNa5KSFCGXkjZvvmcJ + na3LMCQ9tC/Ws6Wkp6bUJFNTk/lcq2XN2+1wvtXpmVyn57QfAAAAAAAAAAAAvNBqrbv5zWZTa22t + EbsC4AUUj1BrnaYpInLOkoZhOD8/7/MAAAAAAAD3C1d4vy56cnJU62pRs+tP/LGv+O7v/q5hsGiK + Vk0yaRqzpH7V9OTkpD9rGIZlWfpCScfHx5LOzs7cfXeBAgAAAAAAAACeD273BAAAAAAAAAAAAAAA + AAAAL5N5niNC0jAMfdr/CwAAgNdB3NsXJsmmYVrXWoumTda8Va2bUgfVFq2oTsPYpKoIqenuB0cL + Wcgle9rPkh4yKYWa1KTcJKkcH3/fr35ceVhlczQztVKPjo7FJ9aXSq1SSkpJR0efOt82+ZjHUut9 + HbH8aQ+rhSxckkt9pCoPyVSjFkmuJB0r/vuv+9PabjdHG5oPAAAAAAAAAAAAXmQpJUnb7TbnXGtN + KZFpDeDlYmbzPJtZa62nXB8dHXF/MgAAAAAAeAx3N7N1Xft/I2IYhj/zp//9P/fn/uxmk2tVv0ra + c6z7g09PT81sV0K/sirp7OwspXRycrK7HFFKeZ7bAgAAAAAAAOB1xh2fAAAAAAAAAAAAAAAAAADg + ZTJN0363bUn3/RcAAOBJRcRu5JfWWp/uL2GY2hfE3VDqi3/7ofEhJ+tdZIZB59uTupqimSSdr0uY + ikVzFYXkYd6jrHumtetpwq0jNGS3puzDMI2LlJTC/LZCQ5YsdFGnsixkWr90UtLaSvUs97bZ1GE6 + LctuxKiQ4m4jfGI9EP2yybldFhWmcKUkG4bSdJT8t37z19Uazec+7v5gCkLOWXsn8D7yFwAAAAAA + AAAAAJ6P/teZzWajyyAW/sgO4OWyO2v1iKmUUkRwfzIAAAAAAHiAP5jwYmZSu/zRX/1v/spXfuW/ + 2X+Vs5fSNuMUrUWY5H3aw7B3972bWb8Zvl+UkJRz3l2veHAGAAAAAAAAAA6IWGsAAAAAAAAAAAAA + AAAAAAAAAPD6mufZzHZDwLj7PM99jNpSSinF3Rn55cXR9vvChJKZopUmd52dzoomteOIFK2aqqu6 + mqnaRbR02EWcsMVFpvXTjUA8ZNXaBqWlrp+el01OPuRta6c5KQ+yvLYqyaTx6EilPPN247kKyVNe + JZVWxuntdb55841a6n2PeWr+iLa3NK1V5/M6JJX1vC1bHU2n29NnWNUrJSJqraWUs7OzuNRaW5Zl + nud1XXdDiu+P4QUAAAAAAAAAAAAAAAAAAAAAwNO6yKt+lw8ehuGHf+SHPvjBL2pNpbScfV7mxz+n + d1zKObv7ZrO5c+eOJDOLiHVde/p1a42b5AEAAAAAAABcBWKtAQAAAAAAAAAAAAAAAAAAAADAa6eH + WNdap2kyM3dPKfV865s3b5rZZrMZhiHnXErZRaXiet3NtA5JTdbWsppFSorQ8cmkdVGL+pm3LBR2 + +aM+42F+kWmt5hfjCrUnHGCoP11L0eC+VT2ZbrxnmOZS76xzGYdbf+BzZS4fBk9J6jHbSumw+wHP + hytpmv7G//MLOj76ndtvp3TR/JqpmZq8Sd7z0Z9wbCiTLJqkJu2e3Uyb4zGPuRQNQ3LpaJO1rDc2 + J4fdrpdXP1ev6zpN025ArlrrMAzu7u79XO3u8/wOw34BAAAAAAAAAAAAAAAAAAAAAPCOLnoQmJq9 + qx4obV02Q/47P/WTn/v73y+plDYOo5ub9NC+SWZWa+3dl3qC9ZtvvtlvmDezfrd8ny+lHHrjAAAA + AAAAAIBYawAAAAAAAAAAAAAAAAAAAAAA8Prpo7qklCKiTyWZWc55XVdJrTUzi4ic8y4/Fdfjkbs/ + hjyYbF1VqmSS24e+7Et/3xs3U7TdU+Ny4J+LTOu4iCJ+h7Ifa5O0lOaypZTtOg9DOrlxspr9tX/w + DzQOWiP3xGIzmWRN9mTJ2bh2LlVVlabk6zDePLmxlrsHMeRh/VFPnml9GWQdUg/FliSTh87PllLa + ZsjbbZW0nc+UBw976NhVr6Fa63a7HYahn5Nba/M855wlLcuSUpI0z3PPvTZ2GwAAAAAAAAAAAAAA + AAAAAADgWb2rNOudiGitvfe97/3xH/+x4+NhHH1ZlxaPfHq/Pb6UMk1Tn5Hk7hGxrmspxd2XZTGz + cRyXZTnEFgEAAAAAAADAXcRaAwAAAMD/z97d/lqy5Xdh/621qmrv06f7Dr6xHfMwxjLEdlAwURA4 + sWUgAkcIXoBISHAQgYyIeAESERbhyU4cbCEUjccog0cz2OZvIpGJeMfLhEA8vtO3zzm7qtZaebHO + OX3uvd09cx/64dz+fFR3d+3aq+qs0q5bL9au9fsCAAAAAAAAAABvnVHepbUWEbXWuIm43vd9ZKO2 + 1kYpmbjJwOa1uk6G/lCCcG21Ry9zTFO0FhExbWt9/Li0SP0ZS+lRbjOtU7SbpX+cANwesbXYIx4e + H53qqee81vpv3n/SpilKj2mKqZR91BLqES2yeN17JqVovS0xx3yIUr55ujyt+zzliGjpOiu9RY7I + qccn/navL787V/U0lanMS54PKUqJBw8exOMnqZVPmL7+uVNKOR6PEXFxcZFSyjkfDodxA7+tz3U4 + HOZ5jpsbOwAAAAAAAAAAAAAAfCbGLICPSneW49mScu+9/vAP//CvfPWrfW8RkdOz5iWNHSJKKdM0 + nU6n680pRUTOeZ7naZp67yPlOiKmaXpJpwYAAAAAvLWUVQIAAAAAAAAAAAAAAN46KaVSysirHq+j + wsvxeBxhqLXWeZ5LKSMqlTdTzpGijPVaa7T6hbOzqFF65B6536kN1CPfvOabGTUjn/hjZVoPvcdx + zt+8+laLMi/HPM2PHpxtc45limixRkQcpmnte4/oQonvoV5HgHWOvR5/26Oe09Xe7nw8rqbIEfk2 + KP3j/omRkB3RIkY8duq9bu3ydNl7PKmResTxPNq3P9RborW2rmtr7fz8PCJG0a6U0rh7L8tSax3r + V1dXpZTX21sAAAAAAAAAAAAAAO6/Fh/nsf51XceMpGmafvInf/JnfuZvlVxaf+4RUkq11n3fI2LM + Yxrrw7ZtETFNU0pp3/cxAQoAAAAA4DNk2BEAAAAAAAAAAAAAAHh7pZRaaymllFJEXF1dHQ6HiJim + ad/3fd+XZRk5qbx2/SNrKca31nuPeS4RUS8u3imp9Cg90nW4dc4932Zaj5Tr2/0/Xnmh2/1SrFur + Uc4fvfP46mLf9/fr+v8+eS96jzJHirpFjjikaY9eQ671PdN7jWi5l2g9DvPjdW3RH87T9acpRuT1 + uJQ+fir6nT+UoqWnB+i9z6W8k88PMS0pTqfLWFtsgtGv5ZyXZck5r+vaez8cDtu25ZzHbby1VkpJ + KW3bdjwe71byAgAAAAAAAAAAAACAT6HdWV5kLlNJeV3XnHNr7Utf+tJf+kt/6SOt8m1STO893Uwr + 6L2PSOwxy2me53mea6211m3bpmkyvwkAAAAA+MyJtQYAAAAAAAAAAAAAAN5GOeeI2Pd9nudR+SWl + VEo5nU7zPO/73nufpmlsf92dfbvdJFGnaCki9bsflBRxfkg5IvYt2t73WuudFv3pMvTPImS6lFQj + lrk8uXh8jJTKtB6Wr/3rfx3z4eriSRyiHGJb1/EH06cKPua1yNM0RUTkFHM5LUvL5bTtEU9TrHvq + LfX41JdTj+gpR0RuMZfc6rq3qx4teizl+Nd+7A9H1OifIHv9c6jWOopwjRJdETHPc2ut1joKfkVE + a218d9ffIAAAAAAAAAAAAAAAvCrrus7zHBGn02mapuPx+Ld+5n/8qZ/6489LxU4p9d5LKTnnfd/j + zpPz+76nlKZpKqXM83w6ncxvAgAAAAA+c2KtAQAAAAAAAAAAAACAt1cpZZR9iYgRbh0Rt1viJv2a + 16tH7hE5aombrybliCn6FD1Hi9hr7E++9Pt/5OF0iJhGpZ+extJulxZjiTbSiHvkceiP3Z8ppYht + XdKWo28pLs8eRXkQeT6eP4oUkWNeloiYYyqRkyk890pP0SPX1GJOcbZ8/V/9xtpTidRS9Bhf757S + HmmvKWqK/vELQ+WI1Mfxot1cg7XVqbQUNUVKMaU1LVdPYr8Uaz2UUm7TrG835pxLKXGTY51zVqgL + AAAAAADgUxqJKeO1tY/9W1Xv/RPsBfB6pZS2bbv7dtwGAQAAgLdc7vlDy0fb9DtLytO61ZzHbKS2 + 7VfznH/5l7/8w//h772ZWdIitUgtpSl6HkMQtdbbYdWx0nvPOc/zIaUSEb3H4XAYDcbUpzGUYQQD + AAAAAPiU1MQBAAAAAAAAAAAAAADgzdUjWkSLnK7fRaTrpY6Q6xQRW9TT2XZKW23RI/Izk4Zvgq4/ + SQ7xXU/2bSnzcUn7Ho/Oz7+1bt+KFLlElNGfURYoR0TP8ayiRbzReoqIHrFH9JKiTC2XFCXGddfj + 9vJpKT7x/KwckZ7Wj8otRRqh2dcHnkpLc9ujr6HOFAAAAAAAAK9QSmld15RSROScv21M9TzP8zxH + RGttJKmUUtZ1fTW9BfjOpeeLiHmee+8jC+r2NggAAADwsXxwSKGl1HOJMqWvfe1X3n33neWQI2Ka + 54joLxx3jYhSyrZtpZRS5pQieux7G9tv/1BK6cmTJy/rZAAAAACAt4CyOAAAAAAAAAAAAAAAALy5 + UkR+zhyYMkXdY9v2SCm2dug5ei8vf77Mo/ms935a+1zi4smTR+eP3m81DtP4tEa0m+jt6xPgXkk3 + r+k6TD16ypFS7jGW1CP1yBH5k+ZNt+dfFT2iR+7R4joZ/RP+CQAAAAAAAPjEcs4Rse97ay2llHMe + W55p27Zt23rv+77P85xSaq0ty/IK+wvwHenPkVIaadb7vt/exGqtr7u/AAAAwP2TUhqB02O0ISJK + KdM0ffF3/q5f/9Vf2/eWUuzrNpWpTNOLD1VrPRzmWrfWWkolUkxTbq3VWtd1naYpIlpr5+fn7dsl + ZAMAAAAAPI9YawAAAAAAAAAAAAAAAN5oqT87G3pb9zLFNPKke5TL9TCVLV56WeEn22Wt9XAsqeQW + sbX+z/7lb8R2ihTRo0bUiOgR6gLdWykix9PC/D2lllLEdch07pHjOtw6fczY6f6BS7nd3b+npyHW + LSJST71HdyUBAAAAAADwSm3bNk1T732aplrrCHYd+dbPM0Jhl2VprY2M2Nd9EgDPkJ+jtfbgwYOI + mOd5NHvy5Ekp5XX3FwAAALjfbkdKU0qllB/5kR/5J//kK2WKiNj3ve57pGfOlYmIKKW01k6n0+0Y + RUql98g5l1KWZTmdTi//DAAAAACAzz+x1gAAAAAAAAAAAAAAALzxesRIAE5xm3E9L1OPeHJ1GW2P + vR232rY1R7zsGOAH0zGV8ptXdautpOWi1pgjHp7FTfBxf/pPqNd+74yk6ZsLLUekmlJLNxv7dZsR + bv2ZuL1ee4qWot5c4aW36M01BAAAAAAAwKs0z/O+7yml0+k0z/MITem91+cYUda3GSojoOW1ngHA + s7XnKKVcXFzUWrdt673v+35+fn6bOwUAAADwnRtDCimllNJ423vtve51PR6Pf/pP/+m/8Tf+Rs5R + Sopoy/zcodTe+/F4TCnVWktJOUdES+n6+Pu+Hw6HWmvOedu2nOXOAAAAAACfkOFFAAAAAAAAAAAA + AAAA3mD9aUT0Tabw0+0pxXI8RKux93fLUrc1pd7Tcw71GWl9r7U+nGKv0ecpDkvsa5QUKUe66Wm7 + 7nOTbH0f9bgbjr6mvsd1svWnd52Q/TQVu0U8za7uET1Fj5Z6u461BgAAAAAAgFdo27Zpmlprh8Mh + Imqt4zU9x9hlNB4ZKnGT3QJwL4wbXSllnueU0jRNYwsAAADAp9d7773nnB+//14p+a//9b/+5/7c + n2utT9O0ruvz9mqtXV1djYHWWus4wm189TRNEVFKiYh5no3HAgAAAACfmFhrAAAAAAAAAAAAAAAA + 3mzXBXbyB95FtH1rrdWoUfKX//hPTZen7zo/315+OZ7ae4t+XJZpSu+v62nKcX7eokf64FSdHvGS + A7Z5KfKdL66lSGmLOOVe08iczreX4meRON1uU9hHZntLI+Y6IrWptWiSrQEAAAAAAHilRhRKSqn3 + 3lobb8fr8yzL0lob2SrzPJ9OpxF3DfBG6c+xbVvvfeRIjS0pJfcxAAAA4NPrveceuUfO+dGjR+9/ + 6/HDBw9+4R/+/B/+Q/9JivaC0YcRXJ1zPjs7i4hSUmtthFufTqeIqLXWWkdj4xgAAAAAwCcm1hoA + AAAAAAAAAAAAAIA33k1WdbuTJZznOUXLEbG39//N//cwlydPnhyml16Op/c+5XJxsdae6mG5iB4p + pzxHip4ijUzk1GRa33u99xSR4pT7mlJLdzKn47qCVP9k33KP54Vi36ay5x5Tr1FbvPSgdgAAAAAA + AHgq59xaGzkoOeeIaK219uyft8ano+VoHN8uAxvgTTNN09XV1bIsMZ4USGmEW7/ufgEAAAD32xhe + SCnlnGut+76fnZ29//778zz/03/6T3/gB77/Bfvu+15Kaa1dXl5GxL63UtI42vF4HCujwSs5FQAA + AADgc0usNQAAAAAAAAAAAAAA8DaqtY5K3KMc7aivPYpr996naRrNUkqKvLx+10nRH9rYIlrKOaJF + inZ5uUReIvb9pZcVTinliBpxOHvwzbZ9+V/+Rmx7RO4pWkSKKHf6nJ/Vd95k/e5KSpHLV/+v36jL + XFPuET3lnvJo01M8L536Ozl+6u1uUHtqMW42qeQWtW3rsUds26c6mc+Xca9Oz3K3mdriAAAAAAAA + n9L4Af32V5i7kdXPazzaj11uf3AHuC9GHFTc3NNKKR/6JRoAAADg47odMu29l+hTih619T2if+EL + 73z1q//793zPd0VEzjFNOSKmaco5R1wvtX7gwfjaetzMe5rnOSJaa2Mo4/YR+m3bxtvhVZ4sAAAA + AHBPibUGAAAAAAAAAAAAAADeOuu6llJyztu2TdPUe2+tjaouEXE4HPZ9X5Zl1HN5QXluXr1+Z63v + e93XaD16PJgP720XubyKPtRW11a/59EXLq5OV1OJKcfhOHK2+3UBoXYda91lWt9Lt5dZi/GN5jXn + mnL7yNf50S3f0fHTM6Z1pRQlRe2xt1qj/7ZHD9Pp9A9+8o9E/9jJ2QAAAAAAAAAAAAAAwBti3/d9 + 36dpGrnUh8Ph9/2+3/dLv/Tl84eH3mPfW86x73trbZqmEYb9vOPM87zv+9nZWWuttRYRvfd933vv + Y2JUSmld15TSvu+v7AQBAAAAgHtKWSUAAOAZCoYAACAASURBVAAAAAAAAAAAAOCtsyxLa21d11HM + ZZ7nlNK2bbXWnPO6rnFT6uXJkyejyAuvTbpZ4sNfRJqmUqZDnuL9i8M8P4qplNKfdYzP1jIvJeLf + PX7vtNf9eIhpiss19em2v9f/jIk7r6BDvFQpIsdWoubokT99UvkHkrCvr+3IEalHSrn3KKUcy/Tk + 8XuH6L/1f/8/LiEAAAAAAAAAAAAAALgP8odTYFKL1JbDlEtE3du2tn2t26lupz/6R//oz//8/9Ij + conWo5Q0Qq97f9Ysgh4RMWY/RcS6rsfjMee8bVvO+TYM+/333++9Hw6HbdumaXrppwsAAAAA3HNi + rQEAAAAAAAAAAAAAgLfUsiwRUWu9uLhore373nvftq211ns/nU77vp+fn+ds/sUbII2XHnH7X0TE + fnUVrcfxwW/91m9FyldrfQV9qduWIp2l5fzdd9dlihRxdl5Pe74TZl2jXfexS7a+v/L1a841paeB + 1j2lT/qdthT9TrL13eO0iCnleZ4u122apjnntO2/69//XtcPAAAAAAAAAAAAAADcX733lFKtNaU0 + z/OyLBcXF+t29V//+f/yr/21L40Y6957rXXf1297nIgopYz063mea62998vLy977w4cPa63xvGxs + AAAAAIAPUlYJAAAAAAAAAAAAAAB4G40yLtu25ZynaYqIUsr4qLXWWpumaWy/urp6jf2kR/T0kWDf + 1CJaREzzHDViPR0fPNiiHZcylZfepVJSSumir0+uLh/vW5QckcsypRalR0S0iB7Ror30rvBStBQt + +p3M6RQ1R0SknlO/npCVe6Qe+dMUekofWD3EtG57tD6lWE9Xx6mUFk/+3W/KRQcAAAAAAAAAAAAA + gHsmtUjX80rG9KUedTlMvdeIdnZ2SKmv69Xf/ts/86f+1H+RUrQW81wiIj+NkflwoMxtmvWY+jTm + RkVESuns7Gzf94gYk6GWZdm27eWfJAAAAABwv4m1BgAAAAAAAAAAAAAA3jq991G6ZZ7n1lrvfZRu + 2fc934iIx48fR8TxeHy9vaWOCOuPZPvWukcusdXIc57K2vertZ7q3bDgl6P13tvD4/lVrfP5gygl + tj3aTZx1RI/WZBHfdz2ij8lXKVLukSPyCLFOETk+1WX2oWvjdorXlMpa69nZWURcrdt5ifPD4koC + AAAAAAAAAAAAAID7a0RNt9Zyzvu+X1xcjLellNb3L3/5f/uDf/APRIptqznn1tqdXT+QKVNrTSnl + nA+HQ0SUUlJKpZR939d1nee51jqa9d7neX6FpwgAAAAA3EtirQEAAAAAAAAAAAAAgLfOqPAyXlNK + I+I6IqZpurq6qrWOlOtHjx5t2/Ya+8kHpDvpvj0iokxT9B65xMVprXue5xrx8Di97F5svZ8dzt67 + epKXeesteo9puu5Vj3gab/20q9wj17eDHtGfZle3FKlHipRukq2vw60/u+/3Mvbz41mKuLy8nKdy + lssUab148pn9AQAAAAAAAAAAAAAA4JVLKY3A6d77cpjmpeScU0rbduq9v/POO1/5yle+//t/xzTl + EX39gkP13lNKV1dXYz7UOM40TcuyREQpZRzhdqoUAAAAAMALiLUGAAAAAAAAAAAAAADeOqWUiMg5 + j2Iut1v2fT8ej6WUaZpGsvU0TSP9mjdAiogSLV2v5ug5eonavvSH/9ChpG3bDiVOp/1lB0kvEZen + y/PpuO/t1//F/xnzHCnfdDAiIkdOt+8UArqnUo4PVHEa87B6pJZ7j0+XV55iXMcREalHS9EjjpHe + v7woETlSa21tda/9eHzwKf4OAAAAAAAAAAAAAADwmtVaU0rLsqzr2lpLKW3bllo/Ho+992+9983f + /bu/+M++/vV5KYfD3FqLuLt8QCllJGRHxL7vY9LTmBs13t6mYvf+sqfXAAAAAAD3nlhrAAAAAAAA + AAAAAADg7ZVuYmvHyjRNtx+N9ZTSbT0XXosUMUXkiB5Tj5IiSrSIfJ0kXXts2zv71Xy6OET0nj5V + 2vB3oKdoKUqOtG8lTzFNMc8xlygRU/QSEVEiLxHl9gQkW99HKeL6aurRU+7RU/TUctRIe42oKVqK + /jG/3NwjReQePaJH5H693iL26D21KUVOvfeeInrE3j5cggoAAAAAAABetnVdx8rpdIqIbdte3H7k + ptxGpNxGqgAAAAAAvGXuBFH3fLvkNPWWWo2cpui51SilpJT2054jnS2HfTv93h/8gX/+6/8sp5Yi + IkUuEWO6U4ppPkTkkS9zOwD7odTqUsrtrKiU0uXl5e2cKQAAAACA51FWCQAAAAAAAAAAAAAAgDdb + j3Rd2idfpwHHTXp1j2j7odap1RyReryC+TIjyTiNlTT1VCJFT1Fvig+liOim7dxTT2Ok+521dP2m + pd7GV9/jE0ao52ft1u8kZI8GY0tPLiQAAAAAAABeqd77siz7vkfE4XCotc7z3FrrzxcRrbURkdJa + K6V8KE8FAAAAAICPmud5zCBo2z5N+T/+/f/Rz/3P/2BMI2gtSkm99zJN+75H5FzK846Tc26tTdOU + cx6ju2dnZ6/oHAAAAACA+0xZEwAAAAAAAAAAAAAAAPgY0tNc7RyR04tbAwAAAAAAANwfvfd1Xadp + Op1O27aNjOqcc3qO8WnOeeybc17XdURcAwAAAADwAmMwNiJSSjnn8/Pzv/AX/sJf/av/XY5IPVrr + h8Nctz1Fm5ep1fq847TWIqLWGhGllG3btm3rvb+yEwEAAAAA7imx1gAAAAAAAAAAAAAAAPCxtUjt + zts0Mq6fvm/XWwEAAAAAAADuj5zzsiyttcPhMM9za633Pl6fKef85MmT1tq+76Plsiz7vr/u8wAA + AAAAuAfG6Os8z/u+X1xclFL+5t/8m3/yT/6JnKNHnE7bPM+9921dX3CQUkpE5JxTSimleZ7neU7J + nBYAAAAA4NsQaw0AAAAAAAAAAAAAAADfqRSRe0REjajpZm5Of409AgAAAAAAAPjMtNYiIuccEbXW + nPNtFMoz1VrPz89zztM0jcZXV1fTNL3u8wAAAAAAeNMdj8fT6dR7j4h938/Ozq6urh49evSLv/iL + P/Ij/8HhUCJFREspSkkR7XnHqbVGRM65lJJz3vd9fWEMNgAAAADAINYaAAAAAAAAAAAAAAAAvmMj + wbqnlvJeItLT7emDTQAAAAAAAADunRF/EhGttVJKrXXf95TS89qXUkbjWmvvfd/34/H4ivoKAAAA + AHCfbdu2LEtK6XQ6zfOcc56m6fHjx+++++6v/uqvfvd3f3dEbFstJddac/42+TK99zFOezwel2V5 + JWcAAAAAANxvYq0BAAAAAAAAAAAAAADgY0gRPcWWo6YccSfF+k6yNQAAAAAAAMB9NM/zSKfOOa/r + WkpJLzTPc0pp5KmklKZpijvZ2AAAAAAAPM/ptB0OZ7XWlFIp5fLyMvf28Oy41/V7vvff+5WvfvW7 + 331nmVPd2zKX3toLDpVzrrUeDoeIGBnY27a9qvMAAAAAAO4rsdYAAAAAAAAAAAAAAADw8bTIe857 + jujJDB0AAAAAAADgc2PbthFTve/7siwR0VobqdXPtO/74XDYtm202fc9Ikopr6zDAAAAAAD31NnZ + 2bqu+74/ePBgXdecc0ppjLJO0/SjP/qj/+gf/aPRcttqSt/+gKfTaQzPllLGAC8AAAAAwAsomgMA + AAAAAAAAAAAAALzVeu+997HeWhuvd7fcrsOQUr5qtZwdvnV1ETlFRN/3iIho0aNFtNumrp3Ph5Tc + B94QOeePRiZM0xR3buC11tfQMwAAAAAAgM+LeZ4jIuc8foUZW8ZvMc9zOp3meU4pRcQ0TX5cA95M + 6YXutnQfAwAAAF6N8fT7siyn02k8Ld9aSymV6G1bt/30x/7zP/J3/s7/NBqPAYyRWn37XP3tyMbt + KO445n49z+Xpk/bj9cWDvQAAAADA20asNQAAAAAAAAAAAAAA8PY6nU6jgMsoy5JzHoVgUkr7vu/7 + nnNWqZYP6T09evSF37y4qPMUqUdraZrah5N0XTbwWeq911r3fb+4uOg3Wmvrup5Op23bbouMS0oA + AAAAAAAAAAAAAOD+Wtf1cDhExDzPf+Wv/JW//Jf/21JiPCZfa52mqbU2kq3Ho/XPO86YMDWmR41d + cs7btr2q8wAAAAAA3nRirQEAAAAAAAAAAAAAgLfOCLGutR4Oh5RSzrmUMvKtHz16lFI6Ho/zPE/T + tO/7bVQqDC3av3383vG3PfrGv/qXkVLkHBG5lNsG/RlrwKcy7tXbth0Oh9uqW7XWeZ5Haa1xr845 + n06n19pTAAAAAAAAAAAAAAD4zuUPZccsy1Jrresp6r6vVz/3D/7eT/7Ef9Z7LMuUc973fZqm8Vz9 + NE0vmPdUSjk7O7uNwa61ttbmeX7pJwQAAAAA3BNirQEAAAAAAAAAAAAAgLdOzjkiSim99/EaESml + aZq2bYuI1lpKqfd+W+cFhp5ySvODw4PH+xa1RrqenrNua0REas+4XFxB8KnVWq+uruZ5Hvfk1trp + dJqmKSLWdS2lRMTpdBq51y8oywUAAAAAAAAAAAAAAG+4y8vLs7Ozw+Ewz3Ot9etf//oP/dAPbuve + WouI1tp4tL73/oJ5T7XWfd/HDKmIGA/eAwAAAADcEmsNAAAAAAAAAAAAAAC8dXrv27aNSi611riJ + uN73fZRoGeVdRoORgQ239l5Pbd9Sj8McKVrtPfqyLK+7X/B5Vko5Ho8RcXFxkVLKOR8Oh3EDX5Zl + XdeIGBW74ubGDgAAAAAAAAAAAAAA90lqkVoucfbgkFJ67733WtvPzg45x6//+q/9zt/526dpioic + c0qplFJrfUFY9e1HY6+IqLVu2/YKzgMAAAAAuBeUVQIAAAAAAAAAAAAAAN46o3TLyKser733iDge + jyMMtdY6z3MpZUSlwl0pUm0R0xT7GinnUuLmEhra3db9w7sDn0BrbV3X1tr5+XlEnE6niEgpjf/1 + lmWptY71q6urF5TlAgAAAAAAAAAAAACAN9nV1dXl5WVEPHr0aJ7n8Sz993//9//yL/9yRMzzvO/7 + eH5+mqYxE+qZWmsppTFzKqV0eXk5z/NtxDUAAAAAgFhrAAAAAAAAAAAAAADg7ZVSGiVaUkoRcXV1 + dTgcImKapn3f931fluVuXDFERFmWlmKPHscl9j16b9EjpfHp00xrFw58dnLOy7LknNd17b0fDodt + 23LO4zbeWiulpJS2bTsej/u+v+7+AgAAAAAAAAAAAADAJ3E4HN55551tP53Wy/EI/cOHDx6//96P + /diPfe1rX9u2bcyEqrXu+55u5rM8U++9tRYRpZQvfOELT548eXF7AAAAAOCtItYaAAAAAAAAAAAA + AAB4G+WcI2Lf93mee++11pRSKeV0Os3zvO97732aprH9dXeWN8u+bxGxtx59ipwjpZxybXV8mq9n + 7KRIZu7cRzkiot/57vrYmFOP1K+nY7UULUVEuDu8MrXW3ntEjPJbETHPc2ut1ppzHmW2WmvTNEXE + eAUAAAAAAAAAAAAAgHun9/7Nb35zWZacc+99WZYnT56cH8+uri7+2B/7I3//7//d3nvvvZQSES+Y + 93TbZlmWWuu2befn5/u+v7ozAQAAAADebIrjAAAAAAAAAAAAAAAAb69Sym01lhFuHRF367OM9Gu4 + o6VelynnKNFL9BIRPXrKZUQcl4gS0WOKyJHieuH+6DV6a6f1FLlFbFG3aHNbU0QbmeWlX8dapx65 + R+qvu8dvh1LKbZr17cac86ixNXKsc84vKMgFAAAAAAAAAAAAAABvknZniYiInqPn3tLZ8bzuvbc0 + TdO+79M0tbZPc4pY/4e/+t//+f/qz0wlcuoporcWN4/TDxExpkOllGqtKaV1XXPO0zSllKZp6r1H + RO99TKG6XQEAAAAA3jYqKwEAAAAAAAAAAAAAAMDH0iIi9xw9f2hjxNOQ4x4j07oF90rKOZW8HA7b + fkoRUUqse+RlTMUaX/mItQ6R5QAAAAAAAAAAAAAAwCtR6zbP5ep08Qu/+L/+2I/9oVpbRExTHpnW + /UZEtBalpLEeETnn1trIrh7R11dXVyPi+smTJymlnIXXAAAAAMDbyMggAAAAAAAAAAAAAAAAwFOP + Hz+OiHmat32LFHE89uPcU0REjaivuXcAAAAAAAAAAAAAAMDbqPf+8OHDUso3vvGN3/N7fiAiWmut + tVqv5zrcBlT3niJiRFa31kopEbEsyzRNKaXD4TCanZ+fx03u9Ss/GwAAAADgNRNrDQAAAAAAAAAA + AAAAAPDUo0eP9t5axDzNUfeIelH3mnJL0SNGvnXur7uXAAAAAAAAAAAAAADAW2Oe523b3nvvvbOz + s2mavvGNr/+O3/G9I416xFdHxG2CdWttnufe+0i8rrXO87yu677vETFNU0Ts+95737Yt7uRhAwAA + AABvD8OCAAAAAAAAAAAAAAAAADd6REROeau1thbTEiWvcxox1jVFTbdNczc/CwAAAAAAAAAAAAAA + ePn2fY1o77zz8MmTx8th+uIXv/iVr3zlnXcepIjofZ7n0az3nlKKiG3blmWJOyHWZ2dn46PWWs55 + tBwx2AAAAADAW0jZFAAAAAAAAAAAAAAAAIAbLXqPGpFyLrn001Ucyq/9H/+i5twjaso95dzzdcw1 + AAAAAAAAAAAAAADAy7dt29nZ2el0KqX03pfD9KM/+vt//ud//uzsEBGn0ynnD2fQ9N4jotb68OHD + 3vvl5eXYMk1T7/14PN5mYO/7/spPCAAAAAB4zcRaAwAAAAAAAAAAAAAAANzIkVLkiJRK7yk9OIsc + MecWERE9xVhJ/SPVngAAAAAAAAAAAAAAAF6Os8Oh1/rk8Xtnh7n3enFxcTwe/+yf/TM//dP/zbvv + vpMiUrSRUT3Cqqdp2rZtWZbe+/vvvx8RY+M8zyPEurWWUmqt1VqnaXrNpwcAAAAAvHJqpwAAAAAA + AAAAAAAAAADc6BE9WkSOXGuNiJhSRO8pR+QWuSdzsgAAAAAAAAAAAAAAgFft6urq+77v+y4vL2ut + x+Oy7ad933/2Z3/2x3/8x1OK1qL33lqLiJTSvu8ppW3bRtb1NE29933ft20rpUzTlFJKKZVSXvdp + AQAAAACvhxIqAAAAAAAAAAAAAADA26jW2lpLKfXeU0o554gYdVh679M0jWYppVHMBXhbpIibaVfT + NEXKkXPMpUdERE/XrXKEW8MrNu7V6VnuNuu9v64eAgAAAAAAfA6MX1vGa2tt3/dvu8v4hX38tt5a + q7W+5D4CfMbGg0O3t6/v5NYHAAAA8Oq11uZ5vri4yDnPufS95pxT7qXkX/iFf/gTP/GflhIpIkUc + 5iXa9WDvMB68v02wrrWO7eMp/VLKyMMeI729923bblu+ptMFAAAAAF46sdYAAAAAAAAAAAAAAMBb + Z13XUkrOedu2aZpG4ZV5nsenh8Nh3/dlWUYFllG4FnhbpIh0Xchp6BGRcksREak/fY2IdifoGgAA + AAAAAD4HRrRJRLTWcs4jsro/37qup9Np27bbHcev8K/tBAA+vpzzeJooItZ1vX2ICAAAAOBeePz4 + 8bvvvvvlL3/5i1/8XRFRStq2rUfPOY/U6ojove/7Xmu9nSdVay2ljE+Px2NKaTTe9z2lNM/zmFd1 + m4QNAAAAAHz+KKsEAAAAAAAAAAAAAAC8dZZlaa2NKrT7vs/znFLatm0UZ1nXNSLG9idPnrTWXnd/ + gVcoRUTkiBzRI3pEjYh0HV+de5TrW0K7WQAAAAAAAOBzpfc+Mq1rrRGxruu+789rPM/z3WSUnPPp + dHp1fQX41JZl2fd9WZbee611WZZx9wMAAAB44/T8dImIiNxb7u3swWGv67vvftfXvvYr3/d9311r + 79FTitZaa633nlIaQ7jXe+UcESmlWusYCTmdTiO+urU2TdNo9oKRYQAAAADg80GsNQAAAAAAAAAA + AAAA8JZaliUiaq0XFxettX3fe+/bto2KLafTad/38/PzUasFeKuk63jrD8dW5x4pWurRboKuAQAA + AAAA4PNk27aU0sgvKaVs27Ysy22KyTOt6zran06nbdsOh8PdfBSAN0R/jvGMUGstIm7vfuMtAAAA + wH1xOp1qrT/0Qz/0j//xPz47myPi7jBt7z3nPGZIjazrZVl672MwZJ7n0WwMDq/rOt6enZ294rMA + AAAAAF4xZZUAAAAAAAAAAAAAAIC30SiivW1bznkU4B6lWOKmPss0TWP71dXVa+wn8Ir1iP70n7gb + bJ16lB6l32mtGj8AAAAAAACfL/M8j8ySfd8jYpqmy8vL3vsLdlmWZexyOBxG/Emt9ZV0FuAzcJvq + NJ4mGoHWKXkgAAAAAHgD5TvLHXVfSp6XMs35J37ix//e3/u7h0NpLcacqTHQUWsdwyAppZzzuq45 + 51rr4XDYti0iWmtjkGQkXtdax4wq470AAAAA8Dkm1hoAAAAAAAAAAAAAAHjr9N5HTZZ5nltrvfdR + j3vf93wjIh4/fhwRx+Px9fYWeGX63RTraB94FxERJaL0iPTh7QAAAAAAAPC5MX5PL6W8//77KaWz + s7MXxLuOxOtlWUYmSkRcXV2VUl5ZbwG+Q/05WmsppfHs0Ehvurq6EmsNAAAA3COttTFIe3l5uSzL + T//0T//Fv/gXS4nW2r7vI8c6boZzx2vcDAWfTqdlWUopOecxx2rbtpRSKWVZlogY+wIAAAAAn0uG + /wAAAAAAAAAAAAAAgLdOa+32NaV0W4h2mqarq6ta66hU++jRo23bXmM/gdemt+gRET3itlJ1jkjR + xtuufjUAAAAAAACfR/u+z/N8Op1SSg8fPoyI3vv4Df2ZUkqttdZaKSWltG3b8Xh8QXuA1yU9Ryll + Xddpmkak09XV1fF4rLW+7v4CAAAAfFjqkfoHU2ZSi9RyifeffGuacs7xrce/NS/l537uZ3/qp/7E + 2dkhIlpr0zTd3am1dn5+PgZA5nle17XW2lobE6zGyPDpdMo5995vZ10BAAAAAJ8/Yq0BAAAAAAAA + AAAAAIC3TiklIu5WVxlb9n0/Ho+llGmaRpXtaZpG+jWvU2r96ZsckaPfJA2nFr3lHqVHicjR803q + 8EvWIlqkFrlFRIt007E8etYibq4b83fumesvLOVIkSLffp+pp3T9Ycs9Uv/wjunu0p8u19dG5Ijc + xpKiRa4pavrA69je0m0vcmkRrUVtUVu0O5cVAAAAAAAAvBwj2HVZlhHvum1bSulDkSd31VpzzuOH + 9dtwlBe0B3jTtNbGTS+llHM+Ho9x8ygRAAAAwJvnGdMKeu8PHz48nU6Hw+HBgwfbtl1ePfmlX/ry + 7/nBH1yWKSJu50blnCMipfTkyZO7edVjMKT3Pk3Tuq4ppcPhMLaMsWIAAAAA4HNJWRwAAAAAAAAA + AAAAAODtdVuBZazcraw91ke92tfSN260Hq3Ffl0Fp0e0iPEmReQ9eivRpz2WiNKjpJhzbD2Oh6n2 + iB5Tib1HTh9MHb6z9B6HpbQercfxMJ16zFNqPXJ69tJ6RN9jqhFrpL2nkWx93avRwRrRb7rJPZIi + 0tNk9Bw9l8gRNaLlNkXMLXKNSBHlJtk6RaQUKUXu18sIWe8RZ/MSESWm3EuK0nrKZWkp7ynv+cPL + GlFzaSnvLVKZU5m33kqkL/2BPxjrFu8/iVpjXXvbe8RW9+s+3rnOXHIAAAAAAAB8JtKNiJjn+cWN + R9jJ+IU953w3BwXgXrgNc3rdHQEAAAD4NnpqPbWI9jTcuufoOUXZ1nqY5rpuUffc22Eqy2H6xje+ + 9tu/73tTRN33MTuq1hoRI6l6vG77Filqq5EiboZ842a05HZS1W0wdq317kEAAAAAgHtt+vZNAAAA + AAAAAAAAAAAA4PVrEfk6uTdFROztNKUWre6t9zL1uqUUe4+txsOz6fJyn6eYcrlc63FKtffo0VOk + j7zOJb1/qg8P09W6X17t50vat74sqW79o+0jYi7Re885R+nR6x6ttVzydaRwiihp9DZSyES/n/qd + lRQ9InJcTvPjvG9zaylyjxQRvUS0SPWmIFSOyBHRI7XIqUz/ttWtH6ezQ8v58uoqz8u6bXtOLUqL + HpFr9IjUe4uIacqndf+uh+dTftBra9v+6N0v/Nvaf/Nbj2Pb4rDEusbZIXK/Ol0th2OPSHeDrJXX + BuD/Z+/ufizZzvu+P8+z1qqqfplzeEhRCgU6CXkhI5YpRAkMEQGhmySOkRvLUABbjCAHhg0mgGEk + gWFZUkQikCVEL2YgieYRmVzxJrrQf+CbwDB0m1zkIoBBW4xA68IyeKiZ6d5VtdZ6cvH0rtkzp6fP + mZ4z09Pd3w829lRX165de9fu2mtq1Xp+AAAAAAAAAAAAAAAAAAAAgIiImPdP/fAPffOb3/ipn/pr + y1JbFzPp/aosalWd57mU0ntXVVVdliXn7O6tNTOrteacRcTdI/caAAAAAAAAwK1GrDUAAAAAAAAA + AAAAAAAAAAAAAADeaCpiIi0Sg/ViVhMRS+JdxnJmtozjv1nOT4qYyGjDe+fLkeQ/q/WdMuRcH9Z1 + smRiLhFJ/NR9rToW+/68nAyjNPmzZT6StFvapOX9y4v23tyTne9WEZPuJuYq5iJ9nzGskkxEn/xI + 5PCtdFGsyZpYzuXfHA2ufc7WrOvFr7K5qNt+cW0mTa2q1SQ7kf/rT/4kiXz/4W4VaSJ9Pu8iRcT3 + Odj94HlUuoikR4//9cNHsq5SiiyrDEXMxF2WRU4ml+6iwzj5lu5+uLV8zAAAAAAAAAAAAAAAAAAA + AAAAwN5nPvvvfeMbv/e3/tbfTia9i4iklFprT5bYRr6IuHvOeV1XEVHVUsowDO7u7qWU1pr7xWgK + VSXZGgAAAAAAALgDiLUGAAAAAAAAAAAAAAAAAAAAAADAm+wiM1j3ExuPUOC1qQ1n89kPnnxiXh72 + uq6+HKXB3Y89n6+ziExWVLWLi1hX9yrPLgAAIABJREFUeea+StPVj/JYa++9n+RRRCbJtVZx6dIP + 783VpHhP03gqniWPu/OzYTrVfd72xb3ut5xM69tIu0RY9UXBpSQpv/v//j+yrlKqWJduIiZuInqx + pJioiJqoiKmYiNk7x8eLiKvMIj0+DkV98cOqT08+HilLrWLJT09arTllORFZFmlVhiJWRJpY7mIu + bpd9qrYgdQAAAAAAAAAAAAAAAAAAAAAAcM/N81xK+cmf/Mkvf/l/+spX/pGquMtTmdZPU9Vaq6pG + 9HXkW2/zc84pJRHZlnlNLwMAAAAAAADAK0OsNQAAAAAAAAAAAAAAAAAAAAAAAN50Kgeh1irikkSS + msw70aNBhkn1/PGjUSbToeQ6152LDCmrplprNlnrYvpsMHYYktVWe+0uPuSy1rmJDJbypYu7NU/T + 8Pb6uMqaZZWT6VhUXC5G6jQRl95FRMT0YssJtr5F/Jn95SJqrkWPRMYk2cW6uImreBZ5Orn8IuJa + Y865iJqtvbtIsbKuzeOjoU+vP9QqItKbSHdvotZqTUO+WKeJS25iq7TeZbRysY6nsqy7xqIAAAAA + AAAAAAAAAAAAAAAAAOB+Ozoaa61rnX/ub/7st7/97W996/9QFTNtzZ9d1EVEylCWZXF3M4scaxEx + s9676sUghnmex3F8rS8DAAAAAAAAwCtDrDUAAAAAAAAAAAAAAAAAAAAAAADeaFEsp29pvVvscHex + LLI+Hobv+nycj975+Nvff/hvRWV48Pay1FLSstTh9Gi3W/JUzPJ+NU/dp1TWde5dcrZa+3B6JGLr + OqumS5Z3VZnOi52dnIqKWBG3s+8/Pn7rREREu18kW19Ir/vdwkvq8kyytUtS6WKpFJEk5k3ERFUs + Aqdde5e+j7OWi8+pi4hUkWLJ1Lx5XVspZV1XVXd5XwUoEREp07DulrWuQykiknKu65pLEelr691U + 1JOkZPZkGy9fEwAAAAAAAAAAAAAAAAAAAAAAuNeWZTEzVV3X9Stf+cp3vvOdf/6Hf1jX545DWJZl + GIZ1XeOBtdaYb2brukbQ9TiOMdF7N7PX9VIAAAAAAAAAvBLEWgMAAAAAAAAAAAAAAAAAAAAAAODN + 5SKrmBxmWsfNupvqVCSlr3/7/5ZVxF3mR3J6LC4yzzIeSa+i6dMP3hpF5iufRUWyyCoyiCxnkkS+ + 8+j77w/Avrhvq5QiapKLuPg8H5+cSLtYUU5P1pnkmYRk3Cr7HZddXKWbdkkuvYuYmIqaiLqIuGnX + rayTPynwVHJa6yoiuaR17es6p6S9eSzsTz+LpbSeL7mUkoZ1rSWXdbcr0yQiXdzSoCJdxMVzPOji + 8f1wa/m4AQAAAAAAAAAAAAAAAAAAAAAAEYmMaveaUupe33333b/6V3/qX/yLf/V0rvVhNHWvtaqq + u5tZSqnW6u7u/rGPfex73/tea83dc86ttZSSAAAAAAAAALjliLUGAAAAAAAAAAAAAAAAAAAAAADA + 7fAkr1e7qKzeTFPOKpqliNRF3n5nlZ4k68mpiNRWc8rf/aCY35St1S4qZtqbx5x6dJTs0go7XaVV + X1WLSfLmNo1yPstYREVU/CJmOJs8SRwmavj2sIvdpgcZ0S4q0lVFRCWl/WyLxTRdLPG+Va21TeNw + Ni99bTmbqNa1mYiI9W3dLqoqIr26Wa5LU9WSS29exklcmnTRJCK1rjkXdzdV7y66f0p9kmkNAAAA + AAAAAAAAAAAAAAAAAAAgIvM8m9kwDLVWER2n8s1vfuPnfu5v/n9//CfPe0iEWItIJFiLSKRcP3r0 + aJqmdV230OvX9zIAAAAAAAAAvDKc6QMAAAAAAAAAAAAAAAAAAAAAAPfaVm9FRHrvcX84Z5vGjVCR + QWQQyRIxw12si0WUb+liriY5iRYpJyIly6iSVExcSxpUTFxUVFyed2trFxfp0qvHwm3t2YqKvf8m + Yk2S6ORSupilIipyXCSLJ6nWZ5FFpG0vgI/PbaNbCrke5JG7JJEkPUvPLskl9Yud20Sq5Cq5SW6S + XS9uotZEzpY1Pmhr7XVtIiaSRczEREzEVMxd3VXEvKuIxWrVNDYgqcWiYy5ZpKipi6mJdPG+baGL + dJF+1z9xZvb+6lc5Zzk4gLfWLnkkAAAAAAAAAAAAPpzodhGRD99XfhhzUms9XAkAvPnikMUFQgAA + AAAA4O4plsyfnP3IOX/yk598992vT2NSkbg2P+eLi/Tjn+0kyeFEKSUmUkoxoXoxmCEu4F/XVTjB + AgAAAAAAANxCxFoDAAAAAAAAAAAAAAAAAAAAAID7a55nVVXVKNFiZvM8m5mq1lprrWZGUZWbt0VQ + yz5pWMXlIhb4IifYTMx8HxX8KrcmYolzPJHLk/DjLhel2U1sS0N+kouM2+biQ3exB7tI14t/Y1df + RErb0/nXhw+XJx/I+LSY7MOnX2g7dH978ocQTxDPqheZ1nf7UOXukYJwdnbme733ZVnmeV7XdauK + lXPmuA0AAAAAAAAAAHBtZhYxJKr66NGj6EyPOc/TWlPV1pqZ5Zyjq/01bS4AvDQzW5ZFVaMberfb + yQcd9wAAAAAAAG6FGDMVJz3igvyTk5PPfOYzv/d7v5ez9C6lpNZa772U0vtzxzpEarWIuPvp6amZ + nZ+fx5wIuo7r+VX18ePHr/5lAQAAAAAAAPjIcLknAAAAAAAAAAAAAAAAAAAAAAC4d7a62+M4qqqZ + pZSiVsuDBw9UdZqmUkqU296iUnFjLksMVpEslsVUbEu87iLt4vfi+iSW2PVJHvYH3nwfm33pTURM + JImkfZ7xJokNYpPYKJJkv+ilicd4Y7mIm7gdzOii/Um4tXbRLhYTVbRrl3Rw08MU6ktXL9Wldqm+ + v8l201WkXjyFNtF++LCLz5+LeBffNsned7ub4li9rus4jltqdWutlGJmZhbHajOb5/lGtxQAAAAA + AAAAAOB2c/foeWmtRTxJ7z2CSS61LEvOebfbRbd7rTXnfEX8CQDcFH+O1towDNs1QtM0xTHtprcX + AAAAAADgZfXe3d1chpSLaV+X1teT06PPf/4n/uE//HkVqWsz9XHI6zqndNXol5yziJhZBFcfHR3F + +ltrcZY4fjw5OeH8MAAAAAAAAHCL5JveAAAAAAAAAAAAAAAAAAAAAAAAgNfNzEQkpeTuKaXWmoio + akppXVcR6b2rqrtHuW2SrW9YBPrGTrh0V+jFYqYHi7jofr6K+GUZw5eKhZ+7y7d04Uvzqj1+Y+li + RWRa31ouquLSRZ7ZibZPuY7PVFc16QfLHOx0vfjF+z5PLh/286j9MGP7ycMO/hyemXdXtdbWdZ2m + KTKte+8RcS0iy7IMwyAi8zybWcwEAAAAAAAAAADA9ahqdJeLyLquvfdhGMzMn9/nmnOOrnYRicWi + Ux4A3ijPu/4nDnFbMlPvPfqmuV4IAAAAAADcdnF+o/eeUiqlrOu6LEtrLef8xS9+8Y/+6Du///u/ + X6usa1XVGF11KTOrtcZEKWVbeBufNc8zV/IDAAAAAAAAtxGXewIAAAAAAAAAAAAAAAAAAAAAgHvH + 3aP6tohEIZWIuK61ppREpPfu7rEA5bZvmIv0J2HSLlbFFrFFrIo0kXYY69tF9wurX0wkkRT3H/Lm + kmT/jJfe2lNP7NKrSBVpYq52MWAnNrt36f1DJxjjDaCX/ORPPmPmaq7WxNr+4ybaJXWxg5te3C4+ + IHLw4dnWe2ks+sUse+qm8rzb4Sq3B9zVotoppWmaROTs7ExVI746DuDDMCzLIiLjOJZSZH9gBwAA + AAAAAAAAwPXUWlU1Yk5yzlvK9aVUNfrWRaT3XkrZfgSAWyGOY3GxkOyvFLriuAcAAAAAAHBbmGWz + LGK19taamZWSUlI1Pz6ZfvEXf+Hzn/8JEXGXZFcNRui9x2X8vfd1XUVkGIbtdEqtNS7vN7N1XRmH + BQAAAAAAANwinM4DAAAAAAAAAAAAAAAAAAAAAAD3ThTgjjoph7Vop2mKMNTWWiklpRRRqbhhur9X + 6SJRAT3ie+1GhsdsucIu4v3ZeGJ9X3oxbikXcdMIKN/HRneRVczFXEzETMxF9p/KD1GdPz4bbhc3 + ufQWDj46z3yQ9GJNXZ78RaiI+l3+uPXel2XpvZ+cnIjIPM8isiUoDMPQWovp3W6XUrrZrQUAAAAA + AAAAALi9eu855+iOEZHoQxcRfY4IMhGRWuuWWVJrvbEXAADP8bzj2DiOOee4gmi7cIj4JQAAAAAA + cAfUWt09hlDFJfdx0qPWuq7rNE2/8Ru/8Rf+wo+ISGt+9ekQVe29m1lKKaaPjo7iVzlnEYnL+Esp + cWE/AAAAAAAAgFuBy6QAAAAAAAAAAAAAAAAAAAAAAMD9FYVUokatiOx2u3EcRSTnXGuttQ7DQDmV + G6YiJp7EVaJcehIpItklddF+EeXbRJruk4JVRMVVY6KJNbUmH/qm1sSeZFc/fXOTlqVlkSQSicdN + klt2ixxdlydpxW7iJFvfKi7SVNq211zEI8Q6puNOuoiKJTEV033K9TO3/dAtlYNP0AXtF7cnydRd + pat3lR7Poe6Rge37m+j+gftt8IMI9X1g9mt5m26CmQ3DYGbLsrj7OI6RiBCH8d571MaK0lrEJAAA + AAAAAAAAAFxbxFSP49h7X9d1GIaY78/RWhvH0d0juaSUcn5+HtMAcCssy5JzXpZFVVNKy7JECBMA + AAAAAMBtp6q1dlUtpcS199J6W9ZhyCJ9Xs4//elPf/3rX//Upz4pIvb8XGtVjbO+MQgrTg7XWs1s + nmcRaa211raFX8uLAwAAAAAAAPARINYaAAAAAAAAAAAAAAAAAAAAAADcR1FspdZaSolC21Gadp7n + UkqtNYpux/yb3th7zUXaPvg3mIj6YdjvkyW79H7wG79W1O/2kEtvPSK0t3XqU4+Jn/rTm313g4bv + Ab2406fnXWRWP/kE2sH9Ibvkdt0PhL9v4umo7Guu9rZorbm7iKhqHJZLKb331lokK4hI7z1KZRGT + AAAAAAAAAAAA8PLMrJSy2+3kyhgSVZ3nOQJRovP96OgoenYA4LbovQ/DENlLwzCs63rTWwQAAAAA + APARGMex97qua1xyn1LKOaeU3L33bma1LT/4g5/82td+9513Tmvtz1uPuy/LIiJmVmuNK/bNTFWn + aRKRGJMVzwIAAAAAAADgFqE8BwAAAAAAAAAAAAAAAAAAAAAAuL9SSrXWmI762iKyzZF9+jVukL4v + K1hln+B7UDjdDv7dz3bdx0yrqH/oMOFY+HlF2W3/XPvNsCebsY9Atiebfbg9uAWe+rypiIvofo6K + iCSRtP2kcvCbS/VYy7Mfvss+jC4iKu4Xq3MR3X+iDjz5RB3+XegHbccdkFK88VJK2WZuh+itKtbr + 3zAAAAAAAAAAAIC757DbJSJJroip3n4Vj4pAlCtisAHgDbQFO8WP0QcNAAAAAABw2y3LrpQkIq2t + qurutVZVbcs6DsO6rkl8LOlzP/of/C+/9o++9N/996JiKhFOPY7jPM+qSVX3edU9JmLg1RZiHWsW + ETOLiThFvK5rKWU7h8x5YwAAgJdx2KyKhtY2P0QPV865tXZFF/99cNgovZNyzrXW3ns0xe/wKwUA + AK8HdToAAAAAAAAAAAAAAAAAAAAAAADwRtOnb5fMvXSBV7wxl27GNu+SJXFLPLt/n57z5uzW1/aZ + BwAAAAAAAAAAAAAAAAAAAAAAd0bOObIPW2u916Oj8S/9pf/4F37xf1SX3kVVhiHP85xSSilt8dWX + SinFxPn5uewjrmut7h5Ri6q6LIuqRhg2AAAArqHWujWrRKSUEo2u1pqqttamaTIzM4uW2E1vL16t + WmtKyczkIL376nY7AADAFYi1BgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIA7 + TlV77ymlyMCrtf7QD/3Qz/zMz/yNv/HTouIuy1JFJKVUa8057x/3bMBNSqm1Fis8OjoSkd1uZ2Y5 + 51jzo0eP3H0cx3VdD9YDAACAF5NzXtd1HEd3f/TokYioas7Z3d19GAbZpxqXUm54W/HqRTtcVaPV + 3Vpb1zVSrgEAAK6BZgQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3HERfxgT + KaVlWR4+fPjgwYNf+qVf+sIXPi8qInJ0NC7Louq11oOHPpVx01ozs8jPSymJyDRN5+fn7h73p6en + kXsdTwcAAIBriwZVa+309HRrbuWcoz3WWkspuXutlXjjO++wja2qKSVVXdf1prcLAADcVjQfAQAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAODuU1V3X9dVVYdhiKDrBw8efPWr//gz + n/n0OKXz3ZySurtI39+C7W+iqr333ruI9N7jx6OjI1U9OjqKPOycs4gMw0DMHgAAwLWt6zoMg+wb + V7XWaHRFpHHv3d1ba9Goi+YZ7rCUkojknEspMedwGgAA4EURaw0AAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAd1zv3czMTETc3cxyztJqbcvJycm3vvWtaRpFpHWXfXTiZSweKyLb + 2iJgr9a6LEsppbUmIpGwSMweAADAtZVSIrhaRFprpZRlWWqtItJ7jwZb3NPoug9aa8Mw1FrXdc05 + q6q73/RGAQCAW4xYawAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC44yL0TlUj + H3FdV1Wttfbej4/GH/jEO//7//aNozFlk2lMrVYV0WfXcRF2o6qxwphQ1ZRSznkYBhFJKUWEdvwW + AAAA16aqZtZ7TymJyDAMkWPt7jFRa805r+tKsvWdl3NeluXo6Cia8SklVe293/R2AQCA24pYawAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC441JKrbVIs3b31lopJSKuzayU8mM/ + 9mO/8iu/0prMc7Pnx9rkPLTWhmGIlRwdHbl77z1CrCMn2/aPjyxtAAAAXMPWlIpk61qriKhqJFjv + drtxHEWk1lpKWdf1BjcVr0F8AM7Pz9092vYiYlc03AEAAK5EMwIAAAAAAAAAAAAAAAAAAAAAANxH + rbWok+LuqhpjNVNKIuLuOedYTFV77ze5oQAAERGJY7Ve5nAxal0BAAAAAAAAAAC8jMMu8iiFfLXo + Zw+tteiCfyVbBgC4f7bvlJjgkgAAAAAAAD4ScSI3pRRDq3LOEUGdxKVVr2sS/+n/6q996b/9b0qR + OGdsJiI954uMm3G4yE0UkWVZYub5+fnhs+ScI3ZRVVtrnDoGAAC4tmhQbdNmFp0m0R4zs3meRSTn + TGfKPRGtazOLCgkUQwDum/irX9c1Dvu73U7uRH96fNkdXrEWR7nnid9uxWHkrhwPt1exrqu87xK+ + mOl7r3/zcCflD14EAAAAAAAAAAAAAAAAAAAAAADgblmWZRgGEVnXNWqvuHspJYb3jOM4z/MwDOu6 + llKuHukEAAAAAAAAAAAAAMCdYWbRV957771Hukl0rF+6fJQTba2llCLiOuJJ6GoHAAAAAAAAgNul + 9x5ZUOu61lp/+Zd/+V9++4/+6T/9P4+Ph7OzRVUjozqlNC9zSqm19dL1qKqquvuyLKUU2afuAQAA + 4HqiE1/2XfPRMIsOetn30UcDrLV2Rf8+7oaohBA7uvc+jiOxpsB9Y2a11lLKuq5mNk3T3Ug4TinN + 8zyOY601pRQHuviCu3T53vs0TbvdrpTSWqu1xmmN2/5WbNfdlVK22jitNXdPKW1nWrb36jDYG7ge + rvUEAAAAAAAAAAAAAAAAAAAAAAD3zjAMvfeojRLjtVR1XdfWmpktyyIiMf/x48eRdQ0AAAAAAAAA + AAAAwJ3Xey+lzPNsZlvf+hU1r6NQZtgWI9MaAAAAAAAAAG6dlNKjR4/WdU0pDSk/+v6f/ZOv/+5P + /MR/dHa2pCQi3nt391prStpae956Ij5KVYdhiJilCNx6fa8EAADgbtn65VNKjx8/jvZVa621FqPg + zaz3HqHXtLvuvHmeo0LCOI5mNs/zbQ9wBfCilmXJOffeU0oppd1uF9nPesvtdrtxHEUkAq1rrcMw + XHGIi0xrEYl475xz1Iq56dfxsuIlt9YePnwYmdZbzreqisijR4/cfRzHdV3JtMZHgss9AQAAAAAA + AAAAAAAAAAAAAADAPRUDeFprZ2dnvfdaq7uv6xo1VuZ5rrWenJxQbhsAAAAAAAAAAAAAcE9EWcyo + EHp2dpZSir7151nXdRiGlFJUyay1qmoUzgYAAAAAAAAA3CK99wcPHsRZ4t1uN01Ta+2r/+tvffaz + f66UHDFSKamIXJFpLSIpJRGJQKmzs7M44RwzAQAAcA3uvoVVn5ycRPtqC7SWg+ZZa+3qLn7cDXGF + xjzPkW9Kpilw3wzDsCyLu8e3wDRNEXHtt9w0TfF911orpaSUIqb6eXa73XYKYovBFpGbfh0va11X + EVHVBw8ebC8nJs7Pz9399PQ0vvr9+ZnfwAuhrBIAAAAAAAAAAAAAAAAAAAAAALiPYpTmuq5RJEUO + yqP03nvvOeeYv9vtbnA7AQAAAAAAAAAAAAB4bVJK8zz33t39+PhYPkSnedQPLaVEV7vsC2cDAAAA + AAAAAG6RsUxnj85LGkoacs6ttTGXT3/qh3/nd36n9zaMVkpqrZnJ1VmJEa3Ue2+tvfXWWyJSa706 + CRsAAABXUNWcs7u31iLqclmWGCnv7jGhqmZWSrk6ARR3wziOkfyac1ZVdjpwP0Xqc/x328wi6v5W + q7XGtWetNXfvvcuV16GVUuLlRwZ2PDa+GW+1YRhqrWbWWou3opRSa1XVo6Oj7fgvIsMwRMMAeElc + 7gkAAAAAAAAAAAAAAAAAAAAAAO6dbYxulNV29xi6E2N7gog8fPhQRKZputmtBQAAAAAAAAAAAADg + 9ai1juO41QNd13WappTS85ZPKUWN1CgkKiJbHW0AAAAAAAAAwC3SWothVsuyuHtKqdZ6dnb2uc99 + 7hvf+May9LVeZGVtMYqX2qKkUkqtNVU1s5gDAACA64mwUhEppWwzI/LT3UXEzHrvvfcr4j9xZ8zz + LCIppXmea63DMNz0FgF43eIPfxiG+K+3iIzjeNMb9bJyznHVWRSEcfecc3zNXWpd1zjb0HuPN2Fd + 1yuWvy22F55S2k6/5JxrrcuybGHeW+L1jW4s7giajwAAAAAAAAAAAAAAAAAAAAAA4N6Jatpxr6qH + I3l2u11rLVKuHzx4QK1tAAAAAAAAAAAAAMD9sSVYq+qyLDnnre7npaKHvZRiZlEmu5RCuUwAAAAA + AAAAuI2maYrkpFLKsizDMBwfHy/r7gtf+MKv/M9fHrKKiHsT6VekJW4js1prcc75bsRKAQAA3JTe + +ziO67pG42q3220xxqo6jmNkmo7jeEXnPu6Mo6MjEUkpmVk0s9nvwH0TVVB676pqZqUUvStOT09V + dZqmUkpKqdaq+2ow72dmtdZhGOIshKq+/fbbEQV9q+WcVdXMIrb8+PhYVVtrOedoAKSUeu9mps9/ + c4AXQqw1AAAAAAAAAAAAAAAAAAAAAAC4d2LUbozVjIE6MafWOk1TSinnHCOXokL3zW4tAAAAAAAA + AAAAAACvx1bs0t2HYYgf/UrxqOhkN7NlWW5w+wEAAAAAAAAA19P6WtsSWdS11nEcl2VZlqXWmrL+ + 7M/+11/84hdVpDVXkd4/IDkv5ywiEch0enpKrDUAAMC1HY6Fn+d5miYRifZVrXWe52jCzfM8DAPj + 4u+88/NzETGzyLU1s/hsALg/Isc66qLE5VuRgnzT2/Wy4sKzSGuutbbWoibM85bvveecl2Vx9zgS + xhHybiilxHF+nmcR2S7kq7VGpnUsxvkWfCRu/eEDAAAAAAAAAAAAAAAAAAAAAADg2rZ63DERBVPC + VjzlDgzfAgAAAAAAAAAAAADghWz96R+y03zrcB+G4VVtEwC8GnEEixL/vffWPiCTCa/H/ovoCcox + AwAAAADwSqmZmHdpXZqq17qkpGZSLGl3df+Fn/8H/+Vf+U9zEhMRF1WRuJmKmGpKqYhY3GqtIlJr + dfdaa0RlxxPFeRj+pw8AAPAhRfd99N2P43g4s7UWaaattWEYlmWJ30a6ZyllW1Lf3/Xy9Pq35bc1 + HD4kOtSIT/4wtqsstonDCgYvZNsj237f5vTet3Y1TWvgroo/cxHZDu8xZzs+b//Rdvdt4dtru2xj + O6wdTl9qewfu0pUe8T5EpvXhTFWNAjhmNs9zhH9f8f0OfHiUVQIAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAABwZ/lzLMsyz/O6rlup35wzld8BAAAAAMB9pP3iXp+KwlLVeZ4jwvBXf/VX/8Mf + +4tmYiZxBsVSiikza61N0/S81Y/jOM9zLBlpTJHC+ApfEQAAwF0XwZbRuFqWJdIu5el0T3c/OTm5 + ot3l7pGaHF1mEZwcTbW33347lonc0LsUGvrqbMmyvfdpmiJ29BrJ1qWUdV3jgfM8R0s7Uk5PT093 + u13vPQJN70CWLYBLxSG99z4Mg4jM82xmxBjfW/GFHudn4hzLNb5cgEsRaw0AAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAADg3imlmNlW+jlK/9/0RgEAAAAAALxB3H2apvPz8wcPHnziE5/47d/+ + 7R/4gXd6l3Es4tJrM32SfRMxe89bz1tvvbWua+89orlUlTguAACAa6u1mlkkUkewsbtHWyulNAxD + tM1SSo8fP44G2KVyzsuyiMjx8bG7u7uZ1Vrd/U//9E/nefa93rvjw4nM6cePH+92O3ePXPAXsq5r + KWWLxI4EaxFR1ffeey/2bM651ppSItkauKvcvbUWB5ZxHLfDPu4hM3v8+LGI7HY7ERnHMSaAl0es + NQAAAAAAAAAAAAAAAAAAAAAMZeS/AAAgAElEQVQAAAAAAAAAAAAAAAAAAID7aFmWlJKIzPO8rus4 + jsQpAQAAAAAAbMystZZzfvjwobt/4hOf+OY3v/nOOw+W+SIlMRZrrQ3D0Fq7YlXLsgzDsEUqRlbi + q95+AACAuyrnrKoRdxqB0zGz995aW5Ylok8/sMUVrbJSytnZ2TAMEcAcWdc552EYYrHeezzdK35Z + t15rbZ5nVU0pufswDNfufIxg8tgjkWIu+wjzyLqe5zkmrogtB3B7xYE39N7jUD/P801vF25GfB5y + zicnJxFoPU3TTW8U7giaEQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADuo2EYlmURkXEc + SykiQjl+AAAAAACATcTmmYl7c/fj4+Mf/dEf/bVf+7VpGkQkZ+u9q7pI772K9OetZ8tZjFS/Wus2 + DQAAgGtLKbXWolnVe9/Cj0sp8zynlHrvwzBEo+5S0UEWCcrLssRDSikPHjzY5u92u1htRDXjCiml + cRzd/dGjRymlyKO9xp41s3hsrMTMIsJcDqLKx3GstdK5CdxVZhb/d46DcxyH+X/0faaqtVbZB1ov + y8JXAD4SxFoDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuHei5vswDFsV+N1ul1K66e0C + AAAAAAB4U6SUIirv4x//+Pn5+bquOef/4j//z/7e3/u7paR5XmWfqvWBWUpb/FJrLedsZlfEKwIA + AOADLcsiIjlnVZ3nWVW39lXEUUfi9bIsEYZ6qVhyGIb4MZp267ouyxKZyr33aZqisefuiiu11uJt + Pz09VVURMbNr9D/23mPPttZiL0RsubvnnFtrseNyzpE1/qLrB/Dm2/604xCtqvy933O99/hG2L67 + ub4FH4l80xsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAK+b7ov7R6nfdV2naaq15kzJ + VgAAAAAAcC/5s3mHtS5HR+Oy1O9973vTNK7rOs/VLP+dv/O3v/vd7/7BH/zBurbeu6mIqruLPDep + ehzH3W43DEPOOYK4aq1bgCIAAABeVDSlWmu993EcHz58+ODBg9baNE3zPEf/V8RRb3HX75dzrrVG + iLW7R0+ZqkaC8paHnVJalmUYBhJVr7aFzkbKdc45wkevYV1XM4tdEDtI96HjpZRtR0TW+Ee0+QDe + LDnn3ruq7na7+DGO6riH4vu6tTaOY3wLxPGfrwC8PK6RAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAHDvtNZSSrVWM+u9R5o1mdYAAAAAAAAbVe29L8syjqO77yMSVSz//M//gz/+4z/+Z//s + D0XEXUQ8zrE8b1XLsohIpPpFaCKZ1gAAAC+j9x49XCmldV0fPHggIu6+2+2iudV7TyldnYFaa92S + mOO+1rr9NtYTwZnDMETo9St+Wbee7pVSlmWJINJrrCT6MWWfae3uEVUe91u6bew+Yk2Bu2f7u1bV + o6MjEZnn+Ua3CDep1qqqZjbPc4Sdm9m6rqWUm9403Hp20xsAAAAAAAAAAAAAAAAAAAAAAABwk2Ik + bUxH2ZTe++GcbRoAcLPMzOzZMXFRAWE7gF9dXgEAAAAAAAAAAAAANlHGPaZvRVfjVqb8UodlynPO + r+2Kl8OYokgkcvdb8X6Grea7iJgZ1d4BAAAAAACeoaqttXEsIt29ret8kV2tXc2/9rXf/fN//rMi + kpKouPcuInHlfwQsxUrixEukLrm7meWc41RMnMiKE0oxKOAWnVwCAAC4WdGsiukt1VJV13XdRtAf + 9jDGdCwZjbG4b61FQvbhylU1Vh4NuRh0fziiMx4Yjbf7OR5/K0SwvdvxbsRbp6oRRx1BpFesZ9t3 + 4zhuc9w9Escjm/xwDVszO6UU+5ReTuAOi8PLsiyyv7ZBnn88x922fdtu17e8v/YCcA18jAAAAAAA + AAAAAAAAAAAAAAAAwP01z7OqxmBaETGzeZ5jAE+ttdZqZvdzGC0AvFGi6Hmt9ezszPd678uyzPO8 + rus21PZ1FmcHAAAAAAAAAAAAcBtF9LLsY3UOS7q/aaIztNbq7sMwPFOs/BnuHgWLzWwrX1trfdUb + Ge+hHNRqV9Ur4rcBAAAAAABwNyzL8tZbbw3D8O6773760/9Oa5LSRZpSDNSKy/634MPD7KXee5y5 + ioFd67rGCSUz25L5AAAAcG0xZL73viUrR0MtQpeDu5+cnFzRTxqxytH5OE1Ta01VW2sx3lNEInQ5 + peTu9zBOdcvzjpevqvM8b126tdbe+5Y2esX7XEpZ1zWazfM8T9Mk+07t09PT3W4XjeetHgKAeyWC + 7eN/zXFYjsPFCx3PcTfERUGyjzDf7XayDzgHXhKx1gAAAAAAAAAAAAAAAAAAAAAA4N6JQZuttXEc + YyBujBFV1QcPHqjqNE2llJxzDPK86e0FgPtuqyY/juM2qra1Vko5LMgepRZudEsBAAAAAAAAAAAA + vOlKKcuyyL7AaynF3aPs7xslukRj22qtUbj8ipjqrVO19x616ePql1e9nb33Uso8z6o6DEM8OxXV + AQAAAAAAbh838Q+ZYtNFehKv827I9u//u5/+6lf/8fFxiTFbpqIiOectb2kTQwMilEtEhmHIOceJ + rN57RF9HNFecuwMAAMA1RBb1OI6yH1Pv7hGxnFIahiF6HlNKjx8/3nKXLxUtuljJMAwR4RzzI986 + FlPVexinmlKKwa2999basixRuCDnPAxDLBBv+9XFCtZ1LaVsUbVbcQNVfe+992I90WUcK3wtLw7A + G8H3SikppXme42hwjeM57oDY3a01VW2tTdO0zQReEocPAAAAAAAAAAAAAAAAAAAAAABw78SIrJSS + u8e9iMQw0Ri003uPAbQ553s4jBYA3jSttd1uFxXbRaT3HiNvRWRZlihoNc9zlGi/eoQ/AAAAAAAA + AAAAgHuutRZlxKdpinzrWmtMvFFSSq21qEu+5VvHll8qukrneRaR4+Pj3vu6rq/hupd4iiiLH4WS + h2GgXDIAAAAAAMCd11orpSzLYmY//uM//uu//uutiYi4i6rUWmutsg9BjJTrLbV6e2wsM02Tmbm7 + qsYAgQhnAgAAwDXknCPwMtKsozsv53yYviz7br4rmFmtNQbjt9bMzMxi5RGxHKHXtdaI2HwNL+2N + 0lqb53lrwQ7DEG9C9D5H8ncsFpG0V6wq6htE2zgCa+WgIS0i24ha+mGBe0VV41AsIu4+jmOt9ejo + 6EWP57gbtq+b1lpKKT4Y8e0AvCSaFwAAAAAAAAAAAAAAAAAAAAAA4N5x93VdYzBnjAiNcOsYQysi + MUY3FmB4JwDcuJRSFKU6OzuLIbjjOMYBfBiGqC8/jmMM7I/5AAAAAAAAAAAAAHCpuDgkKoNHh+Mw + DFfERd+glFJKadvUeZ6viN9urY3jaGaqenZ2JiLTNL2G8vEppXme42Kb4+NjEdntdvTbAgAAAAAA + 3DXan7mNU5mX83Es6zon9b/yl//y3//7/4OIqMrR0SQikYDo7qJSW13XNc7CReRSZHHFAIF5nkVk + y2SKHwEAAPAyIos6ugt771tYcillnueUUu99GIYYTX+p6AF09y0hO6UUK9x6ISNuOXpg75uUUgTK + Pn78ON6Z7c05Ojo6TBsdhiH6fC8VPbwRjx3N43jD5SCqNrJs6YQF7ps4CG/D59d1dffz8/MXPZ7j + bmit5ZzjwiF3V9Va62u4Lgj3AWWVAAAAAAAAAAAAAAAAAAAAAADAvRMDO2OwVtzHqM5pmmI8Z2ut + lJJSuqIWMADgtem9L8vSez85OZF9jSpVjaP3MAzbKP3dbnc/KyAAAAAAAAAAAAAA+PCWZSmlxFUi + EaXzBpb3jULntdZSSvSZyv5Cl0vlnCNeOn4spbyeeOlaa8Rpi4iqrus6TRP9tgAAAAAAAHeeqkaq + VkQotbZ+6Utf+ut//afd5fx8p6o55+2y/xDTrbXT09PI4oro60jya63FWaZxHA8fBQAAgBcVfYvR + HpvnWVW3bsTIV47E62VZruh/FJHIUo1s5pxzrCQeEr2ZEbcsb2R/66tWa4038+TkZEsVNbOtoXt6 + ehrdtVc3bnvvsadaaxFPGzHk7p5zjia3iER8OO1k4F6JqPs4wMZBoPe+HQde6HiOuyGSzmM6pRRf + 0MDL4/ABAAAAAAAAAAAAAAAAAAAAAADurxiFq6oxWHS3243jKCI551prrXUYBoZ3AsCNM7NhGMxs + WRZ3H8dxXdcoXBVD9FNKW3n0KIIAAAAAAAAAAAAAAJdaliWirENrrff+Bpb3zTlvmUBRsjwqFD9v + +S3B2t2HYYhO1dcQL709RdTEjxBurrcBAAAAAAC4C7Q/ub1PW1cTeevtUzOrtUYW9Ze//OUvfOE/ + cRd3j8FZIiKqKec43xVjtR49eiQiEX29rmsk+Y3jaGZxmusexiICAAB8hKI/NBKRx3F8+PBhpCbH + OPqU0haQfEW7q5SyrmsMuheRnHM8xN0/9rGPxfprrRG9/Ab2t75qOeeI/VbVlFI0dLeMahF59OjR + 1nV7dfJoZNPGe7gsy1b6YF3XGDwbi7n7Ng3gPtiuA4njcPyXeZ7nFz2e426YpilOp0R1hZhJXQV8 + JO5dMw4AAAAAAAAAAAAAAAAAAAAAAED2AztrraUUd2+txZDRqK5ba41ywDH/pjcWAO671loMqd1G + 40cl9Ch2EAMvY7S/iFw9vB8AAAAAAAAAAADAPTcMQ6219x55zDnn4+NjfSMdHx+XUlQ1asS31q7o + D92SpFV1WZZt+lUzs8MNjvht5XobAAAAAACAu0/HcXr0Zw93u900DbVWEz86mn7rt37jR37kszmp + 7AdwiXurtdaqquu6xrmjOOUV8UuqWmt1j+FdKiJx7g4AAADX03uvtaaUIpr6wYMHIuLuu90uJlpr + H9jiWtc1Bt2LyDiO8dgIcn706NE0TWYWjbp7mGkt+/7ZaNya2bIs8ZZuZQrit9EAviJ2NLqDI6N0 + t9tFQm10uW492q21KHqwdQoDuA9SSjGUPue8rquIlFLGcXzR4znuhvfee2+aJhHZviCuvo4I+PDu + Y0sOAAAAAAAAAAAAAAAAAAAAAAAgpJS2UaAxZEtEDseF3s9htADwptmKnkfJg2Bm25j8+JHC6AAA + AAAAAAAAAK/C1Ym2h0tGAeWPtoxydOXHOnvvH/n6ryGlFJcTxMu/updqGIbDH6+oVf16RAXtmI73 + 88Y36UbknA933BYC/aY53F/vv6zleZ7Zxa9TFFt/zU/68tw93rTWWrzDt/FVAAAAAAAAfPTcrrqJ + teZmeRrHuq5mIlK9zZ/8xMf+ydd/5+Mff1v3p1lU1DSLmLu6uMvF+d7tFJ27p6TdxUzUkkh3b9tZ + u3meYyJCvAAAAPCBIgM1prdRmRGxvHWNHcagxjLjOMaPWzf31gA7bJJFDHMkrbbWDnvwt162iGGW + N6B//yMRLyde3fa64pKJnLOZxdsSydPxkOh29L2Yue2L7a0upbh7ZJD33rextGErdJBSiv3FKFrg + vtmO1YdD7K84nsd0LPyB1zXFQSyma61xoIvVxuE9DlBx7cr2K7j7PM8xsdvt3H1Zlmd+FV8Zh3vt + w9t22VY8QVXdffvu2BYg0RwfFSorAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAFxZlmrdy + zK+iLLWZ+b4edFRwjpKdN0VEaq29961+9BWv18yiuneEKJdSjo+Pb3DjVfX4+LiUoqo55957a20r + UAvcW1FfPirv55xrrdsfOAAAAAAAAK5gBwmGKl20i3Yz+XM//Kmvv/u1cTRRGYa85TkdcvfDaK3W + PSVRExGZjgZVjTDF8/PzyG1a17WUQrI1AADAy4gu7N67qi7LoqpmFqnMKaWtdzt+9byVuHt0qJnZ + NE1bX9t2zUCENKeUoq//9b28VyZeTvQhppQixFpf8PqBaM1GB/08z9M0yT44/PT0dLfb9d5rrar6 + /sYzADzj0uO5iMRxOLj7ycnJFcele3g8/0i4+zAMcb3cOI6ttVJK7z2Sv4dhcHczG8fxesdzd4+8 + 6ljhtlM+4pcBHOAyKQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8MJyzuM4qmrU0IwCqR9h + Gc2ovhp1USPN2syicOeNyDlHkkpKKSpTX12ztfe+VXcVEVXdqlTfiJxz1NcWEXfPOW/bBtxby7Lk + nHe7XUpJVWutEfp+09sFAAAAAADwxnPrl6XexIncz33uc7/5m7+ZVNalllJEevxKRcX/f/burzeS + L7/v+/f8qarmkLM7a0XaRSQLBgQpmxjexIhtwIHl2LKSm0SRIFhKYEO6UGTAFmAFuzYg+CKGAHkV + y1hLkZ2VFMXZ3OwTCOyLPA1f5AEoCqALGdHsTjfZVedfLj7T59c/Dtkz5K9JDsn3CwOip7u6+lSR + PH3Ydc73Y2bWmj5XdkoAdGYlW8lmzeZt0efArbVhGMws5zwMw7Is+i8AAABuQdml0zSZmS6HtdYU + w6nITE0AyDmP43j4UrhGZdqJ8jt13XkYhv3oTc0luOvjumvb7dbMdFw6XcMwOOduOn8gpTQMgy5H + mpkSrM3MOff69WvtJ8aoEFmuVwI44Lr+XLOqxnFMKZlZCGGz2Wg213WeVX9+LK01TTWZ5zmlpMlX + Sgfvk9zMTLnjt5gnFmMspWgnIYSLi4s+fQ64I8RaAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AACAG0spzfOsfJFlWbz3pZQjxk6r6KqZqTa0/ltKOdb+byrnrNwUtUH1Ww/EqHjvVWm01qry39rJ + /bX403oDxnGstS7LYmaUPcUz4a4xTdMwDKvVSpupEPPhss4AAAAAAAD4RNMHKZ98nLIsy8nJSQjh + x3/8x7/61f/BzFJKzjklW3/qqa211mq1cYytWQjOzIbhk0+Ye/6Tvio1EAAAALcTY1R8ta6867pY + jFExnN77nPM8z977ZVkOxCpry9ZaCEG5m7oy7pxTJLOuoeecSylP4Hq0riSGEJTq6r3XPTedP2Bm + CprtF+51UVKnWiPeeZ51g+uVAA64rj/XrKplWZR4/d4g6ufWnx+L914zrzThpE9pU/eut1TnnOKu + bzFPrD/FOTfP8zRNtVa9gwB3hGEHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4MRVuVunS + cRy3220I4UB561vsX/U9VbJ5HMfDNaDvmnPu5ORExUN7jMqBsqG11nmeX7x4YWYpJdV+vbfWXinG + GEJQm8dxXJZF4dbAs+Wc671WrVUVhx+2SQAAAAAAAI/T2wQc770+YFmdjD//8z/3Uz/1Xw/RrDUz + a6201i5FYXnvlyV770tp0zSlVMysVlMElNKhFNalmKh7Py4AAIAnRdmlGpIpg1OjrFrrfsrygVhl + jdD6IK3WqpkDZtZHeopnDiHcxyHdvXmezUwhr/qvIktvNH9AT9EoV6GnOoG2Fz07TZPiY+/hoAA8 + du/25+q6h2GY51l/UCt9+bo9PMP+/Cj23yh7HLhzLsbY30n13bnd/jU7TlPmeuL4w06Zw5NHrDUA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALiN1loIIee83W5Xq9Vxd74sSwghxphSCiEsy5JS + esBk6NbaxcVFa+3s7Ey1R3t16Sudnp6a2fn5uYJyVW/0Aduv75RaMo6j7tEN4Mlz1+gxSyrxrAR6 + VZ8HAAAAAADAQf5t6k37VPaNc2673Trn5nn+3Oc+98/+2W985St/bn+Dd5OtbZeYNc/zOI4hOO9N + cVBK+wsh6PPVA/GKAAAAeK9lWcwsxqjRmnNOl48VWVpr7eO0w+MuhWvq2neMcT/gUxfaFM9su+zP + R621Nk2TmWloqiuMGqDeaP5ArVVnXuNbRYkrVjzGWEpRJLa+F4d3BQBX9ud6SJ2J+qtlWejPj67n + Vff46pyz3h36W4ZO2u0mZek7WGvVN0JvzboTuCN83AYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAG6sl+k0s9VqVUrpJTWPQsU9a63DMKiys3PuAeNmVQ3WzNbrtZKhD8dsbzYb3UgpDcPQWhuG + 4QHbX0pRM5QUrhK3xPfimWjXKKVM06TfCzMbhuHi4uIB4+cBAAAAAAAeo2bWzKx5RVy/ePGitRKC + qy0733739775Z/7M9w/RO7O3/5p5cwrGrtVOT1+W0sz8MAzLspTSan37eU7/lNh2aVsAAAC4NQ2u + lKA8TdObN2+cc/2q2TiOump2+Lr8MAy6UK5tYozKYG6tvXr1SvvPOSuq+YjzBx6KxqI6lmmaFPuq + M3Cj+QO2CyXVOVmWxTnXw0o1HUKbtdb6bQC40pX9ueY/mFkIIYSgzvlAHPVz68+PRZOvcs7e+2VZ + 1IHr/fTly5fzPA/DEEKwXfr4TWlal+0m5nnvc858JII7xa83AAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAC4MZXg3G63KmAaQjh6DVPVRf1IaqTmnHv2rXMupfTemO1pmnodan19wPLT+21WWe39 + 0tjA8+Scm+fZOVdrVb3+k5MTVWoGAAAAAADALTjnNptNv11r/Z7v+Z7f+19/ZxxjjJ98wNus7W+/ + /0GlPnlWepNCubz3+qCYz20AAAA+i1przjmEoCjTly9f6s4etKzr8q21A/GZKaVhGHShfJqm7XZr + Ztp+vV6vVivvvbJRn0YGqkanurauHFONXW86f8A5p0jsWut2u1XirHarl9DJL6Xohe7r+AA8Slf2 + 56019cma/KC+5YDn1p8fizp8fUyhfPH+TvrmzRuFUn+WeXR6OzYz770+G+mJ48Ad4TccAAAAAAAA + AAAAAAAAAAAAAAA8R6WUWqtWdWrJkO2WlfYVpLYrnvKQDQUAmJmZ+mp3lf3NWJMJAAAAAAAAAABw + n1Q6c7Va2d419+O+RK8Q3SNMjrv/W1A517ZzeON5ni9t84CXtN59aZXJfpDGAB+J/nuhS9IqH0/c + +8dgv8fSt4kpAQAAAAAAfFSa++Sfme8JOApB9M2CuVKS99Za+aEf+qHf//3fd66Z2TC8/Uwy+uDN + 7f/hn1Ky3efAWt6lhV36uCbGqBt9tZdi/4zPDQAAAD6MAkp1uwdXO+eWZem3dRFZAzPbjcouLefs + j87z3O9RbHMpRTGc+yO0xzJ+U0ysbvdjVFO1xLXWquuJ/SlXzh/o53aapn6PIrFDCNrJ/hXJnnsa + QtAVfK5XAjjsuv5c3a9KqWgKRH/Ks+rPj2W/e+/nahgG5Vj3Y1e4tfT3EdW0ObDz/l3QfBXbvQv3 + +/e/g7wv4E4Raw0AAAAAAAAAAAAAAAAAAAAAAJ6dZVlCCN77lFKMUeuy9heI5pzHcdSyor4QFAAA + AAAAAAAAAAAAAAAAAAAAAABgVs0O5TNd8pf+0l/8tV/7NTNLqTjfxmEstTS7Nv4q56wUQIVF9QCn + 1pqisxQKqFhB4p0AAABuTUMpZXPWWsdx1HArxuic67HNCmbuKarvUmyz9957v1qtSik9YPWxjN8U + E1tKSSkNw/DmzRszc86tVivbxYvqWA4YhkEVDMxsnmc9V1ULzs7OttutwrOdc4fjTgHgdtTxqk8u + pQzD8Az786NQX70si45R502n0Xu/LItq0ejRW3TprTWlVtdadSYvhYgD94aySgAAAAAAAAAAAAAA + AAAAAAAA4NkZx7HWuizLMAw552EYnHMppVKKlg+Zme7fbDasCAUAAAAAAAAAAAAAAAAAAAAAAACA + q9TrIq596/+qa+Vv/Xf/7d/5739+8Far5bIE77wzZ3ZlBJZzbp5nZUSZWb9hZsMw7Ec9OeeIfQIA + ALi1nLNCl5VXqvxO733OWaOss7Mz5XpqYf6BXQ3DYLtoz3Eclatqj2f8piIDIYRhGOZ5fvnypTJH + 53k2s1KKsl3t06PTSxSJnXNWZKxSUc3MOff69WvtP8aoUFjqGAA4InUs3vvWmrqpGGNK6Rn250eh + szdNU2ttvV6bmXMuxqgU6nEcQwg98fpATPiB/avEjZmFEC4uLpxzTzgmHB8zYq0BAAAAAAAAAAAA + AAAAAAAAAMAzNY6jmZVSzs/Pa61ai5VS0iKieZ5zzqenpweWlQIAAAAAAAAAAAAAAAAAAAAAAADA + 83NtmvWVQnDn5+t/9I9+5Sf+m//KzGq1EEJt1z5dyVi11mmaaq0Ki5rn2TmnpC6FbOWce7ggAAAA + biHGqJHVZrPp6aS1VufcycmJc269XocQzOzwoKsnYYcQlNPpvY8xPqLxm4oP6CiUY9rrDKjxIQRF + mR6Oo1ZMuCJjc87aiZ6i3NN5nnWDOgYAjkgdS2vNObfdbtX9mtkz7M+PRW+LpZSzs7PW2sXFxf5b + g3Pu7OzMzJQdftOd96fo4w59+qF3EOCeMRwBAAAAAAAAAAAAAAAAAAAAAADPkRZHpZS0gMrMtP7K + zGqttdYYo+7fbrcP2E4AAAAAAAAAAAAAAAAAAAAAAAAAeNRaay9erFJKX//61/+TP/cfebMlLcGH + 3eN7CdnOzL2N44oxzvNsZikl59yrV69sL39LcYB9URgAAABuoZSiEdfp6WkppbWmFE/ld2pUpujN + ZVkUZXqlWqueq53UWkMIGrk9ovFbKUXZ1WamKgSKo04pTdOkeNdlWQ7EUXvvnXPOOR2+914nxHbx + qGY2TZPiYO/lmAA8L+pznHO1VnVWz7M//+xSSuM42i4vPOesdHAzUz8fY1yv12Y2TdMtToW+Ba01 + hYXrLebA9wW4O8RaAwAAAAAAAAAAAAAAAAAAAACAZ0ersMxsGAYtqdLiq5yz3zGzN2/emNlqtXrY + 1gIAAAAAAAAAAAAAAAAAAAAAAADAR8RVc/X9m+0saZvy/OLFyqz+79/6V1/64r/nnJV6bZJfX+2l + /w7D4L3fbrda9qX7FZmJ5VcAACAASURBVAdoZsoaBAAAwC2EEKZpUnypmS3LovTlGOM4jiklRXUq + 0zSldGBXCuNU/GcPhH4s47ecc2utZ1GrFoGKD4QQxnFU+HePdL1OrTXG6JxTQnattdaqnccYSyk6 + h4qD7UHXAPDZqWsdhkGJy7bre59bf34swzD0N8dSyjAMy7LoqJ1zeuvUyZznWZvdiL4FPX1c7y+H + vy/AHSHWGgAAAAAAAAAAAAAAAAAAAAAAPDtaGaWvzrm+fDTGuN1uSylaSvTy5UvW/AAAAAAAAAAA + AAAAAAAAAAAAAADAFRRu/QER16txGuNwfn4+juP3fd/3fetb3/r85z9nZmbV7Irnxhi999573VCU + 1Gq1aq29evXKzLQETNGAioACAADALZRSaq2ttf385tZaznlZFm3gvdc2B/YzDENKKcbYU1S1/WMZ + vym3VdnVPWFUB15KWZZFIa+llNPT08MxripQoJ0sy9KrGShTtlc2aK29NyQbAD6cuiwz22w2uud5 + 9udH1N8IlAg+jmOMUZnWirKutWobbXAjMUa9XygS23ufc9Z7DXDPnvKvMQAAAAAAAAAAAAAAAAAA + AAAAwJW0IkgFTbTaU/fknFerVQhhf4XV4WWlAAAAAAAAAAAAAAAAAAAAAAAAAIADvPebzWaaJufa + +fn6y//hf/Abv/E/rVbxuu1zzrVWpTrpvzHG7XZrZuv1erVaKfFa+Yv3dxgAAABPjlbZK3q5tdZa + m6aplKIQ0xhjz0nVZtftJ6U0DIMGb9M0aeSmeM7HMn6rtU7TpBIE4zi21nTg+2GlzrnNZnPgPDjn + VKCg1rrdbkMIrTWlWWs/CoItpeiE38NxAXg+FFl9enqac26trVYrdez2zPrzo+hdtM6bTog683me + 9983bRdNfSPLssQYtX89vSeIA/fsKf8mAwAAAAAAAAAAAAAAAAAAAAAAHNaXWumG1vyIbjvnnvZK + KgAAAAAAAAAAAAAAOlXG1NdexvRGT7/FswDgYTnnUkr7/6VMMAAAAAAAwPs1f/nfQUsqL05fLsti + ZrXm1sqP/tX/7B//4/+xOWvOzMw5c8G74M05a97Mm/mcq9nb2C0lSJnZMISeF5VS6QvE9CHPPM9v + X3FZjn7QAAAAT5L3XmMqhXSamcKYW2s551rrMAzKZu7X0fpK/P4U243HbG9IllJSzHMpRbGd+1fi + +gQDxTzbXobonerppPttbq0Nw9APZz+gVLe15f60ikuVCmx3qTHnHEKotSrNuu+nVy0IIfQ08bs7 + TADPUIyx107pHfsT7s+Ppe3Y3rE752qtmlKiJG/Vn+kH2N8RDs+X62d1/922tbb/3qE3BeN9AQ+E + skoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMCcc8uyqD6m9/69ZTeHYRiGwcxqrarpGUIg + KQTAR8hdz8xUuFkVh3s3CAAAAAAAgONalqXWOk3TyclJSvPnP//5n/ypn/iFX/i5GM2ctWat1lZr + DKOZKdb6yv1oJ9771mwcY2tWqymdq5QyTZOZ5ZzHcXxcMVoAAAAfFV0y04Cq1jqOo4I8leupWGhF + QbfWlIp6JWWpeu+996vVqpTinFMeqnaoEGhlrN7PdTqlk5ZSUkrDMLx580bHu1qtbBcvuh9rfR3l + m5pZCEGR2DpdZ2dn2+1W4dlKRb3jAwKAQ55wf34U6qv7XBGdB50W7/2yLOrq9egtunTliOu5OjOX + QsGBB0esNQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDNTIc6cc61VJZh1z5VSSikllfJU + jWYVP73H9gLAB2nX6MXle035XsEZAAAAAAAAR+ScG4YhhLDdbkspOef1en12dvYPvvq1v/pX/so4 + ejNz5rzzOaVhHM2q2RVhUTH6Wts8z2bmfTAz58x7894rKSqlNM9zjHFZFmKiAAAAbi3nnFIyM+WV + Ku/Te59z7uHNygEdhkHxn9cZhsF2UaDjOOo6ne7fj/bsV+7u2rIstsuinuf55cuXGklqkFlKUVar + 7WZQXCfG2GNiNXdCR/H69WvtP8aokFeSrQE8oCfcnx9FjDGlNE1Ta229XpuZcy7GqBTqcRxDCD3x + +kDs94H9l1L0hhJCuLi4cM49othvPAfEWgMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEsp + qeyy6mkq2FX51tdRKOw4jirl+YhqkgJ4Vvw1aq0vXrywXfFl7/1mswkhPHR7AQAAAAAAnhoFXCks + ynt/dnbWWttsNqvV6rd/+7d/4Ad+IERrrSnn6UDsX861NVOmlHPOudCa5Vz1GXWtdRiGaZpqreM4 + Ho4hBAAAwAExRl1B22w2fSaA5g+cnJw459brtS6rHc7m7MmpIQTlenrvY4zOOUU+61Vyzj1M+q6N + 46hXbK0px7SPG9WYEIKiTA+MS8dxXJalbxZj1EHpKco9nedZNxiXAnhAT7g/PxadllKKPqy4uLjY + f2twzp2dnZlZSulw7PeV+lOcc/M86yMLBY0DHwmGKQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAwIZhyDmrhuYwDKpY2lor11BMyDzPerpzjixYAB+neo0Qwvn5eSklpdRayzmfnp72Os4AAAAA + AAA4lnEc53nuwYGllBDcixerZuXk5ORb3/rWl770RTOrNcfoS152z6tmV0QJllJCCK0VsxpCjNE7 + 5xSpVUqxXXDggRhCAAAAHFZK0WSA09PTUkprTRfRlPeptGZFdS7LoijTK2legUaArTVdoVPcaQ89 + Vfzzfc432I0nm5kpllVDx5TSNE2Ka12W5UActRJJlWOtSNecs3bSrzZO06R41/s4JAC4xtPuzz+7 + lNI4jmbWT4XSvs3MOeecizGu12szm6bpFoemU6oZKT0R/MB5Bu4fsdYAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAMBSSirWPE2Tmam2cinFXcN2NZ3NzHuvqs3EwQJ4RNTRhRCGYVAxYsrKAwAA + AAAA3AUlNinnL+ecUqq1LsvinDNXf/AH//Tv/d7vvXx5WmvPor460NrMxvGTzC2FZDsXFCWoeMKe + Zs1HPQAAALcWQtBYS2OqZVk0GSDGOI5jSknRnso01WyB62goqLjQHiCtxOg+qNONPpC7Oznn1pqa + 7b3XzIecs/c+hDCOo8Jfe0TrdVprMUbFlNruGPv9pRSdE8W7Mo8CwAN6qv35sQzD0E9OKWUYhmVZ + dBTOOZ06nZx5nm/xOYNOaa1VJ6rPuDvyYQCfAbHWAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AADAVKXUOddaq7X2oqUHnjKOY621lNJaG4Zhnuf3FncGgPvXrpFSaq0ty9K3cc7RjwEAAAAAABzd + PM/DMDjnaq0xxtVqdTJOVmrOOcZ4vt58+Ud+5H/+rX8+RGu1Hv50ZlmymYUQ9KH02dmZmelTnRDC + sizee6UV9nBBAAAA3FQppdbaWtvPe1aKsy6ulVK899rmwH6GYUgpxRgVEaooaDNrrb169Ur70ZhQ + O7zr41IOq7Kre8KoDqSUsiyLxpCllNPT0wOxrM65nPMwDCGEEEJKqSfFKiO2X3PUJci7Pi4AuM5T + 7c+PqL8RaJrcOI4xRmVaK8q61qptDs+ju1KMUSHWisTWRxZ8XoGPymP6dQUAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAHdEBTpVT1nVRWutB8o06yHvfS9FeovanQDwgGKM2+12HEfbFZRXuPVD + twsAAAAAAOCpqbUOw9B2zs/P53mOMYYQWmvTNHnvf+zHfuyrX/2qmR1O/fPeKyVLeVrr9dp2n2+b + 2TiOOWfvvXNOwVEAAAC4BV39d871K2jTNJVSdCktxtgHYNrsuv2klIZhUAbqNE3b7dbMFOe5Xq9X + q5VGd8pbvYfjMrNaq8afrbVxHFtrOpD9CQ/Ouc1mc+C4dEJSSopxba3poJxz2o+GrKUUncC7PywA + uNoT7s+PonfROg86QHXm8zzvnzfbRVPfyLIsMUbtX0/vieDAR+Ix/cYCAAAAAAAAAAAAAADguOZ5 + vjSfielNR7dfwU2r3Vprt5iOBgAAAAC4O/uFaPV3XK11/x7+XgaAj4HqArSr7G92YK0sAAAAAAAA + AAAAPoTqivbLLvuR1ddtbLvKp2amKpwA8IisVivdUJ8WQuDSMwAAAAAAwNF575dl6R8mD8OgHMHo + rKZlGENtOef09/7e3/2Zn/mp1sx5s92HNDG+/SxacVk9ZcrMcs5m1azWWvvnPP2Tam0PAACA2/He + a/DWR3EhBK3rzDnXWodhaK3pTj1FIzG3oztVfs3M5nnu9zjnFPysmM++B+28t2FZllsv9t8fN/Y2 + KH+6N2+/Ipxu92Jx+toPvE+Q6DfmedacCm3cX6tvEELoabK3OwQAOIoH6c9trxRnKUV97MPWb9mv + TtCPxTlXa3XOpZSUzO2c6xHUfXvVOjiw836W9qed7J89RWVf2hj4GBBrDQAAAAAAAAAAAAAA8HxN + 06T5TJpTpTlhD92op8Z73ycoa0ba/nwyAAAAAMCDm+dZq4C0fMh7r+Wjzrmcc865ryMFAAAAAAAA + AAAAAAAAAAAAAAAAABxRrbWUsixLjDGEUEr59V//9b/8l/9Ca3Zy8jaUOufqvSli6rr9KHSqLxNj + RRgAAMAdUak6DbdqreM4KvhTOaCKdlZ0dGtNqahXUpaqYqFXq1UpxTmnrzHG7XZrZqWUcRwPjwMP + UDppKSWlNAzDmzdv1P7VamW7cnD7sdbXtVMNq7XqcJRXqlJyIYR5nmutepQ6fgAekbvuz1tr2mHO + OYSgzOwH7Cdzzs65ZVnUBh2XDtN7vyyL9952p+VwgvWV+llSwreZxRiXZTnuUQB3gVhrAAAAAAAA + AAAAAACAZ2qz2eiG5tqa2TAM751ci5uqtQ7DoIy0cRxrrcuy3GKaGgAAAADguPSnWSllmiaVLNHy + Uefcy5cvtRh1GIYYoxYmPXR7AQAAAAAAAAAAAAAAAAAAAAAAAODx8pdTclw1V5uV1ck4Br/57nem + IVjNraRv/s6//LN/9kcuLpLzZs5OT0/MrLWm7MArKS5LGVrzPIcQcs7UUQEAADi6nLNCpjUAUz6o + 9z7nrGzUs7Mz5YYOw6C40Ouo/J0W/o/j2HZKKUqe9t6nlBSqeoumKkw0hKBCcC9fvtSQcp5nMyul + KHtVL3TdTpxzOi7bJWGrSSpNoJfQ02+XvQ0AD+Wu+3PbFTjd70X77fsXY0wpTdPUWluv12pPjLHW + 2lobx1FlZ9TsAzHe19FZ0juCPo5IKd1iP8D9I9YaAAAAAAAAAAAAAADgmTo9PTWz8/PzYRhaa7XW + UsqB5Vu4Hc2cm6bJzDabjfd+HMcD05cBAAAAAPdDf5qFELT0VH++acWRll3VWrUgKsb4gMuiAAAA + AAAAAAAAAAAAAAAAAAAAAOCp8t4vy1Jr/cIXvrBer5UC9aUvfelf/va/ePlyFaMLwTabi1othHAg + ptp7r/IpKaXPfe5zOecYI3VUAAAAji7GqPjSzWbTl+Frbf7JyYlzbr1eaximcNDr9ORUDfO89977 + GKNCVc1M0dHDMBzezwHjOJqZXkU5pr3+mw5BdQbGcVQU65XUQuWSppRKKbqdc6611lrV/p6Nerum + AsD9u4f+POccQtCr5JzVW979kV1Lh1lKOTs7a61dXFzsvzU4587OzswspXQ4xvtKKlajA/Te6x1B + dwIfOcrjAgAAAAAAAAAAAAAAPFO11nmeX7x4YWYpJe89a7HuQghhnudaa2tNZ3u73R5YJgcAAAAA + uB+ttZSSVgHpzzQtOtWaKDPTn3LaoK9BAgAAAAAAAAAAAAAAAAAAAAAAAAB8Jq6aexv4l3Mex7G2 + fLHdrFajWR2G8Pr16x/+4R/+9re/rcyp1Wq03Sqw69RalS8owzD05WMAAAA4olLKPM9mdnp6Wkpp + rSklVPmgPfLZzJZlUZTplbScv7WmnSgfWmmg++mqtdbPUrStlKIyAmammNWeMzpNk+JXl2U5XE+g + lJJznqZJ/+3Hq6c759TyHsgNAI/CPfTnPcR6nucY48PWO00pjeNoZv3QlN6tdjrnYozr9drMpmm6 + RVOHYfDe631HOd+688iHAdwBhi8AAAAAAAAAAAAAAADPlCbXllJqreM4as6u5o3hiDQRWfOMnXMp + pdVqRYI4AAAAADw4rQ7V32v6qhVBq9VKfyOXUoZhCCEsy/KwTQUAAAAAAAAAAAAAAAAAAAAAAACA + J2m1Wr1580alOc7Pz3POzrkXL1Zv1t/58pe//I1vfKM1286Lc29Tpq7bzziOOecQwjAMWhHWy30A + AADgiEII0zQpvtTMlmXROv0Y4ziOKSXVWFOmaUrpwK4U9ql40R44rXDoeZ51w3vfc6lvRJGiaobC + p3WndjiOo8JcD4wwRRvEGLW9Wqumeu9VuK/Hvt60kQDwgO66P9ef5Oohp2nSDT30IIZh6AerkjLL + sqhVzjmdCh3sPM/a7EZSSrXWaZr0FqY7qe+KR4GPzwAAAAAAAAAAAAAAAJ6pWmsIoU/V1VwxTQXD + EfUEa01QG4ah1nqL6dEAAAAAgDvinKu19pom2+12miYzizHmnHPO4zjydxwAAAAAAAAAAAAAAAAA + AAAAAAAAHN12uz09PZ3neRzHcYrjFKdp+u53v3tycuJc+4mf+Ilf/uVfMjPn7PAiL4UI1lpTSorO + 8t6TLAgAAHB0pRQVUtvPh26t5Zw1+iqleO/fW2xtGAYVvlPkZ4xR20/TpHhR7SrnvN1u3xs+/S7l + qiqLWuND5VvXWkspy7IohLWUcnp6eiBmVSmnOecYo2rKvX79Wu3pOa8xxpSSUmBv2k4AeCh33Z+3 + 1l69emW7zjzGqB3ey8Fdrb8RqD8fxzHGqDcdRVmr/oxOyO32f3Fx0T+UKKVQ3xWPAj+mAAAAAAAA + AAAAAAAAz5T3fp7naZo01cl7773XVDDcEe+9c66UQhwaAAAAAHwMtBAo56wlUqUULS6a51n3mJmW + Rd1uuREAAAAAAAAAAAAAAAAAAAAAAAAA4IDVarUsi1Z1xRg3m804rD5/9jK3UkoZYv2lX/q7/+// + 84f/57/+17UeSrZWgFZrrS8NM7NpmijxAQAAcFwhBKV+Oud6ELVKqznnFCatDcysb/OulFIfuU3T + tN1uzWwYhnmex3G0XZ60md06E7TWOk2T9761No7jsixqTAihlKJtnHObzeZAO7Uf51zOWTnWatWy + LOM4npycqJqfjkVR2QDwKNx1f55SWq/Xq9UqpaSnP2ymtY7LzJRsXWtVpnUIYZ5nPaRjVMnQW7yE + Cte01mqtysbWjeMeCHB0/IwCAAAAAAAAAAAAAAA8X5q2K601Mq3vWq1VE9QcngpFletrCGEYBufc + Q/+g4Whqrfv/1XzZS3fi46dvWa21z4dm4fFjpJnuuq0b/DI+JfpuapjUZ/PzLb4L/ayWUnSq6RIB + CSH0v4hba/oF2f8bmQVCAPAx6H8X9NpStveBm/rt/b/+AAAAAAAAAAAAcGvLsujGPM/26Qs0V9K8 + lH6l5nY1PQEAAAAAAAAAT101260ebb7/S0txFqx5Z6HkNg4rM8s5B3OtZis5ePunv/5P/uJf+PPj + YM7MnMXozcw5Z+Z9GMwFM99XhPWPtfV5dU8WvPRpNgAAAG5NRbdsV07NzEIIrTXVsqu1DsOgUOo+ + +lI0dS/epTv7yE3zE/o9PXnU9uYw2G6A17fp99da3x0NttZUE0y72p/M8O5++iuGEHp5gX5DjyoG + VdUJlJOtR6dp0g0yrQE8Onfan6tX1NPt0337df35sbSd/bY555TSnVLy3ivT2nvfCzH1d4TDxa/6 + UYQQ+m3nXD9A7Vb3U7IGjwI/pgAAAAAAAAAAAAAAAABwS30epKaba1773SRo4wFo2UAvyMiagUdK + M3r7vGHby6rEI6K57xcXF7abvW3EHj8h/Tc05+x20/SZjn90+0m9IQStFeknHAAA4OPXV3XuryD1 + 3ocQaq39rz99PtOrDwAAAAAAAAAAAOCmVHlZF1ymaSqlDMNQa23XMzNV/NSN/TKmAAAAAAAAAADc + TrNyejL5YFbzMITf/s1//r3f+71mNk0hl91n0c7VUqy5EON1+8k5xxgvLi5UF6KvTbi/IwEAAHge + 9gdatdZxHFXmQrmhmoegqOnWWrx+/KanT9Pkvdcchp4wqmBUtwsN3Ww22l7ppKUU1Yl68+aNNlit + VroRQnhvxSFV4Sil1FrVPK1s1YuGEOZ5rrXqUep1AHjCjtWf9zzp1trZ2Zn3XjXE7Pr+/ChUxmpZ + Fu1f7VSzvffLsqg0QZ/tdtP996MupWhXMcZlWY54CMA9OzQsAwAAAAAAAAAAAAAAAAAc5pxTGKem + rY/j2POt8diVUvT9tV2xRS08YIXqY6Q1xqUUldd86ObgxpRpfXJyog6WtT1PTGtNywxkWZYeuvyA + rXqShmEopfQT29/aHrZVAAAAH0gfucQYx3E0s3mep2nSH+z6413LPodh2G63KjQAAAAAAAAAAACA + W2itpZTGcZznWddfWmu6InOlWmt/VFsuy6JrOgAAAAAAAAAA3JoSoc7OzuZ5Lla++MUvfvvb3/7Z + n/3Zf/fvvqOFkSGEUlQB4FAK1YsXL87Pz1+9enV+fq7lq/oY/J4OAwAA4NnIOfeUU+VDO+d6AKqZ + nZ2dbTabZVmGYehZp+9SQPU8z2amZGvtp9baWlOZLzOrtZ6enmrSgiYqqFzJPM8vX75U5qiGiUpj + VZENlSG68nX3S0spzVqN1Ksvy5Jz1tMVnn3McwcAH5Nj9edmpq7Ye6/g6pOTEzM70J8fpf0xxpTS + NE2ttc1mc3Z25pxTS5xzetF3E68/nI6617WzvQBv4JEi1hoAAAAAAAAAAAAAAAAAbknTCjWhUFm5 + WhiJpyHGqJmmWmNQSun34BHR72mtNcYYQuhrVB66XbgxxfFqjruZnZ+fn5ycEHv8NLTW9K1UvvUw + DJr0T9zy0SkGUiHiOWe9wT10owAAAD6U915rRDebzenp6TRNtstFMLP9aITValVKYagDAAAAAAAA + AABwO7ouU2vVFRnNuFOJ0uu232w2JycnKi3aWhvHUTNV7rXdAAAAAAAAAICnJcbYWru4uBjHMaca + QvjTP/j93/jGN/7+3//lN28utOJ4GCZlR7Xr14+fn5/v77CvNz9WXBYAAACkzxPYbDZ9moEyRFer + 1Xa7Xa/XquB0uKKISjyFEDRjQYM3VX/S/fM8a0pDpxWmOecQgnJMvfca76n6kOpHjeN4oESYyp4o + t1WDTLVW8a61Vu3Ee99TWj/bCQOAj9Sx+nPvvbp0772KSulvefWfV/bnx6J6VqWUs7Oz1tp2u12t + VprbZmbOubOzs/V6fbs4aj1Lx96PMaU0DMMxjwG4R8z1BAAAAAAAAAAAAAAAAIBbSilpnnqffX5y + crLdbolZfRpyzsMw5Jy1vEEzR1Vp8aGbhhvQTN+UUv/G1VoPrzDBR8h7n1Ly3mtWegjhxYsXMUb9 + F4+dOljFEC7Lor6XmrZ3oa8DubsVHQAAAHenlJJSWq1WL168aK211lJKGtX0TOt5nrWolUxrAAAA + AAAAAACAW1PlZRUPLaXoysuBWOtSyunpqe3qjZqZyoDeV3sBAAAAAAAAAE9TjHFZFkUSvnjx4o// + +I//1Pd+34/+6I/+yq/8yq/+6q+mbM5ZzotZm6Zpnufr9qPlq8uyOOe89xcXFyyxBAAAuAullJzz + NE2np6ellD7ToLV2cXGxXwJI1UUOh4kqx3o/4lo71EtoPsN+hqju0TZKnu45oxoueu+XZfHeq5zU + dS9qZn14qUpirbU+faIvXz2c5AoAj9qx+nMlYTvnaq3qe8dx3G63uvO6/vyzU31I2+Vzqz6kHtJL + xxjX67WZTdOUc75pHbNhGEopveU6P2Ra41Gj3BsAAAAAAAAAAAAAAAAA3J7mnZdSzs7O1uv1xcXF + Q7cIx6TFDGZ2dnamb67mjz50u3ADWmYcQiilaFXJycnJxcUFK0MeF01J129fXx1ExvyTUUrRr2Rf + onC4fABuxzmnBSExRi2oiDGqBvFDNw0AAOCDhBD6klQVpeqjmnEctexThaXmeR7Hkb/7AAAAAAAA + AAAAbkfT7cys1qrZd601lfi8kko2a8ql976UQqY1AAAAAAAAAOCzOz8/X61W3vs3b97kVL/whS+c + n5875/723/5bf/AHf/C//av/QyGDZhZjPLAudVkW3eiLEcyM9ZUAAABHp3WgrTVVhlmWRUmfMUZF + SmsSgjKqD2daq2SQasuoCIlSUW2XUaq5Clpwqn3qHuWVmlnO2XvvnAshaKz43mWnzjlNkND2McZ+ + LN57LWjV12VZFJgKAE/SEftz9d7qkBVufXJyor/T3+3Pj1UfQHvrk9+GYViWxXsfY3TOqUaBGn+7 + Ile9RtZms9F7h5npDeIo7QfuHz+7AAAAAAAAAAAAAAAAAHBLMcaUkmbAr9drM9MsdpJWnwbNRjWz + cRz1/TWzI057xf1YlsU51zOth2FQpjXx5I9OT7PWJPXWWv8lxROgAMLz83OtQFAMYS8TgKNorb16 + 9epP/uRPeqFhLQ556HYBAAB8qNZaa20YhnmeVUPKzBR0rVWjfTnrNE380QcAAAAAAAAAAHBrvehz + L8RcSjkweVJVm/UUVS81M6amAAAAAAAAAAA+o5OTk5TSMAynp6fWfCklmBuHcbssX/vaV//oj/7o + 3/yb/2uahmVJ55vNEH3K9cDeYoz7K80pGgAAAHB0fXbBfp70/tCrlwB67yJQlRwJIXjv53k+Oztb + r9fe++12O02TCs70HGsV0Mg5T9PkvbdduLUq1SjQNKVUSjk9Pd1sNte9qKrZKJdULXz9+rUa75xL + KcUYe80xjVSP9ICJYQAAIABJREFUcdoA4KNzrP5cXfSyLLXW3rXmnK/rz494CM45tVA7H8dRd07T + pChrt+cWdcyccxcXF7rtvddcuyO2H7hn/PgCAAAAAAAAAAAAAAAAwC3lnDXdXAsXVZWPTOsnQ9NM + NSNWKxNsL1gXj4V+Pfs3rv/CPnS7cDNKsFZSXWutrxp66HbhOPQGen5+rnVi+g0l0/ourNfr1WrV + e0ItxgMAAHgstHY0hND/KOhUBaDWqtWqKhnwQM0EAAAAAAAAAAB49FR52Xufc1ZBz1rrgfmTKgyt + 8s22u1hDpjUAAAAAAAAA4DPSMgEtMZ7nRQlYWh05DMPXv/71P/zDP/y3//b/NrMYfb4+01ofcasW + xDAMKhPBenMAAICjU2UYRYRquKXIUiWhxhhrrX0p6OEhmfde8xYUFLper/WU1WqlvWmM1+tm1FqV + ad1aG8dxWRbtXCVrtI1zbrPZHH5dNa8XFtPkh2VZxnE8OTmZ53maJpWiItMawBN2rP68taYqUurS + VWZKffV1/flR9ARu7Vyh2j2fWw+pzbfLtLZd8rfqHqgGwtGPArhP/OwCAAAAAAAAAAAAAAAAwO21 + HTMj0PpJ0rdVmdZmRqb1o6Nfz/1vHGuMHyPN/O6Bx3hi+htozrm/q+Iu+B39V0ssbPcrpjc7zj+e + m1KKFkr1xU5mpsWlrbUeieqcYxwIAB8JLRy9dKeGlOrGtezzAVoGAAAAAAAAAADwVKjysve+Xzcf + huHwdfN5nodh0HQUxYrcQzsB4KbcQftb0o99DPQ96d+L/brSAAAAAADgmXgbQ9V8yW+XeimVMHpz + rbx4cfLNb/4vP/RDP+ic5Vyde7suTFFV+x/47H/EnVLqyVWX1qFfuWABAAAAN+K97ymnuhFCUC2R + nHOtdRiG1pru1FM00rt02a7Wul+NRDdUAaCXBegloVprmrSgp+8HlL5bT6NnnYYQev2NfmM/YzWE + oEIo4zjq0WmadINMawBP3rH6c9Gf2+rPa62X+u39+o03rYO0Xweyvy+oSoxzLqWkWXDq27Xzvr1C + qQ/svB9FCKHf7jVqdPvdtxLgMeLHFwAAAAAAAAAAAAAAAAAAAACevhBCSimE0FdKaFGHKjX0xRKb + zeZh2wncm2VZtNY0paSa2lo3pUenaco5j+OoZUssHwIAAAAAAAAAAAAAAAAAAAAAAACAB1drvbi4 + cM59//d//2/91m99/vOnu7Vfn6RkKaFKkVrXUaJVz7Xy3vfbAAAAOCKVs9AIrdY6jqMGXcoZ7eM3 + JaQeGMJ573POq9XKOXdxcTFN03a71f5Xq5VuhBDeO6JTHGkppdaql1MVDhXfCCHM81xr1aOXklkB + 4Dk7Vn+up0/T5L1vrY3j2BOmb1QHKefsnFuWRdvrddUM7/2yLKoVo0cPJ1hfqR+FPj3QkS7LctP9 + AB8/yioBAAAAAAAAAAAAAAAAAAAAwNNXSpmmSSvrtNwixlhrLaUsy6JFFLXW09PTWyzDAB6jcRxr + rcuyDMOQcx6GwTmXUtJqIq0j0v2bzYbfCwAAAAAAAAAAAAAAAAAAAAAAAAB4UNWsttZevXpV81LS + /J/++f/4n/76PxmjmVkpLYTw4sULZWKFEHLO3l+by+Oc6yFbOWflcilACwAAAEeUc04pmVlrTQUu + nHPKqNYY7OzsTLmkWvh/3X608Xa7HYbh1atXiri2XRC1mZVSSimqp3F4HKhd2S4JO6WkrGs1oz9d + zQYAyLH6c5U8Utfdk6e99zetgxRjTClN09RaW6/XtvtLv9baPxnoideHY7avpKNQCxXgnVK6xX6A + jx+x1gAAAAAAAAAAAAAAAAAAAADwxIUQtJyjl1TQQjvvfQhhHEet9ACeoXEczayUcn5+XmvVWqmU + khYpzfOccz49PT2wbBUAAAAAAAAAAAAAAAAAAAAAAAAAcD9aa8uyeO+dc5vN5id/8if/4T/8B63Z + yWospVxcXJhZSkmJU8qvupKSqJRfGGNUBNeBuCwAAADcToxxGAYz22w2PU+61uqcOzk5cc6t12uV + wjgweDOz1po2Sykty6JM6/4UvUQIQVGmB8Z12o9Ggxo36nbOudZaaw0hKB5b2ajHOAcA8BQcqz9X + aRdlTktrTQHSN62DpGaUUs7OzlprFxcXqqekR51zZ2dnZpZSOhCzfR1leOtYlLrd7wSeGMoqAQAA + AAAAAAAAAAAAAAAAAMAT11pbrVbOuVJKCEGrL7Sow8xyztM0lVK89ykl4nvxfGjtkH7stda0R79r + xWmMUfdvt9sHbCcAAAAAAAAAAAAAAAAAAAAAAAAAPC/NW7titeM4xvX6uyE4722ahtev/79f/MVf + +MW/83Pb7aJ06nEctXZyGAaFW18ppRRj9N5rTaX3fp5n1lcCAAAcXSlFAaWnp6ellNaaRmvKH+2R + 0ma2LIsCU6/knOsjNz1dw7meM9rrZizLcnhcV0pRnQ39t7dHT3fO9bBVxocA0B2rP+97CyFoDyEE + /UVvN6mDlFIax9HM+ksrXdvM1IfHGNfrtZlN09TryXy4YRi892qnorh15033A3z8GO4AAAAAAAAA + AAAAAAAAAAAAwBNXa91ut1odoWUhvdSC7dZmaPXFMAzaDHjyWmtajDQMQ621tabFUTlnv2Nmb968 + MbPVavWwrQUAAAAAAAAAAAAAAAAAAAAAAAAAmNlqtdJyMK0FM7Ovfe1rf+Nv/PWenqVVkymlAzsZ + hkHbO+eUfq1nAQAA4LhCCNM0KTTazJZlUVGLGOM4jiklFbtQkvSBIZz2oJhS773+q+oZIYRxHBW2 + qhoCB2iDGKO21yBQ2dje+15zQE09zikAgCfhWP25mSmOWp2t9tl77w+vg6RH1ZhSyjAMy7L0v/S1 + WzVmnmdtdiMppVrrNE3zPPfmaf/AE0OsNQAAAAAAAAAAAAAAAAAAAAA8cVqw4b0/OTkxsxCCQny9 + 91poV0rpqy/eu0gPeBq0slRfnXP7C5y2220pRUuJXr58eXitFAAAAAAAAAAAAAAAAAAAAAAAAADg + fmy3WzNzzinc2nsfY4wx/uZv/uZXvvIVbaPFYt77A+slU0p6dBiGFy9e9PwtAAAAHFcppRe46PnT + rbWcs3KjSynee21zYD/jOGqbZVl0YxgGM6u1llKWZdF/Symnp6cqI3AlpZzmnGOMGgG+fv1aI8Oe + wxpjTCkppfWoJwMAHrFj9edmpu1DCMMwzPN8dnZmZreog+Sc0yuqPx/HMcaoTGtFWddatc3t/uR3 + zl1cXHjv1bxSioo4AU8MsdYAAAAAAAAAAAAAAAD4TBxfH/QrAAAA8CFyzoqyvri46P+1XeEG3dAG + D9xQ4B7pt8B731rTKibdk3NerVYhhBijkq1jjPx2AAAAAAAAAAAAAAAAAAAAAAAAAMCDG4YhhFBK + 2Ww2yrQ+Pz93tZ2cTL/7u9/8gR/4952zlJJyrQ7vSovIUkrLspycnCg9CwAAAMfVq1s451prrbVp + mkopCj2NMe6P3A7Ely7L4pxTXql2m1LaLxTQ97DZbA7HoCrlNOdca91ut4pTVcZqHxYOw5BSUlQ2 + AMCO15+bmf6cL6WklGKM6/Xabl4HqYdn63VVIkbPned5v51m1nOyb6SUogNRY6jOhKeKtHYAAAAA + AAAAAAAAAABc4s3MbG++lKaENe+9D8GllP7L/+Kv/7W/9p9v5/Npmt68/k515pu9+zXVUpa0lNxy + KdaCueadb76audZSKSWlJWfN0grO5Vpda8256H2utaQ0p1Rzrmbe7NJXPVpSKq1F75tzrrVca3Sh + WGu5LCWXJeVWXW3NO99MX9999Mr2r7/z3Svvd7WlWmrKcy5WL7fq3a9jsFTNtasfDfZ2z2V3e3/L + 6KzYtc/VV03Y282p2/suemvtU/c7Z85Znwin//Zt9if+vbu3d8VopVze/3VP3H9Ir2tmh6fkXXdc + V75C33/f+bvH/vbp7zu0GH0p9QOP6x68ex6uOzPHfZVLj773BB5oT59Uqjmdl6Z4fshT3kvbf8jG + zoV396z2vHf/H/ISIYS2897GfMgrfuDreu+12Qce17szfQ+/hIIe393muhnDt/jmXnm/c+3dn5YP + +Xbrx6xv9mE/Gx/6U3Td9jfdw6Unynuf/ulX8bdo57FacqMd3mhv1/ww3PyEX9d9X/eG5+onj36W + w7/0nvfevd30beaafbpdP3D5oZse0XUrAaq7vM2H7PO9bzDdjU7aDb3bH7qdK1caHFgO0d/L7Pof + vyt/lW7asR+mvTnnLq1w+OT+ln0INX9q0YVul9L2R/s6A621YRj6DnPO4zjuti9axTcMQw/9BZ6k + /uOtGzF+stRCt/sAAwAAAAAAAAAAAAAAAAAAAAAAAADwgLQC3TmnxY+tNQVrVVe/9KU/9Tvf/Bc/ + /dN/MwRfSmtmwVttbxda9hWXIbhSmoIMtXDMe6/0rL4INOesxWU5Z++9FvUDAADgdvpoqi/b79mo + GpLtF8c4oLWm1FLbFc3Qs3Q7pWR7RYdUckRVoS4NCFtrGgHqiTnnEEKvtjFNk26QaQ0Al1zXn/fa + ROp739ur11r7Br1jv2kdJN3Q9s65GON+gnX/A/+9hQF7iSqlVvcyU/sR3f14+XwATxKx1gAAAAAA + AAAAAAAAAPggmllVaw3BfeUrX/mbP/PTY/DOt3pFGuDb7TWRdz/L1jlXytu5vGamR/tDfeWYIlRr + raWU6yb1hhBKKX2i8F706duZXn0CWX/00gv1l7vRedBMskvHZdfHE6aUSim9qf113y6KqzXvaJ89 + FldP10kopczzfOX+v/PmzZWvrm9WKSXnrFfXbsdx1A29dG/bu7GO2udms7nydZdl2T8ubV9r3U3g + bnrlnFOtrbXqnG9NcdHNzNVacn7bOt1z6eubN+vWFIBe9+43F3xrrRbLZcmp5rLUYuZq8EOz0qor + NZXcSk051WalFlOkublqzWsbc3X95lz3XPqalqI91Fb1mv31nbdL95iz4J25Zu3t12atlneP5pOv + 3pnOwe5MvOdra7tc+evvud1XZ3vH0vPCP/1o37629+3NXXVEez9I+z9Xexmfn0ovbdc85b0+nXT7 + dldX5qK2Vva27L/77ZrA9P6sT57SJ55e+YtfSrpq/x/U8itfcd+lWNY+edc5V0q+cifXx05/MtN3 + 1y+amWlW7rsNu25m8C1SWT+d0e723gs+tdlulnDbP9v9cPbb4/YOoPdj751A3J/7btLtgSceTqW9 + dST2lU/cPy67/IvT79R58Gb1uq+t9dvvjyH/wEO4bkZ1X8/z7p4vHZRa0ueRX9eeS8+69HP4/tZe + l2n9zk+hmZmrb0+nuo+9dtb998d3I6sPv+71cc5746JrBlL/P3v3GyvLltb3/XnWWlXVvc++M0Di + BEVWbISRbMUYxRKKJsJycJQQGUdWRCwhDFwYETNgZmyBQQhjA4qj2DCAQZNoPAMzY8Vv5pVJYgSO + EpEICUcKEgaLEOSxg4JtjchA7tyz99ldVWutJy+e7rVr9+7ue86Zffb59/3oTk+f6qpVf7q6unf3 + Ws/vmGPXjXZ8dlt+cPv9U5aq5nluE280e/TAHokDXzQuiz0r/vzeOlw3zv/lNS0Ee+dteGdthMPy + VbP8vNdO1NMvvdPPy+2zdK/x9lB7vTzmdemYsDs+e7dhF2e+/6hKLUVDijGWnGutIv6R5eh+1VqH + YfDRIO3jYgihDQL028vLywcPHjz1jgAAAAAAAAAAAAAAAAAAAAAAAAAAADwzNefypX/s3/nYxz/y + 5jf+BRFZrfrNZrJdPYGWXFiKDUM3jnOb3vK0fMj5w4cP33jjjXmeQwgebg0AAIA7Z2YeU9pKZ3hl + uTtsv1URSSl5drXX0/D1Pnr0yD8E8pEPAD4X0zR5zSJV7brO/7nZbJ6iqSeqg9Su516gqVX4fFJm + 5m8T3lopJaV0dXX1FE0BLyk+CQEAAAAAAAAAAAAAAOCxeGfcUmopVkrxFL2rq6u+Wx2cfy/1WW5m + /unN7EOPq7QFn+grPdj+vItjbPmIuyS/smu8BVOKqszzdXzmzWjPJ4u1brGaNxMMj8aFrlZ927W9 + I3A7BHG5Lz6DZ0XXWo/Fe0u8joFchp7uxaa2iS1GdG/te53w2iYdi7Ptus43bLmp3plPVT0i2qqa + FL8fNHmkdAuZrkWq5Ri6g/HSKrEFUV9Pv6YtJFs1+K3uRTGLilit1uZZLhVCPBjRHGOqtSyXWq7l + dlx3C+r221LyLiW8Hmz/s599ey+Scm+9Oc+l1FKyt59S53N6m8tH27LL1h4+vDgWPd2ixH0Lfbof + h9v7VW8GWbel5jkfbP/i4vLQfm1P5pawnnO+/Vpo4evL4QR78ZwXFxcHz0O7FdDeTu+b622v0/Zy + 2Da/nX6wdZGUwm7w6W59xwOAt9m411nd7xxgemy9XRd3r/3lzLYMAr9OZhUJN/ZL2n4di4aN4cY8 + 15eO3SJtw3yNLSb8RuPHU31D0IO7Hz2WvtrequPuxb23Vdeb4bHpdTda+OZxaKsKNxOH2+YduYxt + m71edzsIt+fcPac3Fz+cnr631G22OMg3n9NFg3b0DLL9Ta17t7vDsJ2i2+uE3U5v9zfJKgcOkB5/ + c7R6OI765laJiu6v0sQWk8KRVbT43m3Q9G6poGHZQNvCAzsmx5PqbS9muC7OGVVtgcdiB5/Yx4ms + 3lm+akSkpYHXurz8SAhiB9Kfj7qVAX97deYvluv2l68ssVJuPuOPl7XsB0dufn6wG7HK1Rs7Ert9 + YG034rfrjc9p7xgvfewKu7d3bRW1ll3LN1bR2t9dVN/heOx9fDqxU36nlP3Xy+1PaI9j7/NY24zb + x83vxNhP02SWc80iIaUUQpim6Vj7KaVSyjiOsnsNLj+fl1L6vvfNePDgQa31WLw9AAAAAAAAAAAA + AAAAAAAAAAAAAADA8+IDId9+++IrvuIrvvd7v+tv/s0f3WymEERDEhGveCAiq9Vqs9lMUxYRVW0h + WD6g0gdXvvHGG+M4DsPgLXsi13PcNQAAgFeSF8q4urp68OCB17XzJNFWJ+1zb39Zli3G6JXrvKrG + NE05Z09Onef5aJE3AMA7SWkbiZtz9vtey+gp2nmiOki11pSSF0TyiU9a2cl1XTfPszfiNalapVPg + NUGsNQAAAAAAAAAAAAAAAB6Ld/MNIahaCEFVYwx93x+Pjry2TOcMIe0FIvr9bRzyzZy/lm150DK8 + edl1uDUuixRA7zHc5ny6PmdyK4ywNXgs3q+UYruEbz9uPn2e571k62XL/lCb/0S89HLf27IndrPF + T+7t0V5sZJuhdRPc4+eDb/Zyv0opIlU1ilWRIFJFRaSWOotUkSBmPnsIwSzWWts8y1sTsVq8BbMq + VlXjcoM9qFVEVKuq1poPPqUh+rZd53nKdrnDMZm5jNdPQWhPt4hUVQ1RU9f1lkQGu5lN3p4pf8aP + xZz/23/g98t1duaNLb79PLaWVaIG85jwWsSkxNB5QHiL/baqBwPC/TaGri3r4eKtzRYivhdD7u37 + bbXsMeQp9ifW0rbB29FwfYj2XqTt6O3STLfR78fi1Y8dT79ENMs2ly+o6+dUYr0OVZYWiX1sGMM0 + TduU8t1Sfut9WHPO8zzP89xmaNtvu0B6fyjnvNyFtsEPHz48uN6c83Lx5Qa3xn2z/VE/DrXW9nJ+ + nP3yRpbnYYzRJ/p+tfaXVyqfwRc81v7l5aXsnlaf0jasLd4ebd2FbRFS7ueLbuPJPU83lDLnXEuZ + 5/nAJTyEG/HVjxO/fSyU2i/ne63JIpa4XUlOv5OciLtuD+014snWbYYQ9vdrudTx/bqRybxLmpcY + t8fzuh0xM9NtArXK9TCcw1nR2/YX8y9vg0a/wvi/RU0XbfqU7X5pCFFyPvy+VuqN67OqBI0abH9+ + PXAaLDZy+z7R5vMLg8j+++nugrEIXL+RUq+lPNkHhvb0bXf8SLy6P9G323/Sjyc3n+gDq/D1LoPe + l9tz+yw6tgF7b1KLdq53TFVVTTWqWil28NPWcrjX8roaQjCr/nwt3zKeVAjBP294ZvneG+6xvXh8 + xxbUFiB/c40xRpFqpi1D/elW3fZr19rB1PXr4+ZD9XyYRK3iF94T7fv13N8FZHdl9pF+Pn1ZeQEA + AAAAAAAAAAAAAAAAAAAAAAAAAOAFFEKY5zmlNE3Tt37rt/7mb/7mz/3cz49jLnmbYN113TRNLarK + Y6t8DGYpxR/1dmqtwzB4BYmUEpnWAAAAz8I8zzHGYRjGcWyp0neVaS0iXhJKVb1UlIh4ZnbO2Ysd + xRi9RkdLRb2rVQPAa2VX6ah6wSK/2D5FO09aB6nVyTSzYRj8kh5jfNJQap/fa+WFEHwz5nlu703A + K49YawAAAAAAAAAAAAAAADyWlFIppdYiIttEVaullPgY3XBbV11VbemHqhpjbIGppZTbCbjL2OCD + bS7DEX1ms7LrE+YLbhuc51EWUcHv6Picu6zM7WyiGuRmrOPelvr/+XC1dt/jb28neS+PwHL+E9uz + 99Ay01cWmZF7Ycl7mZEHlzqxX3vx2C1tN4S9VfjiVTV4JK5ZLcVaNGMIScQO/rdLnG37bqoadvms + 19tvoqp9jHt7ut2Gkg8GPx7rPq6qsj2pTFq0qlk7P9uBCqpiVsv2+FjrjOjxnEeer5qvuzm2ObYx + z+1ZCG1VOs+zeMxtNfVW0+7Ib4+taRAzEz/hVQ7e1jKL1CBBxDSGltg6WlYxUVGxbT757nw2qyJm + tXpAaYgaPKf8UAy5BjWrGoKIibZ9sZzn5UETEV9JzsXPR48u3uWtaq3HOqEePp7LkM4QdHfamMdI + L9fbErRF6/ZcCiFKDFFqDWdxdWS9h6O19147ugt3X25POxVvv97bQ3sx3ss2Dwa7LiPkWxS0mXVd + 1/Kn/dz23Ohj7d/ei4NTfHWemX37gMji2n5i+9uh212i9/dr+cryh9plJ4bO591daa1Wq7UMw8rv + z7OPGp58o0KIbQN9Ts/R3mxGf43u3T56dGVWa7W96bWaWS2llpK9ncVOm4jWWmq1UrK3f7sFn/Ph + w4uD6/WW/XavZb/f9stbjjEtD3xb7zzn0/vlIcG76S1tXUqZS7Fas5maFTPdxQAXf7RWqTUvr9XL + 27feevvg9JyrL1XK7G36bYydv0vkPI3jnP2g5qOx3HsnppkUK3L94eHwbAfOw91B270nbf+X9uK9 + d9N10f51crlYuLmd77jeFKVWWbbfWm6N+5uM+PvmdYT29qG9POz9/boZm3293nRzvdvdun4rULlu + vE2/HV8ejqw3Ja3Var2x3mVG+3Z/TEyur4TLxtqng+VS7f1o98K3vfUfPU/k9rkv4u2oiNW25x5o + 7q8GFdEgy1fG8v7y0RuvHrsxj58yGrbzqwTRmme7Pta7+TVIKWV76G8+qke2/9h6b+yXt2b762r3 + /fD6MA/ZDvkoIqHvey+mcIyP31gO7fBreM7Zx2z4gA3GWgAAAAAAAAAAAAAAAAAAAAAAAAAAgBfQ + PI8i3dnZ2aNHj6Z582M/9mOf+czv/uIv/qMuhRDCOGUfetnKR8zz7KMvW7rher3ebDYxxjbQ0pMO + T4/cBwAAwNPxEhb+cSvn7OmkXdc9aRzpCV4SypOzZVHEp33Ga5/0Hr88HQBgj5nlnPu+l0XJvmWZ + 0Cfy+HWQ/FZVU0peds8Lzz3pGruuK6W0ln111FnCa4VYawAAAAAAAAAAAAAAADyWRQ6rppQ867QL + XS3vsJQsupe1IMll3GyLW24epwvakR5jtUWi7kWlthhsWUSuyvGexMe2YRlV29ZyYv4Yw3LZdr/F + 7u4l7y63qs1/oruz3WrZj/Y0Tcso2Ra769219SbZjbu7HV57uqf1rX2v8zzf2q/q/9qdBZ7KvE1i + NPMT6MCtmSeqLqfc6Kqoer0j8zxeT1/EQi9jhpcbfiDJc7vXfoJe75Tf6Ye0OIfrLgpXUkqLjF7T + 3fE6Fs+st/JCVVXEwqJxTyv2R/tutUgHznunmWpLDVczX2M9eBtjFPHk7+B5vd7a0Pd1F7Pq6bze + TkrJTPzZMcu+kSfaN/Pnqyy3SkT6Psl1VnHxK4D31GwBxn4klzHJt8+6XT76vpTiboatUqrHPLfp + vt7dEWvx5yLiJ4qF0PblAN8WT/xubYawbaedDGYqN+PeQ/AYWVFtsdC2a9BTXe14jHe7JtyYmPN0 + 8/W1zXuuNbf9sm24tV9PTl6gF1cw3+yuS4v9MrMiord76LZFjry+JO5i5mUXNO7LldIuzsvZrZS6 + vCDFuH0t11p8h0RNTDRYCEGDjuNGg6nEEGUY+mGV/H4tosHEgmgVCyalFqmW+27lU27fmhSr6vc1 + mN/v0uDTq2WralJ8/hg60aoS26Mn2jcpKvHgemPoquWSrbXsc6pE3y/R6lvS9qvNIxZ8vSYlxf5g + +20LW/tiQXZDaDzuehmnHWNqweGLS0Htuv5gbPax25Q6X7bW0k5hEavVPCG9rVE1qMrtOHC/VQ3+ + siol51xyns3kZkT3djs93nua5oPtXFxc+lJtf9ujtRZvuW1tW6+Z5DznXDw+/PZ6W5uXl48Ornee + c9u25Xrr9hOKtfb3joCHPbdlj8WWP3x4cXC/fFnfL2/Bp3ssus9fSvVHS8nH9uvttx8eWq9M01R3 + G7d3QfAd87H6pWw/6cUoywzs/QDs9vq/GdHdwr9vP3Rg2eX/tduWHr24Vd3FZXt2+GL+ELdTrkPH + ZZcSfaud7Y7URTtF/B0kxN3iu21YtqDh0H7tbfnx7d9rTfT6QPlluD26PVwqYtL3yQfR+SfAUux0 + prWXXfCxHD4YT1X96fZRf34N8Xfw058PAQAAAAAAAAAAAAAAAAAAAAAAAAAA7l/f96WUhw8fppSG + YfXo0aOf+Im//c3f/N5f/dVf7zoVkZyzZ1wtq6CISCnl/Pz84uLi6urKZ5NFARAfPu+pWs9z9wAA + AF45LY7lodHQAAAgAElEQVRUREIItdZWJO1O+Ce6lJJnWnu5MC+mEULwIG2/nabJ01gBAE9BVduf + zLXWaZrOz8+f7nr+RHWQvN6gV/+T3d/4Hnf9RCv1+YdhuLy8bN8G+BvEU+wC8DLiXAcAAAAAAAAA + AAAAAMBj8fhST0fehtGqiJpqeMdlZZFv7Z3A9h7auZ64F/B8Wwg3ZtjNpiEE2yYim6jarn3vguax + gbscSPO41IPtH1tvjNGDY0V0uxZpEbYHlLLfrc2DW1Nqx+1GkvEys/axFBM5sA9nQ788rGbVExOH + FOX66aieiWlmMYRTgZO3J9fFwd/tl2joh2G3nLUO3LLL3ryO432n3fLM6kUa8XaJICqLc6bu0o67 + lNo5Zp4UuohJfnyhHfmbcePeqbFtf/DcYBE1EVscjd0GxyOvi7aR1/vloxzr9vWlIkGD7kKU52nT + 9l1VY9xGdnss+iKc206fMnnebn8IIQSNnr6sMk2btl9RVVQ8FXwXzdm2c9vOkTh5f752+2W+XyIi + edrtl2oQ0bDd/rLr7qkiYZe53kYUHNiVI+fhPI4i0hYPqv5Kz4t49aja1mu7uNHrF6+K7V7FB/dr + +yLaHYvtiddeqtuTYfuv7XVyGxK7m//Weeg7aHI0FnT7FNzMVheRLras6+srxjaGtbVkRUw8Oj4e + P/+vk7atPXE2Xl2f59dno6rc7LB7q5Fbam5Z2Yu5pYvL689y2eohrdcbIyIS/ZyUqNsnyzw/vMYU + r5PI4zY+XPxqUKuIqWfMq0oIZh4zX0XC3m0IaXukxDSIiElQM8vTRqSqRpUaNIp4IL2aFbGqKipV + QxQJEoNIqLVu17679e3Ubf6t7N/WrFZSCLs31SASRDTn6tsvUlWCWPUcYtUoUk2qqqnGpCIpbHPH + rfqR2btVqWK63QYx8RO5VBEJGkVNQ/TWROo8F1EzCaq2vTyE6NnPB4+bL3V7+jwWkWqm3rKKt28h + qIi/B1X1+U3EarjVguweDWoxhhRin5JZUo2qtjenWalVzEpK/bHn16z4nLeX3dsjb7+txUzbPMv9 + NdN2e+w4xNiZlWULPn8IadmOWfEWfP7b+7Wc//bxX25JW+9yy7fPgto8l/ZcL7dnb/vbsfJ2bq33 + +nrodDEOv10Qlu9u4ziWUnxU//Z1Xqt/DvR47F0M9jYn28fz+ycHf/R2hPb1JUPks5/97MGHbmZs + F1+pXMe631i1fz68/rgi4jUFaq2bzeZg+/M8L/erbXNKyde73Pj2ubftlz96bL/k+H5t085vNm5m + fd9vP5bf3Otpmn/nd35vu2zNIuJbuFvzgQ3w0OsYYwhhHEcvvhBC2Gw2wzD4G5wfxif4mAoAAAAA + AAAAAAAAAAAAAAAAAAAAAHBfgkjX9z4OtJRZVd/1rnd98IM/8g3f8I2f/vRnuhTayFMRMbOU0jzP + nph1cXEh26oOsQ3k9GzFcRxTSmRZAQAA3Lmu61poaCklxjjP83q99jTTz52XFvFV+OfAt956q1UV + m+fZP+b5Z0LP2L6T9QLA66aVn6q1hhBCCG+//fbZ2dnROnXHPVEdpJzzer32KV55ya/qT7ELqnp1 + deX3QwilFL4HwGuF0x0AAAAAAAAAAAAAAACPRbdJqtXj/LbZuqWEI8l2tdZlDPBucVsGHLaJPtxr + LxDR75zojrZ8qOUsxqR7AbXe1DJBcJtM7Mm+T9jdbRu7eyNL+9R2hnAg7lEWx6dtiTfo0/f2S44n + CB6LbfZu2cs1Lo9D2/72FCyX1UWe9DFhEXO7fNb8+Cz3qDW4yBV+58N+bLZcyvJQtDzj9vy2tRx8 + dh4ziHHvyVLVlNLynGyhnv7P9lDbwWOJlX5kbh/heDPutz3q3dxbTGmtHn1a9kJeb4e27t222Ne9 + sNUUew0mFkSrVfVb06oSTbZT/L7PoxJFt/eXt/vTd/O3HpntoPn9cDMm2TuJHnuC9p7NpeUwgOUq + Wn/T5cT2+lqeiqftrbcttXd2LU8/WbyylvPcbvn0NrRjtbyQLofILs9q36/lUT3d/t7VL4Tgi+wd + N9ldqdp1aW/H3/EFtbxA+YFa7lc7Du11cXPpWorP4AG6fpL44v4+4lcz34vi7yO1tjPBo9+riISg + nsu7d1vrcvhKae34/H55FrFtoLWIx9DvlvJjssuNFtnFxG63yo/f3nS/3e3L9n4pUuvs2y/iz4Uf + kGhmIlFVzUKttVZr+7s4Ysfa99PAo9y32e27rTWzYlZFqllJyWOYzcxThLeBxx5Kfei/enDXYowe + Vb+bYrVmj2c2K9u4bvWDc7gFvzVbrshPAN9xX3B78EPQlKJIKOVwax5nvluXt+ZN3TjX/Fyq1cz8 + s02V61fH9qxo59LieLZzcv92nkfZnds+v2q7jG/nWe5IzpOI+Bmr6rsmIrHNc/DWz7HW/q3zuZqJ + mYcZBz+k7Zzc7cX+HomYaji2X7VuX7P+ztuO3u1PGn7n7Oys5VL7IntvnW1Ol3NujfvM/qwcu84c + y4X2C1q72rT2SynLxpfb0Ob0a5FHRLe47tvtt/1aXpwP7pfsrqLLI+Dbduzz2zG+X8t3tOVxa1fU + NkPO+fu+76/+zM/8A7+ul2K7AXtH1+ujQTwYO6XUii+sVivfQa+88KRbDgAAAAAAAAAAAAAAAAAA + AAAAAAAAcD9U9eLi4t3vfvfV1ZVI6bpoVr/oi77oQx/60Hvf+963334kIrYdgR5qrT5Oc55nH6SZ + Uso5twxFj7v2Oed5Pjb4FAAAAE9tV3JHvDCIiKSU/DPYXa3CS3+0D37+oW6apr7v1+v1OI7DMHRd + R6Y1AHwuvISdF1byIkXDMIzj+BSX1ieqg9T3fSuX58nWfsF/nBqDe7y8qleICiHEGKm2hNcKsdYA + AAAAAAAAAAAAAAB4LMvuWbu+ViWEIEd6be3F1i7praRYXcQS7y11Ijb1YDseA3n7odN79PietHvZ + wbjH2/GHy5n3Jp4Ojj29F8eWPb3U4xyZY/sVNInI3lnhCYxmPtlnfqx46UWC7vb/bx9/M2+/nW/7 + i4rI44VZ31j89iKH9ni7azfn0RNrXDZycL17y25fFqaiQSyoilgQVbFgUsVUVNujsn30wK3VG3O2 + dkyC1SqiYiriL+cgJiYe6xu2IbW76cdu7VYL2+nLg7bd1QPH4fYTvaRHpt9s52ZT12tZ5jBL0OB3 + 9p64Y2rx2doZvtucvdfoze0/9ALaX9fBE/Xmo7vdsf35b+yXiZn4686uL6Iqt07Ox9+v3ev0egO2 + x01uPBEnnpdbu3m9xPb137bLbh/VRW59rNsM5lu7sn/QRVSl1NkbuHG2Hd9MvXE5uW5nG569v+2e + C72/6mOHWR/nAO2EKCJqHiGs28Xa0fM7Gq63Ym+bD616uejNXTATrSIeQi8arNbsUzSYmor6PCZW + fZ7HvLXtfWu3qkGDiFUN1to0uV77wVtdPKoSrpfatrxdl0mVWpf7st+OiUhVDfuZ3Nt0513Q9Tbd + uZ3TexcOEbG9I71/Gu8/Ae3ZuV5Qbz5bi7fN7f3dCfPO78C3Zzi9yN7nqydadkm3Lxgze+elzKSU + IiK7N+26PY13r4sbZ6lfx4LfL8vGT72Ojhz/6p8DQ3t8ew0JUUSqLXd5N0d7OnxZUQlRtq/HW0ot + PkNr/Oh+eeNh13I7Gbbbdup5ObZfy+ub70uI15uxvEZ1ffyRH/nhzebq53/+f0nJQth+ojCpXdfN + c21jM3z0nY+maGdLK7hgZl3XtQ/qOee+77eHopQYo4/KOxFADrxcWnK8iCxfI8uges52AHjuHvML + Pa7YAAAAAAAAAAAAnwvvEOK33rHEq0if4DO0H9wfZxEAeNH4RSznnFLyCyDliZ+vXQfUp6k3DQAA + AAAAXk+11tVqNY7jthZKqaZBpHzZl/2xv/E3/svv/M7vyllS1FLMatVbg3vb+EoRUdVpmmRXyWQc + R9l9f+4hiCKy2WxWq9W97yUAAMCro40G9bTpNtGDUf3TV6t6ceJno9bJwQtleHy1Fz/xplTV/5lz + jjG26hnDMPgdMq0B4HPnV/XW1yKl9KTXcxE5WAfJW/Z6R7Lr4KE361j5dV5OViRoq/bU6lZqpq1x + WVuGTiN4rXC6AwAAAAAAAAAAAAAAAMCLyoJY2N55RrfyrG8BvEi0vi638kS3T5YxDLzgYoylzh/6 + 0If+5J/893PeVnXsuqiqPjDDh0w8TtZjrXUYBh8c0ve9B2DLbkCgt6Cql5eXz3KHgPswjuNyBFQI + wWuXqGrOOefsL4TnvZkAAAAAAAAAAAAAANyH1rHEC4B6QLUdN03TOI7zPLcFvSbpc9sBAHhCMcZW + KJ/yxAAAAAAAAK8eM/uqr/qq973vfSlJKSYiQUMM8cQiwzCklDzmSkT6vveU61KKD7e8urryTOtH + jx7dy04AAAC8Rjyd1MNHW5mLE/0QzOzBgwfLGTzc1H8EjDGO4+g5qSmlx6m2AQC4E096PT/Rjrfg + f56nlIZheLrt8b5wpRTvFpJS8r/3gddcet4bAAAAAAAAAAAAAAAAAOBV8owrN1Hg7iVDJS88NWKG + gRfKi/WSDHweOGl8tFmtVqXkT3zsp/78N7z5S7/0f6zXw9XVmGKqtYYUPdzaB3j4qI+D7aSUSinj + OIqIB/q20F8fMdL3vYjUWh88eOAljO9xL4G74aduKWUYBj/D2yui67p5nodhGMfRzHLOrXAtAAAA + AAAAAAAAAACvPC8DGmMspcQYp2lq+da3dV3ni3jp5xDCOI7etwQAXgperdj7Dnk3Ib/6Pe/tAgAA + AAAAwBOy/XGOwaqIZJHVevVX/sp3/Yt/8ds/8zM/KyLV6oniFaq62WzaP330mY+s9G+NVHW9XntW + 4tnZ2TPYEwAAgNeadz+4urp68OCB/5ZXSkkp5ZwPzh9CuLy89E4O8zz3fV9KaVUypmnKOYcQaq3z + PHsnBwDAPXjS6/kxZhZj9MXPzs68/NFTbI8Xk/F3BP+j3gsxAaB2GAAAAAAAAAAAAAAAAAAAePHc + GjYMAHgcqupD7GqtH/nIR/74H//SzWaMUXPJHs3bZhORWo9mluecfUSH7vjiIYQYY9/3nngNvNQ8 + jj3G6Gd7i3tPKfm4o1qrn/wppacb0QQAAAAAAAAAAAAAwEtnnmdV9WSOGKNXfD6Wae2mafL5x3Gc + 59kTPu5pcwHgsekRKaVaa8ux9t5EJ/rXAQAAAAAA4OXSdd3bb79dSvmhH/qh97zny0XkXe86P72I + x2WFEFR1HEe/42PQPCvRI67bd0oAAAC4Q/M811qHYRjHsXU/eMcMVC8IMAzDNE1ecyPnXGv1nwJL + Ke2XwWe+AwAAEXna6/lB/se43zGz9Xr9dNsju7JLHm4tJFsDIiJyqnsoAAAAAAAAAAAAAAAA8PIh + BvWVZkqP8JfLi/Z65Px52XBJx9MidxSvs/XZsBkfrdfDo0eb9TD83Y9//Bu/6Zt/5Vf+SQiSUhyn + LCJd183zLCqiKvXUK6aUklLy0SBeptPMVDXnPAyDl12Y57nrunvaPeBOeVi7x7eXUkTEx6P6RB+q + amY+TtUzsAEAAAAAAAAAAAAAeOV1XTdNU9/3OeeUUkrp6upqtVqdSKru+94XGYbBp3jHkvvaZAB4 + LN5H6DbvIOR94fzyVWulvxAAAAAAAMBLaPmVznVtgTpP5+vV1XS1Phv+zt/58Fd/9Vf/9m9/+kQr + nl29bTEEz0cUEVU1M0+z9uyrNjztrncEAADgteYlLPyXO++6IK1QxiG1Vu+3EGMcx9HnDCGUUlrv + hdaHgQ9vAHBvnvR6foxf5NvlvdY6juPTbU97a5jn2csoUTcJkBevZigAAAAAAAAAAAAAAACAl1rd + je57drfA0+H8AQC8FuZ5zjlfXl6+8caDWvPZ2dnHPvaxP/pH/3CtMk3Zx9f50I7wToWD+74XEc+0 + HobBR2L4Qz5KxIftdV3XijIALxdVbXnVfusn82q18rIjpZSu62KM0zQ9300FAAAAAAAAAAAAAOA+ + eS+RGOPFxYWqrtfrE2Wd/df2vu9LKX5/s9mQaQ3gBaRHeArRsk7xMqwIAAAAAAAALzszM7P1ei0i + q9Xqk5/85HrdrdenYqs810pVPb5aVUMIPtaslFJr9duUErGIAAAAd85rYvgHLY8vbRMPCiFM06Sq + XiVgOWcIwYtm+C11AwDgPj3p9fyYaZo8iFpEvJFa6zAMT7E9vuA4ju3PeX+DAF5z6XlvAAAAAAAA + AAAAAAAAAF4z+oyTZS082/af2LPdHn2hCiXpsw60rsaAvjv1WuY8v5Y7/VIKi1scxMl8Snih3h9x + 1/g4cFot9cH6rFS5uLhQjSmlvu8/8YlPvPnmN//6r/+GiKSUSikhhGomJ8tu+ng8D/0dx/H8/Pzi + 4iKEsNlshmHw4XxegJiyC3jZeXkRr1ErIn6Sj+OYUso555z7vl8muwMAAAAAAAAAAAAA8ArLOXdd + N47jMAzn5+ciYmallJQOly5sqR7ek2Se59VqlXM+Nj8AvGhqrS3E2i9lZFoDAAAAAAC8jNREJNiy + qolWEemHdHV1FcXMqln9ff/Gv/aJT3z8677u64+103XdPM/zPHuI9Wq12mw2sovO6vt+mqau6/xL + JMadAQAA3Lmu61qvg1KKR5mu1+tjyaP+Oc3M/Me+UsowDP4RTlXneU4ppZTmee77fp7nruvucW8A + 4PX1pNfzE7blknah1CLit09KVa+urvx+COFEpzjgtULBRwAAAAAAAAAAAAAAAAB37tklWwOfC84i + AMCrbxjWV1ejlxVOKc3zuF6v3njj/MMf/m+/5Eu+WMxKKWZWa7VSUzw1rCKE4BnYPkjv4uJCRFR1 + tVr5nRijj+4DXl4hBNnV4/Ya3H5uj+Pog6PMzF8I1BYBAAAAAAAAAAAAALwmUkpm1ve9B3LM86yq + J8p3tpqhIlJr9Tkp9wngJRJCaAXufQpZRAAAAAAAAC8jU9llWt8Y+TiO42q1MrOU+q7rrOpv/dZv + 7b4KOsC/GO/73r8v2mw2KaX2fVGt1eOu+QYJAADgGfEx/rLrkCAiKaVpmk4v5R/SvKvDZrMxM19k + vV579GnXdWRaA8B9errr+W3eqcP/DB/HUVVV1Rt8Uu3Pee/tRvUkwNHdEwAAAAAAAAAAAAAAAI9F + Vb23ruo298vMQlCzJ2zInqYH2Mvs2fZUsxdxmNuzfIqf9HzDSa/bq/F13OOXHp19ARzAx4HTcs4+ + EqOWYiKqYmVMQX7/v/VvfvjD/82bb37Tv/pXn64mKUQzzbmoqqmIf6w3UdWU+nmeRaRWqTW3Zv2O + mXVdp6o+JCPn3Pe9P+Tj9zxRW0SmaWoPAS+4GOPyJPdqI22K7NKvAQDPl49WVdVl1YBaq5n5lTyl + VGv1YajPd1MBAAAAAAAAAABedssfXN6xoLN3VvEKpPzCDryevP67qvqvt97NrNb60l0T/IImXM2e + By8V7b/7e6y4mYUQRIJZDSHUmvX2U6N0OAcAAAAAANdyntbr9TzP/vXCnMcUYtd1KjHPtU/9XItK + /KX//R/91b/210LUUg6PWPVAxGmauq7z77v8WwsRMTP/p3+b4cMc7ncvAQAAXn3tI1b7/U52RfD8 + oZSSVwPwIaXt45mIlFJaoYBW8sKLYMhjdIEAANyhE9fzEIJf1VsVo1bs9DavA+O3IuKzHZvZefvt + jaNVJGjb0LaNLiKAUKMTAAAAAAAAAAAAAAAAAAAAAF4dFsRuFW3UOk2bL/niL/p7f++/+4Iv+LwY + NZdcaulTZ2aeaa0h+HiMZU7kQbXWYRh85r7vvQRDrbXrulKKD+fzuOvTwz8AAACeSIxxWQRKROZ5 + DiG0UlAiEkLw0aRtTCkAAAAAAAAAAAAA4FlbZlp7afiXMdP61aMvDxHJOS9PG+8YUGv1O949IGh4 + 9OjRczykAAAAAADgBacpTmWXbhhsvV4PwzDPs8dU55yt6m/85m++//3vt3o001p2QVld13lCtg9b + 8G8qNpuNiJhZKYVvwAAAAO6Zfzzzj3b+M5PXuxCRUooPPm3DS306AOAF5Bfz5WU8xniHdYo8Rbu9 + U5jZMAwtFRvAbel5bwAAAAAAAAAAAAAAAAAAAAAA4NnygqFf+IVf+MlPfvJrv/brPvP//p5JnfOY + UvKRHlaqSVivH1xdXc3zfKydlFIpZRxHEfFk61ZT0qszzPPsudfTNKWU/CEAAIDPXa0155xS8hro + 4zgOw1BrVVUvBeU1obqu22w2q9XqeW8vAAAAAAAAAAAAALwuUko5Z68dP01TjLH1KwPeUUophOBn + TinFY6K8d2IrWl1rFTGz+pnPfOb5bi0AAAAAAHiRDcNweXk5dDGEMM95nuegKYRUinXdUEx/9zOf + +ZZv+ZaHDx/ZY0QcppR8rKWPVvBka//Wy/OxnH+P8cz2CQAAANe6rpNdpQsR8Z+W/KFaqw819R8r + fbbnt6UAgFP8Qn11dfXgwQP/o7uU4p1P7qR9T7BuVQhSSuM43mFsNvDq4WMTAAAAAAAAAAAAAAAA + AAAAALziUkrjeJW68Af/4B/4yEc+/K//vi8QkdWwyjn7SAwfvzfP8+mxeTlnj6/WHTPLOXsRyVpr + 13UeMNn3PcP8AADAHQoh+AeMy8tLERmGQRbln1phdBFZrVb+CQcAAAAAAAAAAAAAcA9q3aYAmZnX + GvaI6+e7VXhZ5Jynaeq6zn/rL6WYWdd1y1PIU6NE5OHDh89tQwEAAAAAwAvPv5XybxVSSj4Goe97 + j8W6uLj4+q//+k9/+jOqEqO+4/DHq6srv9N1nY+gbGuRXUTWPM98DwYAAHCfpmny25ZpPY5jy7Su + tfLxDABefPM811qHYRjHsV237yrTWkRijMMwmFkpRVXJtAbeUXreGwAAAAAAAAAAAAAAAAAAAAAA + uBu2HanhBRVqm14tr1arEHQcxz/yR/7wxz/+02+++ebv/u5nuy6KyDxvcx+9akMIYVdmdL8dV0pJ + KflokBijV2TwUSI+9q8N+SPZGgAA3JVSyjzPq9Xq7OzMzMxsnmcPt56mqe97ERnHMYTQdZ0XIwAA + AAAAAAAAAAAA3APvb+Ydz5bdxigZ/3y1uPEXnKquVqvNZiMi3jWx73sPJGgzeGJ6EKU/AAAAAAAA + OGGex9VqqLVuxnHdr2OI87S5vLxcrc6mKX/bt337P/tn/08IUouIWAjh9thJF2MspaxWK8/Z8tv2 + xVdKaRzHYRhqrZ54zThKAACA+1RK8SGlIuKpqH7ff1Ga57nrujbsFADwAuq6TnZFinLOKSWfOM/z + nbRfSimliMj5+fnFxYWXJqATC3ACsdYAAAAAAAAAAAAAAAAAAAAA8Iozs2rzONb1el1E/9Af+uKP + fvQj3/iNb15cbGIKIjLPc0p9ztnMTjfl9SI903oYhnEcvWSkR2J7yrVXYSilUI4BAADclRhjjNEL + CnhxgWEYfJxq3/c+bNWrD4zj2Pc9I0sBAAAAAAAAAAAA4H6EEFJK0zQNw1BK8b5k79gVDXBmdnV1 + JSLn5+eXl5c+ZfmodwCotYYQ6QwAAAAAAABO8PGMPtCg1rrZbFJKZ2fnm830Pd/zPb/8y78cgpQq + MWopVuvhTGsR8YemafI7KSVVVdVaq5mVUlp0IgAAAO6Th1XXWr2oxWazWa1WpRRVDSH4D0kxRjPz + shgkWwPAi8krBvh1O4RQa1XVu8q0lt0f8vM8e6a1T2wFkQDcxmsDAAAAAAAAAAAAAAAAAAAAAF4x + VeRGSQVV7bruwfn64uKiC1rm8d/9si/96Ec/8u53Pyi5Dn1KUWueVarcrAgpIiJh8Z9M0yQiMcau + 68ZxPD8/9/Y903qaphCCx2N3XXdf+wsAAF59ZlZr9U8grUq1B117DQL/DFNrpT4UAAAAAAAAAAAA + ANwnM5umKaU0jmPO2SvFe+F4PEfP+7x4XF5OWkS8nHTf9/M8p5Rkl0TV+jSWWk7ETQEAAAAAAIjI + PM+l2Hr9QFPUFNfnb7x9efHDH/zgz/3D/yln8a8ZSrHV6uxEXs/e8ITLy0ufGEKIMfZ9n3MWkRDC + 5eUlmVgAAAD3xj+JhRBKKaWU1WplZu2nMf/VsuVbk2kNAC+sruv8ei4ipRQRaf0E7kTO2UOyzayU + Mk2TqvL3O3DCnb38AAAAAAAAAAAAAAAAAAAAAAAvJlX1AMjzN86maXrwYD3P03v+vS//8R//8fe/ + /y9dXl61OVNKXlLhmBCCB1eXUlJKFxcXPrHW2ioy+Ei/eZ5JtgYAAHfFB4t69fO9KtUpJQ+39loD + Oec7HLYKAAAAAAAAAAAAADjNo4inaRKRYRjGcey6zgsEA+/Iuyx630XveaiqPlFVRUxEYoyl1KCB + /gAAAAAAAOAEVe26rtZpHMdpmlars7fffvtn/v7/8LGP/d1aJaVgZrVYSmmz2XRdN8/jsXZExMzG + cfSI6zZOoZTi4xp8hgcPHtzj/gEAALzuaq0+njSlpKqbzWa1Wvn0GKOq9n3vQdf+se15by8A4DAz + a39le9p0SmmaprtKnvY6SP7He6217/taK7HWwAm8PAAAAAAAAAAAAAAAAPBYvKuuiJhJ13UeEuO9 + tQAAAAC84HwYnsdRi9ZS5xCllPkrv/I/+OAHf/jGsItaVKqIdF3nfwK0URl+p9bacq+XAdh93/sd + M/PRHS3T2uuT2s6z3VXgSZRS2p+3npYqIj5CtQ2CEhFV3ctPBQA8L7XW29dk/0zil3GPvn4OWwYA + AAAAAAAAAF4qpRRZdGnwKFafWEpZdm9o87QfKVq9S5/ff6podTBfAXt7+jhan5BSSjsgz2jzALyY + /EIqIuM4yu7iCTw+f/vY62dYSvF+jP62Uu3VebcFAAAAAADPQpQ4PhqHYRCRGDur+r/9r7/4gz/4 + Q8XEROZcczGRkHOVxVdYPoisxWjJ7juKGKN/KeH3t6uIUUR87KR/cQEAAIB745/ZWikMz7RW1eWo + UnMwzYoAACAASURBVH+IcaYA8CJrf1DHGNv9VvvF77d6Ryf++vaHdt8DRP+j3v+cN7Ocs5c/8lJI + 9DcATiDWGgAAAAAAAAAAAAAAAAAAAABeU+N09af+1Fd+6EM/MQxRRFarvtQSQxSReZ59VEbLhjxR + btgfajHY0zT54JCcs5m1Gg3TNKnqMgwbeI6mafK493meU0pegrzFsQ/DkHPu+94LlITA+AsAAAAA + AAAAAAAAeHXEGMdx7LrOOzN0XZdz9g4SMcZlQnPXdbVWL5R5dXUlIl7y0jtU1Fr9B+UQgveIeAX6 + RYQQPLFbVS8uLrxbiE85xmNHSykhhJSSH5972lwAAAAAAAAAAERExMeIichmMw39+td+7de+4zs+ + UIrIkeCqlFIIodbqwVf+/baHI56fn282m1przllVTwyuBAAAAAAAd8LMvB+aV4CRRV++Y/OLyDiO + q9XKO/v1fV9K8entr36f+UQ8NgC6ewIAAAAAAAAAAAAAAAAAAADAK6JqrVqrSm0jKSwc/U8kip6f + rb7qP/oPf+gH/noIstlMIlKtrPouiPV972UXzCwEETlaeSGl1LKrY4x93/v4kJSSD+q4uLgws2EY + PD/4mR8I4DH4GT5Nk1cn77pOVed59irb0zSJiE+/vLyk8ggAAAAAAAAAAAAAvDI8eXoYhjZFVVNK + 8zz7j8XLmpi1VjOb51lE1uu1LFKfzSyE4P80s67rNpvNK9Avwsy8v0cp5fz83It7eub3QdM0pZQ2 + m02MUVVzziklfmcHAAAAAAAAANyzy8ur9frBNOWz9fn/+X/9xje9973VpO6nX9X2X8651uqDKGut + Pjpys9mo6ltvvSUiMUbPuo4x8r03AAAAAADPlKqq6tXVlfdV8455p/vjrVYrEdlsNj7/NE0555yz + 9wP0AjLeA/Be9gB4WRFrDQAAAAAAAAAAAAAAAAAAAACvrTqO4zzPX/M1/9kHP/i3RKTvg4iM02iy + rcgsImZ2enhGztlnPj8/97rGIhJCMLOrqyszOz8/bwWdn+n+AE+q73sRKaU8evSo5bjP8+yjksZx + zDk/ePAgBMZfAAAAAAAAAAAAAMArwiMorq6uRKTve/+xWES6rvMfkb2WpYjEGEMIfutTfCmPxPY+ + EtM0eZyziKxWK+8g8VJTVe/1ISLzPI/j6FP0iGEYuq7zCqGy6xzC7+wAAAAAAAAAgHvWdZ1qVImf + /vSnv/3b/+JnP3txen5Pxmr5WD6mbLVa+S8CPn0cR7/D994AAAAAADxT/of5MAzeY80nete+Yzab + TZuz1lpKiTGmlPq+n+fZ47G9d18rowTgNr72AgAAAAAAAAAAAAAAAAAAAIBXUFWpujctiAQVaf91 + XTdNm2Ho1uv1n/5P/uP/+r/6wWmqZqIqMapZCUFWq96smJjGo/3PY4xer/ni4iKl5DWLRURV1+u1 + jw/x2g0+6uMZ7jbwJPxEnec5hOCnqA9JEpFaa601peTTN5vNc9xOAAAAAAAAAAAAAMAdmuc5pbRe + rz2XokU4++1mswkheDq1qk7TJCIxRn90vV6bmVfAFJFpmjwJexxH7xHRfnd+qeWcVTXG2HWddwXx + 3T9IVf1Iikitteu69k8AAAAAAAAAAO5LiLG7eHg5jtP73/+B//uf/3ZQrSai4iMrt4MqF3zkY87Z + R0d2XWdmb731lux+MhCRYRhyzv6jAAAAAAAAeHa6rvOeezHGnLP/bd513YlFUkrLfn0hBF/w6urK + y8X43/7TNJ1uB3jNEWsNAAAAAAAAAAAAAAAAAAAAAK+pUufUhVrrZvMoxvhn/+x/+r3f+10hiJmE + EESk1trSfD0A+HA7pYzj6OWMzWwYBp855+zjOrxqQynFzBjmgReEmfmJ6gW1zawVIgk7IvLw4UMR + Wa1Wz3drAQAAAAAAAAAAAAB3xZOn/ddh2dWsLKWUUkII5+fnqvrud79bVUMIZ2dnnuu8Xq9VNaXk + s3kjfd97p4hhGLquG8fxRPzzy6LWmlIax9H/2bI69IgQgudY+yGd59nLgz63HQAAAAAAAAAAvJY8 + y+q7v/u7f+VXflVESrEQY4jx2Pw+uCyEMI6j52b56Egz858D5nn2Zn3g5L3tCAAAAAAAryH/M7z9 + te590nziMTlnn/+tt94SkcvLy2Vnv4uLC+/md6JoEgAh1hoAAAAAAAAAAAAAAAAAAAAAXiFVpN6c + Ehb/iYiIhe1/IlKti1GD1VpDCJ/3eZ/35ptvftu3fetq1c9zCUF8UEbXRRGpuyLFt8UYQwiqWmv1 + iGtPtk4p9X3vM/gqGOaBF4ePX/JbL7Tt01NKm82mlOIltt94443TY5wAAAAAAAAAAAAAAC8XT7N2 + pZS+71V1tVp1XWdm3gvi6upKVYdh8LjrGKOnNXughar2fT/Pc6211upRFrXWYRie327dGS8JOgxD + rXWeZ+/7ISJ2RCmlhXyISNd1V1dXfh8AAAAAAAAAgHtzefHoJ3/yQz//8/9zraKqMcaareYDcdQq + oiL+nb+PL5PdQDM3z3OMsY04MzOGRgIAAAAA8Ex1XZdz9t59pRQRmef5RD80n1NVvROgiDx48MDM + cs7eo+/8/FxVx3H0lu9pN4CXELHWAAAAAAAAAAAAAAAAAAAAAPCaCiFM0+xlhbsu/t7v/d7Zg9UH + PvD+r/u6r+37YFVC8FILQUy61B1rx4eCeKlijwcex7FVbcg5e6a1z+wDP4DnLsYoIl5z3M9Vn5Jz + Xq1WMcaUko9KSikti5IAAAAAAAAAAAAAAF5qXrkypRRC8FtVzTn7r8bTNNVaPeJ6HMcWU+Gz1Vo9 + CcPznodh8Dl9npzzqxRrEULoum6z2YjIif3yjiJ+cDzler1e0z8EAAAAAAAAAHDPfuqnfuojP/3T + ItJ10cy2I8KOf7+dUhrHUURCCP4Vt0/3HwJ8hlJKKUVV+d4bAAAAAIBnyisgiUgpxesUpZSmaTo2 + f6015+xJ2Ms/21NKqjrPs7fZ931rGcBBxFoDAAAAAAAAAAAAAADgKb1KNdcAAACAV0OwECwEk/af + SN37z3T3n4iZxtipBTGb53m9HvK4SaF+3/d+95/7c/+5iFi1FDXP83o4m+ciEkSCqIiKiKSUvDqD + iLSqDR5uLbshIqrqBaDneW7FnX2RNvyjLQI8F+2c9DvLkUh+X1VbLjsAAAAAAAAAAAAA4GWRcxaR + Vtfy6urK73j4hKr6z8QtnaKU0pb1Xg0i0npB1Fp9Bk+w8EdLKV3X+eLeTcKnb6MyFjO/XF0jlr+S + r1YrObn97SE/AjFGP7zPeiMBvDhaL7KXtI9N2+y2I33fP7/Nea3tPRcpBbMiUs0shKByKz7KwvV/ + AAAAAADglaMWtP3Vr3X5X7UcupAtTyUXsTSs/v5//z9+8Md/spqYyDS3b+arWBGpKYUUk5iISAjB + RETVf0fwbyT8a4ecs99Zfk3h31TwvTcAAAAAAM9U+9M7xrgsBWNm/gf7cmIpxczanHulY0Sk6zr/ + Z+soCOAYut0AAAAAAAAAAAAAAAAAAAAAwOvqdjFHraK1lPkH//r3f+3Xfo2qlGIishk36tWHQ9Bd + RYacc611mQG8x2s0+9COEMI0TV7NwceKtOEf0zTprgYEAAAAAAAAAAAAAADAnUgplVL6vjezUsp6 + vTazWmvf98MwdF3XojGfutOCV8wUkRBCKcWTLXx13qzHXRDzDODV1i6Atdbz83PvJNYiol98uwTl + 5FfvlNI0Tc97o14jfvxDCOfn597nMMboz4X/syVLmdjJlgAAAAAAwOui7/t5nmutwzD4l/C/8Au/ + 8P3f//3HvjtIKfmQxhCCqtZaY4whBP9eIsY4jmOt1QdL8n0+AAAAAAAvGu9IUGs1M+8EGAI5vMCd + 4eUEAAAAAAAAAAAAAAAAAAAAALih67qU0g/8wA/8mT/zp0UkxSRS+66vtVqtVqqKXleKtKOVIlNK + Lbs6xuh1opfFHS4uLsxsGIZ5nk/EYwMAAAAAAAAAAAAAADwF75/QUqW9A8M8z96Bwfs8eND1UzTu + cRfDMHiPiJRSS7nuuq6U0vpUqOqJ/hUA8LJrV7kY48XFhccCeSzxS2Ge567rcs4hBL/j3d5wPzwW + vdZ6cXHh763tjbtWkcW7uYicnZ09z20FAAAAAAD3y7Sa1tvTHz169MYbb4zjvNlMw7D+1Kf++V/+ + S9+52Rz9Pirn3OKvfFxkrbWUoqqqOk2TiPj0eZ6f2d4AAAAAAICnseyCUkrZbDay60UA4E5Q9gsA + AAAAAAAAAAAAAAAAAAAAcIOZlTKlLv3wD/+tUsrP/uw/FJFp3oiIajTbVo30aqQnyo96pWYROT8/ + b6VLQwhmttlsVqvV+fl5ztnLOt/LngEAAAAAAAAAAAAAgNeFmXkKhd/KLohaRPq+95iKYRhqrX7/ + SXmXiXEcZRfpul6vx3H0cOuUkq8u5+xx2nexTwDwIjKzdsnt+96jgGqtnkb84jixPbXW1odtmqau + 6160jX+FmVmMcRgG744YQqi1ioiq+HNSaxVREQkaPv/zP//5bi0AAAAAAHgRpJTyXFerlUj41Kc+ + 9Rf+i/d99rOXKcmcD8/ffhSQ3Xf7IYRSSs7ZzGqtHnodQkgptehrAAAAAADwIvCudzHGq6ur9Xrt + /6RfB3CH+C4MAAAAAAAAAAAAAAAAAAAAAF5TpmIqJsFu9i1PXRARreVs1f/oj37wT/yJ9/hQjhBC + jOrjOryO526MRzj4n9eaFJGLi4uUkidhi4iqrtdrD71OKYlI3/fzPN/rzgMAAAAAAAAAAAAAgFea + qtZaPRrT01Vrrev1WkSmaer7XkTGcfQeC08XO/2ud73L73j/h2maPOuiFc0cx7HlWwPAK6zrulpr + KWWaJk8DeonKB6tqy7Q2s77v2z9xD/z455ynaSql1Fq7rhORdgb50+H9Dx88ePAcNxUAAAAAANw3 + rdf/OQtiwTReXG3M9LNvPfyOv/iBf/kvfycEyUcyrUVkmqau60IIbXijf+FgZp5mrarRR06qkmkN + AAAAAMALxfsSiMh6vS6lmFnrFgjgTvB1GAAAAAAAAAAAAAAAAAAAAADghnEcQ5RSSs6TaP3oRz/y + nvd8eQhiteacQwit2vLp2qOllHEcvaaDmQ3D4PN7Acqu60opPpuZeSVKAAAAAAAAAAAAAACAO+FZ + FJ4/4V0dVqvV1dWV916YpskzKny692F4IiGEt99+2+/4urxipq8x5ywiwzD4HcpoAniFhRDmeR6G + wf+ZUvI79oI5tv0xRr9Kl1JU1fvIeTg37sHeaTMMwzzPIYTb75ylFiNxHAAAAAAAiIiEs7Ozy4ur + 973vff/0n36q70MpEuPRoY4hBM+78i/wzazW6t8z+BdBsvtWf5qm+9oFAAAAAADwWGKM/he9iJiZ + qra/8QHcifS8NwAAAAAAAAAAAAAAAAAAAAAA8HztV39U1RDC2YP+rf/v7TfeeCPn+pN/+8e+4wN/ + +R//43+y2Uy1lGHoaikiohpPtOtp1iLiVR5KKZ5s3SpLej3QEMLpeGwAAAAAAAAAAAAAAIAnFWMs + pXh29Xq9vrq62mw23m/Bi1p6lHXXdZvNJqXkkRVPKqX/n717jbFsP+86//xva61dVd2nbY5vSMyR + XxBEJiIDL4JmSDBRXsAMEQQJEwmEPUkcJ7YhvhzH1okPyEZBdi7EmTi2DwHi48TBSTBBsRM5EcJC + E43sgVy4OGIiTcayERkj7HPrqtprrf/l4cXTtU6d7q7q7uq69/ejrdKqXWuv9V97797V9b88v2hh + GPtPeu3ataeffrrWqqoxRmvGMV4aAJwrrbUQwjRN3vsY4zRNdv9FmRVWSkkp1Vrtt0OMcWdnZ3Nz + 86zb9WCZpqnrulLKNE32y9Tut9CpZbd2a9g1AAAAAAB4QKgXkea8iLSiKcV3vevx3/7t3ylFRFoI + rtXDHm1rG63nIca4xFc753LOMcYYY86567qcc0rpxC8HAAAAAADcHatZpKoppRDCPM9d143jOAzD + WTcNuCRIiQcAAAAAAAAAAAAAAAAAAAAAvIDFUV+/fv3Ff+jaOI4huJe+9OEP/eRPvPKVj6QUZK+s + s9yp8Kjtpqqq6pxzzlndB3tUKcUyrW3nJesaAAAAAAAAAAAAAADgWCxh0uv1WvbmOYQQlhkL3ntL + sz5CprUd5Kb5DzZZYnt7exgGi3ddUrQB4BKz2V+qOs/zMAzOuQs0H8x7n3NefmWoKpnWp8mmFw7D + MM/z8ka6dTf7JX5RstIBAAAAAMCJ8t6/733v+9SnPl2rikiM3jYO0lrrus7WNtZap2myQQELt16t + VtM0iUhKiUxrAAAAAADOm1prjNHmdahq13U2O+Ws2wVcHsxwBQAAAAAAAAAAAAAAwN1a6sJ47y9W + jSEAAAAA98SCqPu+H8cxdaFpyWV66KErP/dzH3vlKx9JyZXSQnAx+lrmPsWbHu69d05Fmoi01pZC + k7ZRa7W/KWKMVg/UOddaW8pN5pyX/fm7A6dj/5uttRe8dW/aBgCcIVW1z+Rb7d+NGtYAAAAAAAAA + AJwtVbVo50OSKU+TjR0s85+X5llkxX2OCNsQ8/4NE2OstS4BnBdr/GL/C1drvePzY1dnu9mzerxT + zZdxfDvskkd+XMcHcCzsn6r925+myYKKz7pRd2v5DF8+t8/8l9cDxZ5wy46yZ95eEXsHLa8FLwoA + AAAAAA8gW3hYSnHO5VYlxFo0+PQLv/CLP/VTHxER772KlKo+pCZORLqus8f2fS8iS0b1PM9d11nX + /TJScNPO+/cHAAAAAADnRIxR9iaM2dflL3oAx4LpmAAAAAAAAAAAAAAAAAAAAACAu7J1ZePjH/+n + L3/5y0KQWrW1FnyY83zTbnesSbpXdPJG2eh5nm3RSClFVa30g3NunmfnnNU7Bk7ONE1WQ9zemd77 + aZqsoHkppZTivacoKgAAAAAAAAAAAADcDRtdDSHIvqH/s2qMpVNYFoXFVPR9fwrtGYahlBJC8N7n + nC/ciPP+F85eysMvwXu/DKwvT+8xPs82q2Rpg317U444AAAAAAAAAODyaa2FEDY3N7U5i9cJIXz6 + 059+73vfKyLD0NXaRERVW2u2QGyeZwu7mqYphJBztk7speu7tWZrx8720gAAAAAAAIBzgp4yAAAA + AAAAAAAAAAAAAAAAAHhANdeaa81JWyoJqz/wJpK8u7q18cv/4p//4Ze/NARpTWqrMUQn4sXt1SNu + qvXwZOsY45JdHULous4qR8QY7VHb29tWSzrnbFUkgGNnFa5rrVa13HsfQrDyJVeuXHHODcOQUoox + llLOsMw6AAAAAAAAAAAAAFwUy6hra62UInsJxGfYntVqNU2T7EVfTNN0CiHT4zjKXqh2SsmGp3PO + J33eY2QvXCllCQKxfOvbqrXKCxPNjzdzOudsL599a2+tQ9oDAAAAAAAAALgkvK+q13fWRZv3vuT2 + 7/7dv3/Xux7f2Zm6Lq7Hual4H5270WPsnOu6rpTS932M0TrqW2utNevEtu7rvu+999bbDAAAAAAA + ADzgiLUGAAAAAAAAAAAAAAAAAAAAANyVeZ67Lm5tbXziE7/4ile8zO4s9TblG1T1kDLQpRQr1ry1 + tbWkBXvvVXW9Xqvq1tbW/pLHwEmwGtwhBFW1ryLinIsx2vvTanOraoyRtyIAAAAAAAAAAAAA3JGN + 9ZdSSikxxtZajHGeZz0ju7u74ziGEGKM1rxTi9lerVYi4r23qO/WWkrprJ6HezXP8/Ly2au5vLi3 + ZTsvOdOqapNAjktKaXnhnHO2fUh7AAAAAAAAAACXg3XshxC6bhD1X/rSl970pjc988y29zcCqq2D + WlVFRFVba/M8e++naSqleO+tVznGaMvEbGeLu7axAwAAAAAAAOABRzcZAAAAAAAAAAAAAAAAAAAA + AECaExHxL4ju9SLipC3f90PKZYrJP/zwi3/2ox99zd987X/+g/+aUsi5qoiqOOduhP9aVvUBQcBW + MHqapu3t7aUkhIg451arVc45pWRVIbqus2+P/4LxwFPVUooVELdq1yGEWqvdWWu1MiVWevvUKpsD + AAAAAAAAAAAAwMVl4RAWb2xxEa01G/o/k/bEGC2dQkQsu8LaM8/zSZ96vV73fT9Nk4iklCxdwzl3 + 0uc9Fikli/2wZGsRsYQP1dtPBFkG32203b4e48Uu57U2qKod/KD2AAAAAAAAAAAuB+eCiK+1qM47 + 2+vXve67v/KVp7yX2qS2JuJFvEgJIaiqarOebeuTt20Rcc6VUpbtWiuB1gAAAAAAAMCCskoAAAAA + AAAAAAAAAAAAAAAAgLuyXu+G4L3307x+5Ssf+ejPPPmSl7wo57rssBQOPlytdZomK2esqn3f26NK + KfM8p5SsonStVVXJtMYJsXeg5VXbVyt4PQzD8g5MKYUQTqGgOQAAAAAAAAAAAABcAhY5XEoppcQY + W2s25KpnpJQiIqvVSkRaazYJ4RSGgG2qwzRNXdfZqWVfJPP5N89zCMEyre3VtPsPuV5Ls7YrrbV6 + 74+xPSml/YP7KaXD2wMAAAAAAAAAuDS89yGE68/tfM/3fO8Xv/hfWpPWJKVkXfE2EJBSaq0556wb + WUScczZIEWNUVfupLWm0TGvWiwEAAAAAAACGWGsAAAAAAAAAAAAAAAAAAAAAeGA1kfbCe/y+m4iI + qL9xE1n1g5O2Xu/2fa+qjzzyyJNPPnnlymYMcd8R9I7B1pYlbLUhLOLakq1jjFbQ2Sod2z7Hdq3A + Aeyt6Jyz99s4jn3fi8hSnrvrOsphAwAAAAAAAAAAAMAdee9jjDb2akkSS4DEmbCh3vV6vbQk53wK + 57WzWKS3iAzDYJnWp3Dq42JPl72INqPjkJfSrldVl/Bpy7c+Ljlnm0ZSa7Vv92eTAAAAAAAAAAAu + q1JardqqvOc97/m3//Z37E7vfM415yoiIYQQwjiOtl1KsT5tVe26rtaqqqrqnLt+/Xrf9zln62q2 + ZYwAAAAAAAAAmI4JAAAAAAAAAAAAAAAAAAAAALhLbp5KSqnruvV6x3v5mq/5ox/72Ee7Psa4zE53 + d6zDbKUflpIQzrlpmkIIS2FrK0ZsO1+sss64WJYy3CklVa21OudCCNM0pZRKKaoaY7T7z7qxAAAA + AAAAAAAAAHDetdaWIGQR8d5bAvFZtSeEYBspJQtaXiYnnCg7RWvNNsZxVNWlMeefcy7nbEPq++dy + HP6oZdjdZnoc4/NsLdl/WOfc8SZnAwAAAAAAAADOHz/0G6L+3e9+z6/8yq8t99qCL+s6rrXaWkVb + C7asEYsxzvMsIt5760++cuWK7WDp13QyAwAAAAAAAIZYawAAAAAAAAAAAAAAANybpcIYmV4AAADA + RefVe/VeZbmJtJtu6vZuIq1JjMmLz9Pc931rxUv5uq/9mo997KMhiDhxTlTVueAkiHpx8vzthVpr + Vm7Ywq1lL+s6hBBjtH2cc+v1mj89cNKsFoltW+ESEVnukb0C2QCAs1Vrtf8z5JyXO1tr+z+3l/9g + AAAAAAAAAACAM7TMBLBMiDPsvbdxBNkbX7AR4VNoz00zIm5qzPl308t3l8/YTVd9jM/z/jfS/rYB + wDGySWv28bKMRONs2W+S5RcK8wEAAAAAALgU/L6biIi4Jq6p1Nqyc845J+JzriEkVdeafviJf/Tx + n/+EiqiID0FFXPClzK2VvSWQInsDATnnEIKqLmsS53letpd1i0sqNgAAAAAAAAB6ygAAAAAAAAAA + AAAAAAAAAAAAd2+vbIRry+1//Lo/9tGf+Ujfe+dFRJxzqk7Ei97blHXvfWvNqkJYOOVqtTqJawAA + ABdOCMGKSS1ZCDln730IobVmJaWW/0LYVwAAAAAAAAAAAAAAFnqAeZ6naco57484IkQZAAAAAADg + 1DjnvPfOuRBCznlzc3McR/H+V3/10z/6oz/WdZ111YQQRKTWesihaq1d17XWRMR6eJY+HwAAAAAA + AAC3ItYaAAAAAAAAAAAAAAAAAAAAAHBfvPff8A3f8MQTT/R9EpHWmkhLKYg0UblxuwtWLcLqSlgF + ipwz5UEBAICItNbmeW6tdV3nnJumKaXUWlNV7733vtaqqimlcRxjjGfdXgAAAAAAAAAAAADAxZBS + snFnizjy3k/TdNaNAgAAAAAAuMSaSHvBHeqdhHme53kOIbQmIaTPfvZzjz32mOxLp57nOaV0yHGt + h2eeZ1tfICI551LKCV4KAAAAAAAAcMERaw0AAAAAAAAAAAAAAAAAAAAAuC+ttXkeX/Wqb3r/+38s + BCfSYvQ533NlzxCC7BWPcM6llFJKVioUAAA84Lz3Xdd573d2dkSk73sRUVX7r4LVrrLtYRhqrWfb + WgAAAAAAAAAAAADABWKDziIyTVPOue975q0BAAAAAACcjHbrXdM09X2fUvI+9v1qvV5/4Qtf+L7v + +77d3XFjYyPnbGnW3vucs3Xj3P7Q7cbBVdWWHqSUYowncyEAAAAAAADAZUCsNQAAAAAAAAAAAAAA + AAAAAADg7qgXvc0s9GHoSilO5Jtf9ec+8JM/7oOUUkKwsp5+3+0OLIHSex9C8N6XUuZ5PuZLAAAA + F1OtdRxHEdnY2FDV1to0TVaOap7nrutkr7y4iBxSpgoAAAAAAAAAAAAAgJt0XWdz1Sw/SfYmswEA + AAAAAOBY7WVau3bjpl7Ur1ab6/U0z8UyrZ/66tOv/+7vefrp61tbG7u7uyKSc1ZV773sy66+LVVV + 1Vrr5uamiEzTRD8PAAAAAAAAcAhirQEAAAAAAAAAAAAAAAAAAAAA9+X69etXr26N4+6w6r75m1/1 + 3vf+YOqkNr1lx7uawW5lI1R1GAaLqAQAAAghDMOQc3bOiYj3vu/7UoqIdF1ndaasvPg0Taq3/j8E + AAAAAAAAAAAAAIDbsCFmG3q27XEcQwhn3S4AAAAAAIBLyt2cS+29L6VsbGxtb2+XXF/3utd9lAPm + JAAAIABJREFU6T//webm6vr2btd1yxpDW0FwOFWd51lErKun73v6eQAAAAAAAIBDEGsNAAAAAAAA + AAAAAAAAAAAAALgHKnJTUOSVzc1xd3e16qdp7aR9+1/7q4+/67EUxIk4aU5kuYn4fbfb8N7XWvu+ + F5Faq/c+53zSVwQAAM4/VW2tWWq1JVuLSAgh59xaa61ZbfHWmv1HAgAAAAAAAAAAAACAu+Gcs3Hn + EIJzLuc8DMPdhCQBAAAAAADg3rj2fKa1etEbawx3dnauXXvxlEsT912v/57f+39/X53s7Ky99/M8 + 55wt2TqlJCK2duC2VHWapq7rQgjee1uGUGs98esCAAAAAAAALixirQEAAAAAAAAAAAAAAAAAAAAA + 96WUklJar9cpha7rSpm//dtf/QM/8NiRDzhNUwhBREIIVnICAAA84JxzIYQY48bGhtvjve+6ru/7 + lNJSmqqUsuReAwAAAAAAAAAAAABwuFqr9761JiKttRijiNhXAAAAAAAAnDzf96vnnntOVR977LHP + fe7ftKaqEkNsrXVdp6rzPPd9n3O2VYcHqbX2fa+qqmqLDkTk8IcAAAAAAAAADzhirQEAAAAAAAAA + AAAAAHDPbO2WLeU667YAAAAAOCPqRZ+fkd5a61PSWqUVLy1G/zdf8zfe+ta/7b2INCcq0kSaE4n+ + sDIQVhtURGqtIlJKERHnnP31oarLDssGcGS11taavcEsG1X2KpWo6lKa1jnH+w0AzonW2q2fyfYf + BvsY995TcwoAAAAAAAAAgLNivfR934uI937pvT/DJqWUliaJSNd1p3Neeyps0vX+e3AEy9Norybj + QQCOnX2q2GQh7/3+T2+cOV4OAAAAAAAumRB8rTXG2Frz3ov4EII6l2v7wAc++Mu//KsioirBh1KL + iMzzbA+cpkn2Vh0uPQZLZ44tEFs655c7z3aQAgAAAAAAADj/4lk3AAAAAAAAAAAAAAAAAAAAAABw + KbXv/u7X5Zw/9KEnWlMRceJUpLZ6hGOFEKzkhBWSqLVSoRj3aZ5nq1eec44xllJUNaVkaal930/T + 1HVdzjmlRAUTAAAAAAAAAAAAALgjG9mfpimEoKqtta7r5nk+q0BK51zOOYRgWRcxRmuMqp70qe2p + sGFoG49urRHMeWQhhNZaztk5Z8P6AAAAAAAAAIALp9YqojHGaZq0uVpr1w3Xr+8MG5u//uu//oEP + fNA5EXUhhFJL3/XjPN32OJZgXWu1HmPnXK1VVemHBwAAAAAAAO4VsdYAAAAAAAAAAAAAAAAAAAAA + gLuiN6o6WL5vExFRLyLibq4X7EVEpU/hbW9587weP/KRJ3OTEF0pzYkTcSKi4m8c5G5OrbparXZ2 + dqxOcQihlBIjU+JxdF3XtdZKKV3XlVKsknjOWUS89/M8i4jdv7Ozs1qtSLYGAAAAAAAAAAAAgMPF + GEspfd9bjLRlWovIKcRI35YlWNRaY4wWbm0DxCfdnuXCLVfb7iSP+X6oqr2aqmpvM+89zycAAAAA + AAAAXCzWf95qe+ihh7a3d1vTcS5bV69++tO/9uij73ReWhMRbbWEEA7KtJa98QjrKA4h7OzsEGgN + AAAAAAAAHA01vAAAAAAAAAAAAAAAAAAAAAAA90f9rcnWIqJaVfUd73x0Z3f753/xE6W0GGKpxWKt + RUTuLtk6pVRrnaap7/ul3DOZ1jgWXdeJSK21tRZjrLVadLqFWJdSSimbm5tn3UwAAAAAAAAAAAAA + uABKKSIyTZMlSczz7L0/8/hh55wN/nrvLW36pM3zbMkcMcacs4UxLyPRuFetNcsjsTxye5uRaQ0A + AAAAAAAAF04ppe/7WvTpp5++evXaOI651s9+9nOPPvr9w9Ctx7nvU2uSc661Wnb1QcexDefcNE22 + HKzWmlI6xasBAAAAAAAALgPKeAEAAAAAAAAAAAAAAAAAAAAAjmAptdxERNS7W/YYVqtnn3227/t3 + v/vvisjHP/6JUkvwoTa9eVd78C13G4uyDiHsr0aRc6bMBO6Tlb2295JVDw8h2I+s/vWSnj6O4zAM + Z9RMAAAAAAAAAAAAALgwYoy1VsshVlVVPShz4hRYG0TEorVtIPgUYradc5afnXNeTnfm8d4Xl3Ou + 1ip7U0e898651pq9uAAAAAAAAACAi8I5t16vN1ZbKaVnn302pf6rX33qLW95y87OWkRSStOURSSl + kEuteuD4Qkop52xjEN77WmsIwVaHAQAAAAAAALgndKsBAAAAAAAAAAAAAAAAAAAAAO7HgfPSr28/ + u7m1qi33fXr88R/41m/98yJSW73XE1h8tRUjbq1ZgQkyrXGfVNVirVNKVu3aaqlbNRMjItevXxcR + Mq0BAAAAAAAAAAAA4I6896UUVQ0h2NezbY+qxhhDCBazvTTy1Bpg6ctCpvX9WbLJLZjEEsrJtAYA + AAAAAACAC8c5572fpmlr6+owbDzzzDOvfe1rv/zlr6QUvJecs+2Wc/XB6cHd6rbnstLQhgCWhwMA + AAAAAAC4e/GsGwAAAAAAAAAAAAAAAAAAAAAAuCisFMTz9Z3djRLB+yo+u6VcRBu6ruacUpqmKYTw + /ve/fz397c985jO13nycw+WcY4wWORxCqLWmlNbrdYxMicfRtdas2rX33jm3VDCPMY7jmFKy+uZX + rlzJOROjDgAAAAAAAAAAAAB31FqzIf5pmvq+zzmHEE4zRvpWMcZaa9d18zzbtk0/OFEWwGyzGizn + +6TPeOntzyZxzoUQTuF1BAAAAAAAAAAcr+BTbjWm9Oyzz7Ymb3zjG3//97/onMy5hhBEqvfeR1dy + 9d63Wg86ToxxvV6LSK3VRiJKKaz/AgAAAAAAAI7gLKf5AgAAAAAAAAAAAAAAAAAAAAAusdbUOTfP + cwghRu+9/MRPvP8bv/F/WX6+l5MtoodNbnfOLZnWrTURKaV0XXeSbcflF0IQEe+9qlqmtd1TShmG + IYSwhKnHGO2NBwAAAAAAAAAAAAA4RAjBIpz7vrdcZ+99zvms2rNkIS+Z1qp6CrEWyxCzZVqraj04 + ewN3w15He0FVlUxrAAAAAAAAALiI5rmErncuNPGPPvro7/z7/ygiquKcs45051zJVZyUfFi/unX7 + i4j33h4YY7QRCgAAAAAAAAD3hFhrAAAAAAAAAAAAAAAA3APnnKqklGxBl+V+AQAAAHjAtOWm7pab + yN7Ni3iR0MXe/nKoNQenP/XEB//0n/5TKTnZ+5sihCTigz+wbPSNP0BEWq2i6kSceFHnnLMfqepS + Epr4Ydyr5W9b27CaJsa2nXNWIBsAjkBV93+w0J8GAAAAAAAAAAAusVrrEhodQrCRkVOIkT6Ic26e + ZxGJMVrCdAjhGGO27QL3X2YIQfZGiJboZeeen+FwcblD7d9zmctxEm246E8jgHNLVe0TptZqH+DM + QwMAAAAAALgLft9NRERcE9dUqkrt+pjLJCIppXEcvY/io0qYS/2hH/mRX/+XnylVVMSHsNf362tV + US9NRL3o80swlkEH2euvXratZ15YrwEAAAAAAAAcCWWVAAAAAAAAAAAAAAAAAAAAAAAnQpuzm6i/ + EU3t1Dn9hz/14a//+j/h/I0Sxq21GGKtR6k7HPaKVljqcK2V+GEAwDlhda6dc957+/WUUqLOPgAA + AAAAAAAAwKlxztVaZW/gxpKnjzHWQlU3Nzf3DwDZ6ZxzpZSu61R1miZ5YcbG5WNXZ0+yqtqTwLgY + gIul1mq/Nbz3McZSCvPQAAAAAAAAjizGKCLzPG9sbNRax3F86KGHqug4TjF0/+gf//STH/lYbSL7 + evJfyHpmvKraoZZlgzHGeZ5P8VIAAAAAAACAS45pUgAAAAAAAAAAAAAAAAAAAACAk6Kq4prKjarN + 3vsQwkMPPfSBD3zgT/7JP2GVP1W11JJiusOh9t1Emkizx65Wq9aaiLTWQghWJRkAgDMXY0wpOefm + eW6t9X2fc6bsNQAAAAAAAAAAwGkKIVi+8jAMOWdLLT2ug3vvd3Z2QggiknPuui6E4L23M25vb4tI + 3/etNefcJY55jjH2fW/XaJNDhFhrABfKPM8xxnEcQwjOuVJKjNHmpAEAAAAAAOAIcs4iMk+lFvXe + p5TGcZ6ncuXKlU9+8pM/8iP/wLrqh2FQ1b7v9x53Y83gIqVUSrFuZ0u/zjlb0DUAAAAAAACAY0EZ + FAAAAAAAAAAAAAAAAAAAAADAiXFNVfeXKnbOrdfrV/zhl33wgz/5x7/2j4XgRFqMPpfpXo+dUvLe + T9PU9/1yCspSAADOCVXNObfWNjY2RGSaJhE5xpgEAAAAAAAAAAAAHM4iLlprqjqOY0pJTmC8xmYs + 9H0/z3OttbXmvXfOpZRUtdY6z7M143jPe37knKdpsnjveZ6997VW+xYAzhV3gL7vU0rDMNhu9sFu + aUkAAAAAAAA4Au99CGEYhlLKMAzzPIcQVqvVb/zGb7zlLW+J0ccYRGQcx2EYbLXFbVk8tnXse++t + p93uBAAAAAAAAHAsmCYFAAAAAAAAAAAAAAAAAAAAADgRzqvV/RRpKlVcE9dUat+nUsrLX/qyj/yT + n37kkUe6ritlDsE5ESci4m+53UxFVMSyQkMItdYYo9WnoCwFAOCccM5Zzevd3V3nnPe+73vLTgAA + AAAAAAAAAMApCCGoquWS5pxtpOYYx2taa13X2dSFaZosNttyNVpr8zw75yy3wxpzXOc9b0IIzrla + q3Ou67pxHEMIlzjGG8Dl45xbPrVaayklPsQAAAAAAACOSL2odxJqUeul390du27IuX7+859/61vf + GmMspeVcbTHgOI63HKKJ3OicSSl572utIYRSiqranad6RQAAAAAAAMClRqw1AAAAAAAAAAAAAAAA + AAAAAOBELJnWexs3lFJynkJ016499PGf/7lXvOJlcqSy0VaBwqpRtNasDjVlKQAA50StdRzH5RdT + a22aphjj2bYKAAAAAAAAAADgwWFByyKSc44xDsNw0wSG++S9t+xqm/OQc7b7VbXW2nWdfbter4/r + jOeWqlqmyDiOFuMNAOeQO4D33nKsSyne+5yz976UctbtBQAAAAAAuKhCCDs7O9bN0vf9NE3PPffc + m970t/7bV56Z55JScs6pqi24OGQ9YM65tWZHWLr36bcBAAAAAAAAjhGx1gAAAAAAAAAAAAAAAAAA + AACAE6FaVas60RdWhB66mHy4fv36arX6Qy960c989CP/wx95xd4u3okstz3+trPfreq0VRQNIYhI + SomyFACAcyKEYNWuu65TVbvTIg0AAAAAAAAAAABwCmwuwe7urkViPPXUU6oaYzyu49uMBUt0tnP1 + fW/jQfvzUI/xjOfT/iGwYRhqra0176lzCODc0QPUWvu+X35HpJTW6/Wl//QGAAAAAAA4Pk2k7f9+ + mqYXv/jFEuJUaq46l/a/f+d3felLfxCjF5Gcsy2ycM7ZsotDjumcW6/X1ufsva+10m8DAAAAAAAA + HCOmewIAAAAAAAAAAAAAAAAAAAAATlXO2Qfp+1TqXFt++ctf9rGP/ezDD1+71+M456wGdAjBSkWX + UrquO/4WAwBw75xztjHP87K95FsDAAAAAAAAAADgFJRSNjY2LHfZIkvHcTzeU4QQaq02DDSOo6q2 + 1iwbVVVzzhaqvT/7+ZKxSO9xHGOMtdYQApnWAC4W59w0Tc45+wCvta5WK8b3AQAAAAAAjizGuLOz + 45zr+76U8oY3vOHzn/9/+j6VciOp2jqW53mWfYsvbqvWajvY+sFlISEAAAAAAACAY8GMTwAAAAAA + AAAAAAAAABzF4QvDAAAAAOAQVvHTq9R56oLvU7j2oquf+MQ/e+lLH3aiIk2kiUiXOifiZfnrw980 + B36pHLqUh7Y7rR607NWqoMAo7khVl/eJvW2sRu1yD+8i4Fyxiv/TNIlIa235Z3vGzbqdpVV3/zFi + VZlkr//tdD5/Sil2LttY2nDeLCEQt9q/G12XAAAAAAAAAABgEWOUvdxl471fBhdsgoH99JAhBvuR + c26ZkLD/gPZTm7pg6Rree8t1Pughl4yN3w3DIHuXySA7gItl+dTy3luokqoy9AwAAAAAAHBHzjnn + XNd1th7BOdda895Xcc35WtRJ+P7vf8fnPvdvRGSasj3KutNte1m3ZT0zyzFlX+99KUVVl+59+m0A + AAAAAACAY0SsNQAAAAAAAAAAAAAAAAAAAADgVN1UOSIEt7m5+fDDDz/xxIcefsmLnZMYokib8+zE + qdxzpeNSSoxxvV5771trpxkLiotomiYrd2Lps977aZqsEkoppZSyv6Y5gPPAKhP1fW9ljLz38zzb + P9Vz5QiXpqoppSWre55n59wptDPGaBELttF1Xc75WF80AAAAAAAAAACAc8RCMnLOOWfvfa01xnjI + +I6qbm5u2kPsnmWgqus6i9OYpklVQwhLFAcAAAAAAAAAAJebLch65pln+r5frVa23KO1FmPs+15E + 3ve+933qU5+2jnN/cDzOkmC9rKGwNSPLkgdbJ7ic9MQvDAAAAAAAAHhgEGsNAAAAAAAAAAAAAAAA + AAAAADgR6kRvVyPCWwkK18S11lopJYhurvo/9T99/U/+Hz9+9epmqcU7L9JU1LsXznt3+24H2NjY + qLVeu3at1moppxYLeqwXhwvPSpnUWvu+d85570MIVv3kypUrzrlhGFJKMcZSCu8f4FwppYjINE0h + BIui77pO9goYnR/3el0xRttQVfv91XWdqp5CO8dxtCJQtpFzTikd52sGAAAAAAAAAABwnjjnlihr + GxYppaxWq0P239nZEREbWY4x2vhUa22aJsuxthFnS7Y+resAAAAAAAAAAOAsqVbn9EUvetH29vY4 + jqoqIUqI05jzXD/+C7/w008+qSI+OBWpTUT8bVNyaq2tNVtMEULo+36aJtVaSpnn2XawZGsLugYA + AAAAAABwXIi1BgAAAAAAAAAAAAAAAAAAAACcKlW1+hFW07nWWkpprZQyf9Of/cYPf/hDGxtd0yYi + Iu0Ix9/d3bUNywe1zE7LMAYWFq8eQrCq4vaedM7FGHPOItJas5rjVsr8jJsLYB/7eO/73goS2UYp + Rc+Ze72uJSzBog6cc9vb2/ZBdNLtHIah1lpr7fteRFJK9kkIAAAAAAAAAABwKdVabUSmlDKOo406 + rdfrg/ZXVe+9c857b5Mc1uv1MtRiQRo2sszkBAAAAAAAAADAgyPn3Pf9er2OMW5tbVkE9TRNXdf9 + 5m/+5t/5O++pVfo+1aq2EuQgFmWtqrVW55xlWotIjLHrupyz9erbakTWOwAAAAAAAADHiFhrAAAA + AAAAAAAAAAAAAAAAAMDJUH/bmzbXqkhzXkIMLgYXvHjnQnDXrz/7TX/mf/6HH/5Q13nnJPjQtNzr + abuuE5F5nq2i9DRNKSXLMAYWqppztpLitVbZi7gupVihEytcbjvw/gHOGwuxXv5tWia9O2fu9aJi + jOv12iK67Rq3trZU9XTaGUKwAk+ttdZaSukYXy8AAAAAAAAAAIBzJcY4z3PO2QZobMTk8ERq55wN + KHvvLc16/3CzfbXj2FgPAAAAAAAAAACXXoxxHMcQQozxq1/9aowxpbRarX7rt37r9a9/vfeiTaYp + i0hTty8fx9+UlVNrnaZJRLa2tkopqtW64lXVMrNlr/t9nmfWOwAAAAAAAADHiLJKAAAAAAAAAAAA + AAAAAAAAAIBT5b23cs8WnGl3qtbasmrd3d1+1au+6cMf/lDfR5V6hOPP87x3THXO9X0vdyo/jQeQ + cy6EYJm49tXKnQzDsJQdTymFEJZ3FIBzotZqIda1Vvtq/34vOiux5L23iksxRvs4OmmtNfugs9NZ + XvjpnBoAAAAAAAAAAOCsdF1nuRer1UrulEUdQqi1DsMge9MPvPfe+1KKjSmnlGx4pbVmYz0AAAAA + AAAAAFx6KSVVrbWO4/iSl7xkmqbt7e0vfOELb37zm3d3c6siIn3fp5RareHg/nPLwxaR7e1t1RvL + GVprzrnVauWc297eDiGIiHPuNC4MAAAAAAAAeGAQaw0AAAAAAAAAAAAAAAAAAAAAOCVOxIl454L3 + 3vvWWq21tWYR1865K1c3nXM552/5lm/54R/+4dakS93RzhVjXK1WdlihYgUO5pyz94m9ScZxtCj0 + GGMppZTSdd3lSMwFLo0QgsVab25uWomilJI7f45wacMwOOe893YEK8x00u0MIVy9etU2WmshhGma + rN4TAAAAAAAAAADApaSqNgpskxbsnkPGd2qtMcZxHG3sZn+C9e7ubtd1IhJCUNWU0uEJ2QAAAAAA + AAAAXBq7u7shhJTSMAw7OzvDMEzT9B3f8R3/5f//bz5K10URmeYp57qxeaWW9sJH+yUxp5SScxYR + 1VprnefZ1laUUqw/f2tryzk3TRP98AAAAAAAAMDxItYaAAAAAAAAAAAAAAAAAAAAAHCqrHKE995i + O733IYQQgvf+qaee2tjYmOdxHne/7dv+8o/92A83LU6a2M21Ox7cjmxnWa/X+9NAT/aqcAEtb5WU + kqrWWi3VdSlxoqoxRrv/rBsL4HnLv0pLXy6ltNZSSmfdrvsVQhjHses6K7pkV2ShCCdtmibbsNP1 + fW/5DQAAAAAAAAAAAJeVc05Vba6CiKSUDhkfcc6VUpb8jBCCiLTWWmsbGxs2uJNzds5Z1vWpXQUA + AAAAAAAAAGdoGIYqWmvNraq667vrN3zvm770xS+LiPdunouIhBhFZHfnekzhoONYX71qFZHWWtd1 + 1mkfY3TO7SVeqy24oB8eAAAAAAAAOEZ0twEAAAAAAAAAAAAAAOAeWNk10rwAAAAAHI2KiIjzvqmK + qoiIC03tB05zu3b1RdM4DsMwjmPN01/8X/9CzvM73/m4OBn6bhxnS8K+kfF5658mKiJiRSvshyVn + EXHivQu1FftzRlVba1ZmWlX5G+cBZ5m4tm3h1rIXvm6sMAqO5vz8+7I+jXva+fw0fr97upDLyv7Z + WtLAkvpsVYouNLuWeZ7t29O8InsyRSSlZL8Zz+FHX63V/huQc15SzFtrFh1RSokxttacc+fzHy8A + AAAAAAAAADg/bDThpjGFQ8ZHbCRFVZfRuv2xGXYcG784h4MsR2ADRva1tXaXF2V72qjNPT0QAM6D + mwajl4/Bs20VnHvBVBknziYHAgAAAACAU2P9I977nHOMXkRqrTFGVc21qFd1TryvuYrzj779nb/9 + O/+hqYhInm/8UV/zjVVaJU92KOtMFpEYYyk3/tif51nEi4hzoTXxPuacU/Ky1wNPXw0AAAAAAABw + EpjrCQAAAAAAAAAAAAAAAAAAAAA4F5xz8zyXUvquW61WpcwPPXTl2/7St/7QD/1g9DJOs4i01mqt + Ij6EdK/H77rOCl4smdaWinrsFwIAAI5dCMF+ay+JETln730IYQlFWIpb2VcAAAAAAAAAAAAcgc3f + sKEZ772qHp4hGkKwaRiyL1aETGsA55A7mIiklJbx6OVjEAAAAAAAACKyXq83Nzedc7VWEbEulK7r + WmvOOXEhpf7vvvvdn/lX/zrnKiIift/tBVprpZQQQkqplLK1tWV3Wq/yPM8xxtaaqlqaNQAAAAAA + AIATxXRPAAAAAAAAAAAAAAAAAAAAAMC54JxLKTnndnd3a6211u3t7dVq9epXv/od73i7czIMne3Z + 972VwHieiughdzSRVkqxYhZWTLm1FmPMOZ/sVQEAgOPQWpvnubXWdZ1zbpqmlJIVq/Lee+9rrVa4 + ahzHGONZtxcAAAAAAAAAAOACs/iQUopFkthwzEE72zCNpZjYlIybJ3UAwPmgB3DO2YdYKcU5ZwPT + fJQBAAAAAADI3hq91HW5lN3dMcbOpvTnnMdxdC601lprTzzxxC/90i+V0qyX+BBd14lIrTXn3Pf9 + 9va27PXb1FotKjvGaKc4jSsEAAAAAAAAHmzEWgMAAAAAAAAAAAAAAAAAAAAAzoUljdLKg25sbNRa + d3Z2cs7f+Z3f+eY3/61xmkWk67ppmg4pl3wQy7T23rfWRMRKkdqdAADgnPPed13nvd/Z2RGRvu9F + xMqLi8g8zyEE2x6GgfLiAAAAAAAAAAAAR5ZzjjGqaoyx1mojL5ZvfVsxxtaejypR1RCCzc0AgHPF + H6C1trGxIfsmmO3s7NwxgQkAAAAAAODBEWOc5znGmFIax1FV+77f2rzqvZ+n8slP/sr73//j01hD + cNZFvO+hN/cVz/NsBxSRaZqcc5ZpHWMMIdRal2WD9M8AAAAAAAAApyCedQMAAAAAAAAAAAAAAAAA + AAAAABARiTFO05RSGoahtpZzDsGtVqvctBZ94/e+YXd758mP/uw8z9571b24Sr013/r5ahcqIiJO + RERyziJi9ZRzzlZDudZKsjUAAOdfrTXnPAzDxsaGVa3KOVu49TzPXdeJyDRN3vuUEuWrAAAAAAAA + AAAAjiylVEqxWRw2HCMiNkBz2/0t0zrnnFKqtdp8jCV3BADOj9ZuTlEyIYTd3d1aa2stxlhr3dzc + VFXn3Cm3EAAAAAAA4HyqtTrnUt9P86ziQ+x2d3e9b6FL/+k//d7b3/7Ovd1URGPsRIqI3JppbSy+ + 2gKta62lFO+9dUHbWgDrZKafGQAAAAAAADgFdMMBAAAAAAAAAAAAAAAAAAAAAM6FGKOVn3DOlVJy + zq21nLP3XqV2XXrsscde85rXiEhrTVXl9tWSDzu+bahq3/dL8uVxXwcAADh+IYRhGHLOVjfce9/3 + fSlFRLquq7WKSN/3KaVpmg7KVAAAAAAAAAAAAMAd5ZxjjK01y7S2gRiLGLkty7HePwFjCSABgAvB + PuhCCCkl55wlW591owAAAAAAAM4X730pZZ7nYRhaExHfDRv/4T/+7nd91+ti9KpiIdRXrjxk8/xv + K8bonKu16h7rjfHeO+e89zlnW/Q3z3Nrt0/FBgAAAAAAAHCMiLUGAAAAAAAAAAAAAACd6swMAAAg + AElEQVQAAAAAAJwL0zSllJpqqbXruo2NjWEYaq07z13fHFbjOOY8v/3Rt772NX/diXRdJyKit50V + 72+aLa8iKlJKsUrKIlJrXa1WVvziFC4NAADcJ1W1OIRpmizZWkRCCDnn1lprzX6nL/kKAAAAAAAA + AAAAOJoQgog452yAxr61r7e1jNQsuzEZA8D5pAfIOavqPM/LPs65ZWAaAAAAAADgweFEbtsnYr0l + 3kfv4zyXcZw3tq5+8YtffNvbHn3u2d15atokhCQi169fv31/shMRKaV4723Ov63ys47o1prFXaeU + +r4vpXRdR/8MAAAAAAAAcAqItQYAAAAAAAAAAAAAAAAAAAAAnAuqGmNcih2P4zjPc4xxc3NzmqYY + Ywih67rHH3/81a/+K3me7/X4VttCRLz3Vnw5hEB5CwAALgTnXAghxrixseH2eO+7ruv7PqW0pCOU + Uvj9DgAAAAAAAAAAcGTee0sQsW0RsUyRQ/a3kBKblSEilgh7Ko0FgGMQYxzHses62fsEs3Drs24X + AAAAAADAeaGqOWdVTSl5Hzc2Nr785S+/8Y1v+v9+/0si4r2ISM7Z+ooP6U8WEe/9NE3WCz3Ps60L + 8N7bw6dpEpEYo/3oNK4NAAAAAAAAeLDFs24AAAAAAAAAAAAAAAAALhKrzmMboqKqrAMDAAAAcFxC + CKWUpSCylbFQVedarTmEFHyY5zml9Pf+3nvW6/WnfuXXRNre3yk+xuicyznvHc+LiMjzVTCWSqNL + aQwrQrrUYlbVF56Xv3guuVqrlT6xl9veDCGEWquFrJdSRMTut3cmAOBstdZuLR1uH9f2Qe295zc4 + AAAAAAAAAADAfbKRl2XY5S5HzG3Gxd3vfwTLbHYc2ZLXu39exFk36sws1877CsMw2IZ9gi0faAAA + AAAAAA8U732ttalaJ8lSXSSE0FrLrSYfxbvt3fXb3vbo7/7u79mjlhjrWquIWGdbSinnJiJ9n6Yp + i0pKIee6dFGKSNd1y6lTSiLS9719u/9HAAAAAAAAAE4ONZUAAAAAAAAAAAAAAAAAAAAAAOeaJQ07 + 56yW7nq9vnbt2t//+z/4F/+3vyAiMXoRWa36UkrO2QpY3JOu6+zIS6Z1KeVBrtj7gJjnOYTgvc85 + xxgt1Hx5//R9X0rpus6C0sm0BgAAAAAAAAAAAADgrFgUinOutSYM4t8fVbX4XlW16THTNJ11owAA + AAAAAACcC9YH626Rc97Y2HISLI767W9/x2c/+38fcpyUkq3YEpFpysPQiUjOte/7eZ7tFLXWUso4 + jqdxYQAAAAAAAAAOEM+6AQAAAAAAAAAAAAAAAAAAAAAAiIioWNHh9vxdrolIa817ryqt1WHYKMU/ + 89RXrl279qM/+kM7O9f/9f/5f6UU1uu1iAzDxu0rWbgbJ7itUv47e3cfa9l634X99zzPWmvvc2bm + XtluSNP0JUVJ05IWRSgWxQihRmqSIlXlj6QhJlAgbu3YTo2bhObNdUIDDRF5wdjgGMfGTltRsAQE + mqZN/khLGzUqjZAbBSFKCeSFEBRyfWfOnL3Xy/P0j2dme2zfGd97fe+cmTufj7a21lln7XXWOuto + b51nPb/fdx3HsbXWM61rrcMwvLiEbB4j0zTVWnt2df8b6MnoEZFz7h1S+vqLi4uzszNNsQEAAAAA + AADgSvQZHdu2tdZOYcy8aOu6DsPQn7dt2+12V31EAAAAAMAjodUUkXJu6RNL8bZIN29fjMOutfYn + /ps/+b/8xE+s231K9SIiopfm9WTrdV3XtaaUWmvH47E/73a7PvA7DINRXwAAAAC4QnoqAQAAAAAA + AAAAAADwSOtNK4ZhGIbh9u3bpZSU0uXFzWvXz77/+7/vda97bU+/nqbpcLj9Ivbf46tzzrXWiGit + nVbyijdNU0Rs23b79u2ect1aW5al1tqbpKzreu3aNZnWAAAAAAAAAHAlWmvbttVaSym11p5u0md3 + 8CL0IJmISClt21ZK2bbtqg8KAAAAAHhUnMZga629wKq1dnZ21quu/spf+cgHP/ChZdmGYXpw3s2y + LBGxrmt/7sVZfbe73e60/23bZFoDAAAAwBXSVgkAAAAAAAAAAAAAgEdPqj2sOiJKKRGxzIdxyNG2 + bZ3Pz3bXr5/fvn3rqaev/4X3vfff+aIvihTzPEfEJ6cPp4hP19ei98hIKQ3D0Nv11lr7Sl7ZetOT + ZVlyzr1lc/9ji4jeGKWHqUfE4XC4wuMEAAAAAAAAgCdWSqmU0iNP+nMPt268KOM49iiaUkqfJpFz + rrVe8WUGAAAAAB4BKaVTrPXpy5TS8Xg8Ozv7qf/tf/2Wb3tHRJRS1nXtVVfPKefcX1hKSankPGxb + O+3ztE3O+VTMBQAAAABcCbHWAAAAAAAAAAAAAAA80tZ1naZpWZZt287OzlJKl5eXN2/e3I/TNA0R + 9S9+6ANf/MVfFCmmaXgRbXZPHTRaa7vd7ng85pzHcXypz4NHS2utt0E59Wte1zUi1nXNd0XEzZs3 + I2K/31/t0QIAAAAAAADAk6lPBen39HvqSZd4US4vL0851sfjcVmWlJJYawAAAADgk6SUSim9wKq1 + 9vM///Nvectbo0WtkVLJaVjXer/Im1rrMAwppW3bSim11lq3da2ttT4amVJqrS3Lcu+oLwAAAADw + 8A1XfQAAAAAAAAAAAAAAAPDcUouIWOfl2tn52dnZuq4Ra8+cTjlfHi7Gtrt+/fo8rz/yoQ9/9Vf/ + vp//u3//RfyUdV1LKdu2RURPzu7LKaWX9Gx4tNRae1eUnHNv3NzXD8NwOBzGcWytDcNw48aNZVnE + nAMAAAAAAADAleihKcMwtNZ60kl31cf1uDo7O5vneZqmPi+iz50YBn0pAQAAAICIiNZapIi7tXW1 + 1nVdf/FX/skb3vCGw2GNiLOzs8vL4/0CrU+WZcl56AO88zyniF7AVUo5HA77/b7W2iu2lG4BAAAA + wBX6NCN9AAAAAAAAAAAAAABwta5fv37z5s11XXv8cCklpbRt27Vr1yLi9u3bOcc4lQ9/+ENf8Pmf + 19tlRNRINVJ9Pvvve4uIvv/WWv8RL+tJceVKKRGRc+5tr09r1nXd7/ellGEY1nWNiGEYan1ef0sA + AAAAAAAAwEtu27Z+c39d1z51JO4moPBCbds2TdPxeEwplVL6hBzzIgAAAACAiOgVea21iGittZbW + tR6Py9e/6S3/9FefyTki4vLyspQylCHivuOKKaVekFVrPRwOpZQWUUpqrfXSrYgopczz3FqTaQ0A + AAAAV0isNQAAAAAAAAAAL0AvP+uNwGqtOZt/AgAAvITqx5tZtBwtt8gt8rxsw7hLeWiRI5V1a7W3 + Kl5qqul8ty+RhhSvevXTH/zgBz7vX/vcSJFLRItod3aWS4n7x1T3/3QiotZT042U0p1/fO591sP3 + FSnd/dvoC8MwnL7Vl1NK/v8FAAAAAAAA4Mkxz3NfOB6PEbEsy4O37xMqThMwtm17aY+nlPJJ9/TT + /eeB8GC9FmC32/Uv+6/UvAgAAAAAeEWqqX7S41O3SR9/1Na2UmJray5l29o07S+P6x/6I//p3//7 + vxAR9e7Q77Ytaz1Eqind6UByGmPsCz2+OqK2tkXUnCOlaK31uOvTj56myWAvAAAAAFwt0wcBAAAA + AAAAAAAAAHgstdZ6p911XSNim4//yr/6ue//4fd93ud9bq2RcgxDjoiUc11byeML3X9Kqda6rmvO + udaac845f9pOzQAAAAAAAAAAj6/W2jRNfTLGbrfbtm0cx1pru7+IqLX29JFaaynlFHENAAAAAMDj + YhyHbdsiYtu2s7Ozjz3z7Ld923f87M9+9H7b96TqbdtqrT2vupRySqrOOW/bZsQYAAAAAB5lYq0B + AAAAAAAAAAAAAHgs9c7Ive3Fbrc7Ozs7HA6f//mf/773/tC/+Jte3Vqsa40WQy7jNG3r+kL2XSNq + KeXs7GwYhp5p3X/QOL7geGwAAAAAAAAAgMdFa22e52EYjsfjsiw9cSTnnO6jfzfn3F+bc57n+RRb + AgAAAADAVcv3PB7kuMxr3fb7fc754uLiB37gB37sx348RbrfHvoQ8Wm5lLIsS2ttHMfTWHFf0zd4 + mU4PAAAAAHjRxFoDAAAAAAAAAAAAAPBYSinVWksp4zheXl5GRGvtcDh8wRd8wYc+9KHP/uzXRIph + yMuyLPP8Iva/bdu6rqfmGqWUl/gEAAAAAAAAAAAeMTnnaZpqrbvdbhzHWmtrrT8/p55uUmtd17Vv + OU3Tuq5XfR4AAAAAAHz6KOt7Ny5l2O/PDpdzRP7Qh37kAx/88DQNLdr9XtBaK6UMwxARy7Js23bv + cikl59yf+wDyS3BCAAAAAMBLSqw1AAAAAAAAAAAAAACPpWEYWmvH47H3UJ7neZqGs7Pd5eHiC7/w + C3/4h3/4Na95el1rzhFRI+rd1+VPeTy3U45176YREdu2Lcvysp4UAAAAAAAAAMAVqrVGRM45IrZt + yznnnNP9bdt27dq1nPMwDH3jw+FwmmsBAAAAAMCjILfIz5Ur3e551C1uXxymafobf+NvfM/3fn8e + Yp7XT91TRL7zgoht29Z13e12d/bWWn9urfVavL5mGIaU0st3dgAAAADAiyPWGgAAAAAAAAAAAACA + x9K2bdM0Lcuyruv5+XnOeZ7ny8vLGzduzMvhN//mf/2DH/zgjRvXao1pml7E/mutKaXepjmldHl5 + OY6jtssAAAAAAAAAwCvYtm19odZaSumRJA+IGyml9I23bWutreu63+8f0rECAAAAAPAg+e7j+W2d + 8zjufvqn/89v+7bviIh1jYgYyn3r6frQ8TAMx+OxL8Td4eKUUillHMc+znwaeQYAAAAAHilirQEA + AAAAAAAAAAAAeCzN8zwMw/n5+bqu8zy31nbDeL7bX15eppR24/Rv/5bf8sEPvP+pG2fLPJfU588/ + 5yz6+/bmaK3VWiOilPL0009fXFw8oE0zAAAAAAAAAMDjbhzHnk6dc57nuZSSHmgcx5RSzjkiUko9 + tkRCCQAAAADAlcvt9Hhe+dZLbf/oF3/5jV//lovLtVfRpUjrVltE+5R9R+TWWillXddhGEopEfHM + M8/0EeM+Stxau7y8LKWUUm7fvv1ynCMAAAAA8JkQaw0AAAAAAAAAAAAAwGPp7Ozs1q1bvflFRKSU + WmvH47GUUmvNOS/L8iVf8iXvfve79/uhRX2h+z/teZqmbduWZbl27dq6ri/9mQAAAAAAAAAAPBqW + ZemhI+u6TtMUEX0axv22X9d1t9sty9K36TMr+owLAAAAAACu1KePsr534489c/Mrv/Krjse5lKg1 + pnH6tC+vtaaU1nWttR4Oh+vXr/f1pZR5nlNKZ2dnh8MhIs7Pz1/8eQAAAAAALw+x1gAAAAAAAAAA + vDApRbqrtXbVhwMAADy5tm0bhiEiWms55/4fSs65RJtKnpfDtBsuL2+/7nW/433v+6HeLXm3GyNq + ztE7KX9iD+VPbtKRUtq2LaU0z3POeRiGlNIwDNu29R/af2JrrdYXnJnNI+V0NSOiX81a671r/P8L + 8Cjon7ntudy7WUrpqo4QAAAAAADgFWAcx4joMyVOax48NeJ4PI7j2G/TDMPgJjvwaEoPdO+W3scA + AACAV6IacWekt4+HTNM0z/Nud7Ztrda4vLz8mq95/eXlcZ7rtkXJZV7mGq3dnaXfn/sY8qkur1f2 + RUQvxIuIdV37wjRNfZv9fv+wzxUAAAAAeH7EWgMAAAAAAAAAAAAA8Ioyz3Nvh5FzLqUMw/Da1772 + Xe/6M9M0HI/HUlKttdY1IrZt6300ntOpOWnOuda6rmtEpJRKKcfjsTfvOEVp9qxrHkenq9l7cOec + j8djzjmltK7ruq6n0HQAAAAAAAAAAAAAAACAx9qyLK2127dvn5+f37p1a7/fj+P4hv/sTb/0S79y + eXmMiJRiq1vcDcBurV27du3eAqtTMd35+fm2bRcXFz3KOiKGYXjoJwQAAAAAvEhirQEAAAAAAAAA + AAAAeEzlT54Vn2qkOk6lDCnVbT0ectTj5cV+Gr78y7/8T33vnxzG2Gq7du0sIiLqNE3LsjzgB6SU + eqZ1KSUipmkahiGltNvt5nnetq3W2rfpG/AY6SHW27btdrvTReydVm7cuJFS6g1ZhmFY1/XUVwUA + AAAAAAAAAAAAAADgsZRqpHp+fr6uaynjutbz609dXB7f9vZv/Jmf+b+Px6VF5FIi5RbRIlJqrW05 + x8XFzVJSRF2W4zQNpaScIyJu374dEefn573Obl3XKz5BAAAAAOCFEGsNAAAAAAAAAAAAAMArSmut + tRYR27aN47jb7Y7HY4vt9/5H/+F3f/d/nXPMy6FvOc+HB8QVj+PYWtu27bSreZ57Z42U0jRNEdGD + kI/HY9+Mx0jOOSJKKa21/hwRKaVhGHrYee+l0lobhqF/FwAAAAAAAAAAAAAAAOAxUSPq3YV71taa + c+6FVBcXF+95z5/763/9x1KKSKmXXJ0qre59VV+52+3med62re8k59xa69VYfYe1fsLPAgAAAAAe + ZWKtAQAAAAAAAAAAAAB4/KUa6U7Di3Vdt20bp1KGVOuacwxDTqnN8+Grv/qrvvM7v31ZWhkipR5p + fN846mVZenb1MAx9t2dnZ70ZR0oppVRKubi4iIjdbldKeRinyUunN0zpfVJ6KnkPt17XtV/NWmtr + rW/QG7IAAAAAAAAAAAAAAAAAPBZSu/u48/Wd+ruLi4vdbre2+uyt23/zb/6P73nPeyOi1ZRSqrVu + 29YTrFtrrdWIqLVO01BrLSUdj8dxLBGRc+Sc53mOiHEce751a00dFgAAAAA8RgznAQAAAAAAAAAA + AADwijKOY2+ckVKa5/lwONRa13Xd7XaXh4vf/7Vf8x3f8c3bFi2i1vtmWnd9P9u2Xb9+vbV2eXl5 + b2eNlNK1a9ciYlmWdV1f5tPiJdaDyfvV7M/9cu/3+55yvW3bOI6llN5dBQAAAAAAAAAAAAAAAOAx + 09Os72ZaR8S1a9eWZUkp/e2//be/9Vu/tbU762u9s00ppS+01uOrY57XlGLbWkQsy52ivMPh0Muy + aq2ttZTSwzkhAAAAAOClItYaAAAAAAAAAAAAAIBXmpRS76yx24/jVMZxTClt21LrWkr5uq/7uje+ + 8Y9ExKnjxnMahmFZlmmaWmu3bt3qux2GoXfZmKaplNJ7bYzjOAzDQzkzXnoppVprSqlfzcPhsNvt + ImIYhnVd13XtfwNXfZgAAAAAAAAAAAAAAAAAz1uq96ZZnyzLsm3bRz/60be97W3rGhGxm3YtUkop + UqSSI388oLrW6GnXrUUpqZQUEbvduG3bqbyuF2dFxLqu6rAAAAAA4DEi1hoAAAAAAAAAAAAAgFeU + nkOcUtq2rXfBSCml2lprZ2dnFzdv1bZ+y3/5zW996xvjTnuNes/jE/ZziseOiGEYWmvrukZEKWWe + 55xz33lEaLfxOOpXcF3XcRxba9u2pZRKKcfjcRzH3kVlGIa+/qoPFgAAAAAAAAAAAAAAAOD5+aRA + 65ZPj2GYfuEXf+lt//nbn332MiKmcTrOx5Tv5Ne0Wrd1jYiUIt+TaVNK2rbWy+gOh0NrrdYaEdu2 + DcPQl8dxVIcFAAAAAI8RsdYAAAAAAAAAALwwrcUwDOu65mzyCQAAcLXuCaK+p61GyeMybylKTkOr + qdW0bVvOuUSJms6mXV23ti7f/E3/xR/6g68fSuQSkWIYckREikiRSo7IEbm11NqdXhs90DoiUoqe + c7wsS87DMEwpjT1FOyJO258ac/CIK6WcLm4Pt457LnfcTb8G4Gpt29Y/YZdlOa2std77vl1r7dsA + AAAAAAAAAAAAAMArTaoff9yR73l84jbRUmrrOuch1TuVbrnGUGP41V/79W946x/9J//k11pEizgu + c4tobWutpUj9ERGlDKfyuFJKSiWlVGuvwGoplV51VUoJFVgAAAAA8HgyrgcAAAAAAAAAAAAAwBNh + 27a2bhEx5tJaO1zc+q4//s6v/KrfW2vkHOtWI2J/dhYR0XK6fx+NU1xmzrnWuq41IlIqpZTj8ZhS + SunjYdg9axMA+AyVUlJKEXH6kF2WJedcSqm19gZYOeeeb92fAQAAAAAAAAAAAADgidRL4FopOaWU + cy5lnOd5GMbbty/f9ra3/72/9w+2GjnnO3HUObVowzC01sZx7JP213VNKQ3DMI7jtm3ruvb5/Crm + AAAAAOAVQ6w1AAAAAAAAAAAAAABPhJzzsiz7/X5d12maSinzPH/Xd33XH/za39e22O+HiDjcvowW + 0zS1Wh+wq5TuZFqXUiLqNE7DMKRUdrvdPM/bttVa7/b7KA/r/ADglazWOs9zrXWappTS8Xgcx7HW + 2lrrjbS2bev9sw6HwzAMV328AAAAAAAAAAAAAADwcNSI56iGqxFrretSLy8v9/t9KeXy8vId73jH + z/zM/9XDrGuttdaISClFSuu6juM4z3NK6fr16xHRWlvXdVmWPks/59zn8JdS1nV9qKcIAAAAALwM + xFoDAAAAAAAAAAAAAPBEyDmfnZ1dXl621i4vL69fv37r1q3r169/y7d8y+/5Pf/+uq6RIiLGcTwe + Dg/YzziW1mLbtojYtm0cx3k5rOsaUVNK0zRFRCmlJ272zQCAz1DOeZqmnPPFxUVE7Ha7iGitpZQi + Yp7n/uEbEfv93ucvAAAAAAAAAAAAAABPsBQRrbUeR/3UU089++yz+/35937v9/7oj/5Y3J2Tn1Ia + hiHn3GqN1vqaiCil3Lp1K6V0dnbW16zrmlI6HA4ppdZaRPQ9AwAAAACPNbHWAAAAAAAAAAAAAAA8 + EXrvjNZaKSXnfDweP+uzXvPrv/7PdvvxXe961+te97qIGIacc0TUiHr3dfmT5t4vyzZNQ9xpvVHX + dT4726V0p21HSqmUckrcLKU81JMEgFeobdsOh0NEnJ+ft9ZqrcfjsX/OzvM8TVNEHI/HZVkiwucv + AAAAAAAAAAAAAABPgHvr4CIiop1K4VLJY07DsmyXl8dpf/6ud7/7L/7F/7ZPt7+8PEZESmld14iI + FiWXcRzneY6IvnIYhsvLyx5inXOutfbqvG3bHtrpAQAAAAAvK7HWAAAAAAAAAAAAAAA8EXLO8zyX + UkopwzAcDodbt249/fTT67pGqu9//1/4nb/zt69rPR6XT5uF2ZtxbNt2/fr11lpvz9EDsSMipXTt + 2rWIWJblTl8PAOAzU0rZ7/fLsqSUIiLnvNvt+ufsNE29K9ZutxvH8Xg89k9qAAAAAAAAAAAAAAB4 + 4txNtl6WJeecc26t/fiP//if/tN/JqXYthjHklJ8ag1dn7Hf15dSlmWZpmkYhrgn6DqlNI5jRNRa + AwAAAAB4zIm1BgAAAAAAAAAAAADgiTCOYynlcDiklC4vL6dpevr6jYtnb+52u2VZUosP/vAP/45/ + 90tKjrptYxnuvq5GfEKLjWHIy7JN09Bau3XrVkSkFMOQa62ttWmaSik9cXMcx962AwD4DLXWaq09 + tbp/zsbdPlm11v4pHBG11t1ud6VHCgAAAAAAAAAAAAAAV6rlaHneapRha+nvfPTnvvmb/1hK0VpE + xLxstcW2bSmlU471tm1xd+p+zrl/Oc/zuq6ttePx2Hd8cXEREX2bqzo5AAAAAOClYpgPAAAAAAAA + AAAAAIAnwvF43Lbtqaeemuf57OwsIm7fvn3t2rVlWXr+Za31Ax/4wG/7bV+cc6zb+klp1ifrWlOK + ZVl7pOYw5NZiXWtElFLmee4tOXriZo/YBAA+Q71V1jAM5+fn6a6c8zRNu91uHMfTZ+66rqfcawAA + AAAAAAAAAAAAeDLduHHj1q1bv/iLv/iGN7zh4uLYJ92nFDnnHmVda00pLcsSEcMwnKbi99TqYRgi + orXWWtvv9621dV2vXbvWo6+v7KwAAAAAgJeOWGsAAAAAAAAAAF6wU2zMVR8IAADAC9DbbRyPx5xz + rbW1llLatm3MaZuPKbdpN4zj8EM/9N7f+lu/qL9kmoaISCn1Hhwnrd15RNwJtO6bbdvWG3n0th39 + X6dt2+Ju/46+oG3Ho+be/3BPeeSni+7/X4BHR631Uz9G13WNiJxzxMe7awEAAAAAAPDinKY3RESt + td+LebB+h73fx6m19pkSAI+X/ibW3/RSSqZ4AQAAAI+ilj/+uEcvahvH3fG4lDK2liJyzsN8XJ/5 + jWf/8B/+IzdvHiKilNQiavv4QO69lW7rurbWcs697K6PD/ex4l5ddSqy+9RqOwAAAADgMSXWGgAA + AAAAAAAAAACAJ9q6rj0Ic9u2WuvTTz/9oQ996Iu+6N9IKeZ5Hsext+fo24zjeL/99CYdEdFjs08d + TnuWdo+4PoVb6+D8KOhX4dSFtl/i1tp+v+8LveXK8Xi80sMEAAAAAAAAgIen3yuPiD5ZomeTtPub + 5/l4PC7LcnrhMAynSRQAj75SSimlL5/eyvpUIgAAAIDHwjiOtdZ5nl/96ldHxLIsrbXW0sXFxZve + 9PW//Mv/NCLGsWxbK6WcBkCe0zRNcbeGro/0zvP8MM4BAAAAALgKpkkBAAAAAAAAAAAAAPCEyJ88 + iz7VSLUMqbZ1Kjm3ev18f3HzY/tp+PCHP/yF/+bnR4plWXa7MaLWWq9du7YsywN+QEqpZ1r3PqfT + NA3DkFLa7XbzPPfY7L7NqREqV6hfhW3benJ5X2it3bx5s7V2OBz6yt1ud9VHCgAAAAAAAAAPT7+N + nnPeti0i5nle1/V+G4/jmHPOOfcolJzz8Xh8eMcK8Bk7zRqKeyYUXfVBAQAAALwA27aVUtZ1/djH + PrZt7fz8es7D4XD4o3/07R/9f/5ui2gRtaV2dyTkOers7uoDvPcOCw/D8PDOBAAAAAB4uMRaAwAA + AAAAAAAAAADwROtB1MfjcRiGi4uL17zmNWdnZzeeuvb+973vcz/3s1OO47xExJmcT9UAACAASURB + VDiWi4uLB+xnHMfWWm9pum3bOI6n/h0ppWmaIqKUklI6Ho86n165frF6BnmPG+8rl2XpzVZSSr2l + i4sFAAAAAAAAwJNjWZaUUg92LaUsyzJN04NjS+Z57tsfj8dlWXa7Xb8LD/BISfcxDEOfRNQ3a631 + CUVXe7QAAAAAz19KqbV2fn7ev1yWZdu2P/7df+Knfup/LyVFxG439jK3XuP2AK21PiDcc7JrrTnL + tQEAAACAVyzDfwAAAAAAAAAAAAAAPHlSjXSn8ejFxcX5+XkusW7z2dnu2WefWZZjSulz/qXP/st/ + +X/4vM/7lyOitliWLSJ2u93dXeRPmpPf+zhHRO/csa7r2dlZb9Pc+5+WcicYe7fbnbqgclX6Fel9 + VfpzD7cex7HW2rvT9svUg8+v+HABAAAAAAAA4KEYx3Ge54joGSfDMFxeXrbWHvCSaZr6S3a73TiO + EbFt20M5WIAXYLuPw+HQWluWpW+TUhLXBAAAADwGen3c3Sq5nPO6ruM4ttZaa+/+s+/5S3/pI/v9 + tG0tpXQ8LimViDzPa87DfXeZUkSs69rHhIdhOC0DAAAAAK9IpkkBAAAAAAAAAAAAAPBEOz8/Px6P + 4zgeDofLy8tr165FREptWZbP+qzXvP/973/66fPdruQSOefj8fiAXfUmHdu2Xb9+vbXW2zqfOpym + lPrOl2XprZ+5Qj2pul+Ie7urXF5ennKsj8fjsiy9U+1VHScAAAAAAAAAPGQ9uaSUcuvWrZTS2dlZ + X/Oc+j33aZq2bevLh8OhlPLQjhbgeUr3UUqptY7jeNoy5yyuCQAAAHiMtNZqrcuy1Fr3+/1HPvKR + P/vuPz9Nw+VhLqXs9/uImKZpGIa4W1R1P9M0zfN82mYYhgeMDwMAAAAAjzux1gAAAAAAAAAAAAAA + PNHWdU0pHQ6H17zmNdNuWNbjsiwRcXa2S7l9zud89l/7a39tv9/XeurZke+ZjZ9Pj2EYlmWZpqm1 + duvWrYhIKQ3DUGttrU3TVErpXTzGcexNQLhCPW58GIbWWkqp3XV2djbPcymltTYMwziOtVbXCwAA + AAAAAIAnxLqu4zgej8eU0vXr1yOitbau6/22TynVWmutfV7Esiz7/f4B2wM8avr8rr5cSokImdYA + AADA46WUMs/z2dnZtm0/+ZM/+c7/6jtzinleSylb3S4Pl6nk4/G4ruuDi6RSSs8+++w4jjnnXknX + 660e1nkAAAAAAA+bWGsAAAAAAAAAAAAAAJ5ovRnHNE0f+9jH5nnumdOptmVZWmu7afqcz/ns/+5H + fuTVr34qIkpJEfXu4xP0eOxlWXp2dc9L7m2ae2eQnqPcv6udx6Ng27aead2vXW9Ku23bNE29PXcp + ZV3XUx8WAAAAAAAAAHjF6xMepmnqcxv6RIgHJJ1s23a6sV5r7Vs+OBkF4JGScz5NHOpr+pyiKz0o + AAAAgOet5Vrrfn9+PC7/8B/+oze98evnLfpwRx/mHcYx7ha19WK3+zkej7vdLiJqrTnn1lopxTgJ + AAAAALyCibUGAAAAAAAAAOD5OhWbpZRSSjK9AACAx809WdQtnx51i/48DruSx3Wp/d+fklqOVqKV + nP+tL/yC97/vfU/d2Net5RwRMQw5pRapRm65lIiIyK2l/oh7enyklLZt64nXOedhGPp/Vf27p83W + dfV/1kN26qty6qZ9CrfuHVhO3+qR5AAAAAAAAADwJEh3RcQ4jg/euN9nP91eF3ACPL7KnWlgJgsB + AAAAj6htnaexRNtS1GXeUpRoOSLXGGrkf/xLv/z6r/1PDkuNlI7r1iJqrdFinZe21da2u+V1Nec4 + 1dmN49jHdVtrpxqrPjxivBcAAAAAXvHMlAIAAAAAAAAAAAAAgOdQa02tRcSQIiK+5Ld98fvf//6n + njqrLcYprWtNqZVhiNbqtt1Ntn4OrbW+kHOutfYc697U4+bNm8MwLMuybdswDNqhAgAAAAAAAAAA + AAAAAPCpzs/P5/mYUtq27ezsrLW2ba3WSCn/2q/9sze/+a3//J8/0yIicspDSvetd6u1RsQwDGdn + Z8uytLse2okAAAAAAI8IHa8AAAAAAAAAAAAAAOA5lFJ6+PQ8z+M4zvP82te+9gd+4Aeeun62zq2U + 1FpsyzqNU6Ra6xJR73l8gpRSz7QupUTENE3DMLTWbty4cTwex3Hs6+d5fvinCQAAAAAAAAAAAAAA + AMAj7tatW+M49WK3bduWZbl+/XovW3vzm9/8//6Df5BLiojWtpTSp42pXtf18vIy59y3FGsNAAAA + AE8gsdYAAAAAAAAAAAAAAPAcWmuHw2Ecx2majsdjRGzb9qVf+qXf933fN0251pZz6isjpUjpfvsZ + x7G1tm1b37gnZK/r2lt+7Ha71tq6rhExTdPDOjkAAAAAAAAAAAAAAAAAHhu73W5d191uV2vd7XbD + MF1cXG7b9k3f9E0/93M/t65129put/u0+zlVsfX06146l7P8GgAAAAB44hgWBAAAAAAAAAAAAACA + 57DM27XzG4fDIaUUEdM0TdN069atr/iKr/jBH/zBFrHVNgx527ZpGKO2++5nWXqnj2EYImJd17Oz + s5TSMAyllIhorZVSeu41AAAAAAAAAAAAAAAAAHySaXd2eZiHcbfVuHnzIudcI/7093//j/7oj81z + 7bHUx+NxHMcHl6rN8xwR0zS11npC9rIstdaHcxYAAAAAwKNDrDUAAAAAAAAAAAAAADyHnPM0Teu6 + zvN8dnZ2cXFx+/btp5566tlnn/2yL/uyP/fn3pVSrGsdx9IbeTxAay0itm27fv16a+3y8rK1tq5r + ay2llHNOKZVS+sqHcnIAAAAAAAAAAAAAAAAAPDZu3bq12+16Lds4jjnnj3zkI+973wdLiZyjtRQR + 5+fny7JERM73zaM5Pz/vC72WrbXWWnvA9gAAAADAK5VhQQAAAAAAAAAAAAAAeA4552eeeWa3203T + 1JOt99NwuH1rt5uGofwHX/4V3/Mnv7vkWJctReR03/0Mw7AsyzRNrbVbt25FREppGIaeZh13W4Qc + j8da6zAMD+v8AAAAAAAAAAAAAAAAAHg8lDKO4y7nYdvatD//n/7nn/j2b//OUmLborVorUXE7du3 + e+J1rfV++7l9+3Yp5Zlnniml1FpTSr327SGeCgAAAADwSBBrDQAAAAAAAAAAAAAAzyHnfHZ2Ns9z + KWVZlm3bcs6llNZarfV4PH7lV37lO9/5jojID5ybv65rSmlZlh5iPQxDa21d11pra623/Mg573a7 + B/QKAQAAAAAAAAAAAAAAAOCJlXPeti0ihmH46Z/+6be//e05x7ZFztFalFJyzhFRa31wndowDOu6 + np2d1Vpzzj3Qute+AQAAAABPFLHWAAAAAAAAAAA8X3dL0SI/OLENAADgFaH37xiGYVmWcSwptR5Q + naPmqClFrdsf+ANf+63f+k21Ril3XpVz9A4e41gi7iy3uyJiXdd7f0rvJJJSSimN49i3uXezHoD9 + ME74CXa6OhHRf9s9dPy05rQMwBVqrfX35E9172Z6aQEAAAAAAHwmTrMUnv+98m3b+vyH0x12Ux2A + x0h/yzJB6LHgMgEAAPBESSkNw3A4HPqXrbWlbi2Vlsr/9wv/+M1vfsuybH0stj9v29YHOrZti6gR + NSJyzn2O/dnZ2Wm3y7L05d48xCR8AAAAAHhi6S8MAAAAAAAAAAAAAAAvQM5527YeQd1ae/3rX//H + /tjb1zVyufPd1qKUtK5bKZ++o8e2baWU3vtjv9/3hZs3b/Y47W3bhmHo/UF4mRyPxx4r3vu25JyP + x2Pv2LKu67quOWftUAEAAAAAAAB4QvR5ERGRUrp169Y9ISjPrc98KKUcDofT/XdTHYDHSM55nueU + Umut1tqToh7wvgcAAADwcmut9UDreZ5f9apX9ZGKUsahTPM8/+qv/uob3/jGX//1j21b7Hblwbuq + tfaCtcvLy4gYhsG4BwAAAABwYronAAAAAAAAAAAAAAA8TzWizvNcShly7MZS1/k3/Quv/v1f89Xf + 8A1vqjXGKa1bjRTjOLYW2/agNOTewTmltG1b7wZyPB5LKa21GzduHI/HcRxLKRExz/PDOsEnyKnv + 9m63SynlnHu+eErpxo0bKaX9fj+O4zAM67r27i0AAAAAAAAA8IrXWut3ybdtu379es651tonMDz4 + Vfv9vs+CkGkNPJrafWzbNk3TaY7Qfr8/HA6f9n0PAAAA4OWzLEtK6fz8POd8eXmZc+4p17XW47K8 + 5Ru+4Rf+8S+1iBYxL1tfuF8R2ziOfYy3j9wuy/IwTwQAAAAAeMSZ8QkAAAAAAAAAAAAAAC/Afr+P + iGeffbbWOgzDb/zGb7zqVa96y1u//uvf+HXL0iIipTgc5ogoJT2gUXOtdZqm1lpvgTqOY1+fc26t + 7Xa71tq6rhExTdPLf1pPnN6KpeeI9+eISCkNw9D7s9RaU0qttWEYHpRPDgAAAAAAAACvICmlPnUh + IpZlOR6PfU26j2EY+qv6y4/HY0S4zw48gu73Ptbf9Pq7Wc651rrf772PAQAAAFfo/Pz8eDxu25ZS + WpZlHMd1XYfdtCzLN37jN/7sz360j8jmHLXGOJYH7KrXSW3bVms91U89lJMAAAAAAB4DYq0BAAAA + AAAAAAAAAOAFaG1b13m3G1vbal3HsbS2DcPw9re//fWv/48jIqWIFJHibq+PT5Ujcs55nuec87Zt + u93uFKU8DEMPuu5xy9u2Pcyze3K01pZlqbVGRP8l93DrdV3777/3aukb5AfkkwMAAAAAAADAK8u6 + rimlUso4jsMwpJQeEO+acy6lHA6HdV0j4vz8vEetPMTjBfiMpJRqradgpz5TSKw1AAAAcIVaaz2I + Ouc8TdPt27dTStu2fc/3/Kmf/Imfihbb2jeLiFiWT1N9ttvt+pjtPM8v+6EDAAAAAI8VbZUAAAAA + AAAAAAAAAOAF6PnT5+fnvRtISul4PG7bMk3Tt3/7t3/N13xVrRER45hai0/b3bT3BDkej9M0lVJy + zuu6ttZSSjnn3iS6Zy2/7Cf2hOm/296F9t5etPv9vqdcb9s2jmMpRccWAAAAAAAAAJ4ctdZhGI7H + Y/+y30OPiHQfPQt2v98PwxAR8zyXUmqfPAHwKLnf+9hutxuGoc8gOk0c6l8CAAAAXIk+0Hp2drYs + S2vt/Py8tfbe9773wx/+71OK8/N9RJyf7z9t5VpETNN0PB5ba621aZoM3gIAAAAA9zJNCgAAAAAA + AAAAAAAAXoDdbtdau3379rqu026IVGtbd7vduq673fTOd77zy77sS3OOdW05xwO6m9Zar1271huh + juM4z/O2bbXWnmYdd7OWj8djbxj9sM7vidNbbPcetRFxOBx2u11EDMOwruu6rtM0tefT5QUAAAAA + AAAAHn8551rrbrertS7LMk1TX9/uo99tj4iLi4u4e7ddHCzwGJnneRiGeZ5TSqWUnhp11QcFAAAA + PNH2+31EHI/HPmSxrutf/at/9Qd/8M9HREr59u3Dfr+/ffsQEWdnZw/e1TzPvTaqV7EBAAAAANzL + dE8AAAAAAAAAAAAAAHgB1nVtrY3jOE3TPM8RcX5+fri4nXJb13ks5T3vefe/97t/V0TUFqfezZ8q + pXRxcXHvBr0daq21tdbbheSce5/ol/+0nkS9ifa6ruM49uYsvTXt8Xgcx7Ff6GEY+vqrPlgAAAAA + AAAAeKhyzuM4Hg6HiAfNfzglW/f0lJzzMAwP7SABXhK11mmaerbTNE3Lslz1EQEAAABPtOPxmFKq + td648XRr6W/9rf/jHe94Z4poEbXWnPPhcJimqZRyeXnZS9KeUy+eury8jIhSyjzPOefW2sM7EwAA + AADg0SbWGgAAAAAAAAAAAAAAXphSSq1127aSx1bTMm/jOLZ1mcYy5Ii2/dCff8/v/l2vKymitZQj + UvT2znkokVOklEtpLUXk/rwsW0TufVG7bVsiIqWWUhrH0tqdb63reloQd/2SKKWcfqs93Dru+T3H + 3QYuAFytbdt686x7u4f3j+O4+75da9VgCwAAAAAA4DN3743y/X4fEQ++C9O/e3qVWzbAY6dPxDpF + QA3DcKWHwydIfe4dAAAAvBJt2zYMQ2uttZZyi1TvDLSWvLaax2lr6e989Ofe9Oa3Xh7j9C9yH8qY + 57lPp+/POedSSn95H+XowdittVprf8k4jldymgAAAADAI0tnJQAAAAAAAAAAAAAAeAnkEnWd13Ue + UkTEn/0zP/Dbf/uX1BqtRSl3+oaklIZhiNbqPQnWz2nbWimpd+Tc7/d94ebNm8MwLMvSW5aIWwbg + yVFK6Z+GvWNXRCzL0htv1XqndVfOuedb92cAAAAAAAAAAAAAAHhk9UqxiCiltNa2bev50znn1lK0 + /Cu/8itvectbI9JuN9b6oF3VWk8v37b/n717j7Vtu+vDPh7zsfbZ51zbqSBAlISqNDSvokoVUdXm + ryhS+0//Sf5ASlRc3n4Eh+RCykuGKCKFEkgoYBvbUPMIjauUiKRBCENiURq1SRubR5pHTZMKsAlg + 3+t7z95rPsYY/WP4LB+u7zn2vZx79j7nfD66Wppr7jnnGnMda06vMcf4fcs4jqex930aWr2zfzzl + YwMAAAAATzwVrAAAAAAAAAAAAAAA4AFIKW3bNk1TKWWe56eeeuqd73zn53/+f5RjqHvIOYcQyrbv + 6zYdxmHKv33vGkI9HSeEEGMspZXSQgjLsvXSJLdu3VqWZRzHfrR1XR/uKQLAlam1rutaa52mKcbY + b4i11tZaSimlVEpprY3jeDweh2G46vYCAAAAAAAAAAAAAMD99JHwIYRaa6txHOaWYshpWbazs7MP + f/jDr33taz/0od9c131Ztnma73Wcw+HQjxZj7APst21rre373ieglVJ6snUPugYAAAAA6MRaAwAA + AAAAAAAAAADAAxBjHMexlNJjNUsp67q+7W1v+6N/9A+HELatjGPuZUHWZdm37V7H6YGdrbWeXT2O + HwvATim11uZ57iVFQgjTND2UMwOAq5dSmqYppXT79u0QwjzPIYTWWowxhLCua865Lx8Oh17YCwAA + AAAAAAAAAAAArq1933POOedaa1+zbdu+7/M8L8vy+te//pd/+V+HEGoNMcQ+oexFHY/H0LOxW+sL + fc0wDNM0bdvW56n1Uffbvee1AQAAAABPGrHWAAAAAAAAAAAAAADwAGxryWk8HA6ttXVdQwivec1r + ftfv+l3veMc7/vAf/twQw7aXWmutNbQw5OHOfjWEevdxUkrruqaUSinzPG9bCSHUGoZh6AVEeuK1 + wE4AniillF5X68aNG621WuuyLP3OuK7rNE0hhGVZeoGtvh4AAAAAAAAAAAAAAK6tWuswDCGEGGNK + ad/3WsM4zq3Gr/rzf/Ef/+P3DUMOIeSUYx722u5zqHmeQwjDMPSE7Hmee8r15eVl/4ieir2u6ziO + D+HUAAAAAIBHglhrAAAAAAAAAAAAAAB4AMZxLKU8++yzN2/eHIYhpXR5ebksy2d8xme89a1v/UN/ + 6A+EEKap1xnpdUDqfY4WYwwhLMsyTVPOMaWw73trrdcoiTHmnFtrvZ4IADz2cs6Hw2Hbtn6LTCnN + 89zvg9M0lVJCCPM8j+O4LEtr9yvXBQAAAAAAAAAAAAAAV24Yhtbauq79bc75/Py81vqt3/qtf//v + /1RKYVlKTrnWWkrJOd/nUMuyhBBqrX3SWd8lxnh2dhZjfP755/vufUA+AAAAAEAn1hoAAAAAAAAA + AAAAAB6AZVnmeR6G4fLyctu2UsqNwxTq/swzH/nsz/793/Pff/fv/72fta17DOH8xnyn/seLJFvX + Ws/Pz3s85ziO67qW0moNPc06hJBS6h9Xax2G4eGdIQBcnV5Xq6dWnwpp5Zy3bau11lp7lHWtdZ7n + K20pAAAAAAAAAAAAAAB8cjHG1loJraW41RaH8SMffvZ//NF3v/3t/0MIodTQQiithpRDCLW+yEy0 + rkdW55xrrcuybNuWUhrHcd/3PtL+5s2bMcZlWfrKh3R6AAAAAMC1J9YaAAAAAAAAAAAAAAAegGma + Li4uhmEYhiGllFLa9z2ldOvWrWefffazP/uzf+RHfuSzPuvTcw63by/TdM/x/DHG27dvnwI7w53C + Ij2wc5qm1lpKaZ7n+9QiAYDHTIwx5zwMw40bN+IdKaVpmuZ5HsexF9sKIez7fvdtFAAAAAAAAAAA + AAAArqF1XUMI0zSN41hK2bbt53/+57/xG785hJBzDCGklFqLtdac82nM/CcqpfTX1lqM8TTAfhiG + GOO2bSGE08S0YRgeztkBAAAAANefWGsAAAAAAAAAAF6CGGNroU94kw0DAABwt1prLyDSWouxtfax + OiBlWw7TkFL8zM/8jB/4ge9/zWtelVJY1xcmUvfs6hhjLxrSX3vRkF5YpOvLPcuzVxiptfZ869Za + 3/4Fu/BJ3f0Lty/fXaLF71+A6+N017vbvu8hhJRSf+23VAAAAAAAAF6eux/H9Acx93f305lTaMor + 0jIAAAAAgEdTn6mUc962rbWWUqq1ppTikOOQW4u1hsN84//6P9/3ute9PoTQQthLC3d12PbJYqf+ + 2HEce09sSimldJoP1Q9ba727n3Ycx3BnPpr+WwAAAADgbmKtAQAAAAAAAAAAAADgFTQMQynl4uKi + 1voH/+AffNe73vWa1zx1KgCScz47Owt3ioz0NOv7KKXknHsBkcPhEGPslUf2fe9B1z3ZWqLnp+KU + Ed6//J6H2lo7HA59oX/Py7JcaTMBAAAAAAAA4OFJKfWxB7XWWmsfyTAMw7227w/fT5EqMcZSyt3Z + 2AAAAAAAT7hhGJZl2bZtnufe3ZpSKqWM4/jcc7dDCKWUX/qlX/qqr/oLx+N6n+P0ntg+g6y1lnM+ + deQej8cQQmutlNInSQEAAAAAfFI6EwEAAAAAAAAAAAAA4BVSQ6jH4/HGjRvnZ3Mr23J5+4/8of/g + XT/wzte85lZPtq61Xl5e9q3HcbzHcVIIqdcT6dWfewmSZVl6fHWt9VQ/et/3V/qsHhv92yultNZq + rX2htfbcc8/1Yi595TzPV91SAAAAAAAAAHhIaq3jOC7LklKapqnWuq7rfUYj3D3a4bSZ0BQAAAAA + gBfoHafLsvRZS621bSuveuo121puP3/5+te/4YMf/I3aQm0f3+NFM2VO88hOCda11j4BKufcJ0yF + EFprn7gvAAAAAMDdDPcEAAAAAAAAAAAAAIBX0DAMx+Ox5yXP87wsy+d8zud83/d936tfdTPcKSPS + X7dtu89xaq3TNLXWem2RU1XoGGPOeV3X/vbs7OwVPZ3HRmutlFJrzTnXWmOMfeW2bf2foyeI55x7 + iDgAAAAAAAAAPAl60klPQLm4uMg5T9N0n+23bZumKefcH7jv+x5jrLU+pOYCAAAAAFx7y7LknPv0 + pWEY5nme53kc51pC71P9M3/mz/7Kr3ww59ha6Jvdx+XlZV8Yx7HHY/e3+76HEPpMqG3bPulxAAAA + AADEWgMAAAAAAAAAAAAAwCuolG0c876v45iX5TKl8NRTN//Dz/sjb3nLW87O5m3bco77vrfWpmnq + gcp3SaeR/ymldV1TSqWUeZ57BnatNaWUUuqJ16WU4/EY7tQf4T56HHhKKYTQX3u49ameS865J4in + lJTbBgAAAAAAAOAJkXNelqU/Or9x40YIoQ9FuI91XcOdAJU+8qE/iAcAAAAAIISQUhqGYdu2Uso0 + TSGEZVmOx+M0Tfte3vCGN/7SL/2LnFMpLYQQY757TlkINYQaYg2x9rlOh8Ohh2Rv21Zr7VOiQgjD + MPT87Fpr77C9qvMFAAAAAB4VhnsCAAAAAAAAAAAAAMAr6HA4HI/HcRy3bZvned/35557rpTyx/7Y + H3vrW986DKmUdnZ2FkJY13Xf9/sfrRcZWZZlmqaeytwLSW/b1nOae2UT5aE/qV6ZpX/hrbXT+svL + y1OO9bIs/YtVxgUAAAAAAACAJ8S+7/M8nwYebNvWI1LutX3OOee8ruvp2XopZdu2h9FWAAAAAIBH + QU+h7jOYtm27vLwMIRwOh33fv+mbvulnf/bnco5lbyGEeT67zzym/qd1XUsprbVhGGKMfSZUKWVd + 13meH9Y5AQAAAACPA2WqAAAAAAAAAAAAAADgFbSu6ziO67reuHHjuFzMh/HG+eHGjcPl5eUf/+N/ + /B3veMcwpF6LpEdW35FeMOa/1np+fl5KCSH0A5ZSaq19r5s3b4YQlmVJKbXWfvuheBG9APcwDP3r + anecnZ2t65pz7rVdxnGstQ7DcNXtBQAAAAAAAICH4ZRgHWNc13UYhh6Icq/tSyn7vo/jmFLq6Snj + OI7j+LDaCwAAAABw3e37vu97znkYhlLK2dnZU089dXFx8d3f/T1/+2//2LaVUloNsYW07/sLd44h + 3Jko1oOxa609vvr27dt9ZUop5zxNU989pXT79u0+eQoAAAAA4D50IwIAAAAAAAAAAAAAwCuo12uu + tfbiI73W88Vzz8/zVNv+n/2n/8l3fOe3nx2GEEMI7e79XnCcGOPt27fvzqvuhaR7+vK6rjHGXpGk + xzO/8mf2yCul9Ezrfd9jjP37LKVM07QsS1+z73svun3VjQUAAAAAAACAh+E0MqG1Nk1Tf9vuq+91 + iktZ1/UK2w8AAAAAcN3knPskptZan2L24Q8/85Pv+am3vOUt67rHEFNMOecYYyllGIZ7HSfG2Pts + l2XpXbh9VlQIoZQS7uRet9bOz88f1skBAAAAAI8wsdYAAAAAAAAAALxkKaU+X+6qGwIAAPAIyDlv + 2zYMQ2sttBRaKnubpim2LafSyvG/+M//xHf+tW9LMYQWcgohhmnqxUfqNM8hhJiGcOdXWH/dti3c + qTbSF3r9kZRSKaX/ajsViV6WpS/0vTjp1V5CCKdqL6dw6x4QfvpTSuZfYBMQbQAAIABJREFUAAAA + AAAAAPBkOeVbf4oPzU8P36dpeqXaBPDK6FewWmt/PY3LAgAAAHhJagz1Yx2rIX7sXY2htrrnFHqZ + jhrTspd/+v5f+Oqv+W/WrbUQamil1VK21koIdd/XEGqMLYQaQo0hhhZCCzHE1lprLefc+zH6cv+4 + vjCOY7irdxcAAAAA4P6UVQIAAAAAAAAAAAAAgCuwrJc3DtO6Hccc/+Sf/BPf/q1/OYQQYxiGsG57 + 32ZdlmEcW62htfscKqXUWhuGIaV0OByef/75cKdI9OXlZU9o3rZtHEfJ1gAAAAAAAAAAwBOo3cO6 + rsuybNt2CnwahqHdd7wWAAAAwEsyTdOyLDHGdd2fuvXqf/HP/9UXf+mXlHK/XWKMKaUQQs55HMce + iR1CuHnz5vF4rLXu+x5j7PnWAAAAAAAvj1hrAAAAAAAAAAAAAAC4AofD4eLi4tatWymlUsqf/tN/ + +uu+7qtrDWULsYVwJ5e6lpKH+w3+jzGWUoZh2Pe9lBJCePWrX93LlLTWxnEMIez7Po7juq79LQAA + AAAAAAAAACGEcRxTSimlHmudUlqW5aobBQAAADxWLi+XaTqU0m7cuPGBD3zgS7/0Sy8vt/sHUg/D + 0CeI1Vq3bevLMcZnnnkmhJBz7rPJcs6SrQEAAACAl02sNQAAAAAAAAAAAAAAXIGc87ZtPYs6xlhr + /dIv++Kv/uqvijGEEKZpWNc1xthaK/t+n+P0oiT7vs/z3BdCCCmlEEKtdRiGEEJ/7TnZAAAAAAAA + AAAAnKzrmnMOISzLsm3bPM894hoAAADggRjHcRjHnPMHP/jrX/7lX/5bv/Xh1kK6d/fDNE3rurbW + pmnqE8SGYegT0MKdmWLLsvSFPo8MAAAAAOBlGK66AQAAAAAAAAAAAAAA8CRaluXs7Ox4PIYQDocb + y7KEFl73utcty/o3/sb3rOt+djYfj2tPrY4xtnbPQ+WcY4zLsvS3vaZqj8SutfaSJb1ASWtNpRIA + AAAAAAAAAICTnhQ1TdM8z31NKaUHXQMAAAB86lKoIYQQQwjhY1PBWgoh1Braum9beeNXfuW/+le/ + 3P9c7z1ZbNu2EEKfFBZj3Pc9hNBnmbU7c8zmed73PcaoEwMAAAAAeNnUogIAAAAAAAAAAAAAgCvQ + C4vM8xxjvLi46G+3fXnjG9/wJV/y2pTCsiy9zkjO+T5Z1DHGUkqvTjKOY865Lw/DkFJKKfUSq621 + fd9lWgMAAAAAAAAAAJz0MVrTNJVS+vLxeBQHBQAAADxAKaVS2tNf8zXve9/7Wwu1hnHMOcd7bd9a + G4ahTwcLIYzjePf6UkrPvR6Goc8aezhnAQAAAAA8fpSjAgAAAAAAAAAAAACAK5BzXtd1WZac861b + t0IIh3HKIYYQvvEbv+G/fu1/1WqIIczTUEuppdzrOK21GONpuZQyjmOMsbXWWpumKYRQaw13VTAB + AAAAAAAAAAAghBBjrLXWWnPOMcZt2w6HQ4+MAgAAAHj5WgothZBCSDWkb/v2b/+Jn/jJbWshhHEY + t63Ues9dY4z7vo/jmHPOOW/btq5rj6/etq13YnzsQ+6aWQYAAAAA8FKJtQYAAAAAAAAAAAAAgCvQ + k6enaUopPfvss8MwHI/HnHNK6fnnn//ar/3aP/tnvyCE0AukpnsP/+/H6Tv2jUspPd+6L8/znFLq + f+3lSwAAAAAAAAAAAAghlFJSSrXWEEKtdRiGEEJ/BQAAAHgQ0rvf/e53vONdpYRhSK2FHkR9nzjq + 1to8z9u2lVL6jLBxHPsuOecQwjAMpZRSSp9Z9tDOBAAAAAB4zIi1BgAAAAAAAADgJejz2frsOHPb + AAAAfid6CdSyt7K3eZ5LKb2eSGxlGlJK8Zu+6c1/6k/9l/23V4zxVC+1/yhLKfW3/ddZKaVXVu1H + 7gt9l1pr37hXKnn4ZwrANddaSynt+x7udP315eumtVZrbS/m7s3c7IDH3qn+4OkCmKL5wgAAAAAA + AC/TKQsqhJBS8tD5ujk9FGvhhSMEAAAA4LpJKa3rOuSp7K2l3FKuIf3MP/yHX//139Q32PcaQli3 + NYbUagghDMPQ+yXCnflivXdiWZaUUkqp/xw+DfLv24QQcs69W0NvBgAAAADwsg1X3QAAAAAAAAAA + AAAAAODjesGR47q11r7lW77l4uLip37qPfveWthDCPu+91IjwzBs23af2NFpmtZ17Vvu+94DsE+J + 1wDQ5ZxLKeM4DsNQSmmt7ft+OByuul0A3NPt27fPz8/7cr+G79sm1xoAAAAAAAAAAADgaqWUhmG4 + vLx86qmnnru43Lf6gQ984PWvf8O9tu/TvnLOPb661tqTqkMIpZSc88XFRZ8Odoq+BgAAAAB4gPQ8 + AgAAAAAAAAAAAADANVFDCMfjNs/zYRouLi4Oh/Pv/q6//kVf9EXv/dn/YxhSjDnGuK7rMAzrup6C + q1/UaYPWWgihlBJCSClJtgbgbj0PdVmWEELOubV2Kox11U0D4EWUUs7Pz/d9H4ah1y7ctm3MQy37 + VTcNAAAAAAAAAAAA4Im2LntKw3gYn332uXE++9Bv/uqXfNmXrVv7xC1jqCGEfa855z7tqy/UWvuo + /j6JbN/3Ph1s27ZxHB/2+QAAAAAAjzux1gAAAAAAAAAAAAAAcI2cn58///zz8zyfn58fj8dhGN76 + 1rf++b/w9E/+5M+0UHPOMcZ930MI67qO47ht270OddogxjiO47quMq0B+ESttRhjX+j67eaq2wXA + i+hp1sMw9Mt1CCGlVEpx1QYAAAAAAAAAAAC4WjHGcZz3fZ/nsw/++q9/4Re+9tc/9FvTNKzr/qLb + T9O0rmtf7uHWfVzovu+ttVo/FnqdUuojSFNKD+9kAAAAAIAngD5HAAAAAAAAAAAAAAC4Ci2F9iKj + +pfl8vz8rLWyLJdnZ3Mp282bN77lr/6Vz//8//hwONRaW2vjOIYQcs73ybTuEXd9g55pPU3TNE2v + 2PkA8Kgax7G1tm1bjLFXuZJpDXCdxRjXdT1dq2OMLbSrbRIAAAAAAAAAAAAAIaTbty9jzBcXF3/u + z33lv/7XvxJCWNc9xBBebJD+uq7jOKaU+rjQGGNrLYTQWutp1jHGnHOM8TTaHwAAAADgARquugEA + AAAAAAAAAAAAAMDHlVLWdR2Goda6LEsvOPLqV7/67W9/+xe+9ove97735ZxrrX3LnHMp5V7HmaZp + XdfD4XA8HmOM+773HQHgbpeXlymleZ5ba73clfsFwHU2juO2beM4llJqreM41nv8KAAAAAAAAAAA + AADgoWkt3rx586Mf/egbv/JNv/ALvxRTyGnY9/1e26eUaq211j5HrN3R/7Tv+zAM/XVd12maHuKp + AAAAAABPhHTVDQAAAAAAAAAAAAAAAD7ucDjknC8vL8/OzoZhmKbpeDxeXl6en59///d//+d93ueV + UkopKaX7ZFqHEHqmdYzxeDyGEMZxDCEMw/DwzgSAR8E0TdM01Vr7PaIv55yvul0A3NO2bcMwbNvW + r97bto3DeNWNAgAAAAAAAAAAAHjSDcNweXn5337bf/dzP/dz21ZqDbXWEENoKbQXT4cppczzXErJ + Oc/zHGPs62OM27b1Y27bNk1TfwsAAAAA8ACJtQYAAAAAAAAAAAAAgGukZ1EPw3A8Hvd9X5YlxvjU + +c1a96dunb/j7W/73D/wOTmFWmuo7ZMep7UWY0wpretaa31oZwHAo2Jd123besWrcRyXZQkhtHa/ + WwwAV2uapn3fQwg5575QSrnqRgEAAAAAAAAAAAA84VIp5W1vf8cP/dCPblsLMcQYaqsp53vtUGud + pmlZlpxzKWVZlj40dF3XEMLZ2Vkf4T+OYx/w/9DOBAAAAAB4Qoi1BgAAAAAAAADgJYsxXnUTAAAA + HlsppVJKSqnHUfeFbVvGnGLb/51Xv+oHf+D7/93f93tzCqHVFMM8TjGEFGKOKYSQcz79auuhpK21 + U6B1r2wCAHdrrfVbxrZtfc3pxgHANdQrFYa70qxrc90GAAAA4Inw8T6xWvtrf8bxSksphRBaa6fI + kJc3mro3+/RoJoTQ80j62/5A/7TNA2j3AxXv6+4tT2MVrqilAC/H6eJcSrn7ggwAAAA84WJLsd1J + dYn17v9ianvb4xD3VkNOJcQ0TH/v7//Ed/7172ohxJRaCyHEnHLdSwg1xnbqUB2GoYXQe1HXdZ2m + qZQSY2yt9Z7waZr6Z87z3BdkWgMAAAAArwSx1gAAAAAAAAAAAAAA8Ago25pjKPv2uz/909797nd/ + 5qd/Wgwhh7BuawhhHMdTZdW7S2kDAAAAAAAAwOMh57xtW7iTAJ1Sennx0i9VrbUHh5xytY/H48s7 + Tj9Ib3YpZZ7nWmtfPwxDCCGltG1bDy95UO1/pfUglv6PcspceYTaDxDuXJxLKSmlYRj2fU9JvVYA + AADgnlprrbV5nltrp6Tq97znPV/39d9YSpumqXecnrpMh2HoE7563+m+7zHGYRh6R/e6rjHGWuuy + LDolAAAAAICHSY8kAAAAAAAAAAAAAAA8AmJqLZSUQgj11lM3f/RHf/R3/+5Pry1M45RTXra1hhZj + 7IHWvZA3AAAAAAAAADw2Sin9mfg0Tad861prfEDu9bk552VZcs611pxzjPFVr3pVX3hJDodDjPHm + zZs9p2SapnVdU0o5533fl2XpH9dzTe7TnutmGIZ5nntAS4yxZ66ItQYeIeu6DsNwPB77tX3f92EY + aq1X3S4AAADg6rVYW3yRXoKUUmvt4uK47zXGHEL6+ff/4td93dffvn3Z+35LKb0rOITQOxzGcezx + 1Tdv3gwhtNZ6F3fvTe19EfM8p5T2fX+4ZwkAAAAAPLnEWgMAAAAAAAAAAAAAwKOhV7XuZUp+/2f/ + vh/+4R/8rM/8jHVbSy0hhGEYYoyllKtuJgAAAAAAAAA8eDnnEEIp5Xg89nzrcRz7wiuqp4/0ZJH+ + UH5d15cRd9r3XZalR1mHEHrQdbiTDH3askdEP6j2v9K2beux3yGEHtRdSulvAa6VeA/zPI/jeDgc + +mb9CpySeq0AAADAPe37Pg7zPM/DMLXWfu1XP/SGN7zhN37jI+OYe9/COI6llLt7entvcM75+eef + jzGenZ3FGPt0sNZaz7cupfSVV3ZiAAAAAMATxjApAAAAAAAAAAAAAAB4BEyH8fbl86Xt89kUQl2W + 5d/7A//+977te3/P7/nM0za11lqrmqoAAAAAAAAAPH56/kfOeZqmcCcCpD8lfyDu9bnjOPbH8THG + nPMpsPmlHj/n3D+llFJK6SElKaV+IpeXl/2wy7KcTvaRkHOOMfa0lWmajsdjzvllxH4DXJUY4+mq + VWvtl/2rbRIAAABwXcT68f+6lkJLMY97C7WGsrfLi+WLv/hLPvjBf5tS2LaybVu404Pde4Bba+M4 + rusaQtj3PYQwDMPl5WVrbd/3UkrfvpRy6n8GAAAAAHg4hqtuAAAAAAAAAAAAAAAA8MmVUsZx3Lbt + eDwOw5RzXtf1cz/3cz/t0z7tN3/zN4/L1sua9ELYKqsCAAAAAAAA8JiJMe77PgxDrXWe52EY9n3P + OffMj1fOtm39ef08z8fjMYQwz/OyLC/10XwPLzktD8OwbVvPT12W5ezsLISwrus8z+FOXPeDPpVX + Smst57zv+77vh8PhqpsD8OJ6mtQnSin1W0m/y/TLfl9+uA0EAAAAHhk5j9u2pTgcj5dveMMbP/CB + X641xBjGcQwhbNvWQ6yHYVjXNee8bVuMsfdC9LfTNNVa13WNMS7L0ju9Qwjruk7TdMWnBwAAAAA8 + MYyRAgAAAAAAAAAAAACAR8C2bTGGaRpimPcWSq0XF5ff8A3f8P73/3xrIcbYS3XXWntta8nWAAAA + AAAAADxm+jPxHmgd7gSR3ium9KU6ZU6/QM+0Tin1TOuc87Is4d7xqPc//jRNpZRSSj+Fnl/y1FNP + XV5eppR6WsmjlaV6d6z44XDo/yKPUCY38OS413W+lDLPc2utX3vHcby8vDw7O3u4rQMAAACuvZZC + CDWmEEJdS60hj+nNb/6mf/SP/vc+i2vI47ptIYQYY4yxtXYKty6ltNb6nK/ep9r/FGN87rnnbt26 + 1Xuhc84yrQEAAACAh+mRGa4KAAAAAAAAAAAAAABPsmEYStm3bSv7OsyHZVm+67u+6+/+3Z8IIQxD + 2kvb972XL+mVrwEAAAAAAADgcdJa63ke27b1NT1Y+l4xpQ/Ktm3TNK3r2qNHSik9V/ulfm7OuZTS + o0pCCCml1loPgV7XNaVUa22t5Zz78qOSDN3P63g89kzrnPNVtwjgpYkxLssSY6y19tezs7PWWr/L + AAAAAHyiYRhCCN/yLX/1x37s74YQpmlY133bP9Z33TsW+mvOufc89C7l3vebUjp1Mt+6dWvf93Ec + Qwh9dtij0jkMAAAAADwGdEcCAAAAAAAAAPDSxBjiHVfdFgAAgMfQqXBJL2Cdc962LaVUWot5uFy2 + s5vn67p+x3d8xw/90A/1Xfa9niqb9EzrWmsIv+3nW6+WEkJIKZ1+0I3j2JeVOwF4ks3z/IK+vmvb + 9ddvZy/on+wrT3c6gCeHCyAAAAAAT5q7nw705VLKw/nonkV9Shnpj+bv5ROfvMzzHD6htaWU/nD/ + FI+dUuqZ0DHGR+g5fj+vw+EQQujtf6WDxgEerLuvwz1rSqY1AAAA0KWUSin7vuecQ04lxBSHVmOt + 7d3v/p/e+c53xRhaCMu6pzzG9PEhnafu396f3OeItdbGcezzv1prp06J01jQYRgeoc5hAAAAAOAx + oEcSAAAAAAAAAAAAAACul16mpLVWa40x3rhxo5TSWtz3euvWrW0r3/3d3/397/zhda053/MgwzD0 + WienmtoxxmEYelWUEEKMcdu2XoP1tBKAJ9CyLP1mMY5jf72GSQM9neJU0qs38u6VpZQek9BjIQAe + Y/1Cl3PuUTH7vscgVgEAAAAArpH+LCPcefjSWluW5aobBQAAAADAS1ZrTSndvHlzXfZtK9M0hRBS + Sj/zMz/ztV/7jTGGGEO4k359//lZpZRpmk5x1yGEGI3/BAAAAACumFhrAAAAAAAAAAAAAAC4Rlpr + +7631uZ5zjkfj8d1XXvJkjxMy7r/0A//zbe89R01hOmQ9xJaCC8aPbrv+ziO67rGGG/evHk6cs/M + nqapp4GGO3W0AXgynZ+f94VxHLdtizFu29ZvENdKvzmGEG7evBljXNd1HMfTyn53K6WklJZluYbt + B3hQhmFYlqVXP2yt5ZxDCO3FfxMAAAAAAFdjGIbTY5fTE/nTQxkAAAAAAB4VNYSY82995NlhnvZ9 + Dy1t2/7+9//8009/Tc6xhVhqmOeznmfdR3W+qJRSHwbfx3+GELZt2/f9oZ0IAAAAAMCLUp4DAAAA + AAAAAAAAAACukXEce4GS4/EYY8w5xxgP5zeOxzW0+OM//vfe/Oa/EkLIOSzHknMs5Z4JdjHGEELO + +fnnn48xHg6H4/FYShmGYV3Xfd979ufhcHh4p8cTpv+PELjObt++HUK4cePGxcVFSimEkHO+huWx + Tjey559/fhiGfd/7FSbGOE1TT3iNMdZaY4zXsP0AD0q/APZA69ZaKWWe521Zg2RrAAAAALg29n0f + hqE/tti2rT+I6Q9lAAAAAAB4hOz7fjgczs7OSmmveuo1zzzzzAc/+KE3vvGNzz13+3CY9uM6z/Oy + LDHGlFIp5V7H6T3GIYTW2u3bt8/Pz8dxfIjnAQAAAADw4tJVNwAAAAAAAAAAAAAAAPi4HlM3z/O6 + rqeFy8tlHOb3vOenn376a1MKQ05lDyGEGPO9jjOO47qu/YAhhGEYLi8vW2shhNZaj8HrEaFqZwM8 + yVJK8zxfXFyEEMZxrLVez0zo1trl5eUwDOHOrW1d13EcY4zLsvT1pZTW2jiOPZ8b4LGUUhrHsQda + hxCGYejFEK+6XQAAAADAb7Pve621p5JcXFzM8+z5BQAAAADAIyfncd9razHGePv27eNxeeMbv/KD + H/yNPAzHZQshLcuWc4yxlbLlfL/xnH0+Vynl/Pw8hLAsy31isAEAAAAAHo7hqhsAAAAAAAAAAAAA + AAD8Nvu+z/M8TVM4ZXYOwz/4B//gTW96Uwghp7xtZZ7HZdnukzy6bVuMMaVUSsk5b9s2TVMPKz0e + j/3IwzCklM7Pz/d9z/meCdkAPMb6rSHn3Frr+dD7vvfXq27ab9PvWeu65pz7ra3W2m924zj2hRDC + PM/Lslx1YwFeQbXWdV375a61tu/7OI5l2+VaAwAAAMD1cXrgsixLSinG2FOur7pdAAAAAAC8NMMw + rOs6DFMtYV23173udf/yX/4/h8N0eVxTSq31DuE1hHB2dnZ5eXmfQ7XWTuPhU0rzPD+skwAAAAAA + uCex1gAAAAAAAAAAAAAAcI3M83w8Hpdl6VnUKaVhGP7JP/2nTz/91cuxpBS2rYQQlmVLabir7PWL + 1L9urdVae7J1CGFd176yJ5VO07Tvew8BDSGcFgB4opxuEznnEEJrradEX3W7XugUs91reNVaW2sh + hNZab21rLee8LMs4jvu+978CPH5ijD0Lp5c1DCFs2xZD8P/mAQAAAOD62Pd9HMf+QD/G2Lvy+gOO + q24aAAAAAAAvwbaVaTrUElorTz/99D/5J+8LIRyP6zQd+kStlFIIYRzHT5ppvSxLj7Jud+nD+AEA + AAAAropYawAAAAAAAAAAAAAAuEYuLy974HTOOYSUUvpn/+z//rIv/Yrf+shzw5D2vcYYW2uftOB1 + 3yyE0JOtU0qnjM+cc185DENrrQfjPaTTA+CaqbXO87wsS8+Nbq2VUq7nfaHfsGqttdYYY7hzsxuG + Ydu2fmvrf72e7Qd4UPZ97/8Pv1/6xnEs+37VjQIAAACA66g/Ir8S/aH/6YlGfxxzVY0BAAAAAODl + yWlcjtswjN/8zX/5p3/6Z2IMrYV5mo/rGmPMOfdw623bcs6llHsdp5Qyz3Pvte5dxzKtAQAAAIDr + IF11AwAAAAAAAAAAeJTEGFsL4zi21nry2VW3CAAA4FGVc973fdu2cRxDrMOY9rKWuuVpbCmWEC/X + rcX8K7/2oS/5stc988xzoYV9r+FO3e1aawh3//dCPfq6/3artZ4yrUMIMcaU0mn5YZ0xANdUL6R1 + cp0zoUsptX78bthfeyB3v7WllNT2Ah57OefTRS/cuQwCAAAAANfKCx64vOBxzDV394OY/mjmU9G3 + 7D2WfaDCK9ZAgAcvxrht291vT0OtAAAAgCdBKSWl1Ps2h2GIMcYYW4u1thCH73v7O3/kb757LyGm + 3EI4rksItbWy7+tpVtcp07oPaD+N9pymaV3XvrIfNvz2uV0AAAAAAFdITyUAAAAAAAAAAAAAAFyB + 4/F469atcRyXZdn3vZQyTdP5+fmyLJeXl/M83zi7+aEP/foXfuFrf+3X/u3Lq/Y8TVMIYRzHcKfe + 9KNVKRsAAAAAAAAAeMhijOu69myVlFJr7f4Z1TnnnsjS9+0LElmAayjeWwhhHMfW2mmQ1emCBgAA + ADwJzs7OUkrbtk3T1Kd61VpDTjmP733vz37bt317Sinn3LOrTz2inyilVErpvaa11hjj5eVln9sF + AAAAAHANDVfdAAAAAAAAAAAAAAAAeBLlnLdt28s6z3Nrqda6lXZxfP7s7Hyapt/8jQ+31r7iK77i + l3/5/xvHvG3lZXzEsiwhhHVd933va4bBPAIAAAAAAAAA4H56KPW+7ymllFKMMaV0r3DrUkpPvw53 + 0lx6asvDbDDAp6JfqT7R6RK37/s4jrXWaZpcygAAAOCJ8uyzz56dnZ2dnZVSYowxxmEYlr383P/6 + 3i//8i8fhqFPzuqdpT3c+kXVWnt/6bquMcZaa2uttRZjfIhnAwAAAADwqVKOCgAAAAAAAAAAAAAA + rkDO+Xg8TvOUUrq4uBiG4XA42/d927ZlWW7cuPEFX/AFv/iL/zyE8LILl7TWetmUnPOp2PQDPQkA + AAAAAAAA4LGybds4jn3IwbZtrbWcc631XqMX+piEU/jraXtDFIDr5l7XpVrrjRs3QgjjOPbNbt++ + fX5+/lAbBwAAAFypmzdvTtP07LPP9o6CGOO2bR/45f/36aef7l2gL5iiVWu916F67+g0TR/96EfD + 72BeGAAAAADAQyDWGgAAAAAAAAAAAAAArkBMLQ8x53x5eRlDnsbDsq6llGk6PPvMc08//aZf+IVf + 7Fsu6x5jDK29tOPH2Frb9721FkIYhmFd13EcFUMBAAAAAAAAAO5lHMee0bIsyzzPfWVrrd1j3EKt + Nefcw7BLKTKtgWvrXnFTOeeLi4tSSq11GIZSyvn5eWvNOCsAAAB4DMUaQgjthR2YMeZnnvnoOE63 + bt26uLgIIf7qr37wdV/xhg9/+JkWQoyxlBJC2Pd9HMdt2+51+Gma1nWttV5eXvb0677vMIiGAQAA + AACuI8M9AQAAAAAAAAAAAADgCrTWelnnWuvNmzf3fV+W5caNm8fL9c1vfvNP//R7t63FGIYhhRBe + XrnnUyWU/nYYBrVWAQAAAAAAAID72LZtGIZaa8+07mEtpZR4Dz3HehzH0xFSSvfKwAa4hvqFLuc8 + jmOMsSdbX3WjAAAAgIdq3/fD4ZBSevbZZ2utH/nIR970pjf9m3/za3lIMcbW2qfY57muawihtdbT + rPuCTGsAAAAA4NoSaw0AAAAAAAAAAAAAAFeglJJzriEN0+G4rbePlzdvPnX79u1v/uZv/vEf/4kW + QgthGKdtryGkUl5yuecY40c/+tFxHFNKPdn6Uy+hAgAAAAAAAAA8mXLOIYSe1FJr7W/764uqtZ5G + I/TNDE4Arqd2D9u2tdZOoVM9birGeNXtBQAAAB6OFELqfQI1pDzOpcWv+otf/f5f+Jc5h32vrbVp + mkIIPZ1627aU7pfz0lorpcQYc869o3Xbtod0KgAAAAAAL5FYawAz/3JqAAAgAElEQVQAAAAAAAAA + AAAAuAIxxlLKtm0xxlrr+fmti4uLv/bt3/m3/tb/HEIYxxxC6FVLeumTl2pZlnmeQwi11pRSa60X + Q3mgJwEAAAAAAAAAPFZSSrXWPsCgp7PUWmut99m+p1mXUvqangj7UBoL8AAMw3A8HvsYrX4F60FW + V90uAAAA4OHpc6/GcTwej3/pL/2l9773fwsh9C7PlNK6rimlfd/7xvfpL8059zlcfePTYR/GOQAA + AAAAvHRirQEAAAAAAAAA+FT10jynhT6D7qobBQAAcN2dqpCEEE6/qkIIW2k1pGEYcs6ltFbj937P + W9/xjne1GFoI21ZCCK3FENK6rvc5/qkSdEqpL/dfbcMwnNbfvRknvaB2/0fpBWX68r7vpx+8ff2p + 7gwAV6i1VmttL+buzdzyAAAAAAAAfodeMNIgpdTXvKj+ECfcyWuptfZg7Pt/xLZtfaEPinhoz+Vf + UlTtqZG/k+adPu40/OOU/32v7U/f3mn5Pt9nb2RrrS/cP4P8gfvUv8/WWj/xU/OOx+PL+8S+cP2/ + z9O+27b1Zp/+F3Wf1j7k7/PuHfuhSin3acPj+n2GEA6HQ1/ol7ucs0fP15N5TAAAAPwOxRhLKTG1 + UrcYY+/MbK3tNeRxXtftO7/zr/+dv/O/5BxDCOOYw53ejxd0a5y6Du7uRogx7vve375gYhcAAAAA + wPWkBxMAAAAAAAAAAAAAAF5B4zjGGHtl1V7OtS+cnZ1t2zaO47JsOY0/+IM/+L3f+7YQQniJhTdb + azl/rEhKa61XV1G+81ORUurfWIyxF5Hp0eA3btzo/2rDMNRaSymnUjIAAAAAAAAAwN1aaymlfd97 + XktKaVmW+yS19CzecRxDCLXWaZqOx+PDeS5fa+2DBJZl+VQ2HsdxXdfWWm/e5eXly/jQnmGz7/s0 + TT2FN+d8imT+RC/1+xzHsbW27/s4jtu29ZEPDyfZ+iV9n33LPk4jhLCu6+FweBl54Y/K99m/nP+f + vXt9kmU76/z+PGutzKy+iBHzv9nBGIcwHHQ0PjISEmIwEshmBBjHMA6QZ2KsAL3AQQTSYHEJLIEs + AyLGgCYkGCyMIAw2Y0YCMbptndPdVZnr8vjF05WndndV7d2ts/v6/URHnezqrJUrV1Z3nZ258vmF + EHx2UCnFzPxtv/8lNzyepZTFYuEDODe4J875oY4nAAAAAAB4PMys73tZ3/DlpyyGYai1ttZ++Zd/ + +cMf/gVZZ1HnXPe046cNa62+ckppz3kqAAAAAAAAALibKKsEAAAAAAAAAAAAAAAAAAAAAMAL5MVY + vajr/Iyq5lxDSKeny4PF0a/+6q/++I//ZN1SsvXZVVxTSqWUEEJrLcZ4enq6p7YsZq21+Yhs5lt7 + SRqvLDOXmJmrAAMAAAAAAAAAAAAAgE2qWkpJKanqMAzjOHp+7Z71Qwgebu3M7Hrbvd6rzMzMaq0x + xs3JAxd4dm/f99M09X2vql3XebbNVTfq0w882dejdj04Z1cPrzSe3tXN3sq1hvRFj6evNv/Uh/Qa + W5R7Mp6e3ywi3khKqbX2zDfPrYynrHfQW/BpTrtae6jjCQAAAAAAHpXVahVj7Pt+tZwWi8Xpasyt + Hh0d/9ZvffK97/tvYlQznXKV9bmIrY34WRG/n8vPdu4/SQIAAAAAAAAAdxNzqgAAAAAAAAAAAAAA + AAAAAAAAeIHmYq9epFXWhWVrrYvh8PDg+BOf+MQP//B7vc5qSlee519K8QVVHcdxGIbWGpVQnsnM + vLitV7n1b2utPp5eS7e15tVnyLQGAAAAAAAAAAAAAGArzxj2qRF+IT7nnFLas36t1VdIKflUinny + w4vjl/7NLMbojyKyJ+PWo3PnDotIzvkamdYhhNVqJSLzKO3P9L3qePpLfAB9kkPf95up4S/IVcdT + RGKMpRQzyzl7D/1VV3JfxrPW6mHb3ojv+J71b2s8/SW11pyz7/j+Rh7weAIAAAAAgEdiPjPpJxxK + KQcHB10aPvOZf/vyy68MQ9eatdZUdRiGXZnWsg6x9rMQHm4tJFsDAAAAAAAAuIf2Tf8CAAAAAAAA + AAAAAAAAAAAAAADfOlX1KrqeoCwiIaSgKefyh3/4h9///e82EzNRVf+hiIhs1EH2SOUdtVi7rpsL + y4YQaq0xRsqwPlOM0UOsvR5N3/de51fWhY99ue/7uaju7XYYAAAAAAAAAAAAAIA7yKcotNZ8XoSs + 03Z3TV1orR0fH5+cnMg6zXq5XB4cHFxvu8+vteZTBTxSt7Xm6doePHOZh+/GGLuuCyH4a6+xXc+/ + EZF5ioLnZO8KD77qeIYQ5uDtlFIpJee8a6f2eNHjqaqnp6dHR0ci0nWdiBweHp6dnT3U8VTVeRaK + v89DCHMU9GW3NZ611sPDwxijD2BKaW52q4c6ngAAAAAA4PGYpulNb3rTcrkspcTQ9X1/tlr9h7/5 + 0jve8Y6u03H0XOpgJuOYRcJTd3ht6LrOTz7EGP3GLlX1EzUAAAAAAAAAcI8Qaw0AAAAAAAAAAAAA + AAAAAAAAwIs1Z1qLSIxRVUXCYnH427/9O29961u7Lq1WJUYVjaWUdaz18/KarXORVq++mnOmEsp+ + 0zR5UnXOOcbo2dUeaF1K8UPmK8ylewEAAAAAAAAAAAAAwGV+Cb7rOp/DUGtdLBar1WrryqrqmdYi + 4rmzBwcHc0Tx89sVZ7uHmck6l9cf92TcrlarxWLha5ZSaq1930/TdI3tykYG8zxdYY8rjaf3ZxiG + cRx9K621q2Yby4sfz1rr0dGR982ntXgG80MdTw9d9sjnucH9L7mV8QwhnJ2dyXquUWvt6OhoT0y4 + PNDxBAAAAAAAj4eqnp2dmdnBwUHJbblcrsb6jne848tf/qqftFgsFqvV5Cunrit5+1kIPzcyDMPp + 6anfhSQbp60AAAAAAAAA4L648nQuAAAAAAAAAAAAAAAAAAAAAADw/LwuiS+EELw6yTiO/+7f/cm7 + 3/2enNs0FRMp1VqTi/P8VeRZ9VRTSnOVWFkXUybT+pm8qHFrres6r8arql6Huus6M/OB9bhrL4kL + AAAAAAAAAAAAAAAuMLO+70spc2TLMAyr1Up38PVFZM56EZE9Gbq77Gp/l/klrbXnSbedk3pzziGE + GKNPIbjqdj26O6Xkkdh93++P473qeIqIt6mqXdeVUlT1GvMcXvR4+gCGEHxKhqzfAA91POcXdl2n + quM4zm/7OzWe/hIRSSn51KZpmvb8Pj7U8QQAAAAAAI+HmS0WC7/xyk8Avvzyy3/xF/9P6rWapJT8 + yX4YRELJeU9TqrpcLkMIIhJC8NNWN7ITAAAAAAAAAPCG4bQmAAAAAAAAAAAAAAAAAAAAAAAvlm4U + Ym6tnZ2tnrz26ksvvfS1r30jpVBKE5EQQgihtXbVxqdp8uqrXgAlxuh1ZinJ+kw+XF5mt5TihY9V + Nec8l9D1GrgeGQ4AAAAAAAAAAAAAAC5QVc9rMTMPnR3H0S/B71rf86HNzBN5rzfJYZ6JcaV+iohn + 66aUPG9ml1rrYrHIOXvCjc8xqLVedbs+OKWUvu/NrLU2DENrbdfWrzeeHm+cc/ZtXSM+50WP52ac + uYjknK+xUbk/45lS8u36LBSPi96cQbS1n3Lj4+ktzO9zEfGB3fUr+VDHEwAAAAAAPB4p9qfLMYpa + sJT67/+Bd3/2s3+yWKTlWMSklBJCMAnTOA6Lxbha7WnK70Lyk1QhhBjjnvNUAAAAAAAAAHA3cU4T + AAAAAAAAAAAAAAAAAAAAAIA3gIq8Xs9V2/xVW9ZgUy3D4cFU21jq6XL8T/+T7/iP//HrJpJL8+qq + rbVSJpG2/hIREdv4EhERr+uaUlJVL9g6l5FV1RjjvHwjO32/+XDNpXX98XK5WzObBxbA3eEF7udv + qf10bTHGzT99d/YTZE4I8ILsrrXmf71LKf7t9Qq1AwAAAAAAAAAA4FvheS1+/c6v5uy56uQXdHwF + VS2lqGprbdf6byxP8PVQXtkbA+yXIDevpnmy9TW2KOvrWR7H+8xsm6uOp7fp/fQe3thVs+cfT+dX + /VprXdddL878voznhZd7J5/Zzq2Mp5l1Xee7vCudetMDHk8AAAAAAHA/hddTVzbu59JgfktXs9Ks + hHh+fi+3GlNfTGLqf/wnf+q3Pvk7JjLlOt+61VqzVkTauDrzO7xCCPP5TF/w0xR+etNPgGye9gQA + AAAAAACAe4RyLQAAAAAAAAAAAAAAAAAAAAAAvEBHR0fL5bLv+2kqKfanJ8vv/M7v/Nu//cr16qR6 + WV4zM7OTkxPKnQB4hOa62HNNqJTSjZW5f5BCCH3fm5l/ykzTdNs92iLG6IfbPwRFJOccQvCa6V6n + PoTgu+CPAAAAAAAAAAAAuAGeg5tzLqX45ZvFYrH/es3R0dGcLxtj9Os+L7qfZraZUa2qtdY98y48 + ENevQPlr5VuI410sFjnn57mseY3xnKN0+r4fx3G+kPpCXWM8l8ulX/XzmJ9hGB7wePqrxnHs+35O + Odqz/i2Op7/Qw5lijMvlcs92H+p4AgAAAACAh6eU0nVdznmxWMQY/ezHchpT6lU1pv6DH/wX//Mv + fkRFRWTPeab5XISq+lT2YRhqrX7mIaUUQpjPU3H+AQAAAAAAAMC9k267AwAAAAAAAAAAAAAAAAAA + AAAAPAS76sKeniwPD47HUkMIZ2fL7/7u7/6rv/r/rtG+F6ItpcQYvURs13WUOwHwCHVd1/d9rdXz + rYdhGMdxsxQUrqTW6lEBXrfLzHx4vfzW3dFaK6WklPq+F5FxHIdhaK15lXYRqbWGELquW61Wi8Xi + tvsLAAAAAAAAAADwWPjFpmEYRMSv2dVaU0o+t+GyEMLp6WlKyWNxa61d1/mVoCtt96pTJjxmxrNn + 5DkCqv3y2XztyXfHr09dY7vTNF24zrUryfuq4+kr9H0/TdO8cKUeuhsYz4ODg5zzfLjHcYwxXvU6 + 730ZT+/q/HJf2DPItzWeIQSPmvZGcs4HBwd7fh8f6ngCAAAAAICHx0+VHB0dvfbaayoxJVHVw4PD + 09OlhvTJT37yZ37mX6r6aTHbc/bAz3vMs9b9PIyZlVJaa/Pce5/Nzn1eAAAAAAAAAO4dYq0BAAAA + AAAAAAAAAAAAAAAAAHiBFotFzjl1/Wo5ve1tb/v85/9cVVKKU95ZznWrufyrlzsppXgBlKuWdQaA + +87MvFD14eHh2dnZOI5y9RrrmPV9r6rjOHZdJ+vK43ct01pEQghemP709PTo6MjrpJuZVweby9aL + yGKxuIOx3AAAAAAAAAAAAA/bnPvil29KKbvW9HyXUoqqzlnR17i4c42c2gvJuzFGM9t1qdGDtz3T + 2i+i+a5dNTZ43pYvDMPwPBnezz+eMcaU0hwVfO343hsYz9Za13XzXJcQQmvteh2+++N54eUeBV1K + 2R//fKEnNzCecyL45QafZ78ezHgCAAAAAICHx8xSSq+++mrXdW86/gevvvpqjHEcc5eGz/9ff/ru + d79HRLqUpmnnmQ3n5zfGcay1zpnWIuKnUHLOPg/fT1jN3wIAAAAAAADAfRFuuwMAAAAAAAAAAAAA + AAAAAAAAADwI2l7/chbEwliqhTiN5V3vetcf/uHnRERV8hUzrWVd3ySEEGN89dVXRSSlRGYngEdI + Vb12/NnZmaqGEIZh2FOrGvtN0zSOo2dC55xjjHfzw6XWulqtROTw8NDMWmvjOHpX50zrcRxzznIn + Y7kBAAAAAAAAAAAeMM+o9ryW50l9NrOu6+aE2pvJmvVLSHOojAfx7u9tCMHMSim+8Mz1t1JVbyTn + XGv1NJ39L7nSeNZa5ygdD+6VvTHDb5TrjWfO2YOQZT28V93ufRlPf4mZ+UCZmUcf7Vr/tsbTzDZb + 2Gxwl4c6ngAAAAAA4CGwIHZ+cqO11lo7Pvq2Lg1f+9o3Dg6O+n5hZl/4whfe8pa3mElK4pnWBwcH + e5r08xsicnx8XEoxMz/FYWbL5dLPTfnJh2mayLQGAAAAAAAAcO88YwYeAAAAAAAAAAAAAAAAAAAA + AAD4VnRdV3L7oR96z6c+9Tv+zPVKpHol1taaVz8Zx9FjXJ9ZnBcAHhiPN+66zgOMPd44pXQDldkf + pBBCa22aptZa13WllJRSrfWuJUN73nbO2RMOPM7ce9v3vXd4GAYR8ZTuG0g+AAAAAAAAAAAAgDs4 + OPDLdh453Frbf7HJL/rknGOMnqR7jYtT10jwba3VWn3TzwyYmeN+Qwgen7xcLvu+v+pGfbt+JavW + qqqtNVXdcz3rquM5Wy6XXdddbybJix7P1loIYd7r1WqVc56m6RpDei/GM6U0x2/vD0aa3cp4+ktW + q9VisRARb9Ab3/WSBzmeAAAAAADg4RmGIedcrEzT9O3f/u1PnjwJIXzl6197x/e/8+RkFJHW5Ojo + 4PR06anVu6SUVDXnfHJyMp9D8/Mnfpri5OTk6OhI1qdWAAAAAAAAAOB+2TlXDAAAAAAAAAAAAAAA + AAAAAAAAXJMFsdA0NA2tyvvf//5PfOI3WxNVUdGg15nM78VNzGyaJjMbhqG1RqY1gEdoLm3f9/1c + FqrWeru9ur+8yHiM0QfTS27dtUxrEfF66F3XeXl0f9KDrltrrTXv/1zCHgAAAAAAAAAAADdjmqZp + mkIIpRT/Nsa4//pd13WllK7r/MKf586+6H7mnEMIXddN0+Qd2B/Hq6qnp6ciEkKIMaaUrpdpXWsN + IbTWTk5OfHNmtifh5qrjOU2Tt1lKOTg4SCndzMXTq46n75GHE9daF4tFKeUaQ3qPxrPWmlI6ODgo + pfjVTG98q9saz77vSymLxcKz21NKpZQ9mdYPdTwBAAAAAMB91kTaU09YEAvTWMRCFe0WBydnq9gN + q6m8/ZXv+/f//kuLRRKToHJ6uhSR/TdnlVL87KWfh5mmSVX99Iifozg+PlbVcRz9LMQL3FEAAAAA + AAAAeAEoXwUAAAAAAAAAAAAAAAAAAAAAwAtj4af/+U9/7GO/krOlFEppImZmQz+spvFqLZl5uZO+ + 71trqjqHuQLAY1Nr9VLXHsa8p0Y5nsc0TXN9c4+49gLot9urC7z4lx/x1p6qO5ZS8nBrfyfcwc4D + AAAAAAAAAAA8YH3f+5QGT6cehkFE9l/Cm69P1Vq7rhORG4ib7bqu1hpj9HkXfkWptbYrwdfMjo6O + PI7XO+kvv+p2/SUhhOPjY7+4GWPcs92rjmff95707AnHflntqp28hquOp69TSvERMLPrHfR7NJ7+ + Qs+K9tCjPbHTtzWesr7s7unU3ttHOJ4AAAAAAODhOT8PoFprDSGVUl555ZXPf/7Pu15Xq/Pw6Ri1 + VpumKYRwYY76ZjutNb+Hq7XmZxv8dIeI5Jy7rjMzP23CPHYAAAAAAAAA9w5zqgAAAAAAAAAAAAAA + APC8/F47VaGUDwAAAABc5jVKWpWhP1jlErq+VfmFX/iFf/Wvfn6amoiU0kzEREzCasq72pkrvcYY + 5+ULIdb+77IbqOn8SGxW1/XlzVIyxOXirimleL0k/7PwaBPuPX1Z+CV9I1wu9n1ny2m11i7XCyul + yPrD0aOvb6FnAAAAAAAAAAAAj5iq+mU7j38WecaE8/n61Hxl52YmqF/e3J7t+h6p6rxT3/p1qPni + 5v79vep4zjNMNh9vwJXG03+UUpqv837r/bzj43mhkWe+f25rPOfXxhj9SvEjHE8AAAAAAHB/pZRy + zn4f0HziwsyahiraqnRpmKb8vvf9yGc+81kRyZOJiYi0JrWe347hc9TnsGo5n1EfRMJ8y0Zrres6 + z7Set+5nSHTtxvYaAAAAAAAAAN4od7S8CAAAAAAAAAAAAAAAAAAAAAAA90tKqbUmqqenpwcHByW3 + X/u1X/upn/rvr9qOF1IppdRaY4y11pTScrl8EX1+5HyEVXWuPuPZ5IvFYrVamZkXlBnHcRiG2+7s + ffVoE5dfKC+T1Fq74YrkAAAAAAAAAAAAAAAAAAAAAAAAd8dyuXzTm940juM0TXO2dN/3U21mNgzD + arX62Z/92Y997NdFJEYtded9Lq211lqMMYSQcz4+/raTkxMzW61WImJmfiPSje0aAAAAAAAAANyA + cNsdAAAAAAAAAAAAAAAAAAAAAADgIThdjqlfSEzFRCx86lOfet/7fqSU85+ayEbVkybSdrXTdV0p + JYQgIrVWEck5e4ot3lheSqbWamatNV8ws9dee82LzviTZFrj7iilTNMkIrXWOYj9tjsFAAAAAAAA + AAAAAAAAAAAAAABwC7quq7WenZ3FGFNKqjrVcrpaikhK6eTs9H/5lV/5nz70YRNJXbcn01pE+j6J + SK015zwMw8nJiYi01vzGohjjnGnNrRwAAAAAAAAAHgxirQEAAAAAAAAAAAAAAAAAAAAAeAMcHh6O + Yzazg8XR7/7u7/7AD7ynFAlXn7afcxYRVRURj62dn8QbyMxqra21GGNrzQfczOYQcVWttcYYPVwc + uAtSSn3f55y9FlKMUVX5+wAAAAAAAAAAAAAAAAAAAAAAAB6hGOM0TX3fd123XC7NbBiGw8PDcRy7 + NHzqU//7j/3Yj4nIMHQ5Z1UVCbtSWqapiIjfVTSOo6qand9SVEoREb/DaN0OAAAAAAAAADwExFoD + AAAAAAAAAAAAAAAAAAAAAPAGqNVqsaDpj//4j9/5zu9fLrOqtCYmYldpp+u6EIIHKpdSzMyffEHd + frRUNcYYQhARf/Rw667rWmtmFmP05OA5XBy4dWa2XC69RpIXRZqmib8PAAAAAAAAAAAAAAAAAAAA + AADgEfKQ6cVi4Qtd161W09nZ6vjo2/7gD/7g/e9/f85VRMYxi0azOY56e7h1jLHWqhpFQq2llOYJ + 1imlcRxjjK01v/Po5vYQAAAAAAAAAF4kYq0BAAAAAAAAAAAAAAAAAAAAAHgDBE0hhC984QtvfevL + JycrVTGToFeet59zbq0NwzCOo5c+kXV+Ld5AXkHGB9azw91yuZxzrMdx9KI2lJvBHaGqBwcHqnpy + cuKx6/NfCQAAAAAAAAAAAAAAAAAAAAAAgEdFVVW1lFJKOTg4qLWq6tHR0Z/92Z+9/e1vPzk5tSYi + 0nXd/nZSCqpSa7VzVVVSCq21Wus0TcMw3MT+AAAAAAAAAMDNItYaAAAAAAAAAAAAAAAAAAAAAIA3 + xt/8zd9813f9F0+evCYiZrIYFs2aSLjq7H1V9XBlEQkh1FpTSi+kx4+YD29KycxUdV10xg4ODqZp + ijGaWUqp67rWGuOPO6KU4insx8fHqjqOY9d1xN4DAAAAAAAAAAAAAAAAAAAAAIBHyO8P8oVpmlar + 1fHx8Ze+9KUf+MH3fOVr36xVzERjyCWLWeq6Xfd5ldJCUM+ubq2KiJm0JiGEGGPf937vRgjh9PR0 + 3igAAAAAAAAA3Hec7gQAAAAAAAAAAAAAAAAAAAAA4FvVNHz5y19+y1u+69VXX/VnYtTVuBr64Rqt + 1VpVVURaayISY/QFvLFqrZ5pXUpR1RijP9n3/TiO/kwpJYTA+OOOSCmpas5ZRMys73vPX7/tfgEA + AAAAAAAAAAAAAAAAAAAAALxRnjdIJYSQc26tpZRCSAcHR3//5a9833/1zi984S9jFDHxW7Q0BBEp + OYs0ke13CYUQxnFsranKNBVV8fTqWj3l2vzx6OjojdhBAAAAAAAAALgTiLUGAAAAAAAAAAAAAADA + 8/Ib9sxEVVXVo79uu1MAAAAA8KKoBbX1rHtt81eIkssoUXLLEoMFDV3/1a98/Tu+4x89+eZruUgz + 0RBKNRPJtewvd3JeG0XVF2KM87+2VDWEMK95A7v82MQYfajnVOA53HoYzvPI/UeMP+6Urutk/XeD + kzMAAAAAAAAAAAAAAAAAAAAAAOChCBe+/A6v8y8RFRGrYlWlabBmxW/vKiZNwnIsP/qj/+3nPvd/ + ikktIiJmZrVZXd8aJq3rot/tNd89tL5NI3p2tYj0fZo75Lcazbdy3OhgAAAAAAAAAMALRlklAAAA + AAAAAAAAAAAAAAAAAACuoNZ6fHxsZiEEM5um6etf//rLL7/85b//ytnZyteZo6lb2x5oLeuaJp5K + a2ZmNgxDrfVm9gIAAAAAAAAAAAAAAAAAAAAAAAAA7piw8biF37RlZq01M1ssFqU0sWAmP/7jP/mb + v/nJoBeysV/XdV3OOaUkIuM4LhYLEck5D8MwTZM3XmstpaxWqxe4iwAAAAAAAABwN6Tb7gAAAAAA + AAAAAAAAAAAAAAAAAHeR6c5E6tVqVZr0fT+OOYT09lde/tM//QvbfK1ZCMEXdjXiCdaq6mumlMZx + 3LM+AAAAAAAAAAAAAAAAAAAAAAAAADxoT93SZfr6k7p+UlX9JqySm8YaQqi1/vzPf/ijH/1oDLG2 + uiUV20REcs5zsnUppZTiTfldXeM4DsMQYxSRlJKZeYQ2AAAAAAAAADxUl86lAgAAAAAAAAAAAAAA + AAAAAACA3bquK7l5pnXXdW97+b/8zGf+KEYRkRijFy4RETPbn1EdYxyGwcxqrapKpjUAAAAAAAAA + AAAAAAAAAAAAAAAAPJOqqsRuMaxW09Af/MZv/K8/8zMfLKXVVlU2s6jbhRfmnEWklOKPIQQRMbPW + mt/q1VprrfkNXze2OwAAAAAAAABwK9JtdwAAAAAAAAAAAAAAAAAAAAAAgDtJL1YtEQsisprK4uj4 + 7OxsMRx+3/d93+/93h+ISK0iItX/4+uaiYiq7gqrrrX6+sfHxycnJx6DTbkTAAAAAAAAAAAAAAAA + AAAAAAAAAI/Spfu5RGR9i1aTKiIq0e/AUpFxzH23+P3f//33vve9ObeUQi5NQ5TWtrYWQvBbvUII + rTVVrbXOd4HN67ygfQMAAAAAAACAu4bzoQAAAAAAAAAAAAAAAAAAAAAAXEGM3TiOfbd473vf+/GP + /28hSEoiG7VLVPV5ypeklLquExHPtPYnW9teewUAAAAAALTUgucAACAASURBVAAAAAAAAAAAAAAA + AAAAHrn5Hi4z7bvFX/7lX73tbf94mpqIlNLe/OZ/uOf+rNZaSsnTrGOMrbXWWinFzPxVnp+dc57v + 9gIAAAAAAACAByzddgcAAAAAAAAAAAAAAAAAAAAAALjzLIhI0yAiVkUs/LN/9tMf+cjHRKQ1aU1U + VFS9XslcG0VE9lQwKaXM69Raa61932++FgAAAAAAAAAAAAAAAAAAAAAAAAAeCb+x6vx2LH09ndpE + TJ66byu32pp84ytf/57v+d7T01WMahLM7MmTJzHGWuuWpk1EJOccQgghiMg0TboWY1ytVovForXW + dZ2v6QsAAAAAAAAA8FCF2+4AAAAAAAAAAAAAAAAAAAAAAAD3iar+0i/90oc+9GERCUG8FkpKqbXm + RUxaa621Z7Qi4tVPPPe6tdb3/fO8CgAAAAAAAAAAAAAAAAAAAAAAAAAeD78Da/Pb1lqt9aWXXvq7 + v/t7M6nV/Mk593orVfW7wFprq9Uqxmhm/lhKWSwWIhJjnKbJzMi0BgAAAAAAAPDgEWsNAAAAAAAA + AAAAAACAK/OcNrl07x8AAAAAPCStta7rcs611v5gkZuV3FLsf+3Xfv0DH/gJEQlBapNmkrphKlVE + zGzrP5RCCP7PqPnfUzHG1ppXPPENmVkIgX9n3aRaz4+aiHimuC+XUuYD4c+XUm6tlwCANa8yZtts + rra/DBkAAAAAAAAAAAAAALhHmAYAAAAAAI+RNtH21BOqZmYaQ+qn2ixEM/3Hb3vlC3/+lybiX+ub + g2qtWdVEWowxhKAiKhJVVMRv5pL1PUR+z5eZedz1vLm+7/kHKQAAAAAAAIDHgFhrAAAAAAAAAAAA + AAAAAAAAAAC2GIbh7OzszW9+s0p88uRVVR2G4eMf//iP/uiP1iqLRT+vmXPe006MUdaB1h69OQxD + rdWrn6SUQgheNkUowXmzPEfcD02MUVW9GM3h4WHXdV6PprVWa90sTAMAAAAAAAAAAAAAAAAAAAAA + AADgJi0Wi5xzKa3ve7HwT37wv/7MZ/7trpX9jqFaa2stpSAiMcb5tq0QQq01xui3dwEAAAAAAADA + o0VZJQAAAAAAAAAAAAAAAAAAAAAAthhzNtWvfv3J8fFx7IdW5d/8m//jXe96d87W92m5mkQkhOTl + S/YUMam1iohHJotISmkcRzMrpbTW+r73Mii1Vs9Uvqn9e+xaa35E5Ol8a1XNOXuOtZnNCxwaAAAA + AAAAAAAAAAAAAAAAAAAA4EVTCyJi2uZnVtMkIRwcHJydrQ4Oj/+Hn/nnv/HxT+651UdVzcxXUIkx + aM5VRLquq7VO0+Q3EMUY/WaiF7s/AAAAAAAAAHBXhdvuAAAAAAAAAAAAAAAAAAAAAAAAd1TXdcMw + TFNRiZ/73Ofe+c535mxdFz3EOsbYWtsTaO1ijMMwmFmtVVU901pEUkp933sBFF/N66HcwH5BRMys + tSYirTU/jq21WmspRURKKX3ft9amaRIRytMAAAAAAAAAAAAAAAAAAAAAAAAAt2KxWISQxjF3afil + X/rIv/wXH+pS3HNTl5nFGFNMIpJLrq2eL+dca40xhhD8sZTyzLvDAAAAAAAAAOChItYaAAAAAAAA + AAAAAAAAAAAAAICtgkhIqReRz3/+829968tPnpwMQzflOuUqEkJIIq3roqqJtF2t1FrHcRSR4+Nj + L3TitU7MbLlcppRExKOUp2nquu6Gdu7RizGaWSklhCAifd/Pg59SijF6xHjf99M0ebg1AAAAAAAA + AAAAAAAAAAAAAAAAgBfFgtiWFJVabblcpq773d/79I/8yPuHocu57mlGRVqtpZahH/weMTMVCX5j + V6015+x3eKWUVPVF7Q4AAAAAAAAA3G3EWgMAAAAAAAAAAAAAAAAAAAAAsIWXJsk5f/E//O1LL32v + hxyPY+66zrOo/ZmUUmvNo5G3Sil5XvLJyYm3KSKtNVU9ODhQ1ZOTkxijiFAD5SZN0xRjTCnlnGOM + 0zTlnD3QupTSWjOzvu9FJMboCwAAAAAAAAAAAAAAAAAAAAAAAABuwka+taoOw8Ef//Gf/OAP/pNW + ZRxzSn0IaddLVVREUkzjNPqCiLRaa62qGmPsui7GWGutdV88NgAAAAAAAAA8bMRaAwAAAAAAAAAA + AAAAAAAAAACwRdcNy+X491/+yksvvfTVrz5ZrYqIpJhyrrWaqoYQVHW5XIqIB1dvVUrxAGwzq7VO + 0+SvLaV4yvXx8bGqjuPYdV0p5ab277HzpOrWWtd1tdYYo6qWUmqtXdeZmSdeT9MkIhwXAAAAAAAA + AAAAAAAAAAAAAAAA4CbMgdYWzr8kfPGLX3zllVe++c3TlIKIqGprTVREtzUgFkMstaXYxxBF5MmT + V0UlhOA51ma2XC5jjDHGs7OzG9szAAAAAAAAALhTiLUGAAAAAAAAAAAAAAAAAAAAAOCipmFc5TzV + 7/mel/76r78YgohI0FBqUVXboKpd143juKupEIKIeIJ1a63v+9aaiKSUVHVOvO773qOUb2YHISK1 + Vs8XTyn5soj4QfGIaz8unnh9250FAAAAAAAAAAAAAAAAAAjVdAEAADaEO/MIAG8QbedfTwknJyf/ + 2T/6z7/2tSdBpZS2WCxyzvvvw2qtqWippbW2Wq2Ojhf+fIxxmiZVPTg4WK1WInJ4ePiidgcAAAAA + AAAA7jbKXQEAAAAAAAAAAAAAAOB5eQabiKSUWmvxdnsDAAAAAFekFkTEvKzJRnETTywuzZ8JMcZp + KgeLgyff+Or3vvVt//df/rWI1CYi0sRMRKz6C/0fSWbm0dQiEkLwmGQRSSn5gohM0zRvq7UWQsg5 + d10nIv5IavKtiDH6Y61VRPxx/sfvzMx8TQDALaq1hhBUdf4MFZHWmv+VLqX4SUtV5VMVAAAAAAAA + AAAAAICHQUV0PZ8riASTICImKiJ2MT2x3e/5Au3Zq+CxeIT5oLz/b1O4OG0Wj9r9/ix9hOwuRFk/ + oI+tiwG6d5Sqmpnf9TDPmL18E8SFl/ia8wv3rLOLNd26uc3l55nB6/3ffO2eV5nZzp8GlW07HkLw + 2cWy3infa2/nQmt7B8Q2e3i5G5uvfc5d2LqaN+KrbQ7Oxf2KMu/X3NSe8WmtXei5L/hc66f3VP1G + p127cGGLW5/c/w6Up4/7Zsfmfs4/svWdWjFGU805D/3BNE0W4jTm73rL93z1q99o1e8GqqvVKnVD + ydnb98eu63LOfqOQiZhYjNJqqz6Aqj7tXET6vveNLhaL/f0HAAAAAAAAgIeNWGsAAAAAAAAAAAAA + AAAAAAAAwKM2V4Hpuk41np2dvfnN//BLX/y7V97+jj/5k8+LSNd1tdbnqbTSWmutxRg9uPr4+Pjk + 5MRzrEVkmqa+70spqjrncQIAgOcRY/SFubrcnG89f9T652/XdXO5MQAAAAAAAAAAAAAA8DiEe56M + e9/7DwAAcJ/dk0xr2R20vCveuNYq29KIL6QUm9nlgOGnXiLx8g01quozeC/8aE/c8q4Y7Dn2eA4n + 9mVv/7K2++6erTHSc4O7Vr70/FN7cSFKXNfmJ3fFQs/jc+GOpMtDtP9+pVrrPNqbEdG7+u/zqOe9 + no/vPJ7PGS6+eXwv7MWFwyeXsqu3tjO78H67GL9dimir1YZhyFNOqc/V3v3uH/irv/p/xzF7g/7e + 9nbM7Ojo6PT0dHPEfOHw8PDs7Oz09HTuHpPMAQAAAAAAAOACTpsCAAAAAAAAAAAAAAAAAAAAAB4F + 21FpKKW0XC5D6nOutZajozd9/WtPfviH3/vZz/6R/zTnPK8cQthVbkZE+r6fpqnWWmsdhuHk5ERE + zKyUUmvt+7615gVQ5iROAADwPFprHlbd972IjOM4DENrba7RVmsNIXRdt1qtFovFbfcXAAAAAAAA + AAAAAAC8kZpI0wtPPBVxF2x74t194JMS72//8SLcm3BNAA/JvkhV3EGv3x/R7szjPXcfwq09DllV + TTZymnVnzHPq09Ys51KKqoqu44TN9PxHO7a7vo/mqRRk1bIOD5ank4l39edyjPF5ZLKInPdATEyD + B29ra3VrO7vCmEspm8nf6xUsxijbo6O393MzdHnz0eOlL6+8KxZ6trnjqurtXH7VrljoVkV1Pjrz + Orrr73ZrdSOBO4T1sd4IpX56WzsamsYytxPClnY2+9yaqW7/R00t80EJqq8Hq5+HT5t4G+v3czOV + KdfF4mC1Wk1j+bZvW/zw+9776U9/eprO34ellHXHq2gLGk5PT2OMtdacc9/3tVYPzz47OxORw8ND + P0w+HX3HsAEAAAAAAADAI8VpUwAAAAAAAAAAAAAAAAAAAADAo7ZcLt90/A/GkktpXafjKv/QD/3Q + b//276lK1/XTNIlICMHMvKbJnqZ85ZRSKWUcR1VtrZmZFz2ptXopHBGZFwAAwPMIIXig9enp6dHR + 0TAMImJmnmk9TZP/VEQWi8XmZy4AAAAAAAAAAAAAAHhY2gPJTXxdeHB7BAAAbsxdSLN+EP8ncx8y + rS/YvL3F59Ne5uG+87cbqcbnycSek315hQs8rvjCyq21zfm6808vxB5f7vPlFS5/uzX1eaM/F+Ox + /VVzfy4879vd3Mr+IGrvgD9ujvOu+cm7Wtt6C9I88pf3etdxXCcxB7NqpiIthOQdFGnrf1C8/hhC + 8mWzKhLMfLltrq8aRdquFvxxGA58nXU7562pRl+e1zRTEdvVjvfHTL0PZsVbS6nfbEHVl7UfehE5 + ee3s4OBgMRx/6EM/95GPfExEQhBVre18DEMI7dLbexiGcRz9GR9Pz7qOMapqSqm1tmucAQAAAAAA + AOBxItYaAAAAAAAAAAAAAAAAAAAAAPA4XC4zZEFEYje8drZU1b5b5Jw/8IEPfOITn4pRSpU50/pC + JZo9Yoy1Vq9fU2stpXgktqzr13gBFGqgAABwJV5QbLFYHB4eeh23nLOHW8+Z1uM4hhC6riPTGgAA + AAAAAAAAAACAB8BERIM8FfbWRMRUREytiYic58fd9yl5973/eAP5G/v+JWvintLtqaN7vNi/V1fu + zhXtizDd5kX3B/iW8GHxiG2mU8veGOnNcGhfaK2Zmcck29q8wq525tXm1ubX+jO+3Fqbu7SrP1t/ + erlZuZSifXkE9sRj+27Oz/j+brY2j8bW9v02ItmIoJ43tzkU8zq72lnHUcuFpmKM86s2j9HuOHDv + sJqpfxab6aVc7Nf5yiK+chAR1SiiqufR1KphjrVeB1Tr5cda7UIauDdSqx9673zwx3Xk9pYvj0VX + Devl863nXFVNxJvyMQ8ienoyxqh9v1DtfvXXf+Mn/rufPjjolst8nk6+Hlszk9pEpFnr+36aphjj + OI5d1+Wc/dat1WolIl3XzcPL/VwAAAAAAAAAcAGx1gAAAAAAAAAAAAAAAAAAAACARy3GzqxYU1X9 + p//0Ax/96EdDkFolpaSqpRQRqbXKOrJ6VzsppVrrvMJm7RsvppNzbq0NwzBNU0qJSigAADy/GGOM + MefcdZ2ZhRCGYSilpJT6vq+1xhg95Xocx77vd5WxAwAAAAAAAAAAAAAA94Rujx8lwREPHm9yAMDz + sHAXPzK8Vzy+sEeVKNKsqQYLmjSYWDCpKnHr+tbUpIoFX9MfRWrJzX/qa5634+1fbudpHsPsqcyl + FA8Plo3I6hDCnnhs2RYm3VrzduZG3K646BijbAuB3gyK3mzK7/TZnF28MxF646eqUbWJhBBMNYYg + tZpZEQmqphpVTSSI1Bg7kSYSLjzWavOyBzmLnMc/m215/nIL6xDr8+6HkFTVLJhZaxLjeWr1hUdV + 9dxrET8WKnL+aOaPotrMrLVqZintaSeoikj0gfcxjFG8D55FbSat1dZa13Vb22nnedR+FJqqmjVV + vRD73dr5OsNw4NHUn/vsH73rXe+JUZfLvHmA/L3hzXpG+DRN84HO+Xzl1Wrlb04PXN8T3A4AAAAA + AAAAjxmx1gAAAAAAAAAAAAAAAAAAAACAx8eCiDQNItKm2nW9pvCzP/vBX/zFj/jPVc4DrWcppQvP + XFBKiTGmlMZxXJdcMa94EkKotXZd56v1fU8lFAAArsQ/VbuuG8fR46tFxIOuY4xezM4rlA3DwOcs + AAAAAAAAAAAAAAAPhk8C0NcX18+riYja3UtzBAA8XhfDX++Xps9eB3eG/y/Q3XvLaeDxhT5qiGZi + qioWQmxWa221lq4bxFRELzzG1FnT1sRaDUGlmWgwMw1RRDVtBC2Lh0Bv326M0VprrZmnHPv/iWuQ + qBKCrJODTUxEmsmumbxd15uZmM151edhw6VYCBY8wtlaayoqJhri1nbq+dTi8wnGrydqxyQizWx+ + XkWDBguiqibiwcYzj8e+7Dz2uamJtGqiLWgIIiLBQhQLEkwkNqmtSjMLZqImlx5j7EyqNTURMfVk + ao3aLJiep0JXU1GtpTWrXUqiHg+/8SgSu9ia1dbELAQV0WbWTJ5848nW/vvk6lprKaXWWmv1Y+cJ + 0DanZIt4OPTp6en2Ayay2c6cJJ1SmsdwXqfWOo7jc7azNZJ83oSZnZycHBwc/NzPfbg1iSEcLPrl + aqkhiYhKu9DCfA+XH9Ba6zAMq9VqcwWP0C6lpJQ2A84BAAAAAAAAAMRaAwAAAAAAAAAAAAAAAAAA + AAAetZTScrn81//6lz/4wf9RRFS92uV5XRsvZDMXW9kfbh1C8ExrVZ2mqe97byTnPMdwppT8Rze1 + fwAAPASqGkLwYmpzJTuXUso5++evrMuN3VI3AQAAAAAAAAAAAAAAANw9FkQbj1seJXig6fM9Arg7 + 7t6vpM1dCjy+uMcQYrNg1kTUmtaq05RzLmenk2xT1nzy7XybzLyCmXnYsK9zOWzY5Zx9tbmdeU1v + s9Y6TZOvZmYhbH+Lnp6e+kzgC7HW8zTg1lrO2duZG3/+drwp76rPLp7vCdpsbc5OPjs729p+CNFH + Juc8TdOFzsxB2vPQ+QqXeSdzzr7O3I35NiVf8HU8GXprO621aZqmqfqoq8q8sGO7F5/xNTefv/zM + ZfOGNp9RlXkqt39ru4PMXQhb1tncC+/M5gzxlEIpTUS61OWSl6uliq4P9/l6c4j1/G2t1d97q9XK + RziEUGtNKbXWQghd1+3rKAAAAAAAAAA8SpTnAAAAAAAAAAAAAAAAwHWoqvjdkrfdEwAAAAB4TmYW + Y8w5hxAkqEhoxUIIuZRPf/r3fuzHfkJE7Lwmi8aYas3+Qq974oVOPNM6hBBC8OU56FpVc85eUEZV + NwM1ve7JMAz+LZnWd82FmkpevGbzyN5q7wAAr9taL2/+dPZH/m4DAAAAAAAAAAAAAPAAxBhLafPk + rhjVTJqKvJ7iqCJi/p02WefzXZg54N/OE8PmbLOr9kdVQwg+RdDz5zYnnr2B5sZVtdbqC/78nJ+3 + a3bEZqrfZoOyEZ4nOwbqsssrzB2Y+7NrzcuJibsa39rO5l7vb+fCTy+M23MeowvDsmt3Zm0zcG+D + v0MuTEeU9bSWXTu7pT9tSx8uvGpuamuHL/Tzwvvhwvv/mYdp1wqbb8jNdS78plzu/K6d2tw1n8Z5 + pXbk6XfOPESed9hMrJmIiqlqEBORZzyqqGgwEzNt1WI8f0ZETVRMVKNqaCZbW1CNtqPlzZ7ourVd + PdnTjpiYqUqY19zsm6z7v39Pm6nI61+qQSSoqoeWmvmobiaqXs3l39D9v5j2dDrpntaeud3N35HN + HNMLf2H227XmhbflxrfPGKVdfwQ2f7u3Pvk8n1+bjbt5xt08s84zUzcnum8K+vrvrzx9yDbb8ajX + 1tqudlJKviHv89zIPP7zwPq2drXjAaWb7cx7evk47nlrXfgE3Byxudn5czaEsOvv/NbDdKFBeXr8 + 5VrHcfMP7OahnD/mPDx4Tz83o3bn3vquzY17Su6edq4Uh1xr9f83u2wOBp7fAPObwYfCV5gTiHeN + zNnZ2fye2fo/RR5jPHd4l5OTE29hc1Rl/T7ZGmN84fPlWXHIYVc7mwM4Rx3v6e16ZOpmhPAL+N/P + e+mFFzi42+M8vw2e//1wec3nee32V9nOb3ep297mT+3FhcRrEc+0FpFcqkiYV1FVkSgiZlVVfRq5 + iMQYN/9g1lr9GVn/3+A1/g0IAAAAAAAAAI8EsdYAAAAAAAAAAAAAAAAAAAAAgEfB65UcHR2dvHbW + LZKXB4ox/s7v/O7b3/7OECSEkEtLKdVq/tNdWmuttRhjCCHnfHx87LWNVquVrMszed0T3GVznRov + BTUX6losFqvVaq51NY7jHEkOAAAAAAAAAAAAAAAAALgBnk+2jhoMrc4pm2riCWcmch6GFsLruYab + 6ZsebywbqZBzjuPWjV6OUHVzHuEFe+Izr7Szcztz6ObWNNZ5X+bwtgu2Tlyc58jJenAux0Bu7c/l + vduM2fYoSv/2cn/m/m9tfzNW9kI7m/s+t7NrQubm7myO4dYY6T398fbn7c67v7n8PO1sfVeYWc55 + 81BuZpRuacXCuvkg0jYfazXVFkISaapRtalGf/7Cmv7oa154XrWV0lRNJKiaalQVX25NLqxvpltb + 9kfV6O083b6V0tbLMq9jVne1c2G7quI9n/crBNtsZ1evWhORutE3b0dK8d/fqBpFgoh65LOHtG79 + 8mOo6gcrhhBDCLXafOTnt5uP4fp4XXz0sT0Plr70vIiKBLO29bXPbMdHaWM01J9XnVu29cKcV72l + /RDiuh2dx9OsxfjU+6e10pqY1ZT6Xe8HPzpm1d+ZftT8mY12qpm2VmLstrbTdcO8rcvHevNdt//9 + 6e34tsxU5Pz9c+F9u+edOW9x62/EpZ54b88/j57H5l8ST8m9/NPNP0Tum9/85tbWYoyttc2AYW8w + xjhHKXuD/tOc8+VG2ron3o434u2klDbzhn0dD1fe2h/PJ97M6/U+zH9v65r/5d81dX9znc0/yL5f + 3o2c82b09dZ2Xn311bkbl3/qw7IZMLzr7/yuduY45Avjb5fiz/2Fu+KQZT22niU9j0oI4gOpep5q + fL1g4xDOX+vtiLze7I71tdZd/6tz/miv/+/YTl3qasu7spuDBnn6I9GfEdvyaNJ2bSvoUz/dE/8c + QzSpl/sTNGgwazq3o6IhSqsiairP7sMeqiKmtk7uVXl9eZcYYrO6uS3dl97++DAOj1JKqZTS971/ + AJVSVCXGoBrnj7Y9HysAAAAAAAAA8P+zd2e/kiz5fdh/EZlZdU737YuZ8b/jB/t/0JMACjOiZoiR + 5SFp2rCGggwJfqBoU4YB0uKLAAmkNxkmTAmSHwSJ4oMkwAZHEkkvoLlgNCKohUPeO72dqlwi/BCn + sussdbr7Ln26b38+9yI7KyszMnKprOVExpdbibUGAAAAAAAAAAAAAOC9kLpunufHT58Pm2Ge52lc + vvzlr/z9v//3//yf/8mIKDUtc9lszsZxbD0knuq2MiJaHyitA6ztdvv06dM4dLMYV/tPvNYXFW+V + dqRaL2bHR2qe59bZTd/37RDfazUBAAAAAAAAAADeMzmiRtRoEaS11ho1ckrRRaqpHoUcpxxR5nKZ + W5YOGYatVdiw2axxnsshYDJOxySfynUctts1c7S2wksppZwqp55uf3hitd0hojAdRzLPy9KCJ1Nq + ibMRLdGwG24tZzmKo74aDp2irmGrh0zru9pJXgmKXnXDZWu60tJNS42oKaUWHhxXM6HjdNpg6rqI + KLXWWsvyInK767pIL8LM47DN84lcuqNZXoysWbCtWWDKaU06P5VCOc/lKEf8cmKt0XX5WvF3x6LP + 84ttORSUatRhu1l3zuWJVCNqHOKQr23V5flQS4oUUfM67Ib+EKi5LEvUqFFLpNLl4db4z1JzjRo1 + XR6MFFEj5dRvziKVskSNpZQUUWupkUpOfcopao6UouYaETXXaEGeV2rShrWkiHooOUVKOXWR67U4 + 0hJLWaLUOvT9rfXsUl/q3OapJVKOVCNaKHUqKbpIpda81LkspUbpu02r4bXhMGxKnZe51lhqzSnV + qCki2uslpdxOzFqjLKWUZRg2R2nQL4Zd15eylFLbf+2YRztikXLuInI7NkeXnNuysS8jpeNa+aXU + nHMLn27PppRTyqXUW+vz5PGTQ81LRGrDiJpSbvU8BBDP7WXZdf16vI9fjk+ePH1xHhwN13LmeVqW + spZzON8vyyllac+O43RrORGp1aSV015wKV3u+WvllLJM03xrOfv9uM7Tat5KKKWu+6HVZJ6XUpZb + a9LKWZb5Zjk5d620tbbr9t5aztOnz9Y9f7iwXe63Wsvx/l+WF6nPNz19+vTWZ3POx3HUpcRrvoG8 + xHGy7x0pv8fq1XfCdjF73RjdrotSXmOpU83tU7prh7ziFr101ccBz3cX+wn2xvGmvXTBFjv9Ys7D + sku9HG/n4PqhoN65c26ez4e33Kj1MiA55Vzby+s25SjTOqX1qnJUw9sXvB4PPy3lcDF8EXi/RsUv + tZVzOX9K9TDl+vDy41C6Eki/lnao7Lre2j4l3qzPfLnB16cv9Whft9D6SFHbe0C71qd6fS0nhyl1 + 6zbm3Occy1LXml9uTVzZlpfWs0aKyzeLl6zd8FMP3zaf6XvDu+DU9XKe52EYxnFMKT18+MHTp09r + bR/CS7sJKOdca/usldo9QW+03gAAAAAA7ya/pQIAAAAAAAAAAAAA8F5YliWl9ODBw/1+ipqGIf/a + r/3aT/zET3z00Q9SilLr+fn5xcU+IjabzW63u6OocRwjonV6st/vWwZ266VpzULuum6apmG4ve9I + 7l3rGrL1g1lKaT2B1lpbNzcRkVJqx7EN77u+AAAAAAAAAAAA75fWSK/WyyzGod+mlCJ1LX0yIg6Z + c92L6OFDnHBr0TdNy2Fibs3A2gzzPJ9Y5+25mvv9tJbf8mhTatmTtweudd3rheHl3LdY0/boEA5d + h6E/Dmm+zNU+HYrZ98Ma473maLY6r/O05o4t3LfWl6n6tAAAIABJREFU27e37/u1nLWQiFjWPMxI + Eanrciu87c+UUis2IlpC5qmmd63xXiu167pWSM55mqZ2uNdQ7FaHU3F0LbXuuJ6Hyrbo35Y6nCOi + pfaeatK5Bk63JOw28Vqph3zgsu6N2/bbZq3MOk9KsduNhzOnnZ/5sB9uP5bPnj49HKMXUbstZrUF + 65aytBjdNeL31jje588v2vzXyimlrnHIa+hvC+hNh9DzdV21lufPL24tv8U/L0uZpnGa5jUeuO+H + dYe1dd0dY9yeXQOVb41krjXW2t5RzjxPx1HHtR5nkOeWbr8sUwsWbQmIt8SIzqWUeVlqKXOtqdal + hZL2/WYtYZqWadq3ObtuuLWcp0+fv4gvrSmlyyDSnPuWjT7P434/HaWh33o6XInRPT4njyPY71jk + pe6YOaUXw+PE31t1XdR6Jcm4pQW3Be+o7TV9H6eindf44VZOK+pUffo+lVKv1edVKnBzpS/dOWtl + 7qjPrXvg7szmuytwa/nXqnGt/BY0frey5gfnW19nkXKkaM+mGvVUsPgyX18q6uWjSNGuScexy6eC + xdfpx+uNVNfy09V5TkSu37WWGtenH8WXv8ZwXfu6dSlHWV5s9YuE5qvbfjy8tj9vltnq1p5P6WTs + 69HhToc3s+vzHt6vSkQcf064Ns/ROfbi3bnruvX97upbcL46cjmstR6PrwnxOeeUuoioNZeyZgnn + lCKifYS4Mlw/Ex6X3z7MtHIO86zTbz9gKaVby4+IlNpHjhf1ObyIXqyrfVQ7XtfN4WG70lpaKXH0 + GeC4hreXEJFzzocS7lqX4eczfNvk9zDZ+pT2MbvruqdPn6aUzs42u92+1pjnOaW02+3aHV4pJZnW + AAAAAACvyM+pAAAAAAAAAAAAAAC8F2pNZ2cPnj/f5Zxz7n7nd373R37km3/0Rz8YNptpmiLSxcW+ + 7/OyLLvd85eW1tKOW1eGy7K0NOuI6Pt+v99vt9tSyjAMa14yb5sWaN3G2zFqB6sdtWvPOo4AAAAA + AAAAAABvTgtwTS3EMbVI4KdPn7YY4JJKROTLlMYUEakbaiy11pbL2yKTIyLnPo4ChtsMEbVNv2W1 + p2OqWyDxIV66Hh7eHi+3LK+Xm7rf7ed5nud5jeA9jlgupbTU3xYOfBy9fM2TJ09uxjynlFpgdmvu + uJYTp+OZHz9+vNbhOKS5taab53mapmma1voMw3Bc1LrgkydPbi2/67q2Ra2cdcM3m82akdlivNsq + 9vv9reW0BdfZ1q1u5a8PW53neR7H8Y5y2p65GeYdl2fOixkOAeTXXVxc3KxMRAzDsJZw2HOt/FuL + icNKb+63yzzONZj8UM/bSzikU99SzrUS1vmPi7p12TukFDmnlOrN3XN3Oa155s3V3Wy22eY5tb05 + p+Mdv4YBX85fU6TagngvZ4jLKdeGKXKNckedU4oUOVKJmiOVWm4vpw1PldPW3p7NKecu1nzrm1v9 + itPXMl9s+FEdTm1vuXEatPjaF4HQV2c4VZ8yn6jn0VLt2nz3+bCUqIeDdMsJeXzat7ztE6WN080Q + 3ysP1+Dn9kq/67p9SIw+rk+5unNujZG+uvqXTEwpUsoRJaUuoqz1uVHt25N669WzbT12OV8Gq19e + Pa7Ext+2rWkNi64vdvjRsJY4RCEfirgxT9Sjco5Li0g5R5Ro76cnlr11eHO9bZcfIt8v53nF0uLq + /omUI0q93JMv0qNv2a5D/a9sXTs3r669RtTl6HjV+uKyVE+Xfyjt9i1KL7a3vuzSGicOc/sAE4e3 + 2jalnDhx2yefm6Wdeh88Fbt77UPCWo1y24XjdbPn16XS5fXrVWY+9ULNJ18b10t4yWzt09q6q+Ow + yTc/el372Hbs1uNyfFDeOLHK3Jd27uWIGIahfaSf5zki+r6/uLj8ppBzbvd2tY/r7gACAAAAAHh1 + Yq0BAAAAAAAAAAAAAHgv5JzHcdxsNuN+/qOPvv+n/tSf+v73//jsbHOxG4dhmOdSa209m5ydne12 + u1Pl9H3fuiZsD1uvQH3ft14Il2XZbrdvZov4NFpSdcsjP+7l8+Li4vz8fFmWruv2+30LutapDQAA + AAAAAAAAwBuTctRyGZrYGnfN8/xbv/VbP/Zj/0lEiaiRSq45amrxZs92z8rBZQlHgcRrnPM8zy1y + +O4455vaIq2R4TiOralhXI1pPPaDHzx7re0dhhbzfD2kMOdYAxFPRqW+gq473hsvD4zM+ZCbeTVy + 9WaicEqRcz4Vx3sqWvI4bLiV2ZJll6VeS7G92zB0LS76eOekdEu1765PzumOPbzW86X6PrfU82tr + rPXi1mJPlXncXPF4Xx3OkBeL3V23U9OvnWnHcbyfJiiz1tuDgdvxvSOO+tSePzX9ZKzy8mLXpEip + xTa/CB89DpI9PD4OmK3rtBPnc6R1yRKHiN3bSrg6PLEVR8+WWsp8VP7NOW+rRtd1cXlxKMe1f7Hg + 1Trcur21Rtd1xxn2h2T5yDkfp95ey8G96eZ15ubV5tpr81bHx/14/vb6Wl+qL31dH1tfKesFZ324 + Bgjffb26Ob6+To+39M409NuTaK++W7WNPxUYfDlb+/fE8EWZbXi4Pl6f89T713H5x+Xcmm38mvWM + G/V5cWrdEat8o8z1YVzb6jvimU85zH9Zq6PQ4btjhm/fumuVSSkd7ji4/RjddLyN147jcSjy6x6O + tcDjF/utKz211LVavW6s8s3512vLOnI8PHUcj2e+Wfg6cvyyurWc0/v/pZtypZC798PN/fz69bmv + +OpbybTmrTBNU0qpJVh3XTdN02bTl1LmuaxB1xExDEMcbhq65xoDAAAAALwLxFoDAAAAAAAAAAAA + APBeqDVN0zL03dOnH/+JP/Ennjx5UkrsdmPfb6ZpjojNZjNN+2EYdrtd13VrcPU18zx3Xdf3/X6/ + b10mtT6GWncnXde1pOSc87Nnzx4+fPgmt5FX147Xmmm99tJ1fn7e4s9rrX3fd11XSmld2wAAAAAA + AAAAAPDm1BpHUZff+96/+t6//Ffrk1cCynKUV0hr/sTW3OXjWOg7Yolf1zhdaa+4xjoupbzIFr3M + Hj6OybxZz9sTFucbYcOtnFNxiUu5Zfrtoa01lqPsyWtlvmz/pBcxuod00qOo2pTyS2J0r+23ywoc + BfmmdtgOYZGnYjLL9ZjntLYqXKt0KnLy2HQ13rtVJk6EG9+xc5Yb1bwaBvwiUrTWy/TmW8t5xfDR + NXz208RqtmVzzuv5eZQzestWHGvxyRE5otSaIkob77qh1mWdklJt48fzXBmmFyXUSFFqRKtVd7U+ + L9mcQ32u74TjSSnleNnr6I5T91DICxHRshhvnfFKsYeR8uJESW2eTxY3ewgjvyxkrVZZlnXii4Dq + VCPffp5cv27kq1W6uUtPVejES21et7fNcKWeL1HjcL1OUY9PxUMl7yon37jWt5jhKxnXR+XMJ86H + lkh+y6n1otzjc+LyOv/K8d1XrwzHl9O8znF1vafiyfs4OnuPzuJ18XRzjS91HFp8tfwb9bzqavEv + NqFdbdaijt6dby/n5kt1vWrF0X47mucV8tKPirq2aWtiekrdie06uf+vVWbdP1dfRmv1XuP6fzOd + ep346tf/1vD+OCb2+Kw7Zc2SXx+2Cq5vy69SyB21yjlOb+srlXC1nq9YjZd8Nnj1EOtDpP11187P + db1ceo2XKe+4q6d9rbWUOefL98pxnNvE3W53dnYWEe1OLpnWAAAAAACvTrdKAAAAAAAAAAAAAAC8 + 01qHdK+g5gfnH/y7f/eHX/va1/7gD/5trdF3/bIsrSuTlNI0TbXWcRzv6GPucpU5t0zrlFKLQG69 + Cy3L0nXd2nOQTOu33LIsrVOzlkTejt2yLJvNZr/fb7fbNaRcjzYAAAAAAAAAAABvTC0t3zOvgYd9 + 38/zfJxe1564bEFYX7T6Ow6avdkU8JPFvq75lNcmnvIqEchXi3oRbXs1yfIyOvdqlmG9M/7z5Kqv + lXPnzHfV/459eHcFXjpz13WllBtZp+lUmelGSushgjpfm3KY//Z40Wtl1lpLqRGpxSG3MOxXTG69 + Wp/bpx+v6EQ5r9Ys9nItJ2v2+uf5a0dlXgtGvTVw/aWNckuJiBRRDzuqnfbdobTa1lMvX+Yv5rk2 + vPXZnPOpOPPT9bk+/+lE3penVt96FbotQ/eucm5d182401vjaV+6irTGeB+Gd1Wrnmy2ndb6HJVW + a410Ixb6JRU6mn/dllZOHL2uXnH3rYvfXMtRJV+2j65uwq3VW8u54zpz63qOt+uOjXpZHa9d7K4v + eG3vnXbrq/io4Ovh2a/o1kVe673yWiTz+jpdX1CXseInXu+3xjPHje196fXqVOG3LnVHaae2/WZ9 + rlX4Fet5/KHo1qdaOvVa1EuvZsdl3jr/YUWvdP7WW5LuX0lrzn90Gbg8tY8P+6tcck7Nc8cVO27b + 7Z/gbDm1llvnPD6fP9nJCV8862uh3eOTc57nuV2Xzs7O2g1BDx8+rLW6CQgAAAAA4NWJtQYAAAAA + AAAA4FWllNpNry30q5aacjpxlzEAAMAbcNwbXYmISCUiSinDMCzLUmstS3Rdl3OuNXW5/+jjJ9/8 + s3/ut/6/3ym1LVNL1KhLXO0sae1raRiGaZoiYrvd7vf7dco0TV132enYMAxrv05t4jAM8fq9UvLm + rQex7y9vr0gptYnb7fb4Kd3ZAAAAAAAAAAAAvDk1oka9DE8tETHPJW4LSyxt4lETwJdEsr6RRMDX + X0u9I+Lxs6ry21bOTcvyevHDr7vHXqXmx/O8bhzya/nEu/GtSrV8lcq8wjy37OebGaifzGdyEI9D + n193qVef/rrlnI7vfc3yX3cXndquU6/fT7PBp8Y/vVcv7Y45b3/qrljo2wp5vdk/YZlv5fX/U1wG + bxn/xEnJn0mVXre0V1zRp7+MfCafiD6jS/1JayR53/fzPHdddype/ebl6uZqX/e9/mVz1lvHP1uf + 9x5+V3RdV0qpteacWyDxSzLXv/i75L3W4qvbyXCYcnlG5JxLqSmlNdM6DjdwpZTaHUDrCAAAAAAA + r8IvqgAAAAAAAAAAAAAAvLtuCRsehmEcx67ruq7bbjbPnj1LKeVhc/H0+Y//+E985zv/PA5d3izL + stlsxnG8teiWYN16R9rv92dnZ7vdrqVcf/DBBx999FHrNKfv+2VZ1oBkAAAAAAAAAAAA4M2QZwcA + 8C5qd2r0fd/u0WhJxqcyrfnCa4f+7OxsmqZ2L09KaRiGeZ7vu2rcg1prC7xvydbrKbFeJWqty7Is + y9LmvO/6AgAAAAC828RaAwAAAAAAAAAAAADw7io3Jy3LUkrZbs6fPXu22aQPPvhwt9vNc/3Jn/zJ + X/3VX22dH7V+TLbb7X6/P1X02htOW2Se55RS6/Hk448/rrW2KOvWlVLrLeXz204AAAAAAAAAAAAA + AIAvgHmez87OdrvdcVRtu2XjvqvG/ei6brfbRcSyLO0unpyz8+H9tNlsxnHsum5ZlpzzNE3n5+cX + FxftYbuZa5qmdhuX+7kAAAAAAD4lsdYAAAAAAAAAAAAAAHzRdF232WwuLi76vh/HcRzHv/Jf/czf + /bv/e9d18zzHobejUm5JxT42TVNEtEXmeW5dopRSWi85EbHf77fbbUToAwUAAAAAAAAAAAAAAOCl + 1gzjWuuyLC3FVobx+6zWmnM+Pz9/9uzZPM+bzWa/37tV5/00juMwDO2WrlJKSuni4mK9SkzTtNls + 2qWjRVwDAAAAAPBpiLUGAAAAAAAAAAAAAOAddSOUul52WPPwwcPvf/+PHz58WEqUEj/zM//NL/zC + /7TZ9PvxMtM6Irqum6apJVXfWnrOuXWKlHNu3aAsy9KmrJ0lbbfbeZ5TSnpCAQAAAAAAAAAAAAAA + eKl2H8cwDDnn/X4/jmPLrL3venFvSikR8ezZs67raq3jOPZ9P8/zfdeLe7BmWq/nQM55HMd2C1hK + 6Qc/+MGaaS37HAAAAADgU/IzKwAAAAAAAAAAAAAAXyh933/88eNHjx4tS42Iv/bf/fx//4v/Q0S0 + TOuzs7Na65pLfSrTOiJKKX3ftzTrrutKKaWUeZ5rrX3fL8uydpLSOs15E9sGAAAAAAAAAAAAAADw + jttsNtM07ff7iOi6Tqb1e+7s7KzlE+ecW8R1G/Ieardr5ZzneV6WZb/fL8tSa53neb/fl1LOzs5S + Sm1O5wkAAAAAwKfU33cFAAAAAAAAAAAAAADgE0lHPY/UHBEROSJKia4b5hLb8wc///M//9/+7M+1 + WXLOKaXdbtfGW6B113V3JFtP05Rzbj3jjOOYUlr7PRmGYY2yrrW26QAAAAAAAAAAAAAAANxtHMcW + YJxSaiN937c4W95Du92u67qImOe51tpu2HGrzntunud2V1cppdbadV3f9xGx3++32+2yLMMw3Hcd + AQAAAADeeWKtAQAAAAAAAAAAAAD4QhnH+fz8/GI//q2/9bf+yl/5rw/Z05FSagnWZ2dnu92u7/t5 + nu/ItE4pdV03z3McMq1bHygR0YZ936/Z2JKtAQAAAAAAAAAAAAAAXkXf96WUiEgpTdM0jqOE2vdZ + KSXnHBHtLp5aa3vIe6idDKWUdvdWRKSU2vmQc57nebvdtvE4OnMAAAAAAPhkxFoDAAAAAAAAAPCq + 6iELrmW5pcuR+60UAADw/prneRj6iJRzLkuM45hzv91ux3mcS/0H/+BXvv3tv9Dlfl7miOhyNx8S + rHe7XRx6ulm1rOuI2Gw2a4j1PM9d103T1B6uM689nhz3kPL5bzGfsWVZ1kjy1pFNG2/HvR3TNn2e + 5753CwbAPauv9lukN2UAAAAAAAAAeOd0Xbcsy+UtS1cb7PGuaAcxDplzy6HRJvA+a5mUwzBM03Rt + 4j3WinvUYozXvNJpmjTSBpr1Pp12WRBU/D5bE6zXKcftw9c3jjbRqQIAAAAA8Cn5cx0AAAAAAAAA + AAAAAO+gVB49evTkyeOcu2VZujyklB4+fPjs2cXZ2fk//af/9Md+7MdqrctymV29lJPdIz548OD5 + 8+e73e78/Hy3243j2HVd6yvtgw8++Oijj0optda+71sK8hvaQD5/a471tQDUYRhKKe1w73a7dvTv + q5IAAAAAAAAAAABfYK35VotArrVeiz7lnbDZbKZpWpNrh2EYx9GhBFbtyjBN03a73e/3rbX2fVeK + e1Brned5GAbN8gEAAAAAAOAtoVslAAAAAAAAAAAAAADeSY8fP46ofd9vt9sffPxkszmblrrU+hvf + +c4P//DXx2mJiJRSrbX1gHaqnOfPn7desS4uLtqU1k9WKeXjjz+utbZn53nu+76UknN+I9vH5+v4 + UB7nW6eUpmlqOdZroHV79j6rCwAAAAAAAAAA8IWzLEutNSJSSl3XzfPcHvJuGcexjUzT1HXdNE05 + Z5nWXOPV/X5qTXDbsJQSEVpiv89SSsMw7Pf77XbbzorWbFs7bQAAAAAAALgvYq0BAAAAAAAAAAAA + AHgH1bzdbvu+m+flj//o4w8//NJutysx/c7v/O7Xv/4jcQi0rrXmnNcOE09ZliUiuq7LOZdSSimt + r8xlWVqqces8K/Sk9gVSa23J1u2Ix9UOE+d53mw24ziO47jZbPSVBgAAAAAAAAAA8Jnrui4iWp5l + y0JubbckIr9baq2bzSYinj9/3nVda3d335UC3goppXme+75vrbVbi9w25b6rxj0opczz3Jrlt7b6 + wzCUUjTVBgAAAAAAgPvib7cAAAAAAAAAAAAAALyTlmUZx3EznD148CCltNlsfu+73/vGN77x0Uc/ + iIhIqeu6ZVlKKZGiG/plmm8tJ6XUdd08z7XWtSvMlnC85hxvt9t5ntucb2Lb+Py102PtF2+z2awn + QN/363gLt24j91thAAAAAAAAAACAL5gWaVlKiYi+70spOedxHIdhuO+q8RrGcdxut/v9vuu6FnG9 + 3+9baims1ka5vFemadpsNqWU1ga7NdB1kX9v5ZyHYai1tijrvu/3+71G2gAAAAAAAHCPxFoDAAAA + AAAAAAAAAPCuevjw4bOnF33fP3369PHjp1/96tf+9b/+w77PKaVxuuzp8sGDB7txv8y3Z1pHRK11 + nuecc+suLaU0juM0TX3f933feswchqGFH9daU0pvbAP5/Izj2DpBm6ap67qWXd0CrVuEeetbMyK6 + rhNnDgAAAAAAAAAA8JlLKaWUcs4RMc9za7Mn3vKds91uW3Lt8ZSLi4uzs7N7rBVvG+1v308twbrW + +uTJk0ePHrXL/n1XinvT3uvXmPNlWbbb7X1XCgAAAAAAAN5rYq0BAAAAAAAAAAAAAHgnDcPw/Pnz + zWYbEdM0/fAP//D3vvcHZ2ebi93YZsg5L2V5fvE8IlLOdSm3ltMCjEsprYescRxzzjnnWus0TcMw + 1FrbnDKtv0haB5ots3xZlq7rSinzPEfEMAwt13yapnEcu65rfajdd5UBAAAAAAAAAAC+UJZlqbV2 + XZdSam201qhL3i0tuTYidrvddrvNOZ+fn99vlXjbrM1xea+0ptdd1z169Ggcx81m0/d9a7h731Xj + HvR9v9vtzs7OlmXJObfTQBN9AAAAAAAAuEf6VAIAAAAAAAAAAAAA4J007ufI/bLUpxfP//RX//T/ + 9f/89mbT73ZjRJydne12u3XOYbOZxvGlBXZd13rIag9TSq2rrNZ7WptBt1lfJK1TvBZZPc9zOwFS + StM0pZRaxHVLv24nAAAAAAAAAAAAAJ+hNc+ytc0rpQzDoJ3eO2c9ZPM8n5+fz/Occ3Ycucb58H4q + pbS22dM0bTab1mpXpvX77OzsLCKOm3D3vX7yAQAAAAAA4N7k+64AAAAAAAAAAADvnpRS60Wi1nrf + dQEAAL74WsBwzrnrunHaDZtuKVPXdbk/K7Vfav6z/9G3/vmv/981Ypzm9i1lt9tF5GWpUXPUPO3n + qLl9kem6bg2ubiPtq03rQrGNz/O8Tmxzdl3XOlDTp94XSTumLc06DtnVN7/q1lp1nwdw75ZlaZfo + aZrWiaWUdvWe57k99IslAAAAAAAAALxz1juVWps97fTeOesha9Gkbeg4AnHUGHsYhjhcHyCuvmUA + AAAAAAAA90WsNQAAAAAAAAAAAAAAb7UWKT2O4zzPDx8+3O12wzDs52melxTdt370x//JP/4/+qHf + bPpa7+oGsdaaUlqWpZTSOsDqui6ltGYb7/f7Ukp7VneKAPBWae/aEVFrXfOtc85d15VSWuenOeeW + b92GAAAAAAAAAAAAAAAAAAAAAMCtxFoDAAAAAAAAAAAAAPBW2+12OefNZrMsy8Xzfd9takl9v8k5 + f/vb3/5H/+gfRcQ4zstSI6KlWkZERIkox+WklFoKZhvvum6appZ1nVIax3FdfJqmN7d5AMArKKWM + 41hK2Ww2KaX9fj8MQyml1ppzzjkvy1JrHYZht9v1fX/f9QUAAAAAAAAAAAAAAAAAAACAt5dYawAA + AAAAAAAAAAAA3mo5577vSyld1z169Giapnmel2X56Z/+6V/6pf9tnueUIiKWZWnR16fKqbV2Xddy + LqdpWpaljc/zXEpp5S/LklJqq3tT2wcAvFzOebPZ5JyfPXsWEdvtNiJqrSmliBjHseu6Nn52dnbH + 5wEAAAAAAAAAAAAAAAAAAAAAQKw1AAAAAAAAAAAAAABvtWHYPnt2kXOfUndxsc+577rh5372r/31 + v/43h6FbllprDMMQEdM03Vi6RLwIqF6WZZ7nFoQZEbXWNlyWJeecUmqJmCmlnLW3B4C3yLIsu90u + Ih48eFBrLaXs9/uu6yJiHMfNZhMR+/2+fRho0wEAAAAAAAAAAAAAAAAAAACAW+lmCwAAAAAAAAAA + AACAt9o0TS1nupRSa+37/hd/8Rd/9md/PuWYpiXn3HXdsiwRUWu9I446pRQRfd/v9/s2EhGllIjI + Oc/zHBFtOI7jG9kyAOBVdV13dnbWPhVERM55u922N+7NZtM+CWy322EY9vt9rfWeqwsAAAAAAAAA + AAAAAAAAAAAAbzGx1gAAAAAAAAAAAAAAvLVya/f+5S9/+fluLJG7Yfs//y//61/+L3+61iglWtb1 + siwtnXq73baRG0pEqbV2XTfPc9/3XddFxMcff9yiMVNK0zRFRN/30zRtNpv2EAB4S9RaSykttbq9 + fUdE13XTNJVSSiktyrqUst1u77WmAAAAAAAAAAAAAAAAAAAAAPC26++7AgAAAAAAAAAAAAAAcJeU + 0uPHj4dhGMfxH/7Df/jtb/8XOUet0XVpWUpEdF23LEvLuby7qFJKSmme55Zj3cKtx3HcbDbn5+f7 + /X673Q7DME3TMAxvYtsAgFeTUso5d13X8q2Pn+r7voVbt7jreZ773n1zAAAAAAAAAAAAAAAAAAAA + AHBSvu8KAAAAAAAAAADwLsk5R0QLj2khMQAAAJ+VZVnad41lWbpuyLkfxzEiIvc1daXU73znn33r + Wz+aUpQSOcey1HXBiJimaS2q7/s1z7J9kWlfYVr5OedSStd18zzXWjebTZtzu922EZnW75vjb7jr + qbKeQr7/Arw9SinXMq0jYp7nOLzjt+jre6gZAAAAAAAAAAAAAAAAAAAAALw7xFoDAAAAAAAAAAAA + APBWWLOot9vtfr+f5/lLX/pSKWW/35+fn//mb/7mn/kzf+b587HWGIZ0I9HySjktrzrnnFJqCdZr + yGXXdfv9voVi9n0vsfh91gLR20kShzzUWuvZ2VkcQtAjYr/f32s1AQAAAAAAAAAAAAAAAAAAAAAA + PktirQEAAAAAAAAAAAAAeCuklPb7/W63Sym1fOs//vhxTd3Dhx/8+q//xlf/9NcipxqRuzRONS7j + qG9pFT/Pc9d1y7KUUlpQcSnLsiwppZTSOI5xCDCepukNbh9vnZZ0vixLrbWU0kZqrU+ePKm17na7 + NnG73d53TQEAAAAAAAAAAAAAAAAAAAAAAD643C3CAAAgAElEQVQzYq0BAAAAAAAAAAAAAHgrjOO4 + 3W6/8pWvPH36NCK6rjs/P99sNr/7u7/71a9+9fHj57vdHBHLUodhqLWeahK/2WyWZWnjbSTnFBHz + PJdSSikt9LqFZ5dS3tDm8Zaptbbs867rSikppTZxmqaWqp5SWpalnS33XVkAAAAAAAAAAAAAAAAA + AAAAAIDPjFhrAAAAAAAAAAAAAADeCucPts8vnk7TtN1ua60R8fz58+9+97tf+9rXvv/9j/suR40P + PvggIqZ5irQul6+1jR/HcRiGnHMLKk4pWmktxrhN77oupZRSylm7+vdUOw3aCdCGLdx6GIZSSq21 + 67qu69qz4s8BAAAAAAAAAAAAAAAAAAAAAIAvDN1vAQAAAAAAAAAAAADwVpjnueVPz/PcEqmXZfn6 + 17/+ve/9QUS0XOGnT59GRMp5s92eKqeFEJdSWlZxrVFK1Lq0p+Z5bquIiHEc38B28XZqZ1Q7E9qJ + 11xcXKw51vv9fpqmlJJYawAAAAAAAAAAAAAAAAAAAAAA4AtDrDUAAAAAAAAAAAAAAG+FUsqHH37Y + oqZzzo8fP/6hH/qh3/7t7/abVFO0oOvIKXU5p37cTVeXzsct5Jdl2W6HZVm6Lm23Q1s0IlJK0zRF + RN/30zRtNpv2kPdQSz3v+77WmlKqB+fn5+M4dl1Xa+37fhiGUkrf9/ddXwAAAAAAAAAAAAAAAAAA + AAAAgM+GWGsAAAAAAAAAAAAAAN4ONT/fjbWm1A+73e7rP/LN3/iN/3cY0rLUiCil5hxRay2llBJr + VPUNpZTNZrPfT12XlqXu99M8TxHRArPPz8/3+31EDMMwTdMwDG9q83jrLMvSMq3neU4pdV3XJm42 + m/1+36bM85xzLqXcd2UBAOCkGhERNz+zlqvTkvuKAQAAADihphfD4ykvHrZ/UkSU236LAgAAAAAA + AAAAAADeMf19VwAAAAAAAAAAgHdDSqnWWmtt4/ddHQAA4J1wnJhWIiJSiYi+76dpWpZlu92O+7nv + +2VZ+r4vKe+fP3/08MHu4vl//Oe+9c/+xb+oKUpNZakRUSPqZT/ZUWuJiPY1pX1baRnVXdctyxIR + 4zhuNptxHFNKpZRpmnLOm82mLb7dbtuITOv3XMuxjoi+v7y9Yg23Xk+S9lTO4v8AAHirLe2fGpEu + I4bqIVsoRY0aKaJrqUN1/XArfAgAAACAiIiaoqSIFPnyj/J5nd6UiEhRUz1a5k1W8Iug1ro2aQAA + AAAAAAAAAACAt4FulQAAAAAAAAAAAAAAeKOeP3/+4MGDnPM0TR988EGtNee8n5dpXL785X9vWpaf + /Mm/8Cu/+o+XJaLGspwMWqu1Pnz4sNYXvWW3DqBzzimlNdN6v9/LJAYAAL7gUsusvpEnlKKmSBH5 + 6KbikgIAAAAAVul0RnV76spf3P249GqmaUopzfNca91sNl3XpWTfAQAAAAAAAAAAAPAW6e+7AgAA + AAAAAAAAAAAAvF+22+00TVFzSuni4mK/35+dPdhuu3E/P3ny9Kd+6qf+zt/5eylFrZFzlJOp1pFz + fvbsWdd1y7JM07TZbJZlqbWWwzJtZLvd1lrnee57TegBAID3V4nojh6K0AEAAACgqRG5RkSkGqk9 + joiIFJFT5BrpkG99Ov+aK5ZlGYah1tr3/TRN0zTlnOd5vu96AQAAAAAAAAAAAMAL+b4rAAAAAAAA + AAAAAADA+6XWut/v2/iyLB9++GGtdRqXhw8/+Kt/9a/+wi/8j32fausR+xW6xK61RsR2ux3HcVmW + Ukrf9ymllm9da12WJaUk0xoAAHhvHX+1KuKsAQAAALiqpVZfZlpH5Bq5RqqXvdS1QOsch8TrKt36 + 5bquaw0YImLNt95sNvddLwAAAAAAAAAAAAB4QbdcAAAAAAAAAAAAAAC8KTVHREopas1dzjl33fDs + 2cUwbGtZfu7nfu5v/I2/GRHzXC97wU6R0q3h1iUiSonNZjOOY9d1+/1+GIZpmnLO8zy3vqFTSsuy + CLQGAADeKy186NoXqRLRHcbr5Rz5zdUJAAAAgLfc4UelXCNd/riUoh6mX5+Ll+u6LiKmaRqGYbPZ + 7Pf7+64RAAAAAAAAAAAAAFzhhnMAAAAAAAAAAAAAAN6olFLOeRiGZVmWZUkppZR+6Zd+6ad++meW + Jfo+ao2HD88jotZI6WQ5OedxHFt2dURM09Sml1JSSvv9PqXUMq3HcXwTGwYAAPB2qy3TuuYaqYVb + GxoaGhoaGhoaGhoaGhoaGhoatuGqpVbXFOVoejma4ers3GKe5zYchqGU0hot5KzfPwAAAAAAAAAA + AADeIv19VwAAAAAAAAAAAAAAgC+2cu3xfr/fbDY1dxfjdH72cLPpf/mX//Zf+kt/OacoJcoSUePZ + s4vLha8vfVRuKRFRa+26LiKWZdlut7vdLiKePHny6NGjaZpyzl3XbTabz2nbAAAA3hX1MFJSRIpc + U6SoEYaGhoaGhoaGhoaGhoaGhoaGhpfS5R/4a4rakq0j18jrDC/m5E5937cWCxFRa+37vta6LMt9 + 1wsAAAAAAAAAAAAAXhBrDQAAAAAAAAAAAADAG3V+fj5N08XTpx988EHU/Cu/8is/8RP/6bJEjUjp + Mse67/OylFojpah3dozddd2yLK0z6N1uV2tNKT169Gie52EYImKe55xzmwEAAOD91L5XlbiMI6qH + gCIAAAAAiIiaIiK6cjlS2jCipCjp8meld1FK6b5W3ff9siy11jg0bOj7fp7n+6oPAAAAAAAAAAAA + AFwj1hoAAAAAAAAAgFfSkuFqLRHRdV29O1YOAAAgIue8LEvfd/M8l1Law/Pz8+f7seu67WYz7udf + //Xf+NEf/bFluVxk/aoxz+V4ytrTdK31uKPn1vXz8cg6Z9/310bgmmVZ2jfclFI7Rdv4PM9d17Vz + qU2f59mJBHDvXvE3yXsMqAB4q6RD1NAw9NM4R40SkSN+8IMfbLfb8IceAAAAAG7oLv9Qf4i1TrFE + HR6c1d0uUqSuq8sSKaWU6lLuKIeIOE6wbu0Z7si0bm0edrvd2dlZ+0O2v3cAAAAAAAAAAAAA8HnT + pxIAAAAAAAAAAAAAAJ+LaZqGYdjvdw8ePJjnuWUGX1xcfPilLz99+nS/3/2bf/NvfuiHfmgcl4jI + OU71et1yrDebzTiOETHPc0qp67p5npdlyTnnnJ88ebLmW7/BTeTdtuZYX+sQfBiGUkrrK3y327Uw + 9fuqJAAAfCo1pnGOiGHbT/u5RPz7/+F/cN91AgAAAOAtdfzn9hpRIpaIGtENeVlK5BzLklKqRab1 + Z6w1eDg7O5vnue/7ZVlSSjnn+64XAAAAAAAAAAAAAF9kulUCAAAAAAAAAAAAAOBz0XWplPnBgwdP + njzpuq7v+5q7zfbsD//dH3344Yff/Ze//yf/5J+c5lJqbLfb/X5/qpx5nodhGMcxpfTw4cOnT5/W + Wud5joicc4sfjohSij6deXXHJ8xxvnVKaZqmlmO9Blq3Z++zugAA8DpyREsWSilSl8pSp3FOKfou + l7mcbTe7/XjPVQQAAADgbXIzp7rFWteIDz589PTJk8i5rGnWKUWtb7aCX3Bd17WWDxGx2+3Ozs5C + KwgAAAAAAAAAAAAAPmdirQEAAAAAAAAAAAAA+Fy0SODHjx8Pw/Do0aPHjx9H1+/3+y996Uu/93u/ + 9/Wvf/0P//CjqPHgwdnz57uUUj3d7XVLFO667unTpymls7Oz3W6Xc16WpdY6juNms2kP22xvbiN5 + Z9VaWyfgpZTW/frxGTjP82azGcexnV0yrQEAeFekiOOgm1qjLjV3KaXU526/n7ab/rlMawAAAACO + rH8oXQ4/LpV1eoqnT55ERHQ5prnr+1prXW6mYPNppZSWZen7vu/7NdkaAAAAAAAAAAAAAD4/Yq0B + AAAAAAAAAAAAAPhcLMuSc3706NGyLN///vc//PDD1A/jOP7+7//+N77xje9+9/db39jPn+8ico0U + sdxazjAM4zhGxDzPEdH3/cXFRSu/JRNvNpuWTyzQmlfXdd2yLPM8930fEZvNptY6TVNE9H2/jrdw + 6zZyvxUGAIBP4OHD82fPL8pSI9VlKsPQlXKZOVTvXhIAAACA90qKiKg1rgVWp5xrxLDZTLtdRCzL + EqX2fd/+fM9nZVmWiOi6rv2p+uzsbFkWTSAAAAAAAAAAAAAA+FyJtQYAAAAAAAAAAAAA4HOx3W6X + ZVmWZb/ff+UrX3n8+HG/Pfv444+/9a1v/fZvfzci+j7Pc4mIs/Pz3cVFRI7rXWRHREzTlFLKObde + m6dpajnWLYyt1hoROedaa0rpjW4h77JxHFtS9TRNXde17OoWaD3Pc0qp1tpm6LpOd+EAALwTbn4j + evbsIlJ0fV6WEhGllLLUYeimufj6BAAAAEBT15+KUkSNVC8npohaSkS0TOsHDx8+f/osRZR5ua+q + flENw9AaReScW4uIruskWwMAAAAAAAAAAADwuRJrDQAAAAAAAAAAAADA56LFUddat9vtxcXFZrO5 + 2O+/+c1v/to/+81I0Xd5nkukiJp3F/uUcy0lIh+WvpJvXWstpbROnCOiJRC3QOvj3pz3+/12u33D + m8k7qkVWl1KGYWjnTyllnueIGIZhmqa+76dpGsex67p5nvveLRgAALyT1kzrzdkw7qYuxzQt9b5r + BQAAAMDbpeZIEVHq4Zejy5EUKedaa9TaMq0fnp1f7C5ShJ+YPkPzPNdaa62tlUVrIJFSevmSAAAA + AAAAAAAAAPBJ6VMJAAAAAAAAAAAAAIDPRdd1S9Ra61SWTbcZl/lHvvHN7/zab0bEZpPHfck5l1py + 15VlqeVkOa3X5ohoHTfnnFuHzi2KeBiGtq6I2G63rZfnN7SFvOPaKdQiq+d5bvnoKaWWyN4irlv6 + dctTBwCAt1qNGpEijr9ddV1a5hIRKce4nyIid10pi+9NAAAAAByr7feiw89Gqf3SFFFT1FJSzilS + pEg1LnYX91jPL6qWad3GU0qtCYQf8QAAAAAAAAAAAAD4XIm1BgAAAAAAAADgtaWUUkqtBzX9pQEA + wPskR8RlRFp6EZQ2z/ODBw8uLi66riul9H1flliWJQ+51IiUch7Gufxn//m3//E/+T9zjigx7ktE + lFIioizTWtTaKXOt0ZKGI6LW2vKGh2GYpqmU0jp0bv04X6NbZ15dO4Xa2RWH7Oq1r/DVqZMNgDdp + WZacc0ppmqZhGNrE9qmg67p5nvu+L6Vc/nQJ8B6q1/69tCyXE+pyOWWaloiIG597AQAAAHi/tV+N + Ln9fqhFHY1GXsv6clF5Mvh+11mVZ+r5vf9td/+Db/o7QxiMipXTzj78vlXOOQ2OGVkLOuZTShsfF + rk0aXtdaQmsCcVzUPM8551YHAAAAAAAAAAAAAPi8abcKAAAAAAAAAAAAAMCnMgzDOI4tVDKt+suI + 60jdstS/8Bf/4t/+5b/XdV0pEZGP/n+h9To9DEPrwXme55RS3/cRsSzLZrNpnUS3ZyVWAsB7pX3M + iIhaa/swME1TzrnruhYmERE55zX14X5rC/D/s3e/P5Zs633Qn7VWVe3uc879gV/wAl6RKEGC/weD + hB3lYplrExuCuSi6IeAoIKQ4kvPCkV/YMZFipASHGysitrEQdoSQ7eBYSAEZsOUECcdX8XV8r++c + M7131VqLF6t7nz4zPXOm5+w9PT8+H41qaqqrn7Wqdu3aVWfXWV8AAAAAeFv1B820HsaDBK21Y6b1 + eKKg1vr++++PdXa73UtUbq0d46vH9Ham9e0s7c/yZcTo27quKaV5nrdtO0ZlH9sKz0UAAAAAAAAA + AAAAcGZirQEAAAAAAAAAAAAA+KyOcdTroa6HOoZvXpaLw+FwdXX14z/+4z/zM383Ikbk5LNs2zYS + slNKH3zwwSi7bVvOOaV0OBxqrccYS3GVAPBOaa0dDofW2rIsKaX9fj/Pc2ut955zzjmP64R5nq+u + rkaaBQAAAAAA8JaptY6vCfb7fUppPDkwTdO2bSmllNKHH34YETnnq6urUsp9649fKaX03nvvI1g6 + 5zxN07G542rPfwTiOfb7fUSMDq/rOh6NOBwOYwNHsvV4OgIAAAAAAAAAAAAAzkesNQAAAAAAAAAA + AAAAn8kYHnoMrLzb7Xa7XSmllPL48eN52v31v/7f/NW/+tdSRM6xruuyLLd+tT1RagwJXUp59OhR + Suny8jKl1Nr1ar33MQL1PM/iKgHgnZJzXpYl5zwuBna7XUQc8yQOh0MpZcxfXFzUWh+2twAAAAAA + wDmMPOnxZMIIfr66ulrX9XA49N7neY6IZVl679M0jQzsexlfMYzpsiyttZGZvW3baG40cTgcxk/v + W/+4IZeXl1dXV7XWkWk9TdOyLOu6HnO1R+L1A+xiAAAAAAAAAAAAAN4ZhvECAAAAAAAAAAAAAOD+ + eo6ISC0iSik5521trfWLi6XWuq61pJzz9Hf+ztd+9Ed/bJpiuxls+XDYbko8mWk9z/PhcIiIbdsi + Ypqmx48fX7fWe++91vr+++9HxH6/n6ZpjOYMALwLaq3rul5cXLz33nvjwmBd1xFuPaIjImK/3+ec + 53l2kQAAAAAAAG+rb33rW1/4whciYtu2eZ5HvHQpZcROx80XB7XW2wtf0AifHk9BHA6HlNJoZSRk + t9ZG1HTvfbTyEvV77yOyenydUWudpqn3fnV1dXFxMbZrmqbj1x8AAAAAAAAAAAAAcCb5oTsAAAAA + AAAAAAAAAMCbLaV0nFnXdb/fX1xc5DT9j7/4P331q/9ZRGxbTFPuPd5//3PPqbOu6xgeOiJKKeu6 + LssyTVPcDAkdEbXW3vtutxNXCQDvlFLKxcXFuFqIiJzzbrfbti0iRjRFROx2u3me9/v9fTMkAAAA + AACAN0JrbWRajyjr1lpKKeccEbXW1trV1dVIgx4PFaR7aq2NUse06Wma1nVtrY1k65F4PZ5nGK3f + y6g8Oja63XsfQdeXl5cppUePHh17/nC7GQAAAAAAAAAAAIB3wvTQHQAAAAAAAAAAAAAA4E3RnlzQ + c0Ss61ZKiTLllHpLu8tl3bZf+qX/+atf/fN1u15x29o8zx9++OGyLCOg+hNSRI+I6L231nLOYxzn + sWbvfb/f73a7uBnQeZBsDQDvjvHpP1Krx1VBRJRS1nUdqRU555E2sdvtxFoDAAAAAMBbbNu2nPOI + hY6bQOt5niPi4uIiIkY69UiSvlfl8XXDqDCKjFamaRqtpJRG1nW8VPL0eM5h27ZSyvg6Y2RajyUp + pQ8++CAixrch27aNhgAAAAAAAAAAAADgHDyrCgAAAAAAAAAAAADAZzIGUx5B1L2liPiN3/iNP/tn + /+NHH+7HCjlH72ld15ynOzKtb4zRnyNiJFPmnLdt673XWo/5lGNUaJnWAPCuSSnlnEfGw4iROJqm + aV3XkSQRETIeAAAAAADgbZVzbq2NLwJqrePJgVLK+AYhpTQCocfjBy8RO917Hw8njIZSSofDYcRO + j5DsUXYseYnvI9Z1ned5JFiPJfM8H3Oyx09778uyHBcCAAAAAAAAAAAAwJnkh+4AAAAAAAAAAABv + jJsMuTiOpPYSw70BAABvrlLKuq6995zzmI4hm/dbbSlPZSl5Tin/43/8f3z5y9/34U2mdUS0Fjd5 + 1VtEu/lzS4+IGIMyj1GnW2u996urq9FuRIyBoeMm1fKVbTXvgtu3t8fo9OMQ4W5+AV4frbUnMq0j + Ytu2iBiXByP6+gF6BvAK1VrHyXBMZdsAAAAA8LrZtm38x6vxqMCYnsrxgYEnvhEYX+zudrv4DM8V + HB9LeLr/x60YMy/3fcQ8z/HUd9C3I67j5ukI31MDAAAAAAAAAAAAcG7+T3UAAAAAAAAAAAAAAF7I + hx9++MUvfvFwONRae++11oiYpqnVllLati3n/Lu/+7tf/vKX//APvz1Ned2eTJ38VKPyPM8ppUeP + Ho0hm+FMaq2llOOI5Dnnkad+cXFxdXXVex8Dhe/3+zH0OQAAPLje+zRN4wo2pbSu636/r7W6ewIA + AADg9TFNU0S01o4p0Q/dIwAAAAAAAAAAAADgSfmhOwAAAAAAAAAAAAAAwJthnuda69XVVSllJE8f + 6vbR/uq9997rva91++ff+Ma/9Z3/zu9/419ESi+RaT3Pc+89ItZ1/eijj0ZzZ9gOuFZKiZsw9dba + mOm9f/vb3+69X11djYUyrQEAeK3s9/ucc0SklHLOOWeZ1gAAAAC8JrZtOxwOEVFrzTm31sZjAAAA + AAAAAAAAAADA60asNQAAAAAAAAAAAAAAL2RZlsPhUEoppXz00UcRcXl5+bnPfe4P/uAPLnbvffvb + j777u7/7G9/4g1p7Sukl6q/rOk1TRPTeR97wtm2n3QQ46r3XWltrpZTW2jhoe+/H4zClVGstpYhX + BwDg9ZFS2u1267ru9/uIKKWMlCAAAAAAeB1M07Qsy7qu40v/UkpKaV3Xh+4XAAAAAAAAAAAAAPAk + sdYAAAAAAAAAAAAAALyQbdtSSmME6pzzNE2PH+8fPfroC5//l77+9a9/6Utf+u3f/qfLMkdEa5HL + /GJV8/HPPM/btrXWWmsR0Vrb7XZjHk4upVRKyTlHxJiOcOt5nltrI1t9jLSec3YcAgDwmqi1RsQ8 + z7vdLiIOh8OyLA/dKQAAAAC41nt//PjxNE0RsW1bRBwOh3l+wecHAAAAAAAAAAAAAIBXR6w1AAAA + AAAAAAAAAAD3sG1brfW9996rtfbeLy8vP/zwwy996Uu/+Zv/T06x36+Xl5fTPLdaX6JyRKSUeu8j + Qjtu8obh5EZS9Tjqeu/H5Y8fPz7mWO/3+3VdU0pirQEAeE2UUq6uriKi915rHZnWty9oAQAAAOAB + pZQuLy9TSo8ePSqljCUP3SkAAAAAAAAAAAAA4A6G9wIAAAAAAAAAAAAA4IUcc6Zzzvv9fr/ff8d3 + fMc3v/nN7/8z/8E//j//73nOrUWeyuOrx9u6vkC9fOuZ9hwRI816/HuaptFcvX88NryIkZg+TVPv + fYSpD5eXl4fDoZTSe5+maZ7n1to0TQ/dXwAAiIjYtu3i4mJd15RSKaW11lqTDAQAAADAa2Lbtt57 + RHzwwQcppf1+P8/z8UkAAAAAAAAAAAAAAOD1IdYaAAAAAAAAAAAAAIAXknMeI1BP0xSRLy/f/+Yf + /tGf/+pf+OVf/l9LicO+3V653C8GuEVEa22kspVSxj+P83AOtdaRab1t2wgFHAuXZdnv92PJtm05 + 59bap1YDAIBXYJqmMR3XqK21nHOt9aH7BQAAAAAREdM0pZTWdY2I3vuyLDfPGAAAAAAAAAAAAAAA + rxex1gAAAAAAAAAA3E9KD90DAADgbFJEipinqdVaty1F5NTHn5T7Yb3avXe5tnqoLZV5rfHDP/yX + /v7f/8XoUbfrCm2r0SNSq/XwzFau7ytazpFSj2gp9d7rWJ7z9YPuOefjPJxDKWUcdcdR1EeUda11 + t9v13uPmgEzPvRkece9jfoQLbtv2nPVH6OD4lbH+8dfVV1999dV/zvpx6zrheOoupUTE1dXVsQPP + P2kDvB1SSuOUOM6H42QIAAAAAK+JeZ4jIt146O4AAAAAAAAAAAAAAHeYHroDAAAAAAAAAAAAAAC8 + Xvb7fc555KL1XltrvfeeYrfbbdu2LBfb2lrvf/kv/5W//bd/ZirTWtut327PKnvUex/JwSPAMqVU + a+29G8ya10TOeRyQL3hMzvPcWqu1llKurq5678ewVfXVV1999U9Vv9aacx7TlNK2bbvdbr/fjyjr + i4uLbdumaaq1HqNeAQAAAAAAAAAAAAAAAAAAAIA7GZ4DAAAAAAAAAAAAAIBr/eZPLiUiRvL0yIac + 5/mw31prV1dXpZSf+Imf+Mmf/KmxzjMLPcOInBx5k6WUx48fv3j+JZzbOObHATmSU48p73eapmld + 17H+CGQtpfT+zDeA+uqrr776L1e/lNJam6ZpJGEvy7Lf78fy4zrjKiXn3Fp7Vh0AAAAAAAAAAAAA + AAAAAAAAQKw1AAAAAAAAAAAAAACfMM9zSqnW2nsf2ZAR0Vsqy9xavHf5wd/8mz/9Iz/yo6WknHL/ + RH71C0VIbts2ZlJK+/1+t9u11tZ1Pf2WwP313kcYamuttTb+eXd8e0TcHM/bti3L0lo7HA4R8ZyY + dvXVV1999V+u/lBrzTnnnA+HwzzPI9N6XLdM03RxcXF1dfX8CgAAAAAAAAAAAAAAAAAAAABA6r1/ + +loAAAAAAAAAALyNeu8ppREVk9LxSZIcEZ/IohtRMv16ec7xs3/3v/83/s1/PfcWqd0sBwAA3kDp + 7hTq4w1CKSVFWdf1OkJyXqLnr33ta1/5yldTin6daH28KXihTOuImOd5XdeU0rZtOeda68ikhNfE + yHSfpimltCxL7/35sevTNI301t57730ksy7Lor766quv/gnrjwDs2/83XCnlGJs9KqzruiyLSwsA + AAAAAOCljYer7xyg77h8fDExnsEGAAAAAAAAAAAAgDfU9NAdAAAAAAAAAAAAAADg9TICJqdpiogR + MLnb7VIqV4ftl3/5l77yla9GxIi1TqmMXOp71R8Jl61dx2CPgZ7XdZ3n+bQbAi/hcDiMRNV1XUsp + 4y0wTdO2bXeuX0rZtm0MXz5+sZTynDhV9dVXX331X67+uDIZq0XEMUxinudxNZJzXpaltTbiriVb + AwAAAAAAAAAAAAAAAAAAAMCz5IfuAAAAAAAAAAAAAAAADyP1SP2O5Tnn3nv0XLc+kinTNP/Rh49+ + 9Vd/7Yd+6CvTlCOitsil9N6PoZKfLP28dqdpGsnWIw8757xtm0xrXhMjWrW1Ns/zSEVNKT0rkzUi + aq3zPPfex4E9Ylyfs7766quvvvovV7/Wum1b732/3/feW2ujwrZtY8lIyG6tRURKz70WAQAAAAAA + AAAAAAAAAAAAAIB3m1hrAAAAAAAAANvQ0C0AACAASURBVAAAAACeNLIhc86llGlaPvzww3/yO//v + D/7gf/To0Ue1tohYlqXWuizLCI+8l8PhME1TROScR7L1NE13x2PDQ6i1jrT1aZrG/HNWTimt6zqi + W3vvy7KMJFf11VdfffVPW7+Uciw4z3NE5JzXde29j2zsUbOUEmKtAQAAAAAAAAAAAAAAAAAAAOC5 + xFoDAAAAAAAAAHA/rV3HxgAAAG+rdV13u91H+6s8L1GmRx9d/f4//4Pv+q7v/ua3vt0jWo8ecTgc + IuJwuIpoN38iItL402OESR5DJUcO5cixPi48Zk+G+EleJ+OwLKWM1PYRvv58x0TV2xXUV1999dU/ + bf3jOvv9fpqm1lpKqfd++6fjisJ1BQAAAAAAAAAAAAAAAAAAAAA8h1hrAAAAAAAAAAAAAAA+Ybfb + 7a/Wed49/mg/leWb3/zWd37nv/2tb3143zoppZFmHTfpkrXW4xJ4bY0c1pTSiGV9/kHbe7+4uBgz + 4zjf7/fqq6+++uqfvP5+vz8cDhFxOBxKKdu2RcRoCAAAAAAAAAAAAAAAAAAAAAC4l+mhOwAAAAAA + AAAAAAAAwEPJEZF6i4iePl76eL/mqaSUlmX+Z1//+nf9u3/q977+jU+tlZ5ackypjIjWWu9927ZS + ynEhvJ5KKRFRa+293z6Mn2XbtmmaxrTWutvt1FdfffXVP3n98dN1XZdlORwOIzN7Xdd5np/fCgAA + AAAAAAAAAAAAAAAAAADwhPzQHQAAAAAAAAAAAAAA4GE9+WD55eXltrWS529/+9GX/vT3/M7v/NNP + jbG8026327ZthE221lpr0zTJtOY113uvtbbWSimttXHE9t6ftf66rtM0RURKqdZaSqm1qq+++uqr + f/L627b13sd1xWgoImRaAwAAAAAAAAAAAAAAAAAAAMBLSM8ZGQQAAAAAAAAAgLdb7z2lNAJmUjo+ + STIC7drH643Iuf7x8p//ub/3J/7kH8u9RWo3ywEAgDdP6jnS9cX/7SfLD7VdXFz8iz/81pe//H3/ + 8Nd+I6XU+7gxaE8XiZubhhsf3yP0aBExsi1ba+u6zvO83+9fLiQbHkprLef8rP//IqU00luPke29 + 9957zi96v6y++uqrr/6L1B/VxjQiaq3jP2mWUl6wPwAAAAAAAJ9qfHlx5xccx+XjS43jdxwAAAAA + AAAAAAAA8CYypjAAAAAAAAAAAAAAwDus3/FU+TzPjz/a/9AP/Sf/8Nd+Y1mWiByRl/nivo+gp5Sm + aaq11lpH2W3bZFrzmmutRcS2bRFxe6Ty9AyPHz8eMasRsd/v13UdQa3qq6+++uqftn7OeURZR8Q3 + v/nNUkrOWaY1AAAAAAAAAAAAAAAAAAAAALyEdHuIEAAAAAAAAAAA3im99xEhExEpHZ8kGTF1t/Jj + 0lj74+U//3N/70/8yT+We4vU7szAAwAA3gjp1vV8Tx/fBVyt23/6lT/3P/zcL0aPiEipTGVZtzVi + 3AU8Vef679t3Bzmi9WgR0XtvreWcI6LWmnMetyHwmht3zbcP4DullA6Hw7IsY81SyvPXV1999dVX + /+Xqj58eix8zsF+kSwAAAAAAAC9oPFx95wB9x+W99/FtxSvvHQAAAAAAAAAAAACcjDE7AAAAAAAA + AAB4Qe1W1vUdI7UBAABvntQ+/nMtR+T/4j//i7/wC78YPZZlGUvXbS253Kd0i4hSSq319tJSimGd + ef3VWscQ5Nu2pZRKKXEzdvnTaq3Lsuz3+7Hmtm0555G0qr766quv/gnrp5s06xEXMdaXaQ0AAAAA + AAAAAAAAAAAAAAAAL+F6FA8AAAAAAAAAAN5BIwYmpRQ3qTB3rzci5/rH87/w8z/7x//4v1ZSj4gW + 502OyWd+vKXdM1Avx/OSe+6of7/yZyfnB2BI79jjk/3MAbJn3p85IqL7EHtjnPt4e/fc74ryPru/ + RcS6Hna7XZ6mxx/tp2nqPdWefuRH/spP/uTfiJubgBv5Of053lCUUlprYz6l9PzkSwAAAAAAAACA + 18p4uPrOx6qPy3vv4zHsV947AAAAAAAAAAAAADgZA/wBAAAAAAAAAPAc+RNPmBh7LWRqAnBW+VzT + fubp+XruYVc4s8vLy9ba1dXVsizj+v/HfuzHf+qn/sbNz2/dEaQW6ZkZ1b33aZoiotaac46IaZoO + h8N5ew8AAAAAAAAAAAAAAAAAAAAAAADc3/TQHQAAAAAAAAAA4M2We7zReZO532v1FnG/ZOs3eNcA + vNXud/p/dc4ZDt3POO3njbVuEfGcMF3gthc6v916Q+3XdZqmXmsppdbtp3/6v/2xH/tr/f4X+fM8 + r+uac26t1VojYl3Xe1UAAAAAAAAAAAAAAAAAAAAAAAAAXg2j5gIAAAAAAAAA8MLujsh7px5Beac2 + FoBXKZ830/ptmAJnsdvtrh4fdstlrf1rX/vZ/+q//K8jIkW6b50RYp1SiogRbh2SrQEAAAAAAAAA + AAAAAAAAAAAAAOC1ND10BwAAAAAAAAAAeO31HKk9VOPp7iztk+n3DOxL/X7Jmvetf27n3p8Ab4rX + 7fx8bq/b5ylwD+e4FL91TfvRh1eXl+8fDod/8A/+lz/31b8QEb1HRI+nk62feyaZ57nWWmstpazr + 2ntPKc3zfPK+AwAAAAAAAAAAAAAAAAAAAAAAAJ/R/cbYBQAAAAAAAADgHXXMvevHf+boOXqOeLDE + awAA4DPa7S5bi1/9lf/tB/7MD+acW4uImMp0a5UXuuBf17W1ttvt9vt9SteR2Nu2nbzDAAAAAAAA + AAAAAAAAAAAAAAAAwGc0ffoqAAAAAAAAAADwKc6ZbJ3OWPul5E9fBU4liY0HTuS1+zwFXhO5Rf71 + f/SPvvf7vj/KtF0dlnk5rNtWW79e4R5XIymlx48fX9fNudY6TZ5XBwAAAAAAAAAAAAAAAAAAAAAA + gNeOMXYBAAAAAAAAAOA+UrtOGn7xabxm0/v23/RhpwAA5/Sbv/l/fc/3fG+rcXV1mKf5sB5SpJxe + 5jnzWmtKKSJaaxFRShkzAAAAAAAAAAAAAAAAAAAAAAAAwGtleugOAAAAAAAAAADw8HLOEdF7Tyn1 + 3p+z2silW5YlpbQeDpeXl1s9nLVvrbaRjZdSOs7ETVTeCaQYNXvvx20fTdytH3+rvdA0IlKLfvc0 + RerReuuRWvSecn/WmvedPlEz5Z4iUk5tay/a85eatt5S9JxTRI/Uez9x/ZRTa7XftJJS9N5bq+lE + 9Xv06C1upin6nctPPu29RW8plWOLHx8/pzMO7NvH+XPe76dt9Ha7T8yfsKHjWeLcCZrjbHncn2Nz + jktevdunr9s9Offre+e2j51zkvo55yc2YbR4sv38MC/XJz5iXvSj52V9Yned++1+5v2ZojzrkDtr + u0+8QCc/c975bk0pnfs89vT767Se2FH9RinlfI0+lJOf954+Ldz7peq3EqnTx8fSeF3Guyki11p3 + u91v//bvfNd3/anDfjusW0RetxqRW/Tnb9K4fRgH6nXZW8ft7TfpWBMAAAAAAAAAAAAAAAAAAAAA + AAB4rYi1BgAAAAAAAAB4R9VaSylXV1cjgm6kDOaca613rn/MtJ7ntN8fprLkOdZ1jXTeOMl5no9R + iK21Y9TfSRLyeoqcctxk793OX3xmnOT1KvVFpj1FRI1+xzSlEdfdRuZ0RIrUU0qt373+fadlynGd + WZhar6323rfe+zLNESmin2max7ZEi4jex35oJ5zmHCldb1fvdRwREZHSaeqXkiJKRPTrPMiRyTgO + /jPut3mebg7zuIk3PWWgaa31zhTYcydNHht6InT5VO3eDpa+fYqY5/kk9Z+l33q1jj15NTHhdyql + 3D50XmW7dzZ6qm5s2xa3AstPG9fd0zNTmJ8IlD157PS2bekpcZ449lcWtd5TtNaOwc/HmTjhYdnv + TgQ/9zaO89UTZ7MTxhiP8/OYv308nHu7zn26GNeTxy06nvZPfpy/msPg6JjiHLcO9RNmhD99pI1p + KeV2OvUL1Ll7ea0157xt2+Xl+x9++OH773/ut37rt/70v/c9Hz56vK5rREqRUkqtt3juQVJKOd4y + jPlSyrquL95DAAAAAAAAAAAAAAAAAAAAAAAA4GGJtQYAAAAAAAAAeEeVUh4/fvzFL36xlLJt2wjM + e1amdUSMFMKcr4Mk13Wdcuo9+plzBA/bxwl5Ixex5HzC+Nhaa7srevNZ+Ygp7hHHO0q0uyq13m8S + stOtzNRIqbx4/efYr3WkSKaUUinHLrR6+tjU21LKMbbuVqTiCcMmr/brrXTM600cAY0nqd9bxCez + JCNGdul5j/PHV4cxc4z/HDO1nSYhMk/lzljrcxvpmNdN3iSPppSec6q5l9769R4rOd16I58jHvi2 + VK7PA+N8mFIa797eHibWepwnbyfjXs/k8x63a/3E++7jnNcTxZaXnI8J4j16v4ktP00seo/41PT4 + 3j/xcXOiN860LMeTTLuVZn+quPdnvcHLmT+vSyntuFE3L1ZETNNpHlXtvaVU4qnI53bmE9rT+dkp + pUhxqlbLMh9bud5rrcVNnPYrc/IPiGmaPn7/9oi4PjOXcprrnKPbx/UrCLee5/nWdvXWbl8qnMB4 + 3cenSny8Re2+n5v9+vgZJ7mP51vki4v3Pvro6tHjj3YX7/1//+z3/v3v+/7f/d3fq7X3yBGRcurX + Z7v2nOvtY2x5KWWss23bMdseAAAAAAAAAAAAAAAAAAAAAAAAeP2JtQYAAAAAAAAAeHddXl4eDtdh + uq21Tw0aHLnXrcWyLOu6pmkqZdr6aeKEn2UuS9wKleyt19bjZGmSEWXKtwKM4yZ291mr3/wgR7QX + mbaU0zN+mlJPqUS03tOYptTH/IvXf9Z0mpaP+9Ci9zoqT2k6Sf1nbm+LiJZSObaYUonop6q/212O + fTXq915bi1rXUuaT1B89H6/L+fbSs16v29vVe4qop4o5761HGkGVn4iXPne+de89pZHKmXofb7Qe + 0Us5zaNrI7a5997bdfFTxh4/t+Xrv8dW9ejX0aqvNIb2Vm8+edbqNwnfZ47ZLnn+uM3ejyfPU8WK + 1zbyniOlfF37pHmpLT3vBXvig+CEb5Z1rfFxCng+pgmndJrzyTiP3f6suZ5vKVKLns80rTefXzn3 + 259xtfZTbFccX/7juevVBOg+fVVw/f460SExrsSOrTz/IuR8Tv5x8MTHzTH/+FTnh+e0e9b6t/uf + Uso5n/Z4OMZFP7H8vkfFTW/yTbL1tVLKt7/97WW5WOaLb33rj37gB37gt37rnxzXv3UOv85Wf1ac + ds55hFhv23bcCbXWVxzHDgAAAAAAAAAAAAAAAAAAAAAAALw0sdYAAAAAAAAAAO+ow+GwLEtELMtS + a621jnS6Z8Xy5Zxr3SJinkutdVmW3Tyv65rzeR9BOdR6HYd4KyfvhJmF/SY2ddTMOaeUc87bdndc + 93U2YI9ILzZtdy+vW8slcopccorcI1Lk3mv0HClOMu3Ro6dIKXrOOaUoKfdWYyw507TVkTRcUsmp + 5x41eo7cTxWburXo0XuLlFNKJZUcLfWo2zP2872nrR/n0wgLjhwpWj1R/WdM+1ojtRQl5RSRI0dv + N+2fRIqR+RzpumzK+TlxladSty2PlnJOt1JOtxPFmqZPnA3GiSLllM4dmzqSO1NKY38e+/AgYbQx + 4r1vclVba733uA6ZfkXxouOF7Te7fZpO9rlwExp+ndV94sDa5xZ7OlX2VK2XMsdNEPh1R65D2Udw + 90n+5Jso6Nx7G0dCG82No+IM02P/WxtNjTTrlvN006XPMo2cP95dw9iBpZSTvC7PcmxovLlOnqj9 + rPfLuc9jw/nOWseX6XYrvffzxR4fc8HPVH+4/brc3sBTnR+Ox8PtHRgRN+/oFzauna5/8TgTKZVl + uYier66uvvd7v/fXf/1/3y27/WGfc+69995ub0fvz7xIaK3tdrv9fh83b41t2+Z5vl8nAQAAAAAA + AAAAAAAAAAAAAAAAgIdz6kEeAQAAAAAAAAB4oyzLsq7rmL8rk+8TEXrzPOcc+/3+X/1X/uVa68Uy + L8uyu3zvrD0cCYjTNE3TVEoZCddxumTrEYd5TCUcbeWcR+b3szr12aOM53nJOU3TnPOInh1d6GP+ + s9evtY1qOadSxs6bSsnnDWeO/v77H6QUOZcRLtn7ddz0qbbrWG2ayjwv01RSOoa2nnhbRs0x/dzn + Pn/W/dZ7jONhvFLjqEgpWusnqZ9zuX2MjaMi5zTPy1m3a7e7uPM4H/05yX4bW5RSjON8tHXu4/y9 + 994fLY7j/Kxtvch0HCdP7OGc0zgPnLXd8X4fS8Y7MaU4Vbvj3X2cf2V7+/b+PFMrIzv2uPd6j95b + SilSuw6jPek0RYnUejtX/dut3F4yWjxVu/06rD0dM61zzjnn42XM+VzH2I8rgFvdOEnx6zftJxOg + j1c75zPimW83dNrE7tw/UfNY9nxx3ae9Pnx+K2Pm2NbtlPrPqNZ6+1p3zOec77vfar/pz3W4dbvp + dlnXtW79B3/wP/yVX/m1x4/3ETGVaa3b8XdH9PintlhKqbWWUrbt+nfXdZVsDQAAAAAAAAC8BZ7z + gNBx+fEpplfeOwAAAAAAAAAAAAA4GbHWAAAAAAAAAADvqMPhsCxLSmmapm3bRmDeU6Ow5ad+r01T + rlvLOaYcvcXa4hU8gHIc9u3Yu1MNBPf04zM5R855254d5nfSeNMnglNvIlw/67Tk1KP38erctJLy + yeo/azrqny989nb/U3y8RefbrrEt595vTx8P524xp0g56vaKtuuJo+JUWzf+fjX9f537MI6c4x7O + JUqey5TmaXfWGON52pUplTyn3EekcYoyguZPG5Y8tmWa82irbv00lXuOaBFPTj//+S+O+ZT60z/9 + 7NOUSkTLeZqmPM+7acpjSWtniSE/xoF/7gtfOOtRmHrKOY2A+VKmEWaf0vicPUH91trteOmccyml + lLIsy2kuCJ6h3BhZvxHxcjHDzzdqlpvdV0o59/O9n/vc547xybcH2z3VSLvpJtC6lDLP89iuE8aB + P9ncTbc///nPn6P+E21dH+XTNI7JlFKt9YT1jy9NzvnY1r2KtJtPp5tY6xjJ1rX2nPNf/OG/9Lf+ + 1n930+UcEX38xuhARMQdV8hPm+f50aNHKaV5ng3TDAAAAAAAAAC8NcRaAwAAAAAAAAAAAPCOEGsN + AAAAAAAAAPDu6r2PNL7e+7Ish8PhqZjGj2Otc869995rSimnPtZKKdqb//jJcVC5V/kszdNB3U8s + /4xeq8eCbmJN3wBj/z+dnv4K+v9E0+coPl6IV/la3D6ez9Hu0zvt1QwROVo860t2X0+8y1KKUvK2 + nTJ291lG1O85Dq2cz3vEPtR4ordfrFdzFI1W2rkj3m/eF8eNOvl23XWcx7aduJU7vcrjfOzDk6Zm + P8+ZPunGdqV+6lj4Z0x7ityjpVfUYonoOXKPGtctloh204fPMn26/1OKNKW69nv18AtfeP/Wq/Fx + vnVvabfb/f7vf3P8oOQSkbdWU+qRU+8t+vXq8WnXb7vd7tGjRyNve9u2iLhv9jYAAAAAAAAAwOtJ + rDUAAAAAAAAAAAAA7wix1gAAAAAAAAAA77TbI689awg2AAC4r3MGqZs+b3pCOefbozD33ksptdbe + +7ZtOeexwriPMFIzAAAAAAAAAPAWEGsNAAAAAAAAAAAAwDvCSMQAAAAAAAAAAO80sdYAAMDTSim3 + B2KOiN1ut9/vb98ytNbGDcWD9RIAAAAAAAAA4KTEWgMAAAAAAAAAAADwjpgeugMAAAAAAAAAAAAA + ALxeaq0RkVLKOUfENE0j03rbttbasiy11lJKrTXnbJhmAAAAAAAAAAAAAAAAAAAAAAAAeIPkh+4A + AAAAAAAAAAAAAACvl1LKbrfrvddaU0oj0zoipmlalmVd11LKWC2ltK7rQ/cXAAAAAAAAAAAAAAAA + AAAAAAAAeFFirQEAAAAAAAAAAAAA+IRa636/j4gPPvhg27be+4i17r0/fvx4mqaI2LYtIg6HwzzP + D9tbAAAAAAAAAAAAAAAAAAAAAAAA4MWJtQYAAAAAAAAAAAAA4BOmaRph1Y8ePRqB1hHRWkspXV5e + ppQePXpUSomIlNJDdhQAAAAAAAAAAAAAAAAAAAAAAAC4J7HWAAAAAAAAAAAAAAB8wrZt67pGRO+9 + 1no4HFJKOedt20bK9QcffJBS2u/38zxv2/bQ/QUAAAAAAAAAAAAAAAAAAAAAAABelFhrAAAAAAAA + AAAAAAA+IeccESPBurW2LEtrLSKmaUopHROvl2XpvU/T9LC9BQAAAAAAAAAAAAAAAAAAAAAAAF6c + WGsAAAAAAAAAgHdUrXXMpJTGzIisA3hblVIiYuRu5pyPZz8AeMflnI+51MeZ1lrvfdu21to8z733 + nPPxlmGe54hINx6k2wAAAAAAAAAAAAAAAAAAAAAAAMDLEWsNAAAAAAAAAPCOKqXUWlNKI6YuIi4u + Lh66UwBnVGvNObfWIqK1NmI7j+GdAPDOaq1t21ZKmed527YPPvggIkaC9TRNx0/PiJBgDQAAAAAA + AAAAAAAAAAAAAAAAAG8BsdYAAAAAAAAAAO+oEU038lzXdc05X11dlVIeul8A55JSaq211kopKaV1 + XS8uLrZte+h+AcADW5YlImqt67rudrtHjx5FxLZth8NhLB/J1iPoGgAAAAAAAAAAAAAAAAAAAAAA + AHjTJYOLAQAAAAAAAAC8y1JKETFNU611BL4+dI8AzmtZlhHSOZRSaq0P2B8AeE1M07RtW0Tcvi9Y + 13We5+M6T/wTAAAAAAAAAOAtk1JK6e4B+o7Le++99/EYNgAAAAAAAAAAAAC8ofJDdwAAAAAAAAAA + gAdzdXW1LEtEbNvWe5+myfBqwNvtmGm92+1GKqdMawCIiFJKrXXcDtRaxw3C48ePp2mKiBF3fTgc + ZFoDAAAAAAAAAAAAAAAAAAAAAADAWyD13h+6DwAAAAAAAAAAPJgRXJdSmud5RL0CvN1GbOeYP6Zc + A8A7a5qmWuvxqfKnHy9/9OjR+++/n1Ja11WsNQAAAAAAAADwdksppXT3AH3H5b333vt4DBsAAAAA + AAAAAAAA3lD5oTsAAAAAAAAAAMDDqLW21sZ8KeVwOMioA95u8zynlHLOYyjJnLNMawDYti3nvNvt + ImLcIPTeW2vbto2BmD/44IOU0n6/n+d527YH7i4AAAAAAAAAAAAAAAAAAAAAAADwmaUx1hgAAAAA + AAAAAO+a3vsIdt22bZqmWmvvfZqmh+4XwBmt67osS865tZZzzjmL5wSAeZ7XdW2tpZQOh8OyLMcf + res6z/PxmfNxBwEAAAAAAAAA8LZKKaV09wB9x+W99+OT2AAAAAAAAAAAAADwhhJrDQAAAAAAAAAA + AAC8tVprOecxPY47/KzRh99N0zSNWOuxo1pr0zQ9dKcAAAAAAAAAAB6AWGsAAAAAAAAAAAAA3hGG + GwMAAAAAAAAAAAAA3nI55zGg8EN35LWzrmspZV3XY5T1NE1GXgYAAAAAAAAAAAAAAAAAAAAAAIC3 + WH7oDgAAAAAAAAAAAAAAnEvO149Mp5TajVrrw/bq9THPc8455zxyrHPO+/3+oTsFAAAAAAAAAAAA + AAAAAAAAAAAAnNH00B0AAAAAAAAAAAAAADivWmsp5RhxzW2Hw2FZlojY7/c5591u99A9AgAAAAAA + AAAAAAAAAAAAAAAAAM7IuGwAAAAAAAAAAAAAwFtrXdeIKKXUWiNiv9+PJRwty3I4HCJit9vN8xwR + Y18BAAAAAAAAAAAAAAAAAAAAAAAAb6XUe3/oPgAAAAAAAAAAAAAAnMu6rqWUnHNrLeccEdu2TdP0 + 0P16LfTeU0oRUWvNOaeUrq6uLi4uHrpfAAAAAAAAAAAPIKWU0t0D9B2X996PT1wAAAAAAAAAAAAA + wBsqP3QHAAAAAAAAAAAAAADOpbU2z3PO+XA45Jy3beu9y7Q+Sim11lprpZSU0rquFxcX27Y9dL8A + AAAAAAAAAAAAAAAAAAAAAACAcxFrDQAAAAAAAAAAAAC85Vpry7Js2zZNU2vtobvzGqm15pzHPmmt + jcBvsd8AAAAAAAAAAAAAAAAAAAAAAADwFku994fuAwAAAAAAAAAAAAAAAAAAAAAAAAA8pJRSSncP + 0Hdc/v+zd2/rbdtsgEaxo+gm7v1f6G/XEUkAc/BNOJ5s3DRpYqda64APRUIQwGM9fOecc86c8y9f + HQAAAAAAAAAAAAD8a8prLwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIBfQdYaAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgJshaAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + 3ARZawAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgJsgaw0AAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAHATZK0BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABugqw1AAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAADATZC1BgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuAmy + 1gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADdB1hoAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAOAmyFoDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADcBFlrAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAACAmyBrDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcBNkrQEA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG6CrDUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAMBNkLUGAAAAAAAAAAAAc7wjowAAIABJREFUAAAAAAAAAAAAAAAAAAAAAAAAAAC4CbLWAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAN0HWGgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAA4CbIWgMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAANwEWWsAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAICbIGsNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwE2StAQAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAboKsNQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + wE2QtQYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALgJstYAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAA3QdYaAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgJshaAwAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAA3ARZawAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgJsg + aw0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHATZK0BAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAABugqw1AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADATZC1BgAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAuAmy1gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADdB1hoA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAmyFoDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAADcBFlrAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAmyBrDQAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAcBNkrQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG6CrDUAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBNkLUGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAC4CbLWAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAN0HWGgAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAA4CbIWgMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAANwEWWsAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAAAAICbIGsNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABw + E2StAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAboKsNQAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAAAAAwE2QtQYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALgJstYAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAAAAAAA3QdYaAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgJsha + AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3ARZawAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA + AAAAAAAAgJsgaw0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHATZK0BAAAAAAAAAAAAAAAA + AAAAAAAAAAAAAAAAAAAAAABugqw1AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADATZC1BgAA + AAAAAAAAAAAAAAAAAAAAAOBfMOdMKe37nlLqvaeUxhhxKz6ed9+aWPmcs5SSUso5f3I8T86NvGXx + 2M+HDwAAAAAAAAAAAADPyVoDAAAAAAAAAAAAAAAAAAAAAAAA8KMeHx+fd6BrrSmlUkp0oHPOc845 + 57IsbzC3nD+Ktc05L5fLnLO1Fh/P9bfWooH9ppyP9DiOM84dRwAAAAAAAAAAAAD4hL+ZAgAAAAAA + AAAAAAAAAAAAAAAAAPBDxhjv37+PuHJrbdu23vsYY8559q0jDt17f4O55VhqBKEjZR17OSvR4TiO + lNIbzFrH4lNKZ3W79x5BcQAAAAAAAAAAAAD4RHvtBQAAAAAAAAAAAAAAAAAAAAAAAADw33G9Xtd1 + PT+eNeucc0opjm+tDB2l7VprKWXf95zzcRzLssSyxxhRuV6WZdu2y+Xy1tZfax1jHMdRSqm1fvjw + 4e7u7rUXBQAAAAAAAAAAAMAbld/a32EBAAAAAAAAAAAAAAAAAAAAAAAA+O3s+x4d6FrrcRyttQha + Pz09vX//fs7ZWhtj1FqjG/3a6/3/PH8v3+Vy2battXYcx3lxWZZ938+7b239KaXL5XK9XkspvfeU + 0hhjzllrfe11AQAAAAAAAAAAAPDmyFoDAAAAAAAAAAAAAAAAAAAAAAAA8EOiYP35xbu7u0hcb9uW + vlKMfgtqrRHkjiZ0KWWM0VpLKfXez7f2xfrj7msu9yti/bE8bxoEAAAAAAAAAAAA4GvKay8AAAAA + AAAAAAAAAAAAAAAAAAAAgN/b2bTuvUcZ+nq9llK2bRtjRAo6pbRt259//vnWmtYppVhz7z3nnHOO + JvQY4ziOOWf0rc9hb7Bp3VrLOcfCYvHrur7BdQIAAAAAAAAAAADwFvzf/8sCAAAAAAAAAAAAAAAA + AAAAAAAAwI8YY5RS4vi8Dx0Xa61jjLf5BryobkcHOpZ9buT5xZRSa+0NZrlDrPb5Y48ONwAAAAAA + AAAAAAA8J2sNAAAAAAAAAAAAAAAAAAAAAAAAwA/pvddaU0pzzpxz731dV03lXynnHCdzzrO97X2D + AAAAAAAAAAAAAHyuvPYCAAAAAAAAAAAAAAAAAAAAAAAAAPi9RdM6pXQcx5zz3bt3vffW2uuu6na0 + 1uacy7JEx/o4jpyz5w8AAAAAAAAAAADAF8laAwAAAAAAAAAAAAAAAAAAAAAAAPBD5pz/+9//UkrL + sqSUtm3LOffeX3tdt+I4jmVZ4rHf39+nlOacx3G89roAAAAAAAAAAAAAeItkrQEAAAAAAAAAAAAA + AAAAAAAAAAD4ITnnP//8M6W073vOOaVUa51zvva6bsj52B8eHnLOf/zxR1wBAAAAAAAAAAAAgE9k + //QFAAAAAAAAAAAAAAAAAAAAAAAA4Acdx5FSaq3t+/7u3bv4yK+xLMu+759/9L5BAAAAAAAAAAAA + AD4naw0AAAAAAAAAAAAAAAAAAAAAAADAj4pX2+Wc43xZljGG9939MjnnUkrvvdbae79cLmOM561r + AAAAAAAAAAAAAAjltRcAAAAAAAAAAAAAAAAAAAAAAAAAwO/tOI4zaP3hw4ecc85Z0/pXmnOOMaJs + nVLatu04jtdeFAAAAAAAAAAAAABvkX/6AgAAAAAAAAAAAAAAAAAAAAAAAPCjrtfruq7nx+M41nUd + Y7zikm5HRMTjWEoppRzH4WWDAAAAAAAAAAAAAHxRee0FAAAAAAAAAAAAAAAAAAAAAAAAAPB7m3Ou + 6xod5THGcRyttTFGrTWllHPOOcdJKS+9BC+GpZRi2Dk4rsdsrbXnI39rsZfWWmyntRZp8NhpWJYl + Ts5neD7P+HpKac5Za51zLssSz3/OKWsNAAAAAAAAAAAAwBfJWgMAAAAAAAAAAAAAAAAAAAAAAADw + Q87I9BijlBK55Tln7z1y13POM8b8vNn8+TwxZoxxuVzGGM8rznHsvaePIe2fv7Of6ziOdV2P46i1 + 5pyP49j3PX3c47IsOee4Eo+xtRbt6khWH8eRc46n3XuPJ5ZSirv/jfI3AAAAAAAAAAAAAP+6HP83 + BQAAAAAAAAAAAAAAAAAAAAAAAIDvNuccY9Rae++11m3bom+dc45yc0ppjPG3b8ArpZRSlmV5enrq + vZdSrtdrBJvHGMuypI/x7Dj+ir39TMdxtNY+2VE0qkspx3G8e/fur7/+yjnXWo/jWJZl3/ec8/v3 + 7x8eHmKSUkq0w9PHpnWMieI1AAAAAAAAAAAAADwnaw0AAAAAAAAAAAAAAAAAAAAAAADAD9n3PYLT + X/wYfeUoW0fiuvf+xXki7Rzn8ZVP3pj34cOHu7u7KGfH8d/fzC901qxzznPOUsq2bcuyRNt7jHG5 + XOLKvu/xlbgSDyfnfHd39+HDhzlnzDDnfHx8fP/+/evuCwAAAAAAAAAAAIC3TNYaAAAAAAAAAAAA + AAAAAAAAAAAAgB+1bdvlcjmOo7U254z+dLSWc8455/v7+4eHh2+ZKlLNrbXe+5wzqs/pYwQ6Joym + 9e/+Pr3YV0opNnVejI51pLvPwVEKP/vWceX5xznnmfq+Xq+ttd89+w0AAAAAAAAAAADAzyBrDQAA + AAAAAAAAAAAAAAAAAAAAAMCP2vd9WZY55+Pj4/39fVyMInUEm+MYY47j+No8UcL+fPKoZZ9965zz + GOM/kG2OfHUpJYrgOefWWjyf8+RyuWzbFuNzzqWUyFf33i+XyxjjOI4557Zt8UBKKWckGwAAAAAA + AAAAAAA+IWsNAAAAAAAAAAAAAAAAAAAAAAAAwA+JJPP1el3XNa7MOXvvrbWUUuSWa63f2FpurfXe + 55yllEg455w/fPgQSeznk/zu79M7K91xfPfu3b7vpZRa6xn/PjvfZ+U6SuHxrTDnPB/+nDPGzzn/ + A9lvAAAAAAAAAAAAAP51stYAAAAAAAAAAAAAAAAAAAAAAAAA/Kjz1XY5533fl2WJj2OMUsp5Jedc + SnmeZH7uebD5rDg//4nee601jp8krn9TUQRPKbXW5kfPB5RSUko558h7x67P5vdxHOdjiS/G3Rjw + KjsCAAAAAAAAAAAA4I3zN1MAAAAAAAAAAAAAAAAAAAAAAAAAflT+KKV0Nq0jrjznXJZljBEN5jFG + rfX8SvrYuo7xZ/H6k6b1siznsN57fOs83/c9PUtrv0GxwpTS9XqNk23bUkqttd57KaX3/sX1jzHG + GLHNOWfkqy+Xy/k8j+P42vMEAAAAAAAAAAAAgM/5pykAAAAAAAAAAAAAAAAAAAAAAAAAP0VUllNK + Y4xSSmstpTTn7L2v6zrnnHOeMeZoM3/NGGNd14hkXy6XM4B9Fp3j+Pj4+LM39R32fV+WJcrW67o+ + PT2llC6XS0rp4eHh7u4uHk5UwF+YJ+ccfett22qty7I8Pj7GUwUAAAAAAAAAAACAb5TnnK+9BgAA + AAAAAAAAAAAAAAAAAAAAAAD+m6I/XWvtvddat22LhHPOeV3X4zhSSmOMl9+M11rrvZ9jzpP4Yu89 + EtERz47jT97WP7Zt2+VyOY6jtXYcR6015zznXJYl5xzPIW7F9S9Octa7I+n9119//fHHH5EG/5V7 + AQAAAAAAAAAAAOC3JmsNAAAAAAAAAAAAAAAAAAAAAAAAwE+x7/uyLF/7mHOOkHNKKRLXvfcXZqu1 + Rs45Utm999Za3Lper+u6vuWs9Sci8p1zjuB3Smld1+v1+vK3zsHpY9t73/eU0vOnCgAAAAAAAAAA + AAAve+v/tQUAAAAAAAAAAAAAAAAAAAAAAADgN7Usy7ZtKaVoV7fWnp6eosc855xzHsdxf3+fUrpe + ry83rdPHFHR8vdbaWovz4zjWde29l1L2fX+DTesxRuy39x5N7mhap5TimFK6Xq+ttVrrC/Ocjyie + Z0qp1qppDQAAAAAAAAAAAMA/8ub+bgsAAAAAAAAAAAAAAAAAAAAAAADAf0Zkm2utDw8POec//vgj + 5zzGiLtzzoeHh5TSsiyttRfmuVwu6WPOeV3XOecZhI4vRhB6WZZoXb8ppZTjOOactdbIb5dSYtnH + cZxp6uM4eu/nvj53xrxjzN+GwAEAAAAAAAAAAADgc7LWAAAAAAAAAAAAAAAAAAAAAAAAAPwUx3Es + y3K9XnPO9/f3KaU553EcpZSc87ZtvfeoUO/7Hsnqr9m2LaUUBejr9RqzlVKu12tKqfd+Np5fyEK/ + oghXR8/7crnMOaNOvSzL+RBSSjnnF7Lcvfenp6d4gGOMWmspZd/3X7MFAAAAAAAAAAAAAP4bXvrH + KgAAAAAAAAAAAAAAAAAAAAAAAAD8iOfB5n3fo+6cUhpjRJI5ruScI9X8tXlKKaWUSF+31uIkItBz + zpgtjj99S//cnLP33lpLKdVa08e+dUrp3HWkvnvvL5StP9np+cVftA0AAAAAAAAAAAAA/hNkrQEA + AAAAAAAAAAAAAAAAAAAAAAD4peacEW/OOY8xxhittZxzrTW6zueYGPC3E27bFnns52XraGY/72r/ + tA39P2eo+3q9rusaa7tcLiml3nus59zg1yY5y9allOh2f+NzAAAAAAAAAAAAAIC/VV57AQAAAAAA + AAAAAAAAAAAAAAAAAADclrMwHRXq1lpKac7Ze1/X9Qw5x7Ba6wtT1VprrX/++edxHGfT+jiOOWfk + pXPO27blnI/j+Nn7iqb1vu8ppXVdn56eUkrRtH54eLi7u4vNzjnP9vYXzTlj12OMeBS99xcy2AAA + AAAAAAAAAADw7bJ/pgIAAAAAAAAAAAAAAAAAAAAAAADwi805xxi11t57rXXbtkg+55zXdY0EdUSd + /3aqUsoY45zzeTH64eHh/fv3OecITv+87Zy2bbtcLsdxtNaO46i15pyjsX2mteNWXP/iJDEg9lVr + fXx8XNf1FyweAAAAAAAAAAAAgFsgaw0AAAAAAAAAAAAAAAAAAAAAAADAL/VJZPqTjznn6DqnlCJx + 3Xv/4jyttZTSOfJ6vUbZOuf84cOHu7u7KEm31qI2/XN39SUR7c45R8D7XOe3fLeUMufc973WOsbo + vf+aMjcAAAAAAAAAAAAA/22y1gAAAAAAAAAAAAAAAAAAAAAAAAD8atGZjub0nPOsUM85c8455/v7 + +4eHh2+cLaLRpZQxxvmSvZfj2T9DFLXjJKLUpZS4coa643zO+bVWd0ppWZZ936PJXUqJNvZPXTkA + AAAAAAAAAAAAt0PWGgAAAAAAAAAAAAAAAAAAAAAAAIBfLSLTc87Hx8f7+/u4GFno6EDHMcacQegv + ihh2+hi37r2PMcYYl8slgtBRvI4Jf7Z932utpZQodpdSaq2x/ri+7/sny/6a825Esn9BlhsAAAAA + AAAAAACAW1BeewEAAAAAAAAAAAAAAAAAAAAAAAAA3JbjOJZluV6vOedoWke7OuLT27b13iPqvO/7 + y03rdV3nnLXWlFIpJaXUWmutXS6XlFKtNZrQv6ZpnVKK8vQYI6V0uVzmnHPOnPOyLOem0t81rVtr + Ub/uvaeUIpKtaQ0AAAAAAAAAAADAv+Klf7ICAAAAAAAAAAAAAAAAAAAAAAAAwM/wPPC87/vZbI4K + 9Xkl51xKiUr0574Yh661RkA6OtmllAhLx/GnbSillOacvffWWiwjfexbp5TOXURju/f+Qtl6jBFL + nXOOMWKqX7B+AAAAAAAAAAAAAG6BrDUAAAAAAAAAAAAAAAAAAAAAAAAAb8Lz/vQYY4zRWss5R6n6 + LD3nnGPA1+aJhnS8bS8K2VHLjrtx5XlX+zuWeoa3r9fruq4ppW3bLpdLSqn3HvOfC/7aJGfZOtrb + 58a/Yz0AAAAAAAAAAAAA8I3Kay8AAAAAAAAAAAAAAAAAAAAAAAAAAFJ6VpiOCnVrLaU05+y9r+t6 + hp9jWK31a/NEGTqGlVK2bYum9XEcc87IUeect23LOR/H8U/XGU3rfd9TSuu6Pj09pZSiaf3w8HB3 + dxeLn3OeLe0vmnPGLiLCnXPuvb+QwQYAAAAAAAAAAACAH5f9YxUAAAAAAAAAAAAAAAAAAAAAAACA + N2LOOcaotfbea61RpG6t5ZzXdY0EdUSgX5gkxkd2OkZGEvuMTD88PLx//z7GROX6n9q27XK5HMfR + WjuOo9aac45m9pnKjltx/WvrPI6jlBJbfnx8XNf1OxYDAAAAAAAAAAAAAN9O1hoAAAAAAAAAAAAA + AAAAAAAAAACAN+GTyPQnH3PO0YFOKUXiuvf+8oT39/cPDw/RtI689IcPH+7u7qI83VqLOvWPrzwi + 3DnnCHLHCq/X67d8t5Qy59z3vdY6xui9f19pGwAAAAAAAAAAAAC+haw1AAAAAAAAAAAAAAAAAAAA + AAAAAG9FdKajOf28Qh1d6pxzlKpfnqTW2lqLqnSUsJ+/ee/lePa3GGPknOMkotSllLhyhrfjfM75 + Qnt7WZZ936OxXUqJNvY/WgkAAAAAAAAAAAAA/FOy1gAAAAAAAAAAAAAAAAAAAAAAAAC8FRGZnnM+ + Pj7e39/HxchIRzc6jjHmDEh/Uc65lJJSisR1jB9jXC6XCEj33s8c9Xess9ZaSokCdyml1hrriev7 + vp/LePm9f+fdiGR/R2YbAAAAAAAAAAAAAL6drDUAAAAAAAAAAAAAAAAAAAAAAAAAb0Ikoq/X67qu + cWXO2XtvraWUtm2rtdZa/zZEXWuNV+3NOeNkXdcoW59jnqeyv88YI47v3r2LyvUYo7W273trLRLX + LzetW2tPT0+ttchsn0/gu5cEAAAAAAAAAAAAAH9L1hoAAAAAAAAAAAAAAAAAAAAAAACAt+J8RV7O + ed/3ZVni4xijlHJeyTmXUiIs/UWllGhaR7h6zllr7b3POY/jKKXEgMhO/9O49fPYduSoz5Wcqyql + 5Jx77y+UrSOtHROOMWKq71gPAAAAAAAAAAAAAHw7WWsAAAAAAAAAAAAAAAAAAAAAAAAA3rTn/ekx + xhijtZZzjovRq46MdPShvzZPNKfjLXxRyI5adtyNK8+72vGLvff0LF8d4+dHEbdurUUz+4u/e5at + n8e2X1gnAAAAAAAAAAAAAPw8stYAAAAAAAAAAAAAAAAAAAAAAAAA/AYiCH1WqFNKOedlWfZ9/46p + eu+998vlklI6jqPWmnOOu9frdV3X4zhaa5GgjjGttX3fW2tjjFrrvu/v3r2LOvXfNqqjvX0uO87P + XwQAAAAAAAAAAACAX6b8/RAAAAAAAAAAAAAAAAAAAAAAAAAAeFVzzjFGKSWC0Nu2Hccx59z3vZRS + Ssk5R/H67u7ua5O01pZlSSnlnGutl8slpm2tRWH64eFh/p/27q23bWMLwOjcKLpNAvT//8w2F5Oc + y3nYp0KANG6aunHSrvVAUOPh1sjPgr619n2PfPW9aZ1+L2HH4xHYjiR2BK1j/Yn3HWPE8WqtHz58 + yDlrWgMAAAAAAAAAAADwIvJa66XPAAAAAAAAAAAAAAAAAAAAAAAAAACfdV3Xx93oj18ex/Hw8FBK + ibz0F3r9+nUUrCNcvdZ6fHx8eHjIOffeW2vneUa1eoyx1mqtxYPx15RSVLTXWve3zjk/fYbYf11X + rXXOeY9kAwAAAAAAAAAAAMC3JGsNAAAAAAAAAAAAAAAAAAAAAAAAwPcuOtNRlb5XqKMqnXNOKbXW + eu/7vh/H8bkhtdbWWmyI/R//It/T8ex931NKY4xaa8651jrG+MLDb9t2XVc0s0spMeSv/w8AAAAA + AAAAAAAA4BmUlz4AAAAAAAAAAAAAAAAAAAAAAAAAAPyJaFfXWt++fZtz/umnn3LOY4w551qr1jrn + TCk90bROKY0xjuOIKPVaa9/3GNt7P89z27YoVY8x1lrbtsXMqGXHTUqplJJSmnO21lJKcf24h/2p + 67rikXg23jQWAQAAAAAAAAAAAOAbk7UGAAAAAAAAAAAAAAAAAAAAAAAA4LvWe9+2LYrUr1+/Timt + tWKxlHJd13Ecc85aa0oprn+o1lpKyTnPOSNxHWXr1trtdosNEZ+O8nQpZYzRWuu9R/H6l19+WWvF + ASJo3XtvrV3X9UTZOjaklGJIKSUO/6z/JAAAAAAAAAAAAAD4Ijm+EQsAAAAAAAAAAAAAAAAAAAAA + AAAA3637T+flnD9uSK+1IlN9b1E/rZSy1oqn4vFa6xgjOtmllNiQc75f403XWtu2RZd627bIVO/7 + fhzHxyt/aM55f7t7fvt+BgAAAAAAAAAAAAD4lmStAQAAAAAAAAAAAAAAAAAAAAAAAPiBRdP6Xra+ + t6hba733lFKtNXrSTwyJNnZKKZrZMS2ltH7XWouZ0cD+3JyIZN/f8Xa7vX379h7hBgAAAAAAAAAA + AIAXV176AAAAAAAAAAAAAAAAAAAAAAAAAADw9Uop99T04+Nj3Lx586b3vm1bdKb/tGkdSexoWt9n + jjFyzmOMh4eHUkoppff+RNM6nqq11lrnnDnnDx8+aFoDAAAAAAAAAAAA8F3JT3wjFgAAAAAAAAAA + AAAAAAAAAAAAAAC+W2utnPOni7XWtda+78dxxOLtdjvP84lRpZQ558PDw2+//VZrPc9z3/fee621 + lNJa672XUmqt13U9PSelFE3rOWf84t+nhwQAAAAAAAAAAACAlyJrDQAAAAAAAAAAAAAAAAAAAAAA + AMCP6rqubdvGGLXW3ntrLVrXpZT4tb0oUn/JqJxzJLF773POCFTfR0X3Olbi5nMig/3rr7/u+/4c + HxEAAAAAAAAAAAAAnpOsNQAAAAAAAAAAAAAAAAAAAAAAAAA/pIhPx/U4jntGOvrWHxepxxhPzLkH + rccYMXCtNcZorcXiF57ndrud55lSus/JOcecv/lJAQAAAAAAAAAAAOC5lJc+AAAAAAAAAAAAAAAA + AAAAAAAAAAD8Xfu+n+c5xphzRkZ6rXUPVOecn2hLx5/mnLfbLVrUOeeIZN+nxXXbtifOEE3rtVbU + rONG0xoAAAAAAAAAAACA74qsNQAAAAAAAAAAAAAAAAAAAAAAAAA/pFLKu3fvSikppd777XartZZS + omadUppzppT2fS+l9N4/N+e6rpzzWus8z1rrvUudUlprRZe6995au67r6bL1vaJda42Z13U976cG + AAAAAAAAAAAAgL9D1hoAAAAAAAAAAAAAAAAAAAAAAACAH9WrV6+iYB3XaFGXUnLO53nmnOecx3FE + +vpzSilrrehVjzFaa7E94D+LAAAET0lEQVQ/Vh4fH/d9Tyn13rdteyJTHUnsWmsktD8eCwAAAAAA + AAAAAADfiRxfvQUAAAAAAAAAAAAAAAAAAAAAAACAf5M5ZyklrjnnnP//+3uttchOR4V6zvlXJ99H + 1VrnnHEfCe3n/hAAAAAAAAAAAAAA8MzKSx8AAAAAAAAAAAAAAAAAAAAAAAAAAJ5fKWWMEc3px8fH + uHnz5k3vfdu2WusY4+tC1Gut1lpKaYxRSkkptdbO83zW4wMAAAAAAAAAAADAPyLHN2sBAAAAAAAA + AAAAAAAAAAAAAAAA4N9hrZVz/nSx1rrW2vf9OI5YvN1uX5Gj3rbtuq5Syr2K7Zf9AAAAAAAAAAAA + APhRlJc+AAAAAAAAAAAAAAAAAAAAAAAAAAA8p5zzdV0ppTFGSqn3HutzzpxzNK1baymlr2hap5Ri + eJSz73HrWAQAAAAAAAAAAACA71xea730GQAAAAAAAAAAAAAAAAAAAAAAAADg2cw5ozZdSjmOY9/3 + WO+9t9ZyzqWUtVYpJbrXf9W2bWOMOWet9bquGPWsnwAAAAAAAAAAAAAA/im++QoAAAAAAAAAAAAA + AAAAAAAAAADAv9a+7+d5RoW6tZZSWmvNOddaY4yccyz+Jdd1zTn3fT+OI+cci733Zz46AAAAAAAA + AAAAAPwD8lrrpc8AAAAAAAAAAAAAAAAAAAAAAAAAAM/p3bt3r169Sin13u/h6jlnzjnnvNYqpez7 + 3nsfY3zF/JxzPBhZ6zFGrfX5jg8AAAAAAAAAAAAA/5Ty0gcAAAAAAAAAAAAAAAAAAAAAAAAAgGf2 + 6tWrtVZKKa6RoC6l5JzP88w5zzmP4yjlK3+Ub4wRQes5Z0qp1ho3AAAAAAAAAAAAAPCdk7UGAAAA + AAAAAAAAAAAAAAAAAAAA4F8ostPbtqWUaq339dZaSmmttda6riu2hX3f4+U9d3273WJUPBXra63Y + lnO+7/zqQjYAAAAAAAAAAAAAfEt5rfXSZwAAAAAAAAAAAAAAAAAAAAAAAACAb2eMkVKqtR7H8fDw + EHXqWEy/B6rnnPGy1nrfHyVsBWsAAAAAAAAAAAAAflyy1gAAAAAAAAAAAAAAAAAAAAAAAAD8J6y1 + cs6fLkam+ueff37//n3OOecci2utOWdEr+ec95cvcXYAAAAAAAAAAAAAeB7lpQ8AAAAAAAAAAAAA + AAAAAAAAAAAAAN9Czvm6rpTSGCOl1HuP9eu6cs7v37/fti2lFAXrMUatNZ6K/VHFnnO+2AcAAAAA + AAAAAAAAgL9N1hoAAAAAAAAAAAAAAAAAAAAAAACA/4Q557Ztc85a63EcrbWUUs65tXaeZ601+tY5 + 5whaX9cV+1NK53mmlMYYstYAAAAAAAAAAAAA/NBkrQEAAAAAAAAAAAAAAAAAAAAAAAD4z9n3/TzP + yFSPMUopvfec85xzrbVtW2wbY6SU1lr3ByOGDQAAAAAAAAAAAAA/qP8BNDUu4yMJS7UAAAAASUVO + RK5CYII= + ) ) - (global_label "ADCINC2" (shape output) (at 257.81 41.91 0) (fields_autoplaced) + (text "Normal: Jumper shunts\nDebug: Jumper cables" (at 50.8 91.44 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 27127244-62b4-4a9f-9df9-40d3d923289a) + ) + (text "Normal: Jumper shunts\nDebug: Jumper cables" (at 236.22 153.67 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a515b75f-aad2-4b46-ac68-09f870ac23cb) + ) + (text "Mux Selection pins" (at 76.2 52.07 0) + (effects (font (size 2 2) (thickness 1) bold) (justify right bottom)) + (uuid eb2a5578-8c88-40f0-a87b-e0e83d0679dd) + ) + + (global_label "ADCINC2" (shape output) (at 259.08 132.08 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 001aac46-ef7f-40ab-a792-5b8c8f57aac0) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 268.8386 41.91 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 132.08 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCINB3" (shape output) (at 257.81 49.53 0) (fields_autoplaced) + (global_label "ADCINB3" (shape output) (at 259.08 139.7 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 05154386-c3d9-4ae6-88a3-3a8c0e095e89) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 268.8386 49.53 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 139.7 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCINB0" (shape output) (at 123.19 34.29 0) (fields_autoplaced) + (global_label "ADCINB0" (shape output) (at 259.08 35.56 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 0a1e7090-069d-4b54-ba39-a71f34b03223) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 134.2186 34.29 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 35.56 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "Mux1_A2" (shape input) (at 54.61 59.69 180) (fields_autoplaced) + (global_label "DAC_B" (shape input) (at 49.53 31.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0b5aacec-5c31-41c7-9534-ee39cffb1b31) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 40.6786 31.75 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "Mux1_A2" (shape input) (at 172.72 60.96 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 1287be5b-df90-4709-b8f9-fb989110e512) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 43.5211 59.69 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6311 60.96 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux3_A2" (shape input) (at 180.34 62.23 180) (fields_autoplaced) + (global_label "GPIO128" (shape input) (at 48.26 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 194e75ce-8062-4863-8a08-a9a3de2e4283) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 37.171 67.31 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "Mux3_A2" (shape input) (at 172.72 152.4 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 1ed7cb4b-1bb9-475b-b7c9-89b4fc1a3a13) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 169.2511 62.23 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6311 152.4 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "ADCINC4" (shape output) (at 132.08 97.79 0) (fields_autoplaced) + (global_label "ADCINC4" (shape output) (at 259.08 85.09 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 21fe11c6-f0e4-43c4-9d42-bc01d3779749) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 143.1086 97.79 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 85.09 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GPIO136" (shape input) (at 48.26 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 279c6c27-e13f-4dbf-a2fc-473bd3ab137d) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 37.171 77.47 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "Mux2_A1" (shape output) (at 74.93 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2a83ee16-0112-4b36-9078-d4f0e61cb434) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.0189 67.31 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCIND3" (shape output) (at 132.08 95.25 0) (fields_autoplaced) + (global_label "ADCIND3" (shape output) (at 259.08 82.55 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 2bfa4c83-9659-46a3-a08d-051bdc41df88) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 143.1086 95.25 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 82.55 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "DACOUTC" (shape input) (at 52.07 30.48 180) (fields_autoplaced) + (global_label "DAC_A" (shape input) (at 49.53 34.29 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) - (uuid 2edf544e-d524-4096-ab37-bc063dc5ec48) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 40.5576 30.48 0) + (uuid 2e5c253c-1125-4041-bcc1-2d9b4a9beabd) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 40.86 34.29 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux1_A0" (shape input) (at 54.61 54.61 180) (fields_autoplaced) + (global_label "Mux1_A0" (shape input) (at 172.72 55.88 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 31b9e8cf-aa9f-41f2-8c08-94f9d51e4e0c) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 43.5211 54.61 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6311 55.88 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GPIO126" (shape input) (at 48.26 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 35c14804-6290-4796-8654-da8ed327fdb0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 37.171 69.85 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "DACOUTA" (shape input) (at 177.8 33.02 180) (fields_autoplaced) + (global_label "GPIO44" (shape input) (at 46.99 62.23 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) - (uuid 37018380-1e1e-4752-a0e9-aa59ae353ddf) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 166.469 33.02 0) + (uuid 3c778daa-b13a-480b-9bb8-439b277757c4) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 37.1105 62.23 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux1_A1" (shape input) (at 54.61 57.15 180) (fields_autoplaced) + (global_label "GPIO130" (shape input) (at 48.26 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 48294937-9f94-4543-8984-ac1526dab014) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 37.171 64.77 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "Mux1_A1" (shape input) (at 172.72 58.42 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 4c8129f4-d721-4b79-b9c1-7f7238b8fa0e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 43.5211 57.15 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6311 58.42 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux3_A1" (shape input) (at 180.34 59.69 180) (fields_autoplaced) + (global_label "Mux3_A1" (shape input) (at 172.72 149.86 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 506e0cf7-7bc0-4c99-b761-bb50b1b30d43) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 169.2511 59.69 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6311 149.86 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "ADCINC3" (shape output) (at 257.81 44.45 0) (fields_autoplaced) + (global_label "ADCINC3" (shape output) (at 259.08 134.62 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 515b7243-e587-4540-bc6b-5b1157492e38) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 268.8386 44.45 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 134.62 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCINA4" (shape output) (at 132.08 87.63 0) (fields_autoplaced) + (global_label "ADCINA4" (shape output) (at 259.08 74.93 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 52aa9d33-3363-4617-9a6d-701cc3f46c8e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 142.9272 87.63 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 269.9272 74.93 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCIND1" (shape output) (at 257.81 39.37 0) (fields_autoplaced) + (global_label "ADCIND1" (shape output) (at 259.08 129.54 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 54f18bda-7fb1-432b-a793-04dbc71f2eeb) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 268.8386 39.37 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 129.54 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCIN15" (shape output) (at 123.19 41.91 0) (fields_autoplaced) + (global_label "ADCIN15" (shape output) (at 259.08 43.18 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 55446f09-5d72-46a7-8a00-a074da9b1da8) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 134.1581 41.91 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.0481 43.18 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "Mux3_A1" (shape output) (at 74.93 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5eb34ca7-1078-4c67-920a-c017672255e1) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.0189 59.69 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCIND4" (shape output) (at 257.81 31.75 0) (fields_autoplaced) + (global_label "Mux1_A1" (shape output) (at 74.93 74.93 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7455ab68-ce5c-47b6-bedc-59895a4a40f3) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.0189 74.93 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "ADCIND4" (shape output) (at 259.08 121.92 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 7a2e1f93-307f-49ad-8964-38a454f77664) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 268.8386 31.75 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 121.92 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCINA2" (shape output) (at 123.19 36.83 0) (fields_autoplaced) + (global_label "ADCINA2" (shape output) (at 259.08 38.1 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 7e5419ad-a5c4-44e2-ae7b-d0a07b84a7a7) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 134.0372 36.83 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 269.9272 38.1 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "Mux2_A2" (shape input) (at 54.61 118.11 180) (fields_autoplaced) + (global_label "Mux2_A2" (shape input) (at 172.72 105.41 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 7f108389-f98e-4adb-81b3-4736fc1d7bae) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 43.5211 118.11 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6311 105.41 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "ADCIN14" (shape output) (at 123.19 44.45 0) (fields_autoplaced) + (global_label "Mux1_A2" (shape output) (at 74.93 77.47 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 828e9d86-6020-436b-bd61-7c23f2ffa71a) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.0189 77.47 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "ADCIN14" (shape output) (at 259.08 45.72 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 82a1b3f5-0d52-4e38-9b5a-c7bd9e82da94) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 134.1581 44.45 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.0481 45.72 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCINA3" (shape output) (at 123.19 39.37 0) (fields_autoplaced) + (global_label "Mux3_A0" (shape output) (at 74.93 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 89ff8a6b-5019-405d-9ef9-44c439863289) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.0189 57.15 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "DAC_C" (shape input) (at 49.53 29.21 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8af1175f-c573-4378-ba9b-eb0d70f8aa95) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 40.6786 29.21 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GPIO131" (shape input) (at 48.26 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8cf2e553-d927-43b4-91fc-b5ba16527f5e) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 37.171 72.39 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "ADCINA3" (shape output) (at 259.08 40.64 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 987b58d2-64b7-474b-aa48-afb26683e1ed) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 134.0372 39.37 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 269.9272 40.64 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCINB2" (shape output) (at 257.81 46.99 0) (fields_autoplaced) + (global_label "GPIO57" (shape input) (at 46.99 59.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 98c77aad-e592-4e71-9c34-60062b26b146) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 37.1105 59.69 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "ADCINB2" (shape output) (at 259.08 137.16 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid a0c20c59-71c4-47f4-97a2-850cfcbd5504) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 268.8386 46.99 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 137.16 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCIND2" (shape output) (at 132.08 92.71 0) (fields_autoplaced) + (global_label "ADCIND2" (shape output) (at 259.08 80.01 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid a30d4473-66d1-442d-abda-58da6fd11074) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 143.1086 92.71 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 80.01 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCINA5" (shape output) (at 132.08 90.17 0) (fields_autoplaced) + (global_label "Mux3_A2" (shape output) (at 74.93 62.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a36f7eba-fcf7-4170-8b3f-97e1284069f0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.0189 62.23 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "ADCINA5" (shape output) (at 259.08 77.47 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid a612c23c-0e0d-4950-9abf-ae06a176db43) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 142.9272 90.17 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 269.9272 77.47 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCINB5" (shape output) (at 132.08 105.41 0) (fields_autoplaced) + (global_label "ADCINB5" (shape output) (at 259.08 92.71 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid af71b44b-6679-454a-947c-5beb79c17caf) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 143.1086 105.41 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 92.71 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "Mux2_A0" (shape output) (at 74.93 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bb85cfce-953c-4739-ba20-84cdac83aec1) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.0189 64.77 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "DACOUTB" (shape input) (at 52.07 88.9 180) (fields_autoplaced) + (global_label "GPIO132" (shape input) (at 48.26 74.93 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) - (uuid d517cea9-b999-4911-85dc-e60da25a787b) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 40.5576 88.9 0) + (uuid bf8fbb81-dcb3-4e68-ada1-92d0623cd0b1) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 37.171 74.93 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux3_A0" (shape input) (at 180.34 57.15 180) (fields_autoplaced) + (global_label "GPIO55" (shape input) (at 46.99 57.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d46c9788-5392-42cf-89ca-34fe4b155c5d) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 37.1105 57.15 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "Mux1_A0" (shape output) (at 74.93 72.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d708f499-c107-40f7-a167-833a72b12624) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.0189 72.39 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "Mux3_A0" (shape input) (at 172.72 147.32 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid d89d9332-c8ec-4fd7-bbac-0cae87d1c0c7) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 169.2511 57.15 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6311 147.32 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux2_A0" (shape input) (at 54.61 113.03 180) (fields_autoplaced) + (global_label "Mux2_A2" (shape output) (at 74.93 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid de9815af-fa03-4692-8424-6da6fee01fcd) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 86.0189 69.85 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "Mux2_A0" (shape input) (at 172.72 100.33 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid deddf5bf-46a6-4df0-b22f-648d3e3e81f6) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 43.5211 113.03 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6311 100.33 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "ADCINC5" (shape output) (at 259.08 87.63 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e49297de-a1d7-422c-a8f1-34f0fd8719ea) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 87.63 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "ADCINB4" (shape output) (at 259.08 90.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ee2ac186-8bfa-4a14-8ca0-5f19dd495003) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 90.17 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "Mux2_A1" (shape input) (at 172.72 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f0fa17de-9063-4462-ab83-1e4dc1b2399b) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6311 102.87 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) - ) - (global_label "ADCINC5" (shape output) (at 132.08 100.33 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid e49297de-a1d7-422c-a8f1-34f0fd8719ea) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 143.1086 100.33 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (global_label "ADCIND0" (shape output) (at 259.08 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f42988f4-c1ca-40a3-b417-98f6a20cf546) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 127 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "ADCIND5" (shape output) (at 259.08 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fe92a608-7230-4dd9-89f4-f2e8aead729c) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 270.1086 124.46 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603__3k9_THICK__1P__75V") (at 121.92 39.37 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 09619d56-9cee-4688-a443-16dffeffe627) + (property "Reference" "R184" (at 121.92 44.45 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "3k9" (at 121.92 41.91 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 111.76 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 121.92 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 121.92 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW06033K90FKEAC" (at 121.92 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 121.92 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 121.92 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW06033K90FKEAC" (at 121.92 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cccaeaaa-750b-4604-8494-e0dbd3e62e76)) + (pin "2" (uuid 3118e60b-9861-4633-8e58-a0ab1dcb9126)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "R184") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 212.09 109.22 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0a88b370-c569-4815-9e1b-56bb5a2d1863) + (property "Reference" "#PWR039" (at 214.63 111.76 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 212.09 113.03 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 212.09 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 212.09 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fd44f11a-2e1b-4c49-91e4-38b8b30f79fb)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "#PWR039") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 148.59 41.91 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0a9f8378-9cac-4098-a0bc-311ccd267d91) + (property "Reference" "#PWR034" (at 148.59 40.64 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 142.24 41.91 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 148.59 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 148.59 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f4fef7d9-f8b2-427c-83f4-44042e4dec6f)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "#PWR034") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:C_0603_100n_X5R_10P__50V") (at 166.37 135.89 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0c5e6f20-86b5-44e4-8211-9ef30482184b) + (property "Reference" "C8" (at 162.56 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "100n" (at 162.56 138.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 166.37 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 166.37 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 166.37 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "C1608X5R1H104K" (at 166.37 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "TDK" (at 166.37 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 166.37 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "810-C1608X5R1H104K" (at 166.37 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "2" (uuid d88efdfa-f95e-45bf-abca-a3e2c8681aab)) + (pin "1" (uuid 069772e6-f68b-4af6-80b9-0eb447069b73)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "C8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:C_0603_100n_X5R_10P__50V") (at 76.2 111.76 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0f14a215-5afb-46d1-9c1d-b0ec4c3847ba) + (property "Reference" "C7" (at 72.39 110.49 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "100n" (at 72.39 113.03 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 76.2 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 76.2 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "C1608X5R1H104K" (at 76.2 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "TDK" (at 76.2 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 76.2 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "810-C1608X5R1H104K" (at 76.2 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "2" (uuid 990f7ebb-87d1-4973-9fa1-9fb6adc337c5)) + (pin "1" (uuid e6b2aa12-e90f-42a7-9988-fe09e6c95dc7)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "C7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 163.83 91.44 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 10bb22cb-2311-48b9-a8c7-b5a993bcc091) + (property "Reference" "#PWR032" (at 166.37 93.98 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 163.83 95.25 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 163.83 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 163.83 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bca4803d-328b-41a4-83fe-7d6066d584dc)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "#PWR032") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100k_THICK__1P__75V") (at 109.22 92.71 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1424c7dc-91e9-4b7d-91c5-79dcc0620f95) + (property "Reference" "R179" (at 109.22 97.79 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "100k" (at 109.22 95.25 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 99.06 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 92.71 0) + (effects (font (size 1.27 1.27)) hide) ) - ) - (global_label "ADCINB4" (shape output) (at 132.08 102.87 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid ee2ac186-8bfa-4a14-8ca0-5f19dd495003) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 143.1086 102.87 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + (property "Mfr. No" "" (at 109.22 92.71 0) + (effects (font (size 1.27 1.27)) hide) ) - ) - (global_label "Mux2_A1" (shape input) (at 54.61 115.57 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid f0fa17de-9063-4462-ab83-1e4dc1b2399b) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 43.5211 115.57 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (property "manf#" "CRCW0603100KFKEAC" (at 109.22 92.71 0) + (effects (font (size 1.27 1.27)) hide) ) - ) - (global_label "ADCIND0" (shape output) (at 257.81 36.83 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid f42988f4-c1ca-40a3-b417-98f6a20cf546) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 268.8386 36.83 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + (property "Manufacturer" "Vishay" (at 109.22 92.71 0) + (effects (font (size 1.27 1.27)) hide) ) - ) - (global_label "ADCIND5" (shape output) (at 257.81 34.29 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid fe92a608-7230-4dd9-89f4-f2e8aead729c) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 268.8386 34.29 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + (property "Mouser No" "" (at 109.22 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603100KFKEAC" (at 109.22 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "2" (uuid 639a6298-569d-4389-b46a-b6242c5de834)) + (pin "1" (uuid 0fdcfa4c-d970-4401-b1bc-ff88b920d0ca)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "R179") (unit 1) + ) + ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 151.13 43.18 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 160.02 46.99 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 089c9fed-c700-4f8d-a004-e1a03e715931) - (property "Reference" "#PWR040" (at 148.59 40.64 90) + (uuid 1734d476-bb07-4869-82c5-650c1eb284dd) + (property "Reference" "#PWR030" (at 162.56 49.53 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 146.05 43.18 90) + (property "Value" "GND" (at 160.02 50.8 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 151.13 43.18 0) + (property "Footprint" "" (at 160.02 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 151.13 43.18 0) + (property "Datasheet" "" (at 160.02 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 9de3e9f4-1096-4c77-832e-8ed420d57132)) + (pin "1" (uuid 42fb6d2c-488b-4f75-b9c2-002b9894cda9)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR040") (unit 1) + (reference "#PWR030") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 93.98 116.84 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 212.09 148.59 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 0a88b370-c569-4815-9e1b-56bb5a2d1863) - (property "Reference" "#PWR039" (at 96.52 119.38 90) + (uuid 17776a9c-d3a3-4845-a62e-88d5663dcad4) + (property "Reference" "R173" (at 213.36 146.05 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1M0" (at 213.36 154.94 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 212.09 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 93.98 120.65 0) - (effects (font (size 1.27 1.27))) + (property "Datasheet" "" (at 212.09 148.59 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 93.98 116.84 0) + (property "Mfr. No" "" (at 212.09 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 93.98 116.84 0) + (property "manf#" "CRCW06031M00FKEAC" (at 212.09 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid fd44f11a-2e1b-4c49-91e4-38b8b30f79fb)) + (property "Manufacturer" "Vishay" (at 212.09 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 212.09 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 212.09 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "2" (uuid 027a1690-9320-4a0f-b172-d2f44047f3cf)) + (pin "1" (uuid e7dd5d81-c9d4-46a4-8473-af47eeec1d10)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR039") (unit 1) + (reference "R173") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603_100n_X5R_10P__50V") (at 29.21 40.64 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:C_0603_100n_X5R_10P__50V") (at 160.02 44.45 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 18b7ecf7-e345-481b-9f2e-04bd14e1e6fa) - (property "Reference" "C5" (at 29.21 35.56 90) + (property "Reference" "C5" (at 156.21 44.45 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100n" (at 29.21 38.1 90) + (property "Value" "100n" (at 156.21 46.99 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 39.37 40.64 0) + (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 160.02 34.29 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 29.21 40.64 0) + (property "Datasheet" "" (at 160.02 44.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 29.21 40.64 0) + (property "Mfr. No" "" (at 160.02 44.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "C1608X5R1H104K" (at 29.21 40.64 0) + (property "manf#" "C1608X5R1H104K" (at 160.02 44.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 29.21 40.64 0) + (property "Manufacturer" "TDK" (at 160.02 44.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 29.21 40.64 0) + (property "Mouser No" "" (at 160.02 44.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-C1608X5R1H104K" (at 29.21 40.64 0) + (property "mouser#" "810-C1608X5R1H104K" (at 160.02 44.45 0) (effects (font (size 1.27 1.27)) hide) ) (pin "2" (uuid 26aee38b-7f5c-4ffa-aee3-0c18921df5d5)) @@ -1571,78 +72319,100 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 52.07 45.72 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 249000f8-b479-45b3-8664-0cdb8dcd8cb2) - (property "Reference" "#PWR036" (at 54.61 48.26 90) - (effects (font (size 1.27 1.27)) hide) + (symbol (lib_id "LEA_SymbolLibrary:OP_4_MCP6004-E/ST") (at 120.65 85.09 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 1cf91d42-1eba-43b1-83fb-f8d49a42655c) + (property "Reference" "IC4" (at 121.92 74.93 0) + (effects (font (size 1.27 1.27))) ) - (property "Value" "GND" (at 52.07 49.53 0) + (property "Value" "MCP6004-E/ST" (at 121.92 77.47 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 52.07 45.72 0) + (property "Footprint" "LEA_FootprintLibrary:TSSOP-14_TI" (at 146.05 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 52.07 45.72 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/268/MCHP_S_A0009381759_1-2520804.pdf" (at 146.05 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 765044fc-eba5-4264-9858-5f08b81ac348)) + (property "Manufacturer" "Microchip Technology" (at 121.92 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "579-MCP6004-E/ST" (at 120.904 93.218 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "MCP6004-E/ST" (at 120.65 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "11" (uuid 0e42dc73-46cd-4866-b63b-4486653fdd7d)) + (pin "10" (uuid 4615f5dc-54d8-47d5-aa6d-eb54210965d5)) + (pin "3" (uuid 84ed0774-181b-434c-9474-7cba1ac132a6)) + (pin "2" (uuid 750fbbff-8e28-4bfb-b4ed-703d17774415)) + (pin "7" (uuid f1524102-4fe0-46eb-8279-60a61fff609f)) + (pin "14" (uuid 1187736a-5104-4c6d-ab90-eda26bcfeaa2)) + (pin "13" (uuid c2176f54-545f-457e-b65b-e3ff14bbe474)) + (pin "5" (uuid 830fd8b8-9b98-4b51-b5c2-fea103c1f707)) + (pin "1" (uuid 49064907-8bd4-4bcb-a9de-38303dbaf547)) + (pin "12" (uuid a3774651-7722-4777-99e9-140a776ec980)) + (pin "6" (uuid 937ad061-8ac0-4a82-b73e-22bb52801e02)) + (pin "8" (uuid 3d73f67c-9bf3-41ae-82e1-7e79da3fb61b)) + (pin "4" (uuid d8169fa4-a632-4ee6-88db-20cdefdd18ac)) + (pin "9" (uuid 262562a8-0c98-4d80-af4f-cf05df086ff1)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR036") (unit 1) + (reference "IC4") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 38.1 31.75 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 170.18 46.99 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 251b524c-5f1f-4cf5-b5b3-57f766888ad1) - (property "Reference" "#PWR034" (at 39.37 31.75 90) + (uuid 249000f8-b479-45b3-8664-0cdb8dcd8cb2) + (property "Reference" "#PWR036" (at 172.72 49.53 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (at 34.29 30.48 0) + (property "Value" "GND" (at 170.18 50.8 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 38.1 31.75 0) + (property "Footprint" "" (at 170.18 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 38.1 31.75 0) + (property "Datasheet" "" (at 170.18 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid cf753806-4aef-420b-b07e-80dc0d8a97b3)) + (pin "1" (uuid 765044fc-eba5-4264-9858-5f08b81ac348)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR034") (unit 1) + (reference "#PWR036") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_02x08_Male") (at 248.92 40.64 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Conn_02x08_Male") (at 245.11 130.81 0) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 279aa9d7-0af9-406a-900a-d93035b9f8b5) - (property "Reference" "J7" (at 251.46 53.34 0) + (property "Reference" "J7" (at 247.65 143.51 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "Conn_02x08_Male" (at 256.54 53.34 0) + (property "Value" "Conn_02x08_Male" (at 252.73 143.51 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) - (property "Footprint" "LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P" (at 256.54 -45.39 0) + (property "Footprint" "LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P" (at 252.73 44.78 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 256.54 -145.39 0) + (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 252.73 -55.22 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "mouser#" "855-M20-9980846" (at 256.54 -445.39 0) + (property "mouser#" "855-M20-9980846" (at 252.73 -355.22 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer" "Harwin" (at 256.54 -645.39 0) + (property "Manufacturer" "Harwin" (at 252.73 -555.22 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "manf#" "M20-9980846" (at 256.54 -745.39 0) + (property "manf#" "M20-9980846" (at 252.73 -655.22 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) (pin "12" (uuid a1391efe-ce5a-4f8d-9568-e491f6143156)) @@ -1670,581 +72440,696 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V") (at 163.83 38.1 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 208.28 55.88 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 324756d5-467f-4c9f-be1f-a92b026a9818) - (property "Reference" "R156" (at 162.56 36.83 0) + (uuid 2c4fc1bf-5181-46a4-9ea0-f59683264481) + (property "Reference" "R159" (at 209.55 53.34 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "0R0" (at 162.56 39.37 0) + (property "Value" "1M0" (at 209.55 62.23 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 27.94 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 208.28 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 163.83 38.1 0) + (property "Datasheet" "" (at 208.28 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 163.83 38.1 0) + (property "Mfr. No" "" (at 208.28 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW06030000Z0EAC" (at 163.83 38.1 0) + (property "manf#" "CRCW06031M00FKEAC" (at 208.28 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 163.83 38.1 0) + (property "Manufacturer" "Vishay" (at 208.28 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 163.83 38.1 0) + (property "Mouser No" "" (at 208.28 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW06030000Z0EAC" (at 163.83 38.1 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 208.28 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "2" (uuid 60147b84-b47c-47e8-beb8-4a1ce1e1f848)) - (pin "1" (uuid 4ce431d2-4fd5-4dbd-bfea-c2c8db0fcff8)) + (pin "2" (uuid d2470818-f5ce-42fd-b1a4-2884e0f4f841)) + (pin "1" (uuid 97067993-e7e2-4c05-9684-cc3335c09b1b)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "R156") (unit 1) + (reference "R159") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603_100n_X5R_10P__50V") (at 154.94 43.18 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 207.01 101.6 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 331f7cd9-7f01-4909-a162-898e1d4919c0) - (property "Reference" "C23" (at 154.94 38.1 90) - (effects (font (size 1.27 1.27))) + (uuid 39c36777-6eec-45fc-8513-8f6cbf7b543b) + (property "Reference" "R169" (at 208.28 99.06 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "100n" (at 154.94 40.64 90) - (effects (font (size 1.27 1.27))) + (property "Value" "1M0" (at 208.28 107.95 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 165.1 43.18 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 207.01 91.44 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 154.94 43.18 0) + (property "Datasheet" "" (at 207.01 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 154.94 43.18 0) + (property "Mfr. No" "" (at 207.01 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "C1608X5R1H104K" (at 154.94 43.18 0) + (property "manf#" "CRCW06031M00FKEAC" (at 207.01 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 154.94 43.18 0) + (property "Manufacturer" "Vishay" (at 207.01 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 154.94 43.18 0) + (property "Mouser No" "" (at 207.01 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-C1608X5R1H104K" (at 154.94 43.18 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 207.01 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "2" (uuid b215679e-1968-4323-b170-644ce6c478c8)) - (pin "1" (uuid 2b028420-3819-4439-806b-bf618aecd70b)) + (pin "2" (uuid 3ecafad1-b87a-422a-afbc-a6577d13ae21)) + (pin "1" (uuid 38764223-136e-4f86-96ef-691a7870b943)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C23") (unit 1) + (reference "R169") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 80.01 54.61 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 76.2 100.33 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 49a1db00-9cfe-493b-adf7-4e0ceffe28b7) - (property "Reference" "C11" (at 77.47 52.07 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3b54c0d5-7239-4bcc-9dc2-7519fb821b58) + (property "Reference" "#PWR022" (at 77.47 100.33 90) + (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "10n" (at 77.47 55.88 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "+3V3" (at 72.39 99.06 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c8ebdfc0-c34c-44c3-bf3c-288b1c5988f5)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "#PWR022") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 203.2 55.88 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 47e39b1a-4ebb-42cf-aa6d-143d7f76d046) + (property "Reference" "R158" (at 204.47 53.34 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1M0" (at 204.47 62.23 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 80.01 64.77 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 203.2 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 80.01 54.61 0) + (property "Datasheet" "" (at 203.2 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 80.01 54.61 0) + (property "Mfr. No" "" (at 203.2 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 80.01 54.61 0) + (property "manf#" "CRCW06031M00FKEAC" (at 203.2 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 80.01 54.61 0) + (property "Manufacturer" "Vishay" (at 203.2 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 80.01 54.61 0) + (property "Mouser No" "" (at 203.2 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 80.01 54.61 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 203.2 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 47e0dc83-9776-43a9-85c3-f9acda99971f)) - (pin "2" (uuid 17d948a0-300c-423d-b7a6-a71ab1fc7f71)) + (pin "2" (uuid d8b1d18d-f2a3-48d7-871c-cfb2b7e0ec5f)) + (pin "1" (uuid 3a7759d0-418b-46be-b301-0c587231096b)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C11") (unit 1) + (reference "R158") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 95.25 54.61 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V") (at 158.75 86.36 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 4bca76b9-a1d8-4122-bc47-434e6c1f77f4) - (property "Reference" "C17" (at 92.71 52.07 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4806f7d7-7e55-4f19-ba48-be8391b71b7a) + (property "Reference" "R155" (at 157.48 83.82 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 92.71 55.88 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "0R0" (at 162.56 83.82 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 95.25 64.77 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 148.59 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 54.61 0) + (property "Datasheet" "" (at 158.75 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 54.61 0) + (property "Mfr. No" "" (at 158.75 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 95.25 54.61 0) + (property "manf#" "CRCW06030000Z0EAC" (at 158.75 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 54.61 0) + (property "Manufacturer" "Vishay" (at 158.75 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 95.25 54.61 0) + (property "Mouser No" "" (at 158.75 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 95.25 54.61 0) + (property "mouser#" "71-CRCW06030000Z0EAC" (at 158.75 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 6de83f99-1782-4a7d-90d5-9846dfbc868c)) - (pin "2" (uuid b2b74427-b737-49e2-9ff6-0a3cc8986a00)) + (pin "2" (uuid bf43f90f-e8a5-4309-8c09-0c37f57a5895)) + (pin "1" (uuid 2491be04-a1ae-4862-89a8-41920c0e3008)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C17") (unit 1) + (reference "R155") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 209.55 57.15 0) (mirror x) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 4bf93b13-c2e6-4f99-b1f6-4590494a9ba7) - (property "Reference" "C25" (at 207.01 54.61 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Value" "10n" (at 207.01 58.42 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 209.55 67.31 0) - (effects (font (size 1.27 1.27)) hide) + (symbol (lib_id "LEA_SymbolLibrary:OP_4_MCP6004-E/ST") (at 120.65 31.75 0) (unit 3) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 489579f4-85a6-4798-aa19-eed3875ed54c) + (property "Reference" "IC4" (at 121.92 21.59 0) + (effects (font (size 1.27 1.27))) ) - (property "Datasheet" "" (at 209.55 57.15 0) - (effects (font (size 1.27 1.27)) hide) + (property "Value" "MCP6004-E/ST" (at 121.92 24.13 0) + (effects (font (size 1.27 1.27))) ) - (property "Mfr. No" "" (at 209.55 57.15 0) + (property "Footprint" "LEA_FootprintLibrary:TSSOP-14_TI" (at 146.05 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 209.55 57.15 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/268/MCHP_S_A0009381759_1-2520804.pdf" (at 146.05 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 209.55 57.15 0) + (property "Manufacturer" "Microchip Technology" (at 121.92 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 209.55 57.15 0) + (property "mouser#" "579-MCP6004-E/ST" (at 120.904 39.878 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 209.55 57.15 0) + (property "manf#" "MCP6004-E/ST" (at 120.65 16.51 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 2f2cb834-6171-4039-9095-f65e5744464f)) - (pin "2" (uuid 8429ace0-6774-4a9f-959d-7bd0010a62e8)) + (pin "11" (uuid 0e42dc73-46cd-4866-b63b-4486653fdd7e)) + (pin "10" (uuid 4615f5dc-54d8-47d5-aa6d-eb54210965d6)) + (pin "3" (uuid 84ed0774-181b-434c-9474-7cba1ac132a7)) + (pin "2" (uuid 750fbbff-8e28-4bfb-b4ed-703d17774416)) + (pin "7" (uuid f1524102-4fe0-46eb-8279-60a61fff60a0)) + (pin "14" (uuid 1187736a-5104-4c6d-ab90-eda26bcfeaa3)) + (pin "13" (uuid c2176f54-545f-457e-b65b-e3ff14bbe475)) + (pin "5" (uuid 830fd8b8-9b98-4b51-b5c2-fea103c1f708)) + (pin "1" (uuid 49064907-8bd4-4bcb-a9de-38303dbaf548)) + (pin "12" (uuid a3774651-7722-4777-99e9-140a776ec981)) + (pin "6" (uuid 937ad061-8ac0-4a82-b73e-22bb52801e03)) + (pin "8" (uuid 3d73f67c-9bf3-41ae-82e1-7e79da3fb61c)) + (pin "4" (uuid d8169fa4-a632-4ee6-88db-20cdefdd18ad)) + (pin "9" (uuid 262562a8-0c98-4d80-af4f-cf05df086ff2)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C25") (unit 1) + (reference "IC4") (unit 3) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 90.17 58.42 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 105.41 66.04 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 52a8967f-cc30-475e-b307-43db6f9b4255) - (property "Reference" "#PWR038" (at 92.71 60.96 90) + (uuid 4a4aa215-54e9-4535-b1cf-07549873f841) + (property "Reference" "#PWR024" (at 102.87 63.5 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 90.17 62.23 0) + (property "Value" "GND" (at 100.33 66.04 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 90.17 58.42 0) + (property "Footprint" "" (at 105.41 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 90.17 58.42 0) + (property "Datasheet" "" (at 105.41 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid d9adda1a-64a9-4da9-bb51-3833473c18d5)) + (pin "1" (uuid 9110ba00-0f15-4709-9504-1d9a08064f30)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR038") (unit 1) + (reference "#PWR024") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:MUX_ADG708BRUZ") (at 64.77 96.52 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:OP_4_MCP6004-E/ST") (at 83.82 111.76 0) (unit 5) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 5e8d2ef5-816f-4db1-aa84-3d1594db5ffc) - (property "Reference" "IC2" (at 64.77 80.01 0) - (effects (font (size 1.27 1.27))) + (uuid 5198ab60-407b-478b-a1e7-3ae1381ff7e8) + (property "Reference" "IC4" (at 87.63 111.125 0) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ADG708BRUZ" (at 64.77 82.55 0) - (effects (font (size 1.27 1.27))) + (property "Value" "MCP6004-E/ST" (at 87.63 113.665 0) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:SOP65P640X120-16N" (at 73.66 183.82 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) + (property "Footprint" "LEA_FootprintLibrary:TSSOP-14_TI" (at 109.22 134.62 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "http://www.analog.com/static/imported-files/data_sheets/ADG708_709.pdf" (at 73.66 283.82 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/268/MCHP_S_A0009381759_1-2520804.pdf" (at 109.22 134.62 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "584-ADG708BRUZ" (at 73.66 583.82 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) + (property "Manufacturer" "Microchip Technology" (at 85.09 121.92 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Analog Devices" (at 73.66 783.82 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) + (property "mouser#" "579-MCP6004-E/ST" (at 84.074 119.888 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "ADG708BRUZ" (at 73.66 883.82 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) + (property "manf#" "MCP6004-E/ST" (at 83.82 96.52 0) + (effects (font (size 1.27 1.27)) hide) ) - (pin "10" (uuid d1bdcdee-2668-4ebd-bb3e-5fcead1852b9)) - (pin "1" (uuid a42c2b74-4f06-46a1-8589-73b5dafa5bab)) - (pin "11" (uuid 9193be8b-e2bb-4777-9aa6-aa88ca9c8b80)) - (pin "12" (uuid 5720358f-9f3a-41bf-ad35-1ed459e7b4d4)) - (pin "15" (uuid d88f976c-3d38-4238-b903-23179ac59f3b)) - (pin "13" (uuid 3f0a1f5b-5be9-44f4-a773-b8b87d119f96)) - (pin "2" (uuid 54183f80-3abd-46ef-b1d8-63e8e753d416)) - (pin "14" (uuid 0987e836-d92d-40d1-90d5-7afb4762e46a)) - (pin "5" (uuid cf4c879d-246a-41fd-a631-235390728b65)) - (pin "3" (uuid 331968a9-7deb-4d95-8dd4-a8b9ba0342cc)) - (pin "6" (uuid 1a79f755-59a7-4507-90f4-d36bb0210144)) - (pin "7" (uuid e400adbf-b082-45d7-b169-edd5eec7ee12)) - (pin "8" (uuid 2a15e481-f7d0-470b-aae7-4e5ad62a7630)) - (pin "4" (uuid 03381abb-5bf9-439f-ad16-825eeb235c7a)) - (pin "9" (uuid e36e1406-cb59-4598-93ac-00429b708907)) - (pin "16" (uuid 3535848d-5823-416a-9c20-3f1f805cc431)) + (pin "11" (uuid 0e42dc73-46cd-4866-b63b-4486653fdd7f)) + (pin "10" (uuid 4615f5dc-54d8-47d5-aa6d-eb54210965d7)) + (pin "3" (uuid 84ed0774-181b-434c-9474-7cba1ac132a8)) + (pin "2" (uuid 750fbbff-8e28-4bfb-b4ed-703d17774417)) + (pin "7" (uuid f1524102-4fe0-46eb-8279-60a61fff60a1)) + (pin "14" (uuid 1187736a-5104-4c6d-ab90-eda26bcfeaa4)) + (pin "13" (uuid c2176f54-545f-457e-b65b-e3ff14bbe476)) + (pin "5" (uuid 830fd8b8-9b98-4b51-b5c2-fea103c1f709)) + (pin "1" (uuid 49064907-8bd4-4bcb-a9de-38303dbaf549)) + (pin "12" (uuid a3774651-7722-4777-99e9-140a776ec982)) + (pin "6" (uuid 937ad061-8ac0-4a82-b73e-22bb52801e04)) + (pin "8" (uuid 3d73f67c-9bf3-41ae-82e1-7e79da3fb61d)) + (pin "4" (uuid d8169fa4-a632-4ee6-88db-20cdefdd18ae)) + (pin "9" (uuid 262562a8-0c98-4d80-af4f-cf05df086ff3)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "IC2") (unit 1) + (reference "IC4") (unit 5) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 229.87 57.15 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 208.28 62.23 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 6047a0e0-e5aa-40a6-bae4-fc1530c320c5) - (property "Reference" "C29" (at 227.33 54.61 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 52a8967f-cc30-475e-b307-43db6f9b4255) + (property "Reference" "#PWR038" (at 210.82 64.77 90) + (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "10n" (at 227.33 58.42 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "GND" (at 208.28 66.04 0) + (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 229.87 67.31 0) + (property "Footprint" "" (at 208.28 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 229.87 57.15 0) + (property "Datasheet" "" (at 208.28 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 229.87 57.15 0) - (effects (font (size 1.27 1.27)) hide) + (pin "1" (uuid d9adda1a-64a9-4da9-bb51-3833473c18d5)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "#PWR038") (unit 1) + ) + ) ) - (property "Manufacturer" "TDK" (at 229.87 57.15 0) + ) + + (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 154.94 86.36 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 54494b7c-c81e-4251-9ade-84be95183553) + (property "Reference" "#PWR031" (at 154.94 85.09 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 229.87 57.15 0) - (effects (font (size 1.27 1.27)) hide) + (property "Value" "+3V3" (at 148.59 86.36 90) + (effects (font (size 1.27 1.27))) ) - (property "manf#" "CGA3E2X7R1H103K" (at 229.87 57.15 0) + (property "Footprint" "" (at 154.94 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 229.87 57.15 0) + (property "Datasheet" "" (at 154.94 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid df47c243-30a5-4a51-a9cf-5d5f32148d50)) - (pin "2" (uuid 4da83e87-4506-43f7-95a7-c583da2b2e45)) + (pin "1" (uuid b847d2e0-16b5-4acc-ad51-fd19a2f0ceee)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C29") (unit 1) + (reference "#PWR031") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_01x02") (at 172.72 39.37 180) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__3k9_THICK__1P__75V") (at 121.92 92.71 90) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 66839a88-8896-4e99-8017-401dbb1a980f) - (property "Reference" "J11" (at 168.91 38.1 0) + (uuid 5665b16f-e54b-49d0-b001-70b5c3102581) + (property "Reference" "R180" (at 121.92 97.79 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "3k9" (at 121.92 95.25 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "01x02" (at 172.72 33.02 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 111.76 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (at 172.72 43.18 0) + (property "Datasheet" "" (at 121.92 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://www.mouser.de/datasheet/2/181/M20-999-1218971.pdf" (at 173.99 45.72 0) + (property "Mfr. No" "" (at 121.92 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Harwin" (at 173.99 49.53 0) + (property "manf#" "CRCW06033K90FKEAC" (at 121.92 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "855-M20-9990245" (at 176.53 50.8 0) + (property "Manufacturer" "Vishay" (at 121.92 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "M20-9990245" (at 173.99 31.75 0) + (property "Mouser No" "" (at 121.92 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Sim.Enable" "0" (at 172.72 39.37 0) + (property "mouser#" "71-CRCW06033K90FKEAC" (at 121.92 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "2" (uuid 175e17c9-e472-4b2d-aece-38c447f70ee4)) - (pin "1" (uuid e1f8cd07-efb6-43d0-adcc-61c46d3e02bb)) + (pin "1" (uuid b42fc5e6-8b5d-46a1-934b-110bff7cf68d)) + (pin "2" (uuid 268fcb4d-0aa5-4dcb-a9ca-3013163303be)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "J11") (unit 1) + (reference "R180") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 204.47 57.15 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 207.01 148.59 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 66f3ec6e-eb89-4e64-bf36-641affde7304) - (property "Reference" "C24" (at 201.93 54.61 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5a1288b1-f2d8-4612-a207-f27ae53ee1fd) + (property "Reference" "R172" (at 208.28 146.05 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 201.93 58.42 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 208.28 154.94 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 204.47 67.31 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 207.01 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 204.47 57.15 0) + (property "Datasheet" "" (at 207.01 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 204.47 57.15 0) + (property "Mfr. No" "" (at 207.01 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 204.47 57.15 0) + (property "manf#" "CRCW06031M00FKEAC" (at 207.01 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 204.47 57.15 0) + (property "Manufacturer" "Vishay" (at 207.01 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 204.47 57.15 0) + (property "Mouser No" "" (at 207.01 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 204.47 57.15 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 207.01 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid e1aaaf96-eb2c-4fff-b7a4-14723d6e0227)) - (pin "2" (uuid 8366d95e-a576-435a-b1f3-0fa0f9209b09)) + (pin "2" (uuid 44f1904b-c67d-4866-9c13-82787ea1a157)) + (pin "1" (uuid 9a4eb6c7-b253-443a-85ab-a34a5f38887f)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C24") (unit 1) + (reference "R172") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 52.07 104.14 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 158.75 133.35 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 684e29e5-f03c-40aa-9a0d-020d72a9982c) - (property "Reference" "#PWR037" (at 54.61 106.68 90) + (uuid 5b36efbf-af3b-4de5-b731-9de2692188fe) + (property "Reference" "#PWR033" (at 158.75 132.08 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 52.07 107.95 0) + (property "Value" "+3V3" (at 152.4 133.35 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 52.07 104.14 0) + (property "Footprint" "" (at 158.75 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 52.07 104.14 0) + (property "Datasheet" "" (at 158.75 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 93aae97a-72bb-4acb-9948-ffae07fb7528)) + (pin "1" (uuid 50b1d089-165e-40a9-9e9e-eabdae9dd491)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR037") (unit 1) + (reference "#PWR033") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 90.17 54.61 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 222.25 148.59 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 6b45207b-8750-4741-b6fd-90f2066e1f2c) - (property "Reference" "C15" (at 87.63 52.07 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5d0c2eac-e350-42c8-81ec-2db2dfba7b27) + (property "Reference" "R175" (at 223.52 146.05 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 87.63 55.88 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 223.52 154.94 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 90.17 64.77 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 222.25 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 90.17 54.61 0) + (property "Datasheet" "" (at 222.25 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 90.17 54.61 0) + (property "Mfr. No" "" (at 222.25 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 90.17 54.61 0) + (property "manf#" "CRCW06031M00FKEAC" (at 222.25 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 90.17 54.61 0) + (property "Manufacturer" "Vishay" (at 222.25 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 90.17 54.61 0) + (property "Mouser No" "" (at 222.25 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 90.17 54.61 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 222.25 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 3bdfb909-3d7a-4681-862e-cfcce1f13f67)) - (pin "2" (uuid 3e703742-3610-49a7-8436-acf542d334d2)) + (pin "2" (uuid b7befa72-68b0-4f61-b429-d2f220ef8f6f)) + (pin "1" (uuid 3a75e86c-1df5-480d-80ba-4efe8afa11e9)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "R175") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:MUX_ADG708BRUZ") (at 182.88 83.82 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 5e8d2ef5-816f-4db1-aa84-3d1594db5ffc) + (property "Reference" "IC2" (at 182.88 67.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "ADG708BRUZ" (at 182.88 69.85 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:SOP65P640X120-16N" (at 191.77 171.12 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "http://www.analog.com/static/imported-files/data_sheets/ADG708_709.pdf" (at 191.77 271.12 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "mouser#" "584-ADG708BRUZ" (at 191.77 571.12 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Manufacturer" "Analog Devices" (at 191.77 771.12 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "manf#" "ADG708BRUZ" (at 191.77 871.12 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (pin "10" (uuid d1bdcdee-2668-4ebd-bb3e-5fcead1852b9)) + (pin "1" (uuid a42c2b74-4f06-46a1-8589-73b5dafa5bab)) + (pin "11" (uuid 9193be8b-e2bb-4777-9aa6-aa88ca9c8b80)) + (pin "12" (uuid 5720358f-9f3a-41bf-ad35-1ed459e7b4d4)) + (pin "15" (uuid d88f976c-3d38-4238-b903-23179ac59f3b)) + (pin "13" (uuid 3f0a1f5b-5be9-44f4-a773-b8b87d119f96)) + (pin "2" (uuid 54183f80-3abd-46ef-b1d8-63e8e753d416)) + (pin "14" (uuid 0987e836-d92d-40d1-90d5-7afb4762e46a)) + (pin "5" (uuid cf4c879d-246a-41fd-a631-235390728b65)) + (pin "3" (uuid 331968a9-7deb-4d95-8dd4-a8b9ba0342cc)) + (pin "6" (uuid 1a79f755-59a7-4507-90f4-d36bb0210144)) + (pin "7" (uuid e400adbf-b082-45d7-b169-edd5eec7ee12)) + (pin "8" (uuid 2a15e481-f7d0-470b-aae7-4e5ad62a7630)) + (pin "4" (uuid 03381abb-5bf9-439f-ad16-825eeb235c7a)) + (pin "9" (uuid e36e1406-cb59-4598-93ac-00429b708907)) + (pin "16" (uuid 3535848d-5823-416a-9c20-3f1f805cc431)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C15") (unit 1) + (reference "IC2") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 219.71 57.15 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 213.36 55.88 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 6d2b2bd6-5b21-4fc9-8e01-fe6ea5fe7da7) - (property "Reference" "C27" (at 217.17 54.61 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6606358c-fead-421d-a419-75ad554eea79) + (property "Reference" "R160" (at 214.63 53.34 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 217.17 58.42 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 214.63 62.23 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 219.71 67.31 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 213.36 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 219.71 57.15 0) + (property "Datasheet" "" (at 213.36 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 219.71 57.15 0) + (property "Mfr. No" "" (at 213.36 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 219.71 57.15 0) + (property "manf#" "CRCW06031M00FKEAC" (at 213.36 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 219.71 57.15 0) + (property "Manufacturer" "Vishay" (at 213.36 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 219.71 57.15 0) + (property "Mouser No" "" (at 213.36 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 219.71 57.15 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 213.36 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid aa4c0594-8498-4c4b-bc17-bc40e9c1cadb)) - (pin "2" (uuid dd0563e2-9636-410d-826c-78d486a92960)) + (pin "2" (uuid 41c920bd-6d84-45b4-9f84-1edaaf16b90d)) + (pin "1" (uuid 95fd3784-0795-4728-bad8-5d93ad724063)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C27") (unit 1) + (reference "R160") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 109.22 114.3 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 170.18 91.44 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 6ef79760-d36c-470e-bfab-79334e5c9df0) - (property "Reference" "C20" (at 106.68 111.76 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 684e29e5-f03c-40aa-9a0d-020d72a9982c) + (property "Reference" "#PWR037" (at 172.72 93.98 90) + (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "10n" (at 106.68 115.57 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "GND" (at 170.18 95.25 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 170.18 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 170.18 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 93aae97a-72bb-4acb-9948-ffae07fb7528)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "#PWR037") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 232.41 101.6 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 6d9ad5c1-479c-4c77-bd82-273c4ad5498e) + (property "Reference" "R166" (at 233.68 99.06 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 109.22 124.46 0) + (property "Value" "1M0" (at 233.68 107.95 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 232.41 91.44 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 109.22 114.3 0) + (property "Datasheet" "" (at 232.41 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 109.22 114.3 0) + (property "Mfr. No" "" (at 232.41 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 109.22 114.3 0) + (property "manf#" "CRCW06031M00FKEAC" (at 232.41 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 109.22 114.3 0) + (property "Manufacturer" "Vishay" (at 232.41 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 109.22 114.3 0) + (property "Mouser No" "" (at 232.41 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 109.22 114.3 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 232.41 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 31393bb6-c2ba-490c-a5b6-b091cc686c69)) - (pin "2" (uuid 54fb7709-201b-4f32-a0c7-32d823c30a9a)) + (pin "2" (uuid 655510df-88d0-4db1-946d-de4020bbacf2)) + (pin "1" (uuid 2bd2d950-d8c6-4fa6-8f69-a51f168c4258)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C20") (unit 1) + (reference "R166") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V") (at 38.1 35.56 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V") (at 152.4 41.91 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 704d1cdf-8cf7-4a21-aa17-5568054eea46) - (property "Reference" "R154" (at 36.83 34.29 0) + (property "Reference" "R154" (at 151.13 39.37 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "0R0" (at 36.83 36.83 0) + (property "Value" "0R0" (at 156.21 39.37 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 38.1 25.4 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 142.24 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 38.1 35.56 0) + (property "Datasheet" "" (at 152.4 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 38.1 35.56 0) + (property "Mfr. No" "" (at 152.4 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW06030000Z0EAC" (at 38.1 35.56 0) + (property "manf#" "CRCW06030000Z0EAC" (at 152.4 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 38.1 35.56 0) + (property "Manufacturer" "Vishay" (at 152.4 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 38.1 35.56 0) + (property "Mouser No" "" (at 152.4 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW06030000Z0EAC" (at 38.1 35.56 0) + (property "mouser#" "71-CRCW06030000Z0EAC" (at 152.4 41.91 0) (effects (font (size 1.27 1.27)) hide) ) (pin "2" (uuid b1bd0d77-4fa4-40d7-a6aa-a1a3d8946fa1)) @@ -2258,192 +73143,252 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 114.3 114.3 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Conn_02x09_Male") (at 62.23 67.31 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 717c4343-0f07-492b-87c4-5f87a2f9a810) - (property "Reference" "C22" (at 111.76 111.76 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Value" "10n" (at 111.76 115.57 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 745d7f88-bc4d-48b9-bb88-bdea5cd1e2e3) + (property "Reference" "J3" (at 62.23 82.55 0) + (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 114.3 124.46 0) - (effects (font (size 1.27 1.27)) hide) + (property "Value" "Conn_02x09_Male" (at 54.61 81.28 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Datasheet" "" (at 114.3 114.3 0) - (effects (font (size 1.27 1.27)) hide) + (property "Footprint" "LEA_FootprintLibrary:Conn_02x09_Male" (at 81.28 162.23 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Mfr. No" "" (at 114.3 114.3 0) - (effects (font (size 1.27 1.27)) hide) + (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 81.28 262.23 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer" "TDK" (at 114.3 114.3 0) - (effects (font (size 1.27 1.27)) hide) + (property "mouser#" "855-M20-9980946" (at 81.28 562.23 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Mouser No" "" (at 114.3 114.3 0) - (effects (font (size 1.27 1.27)) hide) + (property "Manufacturer_Name" "Harwin" (at 81.28 762.23 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 114.3 114.3 0) - (effects (font (size 1.27 1.27)) hide) + (property "manf#" "M20-9980946" (at 81.28 862.23 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 114.3 114.3 0) - (effects (font (size 1.27 1.27)) hide) + (property "Manufacturer" "Harwin" (at 81.28 762.23 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (pin "1" (uuid 695faf04-b2a6-4d58-ae9f-14c10bc57edf)) - (pin "2" (uuid 15cae665-d916-4f7a-898e-21b7d90e223b)) + (pin "9" (uuid 1555de32-53ab-4c60-b3c4-a3d338bcf3d5)) + (pin "13" (uuid cff53bf2-305e-4a64-9e6a-699a880098fd)) + (pin "11" (uuid 57632554-1f47-43ac-8dc2-8dc299631e7d)) + (pin "1" (uuid 8dd75f54-1e14-4529-abda-f4cc2f572f56)) + (pin "16" (uuid b57336a1-f4df-45f3-839c-1baf75ba21a1)) + (pin "5" (uuid 997a174e-ace2-48f9-9792-b35bcbf368dc)) + (pin "10" (uuid ffe70d14-1065-46cd-a6e8-c9a729a1b56f)) + (pin "6" (uuid ef92ace6-4463-415c-a17c-6a0da8c2c828)) + (pin "8" (uuid c799f7c0-4c9c-471a-b4e4-ccbd3fa2a1e5)) + (pin "17" (uuid b17f3734-9afe-4910-ae73-113af9d9de98)) + (pin "14" (uuid f45e7b6d-cc15-4e0e-a582-72b60d34f194)) + (pin "12" (uuid c3e874dc-5733-4b8b-8969-5a4de5e9d477)) + (pin "18" (uuid 8467a4be-fe96-4008-9df3-d0b14ae0839b)) + (pin "4" (uuid 046dfa90-91cc-4987-9539-6892e4b75d51)) + (pin "3" (uuid 8f7160d4-42d5-42c1-a598-fec13f9cec08)) + (pin "15" (uuid 851bd935-0e1d-4aee-8ef3-f93a10a36590)) + (pin "7" (uuid 5e434717-8f66-457f-8f13-d2687fe5ed44)) + (pin "2" (uuid dac28f7f-c0cd-401d-ab1a-c8b2e83d084a)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C22") (unit 1) + (reference "J3") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 100.33 54.61 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 196.85 101.6 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 73114095-3886-47a7-a39e-8a748c1d1bca) - (property "Reference" "C19" (at 97.79 52.07 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7c5e91c6-fbde-4cdf-ac62-4922db573fb7) + (property "Reference" "R167" (at 198.12 99.06 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 97.79 55.88 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 198.12 107.95 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 100.33 64.77 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 196.85 91.44 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 100.33 54.61 0) + (property "Datasheet" "" (at 196.85 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 100.33 54.61 0) + (property "Mfr. No" "" (at 196.85 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 100.33 54.61 0) + (property "manf#" "CRCW06031M00FKEAC" (at 196.85 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 100.33 54.61 0) + (property "Manufacturer" "Vishay" (at 196.85 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 100.33 54.61 0) + (property "Mouser No" "" (at 196.85 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 100.33 54.61 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 196.85 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 6dfc0207-8bdc-4fcb-8a82-e7ea882c0f08)) - (pin "2" (uuid ac76abdd-d225-48ab-a531-c22ddc31cf4a)) + (pin "2" (uuid c9f30f83-34ea-4daa-8dbf-bdd04c0e65f0)) + (pin "1" (uuid 90371a98-e11e-4e43-b8ad-a68a8695c461)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C19") (unit 1) + (reference "R167") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 25.4 40.64 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 76.2 118.11 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 7d8e0ba7-c0cb-456e-9ab5-d86a02f431a6) - (property "Reference" "#PWR032" (at 22.86 38.1 90) + (uuid 7d9836fd-950b-4206-8049-0a0077ef9885) + (property "Reference" "#PWR021" (at 73.66 120.65 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 20.32 40.64 90) + (property "Value" "GND" (at 76.2 121.92 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 25.4 40.64 0) + (property "Footprint" "" (at 76.2 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 25.4 40.64 0) + (property "Datasheet" "" (at 76.2 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid e51702c8-784e-4e55-b2ea-54ce947bcc82)) + (pin "1" (uuid 7a8f84b5-10eb-4304-827f-e5e25bab3be7)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR032") (unit 1) + (reference "#PWR021") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 38.1 90.17 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100k_THICK__1P__75V") (at 109.22 39.37 90) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 833c3c82-60b1-4574-9557-2c26b65adfa7) - (property "Reference" "#PWR035" (at 39.37 90.17 90) - (effects (font (size 1.27 1.27)) hide) + (uuid 7e762585-ca7b-401b-b868-9b3ca3d70617) + (property "Reference" "R183" (at 109.22 44.45 90) + (effects (font (size 1.27 1.27))) ) - (property "Value" "+3V3" (at 34.29 88.9 0) + (property "Value" "100k" (at 109.22 41.91 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 38.1 90.17 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 99.06 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 109.22 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603100KFKEAC" (at 109.22 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 109.22 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 109.22 39.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 38.1 90.17 0) + (property "mouser#" "71-CRCW0603100KFKEAC" (at 109.22 39.37 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 14a41f81-b258-4db5-b8a4-9422b3f5c04c)) + (pin "2" (uuid b916e72c-5ef6-40f8-b825-5c7d4ebd2ddd)) + (pin "1" (uuid 04242e93-9730-4428-b2b4-f5aa5abd36a3)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR035") (unit 1) + (reference "R183") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 99.06 114.3 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 105.41 92.71 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 836a6599-aafc-466e-b18d-77b243b060c0) - (property "Reference" "C16" (at 96.52 111.76 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7f9b5660-18e9-4cb4-87d8-9ab4692d07df) + (property "Reference" "#PWR023" (at 102.87 90.17 90) + (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "10n" (at 96.52 115.57 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "GND" (at 100.33 92.71 90) + (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 99.06 124.46 0) + (property "Footprint" "" (at 105.41 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 99.06 114.3 0) + (property "Datasheet" "" (at 105.41 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 99.06 114.3 0) + (pin "1" (uuid f3657942-62da-4766-bf69-3334195e2817)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "#PWR023") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:OP_4_MCP6004-E/ST") (at 120.65 58.42 0) (unit 2) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 8268bf0c-2a97-4dcd-80a1-d18930f13f2a) + (property "Reference" "IC4" (at 121.92 48.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MCP6004-E/ST" (at 121.92 50.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:TSSOP-14_TI" (at 146.05 81.28 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 99.06 114.3 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/268/MCHP_S_A0009381759_1-2520804.pdf" (at 146.05 81.28 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 99.06 114.3 0) + (property "Manufacturer" "Microchip Technology" (at 121.92 68.58 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 99.06 114.3 0) + (property "mouser#" "579-MCP6004-E/ST" (at 120.904 66.548 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 99.06 114.3 0) + (property "manf#" "MCP6004-E/ST" (at 120.65 43.18 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 880421c8-446c-483a-a7f9-20841308bf43)) - (pin "2" (uuid 87f3abca-5abd-4ddc-a157-85b36a48938e)) + (pin "11" (uuid 0e42dc73-46cd-4866-b63b-4486653fdd80)) + (pin "10" (uuid 4615f5dc-54d8-47d5-aa6d-eb54210965d8)) + (pin "3" (uuid 84ed0774-181b-434c-9474-7cba1ac132a9)) + (pin "2" (uuid 750fbbff-8e28-4bfb-b4ed-703d17774418)) + (pin "7" (uuid f1524102-4fe0-46eb-8279-60a61fff60a2)) + (pin "14" (uuid 1187736a-5104-4c6d-ab90-eda26bcfeaa5)) + (pin "13" (uuid c2176f54-545f-457e-b65b-e3ff14bbe477)) + (pin "5" (uuid 830fd8b8-9b98-4b51-b5c2-fea103c1f70a)) + (pin "1" (uuid 49064907-8bd4-4bcb-a9de-38303dbaf54a)) + (pin "12" (uuid a3774651-7722-4777-99e9-140a776ec983)) + (pin "6" (uuid 937ad061-8ac0-4a82-b73e-22bb52801e05)) + (pin "8" (uuid 3d73f67c-9bf3-41ae-82e1-7e79da3fb61e)) + (pin "4" (uuid d8169fa4-a632-4ee6-88db-20cdefdd18af)) + (pin "9" (uuid 262562a8-0c98-4d80-af4f-cf05df086ff4)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C16") (unit 1) + (reference "IC4") (unit 2) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 177.8 48.26 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 170.18 138.43 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8f8924f5-893b-4c29-a515-43d59e58ada5) - (property "Reference" "#PWR042" (at 180.34 50.8 90) + (property "Reference" "#PWR042" (at 172.72 140.97 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 177.8 52.07 0) + (property "Value" "GND" (at 170.18 142.24 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 177.8 48.26 0) + (property "Footprint" "" (at 170.18 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 177.8 48.26 0) + (property "Datasheet" "" (at 170.18 138.43 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 49a54660-009d-40e1-99ac-c6df4e0e6a55)) @@ -2456,56 +73401,56 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 163.83 34.29 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 212.09 156.21 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 901610db-cd12-42a5-aa75-f58bce35ff7b) - (property "Reference" "#PWR041" (at 165.1 34.29 90) + (uuid 914e052b-ac11-409e-8005-2871ba00ea2c) + (property "Reference" "#PWR020" (at 214.63 158.75 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (at 160.02 33.02 0) + (property "Value" "GND" (at 212.09 160.02 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 163.83 34.29 0) + (property "Footprint" "" (at 212.09 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 163.83 34.29 0) + (property "Datasheet" "" (at 212.09 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid e4c5cf64-ff15-4966-a931-5c1408eca157)) + (pin "1" (uuid d7d07213-bd99-4401-bac8-72f31af4622a)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR041") (unit 1) + (reference "#PWR020") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_02x05_Male") (at 114.3 39.37 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Conn_02x05_Male") (at 245.11 40.64 0) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 91993552-e204-4130-893f-b8ff9ed22d38) - (property "Reference" "J6" (at 114.3 49.53 0) + (property "Reference" "J6" (at 245.11 50.8 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "10129381-910002BLF" (at 105.41 30.48 0) + (property "Value" "10129381-910002BLF" (at 236.22 31.75 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Footprint" "LEA_FootprintLibrary:Conn_02x05_Male" (at 133.35 -55.55 0) + (property "Footprint" "LEA_FootprintLibrary:Conn_02x05_Male" (at 264.16 -54.28 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Datasheet" "https://cdn.amphenol-cs.com/media/wysiwyg/files/drawing/10129381.pdf" (at 133.35 -155.55 0) + (property "Datasheet" "https://cdn.amphenol-cs.com/media/wysiwyg/files/drawing/10129381.pdf" (at 264.16 -154.28 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "mouser#" "649-1012938191002BLF" (at 133.35 -455.55 0) + (property "mouser#" "649-1012938191002BLF" (at 264.16 -454.28 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer_Name" "Amphenol" (at 133.35 -655.55 0) + (property "Manufacturer_Name" "Amphenol" (at 264.16 -654.28 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "manf#" "10129381-910002BLF" (at 133.35 -755.55 0) + (property "manf#" "10129381-910002BLF" (at 264.16 -754.28 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer" "Amphenol" (at 133.35 -655.55 0) + (property "Manufacturer" "Amphenol" (at 264.16 -654.28 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) (pin "5" (uuid 2fffd5a7-50ab-4d81-8d2f-307dc11d264c)) @@ -2527,110 +73472,110 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 234.95 57.15 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 227.33 101.6 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid a7dbcc27-852c-49a2-901c-1a5b481cb0a7) - (property "Reference" "C30" (at 232.41 54.61 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid a9cb9ee8-443c-4740-a9b2-27b2354b4b52) + (property "Reference" "R165" (at 228.6 99.06 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 232.41 58.42 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 228.6 107.95 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 234.95 67.31 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 227.33 91.44 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 234.95 57.15 0) + (property "Datasheet" "" (at 227.33 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 234.95 57.15 0) + (property "Mfr. No" "" (at 227.33 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 234.95 57.15 0) + (property "manf#" "CRCW06031M00FKEAC" (at 227.33 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 234.95 57.15 0) + (property "Manufacturer" "Vishay" (at 227.33 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 234.95 57.15 0) + (property "Mouser No" "" (at 227.33 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 234.95 57.15 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 227.33 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 649a4ff4-7e11-48c0-84fa-3c17313d7cb0)) - (pin "2" (uuid 95aaae00-c327-4ac0-b56e-c22732c89b38)) + (pin "2" (uuid e6ee8725-1480-4037-8d7f-be741cad50b6)) + (pin "1" (uuid 1dfd0857-8456-4bec-8915-ea491389d3f2)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C30") (unit 1) + (reference "R165") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 85.09 54.61 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 232.41 148.59 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid ab2e4ee2-4e80-4393-8db4-4b3a98bcb71d) - (property "Reference" "C13" (at 82.55 52.07 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid ae114153-6447-4c6f-9d99-80911185655d) + (property "Reference" "R177" (at 233.68 146.05 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 82.55 55.88 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 233.68 154.94 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 85.09 64.77 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 232.41 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 85.09 54.61 0) + (property "Datasheet" "" (at 232.41 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 85.09 54.61 0) + (property "Mfr. No" "" (at 232.41 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 85.09 54.61 0) + (property "manf#" "CRCW06031M00FKEAC" (at 232.41 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 85.09 54.61 0) + (property "Manufacturer" "Vishay" (at 232.41 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 85.09 54.61 0) + (property "Mouser No" "" (at 232.41 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 85.09 54.61 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 232.41 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid b4dcbc1f-3585-4607-b140-0e815253f8bc)) - (pin "2" (uuid 4ce7f381-6749-419a-be46-3afac1fb27a7)) + (pin "2" (uuid 6e16bc34-d8e2-4f2a-9f6f-368fe7cbd5b0)) + (pin "1" (uuid d60e1d58-f7d5-4d2f-8554-00f315b1de3d)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C13") (unit 1) + (reference "R177") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:MUX_ADG708BRUZ") (at 64.77 38.1 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:MUX_ADG708BRUZ") (at 182.88 39.37 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid b01c0a57-e4bd-4ea0-8d96-94a3f042997e) - (property "Reference" "IC1" (at 64.77 21.59 0) + (property "Reference" "IC1" (at 182.88 22.86 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "ADG708BRUZ" (at 64.77 24.13 0) + (property "Value" "ADG708BRUZ" (at 182.88 25.4 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:SOP65P640X120-16N" (at 73.66 125.4 0) + (property "Footprint" "LEA_FootprintLibrary:SOP65P640X120-16N" (at 191.77 126.67 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Datasheet" "http://www.analog.com/static/imported-files/data_sheets/ADG708_709.pdf" (at 73.66 225.4 0) + (property "Datasheet" "http://www.analog.com/static/imported-files/data_sheets/ADG708_709.pdf" (at 191.77 226.67 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "mouser#" "584-ADG708BRUZ" (at 73.66 525.4 0) + (property "mouser#" "584-ADG708BRUZ" (at 191.77 526.67 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer" "Analog Devices" (at 73.66 725.4 0) + (property "Manufacturer" "Analog Devices" (at 191.77 726.67 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "manf#" "ADG708BRUZ" (at 73.66 825.4 0) + (property "manf#" "ADG708BRUZ" (at 191.77 826.67 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) (pin "10" (uuid 74574070-f82d-410a-b192-4ffef3f734ae)) @@ -2658,28 +73603,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:MUX_ADG708BRUZ") (at 190.5 40.64 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:MUX_ADG708BRUZ") (at 182.88 130.81 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid b03dc469-bd27-45fa-b47e-f3ef11d9514b) - (property "Reference" "IC3" (at 190.5 24.13 0) + (property "Reference" "IC3" (at 182.88 114.3 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "ADG708BRUZ" (at 190.5 26.67 0) + (property "Value" "ADG708BRUZ" (at 182.88 116.84 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:SOP65P640X120-16N" (at 199.39 127.94 0) + (property "Footprint" "LEA_FootprintLibrary:SOP65P640X120-16N" (at 191.77 218.11 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Datasheet" "http://www.analog.com/static/imported-files/data_sheets/ADG708_709.pdf" (at 199.39 227.94 0) + (property "Datasheet" "http://www.analog.com/static/imported-files/data_sheets/ADG708_709.pdf" (at 191.77 318.11 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "mouser#" "584-ADG708BRUZ" (at 199.39 527.94 0) + (property "mouser#" "584-ADG708BRUZ" (at 191.77 618.11 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer" "Analog Devices" (at 199.39 727.94 0) + (property "Manufacturer" "Analog Devices" (at 191.77 818.11 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "manf#" "ADG708BRUZ" (at 199.39 827.94 0) + (property "manf#" "ADG708BRUZ" (at 191.77 918.11 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) (pin "10" (uuid 6d0a785a-e606-4fa3-a0bf-38b2173fef7b)) @@ -2707,419 +73652,634 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 224.79 57.15 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:OP_4_MCP6004-E/ST") (at 120.65 113.03 0) (unit 4) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid b4717f22-f181-467c-b743-3db5b3b01be3) + (property "Reference" "IC4" (at 121.92 102.87 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MCP6004-E/ST" (at 121.92 105.41 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:TSSOP-14_TI" (at 146.05 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/268/MCHP_S_A0009381759_1-2520804.pdf" (at 146.05 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Microchip Technology" (at 121.92 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "579-MCP6004-E/ST" (at 120.904 121.158 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "MCP6004-E/ST" (at 120.65 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "11" (uuid 0e42dc73-46cd-4866-b63b-4486653fdd81)) + (pin "10" (uuid 4615f5dc-54d8-47d5-aa6d-eb54210965d9)) + (pin "3" (uuid 84ed0774-181b-434c-9474-7cba1ac132aa)) + (pin "2" (uuid 750fbbff-8e28-4bfb-b4ed-703d17774419)) + (pin "7" (uuid f1524102-4fe0-46eb-8279-60a61fff60a3)) + (pin "14" (uuid 1187736a-5104-4c6d-ab90-eda26bcfeaa6)) + (pin "13" (uuid c2176f54-545f-457e-b65b-e3ff14bbe478)) + (pin "5" (uuid 830fd8b8-9b98-4b51-b5c2-fea103c1f70b)) + (pin "1" (uuid 49064907-8bd4-4bcb-a9de-38303dbaf54b)) + (pin "12" (uuid a3774651-7722-4777-99e9-140a776ec984)) + (pin "6" (uuid 937ad061-8ac0-4a82-b73e-22bb52801e06)) + (pin "8" (uuid 3d73f67c-9bf3-41ae-82e1-7e79da3fb61f)) + (pin "4" (uuid d8169fa4-a632-4ee6-88db-20cdefdd18b0)) + (pin "9" (uuid 262562a8-0c98-4d80-af4f-cf05df086ff5)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "IC4") (unit 4) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603__3k9_THICK__1P__75V") (at 121.92 66.04 90) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid b7184a3d-be83-4847-8162-1a7fea2f18bd) - (property "Reference" "C28" (at 222.25 54.61 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid b6472fe2-519d-4be2-932d-9491f9f54f2a) + (property "Reference" "R182" (at 121.92 71.12 90) + (effects (font (size 1.27 1.27))) ) - (property "Value" "10n" (at 222.25 58.42 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "3k9" (at 121.92 68.58 90) + (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 224.79 67.31 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 111.76 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 224.79 57.15 0) + (property "Datasheet" "" (at 121.92 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 224.79 57.15 0) + (property "Mfr. No" "" (at 121.92 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 224.79 57.15 0) + (property "manf#" "CRCW06033K90FKEAC" (at 121.92 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 224.79 57.15 0) + (property "Manufacturer" "Vishay" (at 121.92 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 224.79 57.15 0) + (property "Mouser No" "" (at 121.92 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 224.79 57.15 0) + (property "mouser#" "71-CRCW06033K90FKEAC" (at 121.92 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid b73650d2-cdb8-483a-a8d6-74656a8339b3)) - (pin "2" (uuid 8a06d022-9469-4905-ad1d-aa7cd9a9e7cc)) + (pin "1" (uuid 126dd7e2-108a-4b55-9dce-65369b9dcb18)) + (pin "2" (uuid 67699d31-4187-433c-856a-42e3741294fc)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C28") (unit 1) + (reference "R182") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V") (at 38.1 93.98 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 218.44 55.88 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid b72574ae-45f3-4bdc-a845-4a2ce6fc69f4) - (property "Reference" "R155" (at 36.83 92.71 0) + (uuid c29dfea2-6969-46d1-836b-f955a8666541) + (property "Reference" "R161" (at 219.71 53.34 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "0R0" (at 36.83 95.25 0) + (property "Value" "1M0" (at 219.71 62.23 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 38.1 83.82 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 218.44 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 38.1 93.98 0) + (property "Datasheet" "" (at 218.44 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 38.1 93.98 0) + (property "Mfr. No" "" (at 218.44 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW06030000Z0EAC" (at 38.1 93.98 0) + (property "manf#" "CRCW06031M00FKEAC" (at 218.44 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 38.1 93.98 0) + (property "Manufacturer" "Vishay" (at 218.44 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 38.1 93.98 0) + (property "Mouser No" "" (at 218.44 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW06030000Z0EAC" (at 38.1 93.98 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 218.44 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "2" (uuid 80cd28aa-082d-43ed-9915-a5e15fd6d26b)) - (pin "1" (uuid e7071c97-0b87-4fe5-8be4-3336afa4e7a6)) + (pin "2" (uuid e5966486-a90c-4208-b80e-6c4bf2010f18)) + (pin "1" (uuid 2e824ca8-b0b0-4d79-9b84-8c6c5f6e9f64)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "R155") (unit 1) + (reference "R161") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 83.82 114.3 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V") (at 76.2 104.14 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid b7d263fe-b53f-4fe7-80dc-2899ffe762a3) - (property "Reference" "C10" (at 81.28 111.76 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid c57d84b7-bdc8-4fb7-a117-4d696c20e1e7) + (property "Reference" "R178" (at 74.93 102.87 0) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 81.28 115.57 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "0R0" (at 74.93 105.41 0) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 83.82 124.46 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 76.2 93.98 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 83.82 114.3 0) + (property "Datasheet" "" (at 76.2 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 83.82 114.3 0) + (property "Mfr. No" "" (at 76.2 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 83.82 114.3 0) + (property "manf#" "CRCW06030000Z0EAC" (at 76.2 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 83.82 114.3 0) + (property "Manufacturer" "Vishay" (at 76.2 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 83.82 114.3 0) + (property "Mouser No" "" (at 76.2 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 83.82 114.3 0) + (property "mouser#" "71-CRCW06030000Z0EAC" (at 76.2 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid a03f443e-b164-43b6-bc0e-910948773b70)) - (pin "2" (uuid 2006494c-7510-4ddf-adea-d4a003479a12)) + (pin "2" (uuid 632ef0e6-f3f2-4b18-adbb-f27d0aa23108)) + (pin "1" (uuid 780c8337-8769-47b5-92a5-b0ca012baeda)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "R178") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:Conn_02x03_Male") (at 60.96 31.75 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c774ef9b-ee60-49f1-9cf0-1957ad6f1590) + (property "Reference" "J2" (at 59.69 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TSW-102-07-G-T" (at 53.34 25.4 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:Conn_02x03" (at 77.47 -63.17 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Datasheet" "http://suddendocs.samtec.com/catalog_english/tsw_th.pdf" (at 77.47 -163.17 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "mouser#" "200-TSW10207GT" (at 77.47 -463.17 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Manufacturer_Name" "SAMTEC" (at 77.47 -663.17 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "manf#" "TSW-102-07-G-T" (at 77.47 -763.17 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (property "Manufacturer" "SAMTEC" (at 77.47 -663.17 0) + (effects (font (size 1.27 1.27)) (justify left top) hide) + ) + (pin "3" (uuid 297c3aeb-ff0b-4110-81c1-70c3e0c2fd08)) + (pin "1" (uuid acc4b41c-76a2-4013-a837-e7490433a841)) + (pin "2" (uuid 199abe78-bf87-43b7-8233-5d2da9940839)) + (pin "4" (uuid d49f582e-e158-445d-9999-6585b0bc1c05)) + (pin "6" (uuid 31b660d9-c43e-4436-94db-52e0ae0cca8a)) + (pin "5" (uuid 848175e4-2a8d-404b-a2bf-4d999853d5e7)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C10") (unit 1) + (reference "J2") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 25.4 99.06 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 113.03 115.57 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid bdda2979-1fc5-498d-9562-acc53a1f4ef9) - (property "Reference" "#PWR033" (at 22.86 96.52 90) + (uuid ca96fb55-3ae9-439d-b71d-e19ca0b1297e) + (property "Reference" "#PWR019" (at 113.03 114.3 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 20.32 99.06 90) + (property "Value" "+3V3" (at 107.3896 115.6364 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 25.4 99.06 0) + (property "Footprint" "" (at 113.03 115.57 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 25.4 99.06 0) + (property "Datasheet" "" (at 113.03 115.57 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid cf382673-3de9-4042-96fb-89cca8444907)) + (pin "1" (uuid 76107dab-5437-4bd2-bf26-eee263573adf)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR033") (unit 1) + (reference "#PWR019") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 104.14 114.3 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 196.85 148.59 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid c1425511-34d0-4538-bf15-516d5783f6a2) - (property "Reference" "C18" (at 101.6 111.76 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid d0014d7c-65cb-4a61-867e-8531f1924f28) + (property "Reference" "R170" (at 198.12 146.05 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 101.6 115.57 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 198.12 154.94 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 104.14 124.46 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 196.85 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 104.14 114.3 0) + (property "Datasheet" "" (at 196.85 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 104.14 114.3 0) + (property "Mfr. No" "" (at 196.85 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 104.14 114.3 0) + (property "manf#" "CRCW06031M00FKEAC" (at 196.85 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 104.14 114.3 0) + (property "Manufacturer" "Vishay" (at 196.85 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 104.14 114.3 0) + (property "Mouser No" "" (at 196.85 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 104.14 114.3 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 196.85 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 8f3efce8-f8ae-4d24-b1dc-fdda83a65700)) - (pin "2" (uuid 889915b8-cdf7-4286-a6ab-53cdd0bab5a8)) + (pin "2" (uuid e0bee719-1a92-4d2f-a009-6878020cd453)) + (pin "1" (uuid 7c690489-18a2-4b9e-bc2f-3503f5fb508a)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C18") (unit 1) + (reference "R170") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 240.03 57.15 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 212.09 101.6 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid c34f9c38-c734-4bb1-aa94-6f6048f9f8e0) - (property "Reference" "C31" (at 237.49 54.61 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid d0ec0764-6cc9-438e-8420-cab2ac8655db) + (property "Reference" "R162" (at 213.36 99.06 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 237.49 58.42 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 213.36 107.95 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 240.03 67.31 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 212.09 91.44 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 240.03 57.15 0) + (property "Datasheet" "" (at 212.09 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 240.03 57.15 0) + (property "Mfr. No" "" (at 212.09 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 240.03 57.15 0) + (property "manf#" "CRCW06031M00FKEAC" (at 212.09 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 240.03 57.15 0) + (property "Manufacturer" "Vishay" (at 212.09 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 240.03 57.15 0) + (property "Mouser No" "" (at 212.09 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 240.03 57.15 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 212.09 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 659eff4a-405e-49fd-bae8-f6e3deaedb13)) - (pin "2" (uuid baf37c78-c03d-4929-a9e9-87c4e0dd1c65)) + (pin "2" (uuid ae29ed9d-3715-4579-ac3e-9fb69d278126)) + (pin "1" (uuid 81edc5f3-c24b-4e87-95c8-f0e9bbd4199d)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C31") (unit 1) + (reference "R162") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 88.9 114.3 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 217.17 148.59 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid c816e6e5-ea82-4f99-8cec-52bfba83e018) - (property "Reference" "C12" (at 86.36 111.76 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid d5d76cdc-6d3f-4a50-8f67-8e514e645fcf) + (property "Reference" "R174" (at 218.44 146.05 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 86.36 115.57 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 218.44 154.94 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 88.9 124.46 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 217.17 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 88.9 114.3 0) + (property "Datasheet" "" (at 217.17 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 88.9 114.3 0) + (property "Mfr. No" "" (at 217.17 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 88.9 114.3 0) + (property "manf#" "CRCW06031M00FKEAC" (at 217.17 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 88.9 114.3 0) + (property "Manufacturer" "Vishay" (at 217.17 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 88.9 114.3 0) + (property "Mouser No" "" (at 217.17 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 88.9 114.3 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 217.17 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 989dda43-65fe-42a3-9278-aa54d486d4ed)) - (pin "2" (uuid 6298aab3-6dc2-41d9-a860-83ff9d46ef5e)) + (pin "2" (uuid 27a75cb2-6776-4693-8a9a-214d4dc2761d)) + (pin "1" (uuid ae1fcae2-d153-4dc7-89df-9c082c7be4fa)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C12") (unit 1) + (reference "R174") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_01x02") (at 46.99 36.83 180) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 201.93 148.59 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid c97f5236-0ba6-4612-9e65-d12c56b7c020) - (property "Reference" "J9" (at 43.18 35.56 0) - (effects (font (size 1.27 1.27))) + (uuid d6c1283a-b743-429f-bf92-cba1c0ef4f45) + (property "Reference" "R171" (at 203.2 146.05 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1M0" (at 203.2 154.94 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "01x02" (at 46.99 30.48 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 201.93 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (at 46.99 40.64 0) + (property "Datasheet" "" (at 201.93 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://www.mouser.de/datasheet/2/181/M20-999-1218971.pdf" (at 48.26 43.18 0) + (property "Mfr. No" "" (at 201.93 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Harwin" (at 48.26 46.99 0) + (property "manf#" "CRCW06031M00FKEAC" (at 201.93 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "855-M20-9990245" (at 50.8 48.26 0) + (property "Manufacturer" "Vishay" (at 201.93 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "M20-9990245" (at 48.26 29.21 0) + (property "Mouser No" "" (at 201.93 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Sim.Enable" "0" (at 46.99 36.83 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 201.93 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "2" (uuid 15cb9c42-5fb8-4112-901b-f4796c0fd002)) - (pin "1" (uuid 2d7edfa8-6243-459b-b380-53f21718637d)) + (pin "2" (uuid 0c7c4c1c-535f-43ec-a797-726da0aaa721)) + (pin "1" (uuid bbcf016b-cc5f-4c99-8041-77b40d31a695)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "J9") (unit 1) + (reference "R171") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 214.63 57.15 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V") (at 161.29 133.35 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid cd60ad22-0c14-4841-b5d3-df6eb188b482) - (property "Reference" "C26" (at 212.09 54.61 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid d932e7ac-1b61-45ed-b0db-cface69e1482) + (property "Reference" "R156" (at 160.02 130.81 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 212.09 58.42 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "0R0" (at 165.1 130.81 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 151.13 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 161.29 133.35 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 214.63 67.31 0) + (property "Mfr. No" "" (at 161.29 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 214.63 57.15 0) + (property "manf#" "CRCW06030000Z0EAC" (at 161.29 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 214.63 57.15 0) + (property "Manufacturer" "Vishay" (at 161.29 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 214.63 57.15 0) + (property "Mouser No" "" (at 161.29 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 214.63 57.15 0) + (property "mouser#" "71-CRCW06030000Z0EAC" (at 161.29 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 214.63 57.15 0) + (pin "2" (uuid 7db9bf2c-c46c-4e1e-87d1-42789e0dfb57)) + (pin "1" (uuid de26840d-36fc-47e1-a348-92fb2f4833c2)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "R156") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 217.17 101.6 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid da85d67f-6fa5-4691-8073-c19ea8bb864a) + (property "Reference" "R163" (at 218.44 99.06 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1M0" (at 218.44 107.95 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 217.17 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 217.17 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 217.17 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW06031M00FKEAC" (at 217.17 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 217.17 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 217.17 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 217.17 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "2" (uuid ba63e83b-7e08-4a38-9f2b-c45db636c3b0)) + (pin "1" (uuid ef0e1435-2c76-4972-9aad-3ecdb6af2d17)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "R163") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 198.12 55.88 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid dbf8d109-c376-49cd-a7a4-78d683909cab) + (property "Reference" "R157" (at 199.39 53.34 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1M0" (at 199.39 62.23 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 198.12 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 198.12 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 198.12 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW06031M00FKEAC" (at 198.12 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 198.12 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 198.12 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 214.63 57.15 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 198.12 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid f1365eec-7b90-4687-b0eb-20d07eb999f1)) - (pin "2" (uuid 858b851c-2093-4e78-8715-a9bb7414ec97)) + (pin "2" (uuid bc9b7f9b-bbf8-4ac3-a1a1-6b5bc613aeea)) + (pin "1" (uuid 01014463-909f-46a3-ad91-eda22a4145fc)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C26") (unit 1) + (reference "R157") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603_100n_X5R_10P__50V") (at 29.21 99.06 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100k_THICK__1P__75V") (at 109.22 66.04 90) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid d286f69e-9a8c-410b-beee-ece8a6daf90b) - (property "Reference" "C6" (at 29.21 93.98 90) + (uuid dfffb290-b0df-4c6d-a93b-02873108cd1e) + (property "Reference" "R181" (at 109.22 71.12 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100n" (at 29.21 96.52 90) + (property "Value" "100k" (at 109.22 68.58 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 39.37 99.06 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 99.06 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 29.21 99.06 0) + (property "Datasheet" "" (at 109.22 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 29.21 99.06 0) + (property "Mfr. No" "" (at 109.22 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "C1608X5R1H104K" (at 29.21 99.06 0) + (property "manf#" "CRCW0603100KFKEAC" (at 109.22 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 29.21 99.06 0) + (property "Manufacturer" "Vishay" (at 109.22 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 29.21 99.06 0) + (property "Mouser No" "" (at 109.22 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-C1608X5R1H104K" (at 29.21 99.06 0) + (property "mouser#" "71-CRCW0603100KFKEAC" (at 109.22 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "2" (uuid 7329b0d7-5a17-4e8d-853e-bc266c955a0d)) - (pin "1" (uuid 2d7b7edc-5842-4d62-809f-0ede40fb0e97)) + (pin "2" (uuid e1ad1485-4776-48b8-98db-7aa7855c6c61)) + (pin "1" (uuid 737a5a7a-a01f-41e5-989e-09689631aa4b)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C6") (unit 1) + (reference "R181") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 222.25 101.6 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e1dff8ea-7ec3-4097-aae4-839d277ba397) + (property "Reference" "R164" (at 223.52 99.06 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1M0" (at 223.52 107.95 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 222.25 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 222.25 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 222.25 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW06031M00FKEAC" (at 222.25 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 222.25 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 222.25 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 222.25 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "2" (uuid a33cd0d0-f1b9-4560-be7f-090cfd86639b)) + (pin "1" (uuid ea2284f6-c943-4be0-9a05-c9ffa2125511)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "R164") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_02x08_Male") (at 123.19 96.52 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Conn_02x08_Male") (at 245.11 83.82 0) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid e41779fb-1350-44d9-921d-e870d8a1b56e) - (property "Reference" "J8" (at 125.73 109.22 0) + (property "Reference" "J8" (at 247.65 96.52 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "Conn_02x08_Male" (at 130.81 109.22 0) + (property "Value" "Conn_02x08_Male" (at 252.73 96.52 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) - (property "Footprint" "LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P" (at 130.81 10.49 0) + (property "Footprint" "LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P" (at 252.73 -2.21 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 130.81 -89.51 0) + (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 252.73 -102.21 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "mouser#" "855-M20-9980846" (at 130.81 -389.51 0) + (property "mouser#" "855-M20-9980846" (at 252.73 -402.21 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer" "Harwin" (at 130.81 -589.51 0) + (property "Manufacturer" "Harwin" (at 252.73 -602.21 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "manf#" "M20-9980846" (at 130.81 -689.51 0) + (property "manf#" "M20-9980846" (at 252.73 -702.21 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) (pin "12" (uuid 935e695a-a811-4448-9b01-000d0887a2f8)) @@ -3147,146 +74307,174 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 219.71 59.69 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 227.33 148.59 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid e6c86aa3-33a8-479d-a0f3-c394a9659758) - (property "Reference" "#PWR043" (at 222.25 62.23 90) + (uuid e51e3027-ec75-45a6-912d-b096c77fc2d8) + (property "Reference" "R176" (at 228.6 146.05 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1M0" (at 228.6 154.94 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 227.33 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 219.71 63.5 0) - (effects (font (size 1.27 1.27))) + (property "Datasheet" "" (at 227.33 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 227.33 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW06031M00FKEAC" (at 227.33 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 227.33 148.59 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 219.71 59.69 0) + (property "Mouser No" "" (at 227.33 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 219.71 59.69 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 227.33 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid c5ed6f30-83d1-4cfd-92bb-a762fae41a27)) + (pin "2" (uuid dec0491a-10e9-4d13-9e78-a6f398e69eb1)) + (pin "1" (uuid a9f37993-c5bc-4979-87be-b66380093f04)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "#PWR043") (unit 1) + (reference "R176") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 78.74 114.3 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 106.68 39.37 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid e7280854-fab2-431c-843e-331afe1ff624) - (property "Reference" "C8" (at 76.2 111.76 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Value" "10n" (at 76.2 115.57 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 78.74 124.46 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 78.74 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 78.74 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "TDK" (at 78.74 114.3 0) + (uuid eb383f05-3ef2-4f3e-b25e-eff60ea5d416) + (property "Reference" "#PWR025" (at 104.14 36.83 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 78.74 114.3 0) - (effects (font (size 1.27 1.27)) hide) + (property "Value" "GND" (at 101.6 39.37 90) + (effects (font (size 1.27 1.27))) ) - (property "manf#" "CGA3E2X7R1H103K" (at 78.74 114.3 0) + (property "Footprint" "" (at 106.68 39.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 78.74 114.3 0) + (property "Datasheet" "" (at 106.68 39.37 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid b3ab1098-0c1a-44a9-b184-1e92c7be833f)) - (pin "2" (uuid fa5d1e81-2e44-49ef-98cb-f95b99540418)) + (pin "1" (uuid 96adfa33-91d9-4cda-9fdd-f04729cd7484)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C8") (unit 1) + (reference "#PWR025") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_01x02") (at 46.99 95.25 180) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:C_0603_100n_X5R_10P__50V") (at 163.83 88.9 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid eba92adb-931a-4363-97f3-4ddde14e46bb) - (property "Reference" "J10" (at 43.18 93.98 0) + (uuid f4133ec9-cc17-4e6b-a79a-8d37ee790d3c) + (property "Reference" "C6" (at 160.02 88.9 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "01x02" (at 46.99 88.9 0) + (property "Value" "100n" (at 160.02 91.44 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 163.83 78.74 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (at 46.99 99.06 0) + (property "Datasheet" "" (at 163.83 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://www.mouser.de/datasheet/2/181/M20-999-1218971.pdf" (at 48.26 101.6 0) + (property "Mfr. No" "" (at 163.83 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Harwin" (at 48.26 105.41 0) + (property "manf#" "C1608X5R1H104K" (at 163.83 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "855-M20-9990245" (at 50.8 106.68 0) + (property "Manufacturer" "TDK" (at 163.83 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "M20-9990245" (at 48.26 87.63 0) + (property "Mouser No" "" (at 163.83 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Sim.Enable" "0" (at 46.99 95.25 0) + (property "mouser#" "810-C1608X5R1H104K" (at 163.83 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "2" (uuid 2d5408fb-fc8d-456a-b1e0-8d4ef415dca1)) - (pin "1" (uuid 26ff2dba-243a-490e-a3ba-e7abacfd186d)) + (pin "2" (uuid 831d32a4-c205-4f8a-8f09-e0337aea571a)) + (pin "1" (uuid ef3a0d33-d898-41e0-83b9-6c8198243715)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "J10") (unit 1) + (reference "C6") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V") (at 93.98 114.3 0) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V") (at 201.93 101.6 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid f5998375-77e5-4153-98f5-1df238c9b3e3) - (property "Reference" "C14" (at 91.44 111.76 90) - (effects (font (size 1.27 1.27)) (justify right)) + (uuid f6b64cb0-9e70-4dbf-97ed-4084e926fcb5) + (property "Reference" "R168" (at 203.2 99.06 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10n" (at 91.44 115.57 90) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "1M0" (at 203.2 107.95 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 201.93 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 201.93 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 201.93 101.6 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:C_0603" (at 93.98 124.46 0) + (property "manf#" "CRCW06031M00FKEAC" (at 201.93 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 93.98 114.3 0) + (property "Manufacturer" "Vishay" (at 201.93 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 93.98 114.3 0) + (property "Mouser No" "" (at 201.93 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TDK" (at 93.98 114.3 0) + (property "mouser#" "71-CRCW06031M00FKEAC" (at 201.93 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 93.98 114.3 0) + (pin "2" (uuid 344caeaa-c19b-43f4-81ca-ab7bc7645c39)) + (pin "1" (uuid 2edc552c-56b5-4b39-9b1e-bbb881a97588)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" + (reference "R168") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 113.03 110.49 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid faa2aa91-55b3-4ea1-ad29-63131b102162) + (property "Reference" "#PWR026" (at 110.49 107.95 90) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CGA3E2X7R1H103K" (at 93.98 114.3 0) + (property "Value" "GND" (at 107.95 110.49 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 113.03 110.49 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "810-CGA3E2X7R1H103K" (at 93.98 114.3 0) + (property "Datasheet" "" (at 113.03 110.49 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 7ae4c355-390c-492c-bfd5-bdddc0cc3625)) - (pin "2" (uuid 29b78817-6cb2-44d8-87e2-29ce142d177f)) + (pin "1" (uuid 0780543c-e4c4-4f02-9fed-07c4ab6f6700)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/604d32ea-fca4-4e87-93e5-d9e7a50027d2" - (reference "C14") (unit 1) + (reference "#PWR026") (unit 1) ) ) ) diff --git a/LCB-CTB-01/CTB_DAC-ADC-PWM.jpg b/LCB-CTB-01/CTB_DAC-ADC-PWM.jpg index 3b9f1d2..b3af0d6 100644 Binary files a/LCB-CTB-01/CTB_DAC-ADC-PWM.jpg and b/LCB-CTB-01/CTB_DAC-ADC-PWM.jpg differ diff --git a/LCB-CTB-01/LCB-CTB-01.jpg b/LCB-CTB-01/LCB-CTB-01.jpg index c16d739..ce2c7b6 100644 Binary files a/LCB-CTB-01/LCB-CTB-01.jpg and b/LCB-CTB-01/LCB-CTB-01.jpg differ diff --git a/LCB-CTB-01/LCB-CTB-01.kicad_pcb b/LCB-CTB-01/LCB-CTB-01.kicad_pcb index b09c9d6..568a509 100644 --- a/LCB-CTB-01/LCB-CTB-01.kicad_pcb +++ b/LCB-CTB-01/LCB-CTB-01.kicad_pcb @@ -7,8 +7,8 @@ (paper "A4") (title_block (title "LCB-CTB-01: Test Board") - (date "2024-03-02") - (rev "1.0.0") + (date "2024-04-05") + (rev "1.1.0") (company "PADERBORN UNIVERSITY DEPARTMENT OF POWER ELECTRONICS AND ELECTRICAL DRIVES") ) @@ -123,447 +123,452 @@ (net 18 "GPIO12") (net 19 "Net-(D9-PadA)") (net 20 "GPIO13") - (net 21 "Net-(D10-PadA)") - (net 22 "GPIO14") - (net 23 "Net-(D11-PadA)") - (net 24 "GPIO15") - (net 25 "Net-(D12-PadA)") - (net 26 "GPIO16") - (net 27 "Net-(D13-PadA)") - (net 28 "GPIO17") - (net 29 "Net-(D14-PadA)") - (net 30 "GPIO18") - (net 31 "Net-(D15-PadA)") - (net 32 "GPIO19") - (net 33 "Net-(D16-PadA)") - (net 34 "GPIO20") - (net 35 "Net-(D17-PadA)") - (net 36 "GPIO21") - (net 37 "Net-(D18-PadA)") - (net 38 "GPIO22") - (net 39 "Net-(D19-PadA)") - (net 40 "GPIO23") - (net 41 "Net-(D20-PadA)") - (net 42 "GPIO24") - (net 43 "Net-(D21-PadA)") - (net 44 "GPIO25") - (net 45 "Net-(D22-PadA)") - (net 46 "GPIO26") - (net 47 "Net-(D23-PadA)") - (net 48 "GPIO27") - (net 49 "Net-(D24-PadA)") - (net 50 "GPIO29") - (net 51 "Net-(D25-PadA)") - (net 52 "GPIO30") - (net 53 "Net-(D26-PadA)") - (net 54 "GPIO31") - (net 55 "Net-(D27-PadA)") - (net 56 "GPIO32") - (net 57 "Net-(D28-PadA)") - (net 58 "GPIO33") - (net 59 "Net-(D29-PadA)") - (net 60 "GPIO34") - (net 61 "Net-(D30-PadA)") - (net 62 "GPIO36") - (net 63 "Net-(D31-PadA)") - (net 64 "GPIO37") - (net 65 "Net-(D32-PadA)") - (net 66 "GPIO38") - (net 67 "Net-(D33-PadA)") - (net 68 "GPIO39") - (net 69 "Net-(D34-PadA)") - (net 70 "GPIO40") - (net 71 "Net-(D35-PadA)") - (net 72 "GPIO41") - (net 73 "Net-(D36-PadA)") - (net 74 "GPIO42") - (net 75 "Net-(D37-PadA)") - (net 76 "GPIO43") - (net 77 "GPIO44") - (net 78 "Net-(D39-PadA)") - (net 79 "GPIO45") - (net 80 "Net-(D40-PadA)") - (net 81 "GPIO46") - (net 82 "Net-(D41-PadA)") - (net 83 "GPIO47") - (net 84 "Net-(D42-PadA)") - (net 85 "GPIO48") - (net 86 "Net-(D43-PadA)") - (net 87 "GPIO49") - (net 88 "Net-(D44-PadA)") - (net 89 "GPIO50") - (net 90 "Net-(D45-PadA)") - (net 91 "GPIO51") - (net 92 "Net-(D46-PadA)") - (net 93 "GPIO52") - (net 94 "Net-(D47-PadA)") - (net 95 "GPIO53") - (net 96 "Net-(D48-PadA)") - (net 97 "GPIO54") - (net 98 "GPIO55") - (net 99 "Net-(D50-PadA)") - (net 100 "GPIO56") - (net 101 "GPIO57") - (net 102 "Net-(D52-PadA)") - (net 103 "GPIO58") - (net 104 "Net-(D53-PadA)") - (net 105 "GPIO59") - (net 106 "Net-(D54-PadA)") - (net 107 "GPIO60") - (net 108 "Net-(D55-PadA)") - (net 109 "GPIO61") - (net 110 "Net-(D56-PadA)") - (net 111 "GPIO62") - (net 112 "Net-(D57-PadA)") - (net 113 "GPIO63") - (net 114 "Net-(D58-PadA)") - (net 115 "GPIO64") - (net 116 "Net-(D59-PadA)") - (net 117 "GPIO65") - (net 118 "Net-(D60-PadA)") - (net 119 "GPIO66") - (net 120 "Net-(D61-PadA)") - (net 121 "GPIO68") - (net 122 "Net-(D62-PadA)") - (net 123 "GPIO69") - (net 124 "Net-(D63-PadA)") - (net 125 "GPIO70") - (net 126 "Net-(D64-PadA)") - (net 127 "GPIO71") - (net 128 "Net-(D65-PadA)") - (net 129 "GPIO72") - (net 130 "Net-(D66-PadA)") - (net 131 "GPIO73") - (net 132 "Net-(D67-PadA)") - (net 133 "GPIO76") - (net 134 "Net-(D68-PadA)") - (net 135 "GPIO77") - (net 136 "Net-(D69-PadA)") - (net 137 "GPIO78") - (net 138 "Net-(D70-PadA)") - (net 139 "GPIO79") - (net 140 "Net-(D71-PadA)") - (net 141 "GPIO80") - (net 142 "Net-(D72-PadA)") - (net 143 "GPIO81") - (net 144 "Net-(D73-PadA)") - (net 145 "GPIO82") - (net 146 "Net-(D74-PadA)") - (net 147 "GPIO83") - (net 148 "Net-(D75-PadA)") - (net 149 "GPIO84") - (net 150 "Net-(D76-PadA)") - (net 151 "GPIO85") - (net 152 "Net-(D77-PadA)") - (net 153 "GPIO86") - (net 154 "Net-(D78-PadA)") - (net 155 "GPIO87") - (net 156 "Net-(D79-PadA)") - (net 157 "GPIO88") - (net 158 "Net-(D80-PadA)") - (net 159 "GPIO89") - (net 160 "Net-(D81-PadA)") - (net 161 "GPIO90") - (net 162 "Net-(D82-PadA)") - (net 163 "GPIO95") - (net 164 "Net-(D83-PadA)") - (net 165 "GPIO96") - (net 166 "Net-(D84-PadA)") - (net 167 "GPIO99") - (net 168 "Net-(D85-PadA)") - (net 169 "GPIO100") - (net 170 "Net-(D86-PadA)") - (net 171 "GPIO101") - (net 172 "Net-(D87-PadA)") - (net 173 "GPIO102") - (net 174 "Net-(D88-PadA)") - (net 175 "GPIO103") - (net 176 "Net-(D89-PadA)") - (net 177 "GPIO104") - (net 178 "Net-(D90-PadA)") - (net 179 "GPIO105") - (net 180 "Net-(D91-PadA)") - (net 181 "GPIO106") - (net 182 "Net-(D92-PadA)") - (net 183 "GPIO107") - (net 184 "Net-(D93-PadA)") - (net 185 "GPIO108") - (net 186 "Net-(D94-PadA)") - (net 187 "GPIO109") - (net 188 "Net-(D95-PadA)") - (net 189 "GPIO110") - (net 190 "Net-(D96-PadA)") - (net 191 "GPIO111") - (net 192 "Net-(D97-PadA)") - (net 193 "GPIO112") - (net 194 "Net-(D98-PadA)") - (net 195 "GPIO113") - (net 196 "Net-(D99-PadA)") - (net 197 "GPIO114") - (net 198 "Net-(D100-PadA)") - (net 199 "GPIO115") - (net 200 "Net-(D101-PadA)") - (net 201 "GPIO116") - (net 202 "Net-(D102-PadA)") - (net 203 "GPIO117") - (net 204 "Net-(D103-PadA)") - (net 205 "GPIO118") - (net 206 "Net-(D104-PadA)") - (net 207 "GPIO119") - (net 208 "Net-(D105-PadA)") - (net 209 "GPIO120") - (net 210 "Net-(D106-PadA)") - (net 211 "GPIO121") - (net 212 "Net-(D107-PadA)") - (net 213 "GPIO122") - (net 214 "Net-(D108-PadA)") - (net 215 "GPIO123") - (net 216 "Net-(D109-PadA)") - (net 217 "GPIO124") - (net 218 "Net-(D110-PadA)") - (net 219 "GPIO125") - (net 220 "GPIO126") - (net 221 "GPIO127") - (net 222 "GPIO128") - (net 223 "GPIO129") - (net 224 "GPIO130") - (net 225 "GPIO131") - (net 226 "GPIO132") - (net 227 "GPIO134") - (net 228 "GPIO136") - (net 229 "GPIO137") - (net 230 "GPIO138") - (net 231 "GPIO139") - (net 232 "GPIO140") - (net 233 "GPIO141") - (net 234 "GPIO142") - (net 235 "GPIO143") - (net 236 "GPIO144") - (net 237 "GPIO145") - (net 238 "GPIO151") - (net 239 "GPIO152") - (net 240 "GPIO153") - (net 241 "GPIO154") - (net 242 "GPIO155") - (net 243 "GPIO156") - (net 244 "GPIO157") - (net 245 "GPIO158") - (net 246 "GPIO146") - (net 247 "GPIO147") - (net 248 "GPIO148") - (net 249 "Net-(D144-PadC)") - (net 250 "Net-(IC2-S1)") - (net 251 "Net-(J1-CC1)") - (net 252 "unconnected-(J1-Dp1-PadA6)") - (net 253 "unconnected-(J1-Dn1-PadA7)") - (net 254 "unconnected-(J1-SBU1-PadA8)") - (net 255 "Net-(J1-CC2)") - (net 256 "unconnected-(J1-Dp2-PadB6)") - (net 257 "unconnected-(J1-Dn2-PadB7)") - (net 258 "unconnected-(J1-SBU2-PadB8)") - (net 259 "Net-(J1-Shield)") - (net 260 "DACOUTA") - (net 261 "DACOUTB") - (net 262 "GPIO159") - (net 263 "GPIO149") - (net 264 "GPIO150") - (net 265 "GPIO160") - (net 266 "GPIO161") - (net 267 "GPIO162") - (net 268 "GPIO163") - (net 269 "GPIO164") - (net 270 "GPIO165") - (net 271 "GPIO166") - (net 272 "GPIO167") - (net 273 "GPIO168") - (net 274 "unconnected-(U2-Pad15)") - (net 275 "unconnected-(U2-Pad16)") - (net 276 "unconnected-(U2A-25MHz_CLK_Q4-Pad91)") - (net 277 "unconnected-(U2-Pad95)") - (net 278 "unconnected-(U2-Pad96)") - (net 279 "unconnected-(U2-Pad97)") - (net 280 "unconnected-(U2-Pad98)") - (net 281 "unconnected-(U2-Pad101)") - (net 282 "unconnected-(U2-Pad102)") - (net 283 "unconnected-(U2-Pad107)") - (net 284 "unconnected-(U2-Pad108)") - (net 285 "unconnected-(U2-Pad109)") - (net 286 "unconnected-(U2-Pad110)") - (net 287 "unconnected-(U2-Pad111)") - (net 288 "unconnected-(U2-Pad112)") - (net 289 "ADCIN14") - (net 290 "ADCIN15") - (net 291 "ADCINA3") - (net 292 "ADCINA5") - (net 293 "ADCINA2") - (net 294 "ADCINA4") - (net 295 "ADCINB3") - (net 296 "ADCINB0") - (net 297 "ADCINB2") - (net 298 "ADCINB5") - (net 299 "ADCINC3") - (net 300 "ADCINB4") - (net 301 "ADCINC2") - (net 302 "ADCINC5") - (net 303 "ADCIND1") - (net 304 "ADCINC4") - (net 305 "ADCIND0") - (net 306 "ADCIND3") - (net 307 "ADCIND5") - (net 308 "ADCIND2") - (net 309 "ADCIND4") - (net 310 "unconnected-(U2A-25MHz_CLK_Q2-Pad297)") - (net 311 "unconnected-(U2A-25MHz_CLK_Q3-Pad298)") - (net 312 "Net-(IC1-EN)") - (net 313 "Net-(IC2-EN)") - (net 314 "Net-(D124-PadC)") - (net 315 "Net-(D125-PadC)") - (net 316 "Net-(D126-PadC)") - (net 317 "Net-(D127-PadC)") - (net 318 "Net-(D128-PadC)") - (net 319 "Net-(D129-PadC)") - (net 320 "Net-(D130-PadC)") - (net 321 "Net-(D131-PadC)") - (net 322 "Net-(D132-PadC)") - (net 323 "Net-(D133-PadC)") - (net 324 "Net-(D134-PadC)") - (net 325 "Net-(D135-PadC)") - (net 326 "Net-(D136-PadC)") - (net 327 "Net-(D137-PadC)") - (net 328 "Net-(D138-PadC)") - (net 329 "Mux1_A0") - (net 330 "Mux1_A2") - (net 331 "Mux1_A1") - (net 332 "Mux3_A0") - (net 333 "Mux3_A2") - (net 334 "Mux3_A1") - (net 335 "Mux2_A0") - (net 336 "Mux2_A2") - (net 337 "Mux2_A1") - (net 338 "Net-(IC3-EN)") - (net 339 "Net-(D111-PadA)") - (net 340 "Net-(D112-PadA)") - (net 341 "Net-(D113-PadA)") - (net 342 "Net-(D114-PadA)") - (net 343 "Net-(D115-PadA)") - (net 344 "Net-(D116-PadA)") - (net 345 "Net-(D117-PadA)") - (net 346 "Net-(D118-PadA)") - (net 347 "Net-(D119-PadA)") - (net 348 "Net-(D139-PadC)") - (net 349 "Net-(D140-PadC)") - (net 350 "Net-(D141-PadC)") - (net 351 "Net-(D145-PadC)") - (net 352 "Net-(D146-PadC)") - (net 353 "Net-(D147-PadC)") - (net 354 "Net-(D142-PadC)") - (net 355 "Net-(D143-PadC)") - (net 356 "Net-(D38-PadA)") - (net 357 "Net-(D49-PadA)") - (net 358 "Net-(D51-PadA)") - (net 359 "unconnected-(IC1-S8-Pad9)") - (net 360 "unconnected-(IC1-S2-Pad5)") - (net 361 "unconnected-(IC1-S1-Pad4)") - (net 362 "Net-(D148-PadC)") - (net 363 "Net-(D149-PadC)") - (net 364 "Net-(D150-PadC)") - (net 365 "Net-(D151-PadC)") - (net 366 "Net-(D123-PadC)") - (net 367 "Net-(D122-PadC)") - (net 368 "Net-(D121-PadC)") - (net 369 "Net-(D120-PadC)") - (net 370 "Net-(IC2-S2)") - (net 371 "Net-(IC1-S3)") - (net 372 "Net-(IC2-S3)") - (net 373 "Net-(IC1-S4)") - (net 374 "Net-(IC2-S4)") - (net 375 "Net-(IC1-S5)") - (net 376 "Net-(IC2-S5)") - (net 377 "Net-(IC1-S6)") - (net 378 "Net-(IC2-S6)") - (net 379 "Net-(IC1-S7)") - (net 380 "Net-(IC2-S7)") - (net 381 "Net-(IC2-S8)") - (net 382 "Net-(IC3-S1)") - (net 383 "Net-(IC3-S2)") - (net 384 "Net-(IC3-S3)") - (net 385 "Net-(IC3-S4)") - (net 386 "Net-(IC3-S5)") - (net 387 "Net-(IC3-S6)") - (net 388 "Net-(IC3-S7)") - (net 389 "Net-(IC3-S8)") - (net 390 "Net-(U2A-ADCINB1{slash}DACOUTC{slash}internal_50k_pull_down)") - (net 391 "Net-(U2A-ADCINA1{slash}DACOUTB{slash}internal_50k_pull_down)") - (net 392 "DACOUTC") - (net 393 "Net-(U2A-ADCINA0{slash}DACOUTA{slash}internal_50k_pull_down)") - (net 394 "Net-(IC1-VDD)") - (net 395 "Net-(IC2-VDD)") - (net 396 "Net-(IC3-VDD)") - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (net 21 "Net-(IC4E-V+)") + (net 22 "Net-(D10-PadC)") + (net 23 "GPIO15") + (net 24 "Net-(D12-PadA)") + (net 25 "GPIO16") + (net 26 "Net-(D13-PadA)") + (net 27 "GPIO17") + (net 28 "Net-(D14-PadA)") + (net 29 "GPIO18") + (net 30 "Net-(D15-PadA)") + (net 31 "GPIO19") + (net 32 "Net-(IC1-D)") + (net 33 "Net-(IC2-D)") + (net 34 "Net-(D18-PadA)") + (net 35 "GPIO22") + (net 36 "Net-(D19-PadA)") + (net 37 "GPIO23") + (net 38 "Net-(D20-PadA)") + (net 39 "GPIO24") + (net 40 "Net-(D21-PadA)") + (net 41 "GPIO25") + (net 42 "Net-(D22-PadA)") + (net 43 "GPIO26") + (net 44 "Net-(D23-PadA)") + (net 45 "GPIO27") + (net 46 "Net-(D24-PadA)") + (net 47 "GPIO29") + (net 48 "Net-(D25-PadA)") + (net 49 "GPIO30") + (net 50 "Net-(D26-PadA)") + (net 51 "GPIO31") + (net 52 "Net-(D27-PadA)") + (net 53 "GPIO32") + (net 54 "Net-(D28-PadA)") + (net 55 "GPIO33") + (net 56 "Net-(D29-PadA)") + (net 57 "GPIO34") + (net 58 "Net-(D30-PadA)") + (net 59 "GPIO36") + (net 60 "Net-(D31-PadA)") + (net 61 "GPIO37") + (net 62 "Net-(D32-PadA)") + (net 63 "GPIO38") + (net 64 "Net-(D33-PadA)") + (net 65 "GPIO39") + (net 66 "Net-(D34-PadA)") + (net 67 "GPIO40") + (net 68 "Net-(D35-PadA)") + (net 69 "GPIO41") + (net 70 "Net-(D36-PadA)") + (net 71 "GPIO42") + (net 72 "Net-(D37-PadA)") + (net 73 "GPIO43") + (net 74 "GPIO44") + (net 75 "Net-(D39-PadA)") + (net 76 "GPIO45") + (net 77 "Net-(D40-PadA)") + (net 78 "GPIO46") + (net 79 "Net-(D41-PadA)") + (net 80 "GPIO47") + (net 81 "Net-(D42-PadA)") + (net 82 "GPIO48") + (net 83 "Net-(D43-PadA)") + (net 84 "GPIO49") + (net 85 "Net-(D44-PadA)") + (net 86 "GPIO50") + (net 87 "Net-(D45-PadA)") + (net 88 "GPIO51") + (net 89 "Net-(D46-PadA)") + (net 90 "GPIO52") + (net 91 "Net-(D47-PadA)") + (net 92 "GPIO53") + (net 93 "Net-(D48-PadA)") + (net 94 "GPIO54") + (net 95 "GPIO55") + (net 96 "Net-(D50-PadA)") + (net 97 "GPIO56") + (net 98 "GPIO57") + (net 99 "Net-(D52-PadA)") + (net 100 "GPIO58") + (net 101 "Net-(D53-PadA)") + (net 102 "GPIO59") + (net 103 "Net-(D54-PadA)") + (net 104 "GPIO60") + (net 105 "Net-(D55-PadA)") + (net 106 "GPIO61") + (net 107 "Net-(D56-PadA)") + (net 108 "GPIO62") + (net 109 "Net-(D57-PadA)") + (net 110 "GPIO63") + (net 111 "Net-(D58-PadA)") + (net 112 "GPIO64") + (net 113 "Net-(D59-PadA)") + (net 114 "GPIO65") + (net 115 "Net-(D60-PadA)") + (net 116 "GPIO66") + (net 117 "Net-(D61-PadA)") + (net 118 "GPIO68") + (net 119 "Net-(D62-PadA)") + (net 120 "GPIO69") + (net 121 "Net-(D63-PadA)") + (net 122 "GPIO70") + (net 123 "Net-(D64-PadA)") + (net 124 "GPIO71") + (net 125 "Net-(D65-PadA)") + (net 126 "GPIO72") + (net 127 "Net-(D66-PadA)") + (net 128 "GPIO73") + (net 129 "Net-(D67-PadA)") + (net 130 "GPIO76") + (net 131 "Net-(D68-PadA)") + (net 132 "GPIO77") + (net 133 "Net-(D69-PadA)") + (net 134 "GPIO78") + (net 135 "Net-(D70-PadA)") + (net 136 "GPIO79") + (net 137 "Net-(D71-PadA)") + (net 138 "GPIO80") + (net 139 "Net-(D72-PadA)") + (net 140 "GPIO81") + (net 141 "Net-(D73-PadA)") + (net 142 "GPIO82") + (net 143 "Net-(D74-PadA)") + (net 144 "GPIO83") + (net 145 "Net-(D75-PadA)") + (net 146 "GPIO84") + (net 147 "Net-(D11-PadC)") + (net 148 "GPIO85") + (net 149 "Net-(D77-PadA)") + (net 150 "GPIO86") + (net 151 "Net-(D78-PadA)") + (net 152 "GPIO87") + (net 153 "Net-(D79-PadA)") + (net 154 "GPIO88") + (net 155 "Net-(D80-PadA)") + (net 156 "GPIO89") + (net 157 "Net-(D16-PadC)") + (net 158 "GPIO90") + (net 159 "Net-(D82-PadA)") + (net 160 "GPIO95") + (net 161 "Net-(D83-PadA)") + (net 162 "GPIO96") + (net 163 "Net-(D84-PadA)") + (net 164 "GPIO99") + (net 165 "Net-(D85-PadA)") + (net 166 "GPIO100") + (net 167 "Net-(D86-PadA)") + (net 168 "GPIO101") + (net 169 "Net-(D87-PadA)") + (net 170 "GPIO102") + (net 171 "Net-(D88-PadA)") + (net 172 "GPIO103") + (net 173 "Net-(D89-PadA)") + (net 174 "Net-(D90-PadA)") + (net 175 "GPIO105") + (net 176 "Net-(D91-PadA)") + (net 177 "GPIO106") + (net 178 "Net-(D17-PadC)") + (net 179 "GPIO107") + (net 180 "Net-(D93-PadA)") + (net 181 "GPIO108") + (net 182 "Net-(D94-PadA)") + (net 183 "GPIO109") + (net 184 "Net-(D95-PadA)") + (net 185 "GPIO110") + (net 186 "Net-(D96-PadA)") + (net 187 "GPIO111") + (net 188 "GPIO112") + (net 189 "Net-(D98-PadA)") + (net 190 "GPIO113") + (net 191 "Net-(IC3-D)") + (net 192 "GPIO114") + (net 193 "Net-(D100-PadA)") + (net 194 "GPIO115") + (net 195 "Net-(D101-PadA)") + (net 196 "GPIO116") + (net 197 "GPIO117") + (net 198 "GPIO118") + (net 199 "Net-(D104-PadA)") + (net 200 "GPIO119") + (net 201 "Net-(D105-PadA)") + (net 202 "GPIO120") + (net 203 "Net-(D106-PadA)") + (net 204 "GPIO121") + (net 205 "Net-(D107-PadA)") + (net 206 "GPIO122") + (net 207 "Net-(D108-PadA)") + (net 208 "GPIO123") + (net 209 "Net-(D109-PadA)") + (net 210 "GPIO124") + (net 211 "Net-(D110-PadA)") + (net 212 "GPIO125") + (net 213 "GPIO126") + (net 214 "GPIO127") + (net 215 "GPIO128") + (net 216 "GPIO129") + (net 217 "GPIO130") + (net 218 "GPIO131") + (net 219 "GPIO132") + (net 220 "GPIO134") + (net 221 "GPIO136") + (net 222 "GPIO137") + (net 223 "GPIO138") + (net 224 "GPIO139") + (net 225 "GPIO140") + (net 226 "GPIO141") + (net 227 "GPIO142") + (net 228 "GPIO143") + (net 229 "GPIO144") + (net 230 "GPIO145") + (net 231 "GPIO151") + (net 232 "GPIO152") + (net 233 "GPIO153") + (net 234 "GPIO154") + (net 235 "GPIO155") + (net 236 "GPIO156") + (net 237 "GPIO157") + (net 238 "GPIO158") + (net 239 "GPIO146") + (net 240 "GPIO147") + (net 241 "GPIO148") + (net 242 "Net-(D144-PadC)") + (net 243 "Net-(IC2-S1)") + (net 244 "Net-(J1-CC1)") + (net 245 "unconnected-(J1-Dp1-PadA6)") + (net 246 "unconnected-(J1-Dn1-PadA7)") + (net 247 "unconnected-(J1-SBU1-PadA8)") + (net 248 "Net-(J1-CC2)") + (net 249 "unconnected-(J1-Dp2-PadB6)") + (net 250 "unconnected-(J1-Dn2-PadB7)") + (net 251 "unconnected-(J1-SBU2-PadB8)") + (net 252 "Net-(J1-Shield)") + (net 253 "unconnected-(IC4-Pad14)") + (net 254 "Net-(IC4A--)") + (net 255 "GPIO159") + (net 256 "GPIO149") + (net 257 "GPIO150") + (net 258 "GPIO160") + (net 259 "GPIO161") + (net 260 "GPIO162") + (net 261 "GPIO163") + (net 262 "GPIO164") + (net 263 "GPIO165") + (net 264 "GPIO166") + (net 265 "GPIO167") + (net 266 "GPIO168") + (net 267 "unconnected-(U2-Pad15)") + (net 268 "unconnected-(U2-Pad16)") + (net 269 "unconnected-(U2A-25MHz_CLK_Q4-Pad91)") + (net 270 "unconnected-(U2-Pad95)") + (net 271 "unconnected-(U2-Pad96)") + (net 272 "unconnected-(U2-Pad97)") + (net 273 "unconnected-(U2-Pad98)") + (net 274 "unconnected-(U2-Pad101)") + (net 275 "unconnected-(U2-Pad102)") + (net 276 "unconnected-(U2-Pad107)") + (net 277 "unconnected-(U2-Pad108)") + (net 278 "unconnected-(U2-Pad109)") + (net 279 "unconnected-(U2-Pad110)") + (net 280 "unconnected-(U2-Pad111)") + (net 281 "unconnected-(U2-Pad112)") + (net 282 "ADCIN14") + (net 283 "ADCIN15") + (net 284 "ADCINA3") + (net 285 "ADCINA5") + (net 286 "ADCINA2") + (net 287 "ADCINA4") + (net 288 "ADCINB3") + (net 289 "ADCINB0") + (net 290 "ADCINB2") + (net 291 "ADCINB5") + (net 292 "ADCINC3") + (net 293 "ADCINB4") + (net 294 "ADCINC2") + (net 295 "ADCINC5") + (net 296 "ADCIND1") + (net 297 "ADCINC4") + (net 298 "ADCIND0") + (net 299 "ADCIND3") + (net 300 "ADCIND5") + (net 301 "ADCIND2") + (net 302 "ADCIND4") + (net 303 "unconnected-(U2A-25MHz_CLK_Q2-Pad297)") + (net 304 "unconnected-(U2A-25MHz_CLK_Q3-Pad298)") + (net 305 "Net-(IC1-EN)") + (net 306 "Net-(IC2-EN)") + (net 307 "Net-(D124-PadC)") + (net 308 "Net-(D125-PadC)") + (net 309 "Net-(D126-PadC)") + (net 310 "Net-(D127-PadC)") + (net 311 "Net-(D128-PadC)") + (net 312 "Net-(D129-PadC)") + (net 313 "Net-(D130-PadC)") + (net 314 "Net-(D131-PadC)") + (net 315 "Net-(D132-PadC)") + (net 316 "Net-(D133-PadC)") + (net 317 "Net-(D134-PadC)") + (net 318 "Net-(D135-PadC)") + (net 319 "Net-(D136-PadC)") + (net 320 "Net-(D137-PadC)") + (net 321 "Net-(D138-PadC)") + (net 322 "Mux1_A0") + (net 323 "Mux1_A2") + (net 324 "Mux1_A1") + (net 325 "Mux3_A0") + (net 326 "Mux3_A2") + (net 327 "Mux3_A1") + (net 328 "Mux2_A0") + (net 329 "Mux2_A2") + (net 330 "Mux2_A1") + (net 331 "Net-(IC3-EN)") + (net 332 "Net-(D111-PadA)") + (net 333 "Net-(D112-PadA)") + (net 334 "Net-(D113-PadA)") + (net 335 "Net-(D114-PadA)") + (net 336 "Net-(D115-PadA)") + (net 337 "Net-(D116-PadA)") + (net 338 "Net-(D117-PadA)") + (net 339 "Net-(D118-PadA)") + (net 340 "Net-(D119-PadA)") + (net 341 "Net-(D139-PadC)") + (net 342 "Net-(D140-PadC)") + (net 343 "Net-(D141-PadC)") + (net 344 "Net-(D145-PadC)") + (net 345 "Net-(D146-PadC)") + (net 346 "Net-(D147-PadC)") + (net 347 "Net-(D142-PadC)") + (net 348 "Net-(D143-PadC)") + (net 349 "Net-(D38-PadA)") + (net 350 "Net-(D49-PadA)") + (net 351 "Net-(D51-PadA)") + (net 352 "unconnected-(IC1-S8-Pad9)") + (net 353 "unconnected-(IC1-S2-Pad5)") + (net 354 "unconnected-(IC1-S1-Pad4)") + (net 355 "Net-(D148-PadC)") + (net 356 "Net-(D149-PadC)") + (net 357 "Net-(D150-PadC)") + (net 358 "Net-(D151-PadC)") + (net 359 "Net-(D123-PadC)") + (net 360 "Net-(D122-PadC)") + (net 361 "Net-(D121-PadC)") + (net 362 "Net-(D120-PadC)") + (net 363 "Net-(IC2-S2)") + (net 364 "Net-(IC1-S3)") + (net 365 "Net-(IC2-S3)") + (net 366 "Net-(IC1-S4)") + (net 367 "Net-(IC2-S4)") + (net 368 "Net-(IC1-S5)") + (net 369 "Net-(IC2-S5)") + (net 370 "Net-(IC1-S6)") + (net 371 "Net-(IC2-S6)") + (net 372 "Net-(IC1-S7)") + (net 373 "Net-(IC2-S7)") + (net 374 "Net-(IC2-S8)") + (net 375 "Net-(IC3-S1)") + (net 376 "Net-(IC3-S2)") + (net 377 "Net-(IC3-S3)") + (net 378 "Net-(IC3-S4)") + (net 379 "Net-(IC3-S5)") + (net 380 "Net-(IC3-S6)") + (net 381 "Net-(IC3-S7)") + (net 382 "Net-(IC3-S8)") + (net 383 "DAC_C") + (net 384 "DAC_B") + (net 385 "Net-(IC4A-+)") + (net 386 "DAC_A") + (net 387 "Net-(IC4C-+)") + (net 388 "Net-(IC4B-+)") + (net 389 "Net-(IC4C--)") + (net 390 "Net-(IC4B--)") + (net 391 "Net-(D76-PadA)") + (net 392 "Net-(D81-PadA)") + (net 393 "Net-(D92-PadA)") + (net 394 "GPIO14") + (net 395 "Net-(D97-PadC)") + (net 396 "GPIO20") + (net 397 "Net-(D99-PadC)") + (net 398 "GPIO21") + (net 399 "Net-(D102-PadC)") + (net 400 "Net-(D103-PadC)") + (net 401 "GPIO104") + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 010618fd-25a3-4108-bd60-9c3088269ae0) (at 93.3216 105.7266 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/db257a30-4ca0-47b4-9332-bf47a1f5e4ab") (attr smd) - (fp_text reference "D62" (at -3.713024 0) (layer "F.SilkS") hide + (fp_text reference "D62" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dc524771-7855-4409-9990-c47100e31c6e) + (tstamp 608d8b77-7d66-40ce-a9f9-6bda541fc3b0) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 02d9e7da-e958-4fc9-b739-4d9595597fa0) + (tstamp 32331c4d-3f7e-4513-addc-496d9891f484) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 07e9f2a4-97f5-47e3-92cd-d16245ac7eb5) + (tstamp 5bbe743d-5141-4f53-b683-ad34ab118035) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77e302fb-5c70-4a8c-8c30-d6ee8b61c037)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f83e0ec0-48f7-4954-9da7-da7cd7cd014f)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fce1145a-239d-4c6b-868a-8ae5d1a53f1f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b4af109-28ae-42b3-aa66-172b8ddc51bc)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e5f6e8f1-2787-4f97-9bf5-b7b6081db38c)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1dea8612-2eee-488e-bc6a-a5a9c75c3544)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fbad5dc-659f-4fe0-be4a-e577d3e1a6a6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2601c94-3557-4d91-a257-39c7f12ce414)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 816bd2bc-353e-4a65-a81d-babe307d55fd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67572243-4b46-48a1-8fd1-78af3653b320)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67904bd4-84e7-42fd-b5d8-bf0d330f8728)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 885e40e3-2864-4e35-83a9-05c2a08b1efd)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8334ae43-4bda-47fa-8567-15e263fc8717)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 07aaa8a8-5519-4e4c-bdb1-450667fb8c0f)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dc718926-94ca-48ff-9c97-ea6f31682812)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 23ec305f-9997-4653-8c8a-dc5768a79640)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ebbe7149-fe40-444f-8f64-5fe5689fce33)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a14f7eef-7583-4cec-8e0c-75015f38ada8)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 79a2f2c4-3111-4730-b137-08064a3a2573)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d8e3b3df-2d8b-4339-b8c2-ff118319c194)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 617ef38c-6647-47eb-985f-daf6cd6ca438)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 939b7ad9-64f7-4cfd-bdab-0ad1124995b7)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ae28bb6e-f25b-4ed2-b28d-0f06465c863b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 218dbd03-b447-449c-91a1-41af45d017df)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 30464cb6-5ed4-483f-aac8-2ad7f83f9055)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a69a8fc-b489-4fa5-a206-e5c55f859c7e)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "Net-(D62-PadA)") (pinfunction "A") (pintype "passive") (tstamp a8ee9aaa-eef3-423b-a846-32dcff8bd75b)) + (net 119 "Net-(D62-PadA)") (pinfunction "A") (pintype "passive") (tstamp 23a68019-f61c-4748-b1ae-4a0b926e2936)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 123 "GPIO69") (pinfunction "C") (pintype "passive") (tstamp 3ae2845c-45db-43d1-a743-8692d740fc5d)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 120 "GPIO69") (pinfunction "C") (pintype "passive") (tstamp cca9174d-5b60-4d9c-aa53-466f92b69d99)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 028effb6-aa58-4a3f-b8bb-5d626c18821f) - (at 184.402874 97.876526 180) + (at 183.690274 92.415526 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -578,44 +583,44 @@ (attr smd) (fp_text reference "R108" (at -3.857839 0.01) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e4db44a8-b600-47b5-ba3f-da6e06c45a71) + (tstamp 55f2e873-4654-4b3b-952a-f13dd39e3478) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c78858ed-a17b-46ea-9fad-ae2745ad7762) + (tstamp b08fb6e3-aabe-4fba-b912-ba1aa07c6acf) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp bf270fed-e845-45c0-9859-037db0c782a4) + (tstamp b17c36fa-5f2f-4cef-9883-a374253d3bb2) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c2d4fec-8d6f-48bc-81ca-c47f17998e50)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5aaf8598-3a6c-4a8f-9b72-b9ad4820f578)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f449f24-5c0e-496f-b694-d56630d84d0f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f1ae119f-8a75-4748-89f8-e8e711a1767d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fc7ae355-fbed-4ccd-af20-2afed24aebf5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be9920fb-2bdb-46cd-9d0c-44de62957913)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18b71ea9-9ff9-4d04-92f4-196ce22f2f7c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f400e75c-a05e-480f-b62c-9218abebcdc3)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp afd944db-13dc-43d0-8a2f-d0041eca9db8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f88164d7-5406-4875-94a1-765e8b902118)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f294046f-f59b-4541-9423-fb6c996fdcb7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 66cdae5c-30cd-4263-a92e-c61ffa751abb)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 65cfad6f-e8fc-47cf-9ed4-b21c667161d6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5a2e3f87-c66c-4fd6-b6d9-019244131312)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5fe163da-4657-4038-8f19-11f6c386fcbf)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 63cb42b1-f478-400f-825f-3d225cf82f0c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b5c2ee7f-e492-40ca-97f5-f16a589d7145)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f1cdba4c-418d-4c95-84a1-c91ca3588735)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dad80e86-b1da-40a1-a0d9-b9c2fd8b47dd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63386598-cfca-4620-b970-0e104bd40d7d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 496074c5-6adc-4edd-a8c6-f1e91b95021c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 394034b3-d5c5-4449-bc13-a56c896b2efe)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 48c817bb-7c54-4706-a77f-9fb3e15c67ee)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 53f3d2e1-a8b5-49e7-bc92-a187f026ff14)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 7ce1f184-1683-4b73-a227-0cf4a4e291f6)) + (net 4 "+3V3") (pintype "passive") (tstamp 90abc2ce-ea19-4ef1-934e-dec9dd184807)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 210 "Net-(D106-PadA)") (pintype "passive") (tstamp fef4ee0f-0cc3-4a17-8d04-74950ea71eaf)) + (net 203 "Net-(D106-PadA)") (pintype "passive") (tstamp ca387200-1ac2-4cde-b898-8af15cd32f11)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -640,44 +645,44 @@ (attr smd) (fp_text reference "R87" (at 3.146178 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d65630f0-d6a1-49e2-883e-5bbc2d7b8c9e) + (tstamp fc48412e-1b9b-4274-a5d1-3d6c0b7b5989) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bcd9e2ec-952e-44d5-b268-d50424da1dea) + (tstamp fc6fc0ec-1e9c-4c16-89a2-3cd15e7b6f49) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp e8b60446-6a32-468e-9a43-e15833e0dee4) + (tstamp e7b6b62d-c67d-465e-abab-241986ecf2ea) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33c0d7ec-b40b-436d-9117-11ef6a566b63)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05eed07f-d79c-42f8-8857-0ad0962902e1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69635ba7-421f-4d96-af04-38481a142e6f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebc5e5b7-9e58-40f9-b906-9dba307fbeb7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6fdb0ea3-1b4e-49a8-a03d-2c607bba22e4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f497949e-641e-4a1b-844e-9acd44bbf61c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c79f0c92-5ad5-433b-91ed-4c8a267b5e64)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b95cea4-44ca-40de-aea5-ef9416c52634)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4d9f59a8-4195-418b-a5f0-f01f1465c532)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a8cebf62-313b-49a6-a3d0-1e8f78c18d07)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ee36c15c-3fe0-4dff-b615-f78dbc2ee039)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fcbd63bd-014e-4c24-b76a-d129a0149853)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6794f321-8c8e-46b3-adbe-d024c9e476a8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dc9fb49d-4acd-44f4-a866-836f4642cc9f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ed50f3a0-b9d5-4d69-8ae6-3485af67ead4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d925f28c-f343-47e7-a32d-7e6c45c93fa9)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a5dee59-d49e-4a03-8980-391a6a760162)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c3cb8da6-e3cc-4122-b700-a35e5ace10b8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7a3969df-cd59-4018-95f8-fa63b9ecba5b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cc4113e0-25c5-424a-8365-d548397a3be8)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 11011bf8-24b9-46bb-98d6-512ab0b15828)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 91283c76-f092-4903-851e-b0deb099b5d5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 50b6a7b1-e66f-427c-9d3c-da1bee8633a9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2bf265d7-0ae9-4d3b-9d13-f8471a48473d)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 168 "Net-(D85-PadA)") (pintype "passive") (tstamp 0bffbed9-4ca2-4b78-91bb-f2c1ee758938)) + (net 165 "Net-(D85-PadA)") (pintype "passive") (tstamp 7820f5de-ce95-4c45-80dd-4361bac93d4d)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 0705eef7-875e-48a6-b30d-e88bce762e29)) + (net 4 "+3V3") (pintype "passive") (tstamp ee8d9bb3-f177-462f-863b-c0e087492823)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -685,61 +690,123 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 031c778a-e513-4c8e-a23f-72fd751d7167) (at 76.454274 112.354526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ab5331b1-bc86-465b-bdbc-4e5a208c3a2f") (attr smd) - (fp_text reference "D65" (at -3.463471 0) (layer "F.SilkS") hide + (fp_text reference "D65" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ac75a8ab-2a57-45be-869d-547a042d757e) + (tstamp e8a486f5-ebb6-4db8-80ff-cf0d6d629f8e) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6761cb6d-d92b-4340-bd64-91e130f10d23) + (tstamp 9df6d35d-741c-4040-ae4b-30cc82544e4c) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 701b3dc9-a508-4c17-b7bd-406aa86c29bd) + (tstamp 864d03db-db99-4b7c-8e6e-d2393dbb06f0) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40effc91-9d0b-4db9-806c-ba8264f34c0a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91c7ff6d-82f8-491a-95a2-e8f493e3f29c)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7f762bf-76a8-4297-a1db-051c8d7e53ff)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1bca662-56c6-4ed6-ba19-d8b44ad31409)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 48f8fcf7-e698-446d-b3c1-205b366c1d4e)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e0650f20-ef96-4766-9ad6-7c64694e508b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f43061a2-f30e-4646-af2b-b89b4486368f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb2c8d8e-5d0c-4a02-a289-eb1750729e4a)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec768d4f-cfd1-4016-9b78-6d773b873e45)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9e68fcb-0cf3-4540-90ef-38faf2da02de)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 320b06bb-2702-4037-961f-a46151c6b1d5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f8838a9-2fa2-4625-8db2-c9d318ac682b)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6a3577ff-69e9-461a-8e80-52db6a58867d)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp db60a688-eaba-4b55-a7b2-eac2074848eb)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8f590b86-4493-4355-b8dd-9ddb8487e8bb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bac194ea-3225-4c4f-a387-88ae1d99c578)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 28f6d7d7-4801-4f78-b2ec-78d740bbbb6f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 26cd82c3-4a67-437c-89af-ac5fc3a76bb3)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d1b4d3c1-dd30-46ff-bcc3-b572f6f5ee76)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp be2508a7-b6b0-48c7-9603-49928447194b)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a1f867e-2d8b-4243-94e3-ed4f4337408b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 93d3cb43-eead-461d-9e18-33e0678ac7d8)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7f11c76d-0259-476f-8f7c-250f9e6be66f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 23e4bd7f-3f2e-4441-851d-8a21251598ed)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d89a9a5-cbf0-4c90-b231-2e6387010017)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp baa1fcc1-d650-4f43-9bbe-31bcea47e142)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "Net-(D65-PadA)") (pinfunction "A") (pintype "passive") (tstamp 68d253c4-ab0f-4114-8a5b-aa0cf5db783c)) + (net 125 "Net-(D65-PadA)") (pinfunction "A") (pintype "passive") (tstamp 9d2adcaa-7754-40ba-b04c-8ded08e6b97d)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 129 "GPIO72") (pinfunction "C") (pintype "passive") (tstamp 8006ff81-fb9f-405e-8cb4-1664c64cabeb)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 126 "GPIO72") (pinfunction "C") (pintype "passive") (tstamp 808b4329-9acb-4bdb-8f3a-c800cd9c738a)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 036a1d2a-5e7d-409b-bee4-03e55bbbb9dc) + (at 215.144274 60.366526) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06033K90FKEAC") + (property "mouser#" "71-CRCW06033K90FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/09619d56-9cee-4688-a443-16dffeffe627") + (attr smd) + (fp_text reference "R184" (at 3.429 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3ce3072b-e458-47ef-8c09-53f9293d81f9) + ) + (fp_text value "3k9" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 525aeba8-be5e-452b-b513-09139acbdc05) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp abbc2dfb-b84f-4de1-b613-d15cef2a2aa9) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8199a0ed-1f33-4b06-8520-2059a226e41c)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30bba4c4-4355-4f24-98cd-d56e2a9256f4)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be28a8ca-31ff-44f6-a28d-63e5394c32d9)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7dee141b-1cfd-4f7f-85f1-eb91424fcc35)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b0538b69-38da-4324-a5cc-7fcf936f8a0d)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c1bb6dcb-5a46-4f77-b09c-b2e6615b0d98)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0d6f92c3-cd0a-492c-809e-f17dcf56bbf5)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ac4c81ab-90b1-4a58-9dae-90b24cd0eab4)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb82b527-7465-4f4d-bd0f-70753d15a2a2)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a842684f-e31d-43b5-9ec9-5e00c5f05865)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab4a9131-289e-4973-9144-4474add4294e)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0410d891-b654-40fb-b9dd-9bc07bcf8121)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 389 "Net-(IC4C--)") (pintype "passive") (tstamp 61bf3870-f8f8-4a77-a58b-7b40843cb9b0)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "Net-(IC1-D)") (pintype "passive") (tstamp 5a9560bb-0acc-4558-83cf-3a192e0a2cab)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -763,44 +830,44 @@ (attr smd) (fp_text reference "R146" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cfc60fac-69f6-47a8-8527-467e2fa0821a) + (tstamp 90b1a8f9-55fb-4d9f-92bf-2377d42f8275) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a10a6515-a511-46bc-9a6f-1965d682da68) + (tstamp 8711a030-61bf-444c-9af5-0eaa7688dcf9) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d5ec98b7-9729-423d-8bd4-56ce71c68eac) + (tstamp 0131df5d-bb6b-4a04-9cdb-ae66e2449616) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d70098e4-9f56-4f3f-bb05-6bd7059ae977)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eb6ab6a6-f2a5-4975-8604-28ba42485ab9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 968e220a-ea3b-4976-a476-dcc2315d1f66)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0bb82385-f9f8-4821-9635-e113a2d25bea)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 85c1e052-c6fa-4930-90e7-d4baad2d8fc7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9427b725-52aa-45b6-975b-272eae7c7f04)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62677e67-a2b6-4ef1-8633-d8d4f6c47922)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b3bf193d-3ae0-4981-b350-394a70fbe748)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 745e6304-0f53-4e0a-8011-137e3677c46b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2b69a1eb-85c5-402a-8f50-ebfc0e075cf0)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 64cd6d7e-b25d-4e82-adb0-92b120d2253a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 827a7e69-b122-473f-af91-84de4fb718ec)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3fc93002-b89d-48d6-8c97-70bb38cd0b1a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0752c185-53c8-41e8-871f-f7ae946a757f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d674866b-e3a0-4b6f-b2df-da3625dbaf11)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2968c51d-989d-4fc6-bca3-c5c93db131ce)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 06cb4996-9ad2-4174-9831-bd2f12affa27)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0e190ab2-f38a-4c28-bc42-68dffd72a31b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2053e761-1b8e-4122-8c9a-191cf0c70273)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b13e2284-2afa-4e31-98a2-ceb803896bc6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab546223-49a3-4d05-ac1c-b594499524bf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp efb096df-23e1-4a29-a407-9ad7630eb1ed)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f23dfd4-4e7d-43c4-95fa-253a159c16cf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4c7fec8-390c-4a3c-9d2a-7ae1a7a699ff)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 249 "Net-(D144-PadC)") (pintype "passive") (tstamp 7a175811-2c10-48e3-ac30-9b7978a124f1)) + (net 242 "Net-(D144-PadC)") (pintype "passive") (tstamp 33c1c4c9-72aa-4506-aabf-995c2f0b7eaa)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp d8ab64fc-79b0-4d0c-b6e9-114a99e5c719)) + (net 2 "GND") (pintype "passive") (tstamp 45baa799-2b5b-4aed-9aa2-36cb30a19bfa)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -808,61 +875,123 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 067daf7a-4c4f-49fc-af26-c35829ff1f4d) - (at 93.37103 52.771423 180) - (descr "LED 0402") + (at 93.3216 52.771423 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5b081f55-7168-4a46-abc7-0e1a6cb82285") (attr smd) - (fp_text reference "D44" (at -3.996547 0) (layer "F.SilkS") hide + (fp_text reference "D44" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 022075ac-fca8-4ffe-b7d2-4e4732bee28f) + (tstamp 5d7c3a9b-91ba-44e2-a38b-d6c837db6fd2) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 276ae3f2-2ec0-4b7d-85b1-541fbc1c41a2) + (tstamp 5b276cd7-0323-4643-9be8-f1f6fa52ba5d) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp d67b07df-554b-49ed-85c7-f56d94564ce5) + (tstamp df5df32f-312b-43de-a98f-93640e08667d) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95ac66db-630b-400c-89fb-7dabd64f8eca)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d015bb06-d9af-4d4f-9dfe-d8ed31c69301)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c64218f6-b3ff-4434-a08a-3bf1e88bdbd1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a243098b-a9ce-4c1b-ac31-b7fe7e293359)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 711f88dd-a6f4-4697-b0e5-ddec10578de4)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0e684e47-cf88-410a-97d6-658c2602951e)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 806bcec5-e1de-4afc-9bce-a5d0eb3c3652)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f50dec54-b23d-48ae-802a-620eb90f8205)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d5b6e14b-e9fc-430c-bd27-b482cfa22c35)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18ba16d8-dfa2-4a72-b1ae-f922e82001dc)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98840d1c-c2cc-44d9-8683-0760d401ca0a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ecdd34ff-f7d5-4ffd-b0a5-fba6d0b04e34)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9c059aef-35f5-4b6b-a526-79ea90a0ac02)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9e83bd7a-6baa-4a07-a67d-7b8c708804a0)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c7987de3-e6b5-4ea5-8825-3b86c2f840bc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 15914d9d-0ded-4dc2-a345-ef2c0c5345b3)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8042178-18a6-42dd-8663-54a5f2bc37b1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4447874c-edcf-4212-849d-145aaecd29db)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 98113e2b-04e6-4823-ba3d-c9ac1c6686a1)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 38c2d407-8b77-4886-8ef4-263c2a0fcdad)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0affab6f-1f71-4401-900b-7b4b6a677d5c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bb4ba9e5-ee34-4d51-8a0f-c20065b820c8)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 68e51c8f-278e-46af-a1b6-db03b287461c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 839782c5-cee7-4daa-8908-9c621927d693)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 721c5741-d64d-4911-a053-3206437b6f1b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 33bb8cc6-76bb-441b-996e-ecabda1ff148)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "Net-(D44-PadA)") (pinfunction "A") (pintype "passive") (tstamp 453f0909-7fbb-4e52-899b-7b4c32219475)) + (net 85 "Net-(D44-PadA)") (pinfunction "A") (pintype "passive") (tstamp fa1be116-aec5-4a95-8748-4763017f1540)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "GPIO50") (pinfunction "C") (pintype "passive") (tstamp 48de911a-f54b-4ea9-b765-eecee5603aed)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 86 "GPIO50") (pinfunction "C") (pintype "passive") (tstamp 6f7656c6-3584-4817-b98f-22d18dd7c646)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 076fb210-24c7-46e5-90a8-9191680918e5) + (at 207.657274 78.699526 -90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/c29dfea2-6969-46d1-836b-f955a8666541") + (attr smd) + (fp_text reference "R161" (at 3.302 -0.127 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7c9ce9eb-3507-4433-bbe1-536a1d050dae) + ) + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 145a9f3b-1399-4780-968d-15e4840ec0f4) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 82cc23b5-255c-4300-81e5-6a430bfbf004) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db053092-650e-458e-9530-4dc296b6c61c)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3cf5be6-0e6f-49d9-9712-74c1b7b8328c)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c057e71-4474-4cf1-bdf2-f4a2b2aabfd7)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f48ea5d4-2d27-4077-8de3-d99158f14ea6)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1099fc92-ffcc-4f03-a1a3-bbc1400ad53f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1a271532-a983-4e46-8933-2c5b7d1c1f23)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d2a45c44-d480-438c-8496-2e6ecc594977)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 04422ca9-edd1-4ac2-9eee-82c12aa467d9)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp daf7d40d-6b49-409a-8b33-5dd7a86b1b8f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2341beaf-297e-43c1-8b94-0b6fcaaee57e)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6c8563e8-2a08-4667-adad-ece5d77bcde7)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e2e69ea2-f3f3-4671-8b80-9e5b4ea7fccc)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 372 "Net-(IC1-S7)") (pintype "passive") (tstamp b1111f7a-3292-43f2-8e34-0f2da07257ee)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp baecfae2-13d1-411a-9512-41f7cee0a456)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -871,7 +1000,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 0850cff7-ab76-40c3-ae6b-86afb644ec90) - (at 161.869074 151.152326) + (at 161.881474 151.152326) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -886,44 +1015,44 @@ (attr smd) (fp_text reference "R128" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 040ef960-001c-4cbe-b4f0-ab4dc37224b8) + (tstamp faffaa1f-5abc-4456-bbe0-794073f49c56) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7834a0f7-88ea-494d-b3c5-cf00ec420046) + (tstamp 3460764c-1613-45e3-b01f-a2633bed858d) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp ba35ae1a-de90-4926-a712-236b43d09679) + (tstamp dfed6ca8-e61f-42c0-9c69-5e64ca33ac1f) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8298518d-e1c7-4e29-8c89-7344e3d784ae)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02664dc5-3f5e-48c5-89ff-b932e1341b70)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9418158f-7f59-4916-8f33-fa5843c0f4e0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa99f87e-a3c4-4b91-90bb-33420e07fe29)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17376ecd-5e29-44cc-b174-c41b7845882d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a3052e06-21ae-41ef-a9d0-92a42d36a189)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92bbd286-5fa1-4bcc-b6d4-650861d6e825)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06b823b4-493a-425b-9fd5-b71eb4bcf789)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 254a2ae8-3193-478a-a4f2-0fbb52142526)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b3b8e015-5e70-4307-a4cb-f69788844486)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6803ce15-aa21-416a-8a61-031414d7c35a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9602bcf5-8fd6-41e5-addd-2c79c762eb2c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c482abe5-4285-41cf-aa65-1b060989c188)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6c698ab3-855f-4f46-8ebe-15455325ccd0)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0bc654f6-6006-49dd-ac87-fce227e426a1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d2424f05-68eb-40f3-8957-6b7f5a00c3c0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 141641d6-b1e2-452b-a1a9-14902d1cf71c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8617fed4-90ec-417a-87f3-bfc1e7b1ae5e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3021505-b647-4b00-9dcd-6cdb59650684)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7ea4c15-fe1b-429b-a21a-9a97d0b301ca)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 90e03174-4dbc-4297-8b04-ee15c89cb853)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c592cdb-6183-4f0e-b5c2-736d7ad54bd6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f2cf0b36-6764-4c99-8932-2b1bb84d4be4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 30bfad5e-7f6a-46da-92ba-7cc5e092210b)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 316 "Net-(D126-PadC)") (pintype "passive") (tstamp 16f1aa76-b2ba-4643-a4f6-2caa60ddf1ec)) + (net 309 "Net-(D126-PadC)") (pintype "passive") (tstamp 93235e82-d509-4686-b576-4c54d6edc118)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp f650d190-4b9d-4c84-a9fd-9b721d56e018)) + (net 2 "GND") (pintype "passive") (tstamp da03d251-5f5a-47bc-aad8-848b60528e87)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -933,7 +1062,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 089f0f4a-8957-4a48-b65b-9df439ef6e35) - (at 69.112274 40.981126) + (at 69.203634 40.981126) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -948,44 +1077,44 @@ (attr smd) (fp_text reference "R106" (at -3.857839 -0.0004) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 18875e1d-f999-4db9-8ad7-c5c5f6a7270a) + (tstamp d9011945-ddc5-4a45-b40c-fc74285e4ce3) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cb6c71d1-8bd9-484f-8eb3-e27a92949db3) + (tstamp cf2b7139-4cb2-4a4a-8a31-c264c9067d36) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 08b7f063-ccc3-4c6d-9d12-2b8d197c3048) + (tstamp 80fffd4a-60c2-4aa7-aa47-81524ff5213d) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a4cc4342-1766-4a7f-baef-4b418b104f56)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2c80a63-a29b-4600-bd79-4810225a76b2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 600aad12-bfe6-4245-89d2-923396b91e4a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e5ca566-12b5-4ddf-bad8-6243ea613c6e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fb53810-c152-4986-bf09-21c3423828bc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e3203c7-1286-43bf-8c1f-fbcddb46c401)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6108fb6-3f20-4b67-9c1d-7d54276b756b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 71d9e229-8d2a-47cc-9943-4e2649cb8ea4)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2f9bd2e1-9186-41fe-b101-895a7ba6c067)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5f5ef293-3702-4edd-856f-c7e0a17416e4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e3d46128-9f3b-487d-ac81-1575c0d998b1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8520b87a-e807-424c-bd40-a8ca359485f7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 822453d5-5be4-47aa-b001-b67d179b46c5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ad9b7774-a83e-4cb8-86b1-3e924d2758af)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d997c5da-23fd-4462-962a-677729f4faae)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 78028cf0-e6c6-42c3-ad6d-8b55914604e1)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e79db39-80e2-4933-9b28-9a6b51dc8f26)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c1ce1082-069a-4f19-810b-8d2445ae61dd)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5264fb5b-b3bd-4dfc-99fe-a1ab12263fc7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 50dc3a2f-7ab0-4c1f-93d0-2bb0577e1965)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 560760a5-9d56-4b33-a600-f849e9400d08)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d461402-f08e-4ba2-b4a9-82f036080185)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6153e89d-1415-4953-bed7-c07e58088cc1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c195ed77-e523-485a-9c66-fb0af913cae1)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 14d0edba-f52f-4565-a5da-34f57ba30c02)) + (net 4 "+3V3") (pintype "passive") (tstamp 1892d54c-bac1-41d0-9c39-ac2eb9ca867c)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 206 "Net-(D104-PadA)") (pintype "passive") (tstamp 20957cb2-3681-48ca-aa17-e49d33bd6317)) + (net 199 "Net-(D104-PadA)") (pintype "passive") (tstamp a5d4128d-f9b0-4d5e-bf78-0b36bcf3d21d)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1010,44 +1139,44 @@ (attr smd) (fp_text reference "R92" (at 3.5386 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 02edac1e-68e8-4559-a6af-27473fe19946) + (tstamp 1498dc5b-4ead-419c-8f43-286029ca813e) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 440224bf-ac9d-4cfb-8d19-3cde3d856c91) + (tstamp c94ca5b3-bef6-471b-924d-cda889aaf4ff) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 3dafd7c3-e0ee-4028-834a-90a9b9b0b69d) + (tstamp 4d1c15e0-5bc2-46a8-8a51-c5046c6ac656) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b47f710-e0b9-4cd5-853e-00fc2579dfe1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0a57f253-e0bb-4c86-ac2a-6babc7cf50ef)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54fd01eb-b10f-4ec5-a247-8bbf8412b1bf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec7071bb-9135-414a-bdec-84536bb012c0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d4221e3f-d362-4045-b5dd-6acbc334d521)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73846bcb-a0f3-4370-83d5-33ff3d52e088)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9a1fedb-f84f-4b4a-bc52-298ab2b4e558)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 71be89b9-f82f-49fd-9bee-764c4107316b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3388e374-37e9-4e25-ae28-e46c0e6138e9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9a754f24-e6ad-4959-8683-3c67c2c29d50)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e518bd45-42fa-4742-89dc-2c24e9634be2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fe7220ed-86d6-4dd1-af75-f943cccb091b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7d46c23b-34c2-43a0-9562-67cc85be9d28)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1b1834cc-aa2e-47b8-8ddf-9ad0208b8bde)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8c1de1f5-4f8b-4910-80ca-5ce1c5954aa7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2b31e3a8-ed44-44aa-957d-770b98c0e5c7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e25e82a-b6f3-4367-84f3-1e13089110c7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e74796f2-fa09-4c76-a318-28d1b2eb7384)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp accb0d19-19f7-49ac-8d94-71e2238bb9ed)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0981b2d2-1c32-4447-a9fc-b66b1f98fc50)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 938a3d9f-39e5-4f73-aea3-7019c58f3711)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8ec37325-a9db-4c4c-88ce-a4afee8662e7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 580e3b89-71bb-4cae-8b87-d92e715b72c8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6faded77-3970-41b9-bbb0-e3c38242117f)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 178 "Net-(D90-PadA)") (pintype "passive") (tstamp 1592bfcf-af5e-4ae8-8a03-3426a1669737)) + (net 174 "Net-(D90-PadA)") (pintype "passive") (tstamp 308e81e8-60a1-42cc-b570-a6712cd3ec34)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp cee81339-53b7-4f16-aabb-166552acf23c)) + (net 4 "+3V3") (pintype "passive") (tstamp e654c053-4d84-4150-b279-f3e804895829)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1057,7 +1186,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 0a412672-02cd-44a2-95dc-300b59934f32) - (at 85.876274 62.922223) + (at 85.826844 62.922223) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -1072,44 +1201,106 @@ (attr smd) (fp_text reference "R52" (at -3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 42993db4-c0c7-4580-ae2d-272047d30b2c) + (tstamp 08a2fdb1-61c3-4685-b062-3f6b286eb794) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7ba5f89a-6017-4871-b7fa-6e1d82fe8261) + (tstamp a1e0b91e-e55c-42dc-a178-33fe646eaaa6) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 193d0c0d-6806-4fe6-9355-fad0dc8a97a4) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7482c1e3-1a0b-4028-96ce-fb790d3fb5d2)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2b595b4-9621-41a2-a7db-8dd229dd1627)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64eb8330-4bd9-4df8-bb70-2f53d65b7def)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 85ffed7e-44e1-4e53-b9af-25fa891c07c6)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bc132d95-245d-4368-895f-b9b10de6aca0)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 439e4ea5-0e2b-4406-b67d-f31f50f2c6a2)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cdcfc685-8a89-4bc2-ac44-086ced1effa8)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 26befc7a-ba46-454b-b900-90f2d30205dc)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp afb4309f-728a-45fa-b61d-e0d3c03b6703)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0f0b9895-f9aa-4a2d-b6ef-c84ddf0344f5)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a24df4c2-ac07-46f4-860e-2e5859b57259)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7328ecbf-0365-45d2-82c5-1c9a5e0edb9b)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 9b3f9a1e-4f1a-4c80-b358-89fd830e9a65)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "Net-(D50-PadA)") (pintype "passive") (tstamp ceb803d7-a88f-4fbb-abd9-84ce74f28bef)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 0a68cf9e-0dc4-4bff-bff9-ff0aae2d1941) + (at 179.237674 125.811526) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7ab11013-d11f-42c8-af89-e2e23f18d234") + (attr smd) + (fp_text reference "R12" (at 0.6 -1.5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cdad0cd9-e7d1-4c0b-98b3-fc3587089344) + ) + (fp_text value "680R" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6396d068-e78a-467d-9ac8-e15c281e654b) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp a6c4f849-d8ac-4304-adad-a3ca4fe6856a) + (tstamp c981bc3f-7184-4f7f-83f5-8076d6246285) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 152ae3ec-0937-4470-81ff-e4b91c16afa0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1216bdb-9d25-4eee-a791-a29ddb71cae8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eca5b7ce-9d19-4fd4-b15e-1bb0b81aa634)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b3d33929-86bb-4bee-9387-8d0a754897a0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d3ed775-82e5-41cb-8fda-eb67e0151ff3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 297f352f-5619-4ab6-ac85-e5a3f9d657f9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52cae757-5c3c-4295-910c-60266b3ce75d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f855fc47-b40d-4f90-a9bc-0a8ecf05ffe2)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8d5004bc-94b7-4c5f-93c0-c6efb5821f7e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 983bc78e-50e9-4f32-b4cc-791aefd056cd)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e91248df-9f9c-46e2-95a7-a62cfd38f114)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 35592b8f-1a8e-401f-b3ad-2e647293ba52)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a037efe9-03bb-4eda-9706-6bda25a8807a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ca343032-762e-48df-9401-ec9da11e755f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a8d5dadb-fac2-4633-bd2c-cebcb3f5db44)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c8e2b403-8c72-474f-9f7e-c866e2a75dc9)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7aa9e627-b86c-4d76-9323-a4dca910ea9f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 06a75b22-da6b-457b-8b73-afa23778166a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e87d6482-ac16-4de6-acc4-fecb7cc23cdc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fbbb3201-d969-4225-a726-0ab658c5de6d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e45a807f-1ca0-4a05-ba7a-eda194415246)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1c17de37-83de-4f0e-9aa7-115ad98fabb5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5c11f26d-44c5-448b-98aa-a29a9cc6ab53)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ef309173-97b1-4a1b-8a65-89f42c52d4bf)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp fdd386b8-16e8-4cab-8d19-2f5666514e65)) + (net 22 "Net-(D10-PadC)") (pintype "passive") (tstamp 77a0de36-2cc2-40bf-ad22-968d00b85619)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "Net-(D50-PadA)") (pintype "passive") (tstamp ab581276-0b05-4e2b-aac5-56cfb9ad221f)) + (net 2 "GND") (pintype "passive") (tstamp 1076a6d6-86f6-4a2d-bcc0-8c58ee03e619)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1117,61 +1308,61 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 0b59c1e8-3931-4301-90f6-d5c3aef746af) - (at 195.409474 87.529926) - (descr "LED 0402") + (at 197.739274 88.825126) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/23f2a023-0784-4d88-9f9b-7c60984a3855") (attr smd) - (fp_text reference "D96" (at -3.822 0) (layer "F.SilkS") hide + (fp_text reference "D96" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b70b8444-6c36-4fe5-ae8a-d316b86cf664) + (tstamp 8173a6a7-fbf9-450e-bf8a-2e60e7a02dbd) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7a9759d3-e06b-4b41-8b04-be65c2c076a8) + (tstamp aea3fb42-f3fa-44c1-9884-3c931d2f8d0f) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 91dd3e95-91d8-4ebf-a564-c956a0de8cb4) + (tstamp 8c44db4c-a358-4a6c-8d88-6f56feef0517) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f05c1f7-c928-4810-8eb9-c6f2baec7fc2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d058e654-dcea-4b1a-a731-7bf8264e4c4f)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c74c36a-6166-4faf-954d-5b48b014dba4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b4bb657-1481-41c1-ab7f-78fd1d4eb98c)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0b70f513-55ab-4b2c-8156-820fa8f7ff39)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 16e57c11-eeb4-48b7-ac94-960ab8f301a2)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc9dd957-5c97-4f34-9470-337f105b2624)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7737fc94-3843-46ac-8db0-629317a60436)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93e56507-36da-44d3-9f2e-49080e86bd55)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 231cde1f-b563-49d8-8d35-9d776a806f9e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 062b9964-0264-4c0d-8fbe-360fddb6edff)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 293936a5-196c-40fa-acd9-a6a4dc47a6a8)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e869dba8-2be8-40c3-9448-51bd8c4a0e56)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp efd7b07d-7013-41ff-b76e-0b112dc9e5b1)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp de941f0a-3c3b-4d31-87b0-defcf22c107b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 81a504ef-ee19-4ba6-a36f-1e7389e640e5)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp de40c9ec-bfe3-4649-b740-99176c263d43)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 492a16ff-ba03-46ab-8c24-5b8f0b9562cb)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a15ea6bd-f170-4bb9-a41b-1316673ac690)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 66a74c58-5fa1-4edb-bc18-84f88aa81cdf)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b823b2c-0b4b-45e6-be82-59f44e66e22c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 055dd683-8ff7-4ff8-8fb5-f1f1b4730321)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 98fd5833-de01-4b8a-ac74-d43dc443c25a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1be5e9f2-ac3b-446f-beb4-b030e30cb8c8)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp facd42ac-20c6-4a6a-a680-4d41a9fa4b13)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5224ec0b-fc92-4957-b66d-72187e14e658)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 190 "Net-(D96-PadA)") (pinfunction "A") (pintype "passive") (tstamp bd1fed8c-5a39-4fcb-a864-fdb4be9ede33)) + (net 186 "Net-(D96-PadA)") (pinfunction "A") (pintype "passive") (tstamp 113bda8f-f72b-4b27-8e04-187e28ee4103)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 83 "GPIO47") (pinfunction "C") (pintype "passive") (tstamp bcaec225-01dd-47a3-806d-1bb56e74ab09)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 80 "GPIO47") (pinfunction "C") (pintype "passive") (tstamp 936d9c73-48cc-4f95-8ae9-0860e101f752)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -1180,7 +1371,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 0d28cc30-0eb6-4302-9da1-93386a9c00e3) - (at 203.059874 141.418463 180) + (at 205.413674 144.085463 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -1189,50 +1380,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8796aa43-d6a7-42a4-a91f-b94d7babbfc0") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ac90e599-27fa-4d72-b881-36047ccb455e") (attr smd) (fp_text reference "R100" (at -3.8608 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5af6c55c-e5d5-4768-9d6b-71f4a90a258d) + (tstamp a2071b03-02c8-4de7-b9ee-b5e141f7dd95) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f5f5dc31-b7e9-4606-b8b8-51d578df1096) + (tstamp 4d3dfc43-4593-4d4f-9094-a48e8ee55876) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp c6d7dbf0-1886-46cb-b561-48a8bed2b125) + (tstamp 92823b81-0dde-4073-8871-accb205bd767) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5708d3ce-5e07-45a8-9f48-b898254f1330)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp de361b04-62b2-47d9-bb46-87a8ce61bd37)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 50131b48-88a6-4841-9cd5-2bd543d59b49)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7620fc99-22d6-4350-ac0b-b779ea960a62)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 85c8635d-cc49-462a-8505-d46b003eccad)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab08e400-9436-4db3-8274-1ff4dffe876a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 82f6b872-cc7e-4ecf-8f00-564d326bc304)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19aa436a-5f98-4f93-9146-d6aee699bf52)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4bb0a77d-d7d7-42c3-ab8d-5d23cfb88681)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1d701a49-9ff2-4e9d-8f22-03301846af75)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 93111e42-7ee5-47cc-a59f-c404b7ecb9a7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 50618c56-7cff-44f5-a8ec-f73721e09336)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e018b730-d6c1-43d3-93dc-62d65edb8b1b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 44af8107-b1c3-457e-ac01-1af1c6053a3b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5a26a852-dff8-482e-a626-7f8f45d47e48)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cc3ee016-42f2-4bd4-8360-4949fb850dd3)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b9aa653d-d073-493e-8010-3c6539afd54f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4bc7b329-6756-4c50-88c7-99f2b639a680)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a005eb42-f6ad-4be7-9045-62690f3facf8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d9a9587-dff7-43a6-a2c6-b0be920a3055)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8c34a7f6-7b97-46ba-ab9a-6558f9afa673)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4cd92c77-78f2-4b4f-b898-726fee5c4f8f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1e271e43-6016-499d-bd9b-77dd5c7bcef7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d4064a6a-0e9e-45ee-b55f-150c8515e965)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp a180d21d-edc2-4592-b0a2-c1ce732d8fd5)) + (net 4 "+3V3") (pintype "passive") (tstamp d4b3b1ff-d7d8-4015-be8e-995efeeb2fcb)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 194 "Net-(D98-PadA)") (pintype "passive") (tstamp 9dec72d6-59b6-4252-ab16-30d69fd5d9b3)) + (net 189 "Net-(D98-PadA)") (pintype "passive") (tstamp cc1bc1c1-277c-4701-87f6-443fa08796de)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1257,44 +1448,44 @@ (attr smd) (fp_text reference "R122" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a78fb511-d15e-4017-9036-3ab5a76cab40) + (tstamp a3224717-5029-4ebc-8ff3-61eb11ef628b) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2099c47f-1003-465d-8a6d-3d6bd4a7ff71) + (tstamp 9da7362b-d823-4aa5-911b-f600ab9be0e6) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 6dec1b25-f4ef-45e4-b24b-834cb3ceca6b) + (tstamp 2f1325a4-da27-4d3c-bb66-66450f98c725) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c681526f-5a36-42da-99ae-8c65d39eacd9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2dca9aa-b004-4ada-b591-c5be8270c1f0)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 529467e9-c2b7-42d5-b15a-2be13c6a292f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9878dac4-d5d0-4aad-9770-a932772e5f91)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c1c460d-256d-4adf-b317-02f6b11c9d1d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b01bf521-0135-432f-97cc-3acd6d0eb2b5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d77419c1-8171-4886-9782-a8abfaeaeab4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff541d9c-4d31-488b-83a8-c834b234f630)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 91da8d6c-62cd-4110-a109-918a198acd57)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1c950f1b-61a6-4e73-b031-c83c07b45dad)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e44e6f5e-5f93-4727-83cc-d53e71c444c4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4e5658ac-942b-4da1-926e-229de73e3bcf)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 47f15fd1-b898-41ac-8f5f-7460d1d6a2fb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cd7b8018-d2b0-416e-8137-02b19642df5a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 835bc964-2893-4e54-ab23-b9ac8cbd3508)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d90e20cd-fdd6-4b5e-bb90-d73093b272cb)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bd230f38-af9b-4cc3-b046-186b5606d119)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c1b71440-44b2-4946-9d9a-8821891e6075)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7d7861a-4cc4-4a99-acf1-c500c737b3c4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 14cd17d3-e713-4415-a8e0-08b91bb09af7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c70a442-5656-48c0-b872-2891e6c90534)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7099d8c8-0eb6-4cf5-b16e-9ed66d24f0c7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c421d45a-af9c-4282-9f82-1cf701d85fbe)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07060544-51ba-4806-a1f2-90bf791f315a)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 369 "Net-(D120-PadC)") (pintype "passive") (tstamp 59c50485-9f44-418b-a9d7-0b68d7bbff46)) + (net 362 "Net-(D120-PadC)") (pintype "passive") (tstamp 5a431684-8b60-4707-ba8d-7ee6883e47f7)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 21b09eb5-d216-4b1c-ad49-793f1f82d89e)) + (net 2 "GND") (pintype "passive") (tstamp f89e3080-4fb4-4d26-bdc8-17375641bc52)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1302,131 +1493,131 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 0d791bd0-fa1a-4123-9f7f-c67693dd1e6e) - (at 76.350914 43.521126 180) - (descr "LED 0402") + (at 76.454274 43.521126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/972d0aaf-2c4f-4543-b87a-adfe7e96ead8") (attr smd) - (fp_text reference "D107" (at -4.274191 0) (layer "F.SilkS") hide + (fp_text reference "D107" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 52a1e1da-fadb-4f72-a135-5bbdb1eb8b07) + (tstamp 8c39db40-e229-4c19-b113-3afa3d034e4d) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 89bb0b71-a3af-4ca5-a1d5-b08a412eba32) + (tstamp 64d35752-7a60-4200-a70a-4fa0d25f3d4f) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 882a91bc-e8e2-48ab-a7a3-9451f28a7f3e) + (tstamp d35d40eb-44ee-4652-899b-ab489c540d5b) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33edb01b-f704-48a2-b8b6-0cb3645adbe6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec8940da-5a0b-4c85-80ff-f75b468d5c91)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33a83e02-69ea-489e-9e8c-05c44453648c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba2d97b4-2165-453c-acf7-97eb241b16b8)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 88564bc3-362b-464d-a9bf-2fea5e4844e8)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b44e517e-ce5f-47a2-ad68-716c984d6d59)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa6cacc2-2406-41ce-8ab6-ed0e2eb64b93)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa273145-59a0-43d8-bf9c-642aada595b8)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1413318c-e72b-4599-897c-49325bbc8355)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c4ed417b-5adc-440d-83d0-10b6d4f8bb45)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6701db54-51f0-40a5-aed3-6b5775d1ec26)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b0b6138-a1c1-478b-a84e-10d9ed660c41)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7423b4b9-f36f-4795-a50e-e9f579dc73b0)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c3487904-9121-4daf-aa41-a06865f0a1ac)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 875c8509-8915-494d-a533-f87598972dde)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ca6b2153-a944-45e0-ada2-d07c1195a1c5)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 97138452-0d56-4fa5-9f83-1402df6a4157)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e11ccfc2-fea9-4062-b4bf-9f1460b08eb7)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7d455a50-9d61-499d-911f-e55feb8b6976)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp faf73c9c-9353-4c66-a6db-245c114ebe26)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 86fa0f2c-d5f6-47b0-b3d0-7c16de1ed87c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 867bb398-40e9-4432-8484-9469239689a0)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp befd7a3c-3293-49b7-ad4d-83fd24093f44)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d1bc746d-5d88-4846-a87e-632c61631a11)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fe3afbc4-e0a2-493c-a772-fac7e1d5b37c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bd0268b1-9165-4fa8-82e1-5bc20ab8438f)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 212 "Net-(D107-PadA)") (pinfunction "A") (pintype "passive") (tstamp 48822c43-6bc7-41d5-b40a-837f21fb00da)) + (net 205 "Net-(D107-PadA)") (pinfunction "A") (pintype "passive") (tstamp b6e084b2-af1e-4d7c-a416-aaa631a55277)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 213 "GPIO122") (pinfunction "C") (pintype "passive") (tstamp f8041340-ea84-4c69-8323-a71b82290625)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 206 "GPIO122") (pinfunction "C") (pintype "passive") (tstamp 7e7086b8-a9d1-41a9-82cb-eb39528e5822)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 0f14aaa0-a067-41cc-896e-6b1eddd92439) - (at 177.127874 105.369526) - (descr "LED 0402") + (at 176.300274 99.908526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/fa3912f1-115f-44da-9643-54d4fda9a5c0") (attr smd) - (fp_text reference "D109" (at -3.8308 0.02) (layer "F.SilkS") hide + (fp_text reference "D109" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6bd67656-792b-461c-ab14-328ed02f6771) + (tstamp fe976d0b-55d1-460a-9afb-94a25bf23801) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4668c5f5-7c5e-459b-bb4d-4203eeda90da) + (tstamp 73d4c3f0-606a-4de9-bc19-8d1b326aa750) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 8a664b72-bd81-4bb1-8bee-6608f16689f1) + (tstamp fc6b04e8-3058-4831-84d5-b5aeb50f3678) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb30769f-2553-477b-a2ff-8066a5311545)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70b574ff-ab85-4442-8f15-3a6eb5dfc883)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 887b4811-2eeb-488b-b2a1-b1c0acfb0d58)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d441d1b-a671-42f4-ba1b-2ff239194622)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1f33fc50-6511-4814-91f9-28c48857bc4d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9037e5ca-e4a6-41ef-b4a8-ca09b4d43292)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf62cb47-04d0-42e5-a730-c567620b8486)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 816bbafd-f91b-4a51-a770-277de706dadb)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b22761f8-2d03-400b-bee8-35a15ef85d45)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95f222a3-daff-43aa-9bb3-7c68771ed0c6)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ceb70953-f6c6-4f80-9f8e-f0db61fea757)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b46ce9d2-11b2-4757-9358-5649d7ee3929)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d036b7e6-ec9a-4b10-a678-4b1833c7f68b)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6c7c04c5-903b-438f-983a-1dbc682eba0e)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5bab0244-b14c-4049-a0e0-f04b866e65d1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0069032d-d62f-44fe-b4cc-34a0deef1a8b)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7069e266-b632-4bb0-844a-c6319da184f8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 080a5c49-808b-40b1-8b19-0c016f74d27d)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp db686769-5ee9-47fe-8bef-8d8dbd18223a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 11742c9c-001b-4079-a697-05376845a379)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ad81d9fc-68d3-43f2-a6a9-9cf88afa18a8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ca9ac8ff-72a9-4338-a9cd-d94c145a8bea)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a40d3670-3a42-472b-94a7-da9689885557)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4601b22e-3bb9-4ac4-a3ee-eea4a8395bed)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 58ad2944-da14-4348-abe9-39ad2deb4016)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6b8c9943-05d4-4c41-8953-b03a30e39177)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 216 "Net-(D109-PadA)") (pinfunction "A") (pintype "passive") (tstamp a9591659-c3dd-48eb-b757-c86bb699750c)) + (net 209 "Net-(D109-PadA)") (pinfunction "A") (pintype "passive") (tstamp 9bbf1932-fec0-4553-bcfb-bd3b3376a96a)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 217 "GPIO124") (pinfunction "C") (pintype "passive") (tstamp 401bfb16-c010-4a7d-b9b0-4299e99a9e2a)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 210 "GPIO124") (pinfunction "C") (pintype "passive") (tstamp 07edb0e1-5367-49db-9521-7e390ca8cc17)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 0f9cf8b8-6928-403d-a766-ae076b0b2dab) - (at 85.862518 89.311087 180) + (at 85.826844 89.311087 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -1441,44 +1632,44 @@ (attr smd) (fp_text reference "R61" (at 3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 235852e8-a6e2-4895-bfdc-e97850ce8d69) + (tstamp fac216ad-0d88-444b-a9e7-20bccd242bad) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b0ee1f3a-dcf0-4ba7-89bf-04ec07aabc08) + (tstamp 65015740-e458-4206-a39f-6feb3000a0dd) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d39dcc13-6a43-4614-85cd-173db1be0612) + (tstamp 235584e2-857a-4428-aba5-bd76256cb405) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 253759d7-bd01-434c-b506-172fecc1b4f9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aab8c3e0-77b4-4b1d-aacf-dd3863a2fb2f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb6164c4-8be3-4957-bf3b-31b827765e00)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e2f24f5-6253-49dd-80ef-8f31e94d14dc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 66cd0070-d716-4bfd-a9b0-3dfd22df2b8d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02664514-c501-4c2e-9422-31cee0222575)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bfe70765-ab5b-4c5e-84c2-a0ada2b78321)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7074bd6-33db-4b41-8cfd-c9407c6a45b5)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp be6033f9-d997-4298-a13e-78a12d14eb16)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2188796c-f9a2-4f78-ba2b-2c1864c6d9a4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5e96233f-d8b4-41d9-b8c6-5b767003a544)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 68daa424-14d7-4b17-811a-933f1aefe4b7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8a8db8d3-ecc5-428a-afb3-9bd7152a4961)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b5e01b04-a9eb-416b-8e7a-babc110baebb)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 521e0b20-7c31-45a7-bc1d-abe392dc3fde)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b035feb5-9ec0-4608-a839-12c0759e13d9)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c19847a6-75e5-4e20-adc7-0ec1912a80dd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 74d79870-ff35-4cf0-8eb1-c3a64ad2a6b1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a647381-3704-42f7-859d-dd929f090d25)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b3379093-5009-4e42-bef6-6a1442d92db7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0fc8fe98-194d-450f-968c-0691c4cc38c3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 55b7b35d-a2ae-40b4-b025-1cd3550cdb20)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ef4c9dad-7e12-422f-8520-baf23b435e29)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6faf6945-a56a-4a80-baa4-5b46c4458ff3)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 116 "Net-(D59-PadA)") (pintype "passive") (tstamp 1c6df386-cdcc-4e84-9697-6edd7394ed5b)) + (net 113 "Net-(D59-PadA)") (pintype "passive") (tstamp 9fb5b9c4-13d6-4c96-afd6-00166c18eb32)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp ecb38d98-049d-4f29-b65f-90a5d546281a)) + (net 4 "+3V3") (pintype "passive") (tstamp 0daf65b4-3d49-4534-9446-5d5a695081e4)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1503,44 +1694,44 @@ (attr smd) (fp_text reference "R73" (at 3.51661 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dee612b2-d8d8-4e95-aad3-a7ab620e56f9) + (tstamp ab37e583-a6a3-4edc-bd9b-59f56a9b40d6) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8f41fe7c-388b-4345-b607-886dd4061f3e) + (tstamp a477f22e-6789-4d58-9d84-bcbd53811f6e) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 45167c2e-86b4-4c47-857e-47d4d4fa3d61) + (tstamp 709f53c4-defd-4d37-8751-9140eb51b974) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f035cb77-4306-4f04-a68e-2735db0b5310)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 801843c9-0dd1-4787-b354-3c13a2c0d67a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a8cb076-9fad-4c25-b786-a8f7f76e22e5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f3204b77-d853-454b-a346-34f37e49ebd2)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0fa82564-9ec3-4911-a5a5-cf96a197a839)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e580a9d-4e32-4e80-bddc-ee08c4ae5b6b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff25c8c7-9fb5-48ef-b68e-22b4a44b049b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a8e3c95-ef72-455d-9f71-b4dcdb92b7b1)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp be308f10-a524-4427-8676-917930e589fb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 346af5f4-0b6c-4d76-a899-15b4ec6fa7a6)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5359fa58-5586-48eb-bc9b-272e696df975)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b6046b95-e454-4dc2-a7c7-94a9666bbdac)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e686ff16-f1bc-43d3-8219-f145be68a312)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8a4e670f-ef4c-44b8-876a-dd1e5aa7ec3f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c0327bed-7391-42a1-aa06-9636e0917dd9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4e58de02-e0da-448c-abf2-720819fcd4de)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ebcbc83-f791-4ae1-94cc-9f885a285847)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0e756b4d-739d-4204-9eba-26c128b55d59)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e2fae87-68ae-435f-87e9-1ef868546a25)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 95925750-eec1-4d2d-afb8-80692c58e325)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 82be8aef-c4b2-49a0-953c-61009930b8e6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 49726808-3971-41e6-ade0-21f29a630ee8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f818f41c-118a-44fe-9fcc-a13302a35622)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ef33cd34-56af-4e7e-aa84-c0e87cb69e2a)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "Net-(D71-PadA)") (pintype "passive") (tstamp 927b28f1-6da7-43d8-90af-709fea319ef9)) + (net 137 "Net-(D71-PadA)") (pintype "passive") (tstamp f3186415-593c-4347-89b1-4e251d03da8d)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 4b993987-25e4-4a1f-81f1-2fc306bafd57)) + (net 4 "+3V3") (pintype "passive") (tstamp e8da9472-38a9-4b52-b5e7-2d66358c3796)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1548,9 +1739,70 @@ ) ) + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp 10af844f-3ffb-4040-838b-960415ba3350) + (at 183.273274 123.271526 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/77c9f649-8f12-46e0-af62-a3d26a5da4d4") + (attr smd) + (fp_text reference "D97" (at 0.3 -1.39) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ce10feac-2470-41d5-b3ee-3bbd0fd5fcc3) + ) + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3f9d3039-d647-4395-ad99-5963e489a1b6) + ) + (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 0bb409e3-2ae0-48b2-b8f2-a778c0337f9c) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d17887dd-da01-4144-8833-de367a4f644a)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7327060-cee2-42db-9c2c-4623857a45d2)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4e3684d1-4742-48b4-8d62-6d65c87a1bd7)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff05d447-4560-4f64-bc85-c361b390fe05)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09314379-6ff1-46da-a60b-8b6a3c93ad23)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dfe26220-10c6-46a6-9c08-b71c62e7afe6)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp bd7cf2ca-e657-4dcb-8058-d5da2897d7f7)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9112e2d4-d349-446f-9d3c-70b12f97c29f)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9112b7ca-fa59-4a61-b8b4-ad66b6a7a489)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a7f3c268-88c7-46a2-a1d8-b27757592ac1)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63fe861e-d108-4553-87ea-428ecdcc4f74)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 270e495d-7a23-41e4-a21f-157a8d00c7a1)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 17ab0dde-b750-451a-8b07-1fc03a0ce41a)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 394 "GPIO14") (pinfunction "A") (pintype "passive") (tstamp d59516b0-1e4f-4f68-b8f8-8ba77270adfd)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 395 "Net-(D97-PadC)") (pinfunction "C") (pintype "passive") (tstamp fe56a38b-cc25-4154-b1a8-4e71524f133b)) + (model "${MODEL_3D}/LED_Red_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 12a4423b-d1c9-4abc-91ac-f93cd5abee78) - (at 85.876274 50.222223) + (at 85.826844 50.222223) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -1565,44 +1817,44 @@ (attr smd) (fp_text reference "R34" (at -3.319126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 413283e7-c243-4f5f-a40c-fac7782a25de) + (tstamp 5da9d88e-5122-4a74-915e-be04c8edcb16) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 119a7ff3-9755-438b-b221-d3d877621fc9) + (tstamp e2d08fdd-2ed8-4678-ade3-9e53c5084c3f) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp c68aa8c8-2f97-4ed2-ae52-77b8b443ec71) + (tstamp 288ace99-8b4b-4662-a34d-eef8e7b46a55) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc83490f-63f2-4815-9e6c-3bd8c080be0e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c2ff477-bcf2-40bd-8d84-5c189c2ee5a8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02b7fc84-7aac-4a1d-a544-feefacbe39e6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 848da717-bbc7-4a6a-a1ad-45e74e021e30)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4357981-b178-4170-9ec6-a740803bb3d9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac21fa78-ec6d-4faa-a4c1-6059e082bdc8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf586c0f-81b9-4812-84c6-954abdd0502e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c64a82c6-bd97-44c4-90fa-f9816719bdb4)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a0e8b22d-b480-48bc-986a-3156d83e6c2e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cab62fda-563f-4482-be72-f62295d1e503)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 34fd5f30-bb48-46b5-90cf-b6f42ca49e1d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c7e73de0-e7dc-488a-8e1e-7c6b9aa76763)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b76f11ab-d9dc-4fa9-bfac-89a2a2a15f80)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fa12eb19-72e5-4db9-a057-cfadb11f72b1)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6de05022-71e9-4e77-aa2a-d5326cb7f7ea)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e54f1bdf-59f6-41c4-abe8-8eaaf97774f0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7ddf9f77-f884-4c38-b476-7f89680ae8c1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 51a4d1c6-6c46-45ba-a5b2-c0f873b94cd7)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9821038d-0bc6-437b-81a9-ad55697fbb9e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp daa180d5-b93a-402e-8e57-2ace7c94b4dd)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ad6462a1-e106-4db0-8346-49f44a46cce4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3c8db49-e919-4852-9fdc-4b51a8e3c4ef)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 982b3a9d-4465-4fa6-9a4c-a85d4a0f2208)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 186f6998-4fff-4bc3-9ae5-05d79502a02e)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp eccc4e03-8801-4899-ae03-62c8a971d321)) + (net 4 "+3V3") (pintype "passive") (tstamp 5b781417-40a0-433e-bfd4-1e84990504f1)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 65 "Net-(D32-PadA)") (pintype "passive") (tstamp 7a514005-8fb7-4b9e-8346-4bc7accb8890)) + (net 62 "Net-(D32-PadA)") (pintype "passive") (tstamp 766996e6-ebb8-4ed8-a998-3387877c5a15)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1610,132 +1862,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 12db929e-af5a-4644-a35c-bdf6f4d4866c) (at 76.454274 98.451526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8d0a06c5-02b8-4d86-8361-86ebc95d6070") (attr smd) - (fp_text reference "D58" (at -3.433638 0) (layer "F.SilkS") hide + (fp_text reference "D58" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3c3a19b4-e0cd-405c-8ce1-3be4d5ea3f0a) + (tstamp d40baf91-a948-4bd5-b44e-47fcabfc9e83) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp aea5cc4e-9bf6-46f8-8c6a-2c70905500d9) + (tstamp e757baa3-1fd3-4aca-b293-b14122a358c6) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 30bd674c-cef7-4766-a72a-4db23d6ab9bb) + (tstamp d2fe54ae-eeb2-41cf-8939-fbfd6525fdc6) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e61718cd-7a55-4172-be8a-0238e89e8a10)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c1ce480-ee53-4f4f-b9c4-f925e6e4c8db)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d99cea3-bc8f-4f87-899d-437872b64b2d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae45f36f-6260-4020-8dda-f85ff168e81e)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 77889d0b-e687-44dc-ab73-3d68c2becda7)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f83eafc3-9dcc-4215-8992-1e1de49978b4)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46a37bfe-a276-4eaa-8dd7-83b94232925e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 61e2c97f-88e3-4d05-b32a-b75a83c45e62)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5caf9609-29c6-4a96-b582-ef8692a7e06d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c27f9fe7-dca2-407b-a172-e5654e683f03)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8befdcea-07f7-4d21-bfd8-21081bcff297)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98896d54-e355-4628-8ec2-752452054ee3)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9c48d774-c431-4646-9b89-1c5d17f62420)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 489426c0-20d6-44cf-8cd8-7d73504d5dd4)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e68cb075-2b4f-484e-bb0c-b924b8d8c569)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e323106-982a-4482-ad92-354d3a65d23b)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 75e5bd2e-5bc8-46e9-8484-d18682712921)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8ac7d96e-2b34-4cc7-ae36-60c1be3f692b)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7a83e13b-577a-44d3-80fa-9385dd82d30b)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2c57a1d4-52ec-45c5-95cc-b94ef918ad34)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c041fa78-851d-4bb7-96d2-bdc0eb97d055)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63a858a9-3f4f-478c-8744-626d0ae55d9e)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ba32eb8-84cf-42de-9aa0-a7277c274035)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 80ad88db-f2c0-4d20-aaa3-ef99a56a8e70)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1ec26795-1b2e-4b57-9388-231d023ea88f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43226f0c-7e26-4ff8-b820-ff30d94522db)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "Net-(D58-PadA)") (pinfunction "A") (pintype "passive") (tstamp cd8759e5-3c92-4468-b81e-1ff92d6af372)) + (net 111 "Net-(D58-PadA)") (pinfunction "A") (pintype "passive") (tstamp 86240ad7-5d17-460a-91a5-351ffe39b0f9)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 115 "GPIO64") (pinfunction "C") (pintype "passive") (tstamp ba939818-3af3-43bc-84c7-30128c6b6adb)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 112 "GPIO64") (pinfunction "C") (pintype "passive") (tstamp 91b80b24-92c5-4f3a-86e9-21105d13cb27)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 143ead6a-befa-4ea1-a3f0-1142a0bf86a9) - (at 187.5556 55.7672 90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/a7dbcc27-852c-49a2-901c-1a5b481cb0a7") - (attr smd) - (fp_text reference "C30" (at -2.972 0.09 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 583569b8-2bf3-4309-a0aa-41d8fafd0e3b) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp e206f475-7e51-4b46-93f5-a2ff5108b834) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 059fe0ea-5f18-4c70-8408-3b87a8518a95) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6ca161f-566f-4c57-bf22-3aa28f97d8cd)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 39910f30-15ce-40fc-9f53-fe32cf4fffcc)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 90e5465f-53fe-40c2-affe-b04843b88e10)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2a8cf90-a016-4965-b8e0-907cc3c13519)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 46d0e4cf-8054-4da8-be69-38c7e2a4b476)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6d9c5202-54cc-4e7f-adbd-8db4aff05d6b)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c757971f-585b-4b4b-a656-715c1a0dc37b)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2b9f8d82-5afb-4d1a-b2e8-e4a3f3e785b4)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 11fc3708-6022-42ef-966b-0865599ec192)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 533947a5-4087-47e9-86bd-3e8aa5148292)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a5068d47-7471-47b3-a6e4-39c09b77eeb0)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 26e50300-c29b-4df9-91f4-414d9544b43d)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 41534bf5-3f2a-4ea3-a65f-f0b1fe985634)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 388 "Net-(IC3-S7)") (pinfunction "2") (pintype "passive") (tstamp 3ce96c85-8a67-4421-b12a-7c079b249349)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 151bb7f6-ef24-408a-b8da-8df1e6070c82) - (at 184.480474 105.399926 180) + (at 183.690274 99.938926 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -1750,44 +1940,44 @@ (attr smd) (fp_text reference "R111" (at -3.8506 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 150a0e4c-7f91-4965-8ae1-9c18709f7406) + (tstamp b2ca6aa3-bf80-47d2-90f2-de65b1911bf3) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e09f14e7-8f12-4923-8927-927ab76033ad) + (tstamp 5aacc9d3-6782-42b9-a8f8-73d2fde3b00b) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp e29ef8c9-8533-4b35-914f-22191f83d510) + (tstamp 188a238e-d2fa-4dad-8c3c-6085eb259d63) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8a0d1fa-34c1-4203-8ce2-42bf81a91896)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 60e7de4c-1d24-46f5-a077-4a8d4e7fdd3f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b97a1d02-ab5f-4d2e-8603-bad110f85cd1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f6efe1b-89aa-4b8f-afe0-de434b9944e3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00529ee2-dabf-42b1-a439-829fac2a6de0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 910fba82-78e9-40aa-a842-4a8b4bd8bf52)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0a0f0b2-373a-40c5-b028-7c7cad25e923)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7bc7624-e127-419b-97bd-426b37e6b746)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d933a2ef-0a67-478c-a33b-b32357ab1bc2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7ef18135-4e90-4196-a970-201e88e7ba23)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bcf941c8-cb3f-40d0-a439-c56ec3ace0e9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e9c5432-08f3-406f-8f67-a4bc7ee136ee)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7dccb0c6-cd01-4293-b566-48cecf489001)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bc5053cf-2ed1-4214-8824-08dd2bde6b10)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9035a005-d0e8-4304-91d6-dec960a56079)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 765c2ef3-ae22-412e-914a-79dd00bcb0d3)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6f40b968-0313-4875-b13c-87e09cccaaaa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0d0b5a4b-3ca5-4df6-b359-bf607ef05a63)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c12374d2-c6eb-4f93-b425-49be6f62ccc3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3f526f2b-78a2-4dd4-b8ea-b859702c69fb)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd5eae47-d220-47e7-bb12-1f77a01726a4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1b1c56e6-d266-4380-a22f-482962d42cb7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bb28c621-f97c-4ab1-ac05-7dd67b918239)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 835f8cd7-84ab-4e96-95b2-c60057a8fa19)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp a5856d58-27f8-4a79-9ecc-5187888e3b6b)) + (net 4 "+3V3") (pintype "passive") (tstamp 3b8e97b6-d900-4888-8dd8-0183e0a4ec2e)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 216 "Net-(D109-PadA)") (pintype "passive") (tstamp c60f8db9-f221-4983-bb0c-63153bcbfcab)) + (net 209 "Net-(D109-PadA)") (pintype "passive") (tstamp 7bbe13d6-d479-4072-9930-0598b434c2d8)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1797,7 +1987,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 17e0c190-5cff-43aa-bf2b-8fed50c443b2) - (at 85.862518 91.761087) + (at 85.826844 91.761087) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -1812,44 +2002,44 @@ (attr smd) (fp_text reference "R57" (at -3.343126 -0.005108) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b9cf2253-9100-4928-b27a-708f1628cf0e) + (tstamp 9a767c71-6677-4e06-996b-afa061332d01) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d6941a3c-89a6-446b-9102-b455ce80d353) + (tstamp 364bd080-60e8-412f-a668-371baab4b1ab) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 1b6908b8-edff-41dc-8047-27a0f1730b03) + (tstamp 9f380eab-ef5f-47e0-899d-ed221b1a18ca) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 50ca2f45-6954-4e7d-992b-38d5ae563caa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f75daaa-1566-4000-9557-1a6f83bb8c51)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7dc07ae2-726a-41e8-aebd-cc3e05dde0c7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c8950b9b-84a8-4964-896b-20ae210f836c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d96d34be-11d7-45d8-8a31-83158a9169d6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1590ef0a-7b1e-4331-aa6a-0beb9072e724)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fde80dc8-969f-40c5-b9b0-d30ac8b1a00d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6d36088-51f1-4cb1-b935-dbf464120d01)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d76c805b-1e7f-4c77-a77c-cdc386646c86)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 891c84a7-aad5-4d07-ba3d-0ebbc26216e3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 26e29647-f33b-4724-9aa5-74a374170268)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp afafd915-99a5-4ffc-88b7-48d80bdfd6a8)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d9e4927d-4ffe-489b-aa46-3ea4c67198ba)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c21cec12-297e-48fb-8691-0896052cb4bc)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1ada61ca-7641-406e-9210-2fc11e5c7c90)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0717b90d-42db-4d63-951e-d42f409f1254)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 42c7f0b4-1843-4634-a67c-4213b33c2e2a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0da81875-dcf7-4be6-9068-27db4fe89d95)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 17fc0e9f-27ec-43c3-88be-40608b0312d7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7dd5cc08-2b4c-4a2f-a454-3906303276c4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5510fd27-80cb-4bb3-885d-9fdf86556586)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bc2d5ec4-7e8d-4290-9ba8-dd614d5fe855)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc725522-087e-48d2-a39c-f37a41c1dca5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ac68d761-e5d0-4601-8c1a-3c5e486bac87)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp a512938b-91bb-4cb7-a6b9-d7d23f0ee659)) + (net 4 "+3V3") (pintype "passive") (tstamp 2fd23552-bb2e-4d46-b6cc-dc5fe931fc5a)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "Net-(D55-PadA)") (pintype "passive") (tstamp 48e01f03-8db9-491e-a13e-5df4062859fe)) + (net 105 "Net-(D55-PadA)") (pintype "passive") (tstamp b0621c88-6fcc-4805-836d-e798c4741059)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1857,247 +2047,308 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 183fbc65-c698-4e00-88d3-f5cefe29b48b) - (at 107.542874 136.014326 180) - (descr "LED 0402") + (at 107.530474 136.014326 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7a1df872-212b-4e91-9c57-c7f625abc446") (attr smd) - (fp_text reference "D128" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D128" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp fe4cfa22-4e2a-42fc-a390-3437b589921d) + (tstamp 88472d9d-c5f1-43d6-abd8-fff435dccb75) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cab7c3df-cc19-4dc8-96ad-36be50d7f3a7) + (tstamp e394b158-3805-426d-bb3c-1f58f7b9d120) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp be957651-c237-458f-8c20-1c6a05f8ac52) + (tstamp d0169b94-b51d-4d1c-95ba-3644148463aa) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 162bdb61-7c8a-4247-932f-cff4d5c7fd21)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9feaaddd-7ee0-431b-a919-0d6ec6c6ed4b)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7abd1671-b6a9-4556-a435-4aa7e657c70d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 647074e0-3480-49cb-9cbd-a4f53a67ab2a)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f69ebe6b-6ed5-4864-963d-dfe9428c38fc)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d7004968-bce3-4fef-a54a-3127e4e87736)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a161609-7f9a-4c3a-bce3-7dbcceda676d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57a31cd8-518d-4ef2-9b2d-4492db3efc22)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00913d6b-43af-4157-b3cf-10bebe3603d2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd9b5a7f-27a0-48fe-b1de-b75c680e4ad6)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f9067408-c098-4754-b444-154454709342)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4043b262-053f-44a9-ad31-544a473d5347)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9eacaff8-ced2-4aa0-b02e-6f3dce8c0207)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5600d0ab-4b29-4e5d-bd8b-24e5f6a5a82e)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f318d769-4691-491a-a1b1-d0740de888b2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7ee3f17a-ca60-44c1-b1df-bbc55528310a)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cdcf29d6-f1a4-4714-8fcb-151a444ef21c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 75007484-dcbb-499f-a379-9a3ae671c59a)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6cbe6b35-b6ff-412f-8139-1c405e435f19)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp edd6cb26-a00e-4c90-93ad-2919c2231998)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5f5750cf-5c11-480d-b1da-50131b0ca0f1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a694503d-a33d-4cb2-9684-0015aaee0ebe)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9533d833-9ce3-4dbe-ab99-18822c9e7fd0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d31282cd-4601-49bc-a48c-3535bf131acb)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 55818ec9-6592-4855-8d49-1734e566e94c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9c930622-36c2-4e95-ad49-9cd371ae1a25)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 240 "GPIO153") (pinfunction "A") (pintype "passive") (tstamp c3000542-3cfa-4d4e-986e-16e672050158)) + (net 233 "GPIO153") (pinfunction "A") (pintype "passive") (tstamp 785515e4-5261-4e88-a4af-c9f2c12e2e72)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 318 "Net-(D128-PadC)") (pinfunction "C") (pintype "passive") (tstamp bf2a8e31-ca24-49d4-b8bf-1a04e6f8a922)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 311 "Net-(D128-PadC)") (pinfunction "C") (pintype "passive") (tstamp 85ec2137-b53b-434e-93a1-d677cd6efa75)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 18a4b520-7aaf-4ed3-aa7a-0dde56b04195) - (at 93.357274 91.751087 180) - (descr "LED 0402") + (at 93.3216 91.751087 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/21a8f89b-52d1-4ebf-8d52-0574832ba3f3") (attr smd) - (fp_text reference "D55" (at -3.792438 0) (layer "F.SilkS") hide + (fp_text reference "D55" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c6136fbc-8159-4bdf-a4b5-434adb52aeb2) + (tstamp d64575eb-a680-4eca-b7ac-49a9e215918d) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3c800777-1899-466b-b392-86d490346e8a) + (tstamp 79ae4d54-205b-4c59-9034-12ea94d784a7) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp c07f0c90-4b76-4e95-bdcd-b181e500552a) + (tstamp afa44083-0cc5-48d6-8660-4edcfb366c7e) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08436a7d-8919-4712-b767-8cbdc58bfdd6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d376854-9570-4a00-8c30-53c9fc31a4c5)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce6781d3-6992-4ccf-a003-6a7b934c06c9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46ce2908-b98a-4996-8cf8-ddc4bcbac710)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 666d56e1-395f-4fed-8900-b0925f1a7c61)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 290a0803-f4fe-4061-9778-05e06f269248)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 628901f5-dbfa-4a7f-ba23-4487454ceecc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9984b889-4327-446c-978c-f6e6a235cfa2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc7a6c14-640b-42a9-97cb-a84c80b3b13e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7282ccf3-8243-4407-9004-cdfbe6ddee03)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cbb88972-395a-4127-a856-97e0658fe6c7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2602118f-3605-4691-af6a-18cf461d4f2d)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 1c40ae6b-0805-40dc-81c2-26817ad267e7)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp bafba9ae-ec83-4ae5-8f67-a16f871511d8)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32cd2998-ddbb-4fff-97f9-38a2f263bf96)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 76731595-b029-4b63-88f3-2620dac9ce11)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 13f03ded-3fa3-41a6-970c-db1beadb91d9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5f56a088-c9db-45fc-95da-ce530bee13c2)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cb29cd2b-4489-497a-a221-638567422535)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f65ef39b-b64a-4317-8d8a-4cac71cb967a)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e878beba-da4c-4bc4-9300-92005a1a886a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b08773d5-c609-410e-ac1d-15471aa4d8fb)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8db18bee-a77b-44f0-9e2d-11b7f9339f6a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ce7aa0a-5b4b-4140-9edb-2c8ccd88a0a1)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4a01c9aa-a31d-4e68-8afc-82f516aa48a9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dc1446b5-b658-45aa-ae3e-031be10b6ce9)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "Net-(D55-PadA)") (pinfunction "A") (pintype "passive") (tstamp 9e86a3cd-9380-47f6-ab0d-d99f8bfef761)) + (net 105 "Net-(D55-PadA)") (pinfunction "A") (pintype "passive") (tstamp 8b1187b7-d74f-4a9c-97bb-e9340da13348)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 109 "GPIO61") (pinfunction "C") (pintype "passive") (tstamp cb45f6b4-963c-400b-8068-a2069bd1a06a)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 106 "GPIO61") (pinfunction "C") (pintype "passive") (tstamp d2ee8429-055c-4e68-95fc-ce080a99b026)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 18d33e2e-fec9-4308-845a-9de0904566e8) - (at 195.707274 143.953463) - (descr "LED 0402") + (at 197.739274 146.620463) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/76633d1a-d0e4-44bc-850a-01bf1fec3ada") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e69a971d-cbce-4faf-b4e7-4c5bc895b765") (attr smd) - (fp_text reference "D95" (at -3.352809 0.02) (layer "F.SilkS") hide + (fp_text reference "D95" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a8b7c940-ff31-42a9-b5ab-a283e235cbe3) + (tstamp ea2ff9ea-5c53-403c-884e-44a12f493d4a) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 664664df-b721-4e7e-ab3e-3a5f11f39177) + (tstamp fa9d81e6-48a4-4f09-af1b-25d082ac0340) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 842935c9-5e54-4e34-808c-d8b542aa2fba) + (tstamp 800c5691-d5f4-4012-9d48-3dbec28bbeed) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 246866f5-41c3-48c6-9552-038d83a4a1cc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 26c281a6-ce8e-4ee2-8b11-9bd47ea1a1dc)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d119d718-0045-48b7-a841-7955a9666640)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 938465c2-1468-4413-951a-e7f012f975fa)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 624f0d4e-c957-484b-9d8d-3b7b56aec246)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 319c537b-58b3-47dd-8ee6-7a962caf7ee3)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e007768a-7418-4959-aa42-56c64feb3ea8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17c9c17f-1373-42dc-8a35-589a92b80fbd)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 049c68b3-a881-4d75-9626-0bc52feae255)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2c76589-6bcc-4f26-8ed1-8b478854a5f5)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0ad1137-734b-497f-afe7-8a2a2a857de1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 412e0f0b-8513-414b-b4b0-a7d79c2f9fd1)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9ed076ca-d8ce-49c7-a490-99dc1c8552dd)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp faff3dd6-bb4e-48f6-80df-443ca792c672)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 29962c0d-240a-426c-8ebd-cb30b83b8aa2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 186b1f67-a3b9-4987-8e2e-19081c47d640)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2c563cbf-802a-4042-b6c5-704654866b35)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0dd08220-d23f-4658-a99e-297955eddbe7)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 045511a4-9782-4213-a4b9-ba27b723194d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3e671fea-f411-4ec7-9aa3-7909dcf6ba9e)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d092e853-5878-420a-bbd3-4eb3a74e910e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8d83432c-a4f9-4361-ba36-21669b370a17)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 985fd54d-ce7f-47df-82b8-7f2c97c392e1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 98c2dd02-3e49-47f6-a93d-74ac116c278e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2876929d-3a1c-47da-b73e-e61b47242383)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7e37bf77-809b-43a8-b6b7-05d22096389c)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 188 "Net-(D95-PadA)") (pinfunction "A") (pintype "passive") (tstamp abdc3fa2-d76a-4624-97fa-739adea6c0bf)) + (net 184 "Net-(D95-PadA)") (pinfunction "A") (pintype "passive") (tstamp c918acbc-6026-4210-8cbf-17a5df27c1a3)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 169 "GPIO100") (pinfunction "C") (pintype "passive") (tstamp 3a2ae878-85dc-4d56-9fe0-a412f06d2eb2)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 166 "GPIO100") (pinfunction "C") (pintype "passive") (tstamp d0c00730-3949-49c9-bc23-6a883d644bc4)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp 1921ba7b-0ece-44df-8ab4-c75f6f0fc650) + (at 183.273274 118.196526 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/bdd5d523-3fba-4745-80db-ff12d8e6519e") + (attr smd) + (fp_text reference "D103" (at 0.3 -1.39) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a5a7973d-4f4c-4bc9-a645-1fbfe61354dc) + ) + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ea0f897b-a484-4612-b70e-cdd162a047c7) + ) + (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp adf947a8-2cc9-47b7-a32c-44474fa42de1) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2aa8626-14cd-4659-ad85-cc4d04e8b9ea)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74197ba1-556d-4fd0-aa55-d7253a040181)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fb79b438-d7c2-4b08-82a0-92c731ee6a39)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 29cda579-8e08-499d-a035-ef88581edcbe)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c2420506-4e80-4357-94a7-3ba776127de9)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f0ecae8-567a-454f-b9d2-3cdef707c392)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ea052ad1-abc6-4c6d-b458-fd91974ff890)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a2896c6-1415-457d-bc29-f6ac790a00f8)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 584526ab-7199-45cb-880c-7017d23a3ea4)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a7bdfa95-e196-4e81-8607-262108615c4b)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 025516a1-3470-4d73-85e5-1d715038be96)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d30b656-ece8-4d7d-ba0e-816b4285ce40)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6e2e7b03-c4f9-417e-bfe7-1a39e9459934)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 401 "GPIO104") (pinfunction "A") (pintype "passive") (tstamp 5e69ffb6-5ae6-4f64-bbdc-9fffcd751679)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 400 "Net-(D103-PadC)") (pinfunction "C") (pintype "passive") (tstamp a4d3f383-312d-4a94-80b4-8879fbf7ecb5)) + (model "${MODEL_3D}/LED_Red_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 195972ba-f081-4de8-a0a2-8904adf4bf42) - (at 107.593274 148.752726 180) - (descr "LED 0402") + (at 107.530474 148.752726 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/dfefda44-8709-4b86-a51f-da633141e8c2") (attr smd) - (fp_text reference "D133" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D133" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e2d0dd59-c9c3-400b-bf1a-2f39fe6e1388) + (tstamp b42262b6-3153-4b5c-aa0b-2fdd03f18e30) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b14419ac-60dd-4551-abc7-6ef7734deb7a) + (tstamp 1bcf522b-6e6b-43f2-ac7a-98c45d787d08) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 65b2916c-b804-46fd-8c40-2f7193c041cf) + (tstamp 3060addc-dfb7-44c1-8add-4a5fd762deb7) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 515c8910-8572-4949-b0da-199a1c81e4b0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 14382331-ee1d-4fee-9893-0660b9874c0d)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db67847a-825e-490d-9128-4985cfd02500)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d200bcce-0218-450d-ba30-7a0b6abea290)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d6153906-9f37-4757-bb84-c28c52110450)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 83df208a-710f-4922-b41e-714c67afd8c6)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1dc7046-6a0d-4602-9aee-84f143a39023)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0903da51-4d67-41a1-9653-b2e315ef7564)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8b706b2-7e52-42df-8a14-63669b982314)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 27825b5b-58be-4070-b279-b38a29c24c79)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ead1c36-7584-459c-9551-66d7598da3c1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c55dace8-f9bd-489e-990e-8495e64bd870)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 62079ebc-a043-4ebf-9b32-2c0f48e5b84d)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9667f45d-ed54-42b9-bb55-24302760002d)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be4bb842-f58c-4537-b6ac-1326f66e079b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fbfff89b-fee2-4aec-ab95-717e4761147e)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp abd1b06c-73f5-4151-aadd-dc2588f126de)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2ad46828-7911-4e24-942a-6d9f44723ab4)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1faf2e91-e064-4b0d-a3fc-e451a636cd01)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cc8205ae-8b1f-4fdf-a774-c51e3f474265)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4a96bc5-fbad-4958-816e-b0a0d3a6def4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8532467-a209-4164-bd18-60678c7bb6d8)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ee032486-cd1a-4064-b6f1-ab0edd4bb3b9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b5eec704-8074-4b82-80e0-33d7bff59a3b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7f4f6e6e-cf35-4d52-949b-575804808413)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7e99ab0f-ded8-410c-a5f9-4a3cb51fa04b)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 245 "GPIO158") (pinfunction "A") (pintype "passive") (tstamp 073bc623-40ca-40d4-956a-25e16a5c1697)) + (net 238 "GPIO158") (pinfunction "A") (pintype "passive") (tstamp 345808b2-02f4-4394-8075-32b563e4b4b1)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 323 "Net-(D133-PadC)") (pinfunction "C") (pintype "passive") (tstamp 38c94cce-81d4-4093-9933-6b08c9bab240)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 316 "Net-(D133-PadC)") (pinfunction "C") (pintype "passive") (tstamp e158e8fc-2847-4ad5-b762-7524ba81245f)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -2106,56 +2357,57 @@ (at 170.598674 47.482926) (descr "TSW-102-07-G-T-1") (tags "Connector") + (property "Manufacturer" "SAMTEC") (property "Manufacturer_Name" "SAMTEC") - (property "Sheetfile" "LCB.kicad_sch") - (property "Sheetname" "LCB - Page 3") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "CONN HEADER 6 POS .100\"") (property "manf#" "TSW-102-07-G-T") (property "mouser#" "200-TSW10207GT") - (path "/f389d43a-46bd-45af-bdb9-50b1ba4b1003/e2647ddc-728a-47a4-abbc-cfb8f7c1ec42") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/c774ef9b-ee60-49f1-9cf0-1957ad6f1590") (attr through_hole) (fp_text reference "J2" (at -0.0254 4.8006) (layer "F.SilkS") - (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp d9cd240e-5c77-42bc-91fe-7c108eada1fe) + (effects (font (size 1.27 1.27) (thickness 0.15875))) + (tstamp 9787bacf-8d24-400d-84aa-7f369465f38f) ) (fp_text value "TSW-102-07-G-T" (at -0.02 8.28) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 34bab8db-ee2f-4f58-a7d0-6496058dd3b5) + (tstamp e795b189-8135-4ed7-a5f2-197f1fd423eb) ) (fp_text user "${REFERENCE}" (at -0.02 5.75) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp e2168122-3f93-464d-801e-c883afcf38a5) + (tstamp 3c66344b-5506-4862-84dd-eb751cd377e7) ) (fp_rect (start -2.51 -3.86) (end 2.6 3.78) - (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp 86999180-2b57-49d1-bbf9-76db106c8471)) + (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp 57a9ff1b-b55e-4db2-9041-2d66c9034e9c)) (fp_line (start -2.75 -4.1) (end 2.83 -4.1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2797fdbd-10e3-45d4-bea9-3887092779b6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5197019d-2748-40d9-a1cf-5ee425d1dd76)) (fp_line (start -2.75 4.02) (end -2.75 -4.1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52603330-48e2-4194-84c0-bfd0ae91e39a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 364df7d3-c51e-491d-89a2-4ebea0a4e147)) (fp_line (start 2.83 -4.1) (end 2.83 4.02) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4b4a1397-ca3d-446a-b4eb-9338424570c6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae70a431-958a-4b3b-8726-08b2a8df85ce)) (fp_line (start 2.83 4.02) (end -2.75 4.02) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00e6b121-c404-4158-84e3-6a4ad733a413)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d3b47c82-2155-4386-a299-e50c9d24853b)) (fp_line (start -2.5 -3.85) (end 2.58 -3.85) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70707806-33a7-4d82-a92f-f793f3e0e0cb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce4bd190-4324-4f0d-a79e-0dae860c162e)) (fp_line (start -2.5 3.77) (end -2.5 -3.85) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c37189f-9c14-412a-87b6-f07843e05208)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3df32eb2-31da-4229-b02d-a0eec569e11e)) (fp_line (start 2.58 -3.85) (end 2.58 3.77) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a8c05184-0a84-4d39-9de6-118a418fa358)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9a4c1a03-9cc4-4b4d-9fdc-ff6c3e41e213)) (fp_line (start 2.58 3.77) (end -2.5 3.77) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88d0e6b3-edd9-4f17-abbc-4372fd58f632)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fc1b57f2-6a59-43b1-a0e2-2cdd4bb26ce5)) (pad "1" thru_hole rect (at -1.23 2.5) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 393 "Net-(U2A-ADCINA0{slash}DACOUTA{slash}internal_50k_pull_down)") (pinfunction "1") (pintype "passive") (tstamp 23a5b234-a60a-48bd-9a13-ce0c5971c0a2)) + (net 386 "DAC_A") (pinfunction "1") (pintype "passive") (tstamp d37e39c0-0253-4905-bcf3-402ddd448f1c)) (pad "2" thru_hole circle (at -1.23 -0.04) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 391 "Net-(U2A-ADCINA1{slash}DACOUTB{slash}internal_50k_pull_down)") (pinfunction "2") (pintype "passive") (tstamp 413f2114-f0f8-4094-9c32-42478b276ca6)) + (net 384 "DAC_B") (pinfunction "2") (pintype "passive") (tstamp fa31ffaa-c381-40d1-905c-bea615d6d279)) (pad "3" thru_hole circle (at -1.23 -2.58) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 390 "Net-(U2A-ADCINB1{slash}DACOUTC{slash}internal_50k_pull_down)") (pinfunction "3") (pintype "passive") (tstamp 01554f8a-ced4-4adb-a4a4-a2345230afe1)) + (net 383 "DAC_C") (pinfunction "3") (pintype "passive") (tstamp df181e86-c624-4faa-bdfd-fbce7d2bbcfc)) (pad "4" thru_hole circle (at 1.31 2.5) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 260 "DACOUTA") (pinfunction "4") (pintype "passive") (tstamp 28ae0fb4-c2d3-4947-a6b6-46b3fe5bffe4)) + (net 385 "Net-(IC4A-+)") (pinfunction "4") (pintype "passive") (tstamp ac315e68-5b4c-457f-9b93-ec24ad4130f9)) (pad "5" thru_hole circle (at 1.31 -0.04) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 261 "DACOUTB") (pinfunction "5") (pintype "passive") (tstamp e596620a-34c5-4cdd-844f-9a6a8c1dcc11)) + (net 388 "Net-(IC4B-+)") (pinfunction "5") (pintype "passive") (tstamp ca6d4eed-e517-40a7-a6d2-df38ff6ab4ad)) (pad "6" thru_hole circle (at 1.31 -2.58) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 392 "DACOUTC") (pinfunction "6") (pintype "passive") (tstamp 548cb9a0-061c-444a-aab1-6c81732f7f15)) + (net 387 "Net-(IC4C-+)") (pinfunction "6") (pintype "passive") (tstamp 33da2700-16a8-4946-8592-b46a0dcf0e85)) (model "${MODEL_3D}/TSW-102-07-G-T.stp" (offset (xyz -1.2 -2.5 0)) (scale (xyz 1 1 1)) @@ -2165,7 +2417,7 @@ (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") (tstamp 198c0db9-118d-4394-a803-fae540fb7c27) - (at 192.8876 79.1252 -90) + (at 192.8876 79.068526 -90) (descr "C 0603") (property "Manufacturer" "TDK") (property "Mfr. No" "") @@ -2176,48 +2428,48 @@ (property "ki_keywords" "C capacitor") (property "manf#" "C1608X5R1H104K") (property "mouser#" "810-C1608X5R1H104K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/d286f69e-9a8c-410b-beee-ece8a6daf90b") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/f4133ec9-cc17-4e6b-a79a-8d37ee790d3c") (attr smd) - (fp_text reference "C6" (at 2.54 0 90) (layer "F.SilkS") + (fp_text reference "C6" (at 2.349619 -0.037674 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 303e0404-f2fd-4866-b37b-05603881284c) + (tstamp b3d70ac7-3f49-468b-987a-bee9b4ee6372) ) (fp_text value "100n" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3785d548-bc1a-45ed-9858-32d08f11fce0) + (tstamp f91427cd-771f-4e0b-98dc-4cbd4ea44473) ) (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp ab30c246-725f-4b41-99ed-8f0e51a4c522) + (tstamp a21d88f3-d29c-4c9d-9ecd-7bb0f4e5e376) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a52b7f82-0395-4184-9e49-c20fd4014cb6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5aa54c03-da9e-474d-93e5-251fb9707b68)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52515e97-2aa8-4fb7-903d-401e30a92bc6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp faa895a0-1677-4919-9f44-ac34711a886a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6243481-d797-436e-ae4e-94ce2bae9ea9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b29f10f2-571e-40cc-ad21-915d87d2e1eb)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1241922f-e232-4048-b6cd-307e7de17924)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dbe55ce4-c35b-44d1-b189-c0e263a601b2)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7e9a4179-1cab-4ae6-a653-d982769c94a1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e310cc35-0119-4cd3-878d-5856aaac2417)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5add97c8-42b2-47df-8ac6-30955729a4db)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c70a11e0-7240-4507-9f88-8da84f77b63f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 95555af4-26bd-41d1-9709-0470f068234a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 100d7269-8a68-4d9f-a195-025f3ed14f0f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e8bb856-84d8-4e61-a59e-da138c5dc7bf)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e0ff98d3-7b88-44d5-81da-5d2de116a698)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 58ddebb9-e0d2-4d59-aeb1-193929a236cf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1f27f285-d686-475d-b735-fda15bafabb4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2646b038-a7c2-4e38-b8c3-734587d13b49)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4d110465-4433-405f-9d28-d32091dc9e4d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1726455a-8c3e-4db5-910e-0e05d25ab6d0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 36144b49-27e0-4aae-9e4a-df3476650cad)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 36003e1c-4f2e-433d-9fff-f9af209257ed)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d3be3c3-e48e-4ad5-841e-22b1ae499f42)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 395 "Net-(IC2-VDD)") (pinfunction "1") (pintype "passive") (tstamp acfac870-049c-4370-8d81-e4b396b1fd1e)) + (net 306 "Net-(IC2-EN)") (pinfunction "1") (pintype "passive") (tstamp 16745af1-62c7-4df8-b197-ff407bfe3307)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp c1e8eaa7-4281-47ed-990d-af50adc6a827)) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp e7197053-de2e-4c6d-b0cd-0b09f8db5d6d)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2227,7 +2479,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 19e0bb05-27d2-4ca6-be0e-f26ec6175033) - (at 85.862518 81.581087 180) + (at 85.826844 81.581087 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -2242,44 +2494,44 @@ (attr smd) (fp_text reference "R117" (at 3.807317 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4342f932-3225-4979-a476-4d90ea25b12c) + (tstamp 2b5bfc19-0b30-42dd-8c6f-52e32aa3395e) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f0a0ee8a-3bc3-4221-86d0-03e249fa2ca9) + (tstamp 6d0ce13b-15c0-4b7e-899b-09c3818ff7fc) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0b7f8d25-72dc-4adf-bb33-21c1394b632d) + (tstamp 50a7615f-7b59-42f9-8e79-b41e65c69655) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b34dbc0c-10e3-428c-940c-a85da3cc0b7b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 48d5b4de-4028-4027-ab1a-65c3914ef02b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f5c30317-15ee-4858-acd9-0c085c6dafb4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b553d172-aecb-4d63-98b6-4a33dbc96c7d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 781369d3-dc73-4d97-96ad-abed490186d0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 742d4308-a336-4480-8334-96c4cc6deb1d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 063c0b84-190d-4e3e-ba3a-c8b965956477)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eb98784e-25e8-4f1a-a6d4-48d6ca71413e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d46214f2-1679-4345-a755-6e42d0adbade)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3b0efcb9-f012-4514-94b4-1189d3eaaf79)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 960707d8-f0bf-45c9-9549-383f70af0ee4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fd212300-bb96-4b1b-8d24-2f12915dad47)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1a1e4ff3-610d-4d18-a2bd-234ab2592a72)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3271f6c7-e6ce-4735-b973-7ad64ec7c2a8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3a124cc8-483b-4521-9354-2f606808d580)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e4921e84-f969-4255-8b2d-45feada24e52)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8622c525-984c-451f-9442-9e531e096d94)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 352c9fc7-31c0-47ce-a31a-1a599e00b54f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 65dab448-561c-465a-ba81-0316b0ebd17c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6a21e90-fd26-4060-aab1-572ca7de0f80)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 953aa273-90f2-47fa-89e1-7bad1b73a7a4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 441a9c58-74b4-4a58-9233-b91beacfa4b5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4b54af9a-b540-4df1-8980-d582d02e751f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp db6d5e8a-30ca-4304-a11a-62429f9de48f)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 343 "Net-(D115-PadA)") (pintype "passive") (tstamp 7f63835c-69a2-48e8-9171-c0dbb0762b41)) + (net 336 "Net-(D115-PadA)") (pintype "passive") (tstamp f162c5ad-fede-4aba-8c37-39e649fa54e5)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp e179a95f-679f-4d37-9802-59a3c9cd25ec)) + (net 4 "+3V3") (pintype "passive") (tstamp 1c452bf9-01c2-4529-82df-9eb5237cccdd)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2287,132 +2539,255 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 19f0de34-01ac-4563-9e75-0da9c4fe5a71) - (at 188.3176 43.5752 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp 1a81f4e3-dbfc-467f-b45f-5818eabaa9f3) + (at 76.454274 82.224126 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") + (property "manf#" "APHD1608LVBC/D") + (property "mouser#" "604-APHD1608LVBCD") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/f7fb2013-0ad3-43f7-a8c0-5ed43feffab8") + (attr smd) + (fp_text reference "D117" (at 0.3 -1.39 180) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ce0b9c72-abd6-4f7e-b332-93a8ce7e12be) + ) + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 020c3ab9-0dd5-4061-92ce-effb4f3eac5a) + ) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 30d64968-598c-4eac-bd11-f9dea55b1e4d) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c2d565a-cd77-4af1-97ed-bd2397585996)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6ae1a760-915e-48b5-8ece-618587fb317d)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 798a4e4b-04b3-4bb7-8501-dfadefb36485)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ad1996e-72ff-457c-8d35-1f2d7ee54ecb)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 14555c5b-5be0-4fb8-be82-0a6fdac64602)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac4af172-d81b-4261-ac65-19d96c16b759)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d6e65e5c-8633-4dd9-9e11-4b2da69fcef1)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f8ab4c02-372d-4ee9-a37a-141eaa49290b)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed1ac150-660a-44e6-ae9a-f8690543a772)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cdb2101b-87bf-43d5-ad19-113ff96441d4)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d85e1060-8313-4738-85d1-cfcc9b6aae33)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1a31445b-ecfa-4250-a997-95c75a23b57e)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 817eea51-e00c-4572-82d4-f70229a4c7cd)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 338 "Net-(D117-PadA)") (pinfunction "A") (pintype "passive") (tstamp b97bf3bf-9e7b-45c7-9012-216e8872304d)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 213 "GPIO126") (pinfunction "C") (pintype "passive") (tstamp 694979b4-1b77-4235-a37c-5f2b178416fa)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 1ace8fd3-3f3b-4d36-a7c0-367acf7a5bed) + (at 191.147274 55.7672 -90) + (descr "C 0402") + (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") (property "Sheetfile" "ADCINs.kicad_sch") (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/cd60ad22-0c14-4841-b5d3-df6eb188b482") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/d5d76cdc-6d3f-4a50-8f67-8e514e645fcf") (attr smd) - (fp_text reference "C26" (at -3.048 0 90) (layer "F.SilkS") + (fp_text reference "R174" (at 3.314 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp db9a16a1-181a-409f-b646-1c08216e5c5c) + (tstamp 718dd967-626a-4d84-b8f7-349ab5b83120) ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4adf7cbd-88a6-4353-a466-e8badc1698e4) + (tstamp d10d6952-1ea7-4c98-a2df-0f201d709900) ) (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 63f90939-f2fa-4353-90bd-440f089cbdca) + (tstamp c63b3891-c507-40f1-ad46-a2fb6500164a) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b0180c2-ba9a-4c10-a4b3-07fa38611cbc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 12213268-f7cf-4a6d-afef-c09b0b68800c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 347635a2-9d23-4304-9dd3-c70cf5a59ab2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86ea2c80-2d6d-48bf-a947-db283f3d63f7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91f0d6d0-2629-4b29-9427-4fb46ff16ce6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a3547652-8df7-446f-892a-4243bd767a77)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e56cf31e-dab1-4a1d-9c9c-3d82609773e6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e05cde76-3a9e-4d78-8204-4a6563b3a2ad)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e689d6cd-822f-4b3b-a264-44a1b967e04d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 87c0a51f-4833-4d49-8676-575a096f45b4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp daffd4b5-291b-4375-831e-0cf7db9f4d60)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c33a9a85-77d0-4ca6-ae29-0c40f889789b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3cf9e282-cc36-42be-bc1c-26e6c0daba2a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 499291be-ff1a-4fd7-8de1-3905a098d31b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6f680e72-8930-4cf7-bd41-38e3f09b935e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e57e1830-668b-481b-96cb-29792068fee2)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 382d1db9-748a-4c17-b12e-495e5db2b1a1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0cb88319-ba8a-475e-ba92-57652f006e81)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a580e30c-13ca-4f22-9dd3-081c96ca6c9f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a11d0687-7066-4c5b-a8c6-8b2e45d7ac39)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 22143f13-cc1d-49ed-9043-78d971179f65)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 14ee01af-253d-419c-9725-1f255df8d034)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4035e1e2-cb2a-46f6-9fe2-8b0486010e07)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5bfdd46e-3f9f-4484-9ebc-9b790e0f90ef)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 0db0996c-c7fc-4b06-966c-d927a5a94d12)) + (net 379 "Net-(IC3-S5)") (pintype "passive") (tstamp b9ead396-13c2-40c5-8704-4af785fc7199)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 384 "Net-(IC3-S3)") (pinfunction "2") (pintype "passive") (tstamp 7566335b-09e3-4ba3-a7ba-fd0109db6e2a)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (net 2 "GND") (pintype "passive") (tstamp 3fba3ef2-4246-4025-9e62-4d115f5508ab)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 1a81f4e3-dbfc-467f-b45f-5818eabaa9f3) - (at 76.44043 82.224126 180) - (descr "LED 0402") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 1ae590a6-c1a8-4f1f-9c97-5d776198d221) + (at 85.826844 97.001087 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/33cdef0f-9ec7-46a3-a26c-24b0596488e9") + (attr smd) + (fp_text reference "R69" (at 3.319126 0) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bda3d0aa-40f8-4cb0-8bb7-6b11b9704034) + ) + (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c5ce98c9-62d0-4463-941c-2ebd1eeef20d) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp e1bf7bf7-19f0-4efa-af27-e787bf8068a2) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73617c5b-1228-4aa4-b26b-f761bfca834f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd2e9c78-7c91-4af2-b037-7da8bff1fc45)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 11335c89-3303-48fa-94c7-4090b276225a)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c4b00411-e8aa-4f10-940e-bf62879b6956)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5a0bae3e-9f46-4442-98a8-aacb59330dc3)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b3573842-ffd3-406c-adfe-1db293a3116e)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3511dc9b-d2ca-46ad-a58b-d7d46d38b84e)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ed933709-7c5d-40ff-b931-6333d015fc80)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c72f6d48-a59b-4a99-8026-e0d58e3f5eae)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 423528b2-7c33-40f1-ae88-bb7938d53936)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cc955967-86ad-4265-b4ce-ecfe78f05952)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4a495ba6-74b6-4737-8e10-82b4b1ee261a)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 129 "Net-(D67-PadA)") (pintype "passive") (tstamp a6892024-d7dc-467b-a201-77e5cc686e68)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 89341da4-7d4d-4e8d-aa64-08307be82362)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp 1ae88b84-fd6f-4377-b1e2-aca5da6dbbd8) + (at 93.3216 113.1652 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/f7fb2013-0ad3-43f7-a8c0-5ed43feffab8") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/0282d0ec-bf99-4535-976d-c3565bc34092") (attr smd) - (fp_text reference "D117" (at -4.303303 0) (layer "F.SilkS") hide + (fp_text reference "D72" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 20552eb5-e6b4-4ad1-87d9-a82389963525) + (tstamp ee9b29e8-2a14-4b45-b457-48947fed271c) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp fcbfa680-5631-431d-8168-cfe48681e8ae) + (tstamp 201ac536-7bc1-4071-8ba1-4e0697dd5d1b) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp ae716a7d-dec8-49e2-83e9-8fae75f4c281) + (tstamp bf7ab0b7-fc0b-4264-8c1d-882ccd9281d7) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e120d5d-eb37-4b83-ab1b-516d23b1395f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 585416b9-8715-4142-b501-b7c968d00b5c)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac0a50e2-654f-450a-81ae-7fefd86da152)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp de4ae9fe-fb7f-4620-a06a-cfc19d473396)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1f9519d7-baf6-4f26-91de-f0a1dafc9703)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0d3ce2d7-f69d-4f6f-89d1-046789e805ed)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c18e569b-c1d1-491f-a91b-6691547aa17f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5021935a-c4db-467d-85cc-f775561c6d9b)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a424550d-8db8-4d82-95db-65f6dc7c93f9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 99b1f9b9-93ec-4506-b5b9-639213b01ab4)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e46344c9-3852-4aa5-983c-53252d893e2d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b73c96cc-5be2-4ae7-853e-797c93235dde)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 80c866a6-a7f4-4456-a96b-9d94d6cf609e)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp eaa6eca8-2444-446d-a18f-40d7cc424dc6)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b268bf63-7831-4715-8373-4cdeab4cce4b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f73039d-85a7-4c75-8a55-4569dcd81448)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fa5e3a97-2eb2-4df5-acdd-07eaf63bd8e1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 06d12e17-a2f6-4c7b-a6d8-2e86924831dd)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 13d8d55c-dc12-4109-8e4c-14241b728fbe)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b7ed4223-0c4b-42f8-85a8-758f5fa02c89)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7aa203b1-ac0b-4dd5-9075-cf95a399d616)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6a528d3f-83da-4b27-962f-a8ffdc1f7b29)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0248c46-e171-4f6d-945e-e78a69930cfc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d412ccd2-3ced-4cf4-be95-e3561e8f08b5)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 911631aa-fd11-4964-adf6-d8d277746450)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a9989d2-3b7c-456e-bbc1-411e4c3ee84a)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 345 "Net-(D117-PadA)") (pinfunction "A") (pintype "passive") (tstamp 7bb59382-f0c1-413f-add2-465861e8b9e9)) + (net 139 "Net-(D72-PadA)") (pinfunction "A") (pintype "passive") (tstamp dfb328f1-d6eb-447e-be6b-c590189bc456)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 220 "GPIO126") (pinfunction "C") (pintype "passive") (tstamp 9f3dc7fd-5066-4bde-81c3-605dae2b4301)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 140 "GPIO81") (pinfunction "C") (pintype "passive") (tstamp 5ed18245-4229-4b1a-b49b-a42c0b43ca5b)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 1ae590a6-c1a8-4f1f-9c97-5d776198d221) - (at 85.862518 97.001087 180) + (tstamp 1b01ee0a-33a2-4126-bac4-fd76c98cbbbc) + (at 69.203634 43.521126) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -2423,48 +2798,48 @@ (property "ki_keywords" "R resistor") (property "manf#" "CRCW0603100RFKEAC") (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/33cdef0f-9ec7-46a3-a26c-24b0596488e9") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/242d97b1-9b30-4bd3-8339-5a3083d05b64") (attr smd) - (fp_text reference "R69" (at 3.319126 0) (layer "F.SilkS") hide + (fp_text reference "R109" (at -3.857839 -0.005) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0d583740-5979-4435-9c63-fe119f004a01) + (tstamp 9ac319c8-e47d-463d-9146-42f638c2c56e) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e2169dc5-5fae-4054-b331-dac47fb62045) + (tstamp c005d29c-9471-4514-bbf3-3e1c38bf5e97) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 5d1f825b-3a23-4e8d-95a8-bf97b9e625c5) + (tstamp c9b11e5c-3abb-4452-90b9-46485c890ed9) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 892fbef7-44c8-4a25-ac62-00ce28e78234)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae82e186-8e11-4441-991c-11dc8afca02f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7c911f1-4567-40d9-a57a-329c767aaa3c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9983d71d-9976-4eca-bd76-5ce2496220ed)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ee4e53c-532d-414c-9efd-1473a7d780dc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6ed1579c-1400-4968-973c-50fe4221556d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 94f00d10-64c2-4f38-962a-aaa019f3c695)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6661565a-78ad-4d42-89b3-bc926ef6747e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp edc102c4-d811-4c01-a82d-6bd7673ad676)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 14ca2ba6-144c-4f5b-a591-788f5b823d68)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1b2dd9a2-c53b-4f0a-9c0e-1cac3abdb605)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f925f55f-57ae-4aa2-82a4-fb68b0e0c19f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a5b35a0b-0feb-429b-be4d-d1aa8a341ba2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4f963484-b7a1-49c6-9b78-daccb5454b0c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b7b023c3-bccd-4074-a24f-52a127345606)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 42ce563d-bc9e-4378-9b11-24242ee82917)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 13b18f89-6a67-4e39-83b1-060f8dd48fe1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e307e108-9494-4a83-9391-2b53fa97ab62)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3ff434cb-d3fc-465e-baeb-eccea8cb3463)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp acfd3544-13e5-4dbf-8d95-ad859034b732)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0b182b51-ecbb-45b9-9b8e-6890668d797a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72a91e6b-df65-4a69-965c-84991037e3a9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 189b3164-3409-4944-80ab-e06ed7da31fc)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "Net-(D67-PadA)") (pintype "passive") (tstamp aba6de4f-8a52-446e-b1e0-caaf9a8e963f)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp ff0d09dc-2709-44a1-9d62-b9ed52571f0e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4254907f-b14c-4960-a5b0-2b4631e7ed37)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 1e6268f7-4be9-43d0-b028-1b73b9995a47)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 205 "Net-(D107-PadA)") (pintype "passive") (tstamp 92de2318-e95e-41ab-9273-996c194c4e0f)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2472,122 +2847,122 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 1ae88b84-fd6f-4377-b1e2-aca5da6dbbd8) - (at 93.3216 113.1652 180) - (descr "LED 0402") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp 1b0ab2e8-5669-4cf1-a7fb-f35c4c25e8a5) + (at 176.300274 94.955526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/0282d0ec-bf99-4535-976d-c3565bc34092") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/48aceb35-5fdd-42ae-ade5-172a3c230054") (attr smd) - (fp_text reference "D72" (at -3.787966 0) (layer "F.SilkS") hide + (fp_text reference "D76" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 56010437-a3ff-4d2b-8f8a-39ea17ac148d) + (tstamp 2516ba15-e80e-4ff2-b7f0-c03289ed4902) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 569a3733-ef08-4514-affb-8950dc09284a) + (tstamp eb19ceb5-ab1b-46ba-87c7-7fb880b78753) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp fc0c74e1-3540-4364-9a6f-b096b785f21e) + (tstamp 5acafcc8-a87f-4faf-a76e-21114ad182c5) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a3511f2f-df31-4266-a638-66ff966d5a1a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69743e45-6f65-41f9-a793-51067bc9cd75)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2dbb6192-c052-445a-8b25-da3d0b872385)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98311ec6-5ef2-480e-b81d-74e56b485542)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1aa34000-3060-4d90-9dbe-5b66137fbcf1)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2f991da0-4fed-471b-872a-5270490a1095)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1c61086-3954-444d-bbd4-83fe560f1c1d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8331ce50-911b-4f96-83d1-e9843da5f2f8)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5af36de4-9605-426f-8911-3a4667493960)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6879dee-367b-4fad-98fc-577831532b31)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ccfa5c5-4de0-4844-9e2f-fd4fc793aada)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fccd1b74-6ab7-4268-91e9-44e237aa3afc)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 181ec944-21cc-42e6-a0cd-eb4b12a0452c)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp af52d7a6-47ef-409e-910b-e48ee52c9726)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bd040f35-26b9-44f1-a565-9b600ad64784)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c2473e20-2c06-4b1e-bc88-d8a62d9f71b6)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e10c3d7c-3cd7-4555-b6b1-ed925ef8ba49)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0bd4ed8d-4a3d-4c80-ad4d-6c47063c965f)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp de452c1a-d02d-4e2a-b182-50862b9a5e77)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 695ff6f0-47d7-4668-9662-ccb21c2b69e8)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bf612dcc-3be6-494e-be12-3c515a31de49)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fd6dbccc-53e8-4daa-a8fb-51ea57616100)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3547b2f4-df8a-414e-8b52-26fc0a1982f9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bcf35cc7-f570-43b6-9c59-259bfbe19e01)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e0e318d8-b359-4606-a560-4fdacd7e8385)) - (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 142 "Net-(D72-PadA)") (pinfunction "A") (pintype "passive") (tstamp c3d48128-13d9-41b2-a0b7-aadb3f4f3bb9)) - (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 143 "GPIO81") (pinfunction "C") (pintype "passive") (tstamp 9e45a7f9-3229-4b68-9bf3-cf95faf1f92c)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a296a24f-0d6d-4eae-bec7-59e0b12aa4d5)) + (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 391 "Net-(D76-PadA)") (pinfunction "A") (pintype "passive") (tstamp d3ac197b-19b9-4773-a6a0-88f336022914)) + (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 197 "GPIO117") (pinfunction "C") (pintype "passive") (tstamp ce224ef2-330c-4ce4-b8a6-2c7d016b10a2)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 1b01ee0a-33a2-4126-bac4-fd76c98cbbbc) - (at 69.100274 43.521126) + (tstamp 1bca9572-cd70-4406-9a6f-2cfd5a41f9f8) + (at 186.194274 66.634526 90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/242d97b1-9b30-4bd3-8339-5a3083d05b64") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/d0ec0764-6cc9-438e-8420-cab2ac8655db") (attr smd) - (fp_text reference "R109" (at -3.857839 -0.005) (layer "F.SilkS") hide + (fp_text reference "R162" (at 3.175 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp d6ae64b1-3a36-4615-b414-91208d36c811) + (tstamp 7d56e34d-df8b-4248-aa3f-90a0243bc30a) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 70b52e87-e700-468d-b8c1-dc3afee9298e) + (tstamp 8e0b7897-66d3-4d7c-b16c-2c6450c5818d) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 7fd1d1b0-123d-4907-95ca-3c4a60349c4f) + (tstamp aa044923-0d55-478c-b54f-50583a1e74b5) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c53d6799-73d8-4aa0-a87b-a2e6d3e2bc6b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a24a207-2689-429c-95fa-c6ab13a98c5a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e14c597-b8b9-44ad-a931-3cf536e0fb69)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bc87dd45-2b96-4db6-9c83-e11be3f93ddc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aef41bc2-840b-4016-91f0-8abebfb16a8e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77e39226-e5c7-4b88-91e6-7d83cc7648de)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f63d5fab-5f38-4f47-846a-da1648122dab)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8686757-2b9f-48a1-b2bb-b15718b0d8ee)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp efc53e8d-2221-4ca3-924f-09d398ac2930)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 66b6573a-3545-4154-911d-a46a4eae49a1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 33209479-da87-4bcd-85ad-15b0cc278304)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 01f3074e-5041-4c46-a462-55ddda1f8b71)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2827ba6f-08dc-4a1d-9a93-3cbad9382357)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6a5f0faa-9cdd-45b2-90e1-71f4e4d55849)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a7abb030-aa9c-4e55-9ffb-90478947b8d2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 998ecaf4-a51d-4a6b-bfce-97587eeb5d0c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 40c622a9-6d03-40f0-8950-0050a9e32747)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63678f43-420f-4fc1-91c3-fd4641bda10e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7de60362-670b-4b7f-baad-ba13ec1b492b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp adcc6ced-7cc1-4064-adae-7e2593a64c4c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fd35511b-19bb-44a3-9728-2ce4f8143fdb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 70ab1141-6e77-42b3-9aea-c0d50195c4e6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 93a07460-f8e3-44cf-8b6a-268255c61405)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 0908a9e9-fa5b-4481-865b-cc3a0fe36d29)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 212 "Net-(D107-PadA)") (pintype "passive") (tstamp 56a8feb7-51e0-47bc-946a-7a058ea7ee79)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1158f4ea-5a4f-4d9c-9d53-41a26664de44)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 367 "Net-(IC2-S4)") (pintype "passive") (tstamp 52b190f7-c8db-4f78-a457-7636287c163b)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 16b82bdd-bdbe-42c8-9408-06e0cf783f7f)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2610,67 +2985,67 @@ (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/e41779fb-1350-44d9-921d-e870d8a1b56e") (attr through_hole) (fp_text reference "J8" (at 11.3946 -0.0508 180) (layer "F.SilkS") - (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp d7eff8ad-329f-4a8e-b1d6-33363b7ecc67) + (effects (font (size 1.27 1.27) (thickness 0.15875))) + (tstamp 9e5d8cde-0884-4f76-bc33-7f69cac176c4) ) (fp_text value "Conn_02x08_Male" (at 0.53 4.25 90) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 2835e8f3-abc8-487e-b3fc-500d72b0b125) + (tstamp 66c1d95b-169e-44c4-a878-0a835d532007) ) (fp_text user "${REFERENCE}" (at -0.71 6.27 90) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 384a4bf9-22c4-4aaa-855c-3b8203db5e25) + (tstamp 998b5855-b2ef-4650-a992-e14659245809) ) (fp_rect (start 10.38 -2.72) (end -10.44 2.76) - (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp af9df396-3bb4-4ae0-9cb0-85294ee0af89)) + (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp a78d2ffc-02a3-405e-97f9-e21d7110ec88)) (fp_line (start -10.595 -2.915) (end 10.575 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b79d85c-26fb-411b-b404-d8847782763a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43ce7859-53f1-4665-ae64-69b1aa5563ee)) (fp_line (start -10.595 2.915) (end -10.595 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a55a4d2c-b8a1-4ca6-842b-1ee7996337ad)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8fff6ea-a5db-4ce7-8223-231caf9a6ea4)) (fp_line (start 10.575 -2.915) (end 10.575 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ae91776-a22e-47db-b339-d9c7ef170658)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4bf73074-10de-4432-accc-883a9ccff4d8)) (fp_line (start 10.575 2.915) (end -10.595 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 15050fab-0050-48d4-ac6f-b15c1f98f1db)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94f724b7-cff4-44f5-8171-0e5bd81b5288)) (fp_line (start -10.345 -2.665) (end 10.325 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c8b9bd01-7b47-4677-8148-04ce53985d9b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6d1686c6-62f6-4bf1-a151-90c044be2101)) (fp_line (start -10.345 2.665) (end -10.345 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e6f55cab-da51-479c-a411-21d433148d43)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1c64fe4f-74f3-4605-a36e-6c92dcf4da56)) (fp_line (start 10.325 -2.665) (end 10.325 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 098b24a2-7236-4ea6-8e9d-a0fa497776bb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84443bfd-54e5-499d-83d0-b2edc9f02992)) (fp_line (start 10.325 2.665) (end -10.345 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0882e42f-2332-4e7a-919f-08f299171ccb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c72ab582-cd8c-4f5e-b777-57aa580b3c14)) (pad "1" thru_hole rect (at -8.9 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 381 "Net-(IC2-S8)") (pinfunction "1") (pintype "passive") (tstamp 6c712a88-9493-422c-a601-e122d9f7a593)) + (net 374 "Net-(IC2-S8)") (pinfunction "1") (pintype "passive") (tstamp 9d4a31d8-68aa-4880-9519-a8cfa76679e8)) (pad "2" thru_hole circle (at -8.9 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 298 "ADCINB5") (pinfunction "2") (pintype "passive") (tstamp c1ecf4b3-b938-4a06-a9a1-756e899d44de)) + (net 291 "ADCINB5") (pinfunction "2") (pintype "passive") (tstamp 329da920-a8ee-4a2f-b900-bf1f32677f2d)) (pad "3" thru_hole circle (at -6.36 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 380 "Net-(IC2-S7)") (pinfunction "3") (pintype "passive") (tstamp ceffb715-5ff3-4192-ac9c-00575fc40c4b)) + (net 373 "Net-(IC2-S7)") (pinfunction "3") (pintype "passive") (tstamp 9d6d31ef-3ca8-4cd9-93f8-880b07c61147)) (pad "4" thru_hole circle (at -6.36 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 300 "ADCINB4") (pinfunction "4") (pintype "passive") (tstamp ca291391-535b-42d1-b3e7-e0f505551e24)) + (net 293 "ADCINB4") (pinfunction "4") (pintype "passive") (tstamp 5e9e51e3-8ef5-4b16-a78a-354258c89a29)) (pad "5" thru_hole circle (at -3.82 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 378 "Net-(IC2-S6)") (pinfunction "5") (pintype "passive") (tstamp b1a2a834-6ff9-498e-ac02-d31bb293f9e7)) + (net 371 "Net-(IC2-S6)") (pinfunction "5") (pintype "passive") (tstamp e1b27883-da8b-4363-bf72-7eadd7b065bf)) (pad "6" thru_hole circle (at -3.82 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 302 "ADCINC5") (pinfunction "6") (pintype "passive") (tstamp 3c831e70-1baa-41a2-8f89-6ae9fd447ea0)) + (net 295 "ADCINC5") (pinfunction "6") (pintype "passive") (tstamp 49f4ef29-0b8d-4621-afce-df5a27b57c28)) (pad "7" thru_hole circle (at -1.28 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 376 "Net-(IC2-S5)") (pinfunction "7") (pintype "passive") (tstamp 8fc46a3b-3be1-49bf-a1d3-e2f3aa382eb5)) + (net 369 "Net-(IC2-S5)") (pinfunction "7") (pintype "passive") (tstamp 7c2b5b4b-8e75-4fec-bb68-e7f7d3d81534)) (pad "8" thru_hole circle (at -1.28 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 304 "ADCINC4") (pinfunction "8") (pintype "passive") (tstamp d1a1f256-e1b8-45c8-92e7-8d22a54c822d)) + (net 297 "ADCINC4") (pinfunction "8") (pintype "passive") (tstamp 79f30976-04cd-4242-99c7-e83ee7b077c1)) (pad "9" thru_hole circle (at 1.26 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 374 "Net-(IC2-S4)") (pinfunction "9") (pintype "passive") (tstamp f41db843-10c4-412a-ae24-8e8378da4710)) + (net 367 "Net-(IC2-S4)") (pinfunction "9") (pintype "passive") (tstamp 92a1d69c-5485-4961-b094-bc9e2aeb0fcb)) (pad "10" thru_hole circle (at 1.26 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 306 "ADCIND3") (pinfunction "10") (pintype "passive") (tstamp aae77075-6780-4d04-aa8a-147f5210a68e)) + (net 299 "ADCIND3") (pinfunction "10") (pintype "passive") (tstamp e07b57dc-90f7-4117-939b-29e687f2f949)) (pad "11" thru_hole circle (at 3.8 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 372 "Net-(IC2-S3)") (pinfunction "11") (pintype "passive") (tstamp 6c5dd9f0-ea90-4f5e-b3c7-edac02a26278)) + (net 365 "Net-(IC2-S3)") (pinfunction "11") (pintype "passive") (tstamp 245633fd-83f0-43b7-b809-065163148d61)) (pad "12" thru_hole circle (at 3.8 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 308 "ADCIND2") (pinfunction "12") (pintype "passive") (tstamp 6b2c3579-7af1-4af5-96b9-bb569f64dd92)) + (net 301 "ADCIND2") (pinfunction "12") (pintype "passive") (tstamp 8a86eca5-7fae-41e2-8a86-b03aae539a38)) (pad "13" thru_hole circle (at 6.34 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 370 "Net-(IC2-S2)") (pinfunction "13") (pintype "passive") (tstamp 9ba16282-0503-455e-8b1f-aa48c68c4e8e)) + (net 363 "Net-(IC2-S2)") (pinfunction "13") (pintype "passive") (tstamp 0072c14f-668f-4eda-bba2-d471e6295a3c)) (pad "14" thru_hole circle (at 6.34 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 292 "ADCINA5") (pinfunction "14") (pintype "passive") (tstamp b31cbd5d-a04a-4436-bc0a-c9a6390bf507)) + (net 285 "ADCINA5") (pinfunction "14") (pintype "passive") (tstamp e7b683cc-62e4-4cf1-bb68-47192de28dd1)) (pad "15" thru_hole circle (at 8.88 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 250 "Net-(IC2-S1)") (pinfunction "15") (pintype "passive") (tstamp 519e3860-7902-4860-80be-f5a49f98b20b)) + (net 243 "Net-(IC2-S1)") (pinfunction "15") (pintype "passive") (tstamp 127aa13e-a071-4aa5-82dd-7e6981568208)) (pad "16" thru_hole circle (at 8.88 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 294 "ADCINA4") (pinfunction "16") (pintype "passive") (tstamp f7fd4695-bac5-4a92-acee-f87620487613)) + (net 287 "ADCINA4") (pinfunction "16") (pintype "passive") (tstamp a90a4bd0-43ec-4332-837e-17eab763212a)) (model "${MODEL_3D}/M20-9980846.stp" (offset (xyz -9 -1.25 0)) (scale (xyz 1 1 1)) @@ -2678,64 +3053,64 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 1dd19fa1-a84d-4b2e-b351-874815aafe99) (at 76.454274 114.904526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/cc75525d-c959-4681-9742-01b5c044dc52") (attr smd) - (fp_text reference "D64" (at -3.478065 0) (layer "F.SilkS") hide + (fp_text reference "D64" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 497284a5-800f-4c48-9702-07afbff1cf5f) + (tstamp 33db58a1-c1e4-40e5-ac7e-195ceb336bac) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 574db18e-3abd-4ac9-995e-a9f79f16ca7a) + (tstamp c1e39459-6671-4e95-9bb7-b45933d23f59) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 21a16bd9-31a8-4e94-b464-baa65abf6230) + (tstamp aa7dae46-131b-4a68-ba66-3e389505025d) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f740244-58bf-4b10-a925-ae7ae5a16071)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8b79ab3-db24-40ac-b14b-6093d4117734)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87294ed9-8296-446c-9ad3-a418f4207e51)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d297f8c4-e55a-4cef-a70a-14810d4d098a)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3e5c4118-f0ab-4270-8fb3-70f651c1e163)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5618fa14-7de9-4cab-942c-59c9dafea7fd)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 460864a4-eb04-4e86-b764-59e22f1bd7ec)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 15709627-5f05-4939-b9c8-37ce1a1141b5)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c563d05-f8f6-4728-b151-3ce732dc0750)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb44ac4f-2021-416b-86de-27e7bf8c54b5)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f8d42190-cb97-403d-864a-c8abc1cc90bc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19138f30-5b09-4969-bd12-97f04f7f8ad1)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 315cb29e-6d10-4835-8e3b-74abe6ad5965)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 07f4cdb3-9c3d-4a51-bb8d-cea0daba213e)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bbf3e8e2-f33c-41dd-a1e1-83b7c6be0c26)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2ed34747-6c56-4f86-917b-a8dcd2c1f0a8)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d5f5869b-7081-462c-8d96-4d13b12e6c89)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 80aef143-5489-466f-a88d-316338503412)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cd46449f-ce63-4d9e-9c0a-ebf56b942a7e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 11ddfca5-f803-4e37-a92a-a533cf19c50c)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9111e9e4-ef9e-4da3-b0cd-316d2f3f3115)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d301d7b2-f56e-4230-9470-56e17bb356e9)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d40b441-3267-4ea8-b399-b9c3c5982370)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 800731db-5d68-44cf-b72a-b6bd6ccf7478)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b81658b4-e091-432c-ad1c-8408a310df97)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 53ad47df-5cbf-4854-b4d7-e4edd95cf407)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 126 "Net-(D64-PadA)") (pinfunction "A") (pintype "passive") (tstamp 6365bbc6-cbc5-4b81-b75c-60c7fc640030)) + (net 123 "Net-(D64-PadA)") (pinfunction "A") (pintype "passive") (tstamp 01cdeda9-2a11-48aa-8f5a-ebe538b28235)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 127 "GPIO71") (pinfunction "C") (pintype "passive") (tstamp d9139578-bce3-4c67-a8e8-a175e8c4354a)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 124 "GPIO71") (pinfunction "C") (pintype "passive") (tstamp 0714991e-fbff-4427-a76a-2614e488cd37)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -2756,44 +3131,44 @@ (attr smd) (fp_text reference "R137" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bdec6c99-57de-4011-b103-bf656dee7eae) + (tstamp 2774ad58-85c0-4924-b065-a8c575b68d55) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3e5020ad-e98e-4fb7-80b7-9d5d61ae5db3) + (tstamp e83c4de3-2263-46a3-86ff-cad6f9acb69e) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0857b52d-7d73-4446-b5c7-e91da8561af0) + (tstamp 29b2af10-e9e7-4ea0-8d26-111e50b4b671) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bfe49b2e-6693-47f4-932c-85d9ce267389)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19177aff-88cb-4f21-b6fd-e1885d4ddd81)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 34d0be01-8931-466b-aafe-c4c6ef7ed9a8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33bc37cc-cb5e-4866-8eaa-95c999c62590)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d4162098-f2fa-45e3-9bcf-988a52eb8f4c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 950eb6f1-845a-4062-94ad-a169b9a9961a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4fa9176-4662-4889-9d28-a00f1f4f747c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43800c0f-6eb9-4d9a-aff3-38da3bc405f7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7ab5f88d-f4c3-4360-9150-e80a86fe5666)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0358c94c-a1d4-487a-8e34-144cdcdd38fc)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9dc2fbd9-ae3b-435d-bd38-5f95720267a2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bed91908-da21-4ad8-8ced-cb217f79ff45)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2208bf32-55a1-4750-8f42-bec7b9b080e9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8caa218d-cad9-4e84-b16d-39c1a1496e00)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f150dcb7-b57f-4071-8912-501b189da4ca)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 96c8d2a5-70ef-46e2-a05b-e6c7e75920a0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 519414d4-e60b-40bc-8b51-8e14b0b96329)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c9dcf4df-cb37-4b3d-96a2-e9431a051bf9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c196505f-c2b4-47f8-b765-8c5d96ae2caa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4be4162-10e5-476d-85db-b8001e3cd0db)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7358233-db21-407b-a90f-1d8273a22f3f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5df42881-d370-4d6c-98b0-24587c7af03d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8152f449-445b-4d58-971c-1508f2b09c36)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3238b4a1-1639-49bb-a2d4-329008b92acf)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 325 "Net-(D135-PadC)") (pintype "passive") (tstamp 942a6df1-d521-40fc-b439-33cc38648135)) + (net 318 "Net-(D135-PadC)") (pintype "passive") (tstamp 3a430e33-62b8-4843-a5f7-b2cdb6189b5b)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp dc7a5271-e58c-4fe9-9758-b802817e18a7)) + (net 2 "GND") (pintype "passive") (tstamp 1693c298-68fa-4f30-b0e0-4337bfac37db)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2801,70 +3176,9 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 1e9418c4-91a7-44c5-99b6-6edccd84155c) - (at 177.165274 144.104526) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d023e7d7-4014-4376-b605-14d4e6fda54e") - (attr smd) - (fp_text reference "D16" (at -3.344409 0.02) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 29202f05-a376-40a6-9c9f-69ed9f62d47a) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4f814294-d98f-4028-baa4-bfdbccb0a623) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 4018a85e-9d7c-4bbc-8450-d10410f42790) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9598b11e-6c9f-4120-a795-f153b7c65536)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6cbcd01c-abbc-4e49-90a7-4cc8809f95ee)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f2a726a7-acae-46a7-a680-002f943a2015)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1f67f35-51e6-494e-8fa6-9acebeb7e576)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c850b05-478c-4bd0-a951-28a726032fb1)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 16f35ea1-da8b-4035-9e0b-48ab6cdc9452)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 46d8f0f0-c277-42cb-ab11-087368fcfef8)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d396923f-5e50-48f4-95ff-97929275b2b4)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8772ce54-2aa4-441c-82ee-01a4efcf0927)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 61b7066a-62eb-455c-8236-21cfd8a87b13)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 67020033-16f9-4b16-a700-73ab1ba1803d)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1c9641ef-0587-4c14-b711-6d6632c3bcbc)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9bef0e60-8e40-4c50-9957-64e07c5f0a58)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 33 "Net-(D16-PadA)") (pinfunction "A") (pintype "passive") (tstamp 407c825b-310b-4a77-8b5b-1ac57d9e9f56)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 34 "GPIO20") (pinfunction "C") (pintype "passive") (tstamp b33d8234-b66e-45b3-b4c0-895f8aaaf866)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 1fb06055-8ce2-420c-8e1f-6151983f60e9) - (at 203.073274 117.660726) + (at 205.413674 108.868326) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -2879,44 +3193,44 @@ (attr smd) (fp_text reference "R16" (at 3.1262 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1192b59a-fe04-4875-865f-91e9ad207ac8) + (tstamp 23861021-180d-4785-8412-b3db88a640fb) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp edd39a4b-42e6-47e7-95a6-c74b5d7c2b0b) + (tstamp 8700ec6d-f7bb-407b-bfcb-1a98dab32f12) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 292af792-4e65-4c49-bb94-b8e3ef9ebe65) + (tstamp 9d26355b-1950-4cb1-bd2a-e9858cdb69af) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a374369-2329-4d24-96b8-74cf69155d4f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e0aa521-9af8-4b60-913b-f5b5ba04cf66)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3102d788-9b59-43ce-bcb0-4e7e754cfaa0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 778724cd-0504-4e5a-a13e-f68ef1cef79e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9fc4282d-16a6-4fdc-993b-25958e7ecb29)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 606ab824-d492-467d-aaa1-5963f9e5474b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 546ec950-d675-4ebc-b384-84d0fe20e5b5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3765d217-f283-4f54-8963-8fe2b51c82a5)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 39fe709b-aaa0-4b7c-938a-b651e16f8085)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fae7c13d-3093-40b2-afbd-357145e46f36)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a27d7ccd-9a87-4e99-9a1b-53aa712e700a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3036e14f-eb14-4040-a420-6e1a0a5f6d56)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0e950d6e-6c9c-4f1c-883e-dd29fe6f527d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9a488381-3393-480f-ab57-70667609b54e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c540cf63-298b-4398-8d57-441d7c3d9d4d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e0e232f-1781-4e1a-9866-28d398e3aa6e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 25c5447a-dbf4-4a9e-a400-00f7a07876e0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8b23bfd1-08ed-4022-9c23-3338e1c683c3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 96af0f1d-77ff-47d9-b9df-04ff14a76e79)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 04e792bc-18e8-4a8f-80fa-7ea41f2b8782)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1411f298-64d1-4c6b-81f0-7585473fb726)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4bee1bd5-43fb-48f7-9859-536050f8c16b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp af68b700-96dd-46f0-b6b1-b79809fe36bf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 58dddb09-64d9-497d-9c04-09c40b619644)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 29 "Net-(D14-PadA)") (pintype "passive") (tstamp 968c003a-3baf-43f0-9c01-c27f0a0bc259)) + (net 28 "Net-(D14-PadA)") (pintype "passive") (tstamp 0175d796-11ea-4beb-9ee7-1d714e4ad23e)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 82efeb1c-71c6-47a5-8af0-67a8fd888e14)) + (net 4 "+3V3") (pintype "passive") (tstamp 67ea67d9-faf0-47b8-9c24-dfe4ea348fc1)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2924,61 +3238,61 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 1fb7116f-c9d5-4ea1-a889-f7636512a5f3) - (at 177.165274 123.784526) - (descr "LED 0402") + (at 197.763274 159.217526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d9e1d398-2b17-4a26-b9cd-15bd8f7576b9") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7daa02db-e916-4958-9b45-4d310185318e") (attr smd) - (fp_text reference "D79" (at -3.300009 0.02) (layer "F.SilkS") hide + (fp_text reference "D79" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6cf9699d-fa25-4135-ba40-566da8c65d1b) + (tstamp 84a425e8-a9e1-4280-9d10-5bc5b300c0bf) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 225dac78-45c9-4522-abd8-e44d7f7606b8) + (tstamp 17fb0882-e14c-4676-b9d4-65ac8e53960b) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 54acb7a4-4ccb-479a-aa04-93994355c014) + (tstamp 825dc5cb-438a-4fd5-9088-f369ab98c93b) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c8b0a14d-549e-4d91-9173-73e9137ef28f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5409a560-2b7c-423e-afc7-81ad0d5fbefa)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1021ac8b-5449-4a10-8034-159a8e661296)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 415049ed-15c3-48a5-93a5-c6397cdd586b)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2087ce56-6372-4e4d-bdbc-9b46355b40fc)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2ff0e8bd-2a03-49fb-bbf2-d495fb456895)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08ddf9a6-3bf3-49ca-a731-0b4ea2e57241)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd871e25-83c4-45c7-9a26-69baf18ec093)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 44e60333-83d3-466a-9230-47a6425cc718)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec2e22e3-a2e7-446e-be57-0269b35e6e38)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bbddce09-4ede-47a8-abcb-f3caf5943aaa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75883b9c-1f5e-4557-9765-c2137566d18d)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 765c5366-25b5-43ce-ba84-de218ea06438)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9b9eea67-6925-491f-b122-7620a26798b5)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7f629e23-5555-4c2b-be0a-5419388cf903)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ea8a1f64-263e-4893-9ac1-efec0986ec38)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 57e0afe9-01b6-417a-9441-a0f3dd6f3271)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e6cc07e3-1a12-4326-9a81-61f084d9521e)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 695ee4cb-2c94-4a33-afe9-27c6865a8f07)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f212b63b-b6db-49a8-befe-f8591ca6f6a5)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 919d37ee-992b-42bc-a7f0-f245ebcf0260)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85a1cab7-5f80-46cb-8312-1886ee1ecde5)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62b2e0d9-c5df-4096-b842-40417fd9ef73)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 158ab3e0-0eab-4e2d-a02b-08fc382c4027)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b90c9a16-b027-4808-90dd-6bf8525bfa95)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e178acf-7270-4d5d-951c-73e695fee76d)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 156 "Net-(D79-PadA)") (pinfunction "A") (pintype "passive") (tstamp e23e1fbf-78d8-4c26-93e6-263d41205415)) + (net 153 "Net-(D79-PadA)") (pinfunction "A") (pintype "passive") (tstamp c23ecb86-55d4-4a63-af69-4e0d80ab535d)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 189 "GPIO110") (pinfunction "C") (pintype "passive") (tstamp 14b894e1-8dab-4d34-b68d-4db55894485d)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 122 "GPIO70") (pinfunction "C") (pintype "passive") (tstamp f46ce2bd-9905-4c17-9ab6-38eb3369d7d1)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -3002,44 +3316,44 @@ (attr smd) (fp_text reference "R4" (at 0.0862 1.778 180) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp aefc68a4-8803-4c7f-b4d4-45c6b4b2cb5e) + (tstamp 1be55070-bf1f-4ab2-b49a-7b41b174a93e) ) (fp_text value "680R" (at 0.58 -1.63 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 486662f9-5184-4bd1-a987-8f07deb5e2d6) + (tstamp 1d61d4e3-1745-4126-8c3a-5ba6b574287f) ) (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 09e385a1-491f-47db-b4ef-e79ee0965a73) + (tstamp 803f1126-b5cb-46d6-8d5c-049080770cda) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cafb481e-ae91-43c7-a3c0-bf4076e4e1ef)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2a09e7a-c911-4b16-be9a-7aa8fe570fd9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8c1fdab-6f11-4e33-b729-2bf231fa575d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33fb5ad3-98ca-40aa-ab70-75f08a95adad)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e191a829-b741-41a8-8175-43526ecdb4f7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e310cbb-1803-4f96-bbe5-1a6ac57b8d08)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1d40a38e-006d-49ee-9305-32b75ababa57)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 950548ce-fce8-4202-84a6-aeaad0d0b47a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9042d057-7865-4869-9032-80167f3ac2ab)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ed586ce1-7cb3-4de0-9028-964f9f3af502)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4735b2e3-a21c-44d2-a5f3-b039f589bc0f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dc2e4329-251e-43d9-907f-4e80d3fa7693)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 60bbb74b-c9a8-4975-a349-0cebec305b4d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 33708a4c-6d16-4b7f-b583-d24086d5e368)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0432801e-c0ae-4488-8de4-650aee109408)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2fddce41-2c2d-4eed-b46e-36b6cb631dfb)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 529a2a6e-9fd5-412f-b218-11d0bbc80555)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fcc700d4-af95-4afa-ac43-d560fa923938)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1f9ec2e7-6a8f-4fe6-811c-d5685e23cd4f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1856134c-8142-4f02-8c65-f3e05e5856ae)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a104f6f8-93e0-42f0-9601-19d295537445)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4f6b3816-e736-42eb-9e19-cf6652b4d06a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 47c1264f-2e7f-4eb5-b9fb-86b01918e06e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 09be38b8-48bc-4e2e-846c-4c46d400df25)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 4cdd509a-338e-4ed5-a535-fda999ead732)) + (net 4 "+3V3") (pintype "passive") (tstamp 8aed2e6b-7640-4a5c-88ab-5165a30e9312)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 6 "Net-(D2-PadA)") (pintype "passive") (tstamp d0bca7fe-4898-4b29-a913-70daf4f4a046)) + (net 6 "Net-(D2-PadA)") (pintype "passive") (tstamp 5bf80f09-f686-45e4-a1b3-98a7f6db6cd7)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3047,125 +3361,187 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 20cbb25d-2408-4a3a-a579-bc28c25670b0) + (at 187.972274 66.634526 90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/39c36777-6eec-45fc-8513-8f6cbf7b543b") + (attr smd) + (fp_text reference "R169" (at 3.187 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ffc7c000-232d-4a51-8007-61b7ab684a81) + ) + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a00c14a5-d041-4f71-a83a-de76a2c71a56) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp ce651362-1892-4c23-a4c1-fca7396409fd) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c37d9677-539b-4702-9037-506013abffad)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d152590-3f2f-40d6-8c05-1af2343276de)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebc0efa0-a7f4-4139-896e-f6908b2cd98e)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d0428a0-3938-4e99-b546-30d2bcd498e4)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c92ddbbe-6c82-4204-8a80-5cd16cd57d72)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1a9c287e-162b-4825-adde-f0c8ff1a05d0)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp af785e7c-2ee0-4ab3-9214-52a163464833)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e8453f49-0b68-454b-a64b-954370a72d16)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2bcd6edd-e89c-4387-ad45-4444b7204581)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a1030c21-41e5-4593-90b7-d18304cdf1a0)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp af1b9a44-2655-4920-9ef3-7a934d2c4ec1)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b0748c8d-df2f-44f4-91f3-6b0f40711b6e)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 365 "Net-(IC2-S3)") (pintype "passive") (tstamp 2aefeb1c-58eb-47af-9a96-d85229923a4b)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp c4d79d56-5c2f-4055-8c5d-f80a761e9e18)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 21100337-80f8-410e-adcf-e017dafab8f3) (at 76.454274 93.431526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6729d1ff-fcc6-427c-872c-d20abbbed120") (attr smd) - (fp_text reference "D116" (at -4.073303 0) (layer "F.SilkS") hide + (fp_text reference "D116" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 81c58e8f-f47f-4d49-a282-506a0f363e7d) + (tstamp 20e37287-d88b-40d3-b304-7bf74f0f9992) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dbfa1136-f021-49ab-b563-c061d8d17342) + (tstamp 95376ec4-95f2-4f38-b2c4-65178359e8a2) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 8c965c50-acb0-48bb-b643-e5b64ccbf008) + (tstamp 32044fff-2464-4631-9416-d6d83c75a590) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 044efd0e-a992-4557-9891-6873fda00559)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c2f8bc23-4b5b-4f83-ae1b-c28262e8b23f)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65eacc0e-8589-49cc-885f-5ee5b66a6f6d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fde9ef2-407f-4ec8-9e1c-26981653be61)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6c67f4f2-b630-448e-99fb-79380695dc61)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp add8f576-2dcd-489e-b99c-ee774b8c5c6b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f328b40-4c74-4567-a57e-99fa16acfea3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74616a28-7c51-4499-aa48-4161b95b5106)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a4cccada-b290-4de6-bebb-1de9dfe0c466)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a86e0883-363e-47d3-86ed-705fab5b570d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0ed93a93-ce51-4950-adb7-5dfb1e08e478)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cef8652d-a42c-4036-bab2-70854c5bccf7)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 283e3dd7-439b-438d-831e-c8dc756c32f2)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 11a700a5-e264-4c88-b5a4-021ebb3fab66)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8c1340a8-859d-46dd-b67d-29a213e73ec6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c9c93e8b-da9c-4086-9031-45e3e15c6be5)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 940ef69a-32c9-4c63-b5c5-fc2e50d9ea1e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 40b147b6-8a8f-437a-b483-f4a4d2b14624)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f9e03b5c-fe04-49c1-8eb5-5cb6a64f0cea)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c635d81c-de01-4f19-921b-cfd44e0c4a2f)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cdac478a-75b5-410f-88ea-612891bd9451)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c2fdb809-605e-4472-b60b-b8569875d934)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fa1c8fab-e553-4568-9a9b-b9295e99282a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b0a19c80-4d90-49e4-b83d-7e85ce3a2c9d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 58dda6ee-08a6-4c08-95ea-12708d8d2fd3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ebecea7b-e877-4607-af81-d506b164201a)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 344 "Net-(D116-PadA)") (pinfunction "A") (pintype "passive") (tstamp 02515a30-bf94-4cad-b664-545fe3eebbc2)) + (net 337 "Net-(D116-PadA)") (pinfunction "A") (pintype "passive") (tstamp faf1e557-5e49-42ee-ae5b-2ab1f55f70f4)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 228 "GPIO136") (pinfunction "C") (pintype "passive") (tstamp d1680d94-a63e-4614-9788-5fac91a55ada)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 221 "GPIO136") (pinfunction "C") (pintype "passive") (tstamp 421ef86d-8529-4452-a21b-a03382e8c406)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 2190416b-e454-438a-b9f6-88471e2b8cf2) (at 93.3216 103.0992 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/1cd7390c-b4e6-4aa4-a03a-76e2022876bd") (attr smd) - (fp_text reference "D86" (at -3.647566 0) (layer "F.SilkS") hide + (fp_text reference "D86" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6def9eef-94c8-450c-b3f9-a1a5c74b4ba5) + (tstamp 9c7f7b6e-1677-42a6-8762-116fc9d6e4a3) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 19b8fb21-c593-4648-9f61-f46eb7ce3de7) + (tstamp 1210678c-793e-46c4-ab06-b0972800fb7f) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp e9d1337a-77da-4890-ac3d-fd206b38c384) + (tstamp a4695cd0-7260-45d6-bb1c-e6c156c82586) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2df233f8-1650-4097-8a37-d1157c3772ba)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6851832c-0fa9-4ad5-b658-f4cb912cf678)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 353d1926-39f2-4628-bd05-dbc28f4d0ee7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e27d4f3d-33dd-4ae7-b57f-fdaaf4be8c7e)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3f929d54-027d-437d-9f62-85ff9d03c5a4)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a841056a-1543-48af-931c-2115241efff3)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebbccec4-e91e-4692-8ec0-172fc3cc7164)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c03b7f94-b3a4-484e-9f12-51919db2d380)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d1fd2b7-a9ec-401b-a130-3f847db6b530)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8bfd7f7d-11e4-4eca-a7f3-ecbb39f7aaa0)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b467ca5-25be-428e-b717-554dc5373f3c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5040238f-2fe6-421c-83af-04d4806df9a0)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6ee8cf0b-ced0-4f42-9075-51a878125746)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4b9d281a-f869-4ef5-84e7-2e8b5af7ef9d)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 37581546-b61d-4e22-a704-36239f3ab7a5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 96f90578-1c2e-458f-bc2d-4793c428547c)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b994d7a3-9a78-408e-8a80-b35f010b4871)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 36fca4ff-fa96-45d5-a1a5-b63975475fed)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eeeeca5f-5b1b-4ffc-85c7-92406b27bb37)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 573f9e6e-b539-4aaa-bed1-830943983b42)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 34702c0b-5497-4b20-b7b2-fc445b796bfa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e18702c4-a4db-412a-8a85-f142dec46acf)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5b23287c-8fbf-4ece-a750-442b0ace14aa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fa7cd33a-d0e4-4a91-b063-6e4d80b64bdc)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 22988a39-74f2-41f6-81f1-eab2470800ab)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 66d95edd-6233-4bdd-ba07-ceb26f0c82cf)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 170 "Net-(D86-PadA)") (pinfunction "A") (pintype "passive") (tstamp 0ae2d00a-5f81-4872-8959-c47b268b8d29)) + (net 167 "Net-(D86-PadA)") (pinfunction "A") (pintype "passive") (tstamp bd1a2c22-4945-43f3-9e16-a4fc57d7ee56)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "GPIO85") (pinfunction "C") (pintype "passive") (tstamp 468ca5ac-9dc6-4c8b-983c-9c8523a38577)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 148 "GPIO85") (pinfunction "C") (pintype "passive") (tstamp 09c1a690-8ae8-4c7a-8859-92923e0811ce)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -3186,44 +3562,44 @@ (attr smd) (fp_text reference "R131" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cfb617af-0692-45cd-9de7-0e842c43b2f3) + (tstamp a1e1ce24-82c6-4b67-9313-7e4de48d3c07) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2ca40fa4-0db0-4a1f-9869-aeac69e91714) + (tstamp a3e96be2-6645-47bc-8714-6ed7684c9139) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp e2b84900-f536-407d-a330-834c01449b4c) + (tstamp fd7a2cb1-26f2-43c0-b0ed-5dfb14a0ce6b) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e4956e6b-f3a7-478a-8b89-f0a766659238)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2e2254a-7a83-41c6-bc1f-a7460a9838ef)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f957657-d824-4f4c-addb-0cd914187caf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7970c327-91e3-424a-a271-88e35f3b384d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e19a1f1-1e72-4ae1-9d3a-650613601c6f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c969815-66af-4935-bfb1-2f050c4953e0)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f02b3b2-a399-4a19-893d-b4feff93ddd9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6ac1d106-a7b7-4495-b6f5-b095f64dc2b0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a52cfb42-34a4-4b55-a95a-f9cf892ac488)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 36781bda-0ef5-4b32-af5d-4b0ca8c97738)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 186f3005-8777-460e-b280-cb621732cdcc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6f06c796-6b39-4be6-9ac6-547025ad4ea8)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6e2c87c8-d444-4425-816a-0bc4aa53fbdd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 42992820-11af-4f2c-bf31-5bdb05a0fd58)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c9fa751d-e64d-4898-9620-5b579d221ee7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5d948381-7ae9-4e04-ba8d-6ddeaeab672b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c63dee81-8d0d-492b-8773-c5e050c44baa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f07ab084-bc9a-4270-b59e-0bd5c15eecf1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6f5a6122-b1de-470b-9e6f-aceadda60e98)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3bdcb78b-a9c4-4223-ab81-6fa8f8589ff6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dd9e723a-5df6-4a70-8c1a-fc0c043280ca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1d2346e4-d4fe-4ff7-b42e-b3e2ee6d9d54)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 078dd23a-97c8-4918-be50-7fffd667f084)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e97ae611-c1e3-459d-986f-986910b2d561)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 319 "Net-(D129-PadC)") (pintype "passive") (tstamp c37ada84-44bd-4734-9fb6-52e50124ad02)) + (net 312 "Net-(D129-PadC)") (pintype "passive") (tstamp 4eaaadd4-64e3-4ccf-ac06-ae86bf741c04)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp bf7a137a-aeda-47cf-b0ef-367a3da92c44)) + (net 2 "GND") (pintype "passive") (tstamp d0c822ef-effb-4904-96d6-73c986c04618)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3231,70 +3607,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 23d6956e-f1eb-4d70-97a5-2bd2435c3865) - (at 107.581274 143.672726 180) - (descr "LED 0402") + (at 107.530474 143.672726 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8e601afa-f1fa-4db8-9d36-6cac19c6a362") (attr smd) - (fp_text reference "D131" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D131" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 34dbd8a8-44be-4067-81e1-68db37d7dadf) + (tstamp fe1a6b5d-9730-463a-8021-abcea31ede86) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp aae75643-ecfc-4303-8dab-7932dbf25403) + (tstamp 39a423dd-0960-4a3c-994a-05a9d79b6399) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 34dba131-9258-4969-a121-3e3b2909c374) + (tstamp c73f76c4-7b17-44d3-8e65-30e21cf83051) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e317f552-0e9e-4f02-90d4-6a249274e3c4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1104f33-9db4-49a2-a1c4-fddb4648bf4d)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 151ca9a7-47d9-4509-a95b-6e1534d59603)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e7f7a925-32cd-44a3-8a8b-24d10fb657a0)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 55aef5fe-f47a-4d45-8ef7-9c75a2c3c3d4)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 00017a84-ac19-449a-b05b-a8266159fe72)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb889b4f-b641-487c-860b-197322ecf072)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 78e1b890-4600-4db4-aab4-1f7b0b6ead89)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 04679c27-ec72-4153-acfb-02743a211145)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5efb9434-c59a-41f5-b05a-ddc8207906b6)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c8ba70c8-29d5-40d4-82dd-68af85434fe3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b57d7db5-3319-4eed-9648-bbe38af1fb5b)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2ee9a75f-0a97-45f6-916a-2796e7a4b4a7)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 910137af-6a85-43fc-9307-778739f1312b)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 38a88f2c-bcd5-4550-bd28-723639153d9d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp de7f4c33-2cf9-443d-8380-f519b906aaa6)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a1b55fb6-7ebe-4da2-b7a8-b09e7d968cae)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 385ab3b4-abde-4fd7-be66-dca6c2aca032)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6e6dca70-4986-40e8-a0d5-9a214b3139a8)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2512fb07-8a9e-44f1-b6ba-93b046298e3e)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f8e841f0-b49d-454a-9fb8-a7bce93b3181)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dc408684-4494-4088-98d4-a32ef9eb277f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9942456a-a6a6-4f6f-a18f-1b4a767ed280)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 462c2134-94ca-49a0-9476-cd1a98193b57)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 097b6ebf-7cba-4ef8-a1c1-941800693d17)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ee6f7a8a-223a-43ee-9ab5-3f4e73b6d8ef)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 243 "GPIO156") (pinfunction "A") (pintype "passive") (tstamp d4475759-0ba8-4f7b-9d33-b8c9be1cf38a)) + (net 236 "GPIO156") (pinfunction "A") (pintype "passive") (tstamp b2c39212-15d4-4bea-8708-bdb961c9fecb)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 321 "Net-(D131-PadC)") (pinfunction "C") (pintype "passive") (tstamp 76826cf1-e2ed-484c-b8b4-29b76325add5)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 314 "Net-(D131-PadC)") (pinfunction "C") (pintype "passive") (tstamp 0caa252c-ed06-4116-a48b-42ea54adc689)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 247c75b8-ba1f-40f8-b03e-50e261c739ef) - (at 214.856874 78.674726 90) + (at 214.856874 78.699526 90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -3307,46 +3683,46 @@ (property "mouser#" "71-CRCW06030000Z0EAC") (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/704d1cdf-8cf7-4a21-aa17-5568054eea46") (attr smd) - (fp_text reference "R154" (at -3.556 0 90) (layer "F.SilkS") + (fp_text reference "R154" (at -3.302 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 89a303b2-d34b-4b27-a908-fe2a092f939d) + (tstamp 14496fd7-22c8-4a61-9d2f-a3444638cfac) ) (fp_text value "0R0" (at 0.58 -1.63 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1922413d-d39b-4778-b220-57f53b79ef0c) + (tstamp d095ac1d-5ba8-4a56-8a5c-88ead4fa1053) ) (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp e3b91eec-06b8-4a1b-9e51-4ae42b01e5f5) + (tstamp fe028ff4-729c-4455-8df2-a09ffdac4911) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b18d0985-0573-4850-9a47-f036972c4a6d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 88fc8665-e328-4dec-b6b3-dcda6fc04d6c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba657555-9134-47ae-b202-a2e1659e0cc0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5015b248-660c-47d0-ae7d-ad634f148967)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9bc58ec-878f-41ce-bb15-a5720b6fe8ed)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bde512ca-b73e-47d5-b0bd-f56b1aa8e55c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b7e83ca-5a5a-47d2-bb80-3408a045b559)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a4a9bc6d-e816-4087-aedf-59dafb03eb0e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e518634a-6964-4f78-9b39-01585e985760)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aaf918c1-03b4-4991-866c-69454411b4b7)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b53a9d4d-3df5-41bc-bb25-763cfc90d1a8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 387c0e03-74ec-43e4-8a96-6a8f463707c3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f265676a-367c-43e1-8f2b-541d25fa8d8b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ac8a0a3b-e2ac-4ef9-8c6e-2355f3543434)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a3440e7d-5e5a-436d-9672-55325bc5339b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e3cb3c67-8220-4633-b41c-e50b2f13dac5)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3442f147-793c-4164-bc95-e091c062cd50)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 664c4cb2-1744-4e4e-b890-d450d1668589)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8f06cbba-9d1a-4ba3-953c-27f571cbede4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed010f95-5a1e-40b4-a64a-03adc174097a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3e625095-1f94-4cf2-bc8c-c52439a96c35)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b08c6de1-8793-41fc-991f-54a89d74c8e1)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp af894ff5-fa43-42d8-8c79-72fa9a0fcfd9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7503b95b-ba9e-4395-a164-0a03f63f1c39)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 2e449e53-0905-46cb-9e85-25527bc1a0c4)) + (net 4 "+3V3") (pintype "passive") (tstamp 70e9af7b-d87d-4bc3-aed9-e38f581dabf8)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 394 "Net-(IC1-VDD)") (pintype "passive") (tstamp 2f455962-b1ca-45af-aff0-f0166a4f4907)) + (net 305 "Net-(IC1-EN)") (pintype "passive") (tstamp d577a6f5-11d7-488e-a53e-05bff9bf6342)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3354,192 +3730,192 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 24dc557f-7ea8-4eb9-bd77-148c0acf04a3) - (at 195.409474 110.399926) - (descr "LED 0402") + (at 197.739274 101.607526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/f86d7900-3a70-424b-a31b-dea5fba8eb34") (attr smd) - (fp_text reference "D21" (at -3.822 0) (layer "F.SilkS") hide + (fp_text reference "D21" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 55abcd7c-3011-4caf-b5d6-8219012a0431) + (tstamp b9c94f80-fedc-4d13-8439-623a33bbfc07) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 97b67eff-dd88-4e8d-b981-a44d9224fb15) + (tstamp 465c3114-5f7b-4b4e-8ecc-36ba5110f32f) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 279e599c-e1b4-41a6-87c1-9b12f044e924) + (tstamp d078ce1d-6c57-4289-b61f-2832da5a08f0) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fc5c1d0b-ea10-45ec-a9dc-58524e5d2b99)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8bd5620-c126-4a81-9354-96784d7fbe6e)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95704b64-ac3a-49ba-bb2d-c6e52be52103)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7babc898-e23e-4815-89af-6c76757614ec)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9b2ea464-cad0-4bf9-876b-454e5eba6198)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 47bb8c04-2235-403b-9400-085840a1f5ac)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a05a5eae-fc46-4be8-adc0-24cb918a00fb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dfa6f415-ddfc-4829-ade4-4526aca2c0a8)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 26e1c012-4232-4b67-99a3-e8bfb8a42909)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57d5ea29-d401-47f6-bad4-87a397695145)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d4476ec-7602-4b5a-8b70-ec19de904a6a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f49b136f-dce4-4c45-b0bb-438062fbae4f)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b9b4f0b8-e9cc-4215-970d-837844ddd656)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp cc237938-e258-4b8a-b395-ace87d5a0bcf)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1f69f38d-319c-4849-8529-68694521c904)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7b3510d1-485f-47bb-a706-f021a3faa7fd)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 067c99c0-5b13-49a7-af51-f5dad1f9499c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61610ed6-4abb-4e08-aa7c-17122ad0eaa0)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bae35644-275c-49e3-bddc-dcc38e06461c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 672685c1-af68-4c76-9585-8419ffa386fd)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 339cac11-5d0c-4941-9226-a4d91088371f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 254d54f7-b08d-4222-ad84-8568fac35d90)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 34404550-5eca-4f44-bc36-80adcf47bfd0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a436d72c-9b0d-4c76-ae58-1d1648492671)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8069a961-3ea5-4ada-a01c-7c845f053fee)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1d66d177-bdec-488a-8053-4dd606fcb56f)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 43 "Net-(D21-PadA)") (pinfunction "A") (pintype "passive") (tstamp baf711ab-cae8-435c-9e36-75aa9e6995f0)) + (net 40 "Net-(D21-PadA)") (pinfunction "A") (pintype "passive") (tstamp 097122a7-6d8c-499b-bc77-2b2b7140d9cb)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 44 "GPIO25") (pinfunction "C") (pintype "passive") (tstamp 6c3b3c33-cfc0-4a9b-a551-6f630191bc88)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 41 "GPIO25") (pinfunction "C") (pintype "passive") (tstamp 8d9cd2bc-c334-4800-8655-a1b4cbc7cf11)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 24f64137-0e19-40f2-9639-cceba94d3b18) - (at 76.44043 74.614126 180) - (descr "LED 0402") + (at 76.454274 74.614126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c98edfd6-0b63-4091-abc6-6d8d3f60dc51") (attr smd) - (fp_text reference "D38" (at -3.827113 0) (layer "F.SilkS") hide + (fp_text reference "D38" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 116ab22f-550a-415b-9fee-8d7e7e7dc069) + (tstamp 09a328aa-177d-498d-a6dd-484745f16e34) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2c5e0277-dc67-445d-b777-800d78b5786a) + (tstamp d7bf70da-e3fc-468d-9427-6d5a53131619) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 6372c36a-9866-4b49-9101-155f8bc015c7) + (tstamp f50974cb-be6b-4deb-83a2-df2ca6f04840) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f89903a9-c11d-41dd-91f1-64c8448e6b12)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17110943-506b-4441-842e-004c4f071be8)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02bec35a-d2a8-47d3-a569-cecf6d101cec)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52839b91-e260-42ed-83f4-7e7c245168f9)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4f5f7d8e-43b1-4826-a615-20288bdcda33)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2ef2645d-2b1f-49f9-808a-acba52f3770e)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 252ca3cb-75f1-4aac-a261-18d4af6503b1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c531f10b-1fac-4cbf-ba4e-f798839a67c4)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72916c7a-bc0e-4e66-94e7-f65a57bf4eea)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3cf8e5a0-950b-4387-b3c3-571a02be2051)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a9b724a-fadb-416d-9487-6fc89811d262)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5868b3f4-0bbb-4104-9253-692edf1f1f36)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4a6c6f2f-3882-44bf-8b12-e6a454332122)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e9e54b43-1154-43ae-b46f-061c48ee8b7b)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 59a89d29-2519-47dc-a6f3-b3ffd25721f6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 31a9ff79-b966-484c-ab50-34dcbfedd372)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 36a2fc98-a4ba-49af-905f-97f5db151d80)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dd35489c-0b3a-4b23-bfbd-46d3ceaefc0f)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b9fc6047-a421-492f-b444-0ddba5f7b5c0)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b7e8adaf-92ab-4095-ab97-8bee1bfb2dd5)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dbe9589a-9a31-4854-94f8-c39a470ec436)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7dc8e92-a9e0-4999-baac-ce0a90778a54)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72230385-46c7-4312-bbc6-72ade506ba11)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c512bb06-059a-44e7-95c6-56b550e42d77)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc94e16b-8356-4a76-b485-6948f81a8dd1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f75d14ad-b23c-467c-993f-40ca4a07dec0)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 356 "Net-(D38-PadA)") (pinfunction "A") (pintype "passive") (tstamp 7a779562-f7b1-4759-8954-fc54a9713814)) + (net 349 "Net-(D38-PadA)") (pinfunction "A") (pintype "passive") (tstamp 4fd40156-9c2b-487a-aac1-2bf2064a3c8e)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 77 "GPIO44") (pinfunction "C") (pintype "passive") (tstamp 79aec462-84c0-45f3-b1a0-2e8a2de9b93b)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 74 "GPIO44") (pinfunction "C") (pintype "passive") (tstamp 7fe3cec8-1626-4636-827c-c9f2e45cd9d5)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 2634d738-e240-418b-83c6-65c2fabfa54d) - (at 93.357274 99.654526 180) - (descr "LED 0402") + (at 93.3216 99.654526 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/9e156aa3-9f44-494c-aabc-02bc67f1f582") (attr smd) - (fp_text reference "D61" (at -3.81 0) (layer "F.SilkS") hide + (fp_text reference "D61" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1712920c-5997-49ae-867e-87590af53917) + (tstamp 17fb4bbd-7c5d-4125-a27a-7444bac3360f) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d8640293-6ee6-4b53-9b65-b6f206adb641) + (tstamp ed675e0f-7cbb-42ab-9e0a-8bff35b83fe8) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 515e4cfa-5af6-4c51-9e3c-08fd4b8b85d3) + (tstamp 9aedcecf-8616-4127-ad74-fc424df7e6fa) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a72102b3-8f5f-4862-b24f-f203c9f64dde)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5a2f84e1-3d16-406b-b5d3-947559d0401c)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54d5b82b-1d31-4f23-8460-d3c8a9d4b337)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e7199d4c-9fee-492e-9414-5be1744c03c8)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9d70e272-f1a9-420e-b55b-6ea4382aaa20)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e2b3ebc5-ca24-43a8-ad29-044ae7449c25)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18f70b44-7098-426a-b673-03ad5ef50e5d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fe1593b-4beb-4e33-8e9e-b88f63e70a25)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d5918f73-0829-48c3-85a5-f4e70241299d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75a6b6ed-58f5-4ac1-b2b5-9623e9d92912)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8eb5f5f4-1483-421b-ba0f-54bde22227f1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ac62ec5-d0dd-4b4f-b2c3-012cfba488af)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 1f196920-c6e3-4140-b40e-1a31e49fa6ad)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 157363e4-51e8-4696-97d4-ff388b74f85a)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp df6f948a-29e3-4f62-a0f3-dc752257df40)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a29ac49-85e5-4232-9a92-b25e28c4dabe)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0ecb19ad-f3df-4f42-aae8-9b9bcb97f0bc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 11a0f5d5-ef88-4d74-bbf9-8f9c4cd91e20)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c01112cf-4e03-4eaa-94e7-2a966191883f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 11b69f8b-d8b8-47d5-bbe9-d07cd37277a8)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2597dca1-8b4e-43aa-888d-1e188e867d97)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a9de1d3-8188-4699-bdb8-39386bec073f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61ebb5fe-6306-43a2-bf25-625da90fc3b4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 628fb2ba-7685-4970-8128-974e4d6165c9)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 105bc06f-d40d-4354-9694-2652472b1ce7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43ac0fcd-3f3f-4959-86ca-017cbe65137a)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "Net-(D61-PadA)") (pinfunction "A") (pintype "passive") (tstamp b030dfc3-eb05-43dc-943b-df84d273fad1)) + (net 117 "Net-(D61-PadA)") (pinfunction "A") (pintype "passive") (tstamp 2060076c-a76f-429e-935e-586550909a7e)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 121 "GPIO68") (pinfunction "C") (pintype "passive") (tstamp 59c79fe2-ddd3-4daf-ad99-57f3cbeacbd1)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 118 "GPIO68") (pinfunction "C") (pintype "passive") (tstamp 5d5b0d77-cd01-4d0f-81f1-7b6aad31a19c)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 26c3590d-df2a-40c6-b1b5-50380f345943) - (at 184.480474 110.454526 180) + (at 183.690274 104.993526 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -3554,44 +3930,44 @@ (attr smd) (fp_text reference "R110" (at -3.8506 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0bceb978-6e47-4ec3-bc79-ea2f2a00fc7a) + (tstamp 1141684e-e3c5-44c1-9280-46dfd9b2ca42) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2c94fe1a-ba77-4402-abba-a2f678a07574) + (tstamp 01b5a0ad-12f7-4d6f-9a63-02d9cacbe48d) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 651554c9-40c4-4565-86a1-b0ac7ba93d55) + (tstamp 9484f32d-9187-4d1e-b5bf-7705e122d7ff) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2df1712-1d7c-48a8-af7a-8a2702a950c2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73fc6577-87b0-4c9d-bee5-010734bc90d3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ee85c4f-602f-4712-b6da-4740e7c8738f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1d9274c8-2017-4a63-9a2a-546d1a4a1f87)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f02d0c50-f2e3-4418-b406-d8073b92d715)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 04c81fbc-f7f6-4604-924c-a69d8b8407b6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05023e1d-55f6-4abe-85f7-6c4bee85bb90)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 10b09796-c61d-4adc-b02d-64d4d68fc5fc)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5ff282fe-1597-40ca-89f8-7c7496865de8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2be45d1e-7eed-42c9-b8c9-364d23e82a17)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7ccb956a-dc23-4bba-8a67-7a4ec7332e7f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 15f3a2cb-7228-4f72-9269-ad5ba18d3622)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c314e7ea-901a-4d91-a752-f49c5d88db21)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8c0247ae-5bf7-49fb-a74f-b76523d44ae5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 021674d8-e2fc-4fc2-8d85-47825e03beb6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6cc04355-d9d2-4148-a4f7-a8f29f6b528f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 491bbce4-5455-49c1-b36b-f5ff79cb4ce2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 921afc81-4d9b-4857-859b-bdbe311be4b4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 57068355-d45e-4546-94c3-73f9f0e2e8ad)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f08d831f-7fb9-4472-a79b-f888b727166c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ad4f795-a6ec-4260-8654-66bcd96f7e8e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cf46b921-792f-436d-8f62-2b3623269fa6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aeee95f0-490f-47f2-9306-a0ee8ee81086)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3c48a4e-f8e0-40f1-aae1-dc8b2d677446)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp f05a65c9-1d53-4892-a0ed-b6e3b21fc0be)) + (net 4 "+3V3") (pintype "passive") (tstamp 297cd1d9-22c9-411b-a775-2c212a2fb734)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 214 "Net-(D108-PadA)") (pintype "passive") (tstamp d507b98a-cdfc-4793-9d38-3b67a809e714)) + (net 207 "Net-(D108-PadA)") (pintype "passive") (tstamp ac3fd8bd-2a95-427b-a905-56eeb010eb29)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3599,247 +3975,308 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 271463bb-d940-4ab0-90a7-07bad9ebe15a) - (at 76.362914 41.011126 180) - (descr "LED 0402") + (at 76.454274 41.011126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/dc515cbd-8b08-4ece-90c8-711aa4910f4f") (attr smd) - (fp_text reference "D104" (at -4.274191 0) (layer "F.SilkS") hide + (fp_text reference "D104" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 17d25336-ae11-4776-b144-50dee6c41c15) + (tstamp f33070fa-02f2-4d71-ab04-e581ee9dabed) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e4a76dc0-8a3a-4178-87e7-d72503df69a0) + (tstamp 00bdb3b7-1584-4c42-9c2e-9beaf1f76584) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 27b4ec2f-cd33-4490-bf69-04b6b3eeaa12) + (tstamp 6a79ef1f-bb76-4ac9-aeb8-959d93e451c8) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30777fdf-b89d-4aa9-93db-89c20bef45e5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d57742e6-eb1c-4d85-80b2-2a091f5a17ec)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e73b7580-c55c-47a1-add5-ff1b5bfd17f8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2f89e59-1fcc-41c6-88c9-7084bf10d57b)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4b790c5d-7a4f-4117-b734-10f62f9417c5)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 099db091-520b-4394-a990-4e843191ca17)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0b96359-cbb8-4373-990d-f38817d35560)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72fbf5cd-d0e6-43ab-a2fa-73960cd83612)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d83907a-5420-4ff2-95b2-e27ea0180ae1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cee91230-0278-4b23-bbf9-d44f0abc97c3)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b051a9e3-360b-47b5-a838-2d6af8e847f8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1d24f340-7fba-4dc3-9bb9-a885432e4f91)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ae790587-19d6-402d-a9db-b274fe621548)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp dc913cb6-098a-4fa5-bf0d-82bcba02dbae)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fbc8188e-6be8-4b6b-a5f7-80838ae12187)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8d0e40a2-a7aa-4788-b425-96b38e4b9f3d)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39dc5ed9-2e9c-4ef9-a8b4-3005ab11f081)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5fd31b42-5de1-4cc7-b55b-58651353141b)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d97771d0-60d8-4104-bb6b-9235736d8708)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 90d45fba-3c5e-425c-a521-2157d3b4ec5d)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec8bb342-8d56-472c-8fea-068241426c2a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b17f6a05-0b79-44b7-9bb5-564ff5b02705)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp afb67a17-b76e-4da5-8a56-b18445ae0079)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c091e917-4e98-472e-bfda-2af156562707)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 383e31de-e9c0-4ca8-bbdd-2e5855e0af24)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 54e44947-8075-4674-801e-42903d9afd8d)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 206 "Net-(D104-PadA)") (pinfunction "A") (pintype "passive") (tstamp d86c484c-01bc-4f4f-be3e-86542b78e7f6)) + (net 199 "Net-(D104-PadA)") (pinfunction "A") (pintype "passive") (tstamp cc74a9e4-3b65-4ba1-b8bb-2cb69058ec4b)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 207 "GPIO119") (pinfunction "C") (pintype "passive") (tstamp a70aebf3-132e-49ac-a604-c2fa79ecc0fc)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 200 "GPIO119") (pinfunction "C") (pintype "passive") (tstamp aefdec2e-ebe4-43cd-8dac-2e6a9eac67bd)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp 283cef46-9ccc-4100-9505-54684ffc7261) + (at 176.300274 107.401526) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") + (property "manf#" "APHD1608LVBC/D") + (property "mouser#" "604-APHD1608LVBCD") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5c091980-9c02-49cd-9b90-04d035618ab9") + (attr smd) + (fp_text reference "D81" (at 0.3 -1.39) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 530ddcc2-8f16-4b3e-85eb-44f70ca9a76e) + ) + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 77fb7f4d-2f47-4be1-bfc7-bde0b9b4949b) + ) + (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 073e7a35-1a6e-4dd3-b5dc-603f1b998261) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4feb9781-2ff2-4ef8-9c82-28eddc4e0a6c)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5a7e911d-fd7c-4e17-af2f-82d9ecd195a9)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ae34b9c3-3c13-4a45-b9a8-2f3372c8d2b3)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09cd6fdf-3e35-4c63-8bfb-a5c6394f51f5)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 60f1c41a-06d8-4204-bf57-802231e05a4d)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02174c08-7f1b-49d0-b176-f10ab4aaab6f)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8fbfd677-de45-4ead-ac36-aa742f92c5d6)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0b09805-40a6-48b1-bcf4-35661262a03f)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 69b768f8-3359-4657-a986-b2851fa371f3)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 92f25d9e-80b8-4d12-96a3-1f32ad5e750b)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 514aac0e-231d-46ab-ac7d-8473dc2cbdbd)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7a2d348-df1c-42b0-a96e-73be9cc5aeda)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 27461dec-5be2-4170-b74f-b6d051cf7a5c)) + (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 392 "Net-(D81-PadA)") (pinfunction "A") (pintype "passive") (tstamp a40822c3-a6db-4a19-8c32-2bfa0727555a)) + (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 198 "GPIO118") (pinfunction "C") (pintype "passive") (tstamp 6456ca6e-9c0a-46cc-8edc-f6dd39d98dd4)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 291615f1-b6e6-4a12-a6bb-d65d6c476c1a) (at 76.454274 56.325652 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d04c432b-9010-4ce3-a1a9-d1dfe797d8e0") (attr smd) - (fp_text reference "D30" (at -3.81 0) (layer "F.SilkS") hide + (fp_text reference "D30" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 459c9437-b115-437f-afd3-4f81a8fffe62) + (tstamp 69f26f36-9f06-420b-9307-1646fa75c6d5) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b9c22367-cb20-49df-9d9a-44a7f1ca2aae) + (tstamp e6b6bfe3-9033-4395-b9a9-e4d0b31337bf) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp afe16045-9a9f-4606-a54f-b66eb45e80e1) + (tstamp 65b80334-c210-4db5-98bf-1acf2908beb3) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a4e86d6a-ec73-4fec-810c-5a81059816b9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 04e4446b-df04-470f-a76d-2dea0080424e)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8b5ab6e-b577-47f2-8ebd-303d61480454)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5ed9f83-ab9f-4237-946a-10f2a2f7b5d3)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5daa7115-89c2-44e5-bad5-bbab4f2eebf6)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9524996b-39d0-4950-9560-356dc25332c0)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8f82af2-a652-4a3f-b274-b3902759099c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5e615145-11b5-45bd-9aa4-63e0761b9fdc)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5f0efdef-3eb9-4c20-95d0-82dbaf2cd561)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03d1f86b-c569-4ba0-a7e4-e22a69f387f7)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0e5f2cb5-ee36-4ef3-80a3-b6f53e099014)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 68a860b4-1efd-4ecc-9360-127dac826dbc)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp be8332dd-3a07-4e0e-98be-d58579d89957)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 59f7d117-818b-4f5d-bdff-84e8eede5f14)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6bc2f462-1d1b-4138-b2c7-0e59d340f857)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5065fb16-2749-455a-ad4d-7150da2e934b)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 21b7c31b-2bf6-49a7-b9e5-93fe404a2bd0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f2dcbe7a-c51f-4342-ae98-1fd78019c8a0)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6d38e43d-2608-4cbc-9f45-cebb9b24947a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 03961479-bf51-44c6-a98d-3fd9e9ffe349)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1ae03f48-ed26-46a4-9564-62cc797f4cd2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba87e4b1-01f3-4a58-9f48-6de902238e3b)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a52a60e1-4f5a-4fce-b297-5937bf7f40bd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aa37af9d-220b-4140-8841-df786442dc1a)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d532c68e-e415-4bd8-9634-a1850e5dcdf3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 663a753c-4c44-4e2d-8336-d342d8a4042b)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 61 "Net-(D30-PadA)") (pinfunction "A") (pintype "passive") (tstamp d9683e7a-acc3-4f81-abd4-a919710f4357)) + (net 58 "Net-(D30-PadA)") (pinfunction "A") (pintype "passive") (tstamp 92818a8e-8e2a-409f-981f-dfdd39ab4d6c)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 62 "GPIO36") (pinfunction "C") (pintype "passive") (tstamp a651ca81-e47c-487a-a4e6-8bffa818f328)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 59 "GPIO36") (pinfunction "C") (pintype "passive") (tstamp 5e727c15-a379-4f8a-b06c-ac11385254db)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 294e1294-8068-4afd-a3ef-7d52564185ee) - (at 93.37103 55.337623 180) - (descr "LED 0402") + (at 93.3216 55.337623 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ae9e0e52-74e3-43b9-a4ab-65c7f8e5d050") (attr smd) - (fp_text reference "D34" (at -3.972547 0) (layer "F.SilkS") hide + (fp_text reference "D34" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bb41991c-9e81-4e86-b870-81946d6e3e49) + (tstamp f2068044-9263-439f-a440-3a917dffbf66) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 78513751-346e-4570-be89-3cc91d523ae3) + (tstamp 8870583c-40c0-4eac-bd63-d8fcc4596d55) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 9e2a3264-c502-4036-95ca-74ebe7465fab) + (tstamp d493fb2c-921d-4d2d-8671-1e4c6929062d) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1dd2cf35-33cb-4708-8d44-f1118e81fb79)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 38b28b99-2ff9-40de-9bec-87fd6ae338f0)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40e6137c-cce7-4cc4-9595-da2f5630cf7e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83aa8b50-dad8-478d-9815-47dceb74f87d)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 46f0ce13-1bec-4424-842f-535050515bbe)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fe35c51f-8073-4245-b679-06c01b767eb1)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 41fec825-e4a9-45f9-a011-8553fe8903ee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2647f7a-7e83-420e-a453-bdd2fe67c9a2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31beaf8d-c950-45d2-87bd-76aab6c46a77)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 186f4c64-925a-4d16-80e1-2d1a7b9e9f3f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 79ccec25-e7e9-4837-9b7b-e84731bdffa1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b522b9b-ea30-4d17-84d6-4240c7d6a56e)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 92a230c1-eaca-4f3b-843c-77af914d328a)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 266b4f15-8eb4-46aa-a225-75c466d75ae5)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7867482c-e790-467a-91f5-73a27bdbee54)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6b307af9-1f77-45c0-8726-9d1272b91232)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ebdea024-5b79-47d2-a59a-5cbe36908ae9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6a3a6bda-d1c0-4a0a-824f-f1a172e18cf4)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0f1f3abe-8f35-42eb-a783-96d5ff427bbb)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7e83d6ef-1986-430c-bc54-a493e34404c2)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 531b79a0-e940-4422-b7b3-2ba41076eb09)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be16c0ec-5bb1-43de-b8e2-0d11162547d2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e959cb98-3b53-4ac8-8164-a06556ec7152)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bc90638c-5ec0-4556-a804-6d9d1acc72cd)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f0e9123-48d9-4948-97bc-de5de410b920)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a08388e8-a697-46ac-85c7-558ab85bc7ee)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 69 "Net-(D34-PadA)") (pinfunction "A") (pintype "passive") (tstamp 7b17a7a9-a764-4d8e-b058-d238a45b0a9c)) + (net 66 "Net-(D34-PadA)") (pinfunction "A") (pintype "passive") (tstamp dcdd0c9d-7a30-4b87-9028-51656a6701c5)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "GPIO40") (pinfunction "C") (pintype "passive") (tstamp 82ac5ebf-c1d6-442e-9961-8d2957439cd3)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 67 "GPIO40") (pinfunction "C") (pintype "passive") (tstamp 323b275d-5a4c-47bc-94d3-3edf72e691a9)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 2b69fb0b-7ba9-4b13-89a3-756ffb7df7f7) - (at 93.37103 62.977424 180) - (descr "LED 0402") + (at 93.3216 62.977424 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6282eafa-a4e2-4ddd-a969-b1f572ef3604") (attr smd) - (fp_text reference "D50" (at -4.080133 0) (layer "F.SilkS") hide + (fp_text reference "D50" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d372eb96-b5b2-4752-9c6d-714a706c79b1) + (tstamp e246f617-8566-442d-85d1-4b8684d21c8e) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 679a9ac2-af83-47d8-a46a-f4ae02aae94a) + (tstamp fecc6bff-19b5-4aac-aa4a-9bbd7cf4b992) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp d75554e4-62e2-4ac4-9b00-f55bbd949de0) + (tstamp c15a2cc0-7ab7-4aaa-87b5-e3e9239bba0c) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 958065b5-c14f-44dc-9ca2-0b138cd08110)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7a1fea5-96cf-4a80-a2ac-24b74cd9bec0)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3131ed32-1ba2-43b7-bd85-364311c82421)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1293874b-274b-4278-8f36-fdcfb04be67a)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0d9c6d58-1dcf-417b-8405-9d7ebc364c46)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 34bff477-1697-4a3d-9efc-c66b16fe34e9)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 658c08e9-ec7a-4b5e-8f72-2cc1dcdf58ec)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1101b75-3240-49bf-a51a-31819f4a9444)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08aacb87-b13e-4c1d-a5ff-20437b9d37b3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 417a7618-4ccb-478e-bf48-182703cdb248)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6235c462-356d-48dc-b36d-85b687062bd9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp efb244ab-bc9a-49d6-adad-b21f449b0a96)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 23edbdc3-5269-4bc1-9a95-15a7ba2db0a5)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 941baac4-f625-4eb0-9745-57e2acf656f8)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 796bb934-dab3-4fdb-8a28-b49e85bd15e6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3ee0fe2-8798-4f53-ad7d-3dfe0c48e066)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a55a967e-cad6-4358-b9c6-1c31e83d628c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6acde54-6c53-426e-be6d-9251778af7b3)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fd648178-dfd2-4da2-beeb-ab1bf72dd3c8)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fb3a724a-10e0-402b-b226-06d086d42c63)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c947dc54-701b-4080-8679-88897c6a250d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ad252451-aafd-4658-a90b-15be1ef2114f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd55e5a7-404a-477b-ac66-c4150005da09)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2696a963-6ec8-4d31-8848-239de2fe7baa)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 52154660-fd04-467f-b6e3-0501164849e6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4cf9a1a4-d29e-4871-931d-42a8d9e3b00a)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "Net-(D50-PadA)") (pinfunction "A") (pintype "passive") (tstamp 05625949-86d8-461e-b57d-a42f07709342)) + (net 96 "Net-(D50-PadA)") (pinfunction "A") (pintype "passive") (tstamp 3280c90a-bd1a-4751-9751-f34db2cf95b3)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "GPIO56") (pinfunction "C") (pintype "passive") (tstamp 75d9ca1e-045f-4787-9dea-606d4fa1428c)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 97 "GPIO56") (pinfunction "C") (pintype "passive") (tstamp 8615994b-7dcd-47a0-a85e-047aa8d6bbfa)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -3859,76 +4296,76 @@ (attr smd) (fp_text reference "IC2" (at -0.014 3.391326 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2827a166-aae1-442d-b9c5-beb1fe1fb9b3) + (tstamp 105f41e8-f915-465e-a287-e999412bb185) ) (fp_text value "ADG708BRUZ" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp f1323b17-1a7e-459b-a071-bcf9153d3d19) + (tstamp 76615c14-b04d-4b93-99d4-058d943d3845) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 10569614-4019-4c1f-840a-57f1eb061207) + (tstamp 4b9aeb52-db81-431d-a7b8-230aa357ea3d) ) (fp_line (start -2.9 -2.8) (end -2.9 -2.8) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 97d93e5d-78e5-466b-b115-aa963c704692)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 928b1085-33c4-4387-87cc-68cc50ac36c5)) (fp_line (start -1.85 -2.5) (end 1.85 -2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp aeef8570-0fb1-4104-9dbd-2fd26539666e)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 0d5749b2-84e7-4101-9d76-c2b8797274bc)) (fp_line (start -1.85 2.5) (end -1.85 -2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 960c56ab-783b-4a99-b6a1-1f056552c2cc)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp ef60a529-11c2-4232-86ac-25c0332cbd49)) (fp_line (start 1.85 -2.5) (end 1.85 2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp ac197b8c-cb45-42c9-873b-b0b0ade97d02)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 4d409c2b-ae3d-45f2-99c9-dde8b967accd)) (fp_line (start 1.85 2.5) (end -1.85 2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp f539a525-b475-4126-b3fa-281673cd2d71)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp d3c84572-a03c-4f1e-a67a-8d34a41096c4)) (fp_line (start -3.925 -2.8) (end 3.925 -2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d275e719-07b6-455d-8ab3-113320551d4b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e279a625-96ea-480d-98db-b02fa644b672)) (fp_line (start -3.925 2.8) (end -3.925 -2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f74b0dee-d8cc-4b08-9eba-84f42f230086)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 67279e75-6696-4103-ba58-9165aedde53e)) (fp_line (start 3.925 -2.8) (end 3.925 2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45a50bf8-cd07-4a6b-8ada-78e585a5c2ec)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0a0339f2-5ae4-462f-96cc-d9a3ec7d8eae)) (fp_line (start 3.925 2.8) (end -3.925 2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dea9bb23-c411-4f05-b037-5da582a625e6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3ac024fe-a8cc-493f-8ff7-639670196ab3)) (fp_line (start -2.2 -2.5) (end 2.2 -2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 478f207f-0276-49a8-a720-00fc25b1f941)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16bdbe91-85d3-420e-a6f9-c29dd2bad7ef)) (fp_line (start -2.2 -1.85) (end -1.55 -2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03ec4010-1ed7-474e-9ba8-4e2887323533)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de5c27f6-169b-49ee-b476-5f5e5e8c19b3)) (fp_line (start -2.2 2.5) (end -2.2 -2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71126670-ff29-4870-b0ef-ea8019bbd67c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 921cef47-1943-44d2-89d7-7b8f50263d2a)) (fp_line (start 2.2 -2.5) (end 2.2 2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1f6306c-f6e6-42a6-af1b-1f45bfa7ea66)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 59c3e877-5a8f-4ddb-bdc2-de7f5dca1356)) (fp_line (start 2.2 2.5) (end -2.2 2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8bda12fc-0c08-440f-a345-a6aa4352b279)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1e1dfa9-b9f6-404f-9906-4a22383457af)) (pad "1" smd rect (at -2.938 -2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 335 "Mux2_A0") (pinfunction "A0") (pintype "passive") (tstamp 50fcc404-f32c-4f81-9c0b-5fafce62c1b2)) + (net 328 "Mux2_A0") (pinfunction "A0") (pintype "passive") (tstamp b8d8fe7f-cf2e-417f-bf5f-37062b000db6)) (pad "2" smd rect (at -2.938 -1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 313 "Net-(IC2-EN)") (pinfunction "EN") (pintype "passive") (tstamp 07890b4b-4ffa-4755-820f-d9310793ac3d)) + (net 306 "Net-(IC2-EN)") (pinfunction "EN") (pintype "passive") (tstamp 1b92378c-f381-42c1-9d0e-d8d45ecf3e32)) (pad "3" smd rect (at -2.938 -0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "VSS") (pintype "passive") (tstamp 94061690-42a6-495c-8726-9bc7151f3632)) + (net 2 "GND") (pinfunction "VSS") (pintype "passive") (tstamp 26d297f6-c38e-4c81-9348-b89b4b9c5acd)) (pad "4" smd rect (at -2.938 -0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 250 "Net-(IC2-S1)") (pinfunction "S1") (pintype "passive") (tstamp 9029150f-e930-4f8d-baf1-573cb1359c43)) + (net 243 "Net-(IC2-S1)") (pinfunction "S1") (pintype "passive") (tstamp 3d4abd9f-149b-4624-ab91-ef82e8f2cc9b)) (pad "5" smd rect (at -2.938 0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 370 "Net-(IC2-S2)") (pinfunction "S2") (pintype "passive") (tstamp e46f8aba-16e6-48b3-a053-d0a6302db9e6)) + (net 363 "Net-(IC2-S2)") (pinfunction "S2") (pintype "passive") (tstamp 0894f1f1-4789-4067-b3d3-4ecb99b099ac)) (pad "6" smd rect (at -2.938 0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 372 "Net-(IC2-S3)") (pinfunction "S3") (pintype "passive") (tstamp bda69234-242b-491e-92a5-43e8e7bb838e)) + (net 365 "Net-(IC2-S3)") (pinfunction "S3") (pintype "passive") (tstamp a530d328-9376-4d21-97c3-35a08ec1f673)) (pad "7" smd rect (at -2.938 1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 374 "Net-(IC2-S4)") (pinfunction "S4") (pintype "passive") (tstamp 80465ede-d666-41cc-9664-35b328479eff)) + (net 367 "Net-(IC2-S4)") (pinfunction "S4") (pintype "passive") (tstamp 05ec4699-7df6-4b5f-b8cc-3b2acab222e0)) (pad "8" smd rect (at -2.938 2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 261 "DACOUTB") (pinfunction "D") (pintype "passive") (tstamp e6442224-0f73-47e2-9f2b-860d4312f698)) + (net 33 "Net-(IC2-D)") (pinfunction "D") (pintype "passive") (tstamp 3ab50886-ba7e-4376-ba2a-6a216fe2e428)) (pad "9" smd rect (at 2.938 2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 381 "Net-(IC2-S8)") (pinfunction "S8") (pintype "passive") (tstamp 25658a24-be43-49f8-8976-587ed99d567a)) + (net 374 "Net-(IC2-S8)") (pinfunction "S8") (pintype "passive") (tstamp b3f9a828-7545-4210-a89f-818e42ce4be1)) (pad "10" smd rect (at 2.938 1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 380 "Net-(IC2-S7)") (pinfunction "S7") (pintype "passive") (tstamp dee6d839-362b-47a5-bd4d-c3e941273126)) + (net 373 "Net-(IC2-S7)") (pinfunction "S7") (pintype "passive") (tstamp 85a825e5-8aaf-494a-b055-297162dff898)) (pad "11" smd rect (at 2.938 0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 378 "Net-(IC2-S6)") (pinfunction "S6") (pintype "passive") (tstamp e626e331-8ea5-4ed1-b7cb-e8beb941c354)) + (net 371 "Net-(IC2-S6)") (pinfunction "S6") (pintype "passive") (tstamp 27a9fbea-b376-495b-a4e2-78f115b933e3)) (pad "12" smd rect (at 2.938 0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 376 "Net-(IC2-S5)") (pinfunction "S5") (pintype "passive") (tstamp 42234032-864c-4069-9510-4225fee34362)) + (net 369 "Net-(IC2-S5)") (pinfunction "S5") (pintype "passive") (tstamp c5ce01cb-de31-4296-acad-ae441dade10a)) (pad "13" smd rect (at 2.938 -0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 395 "Net-(IC2-VDD)") (pinfunction "VDD") (pintype "passive") (tstamp c1d8a7d6-da5b-4f6e-8e5f-db8379fb6f31)) + (net 306 "Net-(IC2-EN)") (pinfunction "VDD") (pintype "passive") (tstamp aab57ac9-5aff-4136-b6c9-ed36109126a5)) (pad "14" smd rect (at 2.938 -0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp c3f3f074-b73a-4f7f-a2d0-b2920b7b2c46)) + (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp 67d5b810-209c-4903-9176-81ed502a494b)) (pad "15" smd rect (at 2.938 -1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 336 "Mux2_A2") (pinfunction "A2") (pintype "passive") (tstamp 6363b52e-bfb4-4a57-a60a-090530337bcc)) + (net 329 "Mux2_A2") (pinfunction "A2") (pintype "passive") (tstamp c43b5395-a790-4a56-87eb-5ceaba844514)) (pad "16" smd rect (at 2.938 -2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 337 "Mux2_A1") (pinfunction "A1") (pintype "passive") (tstamp 62b30319-1182-4ac1-9fcc-2b564abd26b4)) + (net 330 "Mux2_A1") (pinfunction "A1") (pintype "passive") (tstamp 87f7e95e-66cc-4d91-a840-375d8c20e7b4)) (model "${MODEL_3D}/ADG708BRUZ.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3936,123 +4373,61 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 2d029664-8753-4037-8caa-a247f54724a9) - (at 211.300874 78.674726 90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/6b45207b-8750-4741-b6fd-90f2066e1f2c") - (attr smd) - (fp_text reference "C15" (at -3.048 0 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f437f3d4-034c-4144-a269-33c88236d940) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0ef87c03-3124-4c77-a770-281e01dde53a) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 4119f73e-3aad-4d0b-a672-47b6491dd713) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 988aa9b9-2429-42f5-8670-6339f1ad6c22)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9cc9ba8-309d-4d13-bab5-296db81218d8)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e33f469a-20e6-41f9-a9c0-3a0508c744aa)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f45c7a13-94f9-46fe-8825-6cc0cc468d08)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 53eb1e20-8968-4660-b2b3-11b1a9a43183)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e1823a1-aae3-4f2e-975a-033a26e9fd89)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e1a4feeb-726c-4243-980b-2ce51ef58b3b)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7a673601-e869-4bf6-89bd-178bd1c10b62)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f9fb0fb6-14c9-4bde-a443-1659d8c986a8)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5290b832-5fdb-4a62-84e6-246fb5eb8f52)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 67565ef4-5da1-40ea-bde1-bfebc2a05347)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b50a92bc-58b2-40ec-bf78-d68f6e35e0af)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 88be9ba0-c6aa-40f9-980d-e9bb02cc6abb)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 375 "Net-(IC1-S5)") (pinfunction "2") (pintype "passive") (tstamp e5609990-285a-4814-8c6e-49e806284f29)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 2d0f7eac-f85b-4039-8f17-96730e579641) - (at 195.707274 156.653463) - (descr "LED 0402") + (at 197.739274 126.456526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/67d7c642-55c2-4fc1-939e-02c6eeaca598") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/f14cd3ec-cf02-4d64-9454-4e112ed3c30f") (attr smd) - (fp_text reference "D63" (at -3.331009 0.02) (layer "F.SilkS") hide + (fp_text reference "D63" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dc54ca4c-25db-4ed9-8d64-b9051766d757) + (tstamp da458a86-f88e-4d36-b8bd-377039fdf18d) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp afffe1a7-6687-44a6-8cfc-6846f13845cb) + (tstamp c2886b72-fb98-4598-acbd-2d09568337c4) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 11abee8d-9291-465d-80de-7ce9018a394b) + (tstamp 245abf07-923f-4f4d-a80e-591dbca6b12e) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e27720b-ac64-4390-b876-f5c129383523)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e6753c62-54e4-4391-9e82-252e63a2c25f)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c8353504-b053-41c8-9ca6-1563be1e919b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0994d87-2be0-4279-ad07-d0f4579d308b)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a7376062-cdbe-4c2f-91b3-8738e1cd1df7)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 81d69655-c988-42e0-812f-b2bd7d97d256)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 15891eab-a0a3-4590-8dc5-d06414fff40f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b83edbe8-c6a9-4d5c-bccb-5804ef863bf4)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2eb8257f-792d-434f-88c7-df105175ddb3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 506f107f-2a00-4ba4-a36d-fb1e2d64e9cb)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd6f54d6-a143-403e-9679-679a9ffb6d96)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aba47309-dab3-4763-a539-197e0a201651)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7277e235-b8f1-4001-9c48-bf0399c99e38)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 60d1c197-40df-434c-b410-c6d75effc429)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc1498db-b4fa-4147-b350-a98cb460ef46)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7b0863fe-4230-45ce-921b-329567d553f8)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 054d0702-16c5-4fc8-9f23-2030d5b2d4da)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bea81d6d-c99c-49c1-a6e6-103f18d86244)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fcbaea13-e648-42fc-bd8e-a39c9871fbba)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ebfea3cf-f644-4449-8e93-d423fd54b6b3)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3b5aa99e-2fb2-4394-b9e7-39415100085d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 97532d03-08e0-4144-b1d6-d4ac6236e3c4)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0010900a-b780-443c-9490-d5d00fb844cd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 265b6b0a-e246-4098-978e-9c6c813fccb0)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed02dba2-2702-4c9a-84dc-d1c76e7f034d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 562d71f4-1270-4d07-aec8-322a65589f13)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "Net-(D63-PadA)") (pinfunction "A") (pintype "passive") (tstamp 909a6a4e-5031-40a3-a42d-0e508815e031)) + (net 121 "Net-(D63-PadA)") (pinfunction "A") (pintype "passive") (tstamp a2245b51-d056-4494-9750-75bc68333bc9)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 125 "GPIO70") (pinfunction "C") (pintype "passive") (tstamp 62223ddf-4a16-4346-a928-f682f144052c)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 177 "GPIO106") (pinfunction "C") (pintype "passive") (tstamp 99b20a60-0f30-4503-ad85-140fab69368b)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -4076,44 +4451,44 @@ (attr smd) (fp_text reference "R76" (at 3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a5f5fde2-f181-4417-857b-35e45244a42d) + (tstamp f33522da-c867-43ae-908e-ed2c648b90bf) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 465332dc-63ce-4fe3-a677-cb007537a004) + (tstamp 5358aeb5-50a7-416d-a2e1-5eeb14368d0d) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 9b07965e-9424-45f3-9101-c0a321f04b0f) + (tstamp 6be23b73-f9c6-4b12-bae2-26b34567ded6) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebd14766-65db-47f8-adef-c27c29dc4f8d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 76128af4-0ace-4bc3-b40d-a148444a38b8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2380953-bf0d-45eb-9432-1cb4d5272107)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8b6e776-9a70-4ac1-9c41-ca34f2aa1a34)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 974fcdde-74f9-439d-83c0-b1a83c0ae251)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa13dab5-a915-4afa-a96a-242d3125df00)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0f8ccbc-bc11-4935-b1de-66ca97e32a12)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51db37c2-5605-4710-8a49-ba30f327d8e7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c484fb67-5fe5-49c4-a011-27419cc18487)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 929b3eb0-f1d2-46b6-ab13-75748a236ab8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 531f98ba-506c-45b6-bde8-5aac2daf70bb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 737abf19-b46d-4817-b8c6-43319b7a1c33)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7a56c392-235a-4b86-b434-f0e6639d94b6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d418cb98-baf1-4137-99dc-68b6363b3fc0)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ee92c48e-9cca-486d-990b-96451a0f9bb8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e37fdb9d-aaf0-43c8-b058-7f386b609689)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9b4391be-5768-41d7-a9c6-ce396880878f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6922f236-6a91-465c-bdc4-9a5be98a4852)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4515b3eb-c410-47f9-85a3-815f7a607244)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba69f39b-9dc5-4d88-a858-adcddbc0d891)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 14a94d0e-4fc2-4af4-a0f6-ad76d9fc20b1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 492ad5d0-2b6d-48d1-b71c-c2db0eaa383a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc3cf83f-e071-47e6-afc0-d4dd64ad43a6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0679b004-8758-4945-bfb8-140c447ba51f)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "Net-(D74-PadA)") (pintype "passive") (tstamp cbe7feb6-a334-4a59-87a8-88465d5d4fd0)) + (net 143 "Net-(D74-PadA)") (pintype "passive") (tstamp 9d348d7a-a920-41ce-86e5-45be5048c5c2)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 0a8826ad-f311-4a38-abda-57af70d0fe0e)) + (net 4 "+3V3") (pintype "passive") (tstamp 1d0cf14b-2cbf-4915-a871-77f9ae086968)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4123,7 +4498,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 2d8be7ba-bad0-4a38-a9e7-f93c1c98d480) - (at 184.480474 115.534526) + (at 183.690274 110.073526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -4138,44 +4513,44 @@ (attr smd) (fp_text reference "R84" (at 3.34581 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b27f77a1-83e9-46f2-a802-086216fe7487) + (tstamp 2d9d7778-ab2f-4c34-b08a-be2391c210d9) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp afd3118c-2fae-41cf-9825-fb95853e981d) + (tstamp 18f03bfa-4643-4c66-a4da-ec2fdf10e1aa) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp a16a537d-1fd8-4dd7-85e4-19af04d3901b) + (tstamp 92724b9a-7182-4368-ae72-4dcab243610f) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b324f213-1428-42f3-8789-4f6d021a50e8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b490259-9de2-4bf4-9601-01039261c6db)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 932c0c47-ade2-4688-9f0c-64d87ebd845b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e01f06e8-8ffb-4595-aa98-b2c866c19020)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c6da283-488a-4d9a-9587-93d1a2837509)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0a19948d-1446-467f-b4d5-98d0eab61061)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36599cd7-0be7-43a3-be8f-f4f13eb65036)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 597edd0e-b871-49af-9146-c58ec7df155e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2e13211f-785e-4c85-9ebc-b78e9504f691)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 630387fa-fe31-4e3c-b283-9abad9f1c147)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ef413862-c197-4f70-8237-96d8a7fcb624)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1197a9ef-0543-496c-acf4-4c484161cd80)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4ef85e91-8b20-4a5a-95d3-414b320e1b03)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ea7b8c16-f75c-431d-950f-5dbd14b20016)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 59216f98-1768-4e5c-8e68-48feec28e5d7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp db4c025b-bd8f-46b6-82ed-ac6de697e2b4)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9cec5ac3-1dd5-47e3-885a-bc0ab2cfac0a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7bc01669-306d-4d65-9a1b-acead663e193)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f33b2ba5-8acf-49e9-9ef8-2fa4d2acb474)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c45a9672-4077-4a57-85ef-df55749597ad)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 79d7ec83-d199-41dd-9ba7-410012dac57c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9b23c152-06f7-4e0e-86c6-fc8ff9354519)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 51827ac2-7375-4fbc-9e80-249be5364fa9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e4021def-b8f4-4c4b-b7ce-676d3ec261ee)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 162 "Net-(D82-PadA)") (pintype "passive") (tstamp 5cefd2a4-aa43-4b60-b336-9c67802e8404)) + (net 159 "Net-(D82-PadA)") (pintype "passive") (tstamp fb4a8724-256f-4925-926d-14585b184f01)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp cc59717b-bdfb-4fbd-a1fe-a72e8e704f0a)) + (net 4 "+3V3") (pintype "passive") (tstamp fa64d075-864c-4612-8440-83b64b443e1e)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4183,377 +4558,186 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 2d96f4ed-8553-430a-a29f-9113c8926bec) - (at 177.051674 100.366526) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/4c0bcf12-3c05-4df7-956d-2a270877b73b") - (attr smd) - (fp_text reference "D102" (at -4.274191 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9754085c-570b-45c6-bb66-86212726e5c7) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 41f3edd7-112a-4504-acdf-fe1bad66c7d4) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp fa6232a2-9295-4e16-9477-e6250313d631) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ef660e48-225d-44b7-b323-601c106aef12)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 294a4436-95c5-43a7-b723-bf526f19ef9f)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c1cbe610-38cb-40c2-82fe-7abd8fe44568)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1d22a5be-5914-4097-8422-f16c990906b2)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c34ecb6e-a483-463c-95c8-c449e11a0d11)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f2fd2ab-f75e-4c89-ab74-d053ddf01318)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d7bac5c8-50de-4720-8650-dce32a66d00b)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 41c08c50-6c03-48b9-8402-bbb741999c25)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 05c8163b-e280-4c32-aace-f03cbd1d2cfe)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6c6ae0f2-2698-4169-852a-12095428c2b9)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a83f9f49-919b-4004-8ef5-1f61deeb8ad6)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 319361f6-019c-47cf-98ab-8787a8f8bc93)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c6c1aaf-693b-43e4-a582-ecec1b7846f2)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 202 "Net-(D102-PadA)") (pinfunction "A") (pintype "passive") (tstamp ae3551b0-a7c9-419d-aa23-7cfc71f6cdcd)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 203 "GPIO117") (pinfunction "C") (pintype "passive") (tstamp caef76c5-d7d1-44f0-9d00-0d261d2a2d85)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 2e0d3e52-86ab-4486-8f17-d74a3855a000) (at 76.454274 88.101526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3fbe435d-2cf8-4596-9e6c-9721b568af22") (attr smd) - (fp_text reference "D113" (at -4.125316 0) (layer "F.SilkS") hide + (fp_text reference "D113" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d9a98375-fb58-4e38-9cc2-359805a2bef7) + (tstamp c79ac318-1a6c-4f77-80e2-38fb5e537ed5) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4c3547e3-954c-44b2-897d-8d9f069d547b) + (tstamp 7845b794-aa03-465a-8473-4a57d3db62f1) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 0a9a6c7a-61cb-46c1-889d-819110cfbdde) + (tstamp 35f02008-e585-454e-b80b-9e6017b4b69d) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 719239fa-e294-4683-846a-c94df84547e0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0e994eec-9c67-4aa1-93c5-f8dac09bc2c9)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8424f28e-e3c5-499d-8cd5-abb637ac83d5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ceedf642-3e68-4ec8-8b22-e29de91c9b65)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 770530c4-f22b-4f4c-8a02-afce09a0e4d8)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 91262905-9649-4035-b515-9486f3cf1363)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d413b1ac-622b-43ce-a758-965d3d005ae3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2b3029e-ad08-4377-9dd3-eda990a7f68b)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b30328d-713a-4b34-8ee4-977e770d554d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e674cef-9f12-4f64-bef2-bbbf6305a9f0)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 829e9279-1442-48b2-a911-742cffa8722c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f841210-4fdf-43e9-8dee-560c3c3b2439)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b1fdbafd-1f77-4600-96b3-8b41483ac032)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5778f7db-e589-482e-9f36-826722107140)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 80460e6c-218a-4407-8f67-4c5c3f6ebb11)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a7fc07e1-fc11-4cff-9d38-ddc2da55d6df)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ac1fda67-7012-480c-aa6e-d0df801c97f3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6386449-432e-4857-a8fa-a2fb089b2c6d)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 298f159a-5c24-4f1d-9525-9684285f8564)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b1301bf8-9fc0-4fd2-a0dc-ab129babba04)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a60f4c5f-15bd-4bea-800f-560aade6bc0d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ca14db8-3e05-4469-86bf-a714d9d88481)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9aa51a46-af34-4fc5-b4cc-0c425f717caf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7115fc21-6fd7-49b2-80f8-e8dee51616dd)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 30e6ac18-9050-49c2-898a-08e0f6c35fea)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4c9be86a-f997-45a4-9731-ff694e3bf9eb)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 341 "Net-(D113-PadA)") (pinfunction "A") (pintype "passive") (tstamp aac2362d-f81a-48e3-ae75-7c91a557fde4)) + (net 334 "Net-(D113-PadA)") (pinfunction "A") (pintype "passive") (tstamp 7ee73c29-445c-4ddc-8172-13e0b45b052b)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 225 "GPIO131") (pinfunction "C") (pintype "passive") (tstamp 734b2b96-4e83-4188-8faf-ec577c7a5caf)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 218 "GPIO131") (pinfunction "C") (pintype "passive") (tstamp f700aeb1-d159-44ed-8c10-c150ff55f6e3)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 2f11354a-5102-4e6e-a14b-f21ab7d9aff6) - (at 191.1116 55.7672 90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/b7184a3d-be83-4847-8162-1a7fea2f18bd") - (attr smd) - (fp_text reference "C28" (at -3.048 0 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp bccd2e3a-19ac-47a3-9c2d-d2f26663eeb1) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp cf0d10b1-f04e-4580-97d3-b91eb9c41419) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 36f357ef-1f0c-4d8c-b80b-7c37d7c6e0c6) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee1699b1-91e0-4a1e-b7a3-9c520fa05781)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97f9d4e5-4fea-4261-ab2a-1c2467a072da)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20410217-cca7-470b-9cec-e5d630e853ad)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 142d2c56-3a47-481a-b07e-0d14f6b8bb1c)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 14bc2182-4ae8-42d3-a808-c091169c4079)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 76042c1e-c9a8-48ed-a4c6-5af2abf47890)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d32b0a00-e067-4644-a449-ee80a72510dc)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d9273e14-6a0d-4678-9015-8c1b4077274e)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 19f4633d-07bf-483f-b275-f595a9361d13)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bcc36a58-3499-4c45-be87-aaeb8b256249)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c534e058-f6dc-409a-bc93-1a084d5546e0)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e0b86756-9e4d-4f73-82d6-a6890e0d8970)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 95d215e4-8a22-43fc-973e-f811937a3937)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 386 "Net-(IC3-S5)") (pinfunction "2") (pintype "passive") (tstamp 75440348-6444-4a70-9392-0842da2e3d05)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 2fa8ea97-386b-49b8-9eac-fb69685666f4) (at 141.642674 153.858126) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/71302cf9-0815-4e10-8cc4-67415c629698") (attr smd) - (fp_text reference "D151" (at -4.328356 0) (layer "F.SilkS") hide + (fp_text reference "D151" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a636ed10-89a9-460a-b79e-09c6efb1c55b) + (tstamp 2c699b9f-727e-4206-91c0-bd0d62ce97e4) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3cc6c3a4-ba52-4b44-adcf-21eb94f53c39) + (tstamp 07ba0625-a4d7-47a4-a667-6cccf27dcbf6) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp d5cafbe3-4efc-402a-9227-6c201beec03d) + (tstamp a4c6acf5-48a4-4ec2-aa6e-e8f0026502c8) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7053db2-b13c-4d45-b283-b7267a5f2e77)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb2b4623-e45a-4f8b-a748-03559e1fb36c)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fceaebd-3381-4cf0-93bc-458e6c794466)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 300d9234-dbb6-4a31-afd9-291bf02f8b3d)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp bd2ea618-0b82-42a9-b6af-c11d3f81aaf1)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp dbf6cb02-6dbf-4616-9f40-160f469f628a)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec7c920f-abce-4f4b-8763-54b2bcee40b0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba2ebaa5-1829-476a-a4c8-7a010805df59)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9000636f-8e9c-48d2-bca5-737f24945bfa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ba7864f-6542-4322-9816-6b58e25e272b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4656b5e-7372-44c6-a742-9ba41046e800)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fe39120-def0-44de-8c33-15f7550b7a74)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7d7660c2-f8a5-43c6-b312-a6946cdc28b7)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 97459de5-b8ae-4a47-903a-82421645e846)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cbce38f3-f047-4b6d-a5cd-6a4589e263d8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c22323df-0c36-4461-a194-82ab94f1ad3a)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d925a28-6bd7-4cc7-81f7-04a05cf0212f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9766551f-22fd-40a5-b260-d619f29cbf0a)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 80069af7-7104-496b-9c5b-88be2a1554f2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9fbf8785-d2a3-4e3a-8a5e-d10d9567427a)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 432ea63f-eace-4ff7-b9f3-64cbfdaba830)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed23a95a-2c52-4d06-afd2-5c5e2cabfed4)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d060a7ab-0a71-4a19-9c3e-591b708c7501)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7c3ae974-09d9-4c10-a6c8-31d83d8c158b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c512e0c3-eaf2-491b-9f50-d249926caf89)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 20aa4c45-d2a0-4ad9-9abe-76083546b19c)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 273 "GPIO168") (pinfunction "A") (pintype "passive") (tstamp 5604b670-1d41-4e11-8164-706d14cf51fb)) + (net 266 "GPIO168") (pinfunction "A") (pintype "passive") (tstamp bdb060dc-a1d2-4a0f-9776-e8c8c9d1a03c)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 365 "Net-(D151-PadC)") (pinfunction "C") (pintype "passive") (tstamp 154c38c8-80b8-4812-a27e-e5f9123d59b4)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 358 "Net-(D151-PadC)") (pinfunction "C") (pintype "passive") (tstamp 7b104a60-93b3-4e79-93ef-3f0da3895a1b)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") - (tstamp 2fc89366-4fad-4316-b27b-cc395abe6de9) - (at 216.547274 73.751526 180) - (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") - (tags "Through hole pin header THT 1x02 2.54mm single row") - (property "Manufacturer" "Harwin") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "Sim.Enable" "0") - (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") - (property "ki_keywords" "connector") - (property "manf#" "M20-9990245") - (property "mouser#" "855-M20-9990245") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/c97f5236-0ba6-4612-9e65-d12c56b7c020") - (attr through_hole) - (fp_text reference "J9" (at 0 -2.33) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 094b9d7d-164c-4394-b1a8-6f19378b4497) - ) - (fp_text value "01x02" (at 0 4.87) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 735b5da5-b524-4610-8ed3-7adc11ec77ea) - ) - (fp_text user "${REFERENCE}" (at 0 1.27 90) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 58aae044-c1f3-4071-b753-c6aa718b4088) - ) - (fp_line (start -1.33 -1.33) (end 0 -1.33) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6b65eba-689c-4077-9fb1-a5f1fa652322)) - (fp_line (start -1.33 0) (end -1.33 -1.33) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2d79edf-c07f-4c24-834f-be1dff48acf3)) - (fp_line (start -1.33 1.27) (end -1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20c9e067-83c0-4c81-89c4-743ccc0d7b8f)) - (fp_line (start -1.33 1.27) (end 1.33 1.27) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6ce416b7-cf0d-4ab3-b89b-f729c482931b)) - (fp_line (start -1.33 3.87) (end 1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9db8d76d-0d9b-4f37-b01f-f177ebd59100)) - (fp_line (start 1.33 1.27) (end 1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3602bef7-1929-4d0c-a547-17c44878dae6)) - (fp_line (start -1.8 -1.8) (end -1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3a69c73d-3022-424f-ae05-b0a00b02e876)) - (fp_line (start -1.8 4.35) (end 1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53dc1ee5-f4fd-4b1e-960a-86db60324c55)) - (fp_line (start 1.8 -1.8) (end -1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 59a45ac3-2c6e-43f0-bb31-8461da21ec37)) - (fp_line (start 1.8 4.35) (end 1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9f2d0984-7dac-4a0b-b590-d415bc5f8e94)) - (fp_line (start -1.27 -0.635) (end -0.635 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9b3fa237-0b18-4433-9c33-1faf406e4b5e)) - (fp_line (start -1.27 3.81) (end -1.27 -0.635) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2703c0f5-672b-4fb6-bd84-4f8577ddf3de)) - (fp_line (start -0.635 -1.27) (end 1.27 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2970db50-bcd2-41a7-92e3-bfdea093d689)) - (fp_line (start 1.27 -1.27) (end 1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 054c7413-4039-4670-9470-b6b3f8fd63d0)) - (fp_line (start 1.27 3.81) (end -1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 85d5817c-9933-482c-8153-579385443535)) - (pad "1" thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 394 "Net-(IC1-VDD)") (pinfunction "Pin_1") (pintype "passive") (tstamp c4662f5c-af7a-4c4d-850c-2130959636d0)) - (pad "2" thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 312 "Net-(IC1-EN)") (pinfunction "Pin_2") (pintype "passive") (tstamp 44aeffb3-90df-4b63-9ccc-1f93e30ed346)) - (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 3006149b-a36a-4cd3-91bd-7630b1cd5914) (at 141.642674 148.651126) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ea672463-3b87-4fee-ae44-c3b9009429be") (attr smd) - (fp_text reference "D149" (at -4.340356 0) (layer "F.SilkS") hide + (fp_text reference "D149" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 812b04d9-e61e-48e2-ba3c-4f16993e58e2) + (tstamp 874b7780-6915-4793-87d7-5220b932cbee) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 07c467f2-86fc-4763-a00b-89fd533a1aeb) + (tstamp 4f5574e5-a7ea-4cf4-a30d-c4fe12a7051d) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp bc043070-c498-476a-bc9e-de4f78a0d6da) + (tstamp 519b76ef-777c-4494-a680-f3d156fb2dd6) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d402cfd2-89e1-4829-827d-2108341b57d7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31117bf1-949a-48c8-936e-d0af1a6cb1b3)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f36c7e7-a34e-483f-96e0-6597f881449f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 014364be-dba2-42be-8848-fc4d112f0334)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fb2cd142-6591-4d24-9919-a56ec6853839)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e37bda61-2bd4-42f9-a9f5-3b93c6170aad)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23809fed-ed5f-4db8-bf80-27439bf47409)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09797b6b-3386-47c8-8d3b-6a312939e635)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3eec9f38-3587-4969-a5cf-688572c0f6ac)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c09e0544-d192-48ad-b67c-cfb0151a064b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00ffc177-6a17-4c4f-818a-37d13ba6aaf8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4a114267-c2f0-464d-98a6-bc6abb9076a5)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp a8c39bb7-2c64-440f-8d87-d9691a250d51)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c0639cce-1bc2-4464-a166-9760283de6de)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c0dbf660-7592-4b0a-9633-f9bfd3465592)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3d371a79-7ce0-4368-a3b9-afe3ac9310d3)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c2152491-5820-4ee2-a69f-166692eb8e03)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba3470bc-9db8-4a81-b45e-02c1b21f76fe)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7ece7b8a-42b3-4c77-9ef2-8adacbdc976d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9ebff7e1-3fe0-490a-91e7-02a3958676a5)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 00cb43f0-dda6-4494-9b07-b10932c15b3b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b0f2e0fe-531e-425f-ba0f-5855e68d7229)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a487bba1-b25d-4c58-88c5-bd836b8b3146)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8c813a6-f79a-4ad0-b52b-22cf8e5c46dd)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 66811112-2d3c-4a24-872a-b455e27a3141)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3c5a7a55-700f-4dc3-8493-93eaded126da)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 271 "GPIO166") (pinfunction "A") (pintype "passive") (tstamp f6b11c27-4af0-4bd5-beaa-7d9ad18a1859)) + (net 264 "GPIO166") (pinfunction "A") (pintype "passive") (tstamp 34c0de86-0d23-437b-af7a-065e226878c1)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 363 "Net-(D149-PadC)") (pinfunction "C") (pintype "passive") (tstamp 578f9b87-c980-44ab-85af-7814b64affd7)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 356 "Net-(D149-PadC)") (pinfunction "C") (pintype "passive") (tstamp 266f1365-0c0b-483e-9684-17382c20b4b7)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -4574,44 +4758,44 @@ (attr smd) (fp_text reference "R149" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 395c7c98-9d6a-44c8-a3f4-d41d4344be5d) + (tstamp de16d1af-0fbf-4d44-bcbf-25342d078670) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 84cd1ddb-ae0d-4999-91cd-bdc6a5b6d335) + (tstamp 6bae8ff0-8ad3-4256-970b-d1606c2f5a7d) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 48d14cb6-ba52-4460-ad2f-9a9a4b0b3a4f) + (tstamp 701717b8-cb37-4ce2-b192-19ddb6f3ebdc) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fbafbdb-8084-4b02-80e0-10aef474ca24)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9305b44d-acb5-4be4-8210-83e00a86a3ee)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f8a92991-d740-40e9-9563-202a1d2b813f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b5a0b44-cf26-4d38-ab59-022fc596a8a1)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f51e444b-5b9c-49e0-aa01-a9aacba41aee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8d4f8e1-61f5-44a4-a959-b0936afe17ec)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05961d55-5ba6-4df7-abed-8eed921de606)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 735607a0-35d3-4f53-8430-ee3d171c16cc)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 55b6d1f6-4ffb-4f13-94b3-be54d22afb5e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 13f586af-a15a-4afc-93e8-7d239fde4f15)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d2f31ab8-12a2-4018-88ce-f7358857315d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e58e04fe-d954-4a7e-b32d-0bce20f41fc0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 68c6e912-e9ce-4701-864a-91426fbd5ebb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c8ef2f92-5eaa-438f-b4f0-7bc343de9c8e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6e0cf50a-5899-4813-abdc-d19d80483d83)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4e31e86a-b318-49a5-9003-5e5524852984)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 51564828-7ff7-42b2-82e3-a783a414fb47)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8cdc9141-f22c-4d51-8340-361c2a2562de)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e19fa8c-bae3-409c-b43c-0b2907d35333)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a9cd207-e7e6-4633-98f9-b68a8263d6ae)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e1bfa11f-82be-43f3-a3cf-260ed8b0eaab)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b662502d-7206-4880-9ab8-e4a7304fd929)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b9acbd7f-1c3b-49ca-aa9b-50619510665f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 22c19c9d-c6f2-4ccc-80d7-9bf4a5fe8fc2)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 353 "Net-(D147-PadC)") (pintype "passive") (tstamp 867259f5-4e64-4b9d-9ddf-07b73f7df8b5)) + (net 346 "Net-(D147-PadC)") (pintype "passive") (tstamp a1e3969f-db52-4bff-a1ca-4e6be48b19a9)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp db6ed663-edef-4a78-96a9-7a274ff633d7)) + (net 2 "GND") (pintype "passive") (tstamp 0e156ee5-f54b-4a1c-880f-734f73cd0de6)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4621,7 +4805,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 348d5fb4-003a-4534-9575-70502f0850b4) - (at 85.850518 126.171526 180) + (at 85.826844 126.171526 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -4636,44 +4820,44 @@ (attr smd) (fp_text reference "R9" (at 2.600936 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c6398dcd-f510-4ac1-8f85-420ce7760f16) + (tstamp a4e8b227-ceb1-414a-a3f0-a769f4d3a8eb) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dea1b187-cca0-40f1-a3ef-2ef6c1ddfcaf) + (tstamp c926840c-4ecc-4683-886b-11d0dd38d33c) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp ba104c3b-591d-4b73-8e90-025c8cf839b5) + (tstamp 4573e038-844e-4916-b05e-4bb2e2fe44ed) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 89418dbb-be38-4b74-9324-548c49853ca2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd9ce77c-ce1f-4eca-9fd0-f9110212c651)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 806b2706-5c02-4aad-9530-9b7c722f8441)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62fe4639-bd7d-4a42-a1b1-c7043909592b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 321bb043-1594-4830-881d-cbb529b77722)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1415ad5f-478a-4803-88e5-6de5892a977b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62035239-dcb1-47fe-a42a-33a1a2bbe82e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4624a8dd-b6b5-4a82-91bb-b28a0636d99a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5860b57e-af93-4425-a147-a3e67faa2b8a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 42ba17c0-2099-4f81-b1c9-61681fff42b9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 170c4bb5-0412-4dd1-bd43-824a5d320b62)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 90090dd2-f9f8-45e4-b3cd-a60fa6078f4c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1f95bda2-2637-47a8-923d-f8d2597145c0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a47c5cab-d52a-43f3-bbbf-30ef6699f345)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e70555b7-90a3-490a-83ce-9bd50483f604)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 61a7eaea-cf1b-4f36-83de-ccd8a1e5f766)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f6ebe86b-d153-4240-bdf2-3dd5e232d927)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6e777d99-e6f9-4435-ae30-553c7a228d04)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3ddd46ba-d044-4ea5-8522-b3e07f4da902)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4f68f23-672b-472b-8391-36c170d34ef8)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 195fb7e9-f1e7-4263-8a10-40099a8df2df)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ebf170a-10d6-4357-96d3-8846ccc04824)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 859da7a0-21ad-4de7-8019-6866feb65bf8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a93176d6-8687-4539-80ae-ed7ed23274a0)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 15 "Net-(D7-PadA)") (pintype "passive") (tstamp f7267e84-7645-4606-8f70-b9df2784d130)) + (net 15 "Net-(D7-PadA)") (pintype "passive") (tstamp 3415cc3c-f2ee-4298-bfc3-68741e68477f)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 0fba322a-75d7-4628-b184-3b8a4198acb1)) + (net 4 "+3V3") (pintype "passive") (tstamp 40767014-1a79-4a48-8e27-c46a2383cf42)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4681,131 +4865,131 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 35d0d311-f755-49de-b36a-d7fc088685dd) (at 76.454274 127.474526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/b6dedc36-592e-4d1a-bd23-8ae6888da983") (attr smd) - (fp_text reference "D91" (at -3.523238 0) (layer "F.SilkS") hide + (fp_text reference "D91" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 195d2098-eaba-409c-9f48-c1f625733630) + (tstamp a208f7f8-c703-441f-9070-e1ac27187c85) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d36ff39b-e175-4757-b509-e754c322ae3a) + (tstamp b6f3747d-5730-4e76-8de7-266acbc0afba) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 7bd2c5be-a111-4926-a502-627dd02e5265) + (tstamp 76ea71f9-b6fe-4a4e-b7ed-f2d0ee282144) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f2ab963-3a77-4dd5-a7a6-18a6d02ea3ea)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c3c1b379-e34c-4b50-9525-2186a01eca97)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a261426-8ae6-4e78-9844-e5fe2628a20f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc94ecdf-019f-4f2b-8598-861e628c9fcf)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 396140d4-ec29-4519-8851-5a8ceedc0757)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6a1a7ada-58f3-4d5f-ac0d-d77fb2c2d991)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c81fe47d-0988-4c0f-ad17-d55a5de03ffb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec664dba-8d61-4ce6-be19-7db34ad4e050)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dfbef03e-2b7e-4e00-a4c6-9ac7dce4f36f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c668af0-d64d-4e64-ab6b-a87a8021268b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 78c83ac5-300a-4d46-bf5e-8a52eed227dc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d95b5d89-ed11-4676-9ac1-a51f27b7302f)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp af82c1d0-44a7-4aa6-8bf3-16697f4069f4)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4bc0aec2-95d4-443e-bd97-cbe9456ae2d3)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d29f7c4-c375-4cca-8b6f-413a8779e7ee)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0a82753e-8afc-4f77-843e-2a314314e22a)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp db13496c-6a84-4960-9fee-5a964b9ea53e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 541adaa8-1893-4edd-ab20-3daa72de7360)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 27e0d6e7-b5e5-4bea-a122-a877df831714)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 78378216-3f51-41e2-bba9-64713101c23a)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62ffe2f6-044d-4de7-9d80-87b4680da2c9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8e23a7a-7855-450d-9ca7-5e33a0f12c43)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6e677a4e-2267-49d6-ad44-dce812c5d32a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 28c2c1a4-abdc-4336-bab9-9902a8f5d39f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec5ed4cb-55f5-422d-8fa6-e898d62ccc63)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4029cf36-5cb1-425e-902e-e9eb141398af)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 180 "Net-(D91-PadA)") (pinfunction "A") (pintype "passive") (tstamp 6e7c19bd-b0ce-4179-af68-1f2db75ff98e)) + (net 176 "Net-(D91-PadA)") (pinfunction "A") (pintype "passive") (tstamp b0f00de7-aba9-4ce6-adfd-cec6d6287936)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 161 "GPIO90") (pinfunction "C") (pintype "passive") (tstamp f2a61229-b90b-4259-ab40-6f5365f78995)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 158 "GPIO90") (pinfunction "C") (pintype "passive") (tstamp 14df3c6c-c0ee-4047-80c9-4ae657b84cd8)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 35fd3722-e36e-49f7-8a0a-5e9c1d3671e3) (at 107.530474 153.883526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/2017e847-c8f3-4973-98a1-c971102bc6d7") (attr smd) - (fp_text reference "D135" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D135" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f6130f7c-ff5c-418e-9447-edc0988f8d61) + (tstamp 14d760e1-bc56-496e-922e-4beb23cf360e) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 41586239-3ae2-419f-920f-464923e5a4de) + (tstamp d53905d7-e542-4221-a249-73b9ed9c90cc) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 830a22c0-f5e6-4c39-b293-90fb70244473) + (tstamp 53d4c02c-f21d-4103-8c82-fc3f7660cfec) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 049d19a7-9462-4773-b920-3b13680f1f6c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8cd11f6c-c497-489f-9b31-2a31fbedfffd)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87ea23a5-24db-40e4-a017-2467999fb5bf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 435fd6c2-7e94-4745-94ad-9afc5bf79fe1)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 176f566d-1e0b-4ed8-bdf8-650a00a09f9e)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ddb0e011-ae46-4c32-ad0b-103ddb704732)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1005f4b6-bd86-492d-a1d3-c6e7accb129f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25307a9a-8ddf-4426-9be7-39b54bf4440d)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 50871bf7-5727-49f8-8c27-f915dc2cafd7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e65f06a2-e389-4c7d-9a37-676282dbb175)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ba6f85e-2d9b-4b38-8a6a-70f7e75e5bb1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee64cd37-cfd3-42fb-984c-5b84a74095ad)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ae48aa64-399f-4b24-85a9-2e314af9cfd1)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp de15aca1-ee82-4c1b-b439-17a00780881c)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ebf3d170-f1d0-43ed-b940-964e6aad111e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 117b74a3-e89d-4706-9079-43e10151b874)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a33f797e-459f-4727-85cb-344cee6c74b2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ada786bd-b37b-4030-918a-2a7e701d8a0f)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 69c23ff2-ec7e-472d-9030-933df518e2c9)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a79274c6-614a-40a6-977b-ff24cbbec06f)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8d8d2867-560b-4db3-b846-12dc1b0d7124)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1824467f-4bed-45c9-abc2-a7f19807a47c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 594b05fb-7621-4941-907c-6ccb146edf89)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 395df1e2-9641-449e-81a1-14f5dcb10bde)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 486f6134-9f4e-432b-8fe0-a233fa9078a5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0ced6003-ddd3-4d26-8cc0-841683d6c595)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 265 "GPIO160") (pinfunction "A") (pintype "passive") (tstamp f44ed74f-2290-4546-92c7-3b981c5e00f7)) + (net 258 "GPIO160") (pinfunction "A") (pintype "passive") (tstamp 59da1de2-d578-42c1-893f-ab9ffa4123cb)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 325 "Net-(D135-PadC)") (pinfunction "C") (pintype "passive") (tstamp a0ae3de4-9a59-47cd-82da-aa9e045fd7cc)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 318 "Net-(D135-PadC)") (pinfunction "C") (pintype "passive") (tstamp ddb5c1ba-c3bb-4ebb-b2e0-0197cdf998aa)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 361497df-d31b-4550-808f-cf66efea6f8e) - (at 203.059874 143.978863) + (at 205.413674 146.645863) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -4814,50 +4998,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3103077f-24aa-4dfa-a2ca-071b13b0b1b9") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/fe441a54-43fc-4a4e-ab4b-02ae427b7080") (attr smd) (fp_text reference "R97" (at 3.37121 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a5adc2ab-8ee0-4e1d-8c24-6b153a1687dc) + (tstamp e6f56946-d589-4c03-8669-f9e4fb7f502b) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 06fabd4e-ba7e-4792-b4de-a8c8ba8541cf) + (tstamp 7b77da0c-b1d5-436f-9319-deba3f29329c) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 4d0013bd-2c9d-4fd9-9cb8-41904502841c) + (tstamp a7b5c59c-4e7b-4b2a-afe6-88cb8b011f83) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 585b4c90-8c59-4707-95ee-0f544f9cbfc0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c3da6d59-9320-483b-bd9e-60f981e576da)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7630d94-1a32-4d49-a797-4160cc7ca55e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce58e6c5-ea9d-4b3e-846c-8cb17d4f1fed)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 82edc180-8b7b-4466-aac9-eb3b6e91fa01)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 262c0bb5-6d69-42d2-8b08-fc50ea6689ae)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24b1f3dd-3faa-41f3-8c37-d3ed0a713be0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 38451f1d-941c-44db-a3a6-092134968336)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp af61d451-0770-4e16-9b68-6d906b430062)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e6b5c6fc-f7f5-4027-b520-a3489327e673)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 87f52b57-01e2-4552-9718-a3ade18fdfa7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 91d0c7b9-be16-4208-916f-9413b31c550f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 561306fe-f292-40a1-9d9b-10c82ed4230f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1a8dab5c-893d-4b92-be79-f2787d71363b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ba32b662-05e0-4a20-be6f-e2bd2ee56ec7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b45e0605-b2f9-48b5-8669-69569c2d0b25)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ccb8778-468c-451f-8f1c-c291ed7bd976)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 547f447d-39c3-4ddf-92ce-520f4632020f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b44ed204-514c-4bcf-8838-f967b9332a49)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e575d79a-6cbe-489d-956b-974b4da3485c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d397760-bb14-47bc-9771-e7a3633a4960)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0b6b210-42dc-4d6d-92b0-aef1e575a0c6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c1fd6b2d-eb11-4848-8032-937cdf4993fe)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 88ac5a86-4495-4a79-946e-894901a7ca12)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 188 "Net-(D95-PadA)") (pintype "passive") (tstamp 7ae8f12a-eabb-4a39-bd60-148e27627c16)) + (net 184 "Net-(D95-PadA)") (pintype "passive") (tstamp f6ce14a3-26e5-4d17-99dd-f311638cc707)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 294cd920-3b1e-41c4-a16e-818c21f48e4b)) + (net 4 "+3V3") (pintype "passive") (tstamp 522af17b-62c9-4b1d-b3da-b2f49fa1ef9a)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4882,44 +5066,44 @@ (attr smd) (fp_text reference "R38" (at -3.485307 -0.123685) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f4a3d76f-2813-4f59-8b6c-b4aaf7865c2c) + (tstamp fdeac149-7501-4833-b2f1-71be2a2085bd) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5b2a49dd-f145-47fa-adb5-827f020c4af1) + (tstamp 56722a06-0f7a-4b5e-b135-829a8084f392) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 8bf69ba7-1318-4e34-acb1-9b7e5adcb59c) + (tstamp bd04a21c-25cb-4cff-803a-ef8d61e6637b) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a073fb82-6a3c-4b74-9fff-76955063d54f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42d08ce7-1d0d-4b86-81a8-7e661cf7757c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2184dd1e-bd3c-4a4f-8dcc-c9ea467fa84a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 76a70b4a-8c83-47d2-b1bc-abd5116c04f4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22c22c34-5863-4ba8-877b-375f5fca80c9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fdc7c7bf-0162-41bc-a094-0030b666e364)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95b06a5b-4a8a-4d62-959d-0b2b2c5a4d77)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e9ba333-63a4-4240-966d-69569b5b103c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5ca7e9b8-a94f-4064-8f94-2e18114c8785)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 42f058a6-809b-4838-bb67-c95568d32f68)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e9fbf2db-9229-4634-bb9a-a148f14db5d4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5074bab8-d0bb-4941-9c24-66ecab57971c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5f7ac93f-d525-4bd3-9a28-84950d3e3aea)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 350d74a5-426d-4363-853d-de9a9d873a6d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 55719004-8572-4b6e-bdc7-810f99a8c1be)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 33f50438-2aba-496a-96d4-bbb93f6a379c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85d386cf-9091-4bef-9c2e-8c1ca1b08e53)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c7de4ffa-bbac-431d-8465-92528a74a081)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 75fa836b-8f27-4461-9501-4bb39cfc7d42)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ee39572-5ca2-4468-982a-111594ba2e17)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 533322f0-e046-43c6-902e-1eb7ebbe47cf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 52037dd7-75c3-4836-bd37-721fb6a1db33)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e61c4f82-6509-4041-a473-6debd8f518e8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 461d2730-aa9b-4c23-81ef-8f98e25f63c1)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp d4320398-66ec-4d4d-98ca-10512f2567dd)) + (net 4 "+3V3") (pintype "passive") (tstamp 887c3fe0-230f-4a97-b73b-e6bf3ef9391f)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "Net-(D36-PadA)") (pintype "passive") (tstamp 4dc8177d-a4c0-4f10-a875-176b554dfedf)) + (net 70 "Net-(D36-PadA)") (pintype "passive") (tstamp eb3b06fa-a456-48c4-84a7-42b7bf1d8b4a)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4927,309 +5111,247 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 37569ccb-c2aa-4f81-bc41-0a60a620a0fd) (at 76.454274 124.934526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/38022099-d7a6-4217-ba91-f0401876c877") (attr smd) - (fp_text reference "D73" (at -3.523238 0) (layer "F.SilkS") hide + (fp_text reference "D73" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c79a826a-ef63-432b-8595-be77135dba1c) + (tstamp 1e56b644-0a22-476f-8508-5eec1ac21198) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3d36ec63-2ace-4e36-9016-cbb387f30860) + (tstamp 927b2c54-112a-4e8f-b946-c7ce9bbe82ae) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp be38f96e-0cc1-473e-bed7-606fc4e7fa95) + (tstamp 6d13b459-7bfe-48e0-8291-b0c8554a43d2) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19825f00-a333-4964-9ed3-81c6c43ba256)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e05ff5d-25d0-4786-91b3-d9ef131462a1)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be0e862f-4fa1-4d6f-aa81-f07858872d75)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0227eea-84ff-4478-a229-d71746ac2bf7)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3b3414d2-0a10-4410-af0f-1f3de4f07cb2)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a35c0946-21ff-4be5-a1b5-f0ae173cd8ce)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac863e7e-10b4-4a3b-b86a-25c950cf7db6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b2cdb3b-80ed-4e9e-82c2-554b93ee032d)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d46d1de8-74a0-4d4e-9b07-935937ac6f4a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6770d78c-1e06-44e1-b87f-36e6a988ae57)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c51350d-8415-4e63-9a17-e62b3807aa01)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 47d1f712-9e10-4c23-b231-06be09d3a7eb)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8d44cd0c-f085-44ea-9455-668f28aed781)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0266a64f-81f1-4c97-98c5-cbbea57f7d96)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 541aa70d-e351-477b-860d-e5e6e845a339)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4adf3473-509d-4ae9-86f6-da8f87290d45)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 02fcec1d-45e3-49d2-a865-eef1e1277c45)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 20fe6c8a-2461-4791-9e14-b340381ba52d)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f4e57e8a-0822-4e77-9a78-6a67a50338a3)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 962beb91-8219-40ec-a87f-aeb95c664742)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e32ca44-5d03-4ee6-b51d-33a4d709f3a8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e2ac70d9-6d5d-498d-a8cd-4fa494b373bb)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 76ad64a6-b330-4705-a5e6-4107b3bb0434)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3cc7039-87a2-4323-8a54-aea1db87b59b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 592b42a9-a096-4b5c-b91c-9e0934ddb361)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 30ee7317-9c6f-482c-86d5-22705079da79)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 144 "Net-(D73-PadA)") (pinfunction "A") (pintype "passive") (tstamp 6b062a9b-ab88-4773-b033-de9858962ee0)) + (net 141 "Net-(D73-PadA)") (pinfunction "A") (pintype "passive") (tstamp f78e73e7-6883-488b-85d0-c73b98fc7409)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 145 "GPIO82") (pinfunction "C") (pintype "passive") (tstamp 019c3e91-48d2-414e-ab1c-10c21bc73e96)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 142 "GPIO82") (pinfunction "C") (pintype "passive") (tstamp effb1b3a-d4f1-432c-a57b-718a2a4e5e64)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 3778ee40-235f-4623-8146-bb297972a566) - (at 191.6176 66.6912 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/e7280854-fab2-431c-843e-331afe1ff624") - (attr smd) - (fp_text reference "C8" (at -2.54 0 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6eafe9c3-5e7f-4548-95b4-698f165593ba) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp d23d35cc-751f-4bc6-b79a-259525661888) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 863f58d5-cd22-4f71-bb25-b38e87e3165b) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6338442c-7ded-4420-a74e-1ad3b8b054a2)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d4d174f2-83d5-4234-9903-f1efe416953b)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0809cdbf-c54b-4a03-9124-2bfdd30f3ae5)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b471e649-f228-49b3-aced-d77d966235e6)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a3a88541-2452-41ff-8e7d-37fd7ac2de76)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1f828699-dede-41fe-9398-3c336942629b)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9648a089-1811-4be9-88a0-5fa8cc6e2f72)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b7bf7b1f-3d22-4435-8c90-fe727740b7cf)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp db72d3f2-dd2b-4e2a-bbe6-6eddac8f41c0)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bb106de1-17fb-4499-9721-a78bd9f27856)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bdc15359-09fe-4984-b5f2-535325747a53)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6cd96c9f-7506-4946-8423-4327fdb669de)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 34542a66-3957-4ac5-bba1-e1914425f4eb)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 250 "Net-(IC2-S1)") (pinfunction "2") (pintype "passive") (tstamp 3f525712-e285-45c7-9849-1c876ab56ad4)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 37a4d4b7-5b24-497b-ad93-570d0661dab4) - (at 76.339274 51.140526 180) - (descr "LED 0402") + (at 76.454274 51.140526 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d5407782-c605-4335-b04c-2712b54317c3") (attr smd) - (fp_text reference "D42" (at -3.831849 0) (layer "F.SilkS") hide + (fp_text reference "D42" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f7ee49fa-1235-4a34-b92d-edd7f44f0c76) + (tstamp cd6ba43e-41a3-4ba3-9826-c4aae6c1782f) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 094f92c4-eeeb-46cb-a0b7-c52c64ee4f0c) + (tstamp 5532dd48-6375-4279-9ea0-1455d0647932) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp dfb195d8-99ad-40c7-b762-bf82c7b6e384) + (tstamp 1263ef2d-77cd-4926-a5d3-591ea796ec98) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dccb986c-16b1-4513-a20d-e9dfffdcee44)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 703e6c02-3333-4c61-a3c8-ad95e4380c72)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57c78f17-d6d7-440a-862a-e8e4271305ed)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 805e9ab8-1698-4686-bd48-43f177bb6131)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 76a08625-10d9-49ea-b840-6bb35562389e)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cb16cd61-31e7-4b70-88c5-18dad49d5c0b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bd21eafb-a84f-4d43-912d-cb27a4c47d18)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bef3967a-d6ea-4ee5-a7a3-a7633fbba792)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b2a2fd4-cee6-4d7b-b913-be0e6026a22c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec7eec0c-5a58-4909-83b2-2cdb07911773)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f5e513c-162e-4264-b315-4aac189be82c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56929f1f-5547-4eed-8d6b-67abe1497e23)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0dabd6a1-d439-4af1-8645-b6edf374951b)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 145c703a-9c39-4a54-9019-881144165fde)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a34cdb75-a2b5-45be-a18c-c390a26bbac2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp af09b5af-36af-49d2-a4c7-b1339d37ae35)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 97baa3e8-38e6-43ab-b6c9-4237fdbe409b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aced5972-4229-4b48-82bc-7c7046aaf6dd)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 61011753-1a10-4daa-98f1-1383762bf769)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 65c3e1e6-ec73-4018-accc-06568437e62c)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4f188e36-c08f-446d-a2e6-f14d6bb81cd5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f536e199-0ae4-4361-a868-ea7afb319010)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b5b52178-a600-4a20-95f1-8b0af7c12f90)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a778e4c0-f94f-4383-a4b6-d13d5005bd82)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8a4ffc3-fd42-4d5a-a6c4-c8a9c5bee594)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 612378b5-bab7-41e3-a586-a006da2ae713)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 84 "Net-(D42-PadA)") (pinfunction "A") (pintype "passive") (tstamp ba6439e5-6c62-4137-897a-bae1851a28fc)) + (net 81 "Net-(D42-PadA)") (pinfunction "A") (pintype "passive") (tstamp c6cfc3b7-c618-4c42-b7db-6d737681e48b)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 183 "GPIO107") (pinfunction "C") (pintype "passive") (tstamp 2fa1446b-399f-4007-b32a-26dfc5bddd7d)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 179 "GPIO107") (pinfunction "C") (pintype "passive") (tstamp 5043b104-9ce1-480c-9f57-c791a0c7d258)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 37f40c17-418e-4848-8263-60e22cf1ea31) - (at 93.37103 50.191823 180) - (descr "LED 0402") + (at 93.3216 50.191823 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c4b85cce-fae8-4996-9f37-b74e6ebd1946") (attr smd) - (fp_text reference "D32" (at -4.004947 0) (layer "F.SilkS") hide + (fp_text reference "D32" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2ba7c4c5-d452-40e7-82b9-00b73682306d) + (tstamp 967719f9-e194-4aa4-9c78-b887c0bdd6c7) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 502ecd87-9f7e-4a4f-9523-c90589c8a283) + (tstamp 9dbe6d57-562c-4dbd-b972-02e31986f867) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 45dee5d5-1ae6-48ad-a491-0875906d0f4a) + (tstamp cf206f95-8e37-41ec-b8d2-b4c1e1cd1028) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 945e6998-8ee1-4880-9d12-ce68a93e7ef3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp afbf0328-b093-4ace-840b-104455c37728)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eab76152-2b19-40f9-9697-4d8c1afbb6e4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9f89d31-5ac4-4251-9ca2-b132b0f17b27)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4cbefda4-679c-429b-95c1-738698a21b16)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 64dfc369-3185-491f-86c8-de946dc11c47)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c4e3cddc-3821-47d6-95fa-ee7bbe093d6f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c2c90b0-2976-4233-b9e2-ab24071e318f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 521f130c-b484-48fa-bf14-ce2a84fb4ba0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a5fad1af-8b24-4f2f-bfff-aa6f5287fe2b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e037426-f5df-42a6-96d4-1728b580cf4c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55eb789d-e5c2-4617-8114-eee822c28d86)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 825caed3-8b26-4afc-a5cc-cabb431dca73)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6a8e0374-6cce-4339-a88f-3eb9156152d6)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5b9500fc-5a2d-4292-b401-f9f3297d3291)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b1f99d96-5ee3-450f-bdf6-b35feb75578a)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 66984be4-3a75-4f2b-b484-ac5871d26445)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d3934e57-a9b1-4e8e-8b52-6c47d35cb9d5)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp aec82baa-67d6-473f-bbb2-cd632abe48d6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7e7ee5f6-a95b-41e1-bc90-d37071fc5a0c)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62df535e-e2f0-4e88-82f4-064e806ec279)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 990927b5-41bd-4365-abba-87cd407e0ca3)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp afa168b7-d0ae-408a-99f0-f916520140f5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 73aeb587-7dc8-4b97-8051-068d2be0a1d6)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0f0dfb07-20df-4e33-92ee-163bc50e6ace)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 27b66281-032f-4695-80ac-9aa2f2682aff)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 65 "Net-(D32-PadA)") (pinfunction "A") (pintype "passive") (tstamp 877492d7-2d53-4e02-8604-d91ae17810ac)) + (net 62 "Net-(D32-PadA)") (pinfunction "A") (pintype "passive") (tstamp 91ae3965-e0ac-4e0f-a3f4-76754bea44c8)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 66 "GPIO38") (pinfunction "C") (pintype "passive") (tstamp 6db9b91a-de62-4701-840a-1252623bb169)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 63 "GPIO38") (pinfunction "C") (pintype "passive") (tstamp 06bfe574-06eb-477e-ac82-a8d4b04bd682)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 38248022-d18d-4c98-98e3-818eb50d246c) (at 93.3216 108.0452 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/1536ac57-53a9-42fd-845d-cbac531b56f7") (attr smd) - (fp_text reference "D68" (at -3.799966 0) (layer "F.SilkS") hide + (fp_text reference "D68" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 47cc93dd-fd80-4dc6-a2b1-bc82a3421f1f) + (tstamp ac6fd89e-7a19-481b-829e-b687b8c739b1) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d7075f89-4618-4ab9-a4c8-e4504679100d) + (tstamp beeaef97-a93b-4cad-a75e-b0aa68a7d33b) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 9837417f-a6ff-45c7-9ab8-575b1cd61772) + (tstamp d3421ed2-4cd3-40f1-83e4-24abc10e0cf0) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff293969-256e-48d1-a84f-3cc0ad65d761)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6f6788a-f409-4871-88e1-b2adb0c8f07b)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e30e40c2-422d-4d86-9485-69655908b5bc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00ccbf12-1585-42b9-b4a9-0ae7f21a4990)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9025c3ec-649f-4df7-8ca7-fec748b3cd9c)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp daf9172b-6768-4a6f-9b45-351b8fd38251)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2016032b-b539-4a6b-be87-2094f817c1d1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0ec9205-56de-4320-a875-07368c3d414a)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 45574184-7ce5-4a2e-8939-0a99000c006a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22cda6fe-8a0f-49b7-bce7-fd95aad051de)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1205ddfa-e9bb-4522-887f-5d960b63a06f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 829ff99f-c791-46c5-82fa-9fc50553a13d)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f9b5662a-be6f-4e76-8fa8-49b0af568bac)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f3853be5-9569-4382-99a0-8aed7ebe7629)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2c3c96d5-4ee0-4f5b-a77e-fc1f56fc35c0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10aa13fb-4378-4c05-b7f0-dbb0a4b934d9)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d383446f-3e8f-4d76-813e-4450d2355d1c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3e6c69d6-90ac-45ff-95c4-3f2f038f34d4)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ecbb87f5-a49f-47d4-b437-ce380f29d880)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 894cc9b8-3d42-484a-a6a7-20e0e5e7abcd)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2be09dc3-bfee-4a0c-bf06-2ae7b44db701)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed334f33-4c84-40e6-83a3-acd395abf48a)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2fcb2caa-ac47-450e-b16f-35580310da75)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 81f96a8b-8ef4-41e7-a143-277965a1b5e4)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85b44fb8-0fb3-4d6f-baf6-3c4f0d4caa3c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 06a64646-e7e2-4d20-bf8b-e2ab2f951250)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 134 "Net-(D68-PadA)") (pinfunction "A") (pintype "passive") (tstamp 84c058ea-73e8-4889-b46a-69e201e71b73)) + (net 131 "Net-(D68-PadA)") (pinfunction "A") (pintype "passive") (tstamp c5ad3548-48e9-4ab6-88c4-a2264643aa4b)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "GPIO77") (pinfunction "C") (pintype "passive") (tstamp fc32f65c-f282-4a20-8fa9-d2caf335b1e9)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 132 "GPIO77") (pinfunction "C") (pintype "passive") (tstamp a48c2583-d1f7-46e4-8606-b8a4e76d3655)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -5250,44 +5372,44 @@ (attr smd) (fp_text reference "R93" (at 3.5386 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2557f54e-dfec-4b95-936c-729a2baea064) + (tstamp 65a4b7c8-d688-416e-bf3f-fdfbf3cfcc5e) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3cd51dfd-f60a-4c8b-b91c-98595cc0c71c) + (tstamp 13d0e807-e2a2-4777-8fd4-55f08f695113) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp dd906e71-e180-4abe-b951-4b258fa08f7d) + (tstamp a38d75b2-14b3-46b8-b4a4-288d4461f972) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4a4a9401-ce39-4377-b7b2-1bc1c70fff0c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b625603d-05df-4b1a-bae7-22ca6313739e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1f39333-5cde-4f74-a394-d733c302b89f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c537399d-c872-4b50-a42f-2dfc0b5560b6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 967b1751-3504-4fc1-be60-2ba364d8bfcf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b62fbfc-0d6c-4f0c-bec2-5c43d49bcc40)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0417dba3-9a00-4ecd-83be-b6b92512fa65)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83fe2cd5-55cf-456d-aac6-a50dbbac3388)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b88c4ada-c556-4aff-9c3c-579735ca6023)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 43c9e3ca-039b-4c3b-8711-6ba71efb0003)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f9823de4-5909-48b4-95e4-199999fb45a4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ad210f0c-af6a-43a1-9445-8f54c24b4d54)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2ddf0bf1-60e2-4b3e-8fa3-2903d32cc87b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e66c9b08-1438-43ca-89ac-9a1502aeef1f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b357ad7e-d238-49ec-acc8-293b8405cd35)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 78438899-9d63-4256-a195-7469b87f436a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1af0609c-916e-41c3-a466-f8797b5eaebf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2990eed1-1a84-4067-91b0-2565de73f171)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 88202c63-97ed-4af5-aa7d-090ed182aafc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 16ae9c13-e798-4438-8e39-5d60b88d26ae)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d9cb876b-8941-4ba1-987c-30c5be000614)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f9b5b332-e0e3-4898-8adc-801ea4f4f1d7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9f21101c-589d-465d-b78c-ff335e64ae4f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1eb257d8-23eb-42ef-acb5-7697e27d47b0)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 180 "Net-(D91-PadA)") (pintype "passive") (tstamp 6530a2e6-4518-4bc1-9eb4-c1473f0f741a)) + (net 176 "Net-(D91-PadA)") (pintype "passive") (tstamp 8395286b-8a34-4108-9144-cb790c6a53a7)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 129f12ba-e00d-42f6-9227-1efc66ae2bc6)) + (net 4 "+3V3") (pintype "passive") (tstamp 49199651-117e-4cd2-af39-213475a97cc9)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5297,7 +5419,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 39e6cd17-7dd4-4006-b053-c408262185a6) - (at 203.073274 122.790726) + (at 205.413674 113.998326) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -5312,44 +5434,44 @@ (attr smd) (fp_text reference "R11" (at 3.148049 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7e8b0153-af57-4dd6-8b9c-da3282d6d47c) + (tstamp 53f4584d-51ab-428f-9dd3-3eae6a3ec225) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d6073b67-00b1-4c66-989b-88f99a9e2908) + (tstamp 98aa0b35-8b2e-49b6-9425-c3724cf718de) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 84489abd-ed87-4b2f-8aac-cd1555a4e7fc) + (tstamp 88c5d55c-33f3-49c8-b882-c1ce6fcc1971) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 884efc43-afea-4a5e-863e-3dfc641636b1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0a02b989-1eda-4d2b-8953-0aebee556fe7)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc9b243e-8f4a-4b71-a848-988f02a4e31a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f0ee0bb-12ee-4c22-ae21-f8bcd8a34e84)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9678faf1-81c1-4aad-b620-3ce9bbb776b0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2e52f49-5ee6-4213-a5c9-abf61eebc3b8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 78ea32eb-ebb3-44e7-b892-2e46489f26fd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 280fdef6-bd1a-4d96-99b1-bf89285b3aa6)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f74b9325-5ed3-4cc5-a838-1130e5dc68f2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 561a7a7d-1412-4e70-82e7-353e0772b27a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 43c8284d-234d-47e4-a3d8-4e404e603f50)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d0597d88-a539-4853-9114-cebe06be46d0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0bbefb7e-1c73-41d5-98ba-07e5a01b3f5e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 81c5bd9b-c464-40f4-be8a-772f1ee7b273)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 33048b77-dd0b-451b-a7c6-8ebac03cf481)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d88fb292-665b-4113-8cb5-a52a57a6c587)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f52b14a6-3272-4392-a359-8cd067a7ed6b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 94be07bc-d4fe-449a-b842-bf470a075dc4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 156a0a14-06b4-4978-9f72-aba41c531ac2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e79acc3a-b262-4941-939c-98feb0bdc144)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5b5ee27f-b3ab-49cd-a3b0-3e558342dd51)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 50030ac1-b98f-4186-a89b-1ca24b7483fb)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e333a80-22b5-44cc-9612-4ea6b082a99e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8763d49c-64ba-41a5-9e26-16900050786b)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 19 "Net-(D9-PadA)") (pintype "passive") (tstamp d9bde145-cb77-43f2-871b-be6fa35e37af)) + (net 19 "Net-(D9-PadA)") (pintype "passive") (tstamp f2602905-bf04-4ac3-93f8-f9b846d3f1e5)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 5a9fdce7-84f0-491b-9be9-100395c5cca3)) + (net 4 "+3V3") (pintype "passive") (tstamp a5a03083-e0d7-4963-8b10-a7436e8df3bf)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5357,64 +5479,64 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 3b65db65-af6e-461b-bdfd-7687040ced3d) (at 141.642674 135.951126) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3ab1544a-5d95-430a-a019-ebc9f90a4255") (attr smd) - (fp_text reference "D144" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D144" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 425c9e1c-2058-4012-a3fe-1c8c92cdad45) + (tstamp ba2b3abf-4862-4f36-8f80-a93f76749043) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1ace43c7-39b4-43fd-9364-984baf833ebe) + (tstamp 4cf7b2f2-147e-4ddc-8558-a7223217b040) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp ff4dc814-a84d-4f4f-ad07-5e712d8d82f4) + (tstamp 9bf2ac89-91ed-4db2-b9cd-ea37f43eac90) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 28718f7a-71aa-4d9f-863e-ad040bcad424)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8da4980c-3b09-4661-b680-9559bc2190e8)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fc539ce-502d-40da-ad48-216020b711fc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f40802b-cb28-41b7-906c-08a96c5ca21e)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8fba7d52-a21f-4dff-b85b-0d5c9656ce13)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 067d2925-8bf9-4718-b8bf-66acb36744ee)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1afef320-23f9-4a68-873f-bf980dda5d19)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 450fdfe1-1ee8-49c8-9f78-afe251c177a0)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d510f24-86b4-42c5-bcc3-ba4b5dc7cc6d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 94a95a69-87a1-486a-b21d-369532abb1d9)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ced7a7b7-f415-4e5f-9d04-b759123243c7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe29ee7b-21a3-4043-8fe1-dc7fce583512)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 1505aa9f-b43a-4b17-8ad1-3050ae3ae291)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp af81feb8-2298-45ca-a8c6-b98d81f01068)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 668a5f16-7c91-49e4-850c-d772fc45d192)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 15fe97f4-a690-41d7-bb80-d6b0cb86bd18)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8dd5a46-1c3d-4dbf-9570-ca8c960c2cd3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4cdc2858-d186-4030-8e71-9fad127e72bb)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9e6249b6-1730-4907-99a4-1808fdd84200)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0818e5cb-2bc6-4819-91ea-e855b086465d)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5837c5ff-4a74-408f-81ee-6b18713af70d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d06b5d22-b63d-412c-8ac2-d3c2a71b711e)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 218d48cf-7d91-4823-90a6-0731a6246a66)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0cf7150c-eea6-4233-a084-e2b59ac5495e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4b32cdb1-4253-4cac-a5d1-31f9ee3796b1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07d454c9-1e6d-424d-98be-f7388c13a9d9)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 266 "GPIO161") (pinfunction "A") (pintype "passive") (tstamp 389fab4d-96cf-4f41-95eb-d5443a5685a9)) + (net 259 "GPIO161") (pinfunction "A") (pintype "passive") (tstamp c958cfd5-152c-45fa-8aec-f3443ccc5748)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 249 "Net-(D144-PadC)") (pinfunction "C") (pintype "passive") (tstamp 6f9a15e0-5929-447a-8d15-c923703e7c4f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 242 "Net-(D144-PadC)") (pinfunction "C") (pintype "passive") (tstamp ce7a0ca5-4494-4aec-80f4-b2ddaa5cdf5c)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -5435,44 +5557,44 @@ (attr smd) (fp_text reference "R123" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6e8f696c-c969-4f40-ae83-db1fcd3ef565) + (tstamp be0f1823-c161-44ae-8afb-0265b3099085) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7831f1ca-a8a7-473c-99b3-35d2ec134f8b) + (tstamp 4bc22b71-9c15-42cb-b3ba-f19d1634054e) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 37510e9c-6cf1-474d-a6bf-5005666193e8) + (tstamp fcaee212-a194-4bfc-a7b0-bd4a78449bc7) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b17def05-c075-4dc3-a090-423e37966108)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ed94a81-6e26-486e-b1a3-cf865cf6fdbb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d261bc96-047f-44ce-982e-93c4ba7f7aa1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6afab23e-0492-47d3-9299-0232bf07fd3d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54b6233b-5d1a-4b97-b5fc-9292b8cf003d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb805253-d2bd-47b5-a999-8bf050c3416f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5934c066-f408-4fd0-95f3-438a92934578)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a637fb51-12a3-469f-96fa-e0617996cc3d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 816b2fa5-709c-4e2e-a27c-65d5b222e069)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cfd4a1da-acca-40f5-bc8b-b6bc7767bb79)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6c9a8e9a-d7bf-4ad0-8654-d52944f48762)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 43bc5376-e925-4e8e-b8aa-b926312bf01f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 95341ff8-268f-44e2-9c65-276e76996ced)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c928bf52-28a5-49fd-a1cf-5554129af757)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8140b6b2-830e-4bcd-b05a-8524539c78cd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 644960fa-e685-4f2f-80f6-55975aa22a83)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9bc5b7a6-248b-4216-aa0b-42f18872c52d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4dda83f5-e652-4941-abd7-43cd65d115a3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 71edb685-5d17-4d01-8b4a-6edd1f9d4c06)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ffaa060f-1c77-4788-8423-41b84075c046)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e6ae91e-c8d2-4a84-afec-bbd0e1bb0463)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 924c29da-906e-4684-af15-8d8ce4e0675d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8794f0ef-554e-4a66-aa31-903e925f3794)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 53279a5b-9574-4aac-ba5f-85ac73f3d047)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 368 "Net-(D121-PadC)") (pintype "passive") (tstamp 011ee9dc-277f-4e70-90e3-1900fcb25432)) + (net 361 "Net-(D121-PadC)") (pintype "passive") (tstamp 013cc085-d8a1-4f1d-add5-59e0612ef9e9)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 9482d0dc-851d-4024-9dac-e95652063eab)) + (net 2 "GND") (pintype "passive") (tstamp b24e8e4a-9cf7-41e1-bc70-34cce9bd1c6a)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5480,70 +5602,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 3c7da90f-a3aa-40ee-a6a2-501dd264d604) (at 76.454274 106.350126 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/a6a75228-a119-4de2-b6e9-24e4e641f4fb") (attr smd) - (fp_text reference "D56" (at -3.465475 0) (layer "F.SilkS") hide + (fp_text reference "D56" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp da37f712-0ae8-438f-9224-528f16c9ea37) + (tstamp dccd89d1-7cec-4286-a63a-3808eece07be) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 76d9413a-7f8e-46f4-8515-a660b355999e) + (tstamp 61d28cf4-04be-4c7a-96f9-ae683b92e1eb) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 5d6c77e6-0e0f-410e-aac4-5faaf16c30dd) + (tstamp 54feaa32-d785-4369-ae80-103792e5725f) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 561e4eff-336f-464d-9dcc-fee7967d163b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4700553f-a2e6-4d1b-9475-c958199ad387)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a811da3f-9fa9-4222-bb50-cdd379784464)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f516696b-fb50-4e25-8b9f-0094320817d5)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9f918b88-481a-4c40-880f-a5b36d8e51a3)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fcc0adc2-77de-4bb5-b5da-861c82536beb)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f08c216f-c80e-4f88-a4a8-b3a001eecf36)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 215bfd76-335f-4eb3-bc65-9544150e3dca)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a18f043a-3f05-431e-b478-938e13715b4a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ee413b7-2f31-427a-969c-56ad7efb7f0d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f46c60a-de8f-4dc5-b4c2-27ac1e775ba4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08370384-fb0b-4a65-b5cd-3a6e9c7ccad5)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7608c0fe-c445-4d33-83dc-2d84528ff069)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 252bf7fe-ef94-4881-9478-7ef949fbbe99)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3e3f864c-9529-48bc-bc5f-fa1fcf2063fa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2084ef22-a59b-4eb4-a148-1ac8870fc865)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b925154e-1846-49f2-8d3d-7282bbc2de2a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6a6638bd-714b-4966-818f-6a5c84abc398)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 656d86c4-6d3d-4d30-8fa0-3798ce658cbe)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4268ffa5-a5f4-4b41-a11b-74abd216f0c5)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ded0d28-b046-4e62-9cb2-0ebfec80e9b1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8b7c7202-3ad5-407b-845a-02b6b940f494)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ee5e71c7-9ee0-4337-a274-a447697a119e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43c51562-0d0f-4c0a-8106-b863d6d02416)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 726244c7-3109-4da5-9853-14fca59e3794)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a2bffaeb-19e4-4c41-b53a-f637c9447e65)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "Net-(D56-PadA)") (pinfunction "A") (pintype "passive") (tstamp bb69d119-f21f-40fe-b787-1525a251a59d)) + (net 107 "Net-(D56-PadA)") (pinfunction "A") (pintype "passive") (tstamp c0a115a2-93e2-40df-9566-23bfa972c698)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 111 "GPIO62") (pinfunction "C") (pintype "passive") (tstamp 323fa972-3dd5-41ae-a75d-53eff72e528f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 108 "GPIO62") (pinfunction "C") (pintype "passive") (tstamp aae3a9b7-05a8-4d31-afd9-044d071f6a58)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 3d1268ca-ea30-4541-94d4-66964022d8f3) - (at 184.517874 126.344526) + (at 205.413674 129.016526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -5552,50 +5674,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/9190d73c-681e-4796-acd4-763519f8f4c9") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/fddb8976-09c4-487d-a50a-b5c74249acc6") (attr smd) (fp_text reference "R77" (at 3.40501 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 66eb747f-680d-40a4-81a4-b83c4d178da2) + (tstamp 4776353d-9053-49c3-b6b3-10eadca31f50) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0e1f858a-70c6-498a-94de-4556c6d504f4) + (tstamp 3170959e-83d8-47c4-93e3-71ed56acf68f) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 2eb1a844-631d-4302-bc12-86156acba36e) + (tstamp 7a877c59-5b14-4cf1-b063-fee1019dacb5) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce3c8353-5348-48c6-8a0c-6cc3e83ecb05)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0a64677b-de1c-4db5-b036-7353e0b4dcdf)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4194f12-14da-42e0-a0a0-b390d98c2804)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2650f23-78a2-4265-a5af-d9a53365dd22)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56c3dd19-765d-44fb-9bc9-556847c92e09)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eac0750b-2d84-4d2a-9ca1-cca556884eb2)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 96c3e4a6-9b62-4da1-9506-b54ce9048556)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f4af2be-a478-4139-84dc-28ceb8aabd50)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 869e0ac9-4461-4d6a-810b-aeec3564a7ef)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 118f9518-4e19-4718-a009-bc0edc30baed)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 30436f50-b93a-4805-b45c-87ec45bf88fc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e1ccdca7-ee51-4620-a9e4-24b50594c079)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f02fa146-17d4-40d5-877f-6ef1ce3bf9f2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fa920f9a-8f1f-4d86-a0bd-a25befdaecff)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 861d3735-410b-4c16-832a-3566f74a076c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d9515fc1-d435-4acb-afcd-35d6e5efd51c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 373d4c1b-1a40-4cfb-b608-1858072f70ba)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a11dbb20-8ee3-4582-8529-a71770c81e41)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp df047223-edde-4cc9-9eb8-3f434752a744)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a8c3364-e185-44fb-8749-0c9bf1190f7a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp adcc7ba6-9cdc-4718-9118-bac24a24e24b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3e539ee-eb34-41b0-b602-a1f00e3979a7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6225392-514b-4200-9dcd-413a4fa6266b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 649e55e5-1fea-411d-a331-859cf8831efc)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 148 "Net-(D75-PadA)") (pintype "passive") (tstamp ca10a262-ad7a-4370-9e7d-ccd6d6553d37)) + (net 145 "Net-(D75-PadA)") (pintype "passive") (tstamp f3c815b6-9ad8-45ee-901f-e97ed5afecd9)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 18797179-8268-42dc-bf74-ca508880427c)) + (net 4 "+3V3") (pintype "passive") (tstamp fa9c8dae-93f5-41f7-ac62-3e3f47c70c8e)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5620,44 +5742,44 @@ (attr smd) (fp_text reference "C1" (at -2.4074 -0.0058) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp a92afb81-873c-4b7b-b450-935a55179ca9) + (tstamp d7e3b624-2409-495e-b71b-bf0fc5e4af03) ) (fp_text value "10u" (at 0 -2.75) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d4e8db43-c3f1-41f6-9e72-91d3b8eca52c) + (tstamp e9cd9c56-dcba-4c48-a407-d848b54da9db) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp a27994e3-49e8-4925-843a-200b41d29f01) + (tstamp df7aba43-ade5-47ad-8b2b-3fbb12890f1b) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05cd781f-78d9-4cfc-99f7-95a57a95c08f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e2d7fc7-4dc1-4ff0-8678-2ad644d0f63d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 10891ef2-3ca9-4c20-98ea-2f1e26053b92)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cda8cd19-f67a-429c-a609-61898ed27b64)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58d13a6b-62a0-4177-8b10-440a4df55045)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7640987a-2f13-422d-a2f9-832ab76ce29c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 12084ff9-3ff7-4de4-a657-d3d83205a1b2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58906af9-8b9c-4383-88c4-f30f5abcc678)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 39f3fee6-dc71-4b11-a3c0-ef4729db79f3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 043332cd-3988-426e-a72d-6e00002869c9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f7f738a4-4212-4933-ac5d-3317a9e69d69)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 48b6696a-0e76-4b17-9cb4-51cdd42895b3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6ae35636-f597-40c1-9e9b-4e51aa1dce16)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1f321f2d-a7d1-4f0e-b8c2-6bcaad6cedf6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 39b48b53-9213-44a9-8e53-d60e7550b13e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c63e069f-bd35-4a80-8af0-314c676684ec)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp de0012ca-12e0-4478-b0c9-fdc33c754e6a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4791299-522b-41ed-8e58-ad9fef1e758e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0989cf5c-d9c8-4c34-8913-fdc76825c141)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 16736975-e670-450e-b9e8-b1967dd09dce)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp abefc704-7d41-49a6-8990-4241eeb37c76)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 93e03161-9602-43dd-9040-d6281a8f47c8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 120c3258-861b-4c1d-9e0b-601d4843510f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3f69fb98-8cab-40cf-9a42-dd0eacc19cb3)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "Net-(U1-EN)") (pinfunction "1") (pintype "passive") (tstamp 5912908c-1322-4bac-b71d-c177faff65a8)) + (net 1 "Net-(U1-EN)") (pinfunction "1") (pintype "passive") (tstamp fc1e7c8e-28b2-4963-b4f9-dbab1c7d6c75)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp b4653a5a-02fe-45d5-95a1-932911f407a9)) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp f4e46e21-4430-4614-b9d4-7923547924dd)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5679,68 +5801,68 @@ (property "mouser#" "855-M20-9980846") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7c81bff8-8769-428e-9996-195fdc81adca") (attr through_hole) - (fp_text reference "J5" (at 11.674 0 -180) (layer "F.SilkS") - (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 84581def-8647-4de6-bef0-562da93be39a) + (fp_text reference "J5" (at 11.674 0 180) (layer "F.SilkS") + (effects (font (size 1.27 1.27) (thickness 0.15875))) + (tstamp ecd0b52f-9548-45ac-84e0-31454e2902b9) ) (fp_text value "Conn_02x08_Male" (at 0.53 4.25 90) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp b0c03c23-becd-42b8-8335-1edca5022aaf) + (tstamp 14d6d3e7-35ea-4417-81cd-0f60041a2e6c) ) (fp_text user "${REFERENCE}" (at -0.71 6.27 90) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 76dcafc7-9739-4021-a0d5-741acde3ee29) + (tstamp 7a6d8d27-ec6c-41ba-90a5-d6ed7a7c806b) ) (fp_rect (start 10.38 -2.72) (end -10.44 2.76) - (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp c8a09185-841e-46f2-aa19-0594be1014a5)) + (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp 01165c67-d7f7-4897-bb53-7e275a2bc92e)) (fp_line (start -10.595 -2.915) (end 10.575 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7e74faed-57b2-4c6e-91c1-016cf5239116)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43c40038-42bb-4c8a-9565-8f1ff7468aac)) (fp_line (start -10.595 2.915) (end -10.595 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 87759ae4-f219-4e36-af4d-c0bf762554c4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2dfaca4-0916-4ae2-8aa1-7d6cb8b0af95)) (fp_line (start 10.575 -2.915) (end 10.575 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4d2eb175-9e87-4680-b0b8-7f90c41d4a08)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6f04e642-4f94-4ae7-a30c-617e22d5c40f)) (fp_line (start 10.575 2.915) (end -10.595 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 375ed82f-acb9-45e4-a9cc-df47b66fd534)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05706194-1c6b-4e33-9c86-83f0bafbf0f8)) (fp_line (start -10.345 -2.665) (end 10.325 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d13406a2-9a62-4aab-aa12-6c43a31b6255)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6e8ea1fb-d0f3-4dc5-ad87-e782b4cd04b7)) (fp_line (start -10.345 2.665) (end -10.345 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8ddc0b2c-f7fb-488f-8670-864cc16a0a6f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ca760fc-b48d-4587-89bd-607c9b0e6b73)) (fp_line (start 10.325 -2.665) (end 10.325 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4a7d5ae4-31a1-4b6c-82d8-64810e351a1a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93877349-b9cb-43c6-b53a-f1d68501056a)) (fp_line (start 10.325 2.665) (end -10.345 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70c6c768-bf7b-4eb2-a552-e36ecb4b2b61)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5d099eb8-c03e-4b16-8813-ad3c0290fee8)) (pad "1" thru_hole rect (at -8.9 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 266 "GPIO161") (pinfunction "1") (pintype "passive") (tstamp 8ca0de86-fe4d-470f-9291-b54cd3f38842)) + (net 259 "GPIO161") (pinfunction "1") (pintype "passive") (tstamp 8a1bc695-4b86-478b-b520-ec1e92e3310f)) (pad "2" thru_hole circle (at -8.9 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 229 "GPIO137") (pinfunction "2") (pintype "passive") (tstamp 258e7f55-4049-464f-bf29-a3dfcbac75fc)) + (net 222 "GPIO137") (pinfunction "2") (pintype "passive") (tstamp c284e878-f51b-428c-84a2-fbdaf29d4bd5)) (pad "3" thru_hole circle (at -6.36 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 267 "GPIO162") (pinfunction "3") (pintype "passive") (tstamp 5c44d989-815c-4151-b3ff-73722671d22e)) + (net 260 "GPIO162") (pinfunction "3") (pintype "passive") (tstamp f415d450-e082-4b21-9cc8-7fb1f3f4c842)) (pad "4" thru_hole circle (at -6.36 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 230 "GPIO138") (pinfunction "4") (pintype "passive") (tstamp 2bd689e0-4533-4ac8-9434-7270188b584d)) + (net 223 "GPIO138") (pinfunction "4") (pintype "passive") (tstamp ee5d80d1-0f06-46fa-b505-31188cd0f4a8)) (pad "5" thru_hole circle (at -3.82 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 268 "GPIO163") (pinfunction "5") (pintype "passive") (tstamp d3f0d08b-3581-406d-a4a5-8dacffd9b956)) + (net 261 "GPIO163") (pinfunction "5") (pintype "passive") (tstamp 982bda7b-cbfc-4f2e-a638-b746a79d8335)) (pad "6" thru_hole circle (at -3.82 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 231 "GPIO139") (pinfunction "6") (pintype "passive") (tstamp e7489520-0a17-4b54-a5b0-302dbb17ca7c)) + (net 224 "GPIO139") (pinfunction "6") (pintype "passive") (tstamp 76912b4b-afec-4c8c-bfae-9f515935ddb9)) (pad "7" thru_hole circle (at -1.28 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 269 "GPIO164") (pinfunction "7") (pintype "passive") (tstamp ef4eee02-a6f8-4b86-95fd-5180888e4f9b)) + (net 262 "GPIO164") (pinfunction "7") (pintype "passive") (tstamp 5d4c07e6-2adb-4984-8341-5003851338cc)) (pad "8" thru_hole circle (at -1.28 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 232 "GPIO140") (pinfunction "8") (pintype "passive") (tstamp 0696d4d8-6039-4ad0-918c-dcf2f4b677bc)) + (net 225 "GPIO140") (pinfunction "8") (pintype "passive") (tstamp 40ff0289-a44f-406b-98a5-5fc41b73b0e9)) (pad "9" thru_hole circle (at 1.26 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 270 "GPIO165") (pinfunction "9") (pintype "passive") (tstamp c4a77d4e-966a-449a-b81f-f423c1050d01)) + (net 263 "GPIO165") (pinfunction "9") (pintype "passive") (tstamp 6f36ff6a-6fc1-4d6d-afee-fcb86114fb73)) (pad "10" thru_hole circle (at 1.26 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 233 "GPIO141") (pinfunction "10") (pintype "passive") (tstamp 61679d0e-2747-4660-8b46-b8c5a921fbc7)) + (net 226 "GPIO141") (pinfunction "10") (pintype "passive") (tstamp bba5d41d-bad6-43ad-8f26-37ce94fdedcb)) (pad "11" thru_hole circle (at 3.8 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 271 "GPIO166") (pinfunction "11") (pintype "passive") (tstamp 3ad9795c-9c64-4389-8133-f74b0bc6b6f9)) + (net 264 "GPIO166") (pinfunction "11") (pintype "passive") (tstamp 7bc76970-9e4e-40b1-b063-03c693b77d38)) (pad "12" thru_hole circle (at 3.8 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 234 "GPIO142") (pinfunction "12") (pintype "passive") (tstamp 9becf65e-7904-4b1e-92e2-1a04e3b65c86)) + (net 227 "GPIO142") (pinfunction "12") (pintype "passive") (tstamp af5d1cff-6d83-4ccd-a9c4-2595fe484c39)) (pad "13" thru_hole circle (at 6.34 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 272 "GPIO167") (pinfunction "13") (pintype "passive") (tstamp cc9befa1-4ef4-41f2-b071-33c73efab242)) + (net 265 "GPIO167") (pinfunction "13") (pintype "passive") (tstamp 5e4d1616-9cd3-4de1-b4de-fa1a715d03e8)) (pad "14" thru_hole circle (at 6.34 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 235 "GPIO143") (pinfunction "14") (pintype "passive") (tstamp 97d42515-09d2-452b-8612-b9c9a5c227d0)) + (net 228 "GPIO143") (pinfunction "14") (pintype "passive") (tstamp 91a41a67-9809-4790-b6d0-63cb8c18b36c)) (pad "15" thru_hole circle (at 8.88 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 273 "GPIO168") (pinfunction "15") (pintype "passive") (tstamp 9f2a38ec-c0d7-4fd3-a628-cd124023ddf9)) + (net 266 "GPIO168") (pinfunction "15") (pintype "passive") (tstamp ed6673d4-9836-4d4e-9087-afad9d4c6950)) (pad "16" thru_hole circle (at 8.88 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 236 "GPIO144") (pinfunction "16") (pintype "passive") (tstamp 3d0dd0b7-cf6e-4672-926c-b413350f1f9a)) + (net 229 "GPIO144") (pinfunction "16") (pintype "passive") (tstamp 03900e7e-9f83-4d8d-a9ef-b2c8abf6a649)) (model "${MODEL_3D}/M20-9980846.stp" (offset (xyz -9 -1.25 0)) (scale (xyz 1 1 1)) @@ -5750,7 +5872,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 409f51a8-c16c-4064-9733-d75f2542b8e7) - (at 85.862518 86.823087) + (at 85.826844 86.823087) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -5765,44 +5887,44 @@ (attr smd) (fp_text reference "R55" (at -3.331126 0.036486) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7630256f-2f03-489d-8fd8-64b0d08b5fb5) + (tstamp da611414-1c7a-4f1e-bc5e-201b1b81a83b) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a4b26ef9-e9b0-42d8-b712-027662cdc1b9) + (tstamp 242c4238-6a6d-4cb1-a626-0b7372ab0a20) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp ae4e5ed9-671f-4743-868c-da5e4c9f2406) + (tstamp 0865f611-e4db-4133-b2a6-79c3f3ea4eaf) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 485d2b08-43d5-49ad-9a7d-56a00bfb68e5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e6e86b1b-5c74-49b1-8672-ae81a0f248f2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dfcc494c-ac9e-47c1-a70c-1d1701543488)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07285fb4-f5e6-46aa-8296-e9f150a75e01)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c3bf729-bec8-4c57-a46a-56d968141b81)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be8d9ad8-ff56-484e-83ba-386f6f6c68b6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18d33602-5537-45b7-91bf-f6e1b0ca7c2f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad615d5b-a9dc-465e-898e-03e946e4f0a8)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 35b4cb2a-9191-4ecb-b81b-d2d8ec52c691)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 97250dda-9fe8-4eb4-9e5e-b5b10687037d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c4587b10-af68-411e-abdf-8f5e043da236)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6d64c0bb-ccd4-4821-855e-cf6bc604da1f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9b939719-055b-4870-b8e5-d980bee577a1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ae364e1f-e201-4abf-8cbe-2748eda2d3a2)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3e866894-cdbb-49e8-8d63-4450a61079b6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 63838e9b-95d7-4979-acdf-cf81c2919241)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e0c1365-b2bc-46ac-9cdd-62b3eebfa830)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8adad9fe-480d-4db5-b6db-aa2b29f0621b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d8d25106-1f2a-4319-926a-6791a5f5f45b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 541dd023-b067-4963-be2b-16bd7c0fb5bc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0bd81944-2d72-4c87-abaa-15add6af05b9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fe7b6dfa-0ed1-443a-b9d1-daea71d9b748)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d471275a-7cb7-4630-a1ed-caf0a9856222)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61e48889-d2a3-4c87-8b2b-024d4e3e7588)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 5180d297-8ee3-4f30-8dde-7be53f7ae75b)) + (net 4 "+3V3") (pintype "passive") (tstamp a8d8f9ee-b9fd-413b-a6c8-b5ff47a005ea)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 104 "Net-(D53-PadA)") (pintype "passive") (tstamp 03e45e7e-5208-43e6-bc1e-c0c4f0e98c06)) + (net 101 "Net-(D53-PadA)") (pintype "passive") (tstamp 97c886c1-d97c-4910-9511-f06bc08242e9)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5812,7 +5934,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 410ea6d0-08c1-4082-a03d-e56ff7495299) - (at 69.18979 64.475526) + (at 69.203634 64.475526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -5827,44 +5949,44 @@ (attr smd) (fp_text reference "R47" (at -3.322 0.002245) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ad7727f5-0e90-4e66-a0a2-f1e3138acbe5) + (tstamp e7aa2705-199d-4939-8114-1f68ee5c2ba1) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b04345f4-fc4c-40a4-b955-c41fc40df081) + (tstamp 70695738-4251-43fa-aeaf-fcf4df164170) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 87a4c73e-f227-4b24-969c-dee93aa36926) + (tstamp bc20cfd8-b878-4deb-a72f-21345da97ccb) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75498467-b75d-4bc0-8cf9-fa6111aa7837)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bdd1fb3c-e157-419c-8e08-db5b74c2d8af)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e49bac30-3a2b-4de1-ae4e-4b1cc3c7c56e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b51a1c4a-914e-406b-97eb-da2b926994aa)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e03fe467-f44b-4b01-8890-7e929a4c250b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c1cc6da-d3e5-48b7-b1b3-8aca368ecf65)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 89648a09-8e22-498a-8e66-8bdaeeb6aad8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3bd79bdd-1c59-4d22-9a94-63784ac23a84)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b7c4166e-48f6-45dd-b396-aa060d49ce3b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5e98032c-a3b6-48e5-aa50-e0cd4cb8ee93)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 508653b9-a0cf-4868-bec3-d1b58fef6114)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bb90882f-1762-4f2f-ac83-7373060d7185)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 34732464-4544-4866-80e6-20c702fdfc90)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dc530d50-e637-4428-add1-d9f5e39a27a3)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1a44e2e0-4114-4c70-9864-ddd3c5b5bd86)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 55f33df8-6c2d-44b9-8ed0-7582fbf85d0d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 04e63fd6-164b-4376-9cd0-05081135d228)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 040ae16e-3c59-4436-9a4a-14558f7b6b18)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8d5d467f-a1f1-4afb-90b4-e86ca8998b9c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9f4fac83-6f95-40d6-954e-6b3f00dcb31a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 46d88403-c8a5-4978-a978-af5c7c3c0e9d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9388fd47-aa91-4042-ab61-0fdda7499ca9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7b10a66d-a7a3-4408-b93a-b562a621dc21)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fd4a7ab3-da10-4779-ae85-41f1bd699f48)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp de11a3ad-faeb-4f82-81d9-b2813ef5a292)) + (net 4 "+3V3") (pintype "passive") (tstamp 85bea3a8-97c0-4e8d-9be5-2a74d62653e7)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "Net-(D45-PadA)") (pintype "passive") (tstamp 5dfa01ee-80d9-4b0e-99f5-c50eccaca8b3)) + (net 87 "Net-(D45-PadA)") (pintype "passive") (tstamp e81a17fe-d93b-4534-b5d1-7685e06286b0)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5889,44 +6011,44 @@ (attr smd) (fp_text reference "R71" (at 3.564 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 06156131-bc75-44a0-985f-28a4fb65f4fd) + (tstamp 00f193b7-498b-493c-80c2-65be513440c0) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0b7b789b-5b0d-4c89-a87b-4844898856f5) + (tstamp 06a8cc39-c368-4be0-a51d-7a6b2817ae30) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 38c11252-a5f7-4f63-b436-e76668655134) + (tstamp 3bcfd3b0-7fc7-4c9c-a37f-8f091a2ca1e8) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 39881a0c-ce78-45d0-bd74-5eb783c7bae1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3bed683-f756-48ce-aa97-e9deba79ec7e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a19e6f09-27b1-4bf3-83a9-a439cd857f9f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ed09f86-ce08-44bf-a487-0b12a29f4101)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cec1354b-f383-49d8-939a-f780f21f875a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca2e594d-4d92-4be3-b16a-7f1ac53dc22f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 245374f2-922a-49aa-ac1b-a3c084487423)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f4f796d-bcd6-49fe-9bfb-1aff604093cb)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 21df06ba-464e-4616-82b9-59dfde019f31)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fbb3bfef-bc22-45cb-ae70-ba5e7a7c2387)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cfae308f-8ade-41ac-a950-5ce1df3c4c79)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 319554d6-12ef-4f15-a383-b6acd92fc39b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3c76ac89-65c4-4237-a1fc-a2f0903fed61)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp acb0aa16-2339-4b8f-a95c-58eb04991f3f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 38f43884-be52-4304-a5f8-03dac0a0f53d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a445c760-1edc-4413-ac22-e602552c57f0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85567c11-f103-4ae6-915c-65ab08ca0cdc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7d17ed1-69a9-45e8-9302-00e887ca393a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f0e3743a-5a70-4d41-974b-f41cdac184db)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7168d8e-7f14-4f0a-8a26-e863989af7a7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba558ebb-edf5-47a8-af94-e210aec52e4d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fadf3ab8-d29a-4a7f-844e-7164849b2702)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 28004da8-269c-4578-9fc6-d9cd9660838d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 05e98b3d-a703-473f-b6dc-647fb70aa431)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "Net-(D69-PadA)") (pintype "passive") (tstamp e3f14b14-e2f7-4bfd-8642-a6229dfa03ab)) + (net 133 "Net-(D69-PadA)") (pintype "passive") (tstamp d3ea5b0d-75d3-4a2b-b4f6-45f23574dfca)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp b053e55d-9a00-47a6-857b-c34ba2d9fbe1)) + (net 4 "+3V3") (pintype "passive") (tstamp fa0968f5-bfd8-43c8-a770-cb045fdb86be)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5951,44 +6073,106 @@ (attr smd) (fp_text reference "R134" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c6983ee0-f64b-4c2b-8f64-fbfa73c4be35) + (tstamp 1259e5c8-ebd7-4e17-ab61-f1253969b381) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1ba1b414-df2b-42e7-9253-ce5eb3bc131a) + (tstamp b3d33f7b-84fd-4c34-b864-9059331f1323) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp b9dc62ea-469b-449c-bc7c-108853c8caa7) + (tstamp 87d15074-0f68-4898-8bd1-840f7af644c1) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18309fab-95ae-422c-97da-cba31fb3f0a8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c472ce6-b634-4bc5-81d5-493b272e3ada)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23af09e0-e6e5-46c2-94bd-a0ff906bee96)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83df4273-75ec-4895-ab76-679e5833f41b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a4674d72-2e17-45f2-a447-806dbf49acee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1fdd71c1-a57d-4071-bd4b-e3e97db91225)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a28702d-05d8-489e-ba44-692d371bdedf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0199cee6-73a0-4656-8e10-e19a62e7cb7d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9113ed23-acbd-4762-ba3c-4a9e9a69ef83)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 79dfb3e7-068c-4780-85d8-c063bc63f23d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b5626aad-97f0-4e81-8e14-8cce25068ec0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 79bb3506-a4bc-4041-8aa8-26ebf6b0258f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 88a788a8-82c8-4c99-929d-aab2052b2323)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a7e4a44c-8d93-41d0-bf3c-c2b43a6f8e8d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c39c0f4d-0c78-4465-bdd7-51ecd2cef840)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 62435469-90b0-42bf-b3f1-590e84b3edac)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aff6514a-7a69-4dfd-8242-4c1e9c7cd526)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4cc6f6bf-3de6-42ca-a891-5eb06a62406f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 70181c16-e614-414d-98f1-795879f9463a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb556387-3c91-4660-906f-2f961bd8113d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e2682596-b470-442d-bc70-288749635fa8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d78b66c7-3194-4767-a206-1dcd89fa7749)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ba4208e-0aa4-4d71-b2f7-ee55733aac18)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f867ea3e-2919-4b6b-9ab2-f38feeb4412d)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 322 "Net-(D132-PadC)") (pintype "passive") (tstamp 0606936e-9d6b-4df5-8cde-03ac0259c408)) + (net 315 "Net-(D132-PadC)") (pintype "passive") (tstamp 3e68d641-7b75-40fb-910f-c8f6f21fd738)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 29684b9d-4edd-438e-80d0-068bc904f824)) + (net 2 "GND") (pintype "passive") (tstamp 1ddbacba-b978-42cd-b02a-e4684428879c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 41d45cb2-61cb-4b68-864b-05ab5bb1e67c) + (at 183.690274 97.368526 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/02e2d5e9-24a9-4872-a3f1-a9345c623ee1") + (attr smd) + (fp_text reference "R94" (at 0.6 -1.5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8df46309-4265-4873-b8f6-71beafbf386d) + ) + (fp_text value "100R" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c84d1d4a-b5f3-4c03-97f1-25f876c8d65e) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp af6b0b16-14ab-4266-95e8-38dc9ac9ad15) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d583ae8-d09b-4ab7-9f50-c67a282c36ee)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ee5be10-4677-470f-be5a-d28ef13329c0)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b218294-b5d5-499a-ba85-c3aa3654b44d)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6cfe80c8-5ec8-4e4c-9fd2-2c22b0080316)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e8c5af12-7904-471b-a003-c3fa34bc68a7)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0ab7a647-58d6-4c44-a469-f1d64203419c)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bc19b1da-e1d0-4372-92b5-bfb8ef2c9373)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 92f7c3f6-d941-41fe-a1f5-ebde5d558cf7)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1c7a3f03-1b7c-4111-8284-24af75450a30)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 94ff4c33-db9c-470b-a2fb-1b64affcccbd)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a49c6e6-5aa0-4a0a-b80f-f9d7ef03d6f9)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6dca11f5-9692-456c-bb69-4d52773b9127)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp c707d982-2e18-4a6e-b759-e2fb2a4173d7)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 393 "Net-(D92-PadA)") (pintype "passive") (tstamp 7e74205d-0891-48dd-bbe6-ba4921dcfb1a)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -6013,44 +6197,44 @@ (attr smd) (fp_text reference "R152" (at 4.028191 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8e59aa07-e342-4c63-826e-a20448844cd2) + (tstamp d54725d0-8b45-4ddf-bc5a-4394f5dc6809) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 783e0b19-8cb2-4e6a-b631-34406b655333) + (tstamp 82c0e903-9d0a-4c19-9bfc-54cd945e01b1) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 709e3433-49bb-4273-b1c8-67f28b9c6ff6) + (tstamp 8f55b8f0-726d-4276-9cd6-fdef48458d0c) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23eb5269-0aff-4f37-8077-5e14f4892e1b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01b2d836-8b92-4a53-8296-877954c46595)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95013bef-bce4-4c47-a154-6284c38e027b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5dc5b55c-ca96-4a20-8d6b-23c58b24ecca)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 138215c3-710e-4cf1-b220-f776d36b9dc9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 85cd670a-7ad0-427d-bafd-ffd4e9f4c97e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ffe39221-63d3-4aca-a054-6c54f582f1a7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c2590de0-f43a-4c99-ad2d-a285e46d1c4c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 52b00ed5-5dd5-42ba-95ca-2c094f6d55c2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c334c9a4-b118-4b05-81e7-e4d491c68154)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 13d2916f-a2ef-4e0b-ae30-491ff902afcc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1a47eb94-bf98-4899-9dc3-acb0ee9f811d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 40fa08fd-0cb9-479e-a692-741e047e6b90)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1ec4b8e1-1848-4754-bb24-c2f7184395be)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bb60eeb2-37f2-453d-b11e-983cb697b7d1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 437ea6bf-dca2-41ea-b561-106cf9981282)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8374788b-4338-4fc4-b25c-00b4da1f9a8a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b1afe6bc-4cc2-4c5a-8f28-077807203729)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 251e2638-6242-4673-8bd2-535ed8155d48)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c6b237e4-d330-496c-9414-8935ef3737b9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f2a26fcd-3b7f-43cb-ad2c-4f0bc626a59d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6faa9e2f-1ddf-4d0d-b6fb-be947a423f38)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9c4aeff6-23a9-4d61-a889-29297dac4f87)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cdf2a187-0d51-49da-acd1-1c1ec46f9706)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 364 "Net-(D150-PadC)") (pintype "passive") (tstamp d786ccad-2f22-456c-9e4e-35f4004dfe0e)) + (net 357 "Net-(D150-PadC)") (pintype "passive") (tstamp 506dba77-146c-4f53-8f51-662974e10745)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp c94825cb-10c9-4877-9171-aba359fa5eb4)) + (net 2 "GND") (pintype "passive") (tstamp 15af292a-f0d9-4bf0-bbe8-4ca45e696de6)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -6058,183 +6242,307 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 44b2cb48-cb45-4c68-83f7-ea4dfccd8e92) + (at 189.385674 125.806526) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ee791fe6-2175-4e79-a4cc-7eedf346d5da") + (attr smd) + (fp_text reference "R104" (at 0.6 -1.5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d5fbd36a-5616-4a11-96ed-96ea11c3034b) + ) + (fp_text value "680R" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 880a670b-e100-4cb0-9835-52461ac817e3) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 0c1c5128-805b-4ff7-9061-e27e6858d9f6) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4af1fc36-a6a1-4fa4-bb73-d31131314286)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd4e33e7-1efa-426e-b59e-113d985430e2)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6986677a-11b7-4215-9c65-34bfdc4e4513)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e84b4371-c386-4a71-a628-44df4b66e84d)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5a2abfd1-518a-4d2b-bd5e-ba791d884e29)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 161abeaf-c9b5-4a06-970f-a0a298913211)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e8183cb3-33cd-4b02-bbf6-0085b249adaa)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d189c68b-ab06-472e-8958-1750b19950e2)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6fe1037f-5fd1-4025-849d-963ba97f91be)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fcca03a9-99b0-4c59-86e0-99031b5f80ce)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7512ec23-d3db-494b-ab93-7a9410004d42)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1f45dbb2-9204-4eea-b742-7d4cc9f1618f)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 399 "Net-(D102-PadC)") (pintype "passive") (tstamp 4de26838-31ab-4cc8-8e16-78d05fec64ed)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 83019683-5474-4145-96b7-aa6e8c847d7a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 44be1e7a-4961-4393-91f3-47e9ce239a97) - (at 95.211474 138.592726) - (descr "LED 0402") + (at 95.250274 138.592726) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3c5c07b6-f9ed-4217-a364-5767c7cebe11") (attr smd) - (fp_text reference "D137" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D137" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 934b4d18-bafa-4faa-ba88-6b513f62556e) + (tstamp f3d22342-8208-474a-aedc-0ba22aadb001) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6eb98749-05f2-4996-a6c6-7070c9d3cf2c) + (tstamp 7fddcde7-e1c9-406b-ba3e-80ea6a8a082b) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 46a2ef5f-5c9c-4ee7-85f5-147520307ff4) + (tstamp 3f2df3c6-002c-41a6-9a32-b8fe162f4cb1) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ef8e8edf-f611-442e-87ad-dfbfffe2a195)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 15eeb3b7-9dfb-4b41-8bb4-4aba843e4ee2)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 786170a1-a80d-4730-b91b-23e5c6d50583)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36619a78-333f-413e-83da-1a13ffdb325c)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp db92a481-c88e-4c9b-a75f-52bfb939406d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 80835e61-4fa4-4158-ab04-7e5bb9ce42bd)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 44d75483-540e-46d6-90d4-c79ad0978bd7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ea3f2220-c739-4dba-9b17-1039bfafa9a7)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa71b34a-2f7d-411b-bb2b-6965692d6876)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 26b11e31-7e2d-4f00-90b9-e4c7af769b2d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f7fa7244-90fd-476c-ba2c-117d4aa14de8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f39c615-4fb9-4389-a04f-a9af9275a1a1)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7fa4f22d-bb97-44bd-8a11-873a533d9831)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ce18d0f9-cd8c-40a2-b780-9a79d5d347c8)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7ddcd137-f080-4c79-b057-9c0cdfaf8654)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e75e1546-02e1-4d59-8727-3af8dea7b923)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 745c71db-859c-4365-b33c-00b391e4f3d1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 03396d4e-d9b0-4a3c-90b1-0da4fc8bc921)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b24130cd-dcf0-4c96-a4f3-11e30ea164b4)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e45deaa5-5615-4363-b602-14543daecba8)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a8beae4-7390-40c9-a391-bdb46864f97f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c2876f4c-1fb0-43a9-b5e0-ffd726dfd0e2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d88f0c67-0f97-4f08-9d98-75de9de8c9cf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 365a1c63-5644-41e8-868c-6ec42b2a4659)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3571e480-75b7-4e05-bb1d-262a39b9246f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eccb3ef8-6f22-4e21-9016-852171400b94)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 246 "GPIO146") (pinfunction "A") (pintype "passive") (tstamp a9c4d70b-9f66-49ed-ae57-ed9645b0e912)) + (net 239 "GPIO146") (pinfunction "A") (pintype "passive") (tstamp edb694ff-3c42-42a3-8920-5426373f2cd9)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 327 "Net-(D137-PadC)") (pinfunction "C") (pintype "passive") (tstamp ac330e4a-3d1d-4465-b3bf-3091b40e4c78)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 320 "Net-(D137-PadC)") (pinfunction "C") (pintype "passive") (tstamp 017a4ba7-b851-4e43-b7b2-de0dc188f1b0)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 44de9b6c-9d65-4eb7-b820-1298e6728b8b) + (at 186.448274 43.520526 90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/17776a9c-d3a3-4845-a62e-88d5663dcad4") + (attr smd) + (fp_text reference "R173" (at 3.302 -0.012 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5b0993cd-92c1-4ce3-a7cc-9296e1ea1713) + ) + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b0657b3c-03d8-4d18-adb5-d86790918314) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 0d465a90-6e23-4b33-8c8a-bec7065a0e4e) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1f980081-8e6c-4728-972c-c9598e1edb31)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 611a6cfb-932f-4887-8b1d-a6879447d99f)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2ec5495-5081-4172-a00c-4b9624c63998)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f9252aee-9104-419a-a2f5-f1fdacb33660)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fd80451e-2792-4229-9424-0c1b44f90e1c)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4ecab27f-8ba2-4514-ac51-8854c71bc56a)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a63b4500-3be6-4293-b591-fa8e0d5eb122)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 082301ce-f13f-4ec8-9b55-be23bd34d5ac)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2635e900-e7de-4669-becf-11aec070a55b)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4e87303f-bbde-45bc-a0a6-46188b2cc34e)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61fbcdbe-5f8b-48ca-bd1a-ae53168c27c1)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ae80a645-db4d-4c27-9732-38d7f9a35a87)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 378 "Net-(IC3-S4)") (pintype "passive") (tstamp 1abe2cd3-7fcb-4541-8073-136c2f81a415)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp e3b495b3-d90f-4c32-b3de-5c9e9ad69553)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 45601451-3c9e-44b2-8c01-1de08452dfd6) (at 76.454274 53.825652 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/813f73eb-80af-4da8-94ce-1e67561d6963") (attr smd) - (fp_text reference "D26" (at -3.801351 0) (layer "F.SilkS") hide + (fp_text reference "D26" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e5d0ac39-023c-4b8c-abda-7c10083295bc) + (tstamp 6e71adfa-5dd8-4527-8140-8c63c8d2bf30) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5c832b8d-ea17-4750-83b0-c19a987feb70) + (tstamp 6d234394-4dad-4298-9d14-863f140a9f12) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 1e1340f0-fd43-4a0c-9190-39ad2b70820f) + (tstamp 3d3ede03-61e8-4278-bbd6-58c383bcb6ea) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c393a41-05dc-4e7d-9e89-344db002d38f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0f691891-ab34-4709-a84b-3401a7fe9555)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a47080db-71b3-4482-a786-c7896a8b49c0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8559faf8-498f-4524-9ed1-c7b0acebf5b0)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a12a0107-0900-4a27-83ad-0479e61cf7e0)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e4063a27-07c4-421d-9427-0cbd4a199a81)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa3d732d-cd60-43da-bc4d-3f62cf1665da)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 712dfdad-8fcf-4d81-9cfb-d8eaf176f5af)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 125a5768-d0d9-478b-89d2-89e36160d30a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 12816855-2395-46ef-a55e-e5beeb883ce9)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 076b78f3-cc81-449b-a8ca-d7fa70734364)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c140f302-f007-4206-9f63-95da86031c42)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d141f89e-eddf-48f8-92ab-8e2a76a36aca)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8984f7d7-8bb9-4180-b15b-47afb0074e16)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eae322c3-109b-4f31-807e-35d4c821e5a6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a4e4350-fd85-4756-bc99-e580fc4de683)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b8453fb4-147c-41c7-a994-4176dccaefd8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b0cb56a8-ea13-49c9-b5de-34c97a47d4a3)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cec86951-585c-47e9-acf1-4944ba53247f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 111b9669-a547-4abd-b19c-fcbbe4fee4d4)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e5c874e1-abab-42bc-86e1-972cc2ac1c42)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32ea8d19-e4f3-488c-9c12-ad325f1d6526)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fbb3146b-7650-46ee-851e-d38b151073d7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e7b2e512-e70a-4625-b749-bdfa4dfd46e7)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4e460db8-26af-4f7e-91bc-88c0719f76bc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a42ad69e-2039-401d-9b7d-5ccfaaece954)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 53 "Net-(D26-PadA)") (pinfunction "A") (pintype "passive") (tstamp f626d917-177a-4968-89f0-6042b9a4aa27)) + (net 50 "Net-(D26-PadA)") (pinfunction "A") (pintype "passive") (tstamp 2cd8d55a-1df5-4810-bd82-4e0a8ba772b7)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 54 "GPIO31") (pinfunction "C") (pintype "passive") (tstamp 2f016871-67a1-402d-b08e-b8b09241ffc6)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 51 "GPIO31") (pinfunction "C") (pintype "passive") (tstamp 0843fd1d-f41e-4936-9225-d6e53a0f1473)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 45d33f81-5d37-4889-adde-b24ff39559c3) - (at 195.707274 146.493463) - (descr "LED 0402") + (at 197.739274 149.160463) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/db38ce08-bdf7-414f-909c-f81e940d0bd5") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e26e7d7d-9532-433c-9481-747993ee170f") (attr smd) - (fp_text reference "D15" (at -3.331009 0.02) (layer "F.SilkS") hide + (fp_text reference "D15" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dd65e386-3609-4704-a004-f4ef22b44139) + (tstamp a4b73260-3a55-49b2-99e8-0df48caf95ed) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f7eed4fe-09e9-41ee-8d5b-991f2c402398) + (tstamp 87080c23-3c48-4673-ab20-1112370b485e) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp bdccd6ea-b1b9-49ef-9956-4b7faf3510e7) + (tstamp c5d4e6be-321f-42b8-bc31-5f76de028466) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aaec0de2-0153-482b-ad47-e424af037ef8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7eaed5eb-23c7-4ec2-af92-fd95c47d07bd)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db218bc6-b590-4e97-aa05-2028b693ff7a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f3d0cf54-a97d-4881-8cf6-aa99a2478565)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ad7daf56-a332-460a-b333-21af2c1ad2e8)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7900ee26-471e-4bfe-8fa6-57655af2ac1f)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3ecf64a-2c63-4525-b132-8f13c3bb2b6a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c951d97a-e1b6-4ffc-9690-7301a818b1ce)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7fd8b546-b694-4c32-b17b-0723f49bae4b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fc32dbee-63cd-41bc-8bb2-c3599dcddeba)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c4481d5-5bee-46b2-a421-586c4be12a73)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8da20526-c93f-418c-82c5-36aa18331b39)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b5595dcb-b78b-4562-a99e-00bf8ed06b11)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7657413e-627b-4ad6-a43d-f1725a3d6b4a)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 42da3e1b-4891-4a6e-b0bc-3ae6b06a1dea)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c47bfc64-4d96-4e55-8261-2b628c87a384)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp df526b87-0131-4fb1-9d3c-7db07dd20d39)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f501121f-cf91-491c-b259-b14799619287)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9b05970e-bb3b-43cb-afa3-a26200c9d0df)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b5b08b6a-3b52-4f43-a92b-1500dbc2cb3e)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e86822d0-2403-477a-a714-f9004bcfdf11)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4528dd9f-3162-4796-bc28-08499dae01c1)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ffd8afc-3a34-4ac4-b19a-a8c57cdeb4f0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 53946e7d-23ae-44d7-b50e-a3cce2be041f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32a03b2c-4c0c-4c90-882e-708398c05b5d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7eb526e0-449e-4376-b2ff-227790f61700)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 31 "Net-(D15-PadA)") (pinfunction "A") (pintype "passive") (tstamp 3fa6f558-184a-42b2-9d20-083b4c81a4e9)) + (net 30 "Net-(D15-PadA)") (pinfunction "A") (pintype "passive") (tstamp 915dafcd-09a6-47b6-9fd6-4bd9633b9765)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 32 "GPIO19") (pinfunction "C") (pintype "passive") (tstamp a290da88-f5f1-4086-a55d-a1d600d3a620)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 31 "GPIO19") (pinfunction "C") (pintype "passive") (tstamp 75f20c4a-6043-42fc-981e-f710c6890808)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -6258,44 +6566,44 @@ (attr smd) (fp_text reference "C4" (at -2.4506 0.1598) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp a722433d-142c-4d92-bd5a-2a425ae7a8cf) + (tstamp eb7f93aa-3605-48e1-8942-662dfc58ce6e) ) (fp_text value "10u" (at 0 -2.75) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9bdfa616-88ef-4230-976e-449796479c4d) + (tstamp 34232faf-cc5f-4b7a-bebc-854047efd3c5) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp eb842bc0-9f3c-4cfd-a60f-0529235c6868) + (tstamp 50710eff-fea9-4297-aacd-abfb72e7ce8a) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec8effdc-d60f-443d-8a21-a4dbdc5ff3d5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fbd188b8-40d2-4311-9ca7-34f3342ea9fb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 508b1d60-f6e8-44d4-b3b0-11c9a77d0695)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7b8d600-00a5-4d62-a413-8e4ec3b15b91)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd0b53ad-5799-4b1e-a796-667a81435d5c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 188eda32-b3cc-41da-9cb6-6b3a8b88cb9b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac7c3d3a-469a-4af4-bcc8-7b4bb0c1f263)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6cab1c91-1f7f-479a-bbad-77b57baccb81)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 77cf050f-e3bd-47d9-82f2-76daf3646429)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 58f4466d-5266-4ac5-9a0a-01becd30bb78)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dd85499a-4201-42fb-86a5-8a16136cf6b5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 34d6f9de-66da-4af6-b55d-429622d9ecd9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 929ea7a3-ea62-4509-9d9a-5438339c6192)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4d910e16-5173-40b1-b479-74e7395eabcd)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e5381964-72f0-4015-b9ad-e778b6fc9ad0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 964485ec-b051-4193-80d8-950f4eb9ceb3)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5221151f-5ba6-4574-8452-31334c0067c4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72e5a243-7afc-4f1c-af5a-970f4deb9d11)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 865a94f2-8a00-4447-8ab1-6b6c5eb39e4a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 461595f3-b7c3-47b5-b9e8-e25c3e291dc4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0069e20-fa47-4748-abfd-f4a7eb69ac23)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ea7fcff-84b4-4d96-af64-3872b1d4a4e4)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 20fe7198-646a-47fb-a0be-a414d05029ed)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 386b63ab-b5c4-4db6-bcd6-c425b114310c)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pinfunction "1") (pintype "passive") (tstamp e489578b-bbd9-45c5-a5d5-bcd5b37188fb)) + (net 4 "+3V3") (pinfunction "1") (pintype "passive") (tstamp 544c4878-f32c-4d24-a236-cd1c5ed533ab)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 4c31fffb-4807-4abe-88ba-db90886476e0)) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp bfc5fc37-d4d2-4448-90ea-39f185944db6)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -6303,186 +6611,186 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 463f54af-6621-4e40-b4c9-59c6c7f4172e) - (at 177.050274 95.336526) - (descr "LED 0402") + (at 176.300274 89.875526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/cdcbf59d-f11e-4c22-8cfa-1b9c3871777f") (attr smd) - (fp_text reference "D101" (at -4.274191 0) (layer "F.SilkS") hide + (fp_text reference "D101" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 35500c98-79c8-4a24-9d77-1cd2020a7cba) + (tstamp 74e34e24-4b67-4400-a121-107d2b327e82) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b02574d5-a9ea-443d-b46b-023c808185ae) + (tstamp 0b0446ce-d3c6-4eca-bf33-9cbee98b6753) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp acb3e08a-f112-499c-9564-1ce04b6e8fc9) + (tstamp 8959c088-d333-435e-9308-431bc2ef1bd5) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2087eee6-f55f-42ed-9c87-48b4308a99dc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30ed5a4e-4980-41fd-9745-4316a64bd31c)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db3a65dc-b543-4c19-b9c3-0ebdd8e4a7cd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f5c24cf8-5377-42b9-9ab6-92d4d8a06fc4)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e3c00fa4-4ae0-4568-8a8f-d6ea0ac0a108)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c7ce6ad7-7b33-4090-b022-ee5d5f6c7bfe)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f76a236-aac5-4a05-8da6-5fa6354037d7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0cf9e8d9-c386-42be-b1d8-2a3147257694)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8048649f-3c32-46d1-930d-922840385a19)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f96c5214-5d9a-4a14-857e-d292ec7c0e17)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c7dfdaa-2024-48c1-9d2c-6fa3a3f81c99)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0919750-09d6-4971-bdcf-42dce20e9cc2)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6bc7e4be-79ab-41d3-a257-45808550633f)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5e2d5ba0-2eac-44a9-bcc2-a8ebb692863e)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b88dc584-b208-431e-8f41-80b170f7aff9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dce0391f-2ed0-47b4-934a-54ccc526cf9e)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e671eb1-19e0-4be8-a0f6-21f2bb26fb5e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 97b9e5c2-c067-4b35-aa00-b6c8accfcce5)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 27d623d6-a868-4084-a077-1fb28536a89e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 91700c74-c124-4757-ba4f-a80126ee6a34)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 53c81265-25f8-4a54-9a9d-a0bd8e45d6a9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2dfc85e2-efb3-420d-9a27-371ad7745675)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4b55e399-8bd2-4252-90a3-44eb4b6d0635)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7e317d4-d443-42e8-8703-8ec3b6b0a75c)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 05247d21-2e84-47fc-93f2-7380129930b4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4f234d5b-791b-49e3-856d-18e86ba6588b)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 200 "Net-(D101-PadA)") (pinfunction "A") (pintype "passive") (tstamp 28ce85c3-1b9a-4161-b672-2c97589c0292)) + (net 195 "Net-(D101-PadA)") (pinfunction "A") (pintype "passive") (tstamp ec7b8e2c-85db-447b-a458-de1c7024a9cf)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 201 "GPIO116") (pinfunction "C") (pintype "passive") (tstamp b19bb7f6-2b10-4558-a028-f1db0b57d2b6)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 196 "GPIO116") (pinfunction "C") (pintype "passive") (tstamp 408b7c49-16f7-4326-b783-1fbd619b8c60)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 46c33a44-28cb-464b-bd75-452df8dd2efc) - (at 76.44043 64.474726 180) - (descr "LED 0402") + (at 76.454274 64.474726 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/b0ca0a5e-f1e9-48bf-86cd-75b5d33ab885") (attr smd) - (fp_text reference "D45" (at -3.7589 0) (layer "F.SilkS") hide + (fp_text reference "D45" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7d2064c8-d475-478b-a27c-413f71584591) + (tstamp 8e6e9aca-85fc-4d23-acda-b521ab503764) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8ab50802-3bba-4b79-b2dc-406c97d64d3b) + (tstamp a99265da-54ba-43c1-8f7e-2e10cd193173) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp c1acb507-2e18-49f9-b36f-b9ed581a5a83) + (tstamp a87e9567-d6a4-45b1-ab7a-3fac66d4deaa) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5bcf79e-a897-4f2c-bf8b-4dae7d20d1e7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a07eb0c0-2524-4803-8604-7febfd2686a1)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ddb2983-6fc4-4e66-a544-05bef2b402b0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 835793e8-4151-46a7-86e4-ce353bc2921b)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fa451dc2-e5e6-418a-9157-5495de817e25)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 46986666-cf81-4b7d-8cf5-04e67ea956a9)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cbe0dd70-a9a4-4cb1-805e-484e26d5a8d9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4bc0d3f2-4eac-4438-898a-fd15c112450b)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 994d016f-6822-453a-b664-30de3bb0efaa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2833735-d619-447b-bb5c-a80f332d228b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b3cac7f-7e4f-4a8c-82de-077a2911cbb6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b0d44d25-3e9a-456a-bd2f-7c75dcba846d)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5310e90e-1711-4e22-a3a2-cf4cbca646bd)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c21fbdab-5b33-4834-9ecf-4919ba584917)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 18e0b7be-ef46-403e-a911-69acb2291e33)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 298d91ea-bd69-428d-bcbf-d09751122f14)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9c196513-d3f2-452b-bce5-c37391f18bc2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f290da8c-a9b2-48bd-9542-4f0fb57511f7)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4cb33634-c51d-41d8-943b-1014eb803853)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 40fdaae4-bfd5-4ede-998d-2f18ebea5006)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a764d667-5c9b-4811-b5fd-bde9bf90c2f2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 27f70759-0c95-4710-bda2-597f142fe16c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 450b018a-0f45-4240-97f2-d33e92f6e046)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9d3d9f52-3666-4653-a81a-d46a181c3f51)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be0e3ceb-e639-4f8b-a222-8bec57e7223f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 385e0e42-6ce0-4b68-b753-cb53727ec9e0)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "Net-(D45-PadA)") (pinfunction "A") (pintype "passive") (tstamp c61d74f8-9404-4d2e-8fc1-05ca2b580812)) + (net 87 "Net-(D45-PadA)") (pinfunction "A") (pintype "passive") (tstamp 29190ecf-acdf-42f9-899b-d2bfc8a6b2ae)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "GPIO51") (pinfunction "C") (pintype "passive") (tstamp 57b40bd1-2557-4fec-9680-9e578a3ea879)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 88 "GPIO51") (pinfunction "C") (pintype "passive") (tstamp d665ec97-b56a-45dc-9f48-3a8d538d1eab)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 46f410e6-59e6-4821-895f-2cbb42c00178) - (at 93.357274 81.549481 180) - (descr "LED 0402") + (at 93.3216 81.549481 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3407f9cf-df6a-4e47-9b4c-3dbfb39c3525") (attr smd) - (fp_text reference "D115" (at -4.463645 0) (layer "F.SilkS") hide + (fp_text reference "D115" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2087e476-17e7-445b-a8ef-ecc029ac4aaa) + (tstamp d8901a89-02a3-435d-8ce5-dd4b581161e4) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8b44b5c6-1505-4d59-9948-4a60f68621ad) + (tstamp 16da1a2e-964b-41ff-b2db-66f5c94b2e31) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 59e1aac2-6eb4-4581-82a1-0062cc2b1a73) + (tstamp e07bc380-e75a-4b93-bd65-46da4f6e4e6a) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b93fb3fa-eea7-4a00-841a-1603f2b26a4a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 88689b74-c5f8-4832-9ef2-50e576210121)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0add9f00-31c9-4628-8e6b-fe1af42b3ac8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 619e8197-a03d-4971-9909-1aaa0062de34)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5f57683c-9ced-4286-9bf3-540d9599725b)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d47d0e79-1121-4268-953e-61f6920f0b52)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7efc1e8-edbb-477e-9d16-f734fc8e4f5b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f47197cc-f8f8-4811-92b3-b3228b2d1b1e)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b04c8f8-9d93-4d5b-b63a-746513df6201)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e28b5510-b92e-468f-a36a-a4c3c453992b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e46a472-1f25-4dc4-8f2e-adc8773057a1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c6f5de8-661a-4ef0-a4e9-ced70fa39174)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6e3e994b-6fea-4d54-b423-7e2787d45b05)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 456524a1-bb13-41dc-bb78-aec3fed23fd1)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a922260-04de-4f9e-ba58-6c874d8dc200)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7577b9d9-65f7-44fa-b2f7-59f8c0029c55)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d484db7-167f-42b5-97c2-ad16f16e5223)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c5dbf909-4b71-4c82-9c6d-3e736d3905b4)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2ea982bd-5a4e-4ae0-893f-40cd71624150)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3fc73d52-fefe-4453-a7ee-621491d93457)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5b53fe04-2ceb-4c31-9d89-330d0eee499d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7fb41758-1838-47c5-b3f1-fccd82d16382)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 575162c8-8261-4bcc-b193-50ac8fa21c8b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e783f06-0311-4475-8fc4-292ddbcf9b01)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bd206f8f-0d2b-4d65-9153-de07932fbb5f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 654518f1-ba29-456c-b440-8a49f1e8ee1d)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 343 "Net-(D115-PadA)") (pinfunction "A") (pintype "passive") (tstamp 27538c9d-ba7d-4649-950c-68c58a4e431d)) + (net 336 "Net-(D115-PadA)") (pinfunction "A") (pintype "passive") (tstamp d54ff0b5-c83c-46e9-8e2a-1c3b5421fbda)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 227 "GPIO134") (pinfunction "C") (pintype "passive") (tstamp 2f8dcead-226e-4059-822e-8dc691ed992e)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 220 "GPIO134") (pinfunction "C") (pintype "passive") (tstamp c135bfe2-27c0-4422-a41e-df49f08a9404)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -6503,106 +6811,44 @@ (attr smd) (fp_text reference "R28" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 496b1b94-0d43-447c-b0f4-466ea0392dd3) + (tstamp b84c1025-ffe7-4d3c-bce3-89e2f287f188) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 070ebbc8-231c-4c76-94b8-4851d8792d50) + (tstamp 86f5c95d-22fd-40c5-a80d-e0e6fe0a6d51) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 20896207-76e1-4b17-aa84-97c9893c82b3) + (tstamp 51025d71-3d2a-4ecf-a12f-ed1938cf9c43) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 651b927a-6842-4bb7-85fc-f543b7a58983)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e442a9d-d9a8-43b7-8c17-69575b92c823)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa7c2b04-2c81-49cc-b9fd-f4c39014426f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1362dcda-506d-4880-a482-1089faa990ba)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3aa07f0c-39d1-41ba-ba19-d11102c02c4c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 062d9145-5fda-4437-a70b-c701c66bf125)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2830803b-2a2d-489c-8054-669482a72aac)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aaff29cc-f1e1-4471-819f-243b0da70bb7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ed9d4518-b673-4824-9948-6e728c76fa14)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 627c0b44-5b8d-4b1a-9d58-8dfe4ba0515f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a71237f5-4795-4cbc-96ef-532cc919b6f4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 868c8da3-0ab0-4a0e-9eac-d6b94f4c2b4e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3ea823c8-cfe1-4bda-b0e3-8d50868c3162)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a37eaae1-1715-4442-aa54-b27827c8d506)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 972bc36a-1fe5-4271-85a5-3775c7f4fe31)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0c91775d-f626-4a52-846f-64d787028ea1)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eebeabdd-cc2c-4c57-a1cd-b4f7fc547244)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 18f41752-3d49-4a82-a05c-277de0c40798)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cc94dc98-75c1-456b-9a10-8c4d17f9ffd8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7f01906-3530-4bcc-8fbe-e462dec7c00f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 54d72b79-bd3d-41d4-bf0d-19aedcc1d3ca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be012e51-96fa-44ae-b7d0-60dc64682ba7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a73ee55b-0a55-4de6-9b13-2004b2edaace)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8db6c975-94ee-4ca3-a437-3812b96067f6)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 53 "Net-(D26-PadA)") (pintype "passive") (tstamp e2e8df6e-86b1-4245-8553-d6ddb295701f)) + (net 50 "Net-(D26-PadA)") (pintype "passive") (tstamp 920107e6-ac85-4feb-b520-5f4f849f4477)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 4470f71e-e1d2-4d49-a4e1-848f0c5c9930)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 47da0e98-4119-4bd5-b361-784505810c16) - (at 203.073274 97.759926) - (descr "C 0402") - (property "Manufacturer" "Vishay") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "Resistor 0603") - (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603680RFKEAC") - (property "mouser#" "71-CRCW0603680RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3fb869d0-8f08-4c67-bc7f-eef51dc7f5f8") - (attr smd) - (fp_text reference "R94" (at 3.2258 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f5cdd043-a62c-46ba-9e4d-2e7f6a91fdac) - ) - (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 46708e9b-45dc-4926-894c-02fb605ca28c) - ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 09fe966a-db36-4b8c-b783-08eb983d4527) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2daa4f31-b50c-4730-90bd-c3b8a8d7fdec)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 156a8e9a-bef3-4378-b00c-fb1fd88e9a3e)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64b6b23e-320b-48ec-abf6-b7c431ceb275)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 610c14bf-20b5-4d11-8fbe-36529a749e4a)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6d2e2f54-b934-43c3-a3dd-f659902066c7)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7312f163-8e36-4852-bddf-bc9b6bce5138)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 57e8095a-d4af-483f-96d6-20a990f3f4e3)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1c5fad68-9e27-4364-b81e-6bf9775f2b80)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 785d1612-53da-4eba-b421-ec093a45e664)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4829613d-df28-4c31-9db8-e7dd9be46733)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dd68846c-d3ef-451a-9c7e-9c416ad80fac)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ee31cc17-66ca-4a58-a901-fc76c3cb1eef)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 182 "Net-(D92-PadA)") (pintype "passive") (tstamp 34861af8-9de3-4e19-a2d7-52e4c93888ea)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 09a5dbe2-70a4-42a2-80ba-0d16c0a75029)) + (net 4 "+3V3") (pintype "passive") (tstamp 08fcd44a-e5b1-4b47-bd77-7c8a09ade121)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -6610,193 +6856,131 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 4853e95e-a1cb-4729-af13-d9d3f3c938e3) - (at 93.357274 97.00819 180) - (descr "LED 0402") + (at 93.3216 97.00819 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5aba249e-5ceb-4017-b76e-86e3678241e9") (attr smd) - (fp_text reference "D67" (at -3.799966 0) (layer "F.SilkS") hide + (fp_text reference "D67" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp fa75b2a6-3279-40e0-b008-53ca22daad17) + (tstamp af64821e-9363-412f-8c9b-7db256add842) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 06f23b51-69b1-463c-b432-ae4f03715778) + (tstamp 42a7ae9d-5d4e-41a7-ad40-b52a37d60f25) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 5910ba0b-c5f1-4340-8274-2f0dbfb4b82a) + (tstamp dd3be2b9-0975-441f-8fd6-6be19efbc873) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b669249e-5854-46f8-ab33-ddc6125e3267)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65c37cd1-9497-48a0-a959-8a9d3e5cf6d9)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dbee66a2-80f6-4f56-b540-e12e311fc8e8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f493827-fa44-49da-a86c-2b99972c76d1)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 023e68b6-6f53-43c9-98f4-cfc2d2593168)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 55272287-094a-4515-97ee-c2cc756fd34b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c637a4ef-7b9f-4ae2-90ba-22a0ea4cd9b9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07a51a0e-0f78-4320-9d2d-33764469a0eb)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f9688cb6-9bce-4b76-968f-0f8ba9403eee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1c507fe-e701-48ce-967b-c821091c917f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0df736a6-6a33-452b-93a5-c4e832804bae)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 082b6c31-22ec-4dd6-a44e-18f192f502f3)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5e8d8b31-2ef5-4589-b0ce-43d22aa64ab1)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8d905101-77ce-4123-9b88-0e51ec7c8b55)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0871ac2f-4ecb-4e97-a353-b378ef0a02d6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eab7970d-ed3c-4f97-a096-f3954571c7c1)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 76cfd677-74e5-4d97-9299-8bb019b20c9a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4f5a045d-c0b4-4e06-b3b8-f0bdb0c4bd0b)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 324b3e1f-023b-47a9-8c06-427fff6c198a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 867afee9-fb32-4dba-b013-778044d96727)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fdd2c176-0d30-4b58-a06f-3afd8f1a5597)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 23f4593a-c673-40c4-bce9-e87cb8dc888c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1fa39d6e-48a8-4a39-9409-2a1a3885943c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a047327-58ff-490f-82e4-f0a929ea1d65)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 830678c9-e918-4e86-8935-6eb63e900e77)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 096a282b-c8ae-4be2-9ca9-114c38cbcd64)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "Net-(D67-PadA)") (pinfunction "A") (pintype "passive") (tstamp 2533f0d1-db25-4ef7-a238-967c644fc31d)) + (net 129 "Net-(D67-PadA)") (pinfunction "A") (pintype "passive") (tstamp 29f7c9cf-e997-4081-b432-9d7703952e10)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 133 "GPIO76") (pinfunction "C") (pintype "passive") (tstamp 9a369a0f-bf9c-47d4-8e3d-f553e1c4ea9a)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 49bfef00-a43e-4fdb-b6d8-6f9c3c13b855) - (at 185.7776 55.7672 90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/c34f9c38-c734-4bb1-aa94-6f6048f9f8e0") - (attr smd) - (fp_text reference "C31" (at -2.972 -0.044 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9a9c9911-c7a3-4719-8a95-a2d3bfba251f) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f8114d96-bd59-4b92-b74a-10205ec14945) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 1c252253-a2ed-4b2e-b615-94ee3b65199e) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cbdcd4ee-565c-4679-856b-5e58aff5a7aa)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0c7a689-2c2b-46a3-b81f-639b6d7201c8)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acacdb59-481c-4501-b0a9-fa1e07e48fae)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0b795e9-f9ff-418e-9557-25faf475ffd0)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1002ed73-feca-4796-8477-a71c77708fae)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 38d9e747-658a-4ee8-beea-9a09af8ef598)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aa0f8cfa-27d2-4d30-b50d-b4414de8b513)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c66689ac-00b9-4fe2-9927-c4506fec7e82)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ee74199-cce0-47f3-8adb-f92e4fa32b7f)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4e5100a9-82b8-4be3-b40e-4e0c91179e3e)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f16a7562-4e98-41fb-999d-01587ce5d512)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a0c441a-aed9-495c-bc11-6a58d16da149)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp a69b2199-1f1e-4086-803b-ab698431eb9a)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 389 "Net-(IC3-S8)") (pinfunction "2") (pintype "passive") (tstamp e22f9648-ec60-43a4-ada1-3c817f6cbf25)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (net 130 "GPIO76") (pinfunction "C") (pintype "passive") (tstamp f40a6fcd-5b65-45f6-9cfb-3611ebe3459c)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 4baaf92e-bb7d-4ece-b274-60f1b8d7f7a3) (at 141.642674 151.191126) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/b4b20c2c-2459-41fb-8153-c70981f51b24") (attr smd) - (fp_text reference "D150" (at -4.011428 0) (layer "F.SilkS") hide + (fp_text reference "D150" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6d16aef5-8ea2-4833-ae24-319433d30e65) + (tstamp 85329d4b-decd-48f6-a430-e6a964cddf29) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e580059a-6c38-4eea-a6ab-11432c16be2f) + (tstamp 92e2f8e4-a769-4a24-825e-f41ede979f0d) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 2bc775aa-39a9-446e-86f6-bbfd993c15e8) + (tstamp f9cce79a-45e7-44fa-b459-bf5cdc581879) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9240eb0f-afa7-40ca-8412-c73e1c1d202d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 32839542-1650-4fb5-a7ea-f23b36de1f35)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8e69e381-a212-41b4-86da-1a246f8757c9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92549b62-455a-43cb-8158-997a45b0a9e9)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7cef7b3e-9a45-4801-b360-024bec08b287)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 908d3bc7-224e-4dd6-8ddc-536fa486c63a)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 755ee330-979e-405d-8e45-361fe63ae8a2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e04c74c-cbba-4b7b-81c6-206283316b70)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eca460dc-512f-4cf9-be76-6487145a726f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp def587e3-c958-453b-bd0e-55a525d75d67)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 946ec26c-46ee-4628-ae59-c3dfb7f8ff1b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 638942ac-f440-4118-b67b-23d36ea0aeb0)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f8e9a8e9-12a8-497f-8d7b-d98bed61c66b)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 509eb9ca-c316-4ac6-9cab-9b46ae6a3fb5)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0d5a6220-33f0-4227-8983-e29dc9fbc139)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 65f046f0-8191-42e0-ae7c-ac09085c067e)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 311cfb72-092e-440f-94bf-af231747c489)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e8ca2d0-4c98-495c-be0d-01301e10d4a1)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0cca7900-acc3-4465-bea1-0820cc9accda)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca8bbc09-ac84-462e-aec5-2d5b25c2cc2c)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d23c8bbf-f46a-4df6-82db-e4a142ff642e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be874058-220c-4a65-bdab-c875aa9c9402)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a3a901d-7379-4535-b8e0-87593568cb11)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7a68ed6c-6e15-4669-8802-fce0e9234a7f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7ce85cc3-1514-4307-b25f-4f7db257b50a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a32dfc29-682e-4965-9a63-f36ecb5e7e71)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 272 "GPIO167") (pinfunction "A") (pintype "passive") (tstamp 4a431cfb-4f32-4452-bb26-c6c6fc8b4117)) + (net 265 "GPIO167") (pinfunction "A") (pintype "passive") (tstamp f2af5702-6dcd-43df-94f1-308c32d9668e)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 364 "Net-(D150-PadC)") (pinfunction "C") (pintype "passive") (tstamp a4fb99b0-558c-4378-bef8-4688acad1430)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 357 "Net-(D150-PadC)") (pinfunction "C") (pintype "passive") (tstamp 1b2f010f-6419-40ce-a736-6b55360b7828)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 4bb4a79e-7b77-45e5-bafe-0839bf8d3850) - (at 203.059874 156.678863) + (at 205.413674 126.481926) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -6805,50 +6989,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/328208bc-14ff-42b1-8091-ed350998a8ee") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8f0f3d62-4933-4ff5-838e-38451739705e") (attr smd) (fp_text reference "R65" (at 3.41701 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c33ee819-6678-4ca6-bc72-86627ae98dff) + (tstamp 2c47fa48-748f-46ae-81b5-ab5ef48399e4) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 989c2c8f-496a-4a8a-a12e-6907e79bc631) + (tstamp 50e5f49b-7ba4-4b3a-b54a-1309269e4cb2) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 9c60297c-eafb-4bfc-ad2c-2a007dfc3a0c) + (tstamp b62b5448-e8d0-4d10-aa25-0fa60982283e) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 85801429-f910-46e9-b20d-96362b61d1cc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 94f043ac-9be8-4583-a5e4-5c91cf2c9569)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f688291e-1d43-488b-8b91-66f30b265d38)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d3f4b45-e183-4eff-80d3-c4483da554b0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp affc424c-950a-4cd3-b36d-d89e1f699bcb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5bd16b38-bc30-4760-b48e-4a1bdb76ab0d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5258235e-e4b2-4864-8bb8-983d4d7b0e8a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d05c0548-e587-44bb-bbe0-be79e67233f0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp eedc3abf-2812-4d02-9427-0c1878b663cd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8b469dcf-0f0d-4e9e-ab2f-57b8bc74dc22)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 85365aec-7225-4ee8-818e-a91099d7620e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7d40c274-418b-4da9-84e4-a9428ce1c1cc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8e5c55c4-539e-46c7-8a91-74842e06f6d9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cd9465f9-0c73-408b-aed8-707a70ba7d2a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9fb6251a-1f37-47a7-9763-98383b5457a5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 05e3f59d-13ad-476c-8709-659f36303ee2)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 98653c16-066c-49be-8b2e-a518f0407edb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b977b1db-309b-462d-a5a0-fb2c96c72a5a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9eb3bd3d-b130-4e22-abac-57abafeaf75b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp acad2741-a194-4962-ad59-d80a9760ae5b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4e0fb97b-0fee-494a-afba-1c47f41bf7dd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d19ad8f4-7a1b-4fc1-9a83-1d6d15fcb305)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e2222231-9d45-4b89-9030-4f3dd3d090f5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2128a498-3c40-410a-810b-2e300fd2f8df)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "Net-(D63-PadA)") (pintype "passive") (tstamp b61f367c-2f8b-4aa3-b2bb-4be672dd751e)) + (net 121 "Net-(D63-PadA)") (pintype "passive") (tstamp ec428e8a-9d8b-48be-942c-5c561a046852)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp bd36bca0-6771-45f4-b5a7-b755bd1a0140)) + (net 4 "+3V3") (pintype "passive") (tstamp 2038a5f7-e001-4b21-8dbf-5d93c0ce9312)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -6856,61 +7040,61 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 4bfb7963-dd8d-435b-a583-2c49d11a344d) - (at 195.409474 127.750926) - (descr "LED 0402") + (at 197.739274 118.958526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6d710c32-b0bb-46bf-b0a6-e5af79d05522") (attr smd) - (fp_text reference "D5" (at -3.33381 0) (layer "F.SilkS") hide + (fp_text reference "D5" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7127c8b8-b487-4891-97d1-fc0dcb8f73e0) + (tstamp f362343e-aefc-4226-93a1-c8b43b1b6c34) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 410d5480-de49-45d1-b4e0-a77f05af0a45) + (tstamp 96b50a45-780a-4941-b683-3c9ed93888e7) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 544d7941-589d-498a-b3ca-7f7f8dcfccb0) + (tstamp 2ad6830a-8b0e-4f74-9b13-cde0dd873593) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2180892a-4f2d-4520-9bde-27b4f834e8d6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf7caf00-ba32-4d7d-9ca7-764c4b34390a)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fd4062cc-93eb-45c9-a002-16096875c2e2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e4b0036-ba6c-44da-aae4-cd4ee2e7063a)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 06a2aad4-7b2f-44d3-9e8e-b9c339db6b6d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp eabbcea8-d5d3-4660-9a5f-29db87a62ab3)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 45756cf5-fbd1-479f-a90d-d13ec880c79a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7cd5f69c-56ea-46fa-b5b1-5b4be70d21da)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19ae5b2f-34eb-4ec6-b48f-a2543d5813b0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3ca59f9b-ae7b-4c57-9e3a-1782e15b661a)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 13f3e3c4-b527-4ee4-97a2-ee443571f289)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c6510a2-a13a-4780-a182-d7286df08606)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4143155b-22b6-4016-8e52-e4ef82340446)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2e4b61c2-cab7-46f0-a516-2a54054e9e8b)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dbafd7bc-fa87-488a-9640-6497adafd130)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 84830469-81e9-41d7-a73f-7c34770db3ad)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4fc8cd88-da11-43d6-bb0a-2bbd2c159d05)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec1b2e60-4ac5-449b-b5bd-097c6a5666a7)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7f4573be-d6e8-44c2-bf47-0b7cde13ae27)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 08e6c5ca-01a4-460c-919a-dde0a97393cb)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3f984526-f511-4696-9021-f1c56dcc967a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a4327e4-087d-42d5-9d8a-0fb60f69e168)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e189a3c-0499-4bc2-9137-73fd71af8815)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 66714728-7c43-47d2-ae4c-1f0e60f931f9)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 04e1d103-27f3-4090-ae48-43572c7f728f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4e68b55c-344e-4487-bb1e-e07bb195d9b7)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 11 "Net-(D5-PadA)") (pinfunction "A") (pintype "passive") (tstamp 39fe380f-4c74-4c95-9033-70062d7383ef)) + (net 11 "Net-(D5-PadA)") (pinfunction "A") (pintype "passive") (tstamp 9dbafee8-4c36-488c-be2b-24388ab7e008)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 12 "GPIO4") (pinfunction "C") (pintype "passive") (tstamp d89a10dd-5691-4313-a3f3-3f19de4314b7)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 12 "GPIO4") (pinfunction "C") (pintype "passive") (tstamp 8ec0a82c-db17-4b7d-be8d-3ff60262ed1c)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -6934,44 +7118,44 @@ (attr smd) (fp_text reference "R115" (at 3.786191 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c3e58733-5be1-4561-8cc1-c9d629a394f8) + (tstamp 95ba1388-60a9-42e5-bef7-0a7ba789197f) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 563bf319-6746-4788-bc00-e19342770d1e) + (tstamp cfdff86b-ffb6-4a0f-b32d-163d538094bf) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d692571e-abd0-49fe-a1d3-36652718d2dc) + (tstamp c5fe43f0-fa63-4d64-86b3-252e43d9ed43) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b729bbb2-0b05-40cd-8637-49a585000731)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp efc73f1e-e002-4a5b-a094-ddc2f72bbbdf)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 179daa40-1908-40f8-a0b5-5f6225b58cee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dbd741d6-03f9-4706-bb8c-083f0e490dd7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5b58ef1-3b0d-4fb4-a1d6-110ff1dc2e53)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a925911-35eb-442f-b34f-4e7a3d1b39ab)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bc978abb-8489-4325-8776-f564128b8cbb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 741922c0-817a-4d1a-842e-b6744e4223b0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9bdd1b5d-aef0-4e39-a3ab-51216a231598)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f018597a-0778-41c9-aadd-fdb275d53eaf)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9ea6d39f-77eb-4749-969b-542c924ae54f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e24281b6-f0af-4e37-a726-fb41eb5e0c8c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 35fef791-984f-4704-836c-b2a2f95336fc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 245d2f2b-4932-418d-a993-743afb98e71e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7531653d-be2c-4440-9a95-03c38a08fc92)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8176aa2c-7dd4-45c8-9975-3f1b0ddc564d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 97f58901-82ad-4c3a-ae6e-60f70b2cf9c9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a7dd23e-c753-47cd-ad1e-52479030fcb6)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 341670f0-48b7-4f8e-ab1b-02499108257e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a077711d-52e1-4e01-b981-e003aca8f70a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aa920dd3-83fd-4e4d-8c9e-eaa5df01d439)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 114920e7-1112-4c13-9ab8-25def9e98280)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b656fd82-f181-48f1-9c6d-bde460018f5e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d4ccea45-084d-4d22-a751-49638147d1f1)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 341 "Net-(D113-PadA)") (pintype "passive") (tstamp 272dd2aa-ea15-4f7a-8b77-62cc66056e20)) + (net 334 "Net-(D113-PadA)") (pintype "passive") (tstamp 4b310b1f-87e0-4315-bb4c-5cff89daa753)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 35b00dda-a3d4-4edf-93ec-96deb17ec416)) + (net 4 "+3V3") (pintype "passive") (tstamp bdf3dca9-2f93-4163-9c83-2db1c9f6032f)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -6981,7 +7165,7 @@ (footprint "LEA_FootprintLibrary:Fiducials_medium" (layer "F.Cu") (tstamp 4c4288bb-da96-457f-a7ac-502484b31f54) - (at 126.377274 34.630526 90) + (at 87.769274 33.868526 90) (property "Sheetfile" "LCB-CTB-01.kicad_sch") (property "Sheetname" "") (property "Sim.Enable" "0") @@ -6990,31 +7174,31 @@ (attr smd exclude_from_bom) (fp_text reference "O2" (at -3.3 -4.3 90 unlocked) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a229a0e1-3bc7-439f-873e-3a43aecf367e) + (tstamp ea1efdfe-9c67-4de6-8ae7-b92618e76b49) ) (fp_text value "Fiducial_medium" (at 1.4 -2.7 90 unlocked) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1c9be789-022c-4961-9ee8-994d70de9370) + (tstamp 907b9706-2e96-4b53-befd-535c269efe4d) ) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 9823b21a-f91b-4ea8-978c-796f8ba189e4)) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp b216939b-cdc4-4e65-9fda-17abbafa9c53)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 96b61f92-0d46-4c50-b1c2-461fe60ec04c)) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 2a71ff89-d36b-4d5d-81e7-a8124c994378)) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6710b55c-1482-4bfd-a9c0-c3420018b8ac)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8f0efc13-d7e5-40dd-8428-e5f6de6ae2ba)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 746c0a21-08db-467d-81ae-e80fc658b99b)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0e00e1cc-f302-468e-80a5-bec8ef73976e)) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp a9a182c6-dfc8-4451-9e08-ab1754cf8d78)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 0f9656e0-1391-41af-8fce-af604e9e3464)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 54365f4b-0fae-43a9-aae5-dfa6ce644672)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 90facff7-3b35-4a8a-a97b-65234818ba34)) (pad "" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") - (solder_mask_margin 0.5) (tstamp 2274f575-05ba-40a5-8a85-898cf72809f4)) + (solder_mask_margin 0.5) (tstamp 4fbe0a24-f44f-4a24-a1df-3beec169a8d5)) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 4ca2d4c7-4b74-4f4a-b379-338692aabce1) - (at 85.876274 70.292126 180) + (at 85.826844 70.292126 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -7029,44 +7213,44 @@ (attr smd) (fp_text reference "R120" (at 3.819317 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cc979b9c-f0ae-4e1e-9eb8-840cd9ecc8d4) + (tstamp ce7d38e9-d4bc-4ad5-b019-ebae74da1d35) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7e6624c6-a25d-4edf-baaf-029402503bce) + (tstamp 73b1e476-311c-4227-9fba-a155fbc56861) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 71fa9d17-155c-47d1-9abb-3fcec232f1f0) + (tstamp 75b2fc9b-da51-4d0e-aac6-e1251f60f09a) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 790d50a9-a2de-4f90-9eae-5f1857b6e739)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93697db5-ffe4-49e0-aea4-0ba98a0b9c8d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33f386d4-12da-4ea6-a2d6-e9044a249672)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55675c0f-13ac-4279-a034-9556d71b7c3f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cdc4cf73-b612-41de-875f-8de61450dd0d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7807d41-f378-4ebe-804c-8726883859a6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05662fd1-e16c-4d60-9eec-545a53b92f5e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 787a47ac-c070-4c10-a1f2-d0fb3cc0f02e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cfa2376a-75e1-402c-83a4-4f1848967b74)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fff4f803-9edc-403b-aa63-7b28b974a370)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp df9bdd40-4906-4b66-bf8d-0c4f4f8dca3e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b8223ba3-ed60-494b-a8d3-d5469c7afc1b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp de80623d-9cee-47fa-afd9-8b5e9cdb9efb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fc363f4a-e7fd-49d2-b0b1-d2f8e98227a9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 33084702-46cb-4c6e-8b8d-ce015a795959)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e4d8e393-2735-41f2-9ef1-638abdc6ff9c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 783e3041-f496-4fdc-ab45-4ffd5609ec5d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 87a261c2-f029-4088-8008-703f515f3fed)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fe113c3e-1999-49b5-b432-047af0d6409f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0732dd5d-8019-476a-a70c-c34182e8e3c6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 805c74f1-9c2b-4399-b901-30414af2d0a1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b505ef21-ea43-4b55-bda5-af18d5614d38)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d9b3b0c9-3d5e-4d59-918d-d8b1ba4b76a1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9b08fc11-4ac5-4472-87f6-a5790e9f2337)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 346 "Net-(D118-PadA)") (pintype "passive") (tstamp eac5f64f-b4c1-48af-8630-dc8a4cb15c95)) + (net 339 "Net-(D118-PadA)") (pintype "passive") (tstamp 22b882c6-76ae-45ed-9adf-555c55b6623a)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 9aecb314-3863-4593-97b3-f14ec6c618e2)) + (net 4 "+3V3") (pintype "passive") (tstamp c228cb3d-b50f-426d-883a-eb20d43fe5ca)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -7074,125 +7258,125 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 4d40892a-03f5-494a-8d23-1d5479d04973) - (at 195.409474 115.259926) - (descr "LED 0402") + (at 197.739274 106.467526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ac8cfa87-cb08-4fca-be42-1c1b3ac9b819") (attr smd) - (fp_text reference "D93" (at -3.843849 0) (layer "F.SilkS") hide + (fp_text reference "D93" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d185c222-4001-4195-becd-400b01184660) + (tstamp adb570f7-39f6-4a5a-bb7e-00d581b2442b) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0191b9ad-9c6e-4178-9d12-a7809a482bca) + (tstamp c4556bcf-7afa-428b-8082-0258b25cb6f5) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 02597846-e800-4aab-9d0e-195e8b6404eb) + (tstamp d777a4cc-47c0-472e-936e-4b64537d3819) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be50d44b-87db-40e2-8ff8-4a4d06bb4b9e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 79807f22-5e4f-4df9-aeac-877a69f6ad19)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4607ca7-3274-4a80-9bbc-4960efb03656)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d5fa004-3f4a-4f55-b0a2-2bbe3da4fdb2)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8227d943-d565-4d73-bc4c-1be09dfdb144)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fdde383a-4c6c-48c6-8016-a9e56ff3f6dd)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0304cf12-ed46-42a4-8ac3-f8d5788a266b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51222866-80e5-4f07-b970-e548c82b81aa)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6b8574b3-e715-494b-b10e-f1fe56497b9d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5421dd8e-cada-4e01-943c-32e566c8a595)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ded4729f-8b0a-49eb-bb73-a2c6c0da9084)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00b2700d-00d1-4abe-ad2d-0908e09b51a3)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f4ac5165-e6b0-4069-b8d7-b0da479b2f0c)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0b173123-5f1c-4e78-a3fa-7790d738dd92)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eaf6d22f-4b6f-4c3e-a9b4-6948e9596a2b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b8d3934a-724c-4345-a9dc-6dffb93faa66)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a686868f-3ce9-4d83-8938-65940ee6c950)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d69febff-dd0f-4239-a2d6-565324e5a25e)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 83cf41ba-e81c-4e63-aec0-ae1e0c556068)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp dbd7463b-d421-493c-a2bf-7169bf2d4f8a)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 52693b86-a0c7-4584-945e-39019af07e7c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 773ac6be-2c8f-41b6-b8f9-f83ace22d030)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 456d8654-108f-492b-911a-3038ba65c8f9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ba9942f-7c7f-4e95-bcc8-aebdb9cb05f5)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 29f9d2e2-2cbb-4e54-9127-949354aebb45)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bf7cf609-7550-47a8-b8c0-ce3f2e144fb3)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 184 "Net-(D93-PadA)") (pinfunction "A") (pintype "passive") (tstamp b6d5102e-4b58-4b41-b109-b014d2e621ce)) + (net 180 "Net-(D93-PadA)") (pinfunction "A") (pintype "passive") (tstamp 016e87fd-9f97-46ca-ab40-742d7aead9eb)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 171 "GPIO101") (pinfunction "C") (pintype "passive") (tstamp 414ee16b-a269-44aa-b5fe-134b195d7953)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 168 "GPIO101") (pinfunction "C") (pintype "passive") (tstamp 91bd7595-6587-45cc-85fe-4c32a6254d1b)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 4d6d3f39-499d-4dc7-96c7-84863fd3c432) (at 93.3216 120.961577 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/0b4b76ab-dc00-4495-a6f7-be218e54f570") (attr smd) - (fp_text reference "D89" (at -3.916561 0) (layer "F.SilkS") hide + (fp_text reference "D89" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7eecfa3f-e426-4cae-bae4-87aa4969f0ec) + (tstamp b705fe8e-9201-4a67-9993-120118df7944) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 12962aaf-dd0c-4fd2-9e7a-8132e69ab3d6) + (tstamp 948a3e7d-d478-4ec7-add5-f9ea7fb01641) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 6c286e07-e1b3-4f63-97ba-408ddab0bf26) + (tstamp 41f687fa-bbc1-4daa-bcee-ba855b468a0f) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20f152a0-25bd-4556-8dcc-659ed5fa71e0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f7ec55cd-9e60-4869-a6ca-a421e090cec5)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9356ff10-b362-4227-acb7-d59a40abe60e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e6edc25-536d-421f-bee1-95da30814471)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d5cd1a3b-792a-4545-b0e5-3a7a5164ef16)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 09175dc6-a1e9-43db-bd35-d070f1e6b4a0)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c29f603-e752-433e-b61c-752eb63ed318)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bda449bb-beea-4e92-afbf-fa4e6fa094f2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bbcef4a7-f47d-481f-81f8-fa6b2e385d3a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b3de49e1-e7e6-4d4e-939b-909a829d74b4)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a1ee1f61-e082-4496-83c2-e0f7d2ada1f8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 63e50884-849e-41cc-bd29-40946612e9cb)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 45b212d7-674f-4c86-a612-dd16b20d2d72)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0affbdb6-1303-413f-8056-f1cac84f3ba0)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7841f777-eaf6-4931-b7c2-6750ad543b1a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4cdccf12-76cd-41a0-bd0e-cf503a5d4d60)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6c6a9db2-19e5-4507-b49c-9abe4b1d6d08)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0e3f65e0-1972-4813-8703-0fc032df57ad)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b925540b-a6d5-402e-af9c-27816bd1a214)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e75fcb55-977c-44dc-a788-f9b4ab5d9836)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ac61063-79d1-4ed0-a82e-4d0937ae22d2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b6c85d62-87e5-48f3-92c7-bf807a2ade32)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ad4f5bbb-4efe-4a87-aace-2c8b7ebf044d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 73a99d48-0c89-4c6d-9f7c-3e086c292a67)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1b5e7b1f-1fe0-429d-96c6-3efd7d0bb5e3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c44b822-e015-4f82-b5dc-1760cc157695)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 176 "Net-(D89-PadA)") (pinfunction "A") (pintype "passive") (tstamp 24053cce-942d-4832-97e6-d600f20f45e6)) + (net 173 "Net-(D89-PadA)") (pinfunction "A") (pintype "passive") (tstamp 233b4f0c-89f3-4b7a-b2e7-3a9612867bc3)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 157 "GPIO88") (pinfunction "C") (pintype "passive") (tstamp f2a33648-7e7f-41c9-b9f8-a918b66c7af6)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 154 "GPIO88") (pinfunction "C") (pintype "passive") (tstamp ad1e7514-1cd9-4b1c-ae14-367231bdbb2f)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -7213,44 +7397,44 @@ (attr smd) (fp_text reference "R2" (at -0.0474 -1.6118) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp c7bf5c0d-c3c7-4f20-b92c-a8136dab5797) + (tstamp 93b2dcb4-8545-4bb8-aeda-dfa286fad3ac) ) (fp_text value "4k7" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b5f3e494-8f30-4e3b-abee-dcbd96d7980e) + (tstamp 39c9464f-d50e-4e28-bcba-5cfc5eb1327d) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp bedbe54b-f468-4e8e-be71-47e6899d2b55) + (tstamp b56e3957-e932-4bd6-bf80-1b84b96f5760) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75e9ba47-05e3-4443-93ab-e7a0f802f824)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07dd3117-ee0b-4368-8642-3540d1755dda)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0dcd2759-3da4-4973-8e7e-3bac00415075)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09c37a9d-5f2f-4205-ac10-ac94b0410796)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7606e205-d742-4e89-830e-4b287d7e3a08)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 676444a5-c5a9-42cd-8aec-6c82322815a0)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e5213857-83d6-41bc-bf1a-4433a86f06d4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee194b8a-93cf-4dd4-9306-68cc2b38e238)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b2b125bd-f685-4f3c-bef5-f6ee8cb873e6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9c68a045-2c18-4c6c-b1e7-4e53f5274590)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e26225ae-7d92-42a6-ba9f-ac3034d5e93c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e792f382-8e7e-4d50-a706-137eaa9d0455)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ac765bbf-0aeb-4e15-b242-600ade1e0c19)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f4fa6f95-4d59-4a27-98dd-4cb3ab83c3a8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 394dcd43-0022-4add-ab5c-418b5b3b8fee)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 26e2bd2d-9fc8-4a03-a715-99d19abf15a4)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 14a5e239-dc0e-45c6-9dfb-feeaef39a99e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 08c6300e-1015-4e17-811d-ffbfdec70688)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 48c6124c-fc55-4121-aea3-b12f82729624)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e0a51d74-38d9-4a76-ae6d-d52ed097db86)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cce70b37-0a95-4a4c-addd-eddf4c436976)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4cbb0a24-07bd-4173-b967-54bb0f270b52)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 05cc1687-4b8d-4322-b611-dbde7edd9650)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b57b0ebe-74bf-41bf-a644-fc447d8fe331)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 251 "Net-(J1-CC1)") (pintype "passive") (tstamp 0b4b340b-4817-4424-81ed-db62b9991b05)) + (net 244 "Net-(J1-CC1)") (pintype "passive") (tstamp 80517937-6eef-4b2f-87fa-ed32fac21ce9)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 17204b84-e5c5-40c1-aad0-e89fcdd834e3)) + (net 2 "GND") (pintype "passive") (tstamp 016b5f67-1d44-4fcb-bee1-4cc61f114bbd)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -7258,121 +7442,121 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 4f5cfb55-5ef8-416b-ab8e-bb80c60e2dbf) - (at 195.707274 154.113463) - (descr "LED 0402") + (at 197.739274 156.780463) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/29860c3f-8b3a-403b-a991-d93bce0850e0") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8e196765-a4c0-4a5f-aac6-428873a7f54c") (attr smd) - (fp_text reference "D4" (at -2.882419 0.02) (layer "F.SilkS") hide + (fp_text reference "D4" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2745c00f-8ffa-480f-a862-b3d154428d5e) + (tstamp 20ff121c-4918-407c-a920-ef48f9404725) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e2e17da9-fbc8-47d3-bdab-fa7d52b6fc5a) + (tstamp 9afef5b8-ff08-4a8a-ac79-12dbc3ee1500) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 882484c0-c60c-4ab8-ac17-9f085f67f902) + (tstamp af3f0a69-93b7-429d-9649-dc7412e8c218) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8bc34d00-1072-4233-a71f-9d8bfd0b94bd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0940cc7e-3501-45db-974d-9ec3027d6df8)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9707bf32-5db2-4ba1-9cdc-ff876db5a179)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d2fdc0e-ee9c-45fa-90e7-ba53556d98a9)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a3757791-f9e5-4978-b199-993754a65fe6)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 08dd1759-ec9e-4579-aa6a-9c313744b69e)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6c846cf-cf3a-4de1-819f-375ebc068d94)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80851e61-c723-47fd-bf00-0ef471e1a96f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ba639bc-73e6-4249-8bca-2b8c5b691aaa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 94273e49-d3ff-48b1-8913-45e1599a17c6)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp def12846-e53f-4226-a39b-4e815854b01c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fbb72253-b38b-4000-a32b-4e24091469fb)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ea6ab7b5-f7eb-44bf-84df-673ea100fcd0)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6a44c5ff-78ee-4045-b671-4360b58883d9)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c43ac008-a76e-4283-8940-df55b478c7aa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5cc431f9-6f1c-4420-b2fb-4a277dab7b4f)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ffb5357-aeaa-49fa-976b-f1c217510e3b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72aa03e8-fff6-4823-8df7-96ad99168a37)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ff7dacad-7d0a-4488-a815-300df0269c91)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 78ed601d-58a7-4758-bbae-b14312b6523a)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b65398f0-20d5-491d-bc08-cb54d2da4020)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b8b7905d-9b21-497c-ae57-21bcddc1ac15)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3abdf2f3-e89a-4a9a-bab1-31cb0a1cd028)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dcf832cd-d2d6-477d-bbe6-dc026ae3e563)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 30d2a2e5-3caa-46c4-8306-69062f5ada43)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 903e9ed2-5b25-4a5e-a258-05c4e67a9d32)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 9 "Net-(D4-PadA)") (pinfunction "A") (pintype "passive") (tstamp a42c82ce-fcc6-4c09-8fe2-5cb35c2825d2)) + (net 9 "Net-(D4-PadA)") (pinfunction "A") (pintype "passive") (tstamp 54264151-f90e-486e-ab2a-1e8318276125)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 10 "GPIO1") (pinfunction "C") (pintype "passive") (tstamp 43b059c2-0ba8-4c90-9185-e08741fc9bdc)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 10 "GPIO1") (pinfunction "C") (pintype "passive") (tstamp cfbe4e76-7749-414e-91a5-acf069628eef)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_G" (layer "F.Cu") (tstamp 4fbb21e8-048c-47c6-81b7-26cbc8ce1e0c) (at 162.488274 33.004526 -90) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "PowerSupply.kicad_sch") (property "Sheetname" "Power - Page 2") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LSURCK") - (property "mouser#" "604-APHD1608LSURCK") - (path "/71f7e37d-6088-4953-b84b-4c10f88e8c06/1c20d31d-5083-4b01-90c5-ee9b6fea4bf9") + (property "ki_description" "LED 0603, Green, If 2mA, Vf 1.9V") + (property "manf#" "APTD1608LCGCK") + (property "mouser#" "604-APTD1608LCGCK") + (path "/71f7e37d-6088-4953-b84b-4c10f88e8c06/07089929-25ee-40aa-aa1e-6a6cd5ef7de9") (attr smd) - (fp_text reference "D2" (at -0.018822 -1.778 -180) (layer "F.SilkS") + (fp_text reference "D2" (at 0.3 -1.39 -90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 438fff3a-8320-4362-b66e-0807b9c03628) + (tstamp c3be199a-5b63-472c-8b08-0a5f0ff55688) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48 90) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 -90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3d0329e8-cfed-4824-8e93-11b2b4da4fa2) + (tstamp 973e9c47-aa13-4e9f-a770-102a93d2b51e) ) - (fp_text user "${REFERENCE}" (at 0 0.02 90) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 -90) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 0e011f2a-e970-4f95-aacf-5d7778198a3f) + (tstamp 67b0db86-77f5-43c3-85c4-dcb8ee302689) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5dda9db3-cbd2-4e02-a196-bbae367fc877)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c7db3e5-e5da-4c19-8ba0-29cb483b3e54)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98216aeb-a1f3-40f9-beda-9b78a280aaa7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dca3fad2-eb2d-4def-80a4-4e5aa7b440b9)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 01e96a06-30c2-456f-9eb2-f642c903fb15)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4faffadf-e3c8-42f1-811a-0652cd7ab952)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8e8a477-f4de-4f35-bb0c-ef2c32022307)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1844dea8-6c34-4f0a-ae54-fbaf80519544)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97aa449b-bc17-461f-806b-42cf0b4da972)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd58b3e5-77fc-4b4a-8c5f-d29b4a4851ab)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 373d1d8e-517d-48d4-8a80-babc79820053)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37205cc6-b603-4d7c-939c-f7bf117de523)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0d6a1b39-7e14-4dd5-a3e8-a69d863bb8e6)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp bd29ee5b-3b38-496c-b0f0-19d202151743)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 30c3f67a-e631-4f5a-87c6-bb9c005cb3e8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2dcc8e44-2745-4c2f-b7c8-92588e86b2d1)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 64d1bc05-c593-425a-b606-7694eeb5489b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5c08ce3b-6b03-4162-9cd1-9da9b16ed6b9)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d9614dde-7df9-49bd-8963-a67bf2a7ca79)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 520577c3-300a-4d23-803b-95d54307312d)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 87a7071c-ee53-446f-9d11-19213405d894)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8cfb9e70-980f-476e-bedc-997d1a07df27)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8145da9-d91f-4c54-b559-9f9ec153a370)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 34431926-46d0-443e-a1ea-fb17698f5411)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e7984464-dc38-4db1-ab65-74be96299001)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1dd96282-579d-419f-a423-b1a90f5ad961)) (pad "A" smd roundrect (at 0.75 0 270) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 6 "Net-(D2-PadA)") (pinfunction "A") (pintype "passive") (tstamp 6b0e08b3-0975-4736-8ed9-087d1819db0a)) + (net 6 "Net-(D2-PadA)") (pinfunction "A") (pintype "passive") (tstamp 469a9656-6c89-4ad2-bf4b-9d27c4790618)) (pad "C" smd roundrect (at -0.75 0 270) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "C") (pintype "passive") (tstamp 4cc3275a-4076-49b0-adbb-d0e8acf62d61)) + (net 2 "GND") (pinfunction "C") (pintype "passive") (tstamp 0580710c-e84d-4abc-9bbe-e983a8008498)) (model "${MODEL_3D}/LED_Green_0603.STEP" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -7382,7 +7566,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 4fd5095b-f66e-46e2-bbfc-f4e3fdddc84a) - (at 85.876274 72.984526 180) + (at 85.826844 72.984526 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -7397,44 +7581,44 @@ (attr smd) (fp_text reference "R113" (at 3.807317 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b3f93b0e-fd1c-415f-9061-41932940f08b) + (tstamp 6ab78338-df77-4a3b-b1d0-fb6080705de1) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a187d22d-06b2-4838-be8f-e598667fa757) + (tstamp f7805254-26e3-4045-8200-c2a8973f955a) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp b8ee76e8-82f7-4338-956c-df37d0c5b18e) + (tstamp 60ef008a-3cca-4730-b1be-8c96822e8d43) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 101e141f-acee-4921-8a3d-54b11edbb664)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1e170d6-6847-4998-86bd-d53f4733daa4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 130c764e-7818-434f-98c1-0d7dfdf80568)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f7924bf-2d13-48a0-8fe5-ac6272b0c126)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77541309-d76d-4f7c-8372-9d0b7d76a27e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 159c1b6c-5cd7-4685-b9e1-b32fe3042139)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92efa45a-6841-4699-b612-3e07ec78f983)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec6195a1-e989-483f-a5e8-f6dba13a04f0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b2f99c00-635a-4969-9ced-72ec5c63e5c3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0bc65f52-9a44-4137-9f85-7cc8b06620f9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 41298c7b-1a2a-40e7-901d-ca88be9b6200)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5b4fcb60-3c27-4f4c-9baf-fe6b082abe1a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f65026e9-3d0d-4b5b-b504-a0a4810d23a2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b6cb3ceb-bf6e-49a1-a9c0-85b8b4786d0b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 675740c9-0e85-4d20-b503-ea6d6813b466)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fc36af86-7a1f-4638-8228-bf328f7a1d31)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eb0a4fce-f17a-4dda-8fa2-7c5192af235e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d1706dcd-125e-46f3-84ff-4c91b611f471)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10d6b62e-2b89-4e1e-803d-8bc3a1d3758d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a6177093-0865-43df-a760-caa31a46036a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7f27ea06-fc71-42f7-882c-c38d253f9e81)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ca4eaa5c-5d9b-4e63-af06-f98411b640d6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp affa4e5a-3641-487f-8b27-7ad18addcb3f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dc0ec30b-fa41-4075-beb2-8081c1012bd8)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 339 "Net-(D111-PadA)") (pintype "passive") (tstamp 372cf1c1-ed07-4842-a155-2505b44c0767)) + (net 332 "Net-(D111-PadA)") (pintype "passive") (tstamp be2469b1-dc47-4d3d-8c1a-1c0fc4d40c03)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 3d1cd678-004c-43ea-8cb1-8c5335b8dfa6)) + (net 4 "+3V3") (pintype "passive") (tstamp 3515567e-09a1-4559-8b23-0712df6f1307)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -7442,369 +7626,430 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp 50c947af-556d-43e7-94c0-ef786a34af69) + (at 183.273274 125.811526 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c994e7d4-601d-47bb-8870-b95b4c947e75") + (attr smd) + (fp_text reference "D102" (at 0.3 -1.39) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 33a015d1-7660-491b-9136-14c0198d275e) + ) + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e2f2b743-7c9d-4f77-bc12-fa37da079077) + ) + (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 8a807244-5605-4832-94cf-e16c58747afb) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0ad2fc6-3451-4e29-aa43-2a2fa3febb7f)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7eae7677-6c5a-474b-9d8e-5742a6bc3e28)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 43809125-b367-482d-a459-53cf8e35e81b)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4a4cae4e-4818-4d3e-965b-4adf483fd74d)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 360cea99-157e-4d4e-be90-89411af4ed47)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f69cc09b-e536-475a-98d2-3f44a33f7e93)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp a09e8c47-c249-4c6e-9d99-0f7e39a7fb3d)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 64658ba6-7a86-46a1-b697-5e7f93fbf487)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 92fd1180-bece-4d76-b441-dd6dcbc4451f)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d8c42b05-1727-424d-aa80-5111930c59bb)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e7351a69-3a93-457d-834c-fab1c326f2a9)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cadb2ca6-2249-4f01-b393-93f50c9be9a0)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 868b0339-205c-45e2-93db-8fa74b08e81b)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 398 "GPIO21") (pinfunction "A") (pintype "passive") (tstamp a1f584f0-9c29-470d-b063-985894ccaf49)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 399 "Net-(D102-PadC)") (pinfunction "C") (pintype "passive") (tstamp c503af26-686f-4087-8127-530156507fdd)) + (model "${MODEL_3D}/LED_Red_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 51035601-1a4e-4430-8cce-65ba4f7d7bbd) - (at 76.44043 69.554126 180) - (descr "LED 0402") + (at 76.454274 69.554126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6289b0bc-16ef-4d55-8385-02ec3ff2aad2") (attr smd) - (fp_text reference "D49" (at -3.843722 0) (layer "F.SilkS") hide + (fp_text reference "D49" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6ba17904-e907-4578-b767-0e6bef0aae45) + (tstamp a0a8b103-1aa4-48aa-b858-ccec0524652c) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp abaa8d1e-2e18-4381-bbc6-2acf669d4a57) + (tstamp 11033bcc-e687-493a-9d9c-16772be7e73b) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 2568d36b-1150-48db-a384-7e5175095c59) + (tstamp 6b3896a8-40de-41e2-87d6-ffc1c85f4f61) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f909bbc9-00f3-41de-a270-02ed8f97461f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp af9b70ee-1fed-4a02-858a-c90ecef32d62)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1cd29aa-643e-4be6-932c-55091a6fd1ec)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70e6401b-e9dc-47af-8a7c-c5d6e74cbf48)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp bc6251f4-b05f-4db3-871e-a1d7a863c46f)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5bce49e8-672d-408c-b50c-91bf5cbee109)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 881554d6-a1bd-4e74-8b7d-e1e65233e4bf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 11f3e52f-12d0-458c-8583-58a505a5a0b6)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e61acdda-ba5d-4dc4-8c1f-fc68e7e091af)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1f9bf373-fd38-4480-bb8d-c3b2aa9cdc1a)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 99960f27-aaa9-4350-9687-0c99cdcadd50)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9765e3ce-529c-497a-9252-6ea0126205ff)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c6d33fbb-557a-4b86-ba5b-8a18858aa6f4)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp aa0d35ff-10f1-4941-8407-d0e22d05cf86)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 709ee79c-3ef7-4f54-992c-80c19dced4b3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7fec6833-1d0c-48de-82a1-3379f7135089)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a7d732b5-6c1f-4efa-a740-83920e0c47f7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6b721c4f-a702-4ac2-9a7c-2a818e497bd3)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 05596674-9c4d-42a5-a1aa-23771444b258)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1b5917c9-1bca-4fd9-bbe1-83966b3e9670)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 77727d67-b99b-49ef-825e-a6355f92700a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6f868dcd-f563-454f-bdc5-96a7332e3e67)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e991a79-31d4-4ca5-9032-20c112364ba9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 401149b4-5e7a-4be4-87c5-2fa1004af65c)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 570f7c7c-d8b1-4770-aebb-db46368855b8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 40532c38-808a-47b4-8ff1-df3b63c09f40)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 357 "Net-(D49-PadA)") (pinfunction "A") (pintype "passive") (tstamp 756acd36-f193-445f-b88f-9cac8f7ca9ed)) + (net 350 "Net-(D49-PadA)") (pinfunction "A") (pintype "passive") (tstamp 710c59f9-f680-4334-a623-c4a50fbb8909)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "GPIO55") (pinfunction "C") (pintype "passive") (tstamp c566b311-7831-4336-a4c2-1c24b71b051d)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 95 "GPIO55") (pinfunction "C") (pintype "passive") (tstamp 35f6cc3e-b021-4829-9e3a-fcb7f26245f4)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 518f2842-928d-44b0-8b19-0bdd348756ae) - (at 76.339274 48.606126 180) - (descr "LED 0402") + (at 76.454274 48.606126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6370d85e-0aca-49bf-a1f2-81f102188409") (attr smd) - (fp_text reference "D66" (at -3.831849 0) (layer "F.SilkS") hide + (fp_text reference "D66" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 51826d6e-fc72-4df2-af0b-47cdf4f40b69) + (tstamp 82ffc6b2-a203-4e54-8e4b-8dbb3283c20e) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7a296025-818b-4b69-8b76-3eb4185b1088) + (tstamp 3d30f293-dad8-456b-8b21-879781b23c6e) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 660592eb-ed1e-4582-bac1-c02651b3ac23) + (tstamp acbdd7bc-24a1-4db1-8913-247ae946655f) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6a33646-4ff6-4435-a7ac-64bd4f74e8e4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ade85210-8e20-4d41-a43b-6ba198bf702d)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cff9e949-387f-4c22-bf40-849e01bb719a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9f2f89d-c6b9-45db-832e-9220ac214fe0)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 383517e1-8ad0-4a0b-a11e-26b8c212dfe8)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7cec03b7-a95c-4829-a8d4-05b8be32f771)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b7439d8-1f3a-42fc-b6d0-b5d8194c73e6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6a8ccbcb-8a2e-483f-aedf-9a6e4188b48d)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06441267-ad2f-4c88-9db7-91c75000c251)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa7adf06-658f-4f61-9b6c-f134432a61d0)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae7e9f0e-8cde-4f21-a4b5-cb0992a4e1d4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8606478b-335f-4995-9ddf-39c5ced52fa4)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5c346521-ca2e-4c4d-a562-ce8c4d651528)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 06584ea1-a6a0-4db5-ad0b-e01db67fdbff)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2c08fbed-471e-4a0f-845f-35aa5a8a1c06)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3117c438-714d-405f-b58a-282389fce373)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9f72dfb4-81c3-4cef-95dd-015bd6d166c3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b5fb746-ecc8-482c-82e5-20507d42eaf3)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1d6eb0cc-4522-44f7-96cb-60dcda18cb5a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2df5f9b2-8197-4396-afa0-3c1d14be51e6)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 671476b9-19f8-4b31-bf77-ce48bb8710d4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a2aecd55-c8c0-4f93-8a32-fccb23c1705b)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4902ce8b-7bdd-48f5-99ab-bddc695888b9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a436033d-540d-4caa-9c84-5bdea7809e3b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d93fc54-b452-4ef0-b7c5-80ae5ff3acc5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f2e2ddda-9aa7-4bd3-8502-05281070ef83)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "Net-(D66-PadA)") (pinfunction "A") (pintype "passive") (tstamp 7dc1d4b3-7fe4-47b1-abc9-37a14d46f739)) + (net 127 "Net-(D66-PadA)") (pinfunction "A") (pintype "passive") (tstamp 2435d749-e7c5-4e62-a23d-3c9df4063644)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 193 "GPIO112") (pinfunction "C") (pintype "passive") (tstamp b02f428a-399b-4981-b3ec-ed1b7daef056)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 188 "GPIO112") (pinfunction "C") (pintype "passive") (tstamp 310a1585-124b-47c0-93b8-a87c233eaafb)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 51bb33ab-318e-47c7-a343-94e04e58fbd6) - (at 93.357274 84.234315 180) - (descr "LED 0402") + (at 93.3216 84.234315 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/da791491-5d77-4836-9367-a01da960c6c5") (attr smd) - (fp_text reference "D52" (at -4.021678 0) (layer "F.SilkS") hide + (fp_text reference "D52" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6cb29719-7055-46ca-9472-95d9e7fac95b) + (tstamp 7d35eebf-3c44-497e-b953-27d6cb0de55d) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp fff1048a-afcc-41f6-95db-ba2fe1b90daa) + (tstamp 5b834269-6b6a-48ab-bd67-f424cbe817ba) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp aac8cb36-d27d-4981-9e93-ef75a74beec1) + (tstamp 5f763e65-133f-407c-a4ec-ba31812071bc) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6adf4914-e408-4002-a680-ee195d4f8fa4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6b5f53e8-08f6-4f82-89df-b15ac707f6cd)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80a3cc30-552a-4a8a-a60f-959941fc1586)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83a5a46c-a43f-4047-b09d-d834c7e47065)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 01c99101-49e0-47af-a92a-9aefcabc33bc)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 59e525a0-88f6-4cfb-ae9a-63fe7b80a951)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d906f579-cbc3-49f4-aa0a-ab65c4549b18)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 701e5f9e-0797-4590-954f-2338d77043f8)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be55ff0c-b38f-4742-ba1f-562dc1b7f750)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37ebbc16-25d1-46a1-8e2a-8d0ecd6d1eab)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd279a1c-4f8b-40d1-8b37-bad0a916e4d7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dcd34e1b-5b65-4adb-9574-e0679a75a377)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d23fb5d0-8f27-4de4-8489-4c8f928f2f85)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp fe09c385-191f-4a65-930c-7dcf1d4b752d)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b39d3601-eef0-4e17-9a42-2135e257e006)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a9b6a54c-e500-41b1-9fae-d109c298150f)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3f48c962-140f-4822-befd-8404748fd662)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b6ce60f0-e2a1-4724-82ba-d473f315deda)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6bf07320-e408-4c20-90a3-f4d194da38a8)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0525910a-8e02-4682-9ea7-01f34f0284af)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c3148d4c-9604-40c1-a224-28e74073111d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 86d6af4e-f3f6-4c7d-8de9-2e54fb2274f6)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b44daed8-3365-4811-961b-ba59c0a56998)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c1398d9f-0ee1-4c36-86f9-2a62f986c9c5)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ac04900-6be9-46be-98f8-69cc08a35b1b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7db59e17-fc11-4286-8192-4e88ed297448)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "Net-(D52-PadA)") (pinfunction "A") (pintype "passive") (tstamp 0de86d06-6904-48ca-ad5c-0579daf99e66)) + (net 99 "Net-(D52-PadA)") (pinfunction "A") (pintype "passive") (tstamp 49e29603-c803-4ab7-a79c-8a958ac31272)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 103 "GPIO58") (pinfunction "C") (pintype "passive") (tstamp 8a6a9e66-7973-49a8-a884-83c70fbc3664)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 100 "GPIO58") (pinfunction "C") (pintype "passive") (tstamp 5d24b5f1-8924-4a4d-bbd4-43b9e224c5e7)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 5229fb21-eea4-4f73-abd0-0669fb057b4a) - (at 93.37103 70.342926 180) - (descr "LED 0402") + (at 93.3216 70.342926 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/fffb4c3b-d91e-4953-9f4d-df7d51018378") (attr smd) - (fp_text reference "D118" (at -4.414536 0) (layer "F.SilkS") hide + (fp_text reference "D118" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 745accdf-cd0d-4ab9-85ae-48834e067df5) + (tstamp 052d537e-5cb9-4857-9edd-466248c2b584) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 78984cf6-7d20-4fa2-9004-7da6f515069d) + (tstamp cd4fc7b2-d8a0-441f-a1ad-dc4b86bfabbf) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 161e9192-0485-4c52-b5ed-5e3ce5d04a84) + (tstamp ea798f4f-dab6-4f2b-9c73-650e47adc1e3) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fac526b2-687b-4e2f-80f3-aafe7a2d66f6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b2ffd99-4442-403d-9da9-a56477bbfb66)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46bca455-de53-4eb6-827e-5e8b8c6ca9cd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0798451-e8f1-4750-8e50-f8bc76e6504b)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 70a200c9-a1c2-4a1c-8f04-0b08994d030d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f8260f4b-0ebf-4ed5-a6e6-ab93fc73d7fd)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5769aeff-3ec1-43a9-99db-34080f38cd75)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a258c9f0-1f58-45a0-8297-1cbee851d865)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3ec80fe5-0c70-4960-968b-c39a0a58d3db)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97c81d42-f92b-4f80-8bba-20aa0b650125)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36b41cb3-2251-48ee-b625-70ac79f0cb0f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1845d315-7322-480d-94a5-bd9c17207114)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 625ad73f-203a-46c9-82cb-4e344b11bcde)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp acee172e-f443-4ea6-b5bb-303a8680655d)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5688a128-544d-4012-9d63-64d52d40893c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c60fedde-bf36-4abf-834a-cb1d8b2ec4b6)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7093047c-3a91-4db6-b03e-48174e225f84)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 587bd8fc-82bd-44df-9c9e-b19770d12e68)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3d5243f0-bf2d-48ff-a4e0-daa78d20787f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 70fde069-9815-4935-ae56-33c55fc075b2)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 20e1c2dd-4609-4441-ab24-4ca98b79ba6f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be6df57a-9c91-4a4f-a993-5f4ed00be8c2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c509a91-731f-472b-a8f8-94d959d48b11)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 98b96cf8-cf13-45e2-a3b7-fced0ff6d0c3)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 58504b47-4dd8-4bd5-b044-324dc5d69a5d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62fa6569-3b35-4295-8cd1-f4e809516002)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 346 "Net-(D118-PadA)") (pinfunction "A") (pintype "passive") (tstamp a6d877dc-d407-4d8f-99a7-c4cc8d3617df)) + (net 339 "Net-(D118-PadA)") (pinfunction "A") (pintype "passive") (tstamp a0f34f02-74c7-4ce7-bbd1-a8dbffc14349)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 223 "GPIO129") (pinfunction "C") (pintype "passive") (tstamp df55ae16-6e50-41dd-b9e2-550553a4cd46)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 216 "GPIO129") (pinfunction "C") (pintype "passive") (tstamp e1dc73d6-25b7-423f-a784-809f41d7dbb0)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 52ed8eef-7b4d-49e8-9908-c4a07ca7f86c) - (at 195.409474 105.319926) - (descr "LED 0402") + (at 197.739274 96.527526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7a0343ee-86f4-4478-9ae1-24f46ab550b4") (attr smd) - (fp_text reference "D19" (at -3.843849 0) (layer "F.SilkS") hide + (fp_text reference "D19" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 01707ee5-97ce-4aee-b1f9-34119efcf863) + (tstamp 6d611064-2f15-40a7-a65e-f22f9817c88e) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e55b7a19-5b10-420c-a9e0-64fa85473e62) + (tstamp d777a438-9728-4484-824a-dafdc75a6ca6) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 3737259e-c7ed-4b88-84b7-5eb07f015aa4) + (tstamp 19028454-7978-45b5-a97f-b62fadfbbe7e) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6641f207-c575-4f69-9024-88d3b9ed69d6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b37b9bb5-4889-4dcb-a07c-e342a1711d24)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f557d2fe-425d-47a1-996f-db330df7a610)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f33ac609-6ae8-4849-8b0d-bcb6535bfdab)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8a5f80ba-13f0-4340-a09b-3e2e9d207f00)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp eae7babf-634c-4f71-bdce-2623548c75e9)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52d9a587-0d41-4956-a038-d3aa797b3a05)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b0b0645f-197e-413d-a2ef-266048e1e4ae)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7c7018e-d466-47d7-a7e2-43fb419e7006)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb411b18-dbf9-4796-a6a0-07bd5ce22525)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72cd0c56-9f9a-421f-9f5e-2c38fba59e6a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 71cfc659-e623-41de-acb7-84a54505fc53)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp bf54ed36-80f0-4b35-95c6-b1bf73130719)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 718886e9-f320-4fb6-a820-ad0d71f50af6)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 89868256-7958-49c5-a2c3-3577a65263ae)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 33acb281-2115-4911-a927-d8668c2aa7ef)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7786f826-506d-47ba-82ed-8786129125e4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ce5fdc3-c2ee-45f5-ba6c-cfb614063b90)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 787b4242-a5d7-46b7-9801-c74bbf830222)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ada1ae07-51f5-4cd9-8294-b6ab62bbd015)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e52f0c9-4ee5-4067-a676-2f15de27eec7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a7f6be4b-8f37-433f-a498-902b4ecb4d4d)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6da66586-a82d-472a-b001-018c3c88035a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62d9e473-108c-4e48-97ce-b77b48dcc814)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ed9da34-981f-4025-bc0f-abc309782a89)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 087b8b25-22d0-48c8-a936-e4e859ffee87)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 39 "Net-(D19-PadA)") (pinfunction "A") (pintype "passive") (tstamp db221526-a33a-425e-8050-33d72af68efd)) + (net 36 "Net-(D19-PadA)") (pinfunction "A") (pintype "passive") (tstamp 476afbcb-976b-47fc-8369-d5a5f7cee282)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 40 "GPIO23") (pinfunction "C") (pintype "passive") (tstamp 2ce4f265-f977-4311-9c8e-ad0276e4567f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 37 "GPIO23") (pinfunction "C") (pintype "passive") (tstamp e0cffd2e-14b2-4aa5-83dd-cea0aaa4c02b)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 53948536-e1ea-4e3d-a13b-770e96980258) (at 93.3216 115.823897 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d48b8f82-9e12-4ce5-adb3-7a83e9954a2b") (attr smd) - (fp_text reference "D85" (at -3.820514 0) (layer "F.SilkS") hide + (fp_text reference "D85" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 861b4869-fec3-4aae-aff1-4a0655a76ffa) + (tstamp 478aca7e-5de8-430f-8489-1a44ae394e2e) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 733d3796-4fcc-440e-b6da-72093a68a399) + (tstamp d20d4c41-99c3-4803-b51b-c0ad6054442e) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp ed095400-ff91-4586-bb21-d32b4fb7f7c3) + (tstamp 3d6a3a89-15c4-454c-b483-0a41d8bf00dc) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf795758-153e-4538-908f-ad51749aa6ca)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f27c947d-34e6-4685-a849-7d427a2816a0)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab034ca3-08c5-4879-a0d4-81c6ec90abac)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7de64673-3e42-4a70-929c-38c4c102a27d)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f77e3364-e3ee-461e-8165-bc114b006ba9)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 122a5eed-3fea-40f9-aee8-d1b4f89d8047)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f74e70b-d9ba-43b3-a8d3-f767c071fbd4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe1b7daa-e794-4c95-b96b-5bcd5e328efc)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 10bb80da-aeb4-4e80-b634-c8b410066585)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30b0fac9-55ac-4a2d-b2bb-cfbc5ef97059)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 34aa8d78-e166-4a3b-80a5-4458d64adcaa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ec62782-b52e-4b45-aaaf-cefc514b586d)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b3d5d1bb-64f9-4b4d-8ac4-7a821a8b88ee)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp cae78944-ffdc-4396-bd89-99d01e6f8159)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e0a9608-66e7-4511-8ae6-f5bb730f6bce)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1511e958-ff21-4c15-83d9-b82cfec28e44)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ee9f2c1-7e27-417f-b654-5cc7237bbe0f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 51823285-597f-4119-8e03-e8ddbe3bdc03)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 815616fc-d180-4a22-9b91-09e8a9a96f41)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f33d7770-6441-42a1-9a14-e0e8604914ff)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 347d4708-a65e-476d-a03e-ed64d3e16c2a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 27744900-78f2-4c0f-b724-6f776598d585)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43ab12ce-0997-4cb7-a526-c78d8d2f9db4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 70c080a9-51a7-4d70-86cb-d102bc662de2)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 99e4a9a4-6dcd-4ddb-97e4-a54ad2185d62)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5cf988a9-5f17-4beb-a4e6-8a20193dc71d)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 168 "Net-(D85-PadA)") (pinfunction "A") (pintype "passive") (tstamp 11378fe0-fb2d-47b4-a276-92b270d6a6b5)) + (net 165 "Net-(D85-PadA)") (pinfunction "A") (pintype "passive") (tstamp 574303e4-cac1-4064-977b-59472c65ee93)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 149 "GPIO84") (pinfunction "C") (pintype "passive") (tstamp 718faaf3-2e40-43c8-b29f-e3e6510717cb)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 146 "GPIO84") (pinfunction "C") (pintype "passive") (tstamp 5b9a7da3-7165-4b0f-9609-ba5995693b9a)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -7825,44 +8070,44 @@ (attr smd) (fp_text reference "C2" (at -2.4074 -0.0238) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 581bd19c-ae58-4550-a007-d708c7c1e088) + (tstamp b7cf0492-69c5-431a-86e2-409c80964bb7) ) (fp_text value "10n" (at 0 -2.75) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1977c6b7-7189-49d1-ac2e-8ea55bfc272b) + (tstamp d6030435-38d5-4a32-9e92-2d0bdf28e5d1) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 6cfc39ab-1bdb-46d5-9f1b-72aa07d1379e) + (tstamp ccd92cf4-92e2-4dde-b8be-be9a305084ef) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6f06cbe-38a6-4502-99fe-6edb2c72c4cb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec6b5bb1-2bff-4f82-88b1-7fd0327d3e9a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8c92a60-4a3c-4ff6-b6ae-b8e8617c712e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d39432f1-5b0d-4aab-adb4-4caba8dd3a05)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ab246ca-e323-47e9-9762-ecaa2514fed8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05421312-9ba0-4680-8475-452693224f09)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fee2cbac-b1db-422e-a47a-7a584db3d0c1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7c1b9fe-28d6-4794-9d2b-b753a3029122)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 34f2cfc3-41ae-404a-8803-2e8c91ed67a1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1d29b6ab-c789-44bf-81ab-4b10236899c4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 298259ab-f6f7-4dae-8b9d-a31dfa3d44ec)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fb439b68-335b-4d32-bfd6-806aa9fc6975)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b28bdc49-ced9-4002-a6ca-4fedc0a13dd1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 13684aac-21b0-4c74-9fcf-73a4ee78f99b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3f988666-312c-4f64-8cbd-2855f88394bd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 06fc33fd-1953-4a5e-81b3-e46b7ad0249b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp afb4172e-058a-414f-963e-51239762b892)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 55bb7524-2a09-432c-acc4-bf5172353bf7)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cfed9313-4304-46ea-a2e9-4fd07da24132)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 34feeeac-af87-4b9c-97e4-b1aff98b3e39)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5882ed50-6c14-4c2c-b190-fbdbc2e44fed)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b93f71b-e439-4509-a218-f26524914d7f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 02748183-7706-453e-953c-443f01a90791)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8404be26-9988-4181-aae7-230a6d21c915)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "Net-(U1-NR)") (pinfunction "1") (pintype "passive") (tstamp 32741c26-f438-4f63-9d80-967cc26f7178)) + (net 3 "Net-(U1-NR)") (pinfunction "1") (pintype "passive") (tstamp 4387df87-b77c-4ef5-b4cc-4ad8c2d401c0)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 34a29ed7-2a81-4b4e-814f-5e505df8bf36)) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp ebe85613-bf81-4b63-be62-49bbc717bfb0)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -7872,7 +8117,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 5591665c-77b6-4dba-9dd5-addf9f36036f) - (at 203.073274 105.324926) + (at 205.413674 96.532526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -7887,44 +8132,44 @@ (attr smd) (fp_text reference "R21" (at 3.1884 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4995d477-7c28-49fb-a7dd-66725fbf82c4) + (tstamp b86765e5-c30c-4279-8665-25249e769492) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0f89d60a-6712-475a-98f2-8c4b7c106ef9) + (tstamp f80c556f-0c99-4e0f-9ae7-83ea03c4217f) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 58499ac6-501e-4b14-85a8-12a7d6c5ab71) + (tstamp 01f01906-6cba-4112-8efe-a6146c731161) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fd64628-b180-448b-ab7f-c63545dabf9e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f5eb037-846c-4677-a281-a4a96447bfad)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c8e33b1-25cf-4da5-b30b-0d16e69a82db)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 45d672d9-299d-459c-8ff1-6bd1885cd6ad)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7973fa42-3bb0-4cdc-af2e-4ae00d4553e3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5aa9dfc9-14c7-4b8c-b467-3280fc3923a0)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ed79225-3ea2-48c1-a75a-6ab994570896)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a48a0362-e41e-46c4-ae62-e1bff2099889)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c712eb66-ba95-4d96-a415-8e1cfce70837)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6990393b-149e-4985-8f11-de63bb6a070e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 75bbe2d4-85e2-48ca-ae5e-584c783168f2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0bf1e4f4-7b35-4cc4-9b5a-a89f9d1b0fe8)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d2486ddb-d1ea-451d-92fa-055b83f7b73b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 23d41b61-d8cc-4144-a77f-f35822894c4e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2b479cdf-c4ff-4017-b07f-8087d0243ba9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4778f9e3-20a2-477a-b217-acff385809bb)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 30461d5b-6ea5-420f-9c31-232a4139706d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b9f635c0-cb53-43a5-b263-62db258dd225)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b85dd00a-bd45-40b9-b06f-3f1dcda3a3d8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 313ed184-9020-4bdd-a065-940050f94a6b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bbce8b1e-d91a-4750-9e2f-910b5141e33b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3a8e6c6-9257-4065-ba7d-5751bcd9e493)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d71a1d4-8c37-4a3a-8980-f32aef8fbf7d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e29bbd30-3b5a-4e5d-97d1-78abd77813c0)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 39 "Net-(D19-PadA)") (pintype "passive") (tstamp b015f2b7-bb4e-4f0d-9a5b-302b207c4098)) + (net 36 "Net-(D19-PadA)") (pintype "passive") (tstamp fa22aaf8-2155-4748-973c-e90f6b74e719)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 37df58d1-2fd6-4073-bc5b-503f4c895eb1)) + (net 4 "+3V3") (pintype "passive") (tstamp 2699ade0-c02c-490a-95e5-3607b88c6e87)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -7932,125 +8177,125 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 55a3c1b2-31ce-4e00-90dd-6c711f057f25) - (at 195.707274 138.873463) - (descr "LED 0402") + (at 197.739274 141.540463) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/979669db-b580-437e-8484-ab06bfcd56c8") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/39ff7516-8de4-4283-bcb0-9e740d49a08d") (attr smd) - (fp_text reference "D20" (at -3.307009 0.02) (layer "F.SilkS") hide + (fp_text reference "D20" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp eec02884-ad4c-42f0-9d9e-cb4d32449549) + (tstamp aa96706f-9b03-445c-a6fd-4e5f666381f8) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0b4b199a-d84f-45dd-acb2-efc3b60fb3a8) + (tstamp cafd6767-a08e-46d4-bf54-a76815d46b43) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 79d25859-e790-4e27-ae61-28dcca0f7c0b) + (tstamp c3eee414-ae83-43eb-a37d-b98b2b66ea8c) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa609d04-be12-4314-b08f-726607ed5a71)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7322dc5d-c107-4f56-9360-730e13587b65)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8b5e8162-1ba3-44a7-ba6f-704dc8409ead)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bdcf7abb-7fd2-4169-9672-212137d0e65c)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 39cbc634-24c0-4442-9274-440c011f14df)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e370ef29-d870-4f3e-92c3-0fd386d03c62)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22c7cb12-8214-4b8f-94a9-3f68b453768e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1eebc52-a4e2-456b-93ff-c8f34c206e8e)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5fe4fae9-5f69-4f43-a517-030ab8fa2b64)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a984f233-f980-45c1-b987-e3f952cf3942)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8f20fe7-3217-4cda-9bba-3474710d0b05)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b1a58c3-6ce6-4cc4-afd7-b6184c00c9dd)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2898782f-2383-4f90-9adc-0b8db28505ca)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp bd342453-8e73-4d17-9ffc-6df24237b8ce)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 620d6b2c-eca7-4fd4-96d5-5684a29b0009)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4542182c-50b0-4192-bf04-378198159bd4)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4ce7a76-f010-42ae-85d5-b5064fdee662)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc486932-1461-40bb-ac27-c014849b4aca)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6039e67d-184a-4ed6-a6cb-e863c4e84fda)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2c63a7a7-5f8b-4149-907e-5f98872b7659)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d03b7873-dd86-4325-b26a-5f60e8f9f5fd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8bb4b6a2-4f3f-410f-bd19-2f88400d97fb)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b21646b2-6faf-45a9-a4f9-c0b1c536f8b6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f5b596b1-015d-4f69-90bb-5085501e60b0)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 73c8874e-3bbe-404f-bc35-5d6265f03e4d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5cc594aa-a0b6-49c1-8c5e-ffc95ab5627e)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 41 "Net-(D20-PadA)") (pinfunction "A") (pintype "passive") (tstamp 1710ee31-16c9-4b27-8813-b026445dd20c)) + (net 38 "Net-(D20-PadA)") (pinfunction "A") (pintype "passive") (tstamp 5d39e376-2980-4c70-a37c-77e8a862cae9)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 42 "GPIO24") (pinfunction "C") (pintype "passive") (tstamp 5b7d268b-bc96-42f4-869f-2f7f06c0b59f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 39 "GPIO24") (pinfunction "C") (pintype "passive") (tstamp 19b112a2-4b7c-4058-a326-78ab0de3095e)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 55f4ce30-89b7-4c3f-a8e0-c8ec73da8c03) - (at 76.44043 61.943326 180) - (descr "LED 0402") + (at 76.454274 61.943326 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/fd6dc169-62aa-410a-beef-96a8b4b05221") (attr smd) - (fp_text reference "D43" (at -3.8058 0) (layer "F.SilkS") hide + (fp_text reference "D43" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a18011fc-8d79-4aef-b63b-816cebf65e0a) + (tstamp 7b29fdcf-6ea1-4e5c-ac7e-352ffe5cf787) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 927041d7-4257-497a-bcd2-4e6df01753f6) + (tstamp 20583fc1-c399-4fe7-a9d3-69b4449b8bd4) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 1d54856c-379f-427a-b863-598d68d2a60c) + (tstamp 9a64a96c-e501-452f-badd-03f14c037ad7) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0e8b1e88-b96c-443e-9191-044258cf7448)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75afb730-481d-41e9-b83a-84edb70f0ac1)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 555bbc18-11ac-4fef-a8b8-ca35d57a8cf6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1e8c55c-d28b-42a9-8148-38b337549d26)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7b39cf4c-ebde-4ef7-8bb3-1dfb6aeade86)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6d38d542-2e16-4d86-b00f-077e836c3d95)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5d3f9793-d21e-4ea4-930e-2a6c5bf83f47)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5376b4f2-f756-483d-bcd6-d572348c4c13)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a854bbd0-8e1a-4dc2-94b8-17961fc0e976)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4a78c9dc-08e2-4e85-896d-f65cdf8b018e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c78e6cd-7487-4616-a084-55b091554f12)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp df30960d-f150-4627-a277-6debc1dfc825)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 3735172d-4cc2-4844-8eb9-d56ef91e61df)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp df11e2c4-fcfe-499f-b695-93bec8966687)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 01f3b21e-cd54-4160-94a3-d4cee18820be)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 361c1d69-dad8-4e00-b2c6-b74c036fae58)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39aca873-ac5e-4362-8ca8-b7b6635314ef)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fd0a015a-4bd3-4f96-b66d-f9e3a27f7279)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp adf7e43c-fe7b-482b-803c-04f460b7b745)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e6e7063b-d2dd-4ef1-80d3-657a264ab1aa)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2c7eba85-5263-48ec-9f36-58ec6a81ae2e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 82a3bb90-7087-4da1-b2df-4292cf53b531)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d16246a3-5049-44c7-b6f5-8799ee4fd287)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e3a1288f-47ff-4634-8aaf-7f2dfb7ce185)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b20ad7b-1116-4be2-9f1d-ee6a4ba8404a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9f171ef2-485b-4683-b7de-a808a6841a0d)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 86 "Net-(D43-PadA)") (pinfunction "A") (pintype "passive") (tstamp ece519c7-a36a-4edc-87a3-364d610f63fe)) + (net 83 "Net-(D43-PadA)") (pinfunction "A") (pintype "passive") (tstamp fdfe98c4-ada6-4368-a322-bc940ae89eba)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 87 "GPIO49") (pinfunction "C") (pintype "passive") (tstamp 2b605c4f-4260-41ed-b362-d8779547dd85)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 84 "GPIO49") (pinfunction "C") (pintype "passive") (tstamp a217b5e9-16ad-4cff-8daf-1c66b3fc5ea2)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -8071,44 +8316,44 @@ (attr smd) (fp_text reference "R124" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 88df7f05-dc40-4e3b-95fa-4a5683b43081) + (tstamp 568a5dcf-c89c-4347-82be-ff0b38f20aaf) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp da1b5778-3781-416a-a56c-ba39bf8dc9ae) + (tstamp 1fb7afd8-b272-42c7-bfee-01e4ce23e5ff) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0764aed6-1f03-4ba4-ba8a-a21739d535e0) + (tstamp f0db948c-5662-4b89-bd81-8b4d02659bec) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 052f411e-b4c7-4379-a934-dd2bf2b76bb4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52a98268-dd83-4e90-9f10-14350c7e5a58)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 497efbda-4c43-4c26-b93b-1eb0efb39a0f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb23b696-e19a-4309-870e-b9341cffb250)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a46ba8c1-b2fa-41a3-9159-b0dfeb243fe5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f60550d4-bb04-4386-91c4-d43ec3f13ce0)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57c3e755-3d07-4479-baf0-c738d007c754)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36b20174-9e38-4d63-a549-42532ee9d52f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 117351a4-f28e-4c42-8171-3e304a5f87ff)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 65b76712-7db6-4746-bea6-9f1aa2a138e7)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 31ed2c78-d4ac-4cd0-bb47-598f2b132c26)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 607c8254-f096-466c-93fa-59c28a5cf55d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b3ab8fbd-3835-4380-b788-b9862ffd00ed)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9f3b4b19-7693-4423-be77-30e871655175)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ff7fb4e7-8bfc-437d-9089-cd04e81c887d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e9a18aaf-b676-4c9f-bfcc-a290e20e1a49)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 50327bdf-4269-4fcd-81c5-8f1399f8d352)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 52df7698-cdd8-48c6-b89c-d8d3aa5f46d2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8ef9d860-67b3-458b-ad55-2fe1847e2953)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b99e5d94-c937-401f-b938-2ab2ca421865)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab72e20c-af05-482c-a6ad-622f7ed10d27)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1733084b-2eb3-4269-80be-a814dfcb419c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 501935bc-d40a-4ed9-a016-8c0f74f14ddb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 99f680ee-887e-41e4-a674-f0347e13acc7)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 367 "Net-(D122-PadC)") (pintype "passive") (tstamp 402aac2c-dda2-4678-962b-fac4d97e41ec)) + (net 360 "Net-(D122-PadC)") (pintype "passive") (tstamp cf7ffa4a-5502-4c49-9dfd-5be0160657e4)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 2b72cf49-bcfe-4be2-8b13-0576a7cf81b8)) + (net 2 "GND") (pintype "passive") (tstamp 097f1a2c-af8c-48fe-bea8-d863513bd598)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -8116,184 +8361,122 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 56f2e0d3-3233-474b-940d-0a20857f48f4) - (at 93.357274 89.304144 180) - (descr "LED 0402") + (at 93.3216 89.304144 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d672f0b2-01e9-405b-9383-c742c0c6212c") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/65a46b5b-1675-4a85-8ad5-482b59d79cdc") + (attr smd) + (fp_text reference "D59" (at 0.3 -1.39 180) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 88c52237-2bd5-46f2-b5cc-1c9b7e770269) + ) + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 363d037a-5b6f-4c04-bb09-742952101850) + ) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 805454e9-2a6f-4799-aa86-93745f5f4ed5) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1102f579-c30f-43e9-b406-512ee00cd85d)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0242e663-d6f3-4c3a-8cea-9aef764b3e64)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ac4b2ab5-de01-4de3-b43b-0e7a37aece42)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b029ea2a-f283-4461-bd88-2233cc1ceb48)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp da208cb5-3b33-4524-a365-12b2392c2c55)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 279e9ab7-6834-471b-aff3-591dcea49920)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ae5bf744-76d6-482b-944c-e512f1f4e256)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5eb30ddc-7c6e-468c-b7d9-daa09c5ffe55)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 82692320-012f-4137-b48f-3a1e80b01d49)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8dbb7952-1acb-41cd-a86a-3f16ad5fb34b)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b937c49b-05e3-4147-bc9f-ff0cc7e4d86c)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3f9e8c31-cedd-4b3a-ae8c-7141adf1585b)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3c82f2a-a4b8-4c87-a7b2-43bef503c97d)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "Net-(D59-PadA)") (pinfunction "A") (pintype "passive") (tstamp 567907f6-1b04-4bbf-ac74-c6661d2a3da7)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "GPIO65") (pinfunction "C") (pintype "passive") (tstamp 86fd6765-58ba-4acb-9584-82225a796f37)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp 5837c564-af6b-40e4-a4c9-46878f8c8a9f) + (at 197.739274 91.345126) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/dbccabe2-bfe3-4c5e-9885-fa76f45075e6") (attr smd) - (fp_text reference "D59" (at -4.088488 0) (layer "F.SilkS") hide + (fp_text reference "D41" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 913ad06d-e7c9-4330-86d8-62f29e7680d0) + (tstamp 61c3e735-7e16-4cdc-94a9-bfa05d1b27cc) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 03f7000c-92fe-409b-8161-8f5b4bbec576) + (tstamp bc1ee084-8b28-454d-93f3-411dec2fbb6a) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 950bd5ac-995b-484a-bf93-e0fdff2c18e5) + (tstamp 0addebc7-ea70-43cc-9c4c-df8a0b4206e8) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb461f2b-0383-49d0-9643-966cface0748)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33d5238b-acf3-4b9e-bda3-180f9889dc39)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 044db696-54f8-49f1-9ed5-9bc8c90719cc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64404709-3012-4287-8fbd-a6d9d2960e10)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d5b24370-50c2-40d5-8a3b-5d6f2ec06225)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 31b0c3cc-b08d-4bb3-a9ff-a995678ef7b2)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 53d9af74-4b57-42f3-bd76-60174a9f0109)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 783b60cc-3763-466b-a7db-234b43994504)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa6ef616-e54f-4f8f-afc2-d05d39a6b856)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ce1bf3f-9ce2-4846-ba05-6d99419b99f4)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3aafb5ed-21ea-4243-9316-54b166f4714d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a9760b2f-1ee9-4ee7-9d86-e4dd17e4d17f)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b6b5e3cb-5cf7-46ed-8031-135c84a473e4)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 05891387-b2bb-4120-9e01-4621f8cea824)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83045abb-679b-4bfe-b8c5-85c6292f9846)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 25db8040-8c31-4c90-8731-465a458583a4)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fec16c0a-5158-4f9c-905a-fb525556cf84)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bf878582-ff84-42ec-bc6b-2ef8a2d8bb8a)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 691239aa-d11a-4e2f-9b53-605906425a47)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b228b3c9-cf35-4644-abe7-1f03a67478e3)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c31fc740-529f-42e3-9c9a-83c9a85871fa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 95e29841-4864-41df-91d0-3d39bc2a9b16)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 55277b7b-4aa8-46f7-9b54-9ac56b6a4a3d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 05594023-e6c2-43e1-9f93-c4663733a26f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e90434ee-0c1b-487b-b922-d648d3c7ecf2)) - (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 116 "Net-(D59-PadA)") (pinfunction "A") (pintype "passive") (tstamp e1f7369b-836b-413e-aa57-94e985343ade)) - (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 117 "GPIO65") (pinfunction "C") (pintype "passive") (tstamp bbbb5042-d5b5-4c99-9b76-c74662a4116f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 5714aa41-dadf-4774-bb87-4697bf17a1b8) - (at 190.0956 43.5752 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/4bf93b13-c2e6-4f99-b1f6-4590494a9ba7") - (attr smd) - (fp_text reference "C25" (at -3.051874 -0.416674 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c1df3674-ca10-438c-9f8b-9dcb5d9839a4) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 069cb7fc-6eab-49cc-8515-30a9765c0b75) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp c7a28536-cb8f-4aea-9b55-8f78c819f692) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fdc276e0-f2a1-488f-9915-1f4a15e89bd1)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e85a93c-f3ca-43d8-8eca-1be34d55fecf)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b24ad2f4-24f8-4e93-af7f-29304a6c381a)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3dd768bb-3e55-43e4-95d7-1e9c004f18a3)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bd22b1d8-7eb3-4fd4-abec-835f40260014)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f3099225-2d41-41a3-b103-fc41af2db447)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7447981f-6b63-472f-b10a-b47e5fae07c7)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 160bc980-aac7-4e0d-b7ec-e2dfc4dc8ce9)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f0e47df8-b67f-48ea-aa19-cdcc925ad31a)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 472d4958-c0c6-468f-b581-1a2e8a8dfd68)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 639ee512-5eac-4ab5-8f59-9d854ae5e9ce)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3324f02f-d74f-4ea7-bec2-c4444fa9a672)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 5f158cb0-f24e-488e-b43a-dd2d5a2745e8)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 383 "Net-(IC3-S2)") (pinfunction "2") (pintype "passive") (tstamp f92aa83e-5519-4893-a32e-7f946b1b0517)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 5837c564-af6b-40e4-a4c9-46878f8c8a9f) - (at 195.409474 90.049926) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LSURCK") - (property "mouser#" "604-APHD1608LSURCK") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/dbccabe2-bfe3-4c5e-9885-fa76f45075e6") - (attr smd) - (fp_text reference "D41" (at -3.831849 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4308e719-086b-4f18-8646-cbaa63b6b164) - ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 70e5e846-35be-4a41-a19c-cc93a03cbbd6) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 098e088b-cdb5-49b6-8b98-099522b45bf9) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 603ae8d7-5224-4dfc-9ce8-d850e1c0fac3)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 79355c0d-dcba-4d8c-b1c6-ceee2136a4da)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7e08a01a-9b6d-4d9d-903f-e70c1280eb16)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba2468db-7475-4cf6-848f-b9ce338bb3a1)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8dd65e1-7692-4c0c-a47f-4b23c09f9c8a)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1eb20ccd-0460-44bb-98cb-695c9bb17316)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6a34da6a-50e9-468b-8360-c411ad7459c3)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63a10d8c-a559-4c6f-a138-f6a4a65e360d)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d486f3b6-03fd-4a1c-9ce6-3b79397b4bb0)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 36452572-9970-4f53-9ac3-b2dbc13f5e05)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 575bba6e-2393-4ed6-bdb5-23f8316591f5)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ccdfdf7f-31e1-4ca3-b11e-2ded8b606c96)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cc2fecf5-2144-4371-996a-e524be3640c7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9125eaf6-da72-4524-9551-28de12bc110f)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 82 "Net-(D41-PadA)") (pinfunction "A") (pintype "passive") (tstamp edfcc19d-6a91-42a6-b7cf-82330bddb2a3)) + (net 79 "Net-(D41-PadA)") (pinfunction "A") (pintype "passive") (tstamp 7f2e34ea-8680-43f4-a9d2-32b377207ccf)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "GPIO73") (pinfunction "C") (pintype "passive") (tstamp eb5ab7ae-caf7-4456-94a9-8712abc0d4d8)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 128 "GPIO73") (pinfunction "C") (pintype "passive") (tstamp 880081d4-5fc5-4c24-91f5-7f5c61a40ddb)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -8302,7 +8485,7 @@ (footprint "LEA_FootprintLibrary:TestPoint_KeystoneElectronics5019" (layer "F.Cu") (tstamp 5854796e-2fef-4965-9b41-bff015c50d7c) - (at 212.893274 39.811526 180) + (at 210.353274 33.233526 180) (property "Manufacturer" "Keystone Electronics") (property "Sheetfile" "PowerSupply.kicad_sch") (property "Sheetname" "Power - Page 2") @@ -8315,42 +8498,42 @@ (attr smd) (fp_text reference "TP3" (at 0 2.794) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c2e413b8-55e6-43a4-9ee1-6ccee1b2e0a6) + (tstamp 76ae51a6-0ddc-49d7-8685-1fc83b7434cd) ) (fp_text value "TP_Keystone_Electronics_5019" (at 0 -7.1) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3826b7b6-87a4-445d-8989-863bc7af8703) + (tstamp 57ab32ef-a087-45c5-b636-de086cd53205) ) (fp_text user "${REFERENCE}" (at 0 0.15) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5e8381eb-c488-4b4d-95c5-9ea2aab006f1) + (tstamp a2e2da8a-fbce-4389-a356-694f7eb46bf2) ) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f72f5492-973d-49bd-940a-ffc56b23c3bd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1979b38c-fd58-4bc3-9314-ae9d6f123f11)) (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6b0e4687-70ec-4f02-9cf2-0683d7855771)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1f4ccdaf-8981-4253-af5c-79fc42d8b9ed)) (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f9ac778-6a8a-4dd6-b007-cb933048f766)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2d2f896-9778-4685-91e5-4f5d3fe86bc9)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5c8aace-5149-4b63-be6e-646c17691358)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0cd013b-b6d6-4cfa-bde7-58612462212a)) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ba745a7a-b204-4afe-a6e5-14c8bd3d4be3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 32801110-09d0-4861-9b38-3cfe5e6c10a9)) (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c28a2ea3-6087-4054-b922-09a873d99943)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 306dc560-fd08-4c01-83f4-6e12ad839f05)) (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0eed6acb-80bc-4dda-bf40-60a498b4bc66)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 15e19fa2-f975-461f-b6bb-9f753b75f689)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a05c4aaf-8c55-4670-9df1-479c32b89a98)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c06fba9b-fc1d-4372-80f5-29bac94f487c)) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aff586fe-1cfe-4160-be38-6a3ce82b3d60)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2bbca359-0c5c-4d6f-b97b-fbc5728d6006)) (fp_line (start -2.15 1.25) (end -2.15 -1.25) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a5c446c6-c5a8-4ac4-9e9f-5a8fc0ba8152)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fa4f5bc8-51e2-4cb6-976e-fdc53d77fe3f)) (fp_line (start 2.15 -1.25) (end 2.15 1.25) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a50e30b0-5289-48f9-88c7-fc36f40eba5c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5de755ca-e869-4952-98f6-f15b15aa160e)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 50d6ee1b-45d5-4ab7-a5b1-956a0dfd491f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b3c3634c-17a0-4320-994c-aaa21d4da981)) (pad "1" smd rect (at 0 0 180) (size 3.8 2.1) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "TP") (pintype "passive") (tstamp 202c436f-ed60-4f6f-a8d3-ca700debfdd4)) + (net 2 "GND") (pinfunction "TP") (pintype "passive") (tstamp 3c825d08-38e0-440b-929a-6ccd762a640a)) (model "${MODEL_3D}/Keystone5019.STEP" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -8358,132 +8541,70 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 587a0fc3-1fe8-44eb-a345-160af2bfc347) - (at 188.0616 66.6912 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/c816e6e5-ea82-4f99-8cec-52bfba83e018") - (attr smd) - (fp_text reference "C12" (at -3.048 0 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 61386eaa-7276-44fd-8a1b-9c25f0e0d43f) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1cdb0e1f-b153-4f40-b8bd-edd5cacf58ba) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 12e88035-05c2-46e4-8cbe-0d6c6a1bbea3) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0190f50c-0f5f-4356-8490-8ba91464cda7)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22705c3d-ecd2-4dcf-b1ed-c6f13a1617a9)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86110213-451b-4234-b51b-4266f5139229)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 12f432d2-d985-449e-aabc-6005c480be5c)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1079c350-aba5-47fc-979c-9f2e9a27574b)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 934b2375-3fe4-468b-bfb6-0049d2eec3a1)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5fa336bc-1693-4d63-afea-33dfc540cc9f)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a7b6a087-605c-44ac-9eb3-ec2863f2566f)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fe747602-3044-4f2d-9340-8f096f6c4169)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9d268578-225e-484f-9ae5-0801d3b8daaa)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f1e92d83-409f-43ea-997b-3c79596053fe)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7c2ece7c-f76c-45c9-ad97-cba9ebb4b493)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp eb3e51a5-3719-4144-aa35-138e7da559c3)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 372 "Net-(IC2-S3)") (pinfunction "2") (pintype "passive") (tstamp b37219df-712b-47f2-8df3-46f6b475cf63)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 59014f00-33f3-40c0-99ee-ddc9da52ab22) (at 141.642674 143.571126) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/4101ea7f-3ea3-4265-989c-9ba48deff017") (attr smd) - (fp_text reference "D147" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D147" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5a7a3dc8-2df7-4603-9def-2418e7f2a537) + (tstamp c8f51142-c280-4242-87d4-aad9bad50f91) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 80b995d3-f6ac-47df-8d52-109a4902d709) + (tstamp ff75ef8b-f3ce-4f1d-ae3b-0d226891e7e3) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp d5ef1a6b-67d5-41e3-9066-2502bd5b5a1c) + (tstamp f0045a5f-68b2-4a74-b4d4-a3baecfdebc1) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73ba8950-b99b-45dd-820f-c802b8228870)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f3ad34e-187d-4aa9-acb3-007677c77587)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp da02db2e-350c-4dc7-abf0-df48fb0a34c6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 782c4040-84c0-41d5-87d1-cbe5a8178b6a)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 22e0a5d8-0720-4c61-ae45-978d3ae1116d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ad074d4b-8935-4031-864c-fa998b9f7450)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2851c3d6-d5ae-4980-b251-8d864e05951b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4202892f-43c0-4ba5-b79d-482eae1d8a6f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e843c1ac-aadc-46e7-a1c3-fc35750746cd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f1668c63-1d6d-4478-a7eb-c5e8e9b4f099)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b71213de-1155-4d5e-837c-b817b9cd241a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98c2f0dc-094b-4c1e-9b8f-a4d89862d843)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp dd6d5849-ffeb-4b92-aa12-fc2e1c873afd)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 137dcd66-004b-4f84-acfe-ecda7d9dd9c7)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1988df30-5453-4220-964a-b4efbb564525)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8929a5e9-20c2-494b-8ab2-6be0a90cb0cf)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b751fe6f-7124-4969-b400-a621c4f167c8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 22b16602-0524-40be-bfb4-4e09ec6e2cd4)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 37e68444-2ba7-4586-adf0-a5b08574897a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6602f406-e012-4827-8d01-8f0d71b2d253)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 04803ef7-9dc2-45bc-b1c6-c5bc8380b3e3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a2a4352-0838-4039-a5df-6d02395f86e6)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d24d00ca-246d-4a12-9fa9-3bd78d57fc23)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b5d2572e-94c9-465a-9587-e1cc5a7d705b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a910f41a-97cf-48ec-942c-fafa78d58470)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a8a98e2a-8c89-46da-aedc-7098eeb36601)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 269 "GPIO164") (pinfunction "A") (pintype "passive") (tstamp 0b206131-7819-437e-ad47-b25410401d8c)) + (net 262 "GPIO164") (pinfunction "A") (pintype "passive") (tstamp 4388da88-56fb-4035-850f-45632ae89ce6)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 353 "Net-(D147-PadC)") (pinfunction "C") (pintype "passive") (tstamp 291d68b5-c973-4078-ab87-751d0e20d767)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 346 "Net-(D147-PadC)") (pinfunction "C") (pintype "passive") (tstamp 22471c84-feb9-4ae6-a834-2e884eaf6c7c)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 593d0ed4-a5f1-4987-a9ba-6d82fd1453a3) - (at 69.18979 74.618571 180) + (at 69.203634 74.618571 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -8498,44 +8619,44 @@ (attr smd) (fp_text reference "R40" (at -3.322 0.004739) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9bae7d6a-4d3c-40e4-b9e4-56c396f55c93) + (tstamp cb385ffb-f57e-4fde-acdb-3a5d43175443) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1577b8fa-c415-46c2-9bb4-b94a99300acb) + (tstamp e156e68b-d9ab-406c-ba27-a72c56ae74b0) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp cb854f5f-d4b6-49b4-8bcf-917625db3a93) + (tstamp e088f9b1-1b7d-4a77-8f6f-ad75c84ec875) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 90c7d39f-aafb-49f0-a386-f9cc1abde6a7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c95c034-b5a7-43a0-bfbf-6db8d45b288e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e65b4a91-7665-4283-ae54-2dd121e6e64b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e37d3e65-9db6-4bae-b6dc-ed8400e0f559)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 82c54eda-9ac0-47f0-89e4-93e202966711)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e9a6b948-6bbc-4753-80d3-f6fd44d078e6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1309014b-1229-4df9-ad94-e5fc4aba2d0c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3eb08389-d214-45be-a55a-ceeb6736b71a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 957f5285-b413-4e61-ac35-8d24733f07fa)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2bcdc455-b37a-4077-aa37-4d96a4e537e0)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f61b13d2-4250-44dd-a95e-adcfcd396577)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 89f7bb53-3523-40f5-9c37-5dc2a69ae97e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b0d42d35-383a-40b5-844f-bf8dc34dc4b4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f67f40ab-c1b7-4e89-bab2-4083893fcf40)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6f5af0b0-6cf4-4ba7-860a-4b13980d07d2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d38f168d-f258-49d2-918a-c46965e84320)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8731214-afca-4452-b616-020bdc0c7892)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ef72fc1-1778-47af-82fe-c6b64cc7da84)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 214f954c-e38d-4b7e-94bc-923ec02487f6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f5e34332-bf40-4532-ba50-87480c39d5fb)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d3698210-0c9e-432a-b71f-daaa730442d4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 958a2272-a0f4-4f63-903b-74f2f7ece4a3)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a76cc83-c277-4c82-8a35-fcf99bb481de)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 796e945e-c2a6-4cb7-9b40-fd4c2cb8589c)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 356 "Net-(D38-PadA)") (pintype "passive") (tstamp 92367eb3-fe18-409a-8354-b024e2ea3881)) + (net 349 "Net-(D38-PadA)") (pintype "passive") (tstamp 348f9bd8-2af0-41e5-8506-905a18e5d787)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 2bdf4ccf-da45-427b-8ee3-18d6506c72dc)) + (net 4 "+3V3") (pintype "passive") (tstamp 8ca96eb0-242c-429f-be23-9c592c73c183)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -8554,83 +8675,83 @@ (attr smd exclude_from_bom) (fp_text reference "O4" (at -3.3 -4.3 90 unlocked) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6d103d1f-ce90-451a-bac6-6f95a263040a) + (tstamp b4cb5680-c2ab-4aa9-97b6-173eede9f046) ) (fp_text value "Fiducial_medium" (at 1.4 -2.7 90 unlocked) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 17b668b4-84cd-48d4-9d31-a94a87eb9f9a) + (tstamp 604c1b6f-673a-4006-91a8-9e879d5b652e) ) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp ca845a92-4f2a-44e6-a180-fa42bc3493da)) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 03e201c0-9add-4f3b-a363-2bcd50e0de53)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 537632da-d25e-40d2-a947-450d75609736)) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 661450e3-6744-4317-979d-59abd4fa2fb2)) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp a0faecc7-e340-4b38-bc3d-5fa40d3cdd20)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 952ca68b-42b1-4c85-8d1e-30967631900e)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d51b4774-e756-43e4-aa55-1f8d2e589863)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 125adf4a-cec7-42a2-8b91-f09ce044352f)) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 12ba8ea3-7494-4f2e-bfcb-9ac9f48e21fc)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 5797f84e-077e-4906-84ee-9b6bf2dd8955)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp d8d1e059-2820-4994-b20a-7a1d98f2f6c2)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 6602a321-7267-40d4-9c45-66b23328f4c8)) (pad "" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") - (solder_mask_margin 0.5) (tstamp d71ca8a7-2fb6-42f8-b93b-70f7a560420e)) + (solder_mask_margin 0.5) (tstamp 1a1cc003-d8bb-4511-8cbd-845434caa288)) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 5b772add-7aad-4648-a902-0c840ba076c4) - (at 195.409474 125.220926) - (descr "LED 0402") + (at 197.739274 116.428526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/f514824f-52ac-4363-b0ea-1dbad7eb9319") (attr smd) - (fp_text reference "D3" (at -3.355659 0) (layer "F.SilkS") hide + (fp_text reference "D3" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 64edfe7b-7045-4d71-a0af-bf8748e28c8c) + (tstamp d774a022-e822-49ff-9592-96c00227fed0) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 89f262dc-dd86-4402-9b3d-68c56836ee7a) + (tstamp 33764e74-be67-4148-a135-0e8896140e95) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp f5f00dea-c680-4799-88bf-c5422d9507fa) + (tstamp 1c02e401-1799-49d0-9c12-8af064a0a172) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f878562f-9d77-468e-a365-744598ce9281)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62217180-c85b-4972-889d-fabd87b8f561)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e175def1-fa91-4d2f-a52d-1732e3e30aa9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e79f9988-8c7a-4501-93a1-967b62f649df)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ccc84db1-be3b-4f9b-b0ac-5ac6fd1be64e)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 183272a5-e322-48f9-81fe-73c80c1e0a63)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba5db4ac-362f-4ed4-bd33-d660d8d5d0be)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4749242f-c54d-49e8-a062-75341969fe33)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37dfdc40-f3b4-4164-8db0-3fb47167a7d3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d24c504-cc86-491a-a9fc-4a211e62a097)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d4f350da-e3c4-4e55-904b-2c3456f40dca)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c355465-ddbd-4b51-95ef-2bbcba5137b3)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9580ea46-060c-4856-a558-24fb1050180a)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 3afe2cce-2977-4a88-9c69-0ebea369971a)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6137a433-7146-457e-af6a-bb15f9c183a0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39be0894-9e93-4eab-b766-bc9baafe0021)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 079b1942-3ef9-496b-80d1-68fd3c34ecea)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp df63e64f-a98d-4f92-ba7e-fa2c0db57556)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fccbb449-34d0-4fbf-8472-95dcf15fc533)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 79161407-3ec0-4788-880c-f9dbe3103cfd)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8ffc341f-6bc1-48e3-87ae-764ffe248900)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7b4cea4-e980-4699-90d8-2e3293d30816)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c9121c08-a7ae-41a0-8808-027fa09fddc2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e1186ee5-31e0-4e6d-ac00-84c59789370d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eab9e0a3-c749-4455-872b-77ecc79cdf87)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0be09ceb-58f2-41ef-a736-3a93b904f94e)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 7 "Net-(D3-PadA)") (pinfunction "A") (pintype "passive") (tstamp f4ddc996-a47e-4e4c-ae3b-1c3e2342d44f)) + (net 7 "Net-(D3-PadA)") (pinfunction "A") (pintype "passive") (tstamp 5563ffc4-ceaf-4847-b5e6-f50838f6f907)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 8 "GPIO0") (pinfunction "C") (pintype "passive") (tstamp e2180df5-b4a8-421d-a357-02243e43d451)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 8 "GPIO0") (pinfunction "C") (pintype "passive") (tstamp 77805751-d0b5-40c0-b5be-d7d09270c8a3)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -8652,42 +8773,42 @@ (attr smd) (fp_text reference "TP5" (at 0 -2.6) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dec222ee-4b0b-401d-8590-4754cdb9f19b) + (tstamp 4aec76d3-2990-4342-b399-4de6779d6067) ) (fp_text value "TP_Keystone_Electronics_5019" (at 0 -7.1) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8a5a8b06-d583-4d23-8dec-3790a7c11d06) + (tstamp 90ab4462-446d-4a0d-804d-5baac4665e3c) ) (fp_text user "${REFERENCE}" (at 0 0.15) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3568a9a6-62bb-43c0-8215-094af0a419c6) + (tstamp fc5cb643-0bf6-405a-ba79-cf682aba9659) ) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8396d839-9fef-4974-b247-f88c6fe7b9fa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d85d94e-4c6c-4c9f-9750-f41445940b32)) (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 524653df-7bf3-4b72-81d5-a09d425e5525)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1c152e6-f880-4728-b51a-4fe835b2ff37)) (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e6c55e4-c504-4c54-a927-951a7861f8fa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fcf60ace-4a04-4011-b07a-e479c04f0370)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf5fe0c3-6276-4126-a1a2-f11d8bc341ac)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6924c8a8-5476-4fb6-84f0-10bce8def011)) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f9826572-dfeb-4a33-abaf-41ef8a8b4907)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1f83f5b2-edc3-4ecc-8a26-3d8b6d492141)) (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5120ab70-159e-4df9-83d6-48a57ea6b895)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp efe35c0a-5c3b-4d1b-908c-640b11d918d5)) (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c0a3b9a7-c4f8-4c0c-9ccc-685d5cca0215)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fcc1b5fa-c5e4-4eeb-97ee-35269794a6dd)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 89e59fca-b99c-465d-9371-cea0481bb92e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 32021270-9b77-491f-ae67-95266f87b7ce)) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 03e65f2d-4505-4c95-b8e2-26ac43b4995f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be120bd7-7246-40cd-8bc9-80bae556f1b7)) (fp_line (start -2.15 1.25) (end -2.15 -1.25) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 330b70d4-752c-4a15-a549-f4adeab89348)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c4aa72ce-a8bd-4e46-a722-62c8547f4d20)) (fp_line (start 2.15 -1.25) (end 2.15 1.25) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 961446bb-b002-42f1-9acd-e38bbb883415)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2fea37b6-576f-4e7f-ad31-c3f908044abe)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 69a3ec17-a370-4257-87f4-16ff9982acba)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d031022-6f35-43b6-8c52-6b1853e461e0)) (pad "1" smd rect (at 0 0 180) (size 3.8 2.1) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "TP") (pintype "passive") (tstamp 0b96907c-f0be-4945-a11c-9e0317face4b)) + (net 2 "GND") (pinfunction "TP") (pintype "passive") (tstamp d454ef8d-3468-4250-a579-d536af73a015)) (model "${MODEL_3D}/Keystone5019.STEP" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -8695,70 +8816,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 5c517233-99c9-4978-8e1c-61bfc8f7bb92) - (at 95.160674 146.212726) - (descr "LED 0402") + (at 95.250274 146.212726) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/4dc6d893-8e27-44b5-a3a9-ad7be7ee3686") (attr smd) - (fp_text reference "D140" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D140" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 40edac68-4f8e-44a3-b5a4-30caab4f8576) + (tstamp 605a577e-a553-44e9-8b8e-53183ec1a8ac) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ebe8a4ac-4450-4672-a4f9-a124b70a6499) + (tstamp 66ff7390-43ba-4228-ab4e-13906ea90278) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 927fb150-d049-4032-916c-493a25cd3eda) + (tstamp e821dc2f-615e-4baa-a68c-db94a89e0aa9) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59276f92-8606-47a4-8884-f4441b5d09aa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 99a1134d-8338-4f05-a56a-d66b19e33d2a)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed52e13f-1c7c-4b4f-8240-0161d4b44aef)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30d0420e-f81e-473e-8286-9cc307b8ee71)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4ff507ea-5c99-4f52-81f8-fb3536503a33)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 972a03e6-0964-416f-8d6d-8e85667fb50c)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f8c1dfaf-f6d3-47cb-bce7-566cfd060505)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81850b02-d82a-4636-b657-2f3bd621bf80)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 849c6639-a060-4b7b-b194-d4390e640232)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98783e2a-08a3-4fc0-8b72-24ea606b2cbf)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d1f2e8d-fc97-4bcc-904a-e97c79e29b1b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 477dc629-4f98-4166-9d7f-3ebe463caeae)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0d2afecd-8551-45e5-9420-80c421d496e6)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ef21f1ab-d6a2-475a-9416-e4e1b955f98c)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7e81a184-ede5-4b31-a933-dd8fd9c1cca3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e1c726f4-e872-4fd8-97eb-24c3362f04ac)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e89f123f-4c05-4cb4-9681-5009f9ef1f08)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ca2e54de-de56-4448-a56c-c962340c1384)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 791b37bc-c2ed-457b-b301-e221147e01c5)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 04d86725-6ad9-4430-a08e-76341906814a)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9fdaab59-eba4-4c6e-8622-e1abb1237b31)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7de8cd67-75c2-40d4-a497-79a769ee9933)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ce19b31d-492d-4a10-92ab-2a1f2ecc5e14)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 77c3b926-dc36-4a8a-a6b4-cc76697c9516)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 439f67bf-95e3-4743-a947-a2f560b19149)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d4547e42-48f2-4ecf-9e72-13494b0db014)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 263 "GPIO149") (pinfunction "A") (pintype "passive") (tstamp 52413724-ea54-481a-9e02-bdcfba8502d9)) + (net 256 "GPIO149") (pinfunction "A") (pintype "passive") (tstamp b8e7cb8b-ab3d-41f7-8cdf-c530aa3b287e)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 349 "Net-(D140-PadC)") (pinfunction "C") (pintype "passive") (tstamp 71ee7847-fd48-4e29-8205-b9de8572476f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 342 "Net-(D140-PadC)") (pinfunction "C") (pintype "passive") (tstamp 5899fa35-3ea0-43d0-8adc-5f6cb2b97d4e)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 5d54f1a5-2bf9-4a24-8523-0a5dc8bea10b) - (at 203.073274 112.915326 180) + (at 205.413674 104.122926 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -8773,44 +8894,44 @@ (attr smd) (fp_text reference "R96" (at 3.2836 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8ec00505-a85f-4260-93dc-46122b9b58da) + (tstamp e54231d6-9aca-4eec-9b63-fbb10a8123d9) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c1b93563-66ce-4131-a17e-993b77b577ee) + (tstamp 9075186b-f5eb-44f1-9929-beaca24c0a77) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp ec603c25-4669-48e4-bd89-f6f2c8631197) + (tstamp ba9293ed-40f0-4f41-bc9f-ada41b2f37cf) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 466db7db-2975-4a95-9d07-12eaf1a6dd4a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dbd6c620-5ca4-4e3c-84e5-497cca565882)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aacdba24-f5b0-431a-88be-401f8d689628)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5184ab16-f1dd-4f4c-9de1-cbfa2eaf52e9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4723e8d9-6216-4169-9cfb-bd1f51b99f79)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2fcd613-cb8a-44de-a634-f455b2365a0f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bafc9960-aa2e-4129-a3bc-5824fd2d9318)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7a6883d-d78e-40be-a827-749971de9972)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b9ef1825-9457-4c53-b1c0-24035c2c1540)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 81964da7-70ca-4aa4-a1a3-0c0851530b2a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b5123aef-a66b-4ca9-bdf3-82b6ec095356)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c50e3f09-198f-414d-a5b4-5619a9609f79)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 855080be-1c92-47a1-a7d9-6e1305ec7ccf)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0601b9ee-182b-49af-8246-031fb95038c2)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c882b098-54d9-4d44-a333-f2bc737af254)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3bb6f789-d8b1-4182-a56d-b1ec686a3491)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d1713048-ae6f-464f-8039-d2417bf69cbe)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7c589a8-32be-49cf-a040-3ff1309b91b9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8fc3632d-9c5b-4414-bbb5-98425069b1eb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 45a9817f-2703-4092-9f61-cf7a46224452)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f8a98889-373b-4f2c-a9a9-783c3bf96936)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e3617c9-d2b2-4540-926e-1f9b99b5bb49)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e40c360b-cfbc-4361-8c53-0dcdf3869c33)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 117d6a1e-fd18-4be9-a5e6-a24c3150ea86)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 887e9c08-c1ee-4aca-83fc-db0b53baab9e)) + (net 4 "+3V3") (pintype "passive") (tstamp 34800d83-8d36-4df3-b6c8-a269c84ebad4)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 186 "Net-(D94-PadA)") (pintype "passive") (tstamp 1dc46997-0885-48d3-b333-9b25f0b85b47)) + (net 182 "Net-(D94-PadA)") (pintype "passive") (tstamp 8cfbe3c5-2bdd-4752-af08-73ebe2ee6f71)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -8820,7 +8941,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 5d68e80a-b139-4205-a950-f6b591af0483) - (at 184.517874 123.789526) + (at 205.437674 159.222526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -8829,50 +8950,112 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/31562ab4-75f9-412e-8219-cca7c1586927") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/95870b87-a7ab-40fb-b73c-1209628875af") (attr smd) (fp_text reference "R81" (at 3.42901 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 563620b5-b3c5-4ceb-aafe-ddb980c1176f) + (tstamp d02a113c-e091-4ce8-be91-2ac20388fdc9) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ede6cd0c-d783-4d58-9683-ae4869b6acdf) + (tstamp 077525fe-dbf7-40cd-bea2-239506825dd7) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 49f89429-aba9-4c88-8e20-6125b0057ea0) + (tstamp 9d546e61-db7f-4a5b-bf21-c9b5a6aa2dc5) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 34a2d5e1-eea9-4bd9-a760-ff2c82e0d8ae)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2d12b72-4d7f-407e-9f78-a29160bf37b2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1d8068d0-530f-400a-b7d8-64ccc8e310c4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 629e8198-12c5-4544-bd89-0b38d04d303b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf61735c-8065-4309-96e8-b2ce1a776bfa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d324455e-6719-4565-92c0-4d53ce7a3167)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6b32b66b-f87d-410d-b925-9c31ff22775a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f57cfe19-a4bd-47bb-a477-68b691993f9a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a6d87004-9bcf-495f-a7e9-1137c8ef945f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp af560159-f60e-4756-8da8-666344b16a17)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cad03bad-dfa8-49a3-a45a-fcd4bc88d8b3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2fb16c78-7ceb-4647-ae39-b142879b2b7d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp effb19fd-f5c0-4625-bd71-0283143d7c05)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 212dcfc5-97da-4213-8d19-26a478e2d135)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 203b9d21-f6b9-4eaf-8c03-5db84df12815)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5e7c41bb-7226-40c3-9052-3af46e13fc2c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7c22f5f1-4e77-46f9-866d-e8e5d5b80bef)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d8073b8c-3cc2-4816-871d-97c944bb2336)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 77ca4aeb-9da7-409a-9d9c-84d1771de7c3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e5bb0285-53cb-4bb7-879f-13780ba4d4fa)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a9eeaac9-a342-47ed-9b37-6b4851b38bb5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7e9f5fea-8975-476b-b975-bfd3017626fa)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3f6e8f5c-c147-4422-825a-d7591516efa2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a334fbc-fbad-4000-9b32-87afbcc6a705)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 156 "Net-(D79-PadA)") (pintype "passive") (tstamp 66ead984-c5c2-4c86-9644-754dced2d7fa)) + (net 153 "Net-(D79-PadA)") (pintype "passive") (tstamp f755f0ce-285a-41b4-8411-0b7b03c3e314)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 941d4da1-b3e0-4122-b63d-f4c43c91315e)) + (net 4 "+3V3") (pintype "passive") (tstamp 9a5e1608-37e2-4639-9e7f-19daa749dbae)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 5daade15-ebda-499b-8b92-890d2fe358c6) + (at 215.144274 58.588526 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100KFKEAC") + (property "mouser#" "71-CRCW0603100KFKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/7e762585-ca7b-401b-b868-9b3ca3d70617") + (attr smd) + (fp_text reference "R183" (at -3.429 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 07801825-f760-48ec-ba70-a6382abf58a8) + ) + (fp_text value "100k" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9c3938ef-f9c2-444a-b4ec-24ea8a68edca) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp c4bec287-34f5-48ff-9d16-4729496c6f1d) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e2ba0f5-5665-4bd4-b95c-c21548c00880)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19208813-328f-4bf1-af2d-f193d57708b5)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7186a604-a017-48f2-af66-14441110e387)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f1812535-88fb-4ae7-ba2f-025f05aabf12)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fe312759-f49d-436c-bda4-60105111f1c8)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e2b40b3f-e246-46cc-bc24-36787a1a4acb)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6125471d-c290-4b87-8f99-4e3ec240e690)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e560a04-075b-4316-bb31-2789ff7d4297)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bcfdc603-22eb-42be-bb8c-00fc362b3521)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7674b580-4dd0-4092-8b70-237699e8c959)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d782d98e-ed05-4469-9b5b-02767111d398)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 771e6649-e6a3-418c-ae6e-7bf390de442a)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 5f52bf87-f7e2-4cd7-b64b-472c37d2b669)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 389 "Net-(IC4C--)") (pintype "passive") (tstamp 228e6575-b9c6-4749-aca9-bfc2488a2374)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -8895,42 +9078,42 @@ (attr smd) (fp_text reference "TP4" (at 0 -2.6) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a3b456a7-61fa-4934-9aca-5cd72b00dc27) + (tstamp 69d6ddf4-05a8-4a98-804c-caec3a20f95c) ) (fp_text value "TP_Keystone_Electronics_5019" (at 0 -7.1) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a4f4e330-9537-476d-9598-0a0dd69a205b) + (tstamp 9ea03bed-1a14-4bf8-9098-36a78633486f) ) (fp_text user "${REFERENCE}" (at 0 0.15) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 920d5ca2-520d-4df3-b0f7-7d27e2d19479) + (tstamp 4ff0d27f-808a-4f53-86ac-f86075fa7b18) ) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a9492b50-0c20-4fc4-9f05-020e4a1aa2fd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b812469d-2ab7-4e4e-ae85-f964c7134fc4)) (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3326744a-6d75-4bd3-b067-116041d81949)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cab94886-c356-4c71-a1ae-41c45e2da8f9)) (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 380b2945-9bfe-4b0e-8cb4-e071eb1b0d0b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fbc35fea-a899-4c0e-aad7-7c36302e8576)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c836d3a4-9e36-48ce-9f69-811084262e7c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03ceb4ae-c027-46de-91e2-1cad177f31be)) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bdb73abb-55bf-4c2d-a872-409265c58ccb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2bef67e3-e5b8-42d5-bfd2-c52f277d3916)) (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4152bdb6-6694-4e98-90f8-75eb78b993b1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 04dcc00b-d15c-4a35-8316-a46f698cbba6)) (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 210214a6-e858-4eb3-a4b2-2b59ab0b6ede)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d79df133-cd50-486e-a467-631b5e4e6fbb)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9dbad14b-4848-4d9a-a7b3-453e5a1680a4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ab37244e-ac12-40b5-9a1b-e55004c75b7f)) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1303ef11-3744-4a00-bf72-cfdc1a6b98a8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 031b1fad-de34-48f4-87fc-0a46c3331de7)) (fp_line (start -2.15 1.25) (end -2.15 -1.25) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 33d39660-149a-477f-82df-62cd5154b4d5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c3e09a60-d409-461b-af5c-873e72ce8338)) (fp_line (start 2.15 -1.25) (end 2.15 1.25) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dd740969-796c-4c67-aede-ee8da3c1dc31)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ad69eeb2-4560-4b72-955d-1bb34ca4ebc4)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83545be6-efc2-423e-9864-574ac297a139)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1df84a7e-31d4-4033-850d-fe97b08c8bbe)) (pad "1" smd rect (at 0 0 180) (size 3.8 2.1) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "TP") (pintype "passive") (tstamp 6afc9ecd-aebb-436b-8ec4-130372398370)) + (net 2 "GND") (pinfunction "TP") (pintype "passive") (tstamp 782c92fd-41f2-4df2-8bf0-3022aa53d813)) (model "${MODEL_3D}/Keystone5019.STEP" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -8938,9 +9121,71 @@ ) ) + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 600363d6-02c1-4935-ad22-a6f5cae3bd33) + (at 202.825274 61.636526 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06033K90FKEAC") + (property "mouser#" "71-CRCW06033K90FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/b6472fe2-519d-4be2-932d-9491f9f54f2a") + (attr smd) + (fp_text reference "R182" (at 3.55 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 43dc9fa1-e98d-4963-87b2-9c572f0b8b3f) + ) + (fp_text value "3k9" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ba0b61fc-cf74-44e8-9b56-35d2ea5a22d8) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp b568362e-0f1a-4ec1-a789-790d7417902d) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f798f795-0bee-40eb-b3ae-9d43e8120606)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 78b383d5-3fe9-498d-935e-b3e932974340)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 448eaa88-d7b4-43e2-975c-00e615b68b85)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67b17274-7052-4ce7-b965-52b61310bc02)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f1110f0d-2d30-4bf1-911d-bc290f5041ea)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 29c9e775-e035-4d61-99f9-6cd06d0c2bdd)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d52095b9-0206-46da-b6c6-b51f0f85ecc4)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5dbe0c53-98a9-4378-8267-249f54f060dd)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 88cd1128-89e5-443b-9756-05afbe25b10e)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 27a80859-3519-4394-8c84-6670ec7c64c6)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6e67d30-b60c-4dee-af7b-91bac4b64b28)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp baee1564-a38a-470a-ad37-08699c34e1a6)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 390 "Net-(IC4B--)") (pintype "passive") (tstamp 0a5f9476-aaef-4610-89a8-09aa331cd166)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "Net-(IC2-D)") (pintype "passive") (tstamp 76559339-a9d0-42cd-a69c-6760dcc2a6da)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 61bdce8d-cd8f-432c-8685-e608304533a1) - (at 85.862518 78.831087 180) + (at 85.826844 78.831087 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -8955,44 +9200,106 @@ (attr smd) (fp_text reference "R27" (at 3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4164ff62-4758-42b8-addb-7bb5e549899b) + (tstamp 82db0424-17d8-4f5d-a6df-3749174f1599) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 90065d73-09b2-4193-89c6-93103343a6ae) + (tstamp 55a18248-1647-4cdb-bcb1-8bfd7239888e) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0af31f1b-5a6c-493c-a73b-65d7f620ae32) + (tstamp 89a917bb-4147-4957-8c08-d3247ad1db8b) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa16112d-6472-4d6c-a1bf-189f7ea7a120)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08f4eca3-754d-42e5-ad2d-ba9c384b5723)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp af0f1cfe-a46e-44a8-a515-57a9192321c1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6be9cab-f014-4b75-b835-4ef7ea80c793)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bbd49b91-8996-49f8-a432-8dfa4357f05f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6bd870c1-ebf6-41d4-8781-0814ab8f6ea5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 050f7269-5696-41c9-b292-27c1b54fb708)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 90094538-a058-458e-acb9-452a7102cdf0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7019dcc8-d79f-44ee-af17-24a1128e6bc2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dea7b42e-9741-4364-932e-0011d1ece0d2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7994fc31-54e4-4bdf-a863-6190e77874f7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0d4f826b-eaa8-47a6-8eb4-88a03447da5f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b43889b1-6d4b-480c-82e9-06608f3a94d5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 06d5b178-26d8-48fe-b52c-58724a63c4b5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cb17742f-265f-472f-9039-9e67ad4009f1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4f08fce8-fab1-4550-be6c-d01b16d5cf35)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a02667de-8884-4a9c-ab20-b843b5d6bb7a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5c904a1f-e3f4-46e0-bb19-dd00bc81e619)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e775f8a-786e-411f-aecd-fb6a0799962c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 639dfebf-621b-4b85-a1c6-f35531536882)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 648e3b95-052d-4332-bd9c-4453a74740ff)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3777a88-92d0-4ae1-b658-9a8edcac3075)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d4d58ecd-22cd-4394-bac4-23c652ee40ca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ea7ce34-d40f-4063-b853-2a4eb6545e82)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 51 "Net-(D25-PadA)") (pintype "passive") (tstamp b8d06a46-adec-44b2-a3c1-d7bb0de5c4bd)) + (net 48 "Net-(D25-PadA)") (pintype "passive") (tstamp dd5fe63a-3184-4795-961e-184c7e6533b5)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 6616c5f7-940d-4a01-b721-9fb506715838)) + (net 4 "+3V3") (pintype "passive") (tstamp e49f2b52-1eab-4e63-8c89-5db792483c73)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 61f26822-75eb-4011-b35f-c92ad5b36148) + (at 189.369274 55.7672 -90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/5d0c2eac-e350-42c8-81ec-2db2dfba7b27") + (attr smd) + (fp_text reference "R175" (at 3.314 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fb88e5c4-6aec-4331-b4da-49f9fe6e0b0a) + ) + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 42f54239-79a3-45ee-b20f-a4a88dd43ffd) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp d19fef26-c1c8-467d-bf0f-3a97e48c0927) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c60e9f7e-55ea-4b2a-828e-6ca00e528f74)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ffdb8d8f-5cf6-45a3-bfe3-363f31741ec3)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 953949f3-0ee5-4bf7-9ea6-7d9442e1f0a2)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f733200-ff24-4a00-a2cd-b9d9f5a732cf)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 995257be-3a84-4381-8968-4edf63216c7e)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1f04345c-1062-4e4f-9b82-64e299ff0e67)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 53c6a50d-aedf-40a5-a565-a7f5a6490fba)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f740a854-b8aa-4019-aa4a-131d51301ad6)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f774c239-618f-460f-9a6f-c255b75ab3e5)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8cfb2335-a77e-4863-8613-200d35789580)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62504d06-e3d4-4cb4-a244-f0c1b061decd)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c3a6bc05-6e8a-40d5-884b-fa36a0f7e7d8)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 380 "Net-(IC3-S6)") (pintype "passive") (tstamp 40fb1178-e79f-4b6e-9e3c-f97227b5aa8d)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3f2ba1cb-4521-4c55-ae26-9177235631ee)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -9000,125 +9307,125 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 62139274-53c8-483a-86e1-0a8e7df06130) (at 76.454274 95.963971 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d306bf0e-547e-4a53-ab70-a1620af90f6d") (attr smd) - (fp_text reference "D54" (at -3.480428 0) (layer "F.SilkS") hide + (fp_text reference "D54" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e9b97d89-2426-42e5-a04d-788a78eb1fa8) + (tstamp 56d67e2a-ed56-4f88-89e3-4993087d5abd) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4eb922ed-dfd0-4282-953c-ea469a00276f) + (tstamp c185b68e-0e27-45cd-8bc2-5fb84eacbe3a) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 46892ace-bc3a-420c-9d81-923430393215) + (tstamp c4c13cb4-0d2b-4491-8c7a-458e4851cb6d) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 725009bb-45a0-4fed-9a26-11728decf221)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7370b1c5-ed1e-4011-ad76-8cf85935e917)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b531209-da32-4314-a658-1b565b2d4f4c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b19ba564-0912-473c-840d-b355ff18030c)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c63fec1f-79f4-44e5-a53e-e838b22dbe35)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a0bd3aa9-5294-445e-939f-e6afb55a50aa)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6ecf80b9-40b3-498e-9e43-5f8f0e8e9c67)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 970f5c0c-4aa1-4ed1-8a49-472dfd68b866)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e269e3a8-8a2e-41b8-b03f-b713aa03bb28)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 971131d6-e895-411d-977a-9708977f6e3d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb46c02c-274a-451d-a54a-853c0b07dfac)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23e78e2a-b1c7-4759-a62d-959ec46943da)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c878f342-1ac7-4bf6-b491-fd76340b0dda)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp dd88cd89-5be1-4a4a-bc7e-4468a96e6d4d)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bb0ea512-e9b5-43a1-b7d3-a62a12f13f69)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 67f9e272-101a-45e5-a008-5c14d93f85bd)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ae93b6a9-e064-4122-a6f8-1050c33bae16)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e689ce3-b146-4d4c-a16c-61edd4f9170f)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e8c5483-f5d8-488d-a8c3-1ed0e9556a99)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e792e75f-af15-409c-8c45-738487b120ec)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c331369a-292d-437a-a13a-e7a8f67d7a77)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ed8bbc3-8435-413d-9f26-20c2c39703f9)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 78716838-25ba-4e03-8bd0-ad2b358490ba)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6bcbd4af-6f30-4ff0-b398-0111b982e5b4)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d70cc4cb-6de3-4fbd-94a0-f21494d21f9b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e0689b74-d378-4659-9773-662bac62dc23)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 106 "Net-(D54-PadA)") (pinfunction "A") (pintype "passive") (tstamp dab78ed9-0b63-4f73-8f28-45637ba2dea2)) + (net 103 "Net-(D54-PadA)") (pinfunction "A") (pintype "passive") (tstamp d6d7f1c3-4f4a-4494-95e8-cf6bd0ba67b5)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "GPIO60") (pinfunction "C") (pintype "passive") (tstamp 035f118a-d88e-48e0-ab00-e692bce1fc4a)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 104 "GPIO60") (pinfunction "C") (pintype "passive") (tstamp 44f6032e-902f-402d-a969-8b5388a1d468)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 6218b64f-0d5e-45a9-ab78-27386e07758c) (at 93.3216 123.490929 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/b3138a80-f4b6-4231-9b40-bc3aabdc20b7") (attr smd) - (fp_text reference "D37" (at -3.894303 0) (layer "F.SilkS") hide + (fp_text reference "D37" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ad857463-c914-4d86-9a68-5581259031ab) + (tstamp b3b59190-a29c-4acd-ac23-e529aad04d5a) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 38116742-a3d8-4f87-bd89-0fb1467624ff) + (tstamp 983e6605-c742-40e3-8b0b-4676803b67be) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 607d7522-2451-4bea-afce-5d25c2a80314) + (tstamp 74092088-f06d-482e-9b3c-9d41df0bec37) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b6fae9e-74c1-4021-afd2-0c1251fc1955)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fb1e41a-066b-42f0-bcc0-597e384d2740)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 66c070c2-ec2b-4f3f-8eaa-1425e64a919f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce3523f6-6d08-4411-96bb-4e2405a896f1)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp df05f10a-56a9-4515-862f-3807fb0f7a30)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a0d86edc-f651-42be-ad12-0f420056808a)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 10a4f85c-d52c-4c43-ad0b-b9c4e2e377b7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2e53ae3-e63c-4d79-9188-9bb81969355c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b7fdd21-79e4-4539-a053-8819a0e10d41)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 885a3b0b-608d-41ef-8f89-b3f82afc2e2b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7fc31b2-9b51-43bb-867e-700f5124c608)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 85405204-3902-45c3-892b-7988565bceb4)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0c94caa1-88c4-48cc-a921-8c143dbf5291)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp bfaeb81f-bba1-411e-8c1b-f95aca9ed8ac)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 22925251-eb0f-4e6b-9f98-ec64cc221e3d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 858a515b-7206-4076-af25-ebc7bb863ec9)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5b7073f2-31ee-4ca3-af04-74aa151e334e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be6ac912-071c-4efe-9a89-39b327b3619a)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 447600c6-cc6d-4c44-94be-03473b2427e9)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4f2ab78c-5a54-4eb3-abb8-da0b87c657e3)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f1e05a40-ccab-41c3-a704-b4d0a27d5f89)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0279bd32-0d75-4c29-b330-a7c13378dc24)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 30ceeeb0-893a-4860-8060-96f1316c30bd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a27adf0e-3803-4729-be0a-d7f51a134844)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d3e2b270-9416-45cd-854b-593fd8059e6d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0eafa01-6ed4-4d0a-a86e-b9c4dff974d4)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "Net-(D37-PadA)") (pinfunction "A") (pintype "passive") (tstamp 548a49d1-9f02-4e29-ad01-91655419e9a4)) + (net 72 "Net-(D37-PadA)") (pinfunction "A") (pintype "passive") (tstamp 2136e1cb-17f2-4e2f-a9b9-7c21357cfd27)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 76 "GPIO43") (pinfunction "C") (pintype "passive") (tstamp b4cf4125-e494-46b3-add2-4474d77c2b6e)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 73 "GPIO43") (pinfunction "C") (pintype "passive") (tstamp 08e1c2ae-d01f-47a3-b5ff-a9157688380b)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -9137,58 +9444,58 @@ (attr smd) (fp_text reference "D1" (at -0.3 -1.5) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9b0bf9aa-6dfc-408b-80d3-6550106fdbee) + (tstamp 32978b04-f291-4c1d-af84-25c2ff4dac12) ) (fp_text value "1A0" (at 0 1.8) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4f68acab-b56b-49df-8c4d-eaecfcd265a2) + (tstamp 5980673b-bc17-4773-8e5d-373c3d376008) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") (effects (font (size 1 0.9) (thickness 0.15))) - (tstamp 69e157cb-9c38-46e4-9e39-4ef4a39ce9ca) + (tstamp 76d7db88-3dba-486a-8f04-3f2e73b7b5ef) ) (fp_line (start -2.2 -0.8) (end -1.9 -0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 232b8f24-af72-4037-99ef-c5381245271d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 628a4405-29be-402f-a766-d815dd1a0f1a)) (fp_line (start -2.2 0.8) (end -2.2 -0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 309402a2-aa2c-465e-accd-1e5cce1f85aa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 790f94d6-ccd1-40c8-a213-8d777aeda8fc)) (fp_line (start -2.1 0.8) (end -2.1 -0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42f7710b-f642-4956-8a3e-904e79aa0af2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0ea583a2-fc5a-4261-805f-6142b516c24e)) (fp_line (start -2 -0.8) (end -2 0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9eb0b373-0f56-4df6-9b89-cfa0b4e5d29a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 283b6aa4-acb2-44d2-9df4-2cb995c6af3a)) (fp_line (start -2 0.8) (end -2.1 0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09709137-2064-4160-9cc1-4615828dabef)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b29ec58-f368-4add-a461-09ef43a36816)) (fp_line (start -1.9 -0.8) (end -1.9 0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f7c06e51-e81d-434b-8f60-dd4ac902b360)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e022330-3ac1-445d-9a92-0ba8f504bd0e)) (fp_line (start -1.9 -0.8) (end 1.9 -0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ef0ef55-81ba-4b2e-ab70-820f4be1326d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 982831a1-2de1-4292-9f79-4b51d2244965)) (fp_line (start -1.9 0.8) (end -2.2 0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57e5c994-0b78-45fc-b7e3-a8fa35bddc2e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a92da86-3b40-4c01-b7c2-44f976291cab)) (fp_line (start 1.9 -0.8) (end 1.9 0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6253882f-7550-4703-8f0f-92ea84d21730)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b3d089b-1158-4e6a-bff9-e7127fffe14d)) (fp_line (start 1.9 0.8) (end -1.9 0.8) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03dff29a-cc7f-47d1-b7a8-91e4b63783d0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b08921ca-c8c1-43e9-a72f-6d6cd5ea20b7)) (fp_rect (start 1.9 -0.8) (end -2.2 0.8) - (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e037c9be-5be4-4e7a-ad69-343aa596eede)) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2051bfb1-1833-4547-9177-ebbb4ac612fa)) (fp_line (start -2.2 -0.8) (end 1.9 -0.8) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bf91e6ce-99d2-43d1-9b2d-b97089a203ca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8799988e-e33a-4a49-8bd2-619ee0170f59)) (fp_line (start -2.2 0.8) (end -2.2 -0.8) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b293c86a-dcd8-49f6-9ce0-22e8083217f1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32a4d3d9-1ab5-4519-b266-379ee99cf65c)) (fp_line (start -2.1 -0.8) (end -2.1 0.8) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5daa9405-62a7-4dac-b0c0-90a337d1df09)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d80c422a-83cc-48f8-8dfd-9620c2ed6e1d)) (fp_line (start -2 -0.8) (end -2.1 -0.8) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bf83de33-c406-4eaf-ab60-d454b7a4404d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 019ec31f-a5fe-4833-99ee-83af5f21c266)) (fp_line (start -2 0.8) (end -2 -0.8) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c8399f0d-b442-474b-b5c4-0664638e8f45)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec66b3fa-92df-4f4a-8a1f-d7b5d1375d27)) (fp_line (start -1.9 -0.8) (end -1.9 0.8) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eb79694d-7faf-49b5-a90f-e7aa96351f7f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4d94b5df-531b-4e17-b5f6-c492da9875cb)) (fp_line (start 1.9 -0.8) (end 1.9 0.8) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cdc11c4d-1045-4e51-97be-d746991c9499)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 04c711c9-5172-4619-9347-91f14aec5a1e)) (fp_line (start 1.9 0.8) (end -2.2 0.8) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0e461e88-6ff5-41ef-a144-b107244fa798)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 497fcd20-2171-4181-a99f-3489ca3b0d47)) (pad "A" smd roundrect (at 1.25 0 180) (size 0.8 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "Net-(D1-PadA)") (pinfunction "A") (pintype "power_in") (tstamp 218773cb-6296-4d26-8c56-e6be88cbbc6c)) + (net 5 "Net-(D1-PadA)") (pinfunction "A") (pintype "power_in") (tstamp 840dd928-f85b-4cd1-acfc-8310508786bc)) (pad "C" smd roundrect (at -1.25 0 180) (size 0.8 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "Net-(U1-EN)") (pinfunction "C") (pintype "passive") (tstamp 2c4b8576-51fa-4c07-81ec-b29a12345de6)) + (net 1 "Net-(U1-EN)") (pinfunction "C") (pintype "passive") (tstamp 36b064d9-0ef1-4973-a7bf-386c2c654b8d)) (model "${MODEL_3D}/SOD-323.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -9196,247 +9503,308 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 629366d8-17d2-4655-9d32-78613fa6a9e6) - (at 76.350914 38.461126 180) - (descr "LED 0402") + (at 76.454274 38.461126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d5e8a173-4c70-409d-a56e-66ec2feb4232") (attr smd) - (fp_text reference "D105" (at -4.274191 0) (layer "F.SilkS") hide + (fp_text reference "D105" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 88aa66f2-c85d-4808-8ff3-c303377ddd94) + (tstamp 37c5255e-ad9e-498f-897f-8d29cde0e03c) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 51a29aa3-aa9c-4e39-82f9-3a216ef851f6) + (tstamp 36fa2b32-d038-4354-882e-600897a0131f) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 33e2438d-ea4d-4621-8146-6356062416b9) + (tstamp 475a2c23-4105-4bd6-addf-c8c0a5e1807f) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0fed1d5b-37f4-4281-80c7-5ac47fdcf514)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5a51f7ba-1a58-4e96-b4c2-37811a8e828a)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6d2ed70-7383-4a0e-bd12-12301ee9d404)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3bee589a-e57c-44b6-8d58-1e947d64d525)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9560afb3-cec1-4e33-a886-9f1a0af8bb1a)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 17c4ffa8-b911-4dda-9667-488eb5934b03)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 161be749-a4f2-45bc-8149-0cfe498f2ded)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2755adcd-a6df-448a-b489-5cccd3b1a76d)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc9ab1e8-9ef8-4dc6-b7a3-6049c1f52f81)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e06c6f67-be22-4bd3-8e57-ebb245991614)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ac7d8be-887c-46a3-816e-5b8bbecdf410)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 34f40fe8-8d8c-46b2-80ec-09bd1b87f704)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c8d1b0f1-1e53-41d2-bafa-e1c3a9dc1160)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ded0e027-c061-46b2-b919-12961ebfaafc)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 509265b4-30f5-43e1-9b90-a58436586b9f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43d3fb8a-9da9-4cea-9507-0d1e75db5fab)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35ff1cf0-ba33-4033-8768-a9f53a86d014)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dcee9684-bfd1-4c85-ba3c-0025b57384a4)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 074ae0b3-d4f9-40c4-9bd5-276181c80cce)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7db42b0d-43dd-4819-8b16-c9ae07ec1919)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 572030e7-7fde-4d1b-ba1f-ae26fb94c609)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ea562956-a39c-43eb-aae5-9efd22da1b7f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4108379c-ce54-4e5b-81a4-e8d02df0e907)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d59037e1-34f7-4118-baf0-9aed9c28a4f1)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9f35a9af-74f2-4478-aa46-eed62206eb6c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eda13447-0e93-470f-9774-3be32e29ebcd)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 208 "Net-(D105-PadA)") (pinfunction "A") (pintype "passive") (tstamp 56616887-0db1-4b82-b92c-b31a95d22e1e)) + (net 201 "Net-(D105-PadA)") (pinfunction "A") (pintype "passive") (tstamp f5afc6e7-b2c8-4a6f-a3a5-e6cbb6180035)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 209 "GPIO120") (pinfunction "C") (pintype "passive") (tstamp b1929c56-3d4d-4e33-b60d-b2c037435c5f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 202 "GPIO120") (pinfunction "C") (pintype "passive") (tstamp 00a23221-fd51-4539-946d-7818787bfe59)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 62fd729e-4f35-4195-9647-be9521cc542e) (at 93.3216 110.6452 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/be52a50b-d929-440b-88bb-338230675beb") (attr smd) - (fp_text reference "D74" (at -3.787966 0) (layer "F.SilkS") hide + (fp_text reference "D74" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 819994f0-4646-4fc6-87a4-99fad8560657) + (tstamp 6fc51fef-3ead-4830-b283-42eb145b837f) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dbc5b183-93df-4e66-aa2a-aae2afdcc6c6) + (tstamp 011ea770-73e8-44d3-a947-fa13b186a1ea) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 759990d9-8e26-4f7b-a7fd-21705c153938) + (tstamp d227b64c-b525-46ef-be3d-dc2ae4e5b9ec) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0ff2b0e5-579f-42b0-8f03-d345f2a20ca0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e9fe390c-c486-4bcb-8fc8-b4b4760168b8)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 41fddc2e-21a3-4958-a4f6-712507be5a0a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e98ff050-ce3a-4b6b-b69b-721ff1fc9043)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ed01be15-7b9f-4f9f-a8ec-c82fa9c9a168)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c196fff0-d6c7-47ee-b436-5abfd7f77a48)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40c8ee3b-a479-4273-8fef-82c3c9a03709)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 68174cc9-942c-4026-9316-00d8017c8973)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aae74ec3-8ac2-46b6-b654-979c7efb4b06)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1f9e08c0-f3e3-44d8-91a6-b5f1d0f1107f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93473d66-f0d8-40d0-ae0b-2aca46bbb15b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46f587e5-6716-4bd2-9b9b-2bc8e6e12347)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9f3fac23-e200-44d4-a80e-01fc455c6232)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 187e4f3e-ea9a-4dd7-b53f-dfdf00700dcb)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6985c35b-1071-435d-ad38-721f8af74196)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e00a7eb8-3669-477e-b1af-8a69241718ad)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1269ca58-0c4d-43ad-8f3c-56e9f7fbe86e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2438c2fd-184d-4759-ae5c-23113d12a870)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 114486db-4e82-4ad1-89b2-7c435bd45e76)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e24973e5-3f88-4ac7-8e0c-2236b745182f)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 88746fe8-f793-4314-9f1d-a90d5ec13464)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec97810c-a3c3-4eda-b078-afa753662ae2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fd558539-646e-4ea8-a53f-d48078f69e14)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 47930b2e-bc20-4fc4-9336-c1f2c12e139c)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35b0dae6-d111-4f33-a55c-564a053b66bb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b207c457-d4e7-4d08-9253-1ed729ab6f37)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "Net-(D74-PadA)") (pinfunction "A") (pintype "passive") (tstamp 0a509ca5-d360-40a6-8db6-6b75ea41512a)) + (net 143 "Net-(D74-PadA)") (pinfunction "A") (pintype "passive") (tstamp 5d5df416-27df-42ea-b074-843a0821a43a)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 147 "GPIO83") (pinfunction "C") (pintype "passive") (tstamp c9ea08c5-7582-46de-a476-bf2c43b138ca)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 144 "GPIO83") (pinfunction "C") (pintype "passive") (tstamp 444acc98-ba6c-48ee-9961-7437df46d82f)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 64e65ff2-2df6-4e81-baec-6da7be9608a1) - (at 76.454274 119.854526 180) - (descr "LED 0402") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp 648b8105-06ee-4478-9501-c1591f44c857) + (at 176.300274 97.368526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/dd19b493-b24e-4d8c-ab81-35d2ed669f21") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/69ed259a-5bcb-40ee-bc58-80b80a4a572d") (attr smd) - (fp_text reference "D87" (at -3.535238 0) (layer "F.SilkS") hide + (fp_text reference "D92" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 79c577fe-6c77-4818-8526-f7273abe6a15) + (tstamp f2d86f8c-ae2b-4d9a-abc1-b49a63220e5b) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5b1f6a82-eb38-4396-bed0-0bed69ce8c3a) + (tstamp 71b02d19-1244-4b7c-81e3-a11f5f9d627d) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp fdc7ddce-8e20-4b35-afd1-1ea63fe9b204) + (tstamp 62ef0aaa-4559-42dc-b602-2c4386c08af4) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c085e462-741d-4f32-8899-1da0f372fd6d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 11de1772-ece2-4c12-80fe-b3f1553b8306)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 41b03b6f-1f5f-4f52-9d7c-61bb7fdde397)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dfc997df-e95c-4fd4-b0e8-313f5503349f)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5b6ea2e5-cf1f-42f4-ba8d-73c172b2cd53)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp be7141e4-3eec-4e6f-98f5-2717ecfee24b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92bd60d0-e19d-4d2b-a2ca-243bdd55f498)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d4fe8b8-eb3f-46b4-b69c-50991bc27224)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 628cfed1-08da-42d1-88bf-d236f9b57f46)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8aebf68c-9085-4135-a478-090de918a6bb)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d290821-ec99-475e-9106-84aa5a10f1eb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 769dc780-0191-4d02-aefe-690cd40b6f9c)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 436fa2bf-ead5-489f-8fad-6a2650cfeec4)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 976dc605-c813-48db-a3fe-9b9259b45641)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0226288-1280-41fe-8b8e-ceff27d2119f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 48bd4554-53dd-4a84-8690-2e55857e4808)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5fe07f22-fc4a-4e4b-9ee0-1d9ab50224c1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e85d45c-461b-4bd0-bcc0-49ab17c645ac)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a2efa7c3-3621-4909-922c-3c6b8ed7053a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 673597cf-dc05-4298-8fd8-3d7598c46e19)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7e12a50d-c7af-4005-b5f0-346b32275311)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3032f7ed-d4f9-44ed-bc9c-8b01532490ad)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cf8bd39e-cec1-4b84-ae6b-597d835812c7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4700548-5fbf-495d-9584-e5e499b9ec93)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3401b890-7b8e-40db-ac44-0cbae38cb725)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85054482-35ca-4361-b2b5-b6346fb992ab)) + (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 393 "Net-(D92-PadA)") (pinfunction "A") (pintype "passive") (tstamp 7e8a89ba-9b81-429a-b765-4356a81b7cff)) + (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "GPIO48") (pinfunction "C") (pintype "passive") (tstamp b102da4d-d6e9-47ee-a54b-5842d431112e)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp 64e65ff2-2df6-4e81-baec-6da7be9608a1) + (at 76.454274 119.854526 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") + (property "manf#" "APHD1608LVBC/D") + (property "mouser#" "604-APHD1608LVBCD") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/dd19b493-b24e-4d8c-ab81-35d2ed669f21") + (attr smd) + (fp_text reference "D87" (at 0.3 -1.39 180) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 449e799a-6810-4ddf-8c3b-3f2250d33ce5) + ) + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dd0311f6-97e4-4092-a358-7c521233356f) + ) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 2c7512dc-06f8-4833-aa52-e3b6df9a25cc) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2f13a97-d796-4540-9b5e-1ca13ed6728c)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 61b3b471-2e09-4a00-87a5-8d3b463b1faa)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7f17d9f6-5eff-45f7-b991-c69f8daba48d)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0fe1f995-7b77-4277-a62c-6d1572e81e50)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c35d9203-e774-4cdd-8341-419efddc540e)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 32f527ba-0614-436f-8b89-91bd08119c84)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 805bb7df-ef56-4e26-a13c-7ffb265a9c77)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9369e80e-6b27-4f4c-a660-5121a86f921c)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 116d339a-55bb-44b4-8840-8a384b17b86a)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eab144ac-3bd0-46f7-a632-21f8a5c19428)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 364ee11f-97c7-4b82-bc32-cafc34f3c87e)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ad5c1165-8801-4e7a-bf41-488d1c6bbf57)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 19c85462-8217-475b-93dc-6fe8d9178f04)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 172 "Net-(D87-PadA)") (pinfunction "A") (pintype "passive") (tstamp 532872dd-3b4b-49da-9c0f-b7d374fa39d4)) + (net 169 "Net-(D87-PadA)") (pinfunction "A") (pintype "passive") (tstamp 8687d02d-ee71-4dbc-9aa2-4eca9ad91bb6)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 153 "GPIO86") (pinfunction "C") (pintype "passive") (tstamp c3748f41-e81a-4d03-bc2d-85389a1e03c6)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 150 "GPIO86") (pinfunction "C") (pintype "passive") (tstamp bc5e60d8-6615-4ae0-96b9-4df4dd3bf6ad)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 64fffd98-2069-4ba5-8a1b-2dfaf26e3474) - (at 95.160674 153.815526) - (descr "LED 0402") + (at 95.250274 153.815526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/61377bb1-d289-4e88-b6fb-7e26d930be55") (attr smd) - (fp_text reference "D143" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D143" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b2da519b-8c55-4bac-bd95-17d1214f50f0) + (tstamp d12f2ea0-78ba-4382-8ee8-0dd22fab3e19) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c0f41cfe-492b-45eb-8037-626051ab5ba3) + (tstamp 4654badd-5d79-41e0-86ba-7684ef5eaf4a) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp a6bbc5db-5bc9-4c16-8def-41cb8db8df3b) + (tstamp c28080b0-bed2-4f66-8e15-795a6858b688) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 415cc1a2-0b5b-4f36-92a1-9d42880eece2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a9a693c-7f76-4505-bdb6-abd0fd202cdd)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f395f73-6f43-46da-9cf3-e5395379b855)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f4ea386-f558-4874-89c8-09aef4dec2ca)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 567c7912-b566-4f84-ba0f-c68388821e17)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 167916b0-60a9-45dd-806e-1b9a1833dbb7)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a3326048-a4bf-4481-ad59-98744ed98fb4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 439782b1-336a-4993-8622-970944632aa3)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e4e10269-1021-4859-8a79-d15a07cbb15b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e008c7b2-99f6-4ede-9901-537706f85709)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e6678006-9a4a-4e14-9dbf-150e5aa8733f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1a2b664d-3c66-432a-8c9e-88c8bb5ca983)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 154a7827-8b10-4a49-a846-b7436d8d1065)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 71b85072-faf3-4cd3-8ce3-f0f53ecd89c6)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 737f074f-a9bb-48c1-8831-359ca4b66b09)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 840be273-2e20-4088-b689-652790a8cdd6)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d543919-5265-48d7-956e-0174ea8e6e8c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 283ab51f-05aa-432c-a77e-317bf4a36ea9)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ce57ced7-cb64-41d5-aed6-ee3ea1cdb724)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8db93d47-2cd5-4649-8b04-f5dac58f9ffd)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bd922c0b-ff83-41f3-8481-5209f0a05fec)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f155b4c1-a717-4fb9-9edb-079b1af6fcf4)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c201b65b-8709-478e-b512-e10cdbcc3da2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 995da8bf-df06-4e7f-958c-2cb8f869e9e7)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2cf9a0c2-3dee-4c88-afbd-349ba4d6c15c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e18fa3a9-8369-4b0a-be50-ddde3f32dfe2)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 239 "GPIO152") (pinfunction "A") (pintype "passive") (tstamp ad229f3c-e22f-4a8a-bc7e-588db79ec4c6)) + (net 232 "GPIO152") (pinfunction "A") (pintype "passive") (tstamp 473f9734-774f-498c-88e5-917635315588)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 355 "Net-(D143-PadC)") (pinfunction "C") (pintype "passive") (tstamp ef0e8fe0-2b3f-459c-9951-8c3f02a1626e)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 348 "Net-(D143-PadC)") (pinfunction "C") (pintype "passive") (tstamp f497fd28-a8d5-437a-842b-ad177ef2bbe7)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -9457,44 +9825,44 @@ (attr smd) (fp_text reference "R116" (at 3.774191 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8a726a50-60d7-49f8-8d3e-e73d5b1b78c4) + (tstamp c8f048e1-32c3-4002-9af4-e41a3ea3e7c4) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp aa65f00d-ff1f-4e92-b718-d8fdcf3c437e) + (tstamp 82df5fbd-2abd-43a0-9af5-0ca35a73bb9e) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 233c6382-48d8-4e89-b49d-01c6d1b23ff7) + (tstamp d93d0ab9-9056-4f2f-91e5-e70771c0ca16) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3739baf7-f3a9-4878-ada3-8935042a97fa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 553cf538-eb49-4ef4-ac4d-4cab5fc56c4c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72f6f9cf-f900-414b-b669-404b32cc939e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f020150a-cc36-4330-8a6e-8a29ddbe622a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5097c86e-fadb-47c8-b692-6341d4ff3e8e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db43ea48-915d-4dcc-a484-c9657f1ec0cc)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce22b76c-bcd6-43df-a3a4-cc2bce4800b0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73b7bbc2-e5a0-43fe-bbed-13c069f18485)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c5cd5836-4224-4cd2-a7bf-a844ab52978d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a30175d8-7629-485e-998e-f4682bb14f94)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5558f71d-f9e7-41e9-883e-59cfb728fd15)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e1829bae-9ed3-45da-853b-001dd2938cca)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3d73fd4c-98cb-4654-ba65-d9c3e7cd93f3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b26dcefd-8bb0-41e6-9c78-8f80cb9985de)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ec60263b-816e-499d-adda-1184fbdbbe12)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4dc9416f-f796-44b0-8811-866a6e41b531)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7bae33c3-a0f6-46cf-8567-a01df9c778c2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 032d34e6-5bc4-4c54-89c1-38333bf73253)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dac621ec-b0f8-4613-babb-7fd32b8e90b4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3c06b06-489b-4c4e-9fdc-1a1371df58a2)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0d709464-8845-4469-b91c-0eea51064bc9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a14951d0-822d-4c9e-a5e7-832f3a32fe0d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 559cd6b6-2f77-4edd-b823-0646fc8cb6ff)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6dfb3e6b-cb41-477d-9b16-0e4b5a4974d0)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 342 "Net-(D114-PadA)") (pintype "passive") (tstamp e9039749-475a-40ce-87f4-6d1adf7f9bf2)) + (net 335 "Net-(D114-PadA)") (pintype "passive") (tstamp 71655961-6044-465c-a76e-44e157d16935)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 937424c2-ed56-4d9b-a58b-e7ba2aaf09a0)) + (net 4 "+3V3") (pintype "passive") (tstamp 79212051-a33a-4100-86bd-225f42b51c42)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -9516,692 +9884,692 @@ (attr smd) (fp_text reference "U2" (at -23.5 0) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp bdf90f21-2796-4484-a91b-7354ce39f13a) + (tstamp 6beae6e7-565e-4ddc-a56a-9ac04ca454bb) ) (fp_text value "~" (at 0.01 5.12 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 728c0979-485d-4c9b-a27b-1f4e759103cf) + (tstamp 79af8fe8-2897-4f3b-9820-99eb6f92ac90) ) - (fp_text user "${REFERENCE}" (at 0 0 -90 unlocked) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 45 -90 unlocked) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 70109a2f-4d7b-43e1-a9b2-f28f9ac0cd36) + (tstamp abf55ab7-7b64-426e-a074-d105852b5bf8) ) - (fp_text user "${REFERENCE}" (at 0 45 -90 unlocked) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0 -90 unlocked) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp fb1d7fe2-60e6-4c00-a990-22f742ab6438) + (tstamp ccf53938-eb2a-4ec4-863c-62ca6831d70d) ) (fp_line (start -22.4 -3) (end -22.4 3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58d6f370-3125-480c-96e8-1d8535ef8f1a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8caeef8d-78d1-4756-b09b-ee4306c1e9c6)) (fp_line (start -22.4 3) (end 22.4 3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 162e5717-e830-4b65-b8fe-b0501cfa0111)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d22ad6fd-bc7b-4bd0-93cc-0d4dc66579e6)) (fp_line (start -22.4 42) (end -22.4 48) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0537d420-46c4-4185-be84-b6adf9abdae7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4fb8339c-0a6d-4e0a-9408-6e240123736f)) (fp_line (start -22.4 48) (end 22.4 48) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 12d3b04d-2487-4e6f-86b1-54f87deeaedb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e25f60f0-0a60-40a3-82ee-9ba2f62bc5fe)) (fp_line (start 20.8 -3) (end -22.4 -3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0e65903f-33be-47fb-985e-458e4f463e60)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f4f50df-c700-4c2f-afb6-ed0f6c95e225)) (fp_line (start 20.8 -3) (end 22.4 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 593db350-0eac-418c-9b48-49f2f3a7b870)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4628d62b-4b5e-4999-8ead-14c7490f52a7)) (fp_line (start 20.8 42) (end -22.4 42) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e12efbfa-c47b-4427-a8b7-eaaa3a377e6a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f22be756-b95c-4b7e-9117-8f3cd86bebbc)) (fp_line (start 20.8 42) (end 22.4 43.7) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3d513d4-911b-4b9c-93ed-252b826d2b93)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d6f4c87-2b00-434e-9985-5ae406a79bf6)) (fp_line (start 22.4 3) (end 22.4 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad95d4f2-a29f-416c-83ce-3b2dc1f41a52)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 48b9b243-0d7a-4011-bae7-506e55cbc8af)) (fp_line (start 22.4 48) (end 22.4 43.7) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8c286ad-fd0e-4342-9e49-ef05e6984550)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f1725612-55ad-40ba-b4df-40aa481a8579)) (fp_circle (center -20.65 -1.4) (end -19.7 -1.4) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp bf4d1533-d38f-4474-b840-d516d05365e0)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 50eea1af-0746-4053-b589-194e2b3bbf27)) (fp_circle (center -20.65 43.6) (end -19.7 43.6) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp fb54f269-51f7-4dea-8f03-7d60ce714480)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 31db8c3d-bc10-4528-8177-95fc2b05e4f9)) (fp_circle (center 20.65 -1.4) (end 21.6 -1.4) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 148a2e24-4d64-4260-88b1-f06f1351e4be)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp de13d7d6-d164-4756-82aa-d5c3008f4493)) (fp_circle (center 20.65 43.6) (end 21.6 43.6) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp cd3c1b97-06a0-4ed6-8226-ae436c626033)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp d0b91f38-be56-47ec-834b-2153587ede92)) (fp_line (start -22.4 -3) (end 20.8 -3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e86b177f-0f79-409f-a057-6f9f0c598767)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 71da524c-c36b-446c-a44f-1578d5ec165e)) (fp_line (start -22.4 3) (end -22.4 -3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0aa9fd18-d59a-41dd-ba34-5fe4999db3f7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f7175dfc-0485-4e99-9f8a-ac7432567522)) (fp_line (start -22.4 42) (end 20.8 42) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5551ac1f-399a-4121-813e-b0bcfcf8fd78)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp adf62bbe-f678-4b0d-937c-ec0ac2c50588)) (fp_line (start -22.4 48) (end -22.4 42) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 46c50f74-b324-42e1-a134-48924ed6808f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 42764a50-01dd-4ed6-8f9b-120d24d93215)) (fp_line (start 20.8 -3) (end 22.4 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d015ec4a-1386-4954-aa8e-720e3d9d540c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7d0771a4-015c-465e-8650-b267013dd034)) (fp_line (start 20.8 42) (end 22.4 43.7) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 974ce9e7-c6e0-42fc-9ab4-2ec54fe1ab88)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a96b0626-49e9-40e8-9f32-ca1d8538574d)) (fp_line (start 22.4 -1.3) (end 22.4 3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 39be7620-cc07-4333-910d-86b3d9dc9e87)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 34d2c61e-8838-4174-ab3d-4bcf8a88f7e8)) (fp_line (start 22.4 3) (end -22.4 3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d4b00e17-98e9-4e29-b039-36d31acb79fa)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a449e037-8983-4b92-aa02-df42ed05f64e)) (fp_line (start 22.4 43.7) (end 22.4 48) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 495f1c9c-a265-475b-b995-ebb678fd9ed6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7cf1592c-5ce3-4c94-bf7e-1bc48c38e501)) (fp_line (start 22.4 48) (end -22.4 48) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e5c7cceb-9869-4c5c-b3be-520e4d055cd0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cad6d011-ee7c-4b65-a874-31a556b3d29e)) (fp_line (start -22.4 -3) (end 20.8 -3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4517780d-1a39-4393-947b-4f059cb87bcc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 733ff8d7-079b-41da-81fc-264793e59010)) (fp_line (start -22.4 3) (end -22.4 -3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fe9f78fc-e4a0-4f1c-b65e-1cbecbcc105b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6831c4f3-7317-4248-9697-43dc291a551c)) (fp_line (start -22.4 42) (end 20.8 42) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e5c342a0-6203-480f-aa01-cfe49bc44304)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 16b3e324-deb7-4e61-a1e4-ee0cfc4ee39e)) (fp_line (start -22.4 48) (end -22.4 42) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a498449d-6cdb-42b9-b7bd-77904b725e19)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6217197-02b6-4f6b-9e4b-a5c10604eb23)) (fp_line (start 20.8 -3) (end 22.4 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07208644-8663-4865-aece-b65ad8131f0a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07399ea1-30e2-400e-a981-f07927c905bf)) (fp_line (start 20.8 42) (end 22.4 43.7) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 87d2b727-2555-4d77-bfab-a267733cca91)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d738cf5c-fe06-4e51-ad9d-9ea083e7be2c)) (fp_line (start 22.4 -1.3) (end 22.4 3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1fc0f1e4-a1be-4f90-913a-af781da06299)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dc47868d-95d5-41bb-9e94-7cf48df9ee0a)) (fp_line (start 22.4 3) (end -22.4 3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3e156fff-b048-4191-9555-28f62b00a0a1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 77be0fdb-4a8e-46bb-9324-2ce9f5cad9fa)) (fp_line (start 22.4 43.7) (end 22.4 48) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6f8398d7-bd3a-43d4-b3a9-205be52e8932)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 784fbe93-ea5b-41d8-88ae-61a6de6f5804)) (fp_line (start 22.4 48) (end -22.4 48) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ad6e01a-bc51-4fd5-8ab4-91e4ff8093cd)) - (pad "" np_thru_hole circle (at -20.65 -1.4 270) (size 1.4 1.4) (drill 1.4) (layers "F&B.Cu" "*.Mask") (tstamp 7b15f073-3dac-4eef-9044-c2ebbd6fe743)) - (pad "" np_thru_hole circle (at -20.65 43.6 270) (size 1.4 1.4) (drill 1.4) (layers "F&B.Cu" "*.Mask") (tstamp 2f4454c4-824f-439f-9639-c2d795db0b30)) - (pad "" np_thru_hole circle (at 20.65 -1.4 270) (size 1.4 1.4) (drill 1.4) (layers "F&B.Cu" "*.Mask") (tstamp 44f7ad19-b58a-4400-94e2-de2a5c71abc3)) - (pad "" np_thru_hole circle (at 20.65 43.6 270) (size 1.4 1.4) (drill 1.4) (layers "F&B.Cu" "*.Mask") (tstamp 26972f81-fa90-464a-89eb-713f25bce1f0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 67b69c3f-53f4-4680-b7be-9bef6453cbfc)) + (pad "" np_thru_hole circle (at -20.65 -1.4 270) (size 1.4 1.4) (drill 1.4) (layers "F&B.Cu" "*.Mask") (tstamp 7051b253-96f4-4951-b311-7408e9c02429)) + (pad "" np_thru_hole circle (at -20.65 43.6 270) (size 1.4 1.4) (drill 1.4) (layers "F&B.Cu" "*.Mask") (tstamp 42675c3b-9634-46e6-8204-c88140469baf)) + (pad "" np_thru_hole circle (at 20.65 -1.4 270) (size 1.4 1.4) (drill 1.4) (layers "F&B.Cu" "*.Mask") (tstamp 810eae71-efbf-4b5b-98b9-38f75426ab9b)) + (pad "" np_thru_hole circle (at 20.65 43.6 270) (size 1.4 1.4) (drill 1.4) (layers "F&B.Cu" "*.Mask") (tstamp 2f4eb94f-4f04-49b1-a874-6bbd330d4871)) (pad "1" smd rect (at 18.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 4d637d20-2e02-43ed-a19d-f95c667649c2)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 0baf8113-3982-41ac-8803-477cf7df2c3c)) (pad "2" smd rect (at 18.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp ac2ef53e-c0ef-48ab-b342-c3867ad8c8d0)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 6f0e90c8-632d-45c9-8f47-1e1516d4a2d6)) (pad "3" smd rect (at 18 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 94e6bf69-d219-43cc-be56-f87309247510)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 267a0a08-995e-409f-ae20-2c20e29c7705)) (pad "4" smd rect (at 18 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 51bf9d61-ccb3-40e2-8e76-9f4214c7fbed)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 286825fc-1658-4e80-bb0b-30f79bbb21b1)) (pad "5" smd rect (at 17.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 8a98d151-dbaa-477b-96bf-c1d918e0817f)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 3db55925-6265-4e42-a079-7a9a209c541d)) (pad "6" smd rect (at 17.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 03237f98-5391-4434-8441-bdbb8b0a1a3f)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 621f89a7-850f-4f42-a43a-23bb59f9893f)) (pad "7" smd rect (at 17 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp b74d4319-f807-46a0-be9a-24cce491a0e4)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 1481091f-6180-4c3c-b3cc-907bd6aabc2e)) (pad "8" smd rect (at 17 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp f0da8f79-fc5e-4a2c-b73a-46912e8fe3c3)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp bf4f373a-7f2c-4c31-9dd1-27bdb6fcf691)) (pad "9" smd rect (at 16.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 8e0aea94-34d0-4b59-8870-f7f832fd0259)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 24038984-7f75-4a4c-b280-d8298d84a52e)) (pad "10" smd rect (at 16.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 3ff6eea7-0fda-47c0-ba31-4c0f662877f2)) + (net 4 "+3V3") (pinfunction "+3V3") (pintype "power_in") (tstamp 9099d64d-64ce-42fe-9971-d5188610fd4a)) (pad "11" smd rect (at 16 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3dff8193-b0d4-44cb-bd56-38c83e1db9f2)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3d70eb48-2f53-4a42-b4f6-1eb4e741220a)) (pad "12" smd rect (at 16 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b64aa650-8875-4b61-81af-26a404928ba0)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp da50d5f9-4c08-46c9-8dc7-38479098617c)) (pad "13" smd rect (at 15.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c12b3857-56fd-4d6d-9729-9d515cf7ea58)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f0ccbe1e-5700-4a3c-a980-13ad299f56f0)) (pad "14" smd rect (at 15.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0348e26d-93ba-48fd-a69f-0988726487bd)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 97a011cd-1553-43f6-a60a-526e34bc780e)) (pad "15" smd rect (at 15 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 274 "unconnected-(U2-Pad15)") (pintype "free") (tstamp f1ab3983-bb15-4860-9598-300d1e5a63b9)) + (net 267 "unconnected-(U2-Pad15)") (pintype "free") (tstamp 81f0c9ad-43a7-46cb-b780-5a9ca762d6f8)) (pad "16" smd rect (at 15 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 275 "unconnected-(U2-Pad16)") (pintype "free") (tstamp ee74357c-ccea-48f4-9aa1-1b971f1a8144)) + (net 268 "unconnected-(U2-Pad16)") (pintype "free") (tstamp 46ecb539-37b5-4a61-9fbf-b8d16970b11e)) (pad "17" smd rect (at 14.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 125 "GPIO70") (pinfunction "GPIO70") (pintype "bidirectional") (tstamp 39f107e3-bab4-4189-a0dd-20aee6c57dde)) + (net 122 "GPIO70") (pinfunction "GPIO70") (pintype "bidirectional") (tstamp 4fe1822c-98d4-4225-8080-27071a03c5b8)) (pad "18" smd rect (at 14.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 12 "GPIO4") (pinfunction "GPIO4/EPWM3A") (pintype "input") (tstamp 2f8432ee-e3fd-4932-9780-66c3bd4e7297)) + (net 12 "GPIO4") (pinfunction "GPIO4/EPWM3A") (pintype "input") (tstamp e549f50b-15ed-4907-8c5f-39c8dd4f1e2b)) (pad "19" smd rect (at 14 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 79cd8065-c6a3-4d82-9e29-8f1375529cd2)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d454dbfa-d5f1-4fe9-a122-a32215184ee0)) (pad "20" smd rect (at 14 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp fb3b902f-8080-4214-8eab-4813b08c165a)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c878d69e-a8e6-41f1-b457-de4f4e18b779)) (pad "21" smd rect (at 13.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 10 "GPIO1") (pinfunction "GPIO1/EPWM1B") (pintype "input") (tstamp caf214fa-4d0a-4673-956f-e79f4aba9c04)) + (net 10 "GPIO1") (pinfunction "GPIO1/EPWM1B") (pintype "input") (tstamp 70b3edea-c95b-43d1-8c69-41f0aebdcf08)) (pad "22" smd rect (at 13.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 8 "GPIO0") (pinfunction "GPIO0/EPWM1A") (pintype "input") (tstamp acf9c05d-6f0d-47fc-a6b7-65d990e116ea)) + (net 8 "GPIO0") (pinfunction "GPIO0/EPWM1A") (pintype "input") (tstamp 000705e2-3932-4d3a-a50e-a0a1a06d8659)) (pad "23" smd rect (at 13 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 18 "GPIO12") (pinfunction "GPIO12/EPWM7A") (pintype "input") (tstamp bbb6c477-1789-4fcc-a4e4-3a87b8a3640d)) + (net 18 "GPIO12") (pinfunction "GPIO12/EPWM7A") (pintype "input") (tstamp e006a486-b7cf-4327-9d68-73d063847241)) (pad "24" smd rect (at 13 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 20 "GPIO13") (pinfunction "GPIO13/EPWM7B") (pintype "input") (tstamp 0906531f-3108-4b0d-9b9a-0620d740a28f)) + (net 20 "GPIO13") (pinfunction "GPIO13/EPWM7B") (pintype "input") (tstamp 2556954c-48c3-42a2-8086-b72b85da83d5)) (pad "25" smd rect (at 12.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e5b9dae9-7631-4e91-a2aa-260ca71d3e7e)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bc1f70f3-099e-43cb-981d-2a0635da0ee6)) (pad "26" smd rect (at 12.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8d3c9b78-69e4-445e-b7ca-265e408d1542)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5977657b-1ba2-4bd1-b44f-5345197a5996)) (pad "27" smd rect (at 12 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 26 "GPIO16") (pinfunction "GPIO16/EPWM9A") (pintype "input") (tstamp 2b843a63-cd66-4677-8f19-3cd0dabea982)) + (net 25 "GPIO16") (pinfunction "GPIO16/EPWM9A") (pintype "input") (tstamp f01bcb03-7520-4e7a-85f7-c86b67224d05)) (pad "28" smd rect (at 12 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 28 "GPIO17") (pinfunction "GPIO17/EPWM9B") (pintype "input") (tstamp c7b6846c-c89a-4514-84cd-04cb682cf1c4)) + (net 27 "GPIO17") (pinfunction "GPIO17/EPWM9B") (pintype "input") (tstamp 1f9d82d6-967b-4f21-945c-263b7219a3d8)) (pad "29" smd rect (at 11.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 32 "GPIO19") (pinfunction "GPIO19/EPWM10B") (pintype "input") (tstamp b5352e7a-0a8f-44e0-965d-183d9b253ba5)) + (net 31 "GPIO19") (pinfunction "GPIO19/EPWM10B") (pintype "input") (tstamp 1d3aef90-334c-4a81-a736-544f24ebf7d8)) (pad "30" smd rect (at 11.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 30 "GPIO18") (pinfunction "GPIO18/EPWM10A") (pintype "input") (tstamp 238b4df7-cef1-4f2c-9f9e-a3ca41c2e34d)) + (net 29 "GPIO18") (pinfunction "GPIO18/EPWM10A") (pintype "input") (tstamp f49a9002-b563-444a-a081-db297079bf4f)) (pad "31" smd rect (at 11 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9342f3d0-25ea-414e-aaf4-0da2bc5d4c85)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ea3b0d3c-35f4-49da-8a14-e54c6c85b540)) (pad "32" smd rect (at 11 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3599cdb9-6017-446d-a82b-67a6463e1b48)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 989f6647-1dc8-4c77-b437-8e2305dd3998)) (pad "33" smd rect (at 10.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 169 "GPIO100") (pinfunction "GPIO100") (pintype "bidirectional") (tstamp 099c54ab-9b6d-472b-b785-9ff18e2503ec)) + (net 166 "GPIO100") (pinfunction "GPIO100") (pintype "bidirectional") (tstamp 4934e4ed-7934-430a-b5fa-fc6db56a3249)) (pad "34" smd rect (at 10.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 171 "GPIO101") (pinfunction "GPIO101") (pintype "bidirectional") (tstamp 2d87d8ce-6544-44ef-be73-dddcf8e4c55c)) + (net 168 "GPIO101") (pinfunction "GPIO101") (pintype "bidirectional") (tstamp 95087fb0-15d4-4783-bafd-cc77f2db4e26)) (pad "35" smd rect (at 10 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 175 "GPIO103") (pinfunction "GPIO103") (pintype "bidirectional") (tstamp f83cadb8-b62b-4ea6-86a2-8433fe7f5d60)) + (net 172 "GPIO103") (pinfunction "GPIO103") (pintype "bidirectional") (tstamp 18b74654-dfb7-4281-b7f4-d261184339e4)) (pad "36" smd rect (at 10 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 173 "GPIO102") (pinfunction "GPIO102") (pintype "bidirectional") (tstamp 7a95009c-af70-4326-9667-b6d08f15f4a6)) + (net 170 "GPIO102") (pinfunction "GPIO102") (pintype "bidirectional") (tstamp 93d3a834-56ab-48f3-8045-7df98ad90b82)) (pad "37" smd rect (at 9.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b6d6b955-d220-4670-8ff8-3708d851b990)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8f380f87-944f-44df-a9ac-e8bfb7301d43)) (pad "38" smd rect (at 9.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1d11fa06-7986-4126-97bb-465f48482c05)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 552d2b9b-c315-494e-8e4b-cb4a43f6795c)) (pad "39" smd rect (at 9 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 42 "GPIO24") (pinfunction "GPIO24/EPWM13A") (pintype "input") (tstamp 8e2d0506-1fbd-4d02-afdf-cbd46c9f17e8)) + (net 39 "GPIO24") (pinfunction "GPIO24/EPWM13A") (pintype "input") (tstamp e448514a-232e-45be-a63b-831fbe264163)) (pad "40" smd rect (at 9 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 44 "GPIO25") (pinfunction "GPIO25/EPWM13B") (pintype "input") (tstamp 1948fe9a-ff4c-469f-b542-ea9eb14a6778)) + (net 41 "GPIO25") (pinfunction "GPIO25/EPWM13B") (pintype "input") (tstamp 735987f0-f718-4ed7-ac2d-6fa57391e5ef)) (pad "41" smd rect (at 8.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 48 "GPIO27") (pinfunction "GPIO27/EPWM14B") (pintype "input") (tstamp 6b23de24-81b7-42cb-b400-d89866999421)) + (net 45 "GPIO27") (pinfunction "GPIO27/EPWM14B") (pintype "input") (tstamp 2f9b0420-cf47-4e2b-bc62-878dccf7d36d)) (pad "42" smd rect (at 8.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 46 "GPIO26") (pinfunction "GPIO26/EPWM14A") (pintype "input") (tstamp bbef30cc-62d7-4130-985f-c16c11bde204)) + (net 43 "GPIO26") (pinfunction "GPIO26/EPWM14A") (pintype "input") (tstamp 1e1961ab-a573-4425-92d0-925363481301)) (pad "43" smd rect (at 8 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1f744920-dba1-446c-a113-f7cac06f5523)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bff797f7-fec0-48cc-b165-c0c07cf29201)) (pad "44" smd rect (at 8 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 964d56f8-8335-4e0d-9b82-a42088d1d2b2)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 15505e47-37c5-478e-95fa-1b3170d029b3)) (pad "45" smd rect (at 7.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 38 "GPIO22") (pinfunction "GPIO22/EPWM12A") (pintype "input") (tstamp fa4c2b0b-fd31-4fe8-88f3-484c59a7c01a)) + (net 35 "GPIO22") (pinfunction "GPIO22/EPWM12A") (pintype "input") (tstamp d75b9096-e707-4fe6-88ea-15e99ee2db31)) (pad "46" smd rect (at 7.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 40 "GPIO23") (pinfunction "GPIO23/EPWM12B") (pintype "input") (tstamp 4fd183d1-b704-48f7-bacf-9c7f85a7d4ef)) + (net 37 "GPIO23") (pinfunction "GPIO23/EPWM12B") (pintype "input") (tstamp 8b2bfb9f-4e6b-48a4-9fc6-044094939a5a)) (pad "47" smd rect (at 7 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 34 "GPIO20") (pinfunction "GPIO20/EPWM11A/nLATCHOUT1") (pintype "input") (tstamp a23bf7d5-fc85-429f-aeaa-edd5048cc3b5)) + (net 396 "GPIO20") (pinfunction "GPIO20/EPWM11A/nLATCHOUT1") (pintype "input") (tstamp 2c58c656-f7a6-43e1-9f80-5116c44c9ec9)) (pad "48" smd rect (at 7 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 24 "GPIO15") (pinfunction "GPIO15/EPWM8B/LATCHOUT1") (pintype "input") (tstamp de025216-9675-4504-951f-71da254cd5fd)) + (net 23 "GPIO15") (pinfunction "GPIO15/EPWM8B/LATCHOUT1") (pintype "input") (tstamp b33df3da-6ff0-43c9-aaa2-26802cafc90c)) (pad "49" smd rect (at 6.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 36 "GPIO21") (pinfunction "GPIO21/EPWM11B/nLATCHOUT2") (pintype "input") (tstamp 7270cb97-b1bc-4873-9316-ffb0fbe6d8ea)) + (net 398 "GPIO21") (pinfunction "GPIO21/EPWM11B/nLATCHOUT2") (pintype "input") (tstamp fd4cac95-96d4-4cee-ba55-0444ab4458ad)) (pad "50" smd rect (at 6.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 163 "GPIO95") (pinfunction "LATCHOUT2/GPIO95") (pintype "bidirectional") (tstamp a1b4ed6d-851b-422c-baec-256040e5830a)) + (net 160 "GPIO95") (pinfunction "LATCHOUT2/GPIO95") (pintype "bidirectional") (tstamp 0863c878-68a9-4d05-bb59-dbc6f306eaf2)) (pad "51" smd rect (at 6 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 177 "GPIO104") (pinfunction "nLATCHOUT3/GPIO104") (pintype "bidirectional") (tstamp 6c6e3789-1b2e-4dca-96e2-c7a8697baaf0)) + (net 401 "GPIO104") (pinfunction "nLATCHOUT3/GPIO104") (pintype "bidirectional") (tstamp b3bc9084-91df-4764-8032-a5f494da6d72)) (pad "52" smd rect (at 6 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 167 "GPIO99") (pinfunction "LATCHOUT3/GPIO99") (pintype "bidirectional") (tstamp e44da715-7f7c-44fd-8e51-b5939c8bb431)) + (net 164 "GPIO99") (pinfunction "LATCHOUT3/GPIO99") (pintype "bidirectional") (tstamp 4cca126e-2cc6-4f4c-a432-075a86356528)) (pad "53" smd rect (at 5.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3cf32c4d-6b17-45fa-905e-0b278bd721d5)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1e292042-ac67-47f9-bb11-e8d69d77da7d)) (pad "54" smd rect (at 5.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d9356560-8df8-47e0-aec9-f1f5831a0969)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6fa0e5b6-93c9-4ae0-9c96-ff5122625ae0)) (pad "55" smd rect (at 5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 22 "GPIO14") (pinfunction "GPIO14/EPWM8A/nLATCHOUT4") (pintype "input") (tstamp db0b5c73-8f27-4397-b1d3-d317561f1c46)) + (net 394 "GPIO14") (pinfunction "GPIO14/EPWM8A/nLATCHOUT4") (pintype "input") (tstamp 8c4f278a-9ed3-42a4-a9c7-f9de885044ef)) (pad "56" smd rect (at 5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 165 "GPIO96") (pinfunction "LATCHOUT4/GPIO96") (pintype "bidirectional") (tstamp 765324dd-23d6-4fa1-8b0a-3d3b451a8e60)) + (net 162 "GPIO96") (pinfunction "LATCHOUT4/GPIO96") (pintype "bidirectional") (tstamp f61575c8-1048-41a8-9650-d00c7fb5987f)) (pad "57" smd rect (at 4.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 58 "GPIO33") (pinfunction "GPIO33") (pintype "bidirectional") (tstamp 7a389967-d859-4e86-a07d-4fbed34f4d65)) + (net 55 "GPIO33") (pinfunction "GPIO33") (pintype "bidirectional") (tstamp 223d1519-8671-4f87-a435-441ffac7dd11)) (pad "58" smd rect (at 4.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 60 "GPIO34") (pinfunction "GPIO34") (pintype "bidirectional") (tstamp b3af2926-d124-4509-87ed-a8127c429e26)) + (net 57 "GPIO34") (pinfunction "GPIO34") (pintype "bidirectional") (tstamp aba58c1f-ec70-44da-b279-5adebfc26d2b)) (pad "59" smd rect (at 4 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c84add23-45f4-4a8c-bda7-457ff394d390)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d561a3f9-387c-4330-b218-686458fa0019)) (pad "60" smd rect (at 4 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8dfd4f3b-de28-49da-b25b-9d509fca84af)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 677455eb-e21d-4e53-93cf-e194ce4bbcd9)) (pad "61" smd rect (at 3.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 179 "GPIO105") (pinfunction "GPIO105") (pintype "bidirectional") (tstamp bbfd3694-b54a-4986-8228-17339e94eeea)) + (net 175 "GPIO105") (pinfunction "GPIO105") (pintype "bidirectional") (tstamp 8a4b627c-a3ca-468a-9532-3992dd57e0f4)) (pad "62" smd rect (at 3.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 131 "GPIO73") (pinfunction "GPIO73") (pintype "bidirectional") (tstamp eedecc00-094f-4e09-8129-19569266f36d)) + (net 128 "GPIO73") (pinfunction "GPIO73") (pintype "bidirectional") (tstamp d0e3a1ff-d3b6-42a9-9029-b7b827504197)) (pad "63" smd rect (at 3 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 185 "GPIO108") (pinfunction "GPIO108") (pintype "bidirectional") (tstamp 76ddabb7-1c4f-46c8-a87a-368dcaeb6ea4)) + (net 181 "GPIO108") (pinfunction "GPIO108") (pintype "bidirectional") (tstamp 07ef380d-2ce1-454e-bcea-f0ef76187426)) (pad "64" smd rect (at 3 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 83 "GPIO47") (pinfunction "GPIO47") (pintype "bidirectional") (tstamp 05b76cc5-47ae-495b-87b0-f9296f950d21)) + (net 80 "GPIO47") (pinfunction "GPIO47") (pintype "bidirectional") (tstamp 81f6b88b-124b-4473-8d0d-6dc800ef81cb)) (pad "65" smd rect (at 2.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bf2222aa-7237-4d91-b9a2-a5ac2e258857)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0bc50a1c-2298-4286-9b12-a40694f35752)) (pad "66" smd rect (at 2.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 731f07d2-58fb-47ab-8446-42a01e8e810e)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 240ca742-790c-42e2-8f96-e9805adba4b6)) (pad "67" smd rect (at 2 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 181 "GPIO106") (pinfunction "GPIO106") (pintype "bidirectional") (tstamp c037d3e2-e0e9-48f5-9fbb-c564792c599b)) + (net 177 "GPIO106") (pinfunction "GPIO106") (pintype "bidirectional") (tstamp 9527ea5f-5415-44f8-8ff1-9a57f26e0e84)) (pad "68" smd rect (at 2 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 183 "GPIO107") (pinfunction "GPIO107") (pintype "bidirectional") (tstamp 27cf368f-6b8d-4176-b6f0-724cad2e1bbf)) + (net 179 "GPIO107") (pinfunction "GPIO107") (pintype "bidirectional") (tstamp 13cc20ca-ec28-479a-a7da-c22a5f0d472c)) (pad "69" smd rect (at 1.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 189 "GPIO110") (pinfunction "GPIO110") (pintype "bidirectional") (tstamp 16821838-d8d9-4dd8-9c48-bff429315027)) + (net 185 "GPIO110") (pinfunction "GPIO110") (pintype "bidirectional") (tstamp 991e4da5-3ea2-419d-b851-050a5dd37e53)) (pad "70" smd rect (at 1.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 193 "GPIO112") (pinfunction "GPIO112") (pintype "bidirectional") (tstamp da405593-cf67-447f-93b8-60f6113daf72)) + (net 188 "GPIO112") (pinfunction "GPIO112") (pintype "bidirectional") (tstamp bee5e853-c967-465b-b24d-9737e1e92489)) (pad "71" smd rect (at 1 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e84c8c1e-06ee-412d-bb7e-b1c7372347c6)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f8bc06b8-92c2-4628-bb12-e7f72746ab2b)) (pad "72" smd rect (at 1 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 42ea198e-7bc0-485d-8cd6-a1144fd5b1f8)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 7eedb0f4-74e5-4473-8ed9-a13c0cb8d78b)) (pad "73" smd rect (at 0.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 187 "GPIO109") (pinfunction "GPIO109") (pintype "bidirectional") (tstamp afa642d3-328b-45ca-97c6-e0e76406a363)) + (net 183 "GPIO109") (pinfunction "GPIO109") (pintype "bidirectional") (tstamp abb5c2a2-36d2-4949-af56-7ed8bb8c35db)) (pad "74" smd rect (at 0.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 85 "GPIO48") (pinfunction "GPIO48") (pintype "bidirectional") (tstamp 89e64204-8722-4a73-bc07-b8b006dbc982)) + (net 82 "GPIO48") (pinfunction "GPIO48") (pintype "bidirectional") (tstamp 3947fc14-8b54-4d86-acf5-66c2bce31ba6)) (pad "75" smd rect (at 0 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 191 "GPIO111") (pinfunction "GPIO111") (pintype "bidirectional") (tstamp a525cff7-e857-4d38-8033-92a8a9934c47)) + (net 187 "GPIO111") (pinfunction "GPIO111") (pintype "bidirectional") (tstamp 0f643fe0-4c70-4795-9e10-66fd3419d67e)) (pad "76" smd rect (at 0 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 197 "GPIO114") (pinfunction "GPIO114") (pintype "bidirectional") (tstamp fb1be504-a977-4402-b095-a393704c4ab4)) + (net 192 "GPIO114") (pinfunction "GPIO114") (pintype "bidirectional") (tstamp 53ad16b0-ac80-4b4b-a61b-56a6ccee5b61)) (pad "77" smd rect (at -0.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3af7f9da-b456-4786-bd09-f43bf29f0417)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp afd1d0a1-07ce-4b61-9431-06adacf442e0)) (pad "78" smd rect (at -0.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 464434fa-b0d5-4082-928d-bda8945c0373)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f18f8b93-fe04-433f-836c-a46b824b38c9)) (pad "79" smd rect (at -1 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 195 "GPIO113") (pinfunction "GPIO113") (pintype "bidirectional") (tstamp a5f9b81a-e7ec-4a51-9878-8c19830410e0)) + (net 190 "GPIO113") (pinfunction "GPIO113") (pintype "bidirectional") (tstamp 10f9e9e9-2e32-4fb4-934c-bd49941ae17a)) (pad "80" smd rect (at -1 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 213 "GPIO122") (pinfunction "GPIO122") (pintype "bidirectional") (tstamp d7fbbaf0-2686-454d-bba1-552d029870b9)) + (net 206 "GPIO122") (pinfunction "GPIO122") (pintype "bidirectional") (tstamp 2670cc45-8986-48b5-b58c-50eafaa7010d)) (pad "81" smd rect (at -1.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 205 "GPIO118") (pinfunction "GPIO118") (pintype "bidirectional") (tstamp 2aed5183-3394-4bbb-a5d8-8f71b3ba9d29)) + (net 198 "GPIO118") (pinfunction "GPIO118") (pintype "bidirectional") (tstamp 54e91156-2644-4423-a8b7-5e95eeee594f)) (pad "82" smd rect (at -1.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 207 "GPIO119") (pinfunction "GPIO119") (pintype "bidirectional") (tstamp 1307f872-574c-448e-bb49-fe9886c32efa)) + (net 200 "GPIO119") (pinfunction "GPIO119") (pintype "bidirectional") (tstamp e6fca5b1-3a3a-4f5d-a4f0-3462ca022b5f)) (pad "83" smd rect (at -2 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8c779ba7-1105-4f24-9609-660d15a924be)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a157a187-59d6-4610-a2b6-a99a3e092f32)) (pad "84" smd rect (at -2 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4521cdcf-0815-419f-bee4-4fe9d35bb48e)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp dffe5519-70d7-4b13-8f07-cbc92951985d)) (pad "85" smd rect (at -2.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 215 "GPIO123") (pinfunction "GPIO123") (pintype "bidirectional") (tstamp c6d1649a-f81f-41cd-9f9c-c14efc64d41d)) + (net 208 "GPIO123") (pinfunction "GPIO123") (pintype "bidirectional") (tstamp 08f2c432-1446-4354-b259-c6b9eb8bf546)) (pad "86" smd rect (at -2.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 209 "GPIO120") (pinfunction "GPIO120") (pintype "bidirectional") (tstamp 5599840d-bbd9-4349-a6ec-4715027d43a7)) + (net 202 "GPIO120") (pinfunction "GPIO120") (pintype "bidirectional") (tstamp 8e47a3d0-2ede-41b9-968c-2467da642daa)) (pad "87" smd rect (at -3 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 199 "GPIO115") (pinfunction "GPIO115") (pintype "bidirectional") (tstamp 6492da00-fa0f-44c2-96dc-3a1dea91d596)) + (net 194 "GPIO115") (pinfunction "GPIO115") (pintype "bidirectional") (tstamp fb3448e0-1db2-4bf2-8720-8ee84052031b)) (pad "88" smd rect (at -3 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 203 "GPIO117") (pinfunction "GPIO117") (pintype "bidirectional") (tstamp 5f65076d-876c-4645-8766-329b05b20f9f)) + (net 197 "GPIO117") (pinfunction "GPIO117") (pintype "bidirectional") (tstamp 122bc721-53b1-452a-8d23-1556bf4a9942)) (pad "89" smd rect (at -3.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 217 "GPIO124") (pinfunction "GPIO124") (pintype "bidirectional") (tstamp a341e768-4c2d-48e6-90c7-086e0ae3da4a)) + (net 210 "GPIO124") (pinfunction "GPIO124") (pintype "bidirectional") (tstamp 2f889527-c802-4c1a-ab92-60dc62584920)) (pad "90" smd rect (at -3.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 211 "GPIO121") (pinfunction "GPIO121") (pintype "bidirectional") (tstamp 481ca99a-1a4b-4358-90e6-e7bf4eb0d438)) + (net 204 "GPIO121") (pinfunction "GPIO121") (pintype "bidirectional") (tstamp 84410a22-bc3f-46bb-a44a-740ce888a9dc)) (pad "91" smd rect (at -4 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 276 "unconnected-(U2A-25MHz_CLK_Q4-Pad91)") (pinfunction "25MHz_CLK_Q4") (pintype "bidirectional+no_connect") (tstamp a733f037-e4de-4766-9f75-dcd45b680639)) + (net 269 "unconnected-(U2A-25MHz_CLK_Q4-Pad91)") (pinfunction "25MHz_CLK_Q4") (pintype "bidirectional+no_connect") (tstamp 3a263b15-0fe3-4832-a168-4e5475982782)) (pad "92" smd rect (at -4 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 201 "GPIO116") (pinfunction "GPIO116") (pintype "bidirectional") (tstamp b2914c78-1ff9-4d8b-a50d-f7c02a494ee9)) + (net 196 "GPIO116") (pinfunction "GPIO116") (pintype "bidirectional") (tstamp 6cc63407-9f8e-4d09-b600-b45d61036965)) (pad "93" smd rect (at -4.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 621feb66-e7b2-49ea-acb1-fb8392d1519f)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 884d62a4-6946-4db3-91c6-ea397f0a3c7e)) (pad "94" smd rect (at -4.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 352ea5f1-59f3-46d5-85b2-1dc2300f6b81)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8525c393-d0bb-43c4-8542-1933394d39d8)) (pad "95" smd rect (at -5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 277 "unconnected-(U2-Pad95)") (pintype "free") (tstamp e6c50964-50f3-470c-99ee-6590ea56c765)) + (net 270 "unconnected-(U2-Pad95)") (pintype "free") (tstamp 70615f19-5429-4084-afb8-bead906653c5)) (pad "96" smd rect (at -5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 278 "unconnected-(U2-Pad96)") (pintype "free") (tstamp 01585b78-5134-4aed-8e45-1d6021d49c4c)) + (net 271 "unconnected-(U2-Pad96)") (pintype "free") (tstamp deb556aa-e32d-4bb4-ab52-ddcf23bb78e9)) (pad "97" smd rect (at -5.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 279 "unconnected-(U2-Pad97)") (pintype "free") (tstamp 425b8ff6-7906-4c8e-ba3a-6008da0bf428)) + (net 272 "unconnected-(U2-Pad97)") (pintype "free") (tstamp 5a5a1be8-3fc9-4098-8184-0733990a116b)) (pad "98" smd rect (at -5.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 280 "unconnected-(U2-Pad98)") (pintype "free") (tstamp f3a4fb4e-94e2-4e13-aff2-ec892355e217)) + (net 273 "unconnected-(U2-Pad98)") (pintype "free") (tstamp 5dcd14b3-75d2-4c2a-88b5-8a389dbe14d4)) (pad "99" smd rect (at -6 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a4d63bfa-454b-4d80-8063-dbf460c9bdc9)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 78f71a30-74cf-4c0f-81d7-8e1e8e010c6d)) (pad "100" smd rect (at -6 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4e571e0d-d475-4723-be9c-02c09e06b486)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 7c9a9d6c-44b8-40ee-bdf4-f5db2e2326c3)) (pad "101" smd rect (at -6.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 281 "unconnected-(U2-Pad101)") (pintype "free") (tstamp ccc95d6e-f9f6-4cf8-be45-652c31967caf)) + (net 274 "unconnected-(U2-Pad101)") (pintype "free") (tstamp 8b4f8391-1431-4861-b311-42f9f1c3c8f9)) (pad "102" smd rect (at -6.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 282 "unconnected-(U2-Pad102)") (pintype "free") (tstamp 0cde82b7-91f3-4b74-b933-9f409ccc24c6)) + (net 275 "unconnected-(U2-Pad102)") (pintype "free") (tstamp 39fe48fc-cf71-4352-9fa9-dc5fa4144486)) (pad "103" smd rect (at -7 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b6681225-e7f4-4952-9770-e88e9abd398a)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp cabdf461-b6c2-44ef-b89c-347d3a35b884)) (pad "104" smd rect (at -7 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3d4401b6-e537-41b7-90b6-6d368008987d)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 045c4730-d386-40e0-b2c3-575913bb05e3)) (pad "105" smd rect (at -7.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e6c7d8b9-6d3c-403a-8d19-7c61b1a7070e)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3eb13170-1d59-4233-b997-d66a5e4e02d4)) (pad "106" smd rect (at -7.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4cc3acea-09cc-40d0-bf37-d26546da03f0)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 346fc0ec-e610-4c7b-9224-1f8a4d3f8c8c)) (pad "107" smd rect (at -8 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 283 "unconnected-(U2-Pad107)") (pintype "no_connect") (tstamp 41a8253d-7fdd-459e-8802-25cbff47732a)) + (net 276 "unconnected-(U2-Pad107)") (pintype "no_connect") (tstamp 98c14886-1093-4c9b-b4fd-83b961801cb0)) (pad "108" smd rect (at -8 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 284 "unconnected-(U2-Pad108)") (pintype "no_connect") (tstamp 36f775e2-0ab0-464a-8a2d-4336996f6988)) + (net 277 "unconnected-(U2-Pad108)") (pintype "no_connect") (tstamp 6d47c0b7-7975-4cae-a8ac-7356b4e0b371)) (pad "109" smd rect (at -8.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 285 "unconnected-(U2-Pad109)") (pintype "no_connect") (tstamp e576d485-0939-4d8a-a557-df318a78e7c4)) + (net 278 "unconnected-(U2-Pad109)") (pintype "no_connect") (tstamp df99bde7-0aed-45b4-a814-43d0bf18f088)) (pad "110" smd rect (at -8.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 286 "unconnected-(U2-Pad110)") (pintype "no_connect") (tstamp 0275fc6e-4e6d-466d-b2b2-18ed8270cbc2)) + (net 279 "unconnected-(U2-Pad110)") (pintype "no_connect") (tstamp 6d54a7f3-0129-45bc-bb17-c58f38b13418)) (pad "111" smd rect (at -9 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 287 "unconnected-(U2-Pad111)") (pintype "no_connect") (tstamp 853ac338-8ec1-4d0b-ae9b-22d9da38a8b7)) + (net 280 "unconnected-(U2-Pad111)") (pintype "no_connect") (tstamp afe25264-69a5-49c5-8d99-abc6951edf09)) (pad "112" smd rect (at -9 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 288 "unconnected-(U2-Pad112)") (pintype "no_connect") (tstamp 2adeb581-8b80-45ea-9820-0bd8d7ec1d74)) + (net 281 "unconnected-(U2-Pad112)") (pintype "no_connect") (tstamp 27672986-4587-4419-9eab-7197fbedf8ef)) (pad "113" smd rect (at -9.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp a717a34a-6f6e-4e61-9511-a91534f3df39)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 37dabe45-4f46-46a4-bdee-aa838d0f3f91)) (pad "114" smd rect (at -9.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 9c2776f9-a469-4089-990f-b8ebd41403b4)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp b42c2633-3777-492c-81ec-2924cc9ccd39)) (pad "115" smd rect (at -10 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 289 "ADCIN14") (pinfunction "ADCIN15/CMPIN4N") (pintype "input") (tstamp a8e022ab-8340-48b0-b99b-f7a770b60170)) + (net 283 "ADCIN15") (pinfunction "ADCIN15/CMPIN4N") (pintype "input") (tstamp 8dfae280-d58c-45c7-818e-911d08cc2b64)) (pad "116" smd rect (at -10 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 391 "Net-(U2A-ADCINA1{slash}DACOUTB{slash}internal_50k_pull_down)") (pinfunction "ADCINA1/DACOUTB/internal_50k_pull_down") (pintype "input") (tstamp 5d9acfff-727c-4f32-b6e2-0812d8558aec)) + (net 384 "DAC_B") (pinfunction "ADCINA1/DACOUTB/internal_50k_pull_down") (pintype "input") (tstamp 7bf124b0-ef96-413f-8337-92b80fb61862)) (pad "117" smd rect (at -10.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 290 "ADCIN15") (pinfunction "ADCIN14/CMPIN4P") (pintype "input") (tstamp e554ef4d-1f57-423c-8f21-79eadf06cf02)) + (net 282 "ADCIN14") (pinfunction "ADCIN14/CMPIN4P") (pintype "input") (tstamp 6204bd3e-6bbc-437b-a9f8-8a77fcce8767)) (pad "118" smd rect (at -10.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 393 "Net-(U2A-ADCINA0{slash}DACOUTA{slash}internal_50k_pull_down)") (pinfunction "ADCINA0/DACOUTA/internal_50k_pull_down") (pintype "input") (tstamp ba1b4a6e-1942-46a4-9f55-cddaa15c6192)) + (net 386 "DAC_A") (pinfunction "ADCINA0/DACOUTA/internal_50k_pull_down") (pintype "input") (tstamp db7e6c30-362a-43be-b2b0-e4c8e7a5c762)) (pad "119" smd rect (at -11 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp cf5a078e-5044-42a5-951b-364932e781b8)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 36b28fc1-da3d-452d-b9b0-f0054e760def)) (pad "120" smd rect (at -11 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp bfad7f22-d054-4ef0-a1b4-f5b1b6791c9f)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 2d1e402d-eab9-4458-9451-d82b15b4e144)) (pad "121" smd rect (at -11.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 291 "ADCINA3") (pinfunction "ADCINA3/CMPIN1N") (pintype "input") (tstamp 168d5d9e-d29b-4229-8905-c3bc8dc1439f)) + (net 284 "ADCINA3") (pinfunction "ADCINA3/CMPIN1N") (pintype "input") (tstamp 56139306-dea6-45fc-989c-5670eeaa865d)) (pad "122" smd rect (at -11.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 292 "ADCINA5") (pinfunction "ADCINA5/CMPIN2N") (pintype "input") (tstamp 4363cdfe-3177-4883-b67e-8443c254a67c)) + (net 285 "ADCINA5") (pinfunction "ADCINA5/CMPIN2N") (pintype "input") (tstamp 5f4f61f1-11af-4b40-8fbd-a17b194b1dbc)) (pad "123" smd rect (at -12 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 293 "ADCINA2") (pinfunction "ADCINA2/CMPIN1P/LATCHIN3") (pintype "input") (tstamp ea16c424-5e3b-4590-823d-21f0577dd749)) + (net 286 "ADCINA2") (pinfunction "ADCINA2/CMPIN1P/LATCHIN3") (pintype "input") (tstamp 9c70ac77-89d6-4538-8658-2ec36dec2406)) (pad "124" smd rect (at -12 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 294 "ADCINA4") (pinfunction "ADCINA4/CMPIN2P") (pintype "input") (tstamp 4056af3e-37b7-41bd-8b60-4ad482432473)) + (net 287 "ADCINA4") (pinfunction "ADCINA4/CMPIN2P") (pintype "input") (tstamp a114e5c1-01e4-46c5-999c-f0f9ead932b9)) (pad "125" smd rect (at -12.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 399d6c1c-f2f1-47cf-82d7-58fd4d415e10)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp a8e1d02c-50c0-49af-a13c-2123bd5487d2)) (pad "126" smd rect (at -12.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 82030193-9941-4938-8f17-68c13fd69895)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 7f24b1ea-3e1f-45d9-8047-991770e5318c)) (pad "127" smd rect (at -13 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 390 "Net-(U2A-ADCINB1{slash}DACOUTC{slash}internal_50k_pull_down)") (pinfunction "ADCINB1/DACOUTC/internal_50k_pull_down") (pintype "input") (tstamp 87d657a4-0a5e-461a-b73e-a3f6389845a2)) + (net 383 "DAC_C") (pinfunction "ADCINB1/DACOUTC/internal_50k_pull_down") (pintype "input") (tstamp fc2b8215-b973-434e-bf2f-1faf47cccd4f)) (pad "128" smd rect (at -13 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 295 "ADCINB3") (pinfunction "ADCINB3/CMPIN3N") (pintype "input") (tstamp 28aebb50-0b9f-4ddd-9827-90bbdd3c23c4)) + (net 288 "ADCINB3") (pinfunction "ADCINB3/CMPIN3N") (pintype "input") (tstamp 73974d2a-1757-4820-bfcd-c4a419a9f73d)) (pad "129" smd rect (at -13.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 296 "ADCINB0") (pinfunction "ADCINB0/VDAC/internal_100pF_to_Vss") (pintype "input") (tstamp 270db3c2-9ef7-44c5-b4c0-6c3ed4c18e7e)) + (net 289 "ADCINB0") (pinfunction "ADCINB0/VDAC/internal_100pF_to_Vss") (pintype "input") (tstamp a335250f-96ca-40e8-bd78-e64abfba9931)) (pad "130" smd rect (at -13.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 297 "ADCINB2") (pinfunction "ADCINB2/CMPIN3P/LATCHIN1") (pintype "input") (tstamp db1ab86c-bbbe-4006-ad00-98aa42999d76)) + (net 290 "ADCINB2") (pinfunction "ADCINB2/CMPIN3P/LATCHIN1") (pintype "input") (tstamp f249a03b-53cc-4375-b0de-ef2f8dff187b)) (pad "131" smd rect (at -14 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp d7d88f87-dce7-4bc6-ba8d-96fc2c0ffabc)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 4f40abb9-79f3-4ecd-8fc0-86e7344a776b)) (pad "132" smd rect (at -14 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 96cb2193-49cc-4af3-83a5-0631d865b72c)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 9cf37b26-bead-497d-82f5-7ccd4af61867)) (pad "133" smd rect (at -14.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 298 "ADCINB5") (pinfunction "ADCINB5") (pintype "input") (tstamp f343f7a0-180c-4cea-901b-c015185c1d08)) + (net 291 "ADCINB5") (pinfunction "ADCINB5") (pintype "input") (tstamp a4baaa8b-b59f-451d-bd32-ae1e1c7e34fd)) (pad "134" smd rect (at -14.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 299 "ADCINC3") (pinfunction "ADCINC3/CMPIN6N") (pintype "input") (tstamp 375021d6-558c-4186-8c23-3f5b66d3e327)) + (net 292 "ADCINC3") (pinfunction "ADCINC3/CMPIN6N") (pintype "input") (tstamp 107c5fa4-eb40-4214-9367-422c5b8d2fac)) (pad "135" smd rect (at -15 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 300 "ADCINB4") (pinfunction "ADCINB4") (pintype "input") (tstamp fefe17a9-e01c-479a-afa1-be4a62d0785e)) + (net 293 "ADCINB4") (pinfunction "ADCINB4") (pintype "input") (tstamp 55df05d7-6414-4a3d-9a89-9dc935404a49)) (pad "136" smd rect (at -15 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 301 "ADCINC2") (pinfunction "ADCINC2/CMPIN6P") (pintype "input") (tstamp c795dcfb-cb9b-471b-a646-f2930b4fbc64)) + (net 294 "ADCINC2") (pinfunction "ADCINC2/CMPIN6P") (pintype "input") (tstamp efb27044-988d-4260-9ea2-6cf533aa376a)) (pad "137" smd rect (at -15.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 8fcafbde-92d8-422b-b81a-93ae9de38cb4)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp f5dd6266-de9b-4a64-b6d4-a0c56bf83714)) (pad "138" smd rect (at -15.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp aba10646-5eea-46ea-8295-067b9c4efdae)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 23300373-c27b-405c-8c17-8f0faa4780d4)) (pad "139" smd rect (at -16 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 302 "ADCINC5") (pinfunction "ADCINC5/CMPIN5N") (pintype "input") (tstamp 9f20124e-b097-489e-842d-c0dc0ae7e44f)) + (net 295 "ADCINC5") (pinfunction "ADCINC5/CMPIN5N") (pintype "input") (tstamp a42cbdb9-2693-4f03-a5be-6fc1a85019d6)) (pad "140" smd rect (at -16 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 303 "ADCIND1") (pinfunction "ADCIND1/CMPIN7N") (pintype "input") (tstamp 288ec640-0d0c-4f88-b11b-7956647be23e)) + (net 296 "ADCIND1") (pinfunction "ADCIND1/CMPIN7N") (pintype "input") (tstamp 3117617f-91bd-4bc5-9c0a-680a7cc23174)) (pad "141" smd rect (at -16.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 304 "ADCINC4") (pinfunction "ADCINC4/CMPIN5P/LATCHIN4") (pintype "input") (tstamp e44243b5-9657-4a34-b853-eb27d9ce60fd)) + (net 297 "ADCINC4") (pinfunction "ADCINC4/CMPIN5P/LATCHIN4") (pintype "input") (tstamp fa083d1c-5035-4a27-ba46-123caa6b0513)) (pad "142" smd rect (at -16.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 305 "ADCIND0") (pinfunction "ADCIND0/CMPIN7P/LATCHIN2") (pintype "input") (tstamp e308ffab-58de-44fb-8014-94f33e22126e)) + (net 298 "ADCIND0") (pinfunction "ADCIND0/CMPIN7P/LATCHIN2") (pintype "input") (tstamp 9068237a-bf8e-4b7c-9da6-e035408dae92)) (pad "143" smd rect (at -17 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp e300c5e2-30d6-429f-b1ec-20e8e13b472d)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 8eabeb46-e891-470e-8f67-9a8aad8eb458)) (pad "144" smd rect (at -17 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp f5455464-8541-4d40-af67-886f04dbbd08)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 5d0d8e83-4420-4d88-b542-fcd59b2ff432)) (pad "145" smd rect (at -17.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 306 "ADCIND3") (pinfunction "ADCIND3/CMPIN8N") (pintype "input") (tstamp 95d96ab9-3fec-4776-bfc1-17389bf68b94)) + (net 299 "ADCIND3") (pinfunction "ADCIND3/CMPIN8N") (pintype "input") (tstamp a32c8d5e-3af7-492f-bb0d-aae2e5d4bfdf)) (pad "146" smd rect (at -17.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 307 "ADCIND5") (pinfunction "ADCIND5") (pintype "input") (tstamp 92c148e2-3887-4f3d-b4ae-93a4fb87f325)) + (net 300 "ADCIND5") (pinfunction "ADCIND5") (pintype "input") (tstamp 69ce44cb-beb3-453d-8218-e6d6445cacb2)) (pad "147" smd rect (at -18 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 308 "ADCIND2") (pinfunction "ADCIND2/CMPIN8P") (pintype "input") (tstamp 1dc18095-66be-49c7-b988-a1af52a1f1b1)) + (net 301 "ADCIND2") (pinfunction "ADCIND2/CMPIN8P") (pintype "input") (tstamp 6e5f8c82-a311-467e-9c51-416c3ebe5f3b)) (pad "148" smd rect (at -18 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 309 "ADCIND4") (pinfunction "ADCIND4") (pintype "input") (tstamp 73abf45a-1ada-42e4-833c-ec0a85e58310)) + (net 302 "ADCIND4") (pinfunction "ADCIND4") (pintype "input") (tstamp fbfa06d9-1bfc-4222-be7c-ee0465a6f7e6)) (pad "149" smd rect (at -18.5 -1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp f0fbed87-8703-4fe2-a5ce-9918da5b9a3f)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 0791b0a4-2b8c-4700-9004-6980325ab99c)) (pad "150" smd rect (at -18.5 1.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 98a31e4d-9238-4963-b546-fdf235ffeebf)) + (net 2 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 715c6a23-9b5f-4951-917f-5e7158c0ee52)) (pad "151" smd rect (at 18.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp abac04b7-9d4b-463f-93c1-b8d7242d6d29)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 87d79da2-4422-4c79-9360-acb03163b71f)) (pad "152" smd rect (at 18.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f618c30d-f613-4c53-b32c-98c5260ac824)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f08f3199-82b0-40b1-8905-cba0630abd1e)) (pad "153" smd rect (at 18 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 237 "GPIO145") (pinfunction "GPIO145/EPWM1A") (pintype "bidirectional") (tstamp 390144f1-824d-4e9c-9b2b-fc0baef46ec4)) + (net 230 "GPIO145") (pinfunction "GPIO145/EPWM1A") (pintype "bidirectional") (tstamp a8eb478c-2ec6-4189-97f2-e8fbb4228acd)) (pad "154" smd rect (at 18 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 246 "GPIO146") (pinfunction "GPIO146/EPWM1B") (pintype "bidirectional") (tstamp 626779e9-a32b-4a70-a59b-24d2e5e2db46)) + (net 239 "GPIO146") (pinfunction "GPIO146/EPWM1B") (pintype "bidirectional") (tstamp c1869d0e-8f8b-4fb5-81ca-3f15cd2fa4ab)) (pad "155" smd rect (at 17.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 247 "GPIO147") (pinfunction "GPIO147/EPWM2A") (pintype "bidirectional") (tstamp 89be03c2-3143-466a-9853-456e37b68aa6)) + (net 240 "GPIO147") (pinfunction "GPIO147/EPWM2A") (pintype "bidirectional") (tstamp 43ade944-5f13-4c69-a6df-a3b08de16bc4)) (pad "156" smd rect (at 17.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 248 "GPIO148") (pinfunction "GPIO148/EPWM2B") (pintype "bidirectional") (tstamp 86156f38-af91-4e61-bf06-f8627dbb7639)) + (net 241 "GPIO148") (pinfunction "GPIO148/EPWM2B") (pintype "bidirectional") (tstamp 78add037-621b-45e9-9360-b82b47d2802d)) (pad "157" smd rect (at 17 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5f46628c-9fb9-4e80-a6b6-bd3d1f05338e)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bacc34bf-f262-48e8-bab9-aaabea589177)) (pad "158" smd rect (at 17 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 07ccf09b-d58e-4c47-80db-2a011dda1478)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d91d669f-50c8-4acf-a505-c3c12236495d)) (pad "159" smd rect (at 16.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 263 "GPIO149") (pinfunction "GPIO149/EPWM3A") (pintype "bidirectional") (tstamp c757ad46-8029-49be-b787-84eb45e1b17a)) + (net 256 "GPIO149") (pinfunction "GPIO149/EPWM3A") (pintype "bidirectional") (tstamp 2df54e07-eca0-49cf-a6bf-302730a77950)) (pad "160" smd rect (at 16.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 264 "GPIO150") (pinfunction "GPIO150/EPWM3B") (pintype "bidirectional") (tstamp e70da5b1-3ee0-4f23-ac56-36be034d2128)) + (net 257 "GPIO150") (pinfunction "GPIO150/EPWM3B") (pintype "bidirectional") (tstamp 71070edc-1ea3-41d8-a692-6c642861060a)) (pad "161" smd rect (at 16 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 238 "GPIO151") (pinfunction "GPIO151/EPWM4A") (pintype "bidirectional") (tstamp 5c0e5c6a-431f-4ee5-8d9c-5e20a8c4fdff)) + (net 231 "GPIO151") (pinfunction "GPIO151/EPWM4A") (pintype "bidirectional") (tstamp 2107050c-d777-4a96-a04c-07a96fe35281)) (pad "162" smd rect (at 16 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 239 "GPIO152") (pinfunction "GPIO152/EPWM4B") (pintype "bidirectional") (tstamp 5c4b40bc-5dcc-4809-be32-84c0ab33fed9)) + (net 232 "GPIO152") (pinfunction "GPIO152/EPWM4B") (pintype "bidirectional") (tstamp 814de008-cbdc-419c-a5bd-9ac6c5578e81)) (pad "163" smd rect (at 15.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5dbed823-5f3e-4fe7-b297-48528e503e44)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e0c8a732-de99-4519-87c4-7187b23787ee)) (pad "164" smd rect (at 15.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d476cb1c-c333-4517-b106-c2d0724e28b9)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4368f563-dbcd-40c5-8cd9-3d27eff4c53b)) (pad "165" smd rect (at 15 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 14 "GPIO8") (pinfunction "GPIO8/EPWM5A") (pintype "input") (tstamp ef7a5ebb-e3ad-4f9e-939a-81af36983710)) + (net 14 "GPIO8") (pinfunction "GPIO8/EPWM5A") (pintype "input") (tstamp 899c8a61-3b06-433b-96a8-553ef5dedd8b)) (pad "166" smd rect (at 15 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 16 "GPIO9") (pinfunction "GPIO9/EPWM5B") (pintype "input") (tstamp 33e37024-9e40-41a8-ae38-a7c9352fbcb1)) + (net 16 "GPIO9") (pinfunction "GPIO9/EPWM5B") (pintype "input") (tstamp b42102d4-ced8-40e8-bb98-923f01df1b9d)) (pad "167" smd rect (at 14.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 242 "GPIO155") (pinfunction "GPIO155/EPWM6A") (pintype "bidirectional") (tstamp f3f46e67-f17b-47a5-bc4f-34b98dd1f86b)) + (net 235 "GPIO155") (pinfunction "GPIO155/EPWM6A") (pintype "bidirectional") (tstamp 3a4808be-7a2e-442d-973d-731cdc54c347)) (pad "168" smd rect (at 14.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 243 "GPIO156") (pinfunction "GPIO156/EPWM6B") (pintype "bidirectional") (tstamp 7223b39d-44dd-49cf-8e01-91561cd87262)) + (net 236 "GPIO156") (pinfunction "GPIO156/EPWM6B") (pintype "bidirectional") (tstamp 04610240-1df9-4fa9-998a-ffd6e45b9934)) (pad "169" smd rect (at 14 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 079f00bc-9ee4-4340-ab2d-068295659ed7)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c9e1976c-8409-43ef-a81a-e915ad50721b)) (pad "170" smd rect (at 14 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 654836a3-a2ab-4e62-bc19-da9539869dde)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 2bc02541-118f-4f96-adc8-ce0663969c1c)) (pad "171" smd rect (at 13.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 244 "GPIO157") (pinfunction "GPIO157/EPWM7A") (pintype "bidirectional") (tstamp def07db3-bef3-412b-8363-f4906fe0dd49)) + (net 237 "GPIO157") (pinfunction "GPIO157/EPWM7A") (pintype "bidirectional") (tstamp 8c9a9546-d405-4bb8-8866-dfaf1ad8cf38)) (pad "172" smd rect (at 13.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 245 "GPIO158") (pinfunction "GPIO158/EPWM7B") (pintype "bidirectional") (tstamp 1bbc225e-dd4c-4fa5-aa7f-16f6a204c2e7)) + (net 238 "GPIO158") (pinfunction "GPIO158/EPWM7B") (pintype "bidirectional") (tstamp e3b2de94-21a5-4316-b20d-4ac9a6ad8ef0)) (pad "173" smd rect (at 13 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 262 "GPIO159") (pinfunction "GPIO159/EWPM8A") (pintype "bidirectional") (tstamp 79945e79-ca4c-4fb2-a2ab-9003ac9bab79)) + (net 255 "GPIO159") (pinfunction "GPIO159/EWPM8A") (pintype "bidirectional") (tstamp c0937e40-61b8-49c7-ba8b-bf3b57dd59dc)) (pad "174" smd rect (at 13 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 265 "GPIO160") (pinfunction "GPIO160/EPWM8B") (pintype "bidirectional") (tstamp e5fc9a80-5063-4b05-8faa-6bd0e1963137)) + (net 258 "GPIO160") (pinfunction "GPIO160/EPWM8B") (pintype "bidirectional") (tstamp 5a9ccf00-f7d0-431a-a781-dcc247cddb48)) (pad "175" smd rect (at 12.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d813fe75-77a5-45b4-acbb-d444498a056e)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 827cb184-2411-4fd9-b344-bef71d13fc5b)) (pad "176" smd rect (at 12.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9a83dc64-99fb-4a56-87bd-3d5340c21ec2)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a13f1530-9144-400b-b129-916a85bd74f3)) (pad "177" smd rect (at 12 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 266 "GPIO161") (pinfunction "GPIO161/EPWM9A") (pintype "bidirectional") (tstamp 74b73aa6-2fe7-42df-9f65-617ead2b2d58)) + (net 259 "GPIO161") (pinfunction "GPIO161/EPWM9A") (pintype "bidirectional") (tstamp 6176028c-d98d-4566-a304-01eff23524b7)) (pad "178" smd rect (at 12 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 267 "GPIO162") (pinfunction "GPIO162/EPWM9B") (pintype "bidirectional") (tstamp faffdbfe-6553-4bc6-ace8-ef1ac4444574)) + (net 260 "GPIO162") (pinfunction "GPIO162/EPWM9B") (pintype "bidirectional") (tstamp f0f72e16-2241-40db-be0f-602987dfb468)) (pad "179" smd rect (at 11.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 268 "GPIO163") (pinfunction "GPIO163/EPWM10A") (pintype "bidirectional") (tstamp d2b55cf8-38b5-4e53-b0e6-8bc3a901577a)) + (net 261 "GPIO163") (pinfunction "GPIO163/EPWM10A") (pintype "bidirectional") (tstamp b0639319-ad1d-4a40-9511-514bcab7f6e0)) (pad "180" smd rect (at 11.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 269 "GPIO164") (pinfunction "GPIO164/EPWM10B") (pintype "bidirectional") (tstamp 33f81678-f654-4660-a1e4-5409ad8d1910)) + (net 262 "GPIO164") (pinfunction "GPIO164/EPWM10B") (pintype "bidirectional") (tstamp cfc6ce4e-a8a0-4edd-a59b-e9351395cab4)) (pad "181" smd rect (at 11 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ead20681-4474-4335-b355-b30cc73dc1f9)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4bcd6309-6882-4329-ad19-679fe2eedf17)) (pad "182" smd rect (at 11 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c5cbb910-cad5-44b9-8102-c035d9b48047)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp dce526b5-df90-4a4c-ad84-d82977f5969a)) (pad "183" smd rect (at 10.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 270 "GPIO165") (pinfunction "GPIO165") (pintype "bidirectional") (tstamp 77ef2e04-4498-43b1-b7ec-7d67f2e94ee4)) + (net 263 "GPIO165") (pinfunction "GPIO165") (pintype "bidirectional") (tstamp fb163471-7582-457b-acec-0d8f6eb49358)) (pad "184" smd rect (at 10.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 271 "GPIO166") (pinfunction "GPIO166") (pintype "bidirectional") (tstamp 2dec7abc-9f07-4a69-8f54-32fd6d28f47c)) + (net 264 "GPIO166") (pinfunction "GPIO166") (pintype "bidirectional") (tstamp 9d93a97c-5a27-417e-a1f6-c5ff4f27f1f0)) (pad "185" smd rect (at 10 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 272 "GPIO167") (pinfunction "GPIO167") (pintype "bidirectional") (tstamp 7e7978fc-d61b-48bf-9776-86f5f3e401f8)) + (net 265 "GPIO167") (pinfunction "GPIO167") (pintype "bidirectional") (tstamp 6f6172f4-71a2-4aa2-8eca-bdce7cb4dc39)) (pad "186" smd rect (at 10 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 273 "GPIO168") (pinfunction "GPIO168") (pintype "bidirectional") (tstamp f3e48f6d-44fd-45b0-9f74-d3bbc06faf27)) + (net 266 "GPIO168") (pinfunction "GPIO168") (pintype "bidirectional") (tstamp cba97fb3-f4f1-4c6f-94cb-2e61cde98eb3)) (pad "187" smd rect (at 9.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 43c2d572-321a-434e-80ba-48677bb39754)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp de220a5d-c0cb-4e12-999c-ed4a03e33dbc)) (pad "188" smd rect (at 9.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 873f295f-8b31-41ba-817a-ea2595809606)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e7f9408e-c0a5-4698-aecb-091bb9888fe4)) (pad "189" smd rect (at 9 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 229 "GPIO137") (pinfunction "GPIO137/EPWM13A") (pintype "bidirectional") (tstamp 5ef136fe-ff3f-47fb-a1f3-4dcc9aec4032)) + (net 222 "GPIO137") (pinfunction "GPIO137/EPWM13A") (pintype "bidirectional") (tstamp 04e4b702-eaf6-4a81-9105-a07fd21d65dd)) (pad "190" smd rect (at 9 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 230 "GPIO138") (pinfunction "GPIO138/EPWM13B") (pintype "bidirectional") (tstamp 8daebf5a-2da1-46b5-8e08-f0aa50dd1b95)) + (net 223 "GPIO138") (pinfunction "GPIO138/EPWM13B") (pintype "bidirectional") (tstamp 1f704e8a-8893-4f4d-8f39-bba5f221c416)) (pad "191" smd rect (at 8.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 231 "GPIO139") (pinfunction "GPIO139/EPWM14A") (pintype "bidirectional") (tstamp 45774cae-f3a5-492e-b9f2-6a5918b97ba0)) + (net 224 "GPIO139") (pinfunction "GPIO139/EPWM14A") (pintype "bidirectional") (tstamp da89fd4d-fc18-4949-ace5-fbbb3770d8b3)) (pad "192" smd rect (at 8.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 232 "GPIO140") (pinfunction "GPIO140/EPWM14B") (pintype "bidirectional") (tstamp 28392719-7b28-4e2e-b6d1-4c1331ea9306)) + (net 225 "GPIO140") (pinfunction "GPIO140/EPWM14B") (pintype "bidirectional") (tstamp 490027e6-4753-4402-b218-628e24afe310)) (pad "193" smd rect (at 8 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3568bd8b-f3aa-4315-9069-1ab69531f165)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 119bdf1f-b760-4bfc-a90e-bdc84ac88765)) (pad "194" smd rect (at 8 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ae60a991-0942-4bd1-a9bb-58c4f83557c8)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp fa3a6a1d-16d8-4de6-835f-2e9f94bb3d99)) (pad "195" smd rect (at 7.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 233 "GPIO141") (pinfunction "GPIO141/EPWM15A") (pintype "bidirectional") (tstamp 96665f16-f0a4-43eb-a80a-d942c7c119e5)) + (net 226 "GPIO141") (pinfunction "GPIO141/EPWM15A") (pintype "bidirectional") (tstamp 4c893723-e362-4af1-a7f8-6b3168d92af6)) (pad "196" smd rect (at 7.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 234 "GPIO142") (pinfunction "GPIO142/EPWM15B") (pintype "bidirectional") (tstamp fbc2283b-ecbb-4b5e-b86e-7f63fc8f2e47)) + (net 227 "GPIO142") (pinfunction "GPIO142/EPWM15B") (pintype "bidirectional") (tstamp d03bb8bb-24fd-42e6-a961-2e923e3a02cb)) (pad "197" smd rect (at 7 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 235 "GPIO143") (pinfunction "GPIO143/EPWM16A") (pintype "bidirectional") (tstamp 8fce6838-b509-4dd0-a5b4-c7f7c2d2932e)) + (net 228 "GPIO143") (pinfunction "GPIO143/EPWM16A") (pintype "bidirectional") (tstamp fc31901f-eff4-4e26-95f8-c1a06bedf42b)) (pad "198" smd rect (at 7 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 236 "GPIO144") (pinfunction "GPIO144/EPWM16B") (pintype "bidirectional") (tstamp d5381e2c-5ce3-4b8e-9345-3c5d407a4f49)) + (net 229 "GPIO144") (pinfunction "GPIO144/EPWM16B") (pintype "bidirectional") (tstamp 4a2d4272-6c27-4605-a9e2-4700dc6a81e0)) (pad "199" smd rect (at 6.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8d5cf332-1dd1-4a70-9dca-b594f8b3899f)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 02ba46ad-05dc-40f7-91c6-db4bfeecac1a)) (pad "200" smd rect (at 6.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 73026a36-2948-434a-8a15-915a8321c80c)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 817524d0-928c-4bd8-8448-6609a71880db)) (pad "201" smd rect (at 6 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 74 "GPIO42") (pinfunction "GPIO42") (pintype "bidirectional") (tstamp 468a948a-b8e0-47b6-8613-425ce69cdd94)) + (net 71 "GPIO42") (pinfunction "GPIO42") (pintype "bidirectional") (tstamp 7b59ee01-f749-4889-9a19-04802d6878f4)) (pad "202" smd rect (at 6 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 76 "GPIO43") (pinfunction "GPIO43") (pintype "bidirectional") (tstamp 0e70dfc4-456e-43a7-90ae-47bd7a6b5403)) + (net 73 "GPIO43") (pinfunction "GPIO43") (pintype "bidirectional") (tstamp f4163f0d-0bb0-4278-94f9-1e50d0ba9897)) (pad "203" smd rect (at 5.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 159 "GPIO89") (pinfunction "GPIO89") (pintype "bidirectional") (tstamp 4039c9d5-0037-4ae8-beeb-28f56c45f69d)) + (net 156 "GPIO89") (pinfunction "GPIO89") (pintype "bidirectional") (tstamp 58a23425-73b7-46a0-b69a-0622ad67b9a5)) (pad "204" smd rect (at 5.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 157 "GPIO88") (pinfunction "GPIO88") (pintype "bidirectional") (tstamp 9dd014e3-5b6e-4b26-abb1-9010625fb1a1)) + (net 154 "GPIO88") (pinfunction "GPIO88") (pintype "bidirectional") (tstamp 023eb9b6-3be0-4d19-b16b-5c211c82b77f)) (pad "205" smd rect (at 5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp dcb887c8-eab8-4049-9e6e-53179986daaa)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9bd4fbeb-9ca4-4708-bfc4-64c614c78a34)) (pad "206" smd rect (at 5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 80d0a5cb-ef17-47a7-b412-c4624c280f5b)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 834abacd-e142-40db-8396-c94f57a0faaa)) (pad "207" smd rect (at 4.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 161 "GPIO90") (pinfunction "GPIO90") (pintype "bidirectional") (tstamp d0a6a897-28b8-45fc-9f47-e4479be0fb46)) + (net 158 "GPIO90") (pinfunction "GPIO90") (pintype "bidirectional") (tstamp de465058-37b4-46a0-8a2c-b9e9ad328300)) (pad "208" smd rect (at 4.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 81 "GPIO46") (pinfunction "GPIO46") (pintype "bidirectional") (tstamp f70c51b2-88e5-4559-a90a-bbc89f93af42)) + (net 78 "GPIO46") (pinfunction "GPIO46") (pintype "bidirectional") (tstamp 844fdc92-29e6-4ec6-a9b6-ea22a0e05ec1)) (pad "209" smd rect (at 4 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 145 "GPIO82") (pinfunction "GPIO82") (pintype "bidirectional") (tstamp 1fedf51d-0cf8-4168-b5f1-004e3538d3db)) + (net 142 "GPIO82") (pinfunction "GPIO82") (pintype "bidirectional") (tstamp b941d4b3-d2f7-4037-911d-a2f0648ffd87)) (pad "210" smd rect (at 4 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 149 "GPIO84") (pinfunction "GPIO84") (pintype "bidirectional") (tstamp 2781d0bb-1dde-40e5-a56e-c2ee61e4499a)) + (net 146 "GPIO84") (pinfunction "GPIO84") (pintype "bidirectional") (tstamp ef2e53bd-4524-4706-800f-30f8654e0573)) (pad "211" smd rect (at 3.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 53ba36e4-f79e-4379-ab05-64c30d574b4e)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 33dc41a8-ec1d-467c-a6b1-9f810eac2c38)) (pad "212" smd rect (at 3.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0fa5ef7e-5d39-4d1e-a5b1-0cdb180809cc)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 90a5d066-d590-4bca-9f62-36d93f7301df)) (pad "213" smd rect (at 3 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 141 "GPIO80") (pinfunction "GPIO80") (pintype "bidirectional") (tstamp f285cf33-1e49-4cde-9e09-f8dee755d56a)) + (net 138 "GPIO80") (pinfunction "GPIO80") (pintype "bidirectional") (tstamp 02b5cf25-068e-4095-90b7-e06de5aeda32)) (pad "214" smd rect (at 3 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 143 "GPIO81") (pinfunction "GPIO81") (pintype "bidirectional") (tstamp d4f2be21-ada7-4a0c-b281-150e32555bcc)) + (net 140 "GPIO81") (pinfunction "GPIO81") (pintype "bidirectional") (tstamp 075c074a-2542-4b2b-bbb2-1c2a30329fff)) (pad "215" smd rect (at 2.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 241 "GPIO154") (pinfunction "GPIO154/EPWM5B") (pintype "bidirectional") (tstamp 8c9b0d6f-b4da-44c5-b8fa-2b88d02f6843)) + (net 234 "GPIO154") (pinfunction "GPIO154/EPWM5B") (pintype "bidirectional") (tstamp e96d768d-52b7-487c-af82-6833699d1ddc)) (pad "216" smd rect (at 2.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 147 "GPIO83") (pinfunction "GPIO83") (pintype "bidirectional") (tstamp ad9b460e-4d40-45bf-95d4-0e190b5f79fd)) + (net 144 "GPIO83") (pinfunction "GPIO83") (pintype "bidirectional") (tstamp bbe69d41-7947-4a33-b70a-02680f03d3f6)) (pad "217" smd rect (at 2 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1afcee73-3518-4b5c-8ed3-7affae722d71)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1f2be77f-97c4-4bd4-8876-4de7a80574db)) (pad "218" smd rect (at 2 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9f857c23-5b1b-49c2-b7d9-78c3ea498796)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 21ef9bae-2377-46ab-8dc0-10c6ca2f5e98)) (pad "219" smd rect (at 1.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 153 "GPIO86") (pinfunction "GPIO86") (pintype "bidirectional") (tstamp b0a074d5-e86a-44b6-858f-c2a8a81d94a3)) + (net 150 "GPIO86") (pinfunction "GPIO86") (pintype "bidirectional") (tstamp db2f2ab0-5959-4de1-8ac2-43c056568552)) (pad "220" smd rect (at 1.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 240 "GPIO153") (pinfunction "GPIO153/EPWM5A") (pintype "bidirectional") (tstamp 123ceeeb-9051-420e-9d30-0c8d3e6b0dcc)) + (net 233 "GPIO153") (pinfunction "GPIO153/EPWM5A") (pintype "bidirectional") (tstamp 4ada9acf-b00a-4095-b0b2-4da280f5cf44)) (pad "221" smd rect (at 1 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 155 "GPIO87") (pinfunction "GPIO87") (pintype "bidirectional") (tstamp befceaa9-aaae-4ef0-8783-427de984a3e6)) + (net 152 "GPIO87") (pinfunction "GPIO87") (pintype "bidirectional") (tstamp 511c5817-32a6-438f-8f47-46bbdff5edad)) (pad "222" smd rect (at 1 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 135 "GPIO77") (pinfunction "GPIO77") (pintype "bidirectional") (tstamp 6a1c88ea-5640-48da-ac0f-20e3b02b966c)) + (net 132 "GPIO77") (pinfunction "GPIO77") (pintype "bidirectional") (tstamp 41fb44b7-fc4a-442e-8fbe-d3974a2f2668)) (pad "223" smd rect (at 0.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 10df7aa8-d5ec-4439-91a1-73b8128a3fa7)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a38f1d36-3806-483b-bb44-354b0ac3d929)) (pad "224" smd rect (at 0.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp fb48356a-55ac-42c6-83df-32e7dcac0efe)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a8a1bdef-bd55-4789-af1f-b677d6b6dcb2)) (pad "225" smd rect (at 0 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 127 "GPIO71") (pinfunction "GPIO71") (pintype "bidirectional") (tstamp 7e65bc97-9df1-4a0d-81cd-fd33b83ce37d)) + (net 124 "GPIO71") (pinfunction "GPIO71") (pintype "bidirectional") (tstamp f4b428a3-6a88-469f-b87f-eeaaf631c5df)) (pad "226" smd rect (at 0 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 123 "GPIO69") (pinfunction "GPIO69") (pintype "bidirectional") (tstamp c11abc1e-fe1d-46c8-8c73-aa2a03313a13)) + (net 120 "GPIO69") (pinfunction "GPIO69") (pintype "bidirectional") (tstamp 2b97ab12-2c89-47cd-9f54-ea3cb6a5a283)) (pad "227" smd rect (at -0.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 129 "GPIO72") (pinfunction "GPIO72") (pintype "bidirectional") (tstamp 12ebe4a9-b031-42eb-9e0f-4f783f6e729f)) + (net 126 "GPIO72") (pinfunction "GPIO72") (pintype "bidirectional") (tstamp acda8e52-02f1-406b-b2cd-65f9acefb160)) (pad "228" smd rect (at -0.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 151 "GPIO85") (pinfunction "GPIO85") (pintype "bidirectional") (tstamp e420ce0c-0bfd-4d6a-926f-ef4271f576a8)) + (net 148 "GPIO85") (pinfunction "GPIO85") (pintype "bidirectional") (tstamp 05ea0890-f394-4ead-bd0b-a232254bd68f)) (pad "229" smd rect (at -1 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 39185cc3-d7b6-4a9f-beb3-a88074567cfa)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f747db6d-6e2f-4972-8e9c-e461e66ace73)) (pad "230" smd rect (at -1 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 2d45d008-e11f-4d80-80fe-57fb045cb265)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 207c9638-8595-497f-9ade-3d2397c1dd9a)) (pad "231" smd rect (at -1.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 137 "GPIO78") (pinfunction "GPIO78") (pintype "bidirectional") (tstamp 9478a8cf-e30b-4657-821f-6c30d55669c3)) + (net 134 "GPIO78") (pinfunction "GPIO78") (pintype "bidirectional") (tstamp 1ff697df-10e1-4c58-9b1a-8f4c506457b3)) (pad "232" smd rect (at -1.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 121 "GPIO68") (pinfunction "GPIO68") (pintype "bidirectional") (tstamp 25f1c60f-1f2d-492c-ab27-6f2e8514a93f)) + (net 118 "GPIO68") (pinfunction "GPIO68") (pintype "bidirectional") (tstamp 0aeb4b73-b770-49b3-8ac7-9574a11fa310)) (pad "233" smd rect (at -2 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 111 "GPIO62") (pinfunction "GPIO62") (pintype "bidirectional") (tstamp 630bf1fa-f50d-4089-a480-ea4fa55fcde6)) + (net 108 "GPIO62") (pinfunction "GPIO62") (pintype "bidirectional") (tstamp 566fda9c-dccb-4a96-9e25-45e926cf39ac)) (pad "234" smd rect (at -2 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 133 "GPIO76") (pinfunction "GPIO76") (pintype "bidirectional") (tstamp 973cf50e-37e2-4a58-82a6-39bbf0ece5cf)) + (net 130 "GPIO76") (pinfunction "GPIO76") (pintype "bidirectional") (tstamp 3b885424-16f0-4464-bb58-9b374aa335f5)) (pad "235" smd rect (at -2.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 713f81b2-91ce-4fcf-b3bb-b1a19e8e9deb)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp be733fcf-3c96-4104-a184-527ca006789a)) (pad "236" smd rect (at -2.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3604994a-b50b-4246-9fa6-1589dbb66e7b)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 7813d87f-83a5-4ec0-8c95-bdc52417c020)) (pad "237" smd rect (at -3 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 113 "GPIO63") (pinfunction "GPIO63") (pintype "bidirectional") (tstamp e0450351-2f3d-42e2-9dba-552da98051da)) + (net 110 "GPIO63") (pinfunction "GPIO63") (pintype "bidirectional") (tstamp 494330f9-8e37-4cc1-a924-50bb6c12e0d3)) (pad "238" smd rect (at -3 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 139 "GPIO79") (pinfunction "GPIO79") (pintype "bidirectional") (tstamp 361ed695-6a09-4fe6-ac22-75f9dbb53163)) + (net 136 "GPIO79") (pinfunction "GPIO79") (pintype "bidirectional") (tstamp fd91a189-37a3-4893-a516-c2dc6081615f)) (pad "239" smd rect (at -3.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 119 "GPIO66") (pinfunction "GPIO66") (pintype "bidirectional") (tstamp 5db8ca50-32c9-47dc-b81a-18300843bd26)) + (net 116 "GPIO66") (pinfunction "GPIO66") (pintype "bidirectional") (tstamp b1031fb4-f6b2-4347-8320-2cf028244b9c)) (pad "240" smd rect (at -3.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 109 "GPIO61") (pinfunction "GPIO61") (pintype "bidirectional") (tstamp 8f3776bf-0e31-41c8-a026-dc0a17c82d15)) + (net 106 "GPIO61") (pinfunction "GPIO61") (pintype "bidirectional") (tstamp fd1ce004-ce3e-4d5a-8651-89d31ef1d53a)) (pad "241" smd rect (at -4 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp aebae550-b225-4ed6-b3af-b9f0b3f20286)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f5e5ece2-087f-4162-a1e0-e48ffe5a5d0e)) (pad "242" smd rect (at -4 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bfa822a2-f10d-4529-8b48-443314759109)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d78a58c3-142b-4da3-9235-c470fe88794f)) (pad "243" smd rect (at -4.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 115 "GPIO64") (pinfunction "GPIO64") (pintype "bidirectional") (tstamp c3758a5a-3799-47fd-aaa6-b8bcf7b91e23)) + (net 112 "GPIO64") (pinfunction "GPIO64") (pintype "bidirectional") (tstamp 88190bd0-bbe7-4a43-abbb-308663e339fe)) (pad "244" smd rect (at -4.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 117 "GPIO65") (pinfunction "GPIO65") (pintype "bidirectional") (tstamp cb6c3b88-1f89-412b-a1ef-569c433d2b24)) + (net 114 "GPIO65") (pinfunction "GPIO65") (pintype "bidirectional") (tstamp 7c727375-bfc0-4081-9d56-774f88994d9b)) (pad "245" smd rect (at -5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 107 "GPIO60") (pinfunction "GPIO60") (pintype "bidirectional") (tstamp 1fdbdbaa-58e1-4ff7-b3b3-769e26631020)) + (net 104 "GPIO60") (pinfunction "GPIO60") (pintype "bidirectional") (tstamp 7196e48d-260c-4a6b-a1d1-28065c52fe1a)) (pad "246" smd rect (at -5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 105 "GPIO59") (pinfunction "GPIO59") (pintype "bidirectional") (tstamp 2b191e99-af54-44e0-8c46-cb43677c86eb)) + (net 102 "GPIO59") (pinfunction "GPIO59") (pintype "bidirectional") (tstamp d286b9a2-ecbe-43e6-b284-6306a1b75ea6)) (pad "247" smd rect (at -5.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3408316e-09c3-4932-8afb-44d3dc4e6876)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8a91acaf-ea4b-4aa7-ade4-408468ab7769)) (pad "248" smd rect (at -5.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 92fc0a69-ca3f-4704-acc9-5874f6b1eee7)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 991753fc-cc9c-4d61-bc23-a52f2405b2aa)) (pad "249" smd rect (at -6 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 228 "GPIO136") (pinfunction "GPIO136") (pintype "bidirectional") (tstamp 46fb9893-b5b9-4296-88d8-dd312ed86a3b)) + (net 221 "GPIO136") (pinfunction "GPIO136") (pintype "bidirectional") (tstamp ebdba953-8c55-4d4a-ba33-5eecb45aa3ee)) (pad "250" smd rect (at -6 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 103 "GPIO58") (pinfunction "GPIO58") (pintype "bidirectional") (tstamp 30db4c6e-8891-4c50-bff3-8e519fb9a6a8)) + (net 100 "GPIO58") (pinfunction "GPIO58") (pintype "bidirectional") (tstamp 577d262f-7b45-442c-873d-dcf0f2d904f8)) (pad "251" smd rect (at -6.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 226 "GPIO132") (pinfunction "GPIO132") (pintype "bidirectional") (tstamp a658b3ff-4b83-4766-b2d7-4acd67dfb7ea)) + (net 219 "GPIO132") (pinfunction "GPIO132") (pintype "bidirectional") (tstamp 931065d5-bcb5-46c6-b457-354171767177)) (pad "252" smd rect (at -6.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 227 "GPIO134") (pinfunction "GPIO134") (pintype "bidirectional") (tstamp 2dc210fd-0d3b-4a69-89f7-2eb47456d7c5)) + (net 220 "GPIO134") (pinfunction "GPIO134") (pintype "bidirectional") (tstamp bad2ef70-fbe5-47c4-b6c7-ab799896209a)) (pad "253" smd rect (at -7 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8cd1dd7e-b56d-4d3e-a713-aa06da60a42a)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 60cac456-2f96-47df-9b62-08093ff28916)) (pad "254" smd rect (at -7 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a8a39036-3113-4b8a-8011-0986d73766b7)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 048246be-a7e5-4e02-a0ca-e832a7ed2fa4)) (pad "255" smd rect (at -7.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 225 "GPIO131") (pinfunction "GPIO131") (pintype "bidirectional") (tstamp ab238a2d-0417-4948-aac1-fad4a4a8639e)) + (net 218 "GPIO131") (pinfunction "GPIO131") (pintype "bidirectional") (tstamp afbe39bd-1594-4058-bfa7-b3f10de3eb4d)) (pad "256" smd rect (at -7.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 52 "GPIO30") (pinfunction "GPIO30/EPWM16A") (pintype "input") (tstamp 39f3b5f2-1751-44c2-8e3c-100bcce020cb)) + (net 49 "GPIO30") (pinfunction "GPIO30/EPWM16A") (pintype "input") (tstamp 62f6e5ad-4d3b-49fb-939a-d682d6598880)) (pad "257" smd rect (at -8 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 219 "GPIO125") (pinfunction "GPIO125") (pintype "bidirectional") (tstamp 061dcb7d-8967-47bc-a1d0-ba02ce5f4b8a)) + (net 212 "GPIO125") (pinfunction "GPIO125") (pintype "bidirectional") (tstamp 67328e76-c502-455e-8907-3e5efd56a21e)) (pad "258" smd rect (at -8 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 50 "GPIO29") (pinfunction "GPIO29/EPWM15B") (pintype "input") (tstamp 2bf50b7b-ebc4-4850-a3a1-e00f02fb2d8c)) + (net 47 "GPIO29") (pinfunction "GPIO29/EPWM15B") (pintype "input") (tstamp 0c4686ea-1f50-40b8-b830-47486ee41fee)) (pad "259" smd rect (at -8.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9272d8a0-9b2a-453b-9023-f05fff366958)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 49b0877c-69c7-419b-8ea4-c9d379389a78)) (pad "260" smd rect (at -8.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 769db9f0-0695-4bad-9edc-d467c332697c)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0ac5d457-9739-4ce3-9d0a-f891a87a5099)) (pad "261" smd rect (at -9 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 220 "GPIO126") (pinfunction "GPIO126") (pintype "bidirectional") (tstamp 0a8621c2-520c-45e3-93a2-162fc0731d25)) + (net 213 "GPIO126") (pinfunction "GPIO126") (pintype "bidirectional") (tstamp 5aaa58ea-05b1-43c3-a806-fe9b69181d21)) (pad "262" smd rect (at -9 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 221 "GPIO127") (pinfunction "GPIO127") (pintype "bidirectional") (tstamp 49238017-7964-4821-844e-2202aa6e1f7d)) + (net 214 "GPIO127") (pinfunction "GPIO127") (pintype "bidirectional") (tstamp c44f1959-7f89-493c-8c29-1087fff379bf)) (pad "263" smd rect (at -9.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 222 "GPIO128") (pinfunction "GPIO128") (pintype "bidirectional") (tstamp ac377140-98b4-4698-ad33-6b1b5d19c8d5)) + (net 215 "GPIO128") (pinfunction "GPIO128") (pintype "bidirectional") (tstamp f85b92a7-d4fd-4ac5-9102-0848a7768f59)) (pad "264" smd rect (at -9.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 223 "GPIO129") (pinfunction "GPIO129") (pintype "bidirectional") (tstamp f23d24ec-672a-46b5-8b3b-bdb1ce6e9705)) + (net 216 "GPIO129") (pinfunction "GPIO129") (pintype "bidirectional") (tstamp d0883738-7544-489b-94f6-d438a0bc2e2e)) (pad "265" smd rect (at -10 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 120c906e-ee01-45d4-a54f-229013ecbcf1)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9acff336-f0fc-482d-a7ef-448aa7e9a446)) (pad "266" smd rect (at -10 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5ae9ae52-2926-4c61-bd77-b50262d88bd7)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bd90e0c7-7069-475f-994a-451ecf3ca431)) (pad "267" smd rect (at -10.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 224 "GPIO130") (pinfunction "GPIO130") (pintype "bidirectional") (tstamp 13698a4e-a664-4039-8dad-99a51e618fb2)) + (net 217 "GPIO130") (pinfunction "GPIO130") (pintype "bidirectional") (tstamp aef76ff2-3a04-41b4-bd01-87c3ae566c2a)) (pad "268" smd rect (at -10.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 79 "GPIO45") (pinfunction "GPIO45") (pintype "bidirectional") (tstamp 296c9fd4-b492-4de0-8b26-d6ed84adf884)) + (net 76 "GPIO45") (pinfunction "GPIO45") (pintype "bidirectional") (tstamp 6bdd363d-71ae-4235-bbcb-f18f08592607)) (pad "269" smd rect (at -11 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 77 "GPIO44") (pinfunction "GPIO44") (pintype "bidirectional") (tstamp 0c183a77-b623-4dcc-9463-9b0ed4ecd6e5)) + (net 74 "GPIO44") (pinfunction "GPIO44") (pintype "bidirectional") (tstamp 47c60f38-daf9-4b2b-87b8-6465d3455606)) (pad "270" smd rect (at -11 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 72 "GPIO41") (pinfunction "GPIO41") (pintype "bidirectional") (tstamp 86275737-ab7d-4153-a0b7-634580670ad5)) + (net 69 "GPIO41") (pinfunction "GPIO41") (pintype "bidirectional") (tstamp 9e3ea21e-66e5-4b3a-bca7-e650e439e15d)) (pad "271" smd rect (at -11.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4c573b62-2a5e-4f92-ad69-e24f16353b38)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 05e262f5-3536-4fcf-8a99-72f9a79d8dd1)) (pad "272" smd rect (at -11.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0d9b5436-0cb3-4217-8529-cb7766785498)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d4f638aa-daf9-424a-803a-8c49eed1779b)) (pad "273" smd rect (at -12 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 101 "GPIO57") (pinfunction "GPIO57") (pintype "bidirectional") (tstamp b1524bf3-b93b-4cc3-aa29-001a4917d4ab)) + (net 98 "GPIO57") (pinfunction "GPIO57") (pintype "bidirectional") (tstamp 9354a97b-57c3-4052-b676-2a4f3830f936)) (pad "274" smd rect (at -12 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 100 "GPIO56") (pinfunction "GPIO56") (pintype "bidirectional") (tstamp 5f5342a7-490e-4dea-9139-844862bf3329)) + (net 97 "GPIO56") (pinfunction "GPIO56") (pintype "bidirectional") (tstamp dfcad005-8d1a-4fd3-a29f-f09ef9fa767d)) (pad "275" smd rect (at -12.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 98 "GPIO55") (pinfunction "GPIO55") (pintype "bidirectional") (tstamp 976b7afb-b28d-4d10-8218-2607e9eb74c7)) + (net 95 "GPIO55") (pinfunction "GPIO55") (pintype "bidirectional") (tstamp 55faa1e4-9f19-4690-8d17-7cb248529f99)) (pad "276" smd rect (at -12.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 97 "GPIO54") (pinfunction "GPIO54") (pintype "bidirectional") (tstamp 7e6d091a-7ca8-4887-b00b-14b45bc8ca6e)) + (net 94 "GPIO54") (pinfunction "GPIO54") (pintype "bidirectional") (tstamp 3f51a8e8-d445-4a9c-92fa-cc0f3293b868)) (pad "277" smd rect (at -13 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e0862993-b08c-4b1b-93be-0180fa9c3d34)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 625182eb-9214-4828-ab24-582bace0a185)) (pad "278" smd rect (at -13 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 00308533-ef73-4860-9a26-9dcd84688455)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6adc2aea-facf-4ff8-8652-b6ef5e82d57e)) (pad "279" smd rect (at -13.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 93 "GPIO52") (pinfunction "GPIO52") (pintype "bidirectional") (tstamp 01797797-dfda-48f6-adc6-a726e0dd4826)) + (net 90 "GPIO52") (pinfunction "GPIO52") (pintype "bidirectional") (tstamp 78b01df1-2e4b-48c3-bc08-37c97c01d394)) (pad "280" smd rect (at -13.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 95 "GPIO53") (pinfunction "GPIO53") (pintype "bidirectional") (tstamp 7ec3c005-55ff-42b9-83d5-efa57d0a6976)) + (net 92 "GPIO53") (pinfunction "GPIO53") (pintype "bidirectional") (tstamp 14230b65-1bd9-4b4b-a316-1663112945d9)) (pad "281" smd rect (at -14 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 91 "GPIO51") (pinfunction "GPIO51") (pintype "bidirectional") (tstamp 16446064-ef5e-4eb2-a328-62602fad7cba)) + (net 88 "GPIO51") (pinfunction "GPIO51") (pintype "bidirectional") (tstamp a938cf19-379d-4677-af3f-0241bcdf8935)) (pad "282" smd rect (at -14 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 70 "GPIO40") (pinfunction "GPIO40") (pintype "bidirectional") (tstamp cd243ce4-2161-43cd-82d4-9cbedf60c6ce)) + (net 67 "GPIO40") (pinfunction "GPIO40") (pintype "bidirectional") (tstamp 8f81417c-ee1f-4c5f-83d9-e8847a81d582)) (pad "283" smd rect (at -14.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 44339bd0-4e5b-494c-b32d-8f7410c5c536)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bfe88d4f-4132-460b-b8f9-d4ae7f9925d3)) (pad "284" smd rect (at -14.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 910c917a-5fb2-47ff-9670-5bfce323e22d)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4aa24b0d-3f9c-4ef3-a4fc-7c534669d293)) (pad "285" smd rect (at -15 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 87 "GPIO49") (pinfunction "GPIO49") (pintype "bidirectional") (tstamp 11b90384-5e7a-42ac-a0ae-de8f9d77250b)) + (net 84 "GPIO49") (pinfunction "GPIO49") (pintype "bidirectional") (tstamp ead78ec2-c504-4adf-b9a6-1604593a5707)) (pad "286" smd rect (at -15 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 89 "GPIO50") (pinfunction "GPIO50") (pintype "bidirectional") (tstamp ba54d69a-be7d-49f9-95b4-331c8720370d)) + (net 86 "GPIO50") (pinfunction "GPIO50") (pintype "bidirectional") (tstamp 507a2342-8e3b-43d6-96b3-bd4327c3c0bd)) (pad "287" smd rect (at -15.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 68 "GPIO39") (pinfunction "GPIO39") (pintype "bidirectional") (tstamp 70cfec3c-2e77-463b-8d83-941dae0fd8ce)) + (net 65 "GPIO39") (pinfunction "GPIO39") (pintype "bidirectional") (tstamp c6dd02cc-8dfd-4df9-8f80-49092f0d50c5)) (pad "288" smd rect (at -15.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 66 "GPIO38") (pinfunction "GPIO38") (pintype "bidirectional") (tstamp f3462aa5-b511-4598-ba22-1aefc8c6c994)) + (net 63 "GPIO38") (pinfunction "GPIO38") (pintype "bidirectional") (tstamp a4eddb8a-7198-4bc8-b555-d82e0e6ee244)) (pad "289" smd rect (at -16 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e5eb2928-c116-45f5-9a8a-e86ce7d59c7c)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c57e760e-875f-48be-82a0-aaebee98346f)) (pad "290" smd rect (at -16 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b048c8c7-f87f-4ddb-8b5d-6c9b9fdfa218)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 97b9d3b7-c9ce-4948-a20c-8abf1041d141)) (pad "291" smd rect (at -16.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 62 "GPIO36") (pinfunction "GPIO36") (pintype "bidirectional") (tstamp 4e9f1179-7d71-4115-80d6-dc5f1b074935)) + (net 59 "GPIO36") (pinfunction "GPIO36") (pintype "bidirectional") (tstamp 55820f89-1916-4d58-a2a8-599bf494d433)) (pad "292" smd rect (at -16.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 64 "GPIO37") (pinfunction "GPIO37") (pintype "bidirectional") (tstamp 6088d999-b967-4b11-9fa5-d2ceb44dd572)) + (net 61 "GPIO37") (pinfunction "GPIO37") (pintype "bidirectional") (tstamp 168821d3-ee9e-476e-a022-218b28564007)) (pad "293" smd rect (at -17 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 54 "GPIO31") (pinfunction "GPIO31/EPWM16B") (pintype "input") (tstamp aea6c565-2098-4691-86fa-67628f0ee83e)) + (net 51 "GPIO31") (pinfunction "GPIO31/EPWM16B") (pintype "input") (tstamp e510e095-6aa5-48d8-8375-b7608e0ccca9)) (pad "294" smd rect (at -17 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 56 "GPIO32") (pinfunction "GPIO32") (pintype "bidirectional") (tstamp f57fb41f-c088-4470-b755-6fe4228a9465)) + (net 53 "GPIO32") (pinfunction "GPIO32") (pintype "bidirectional") (tstamp 98c1dc43-357e-4948-8fe9-5f0cf7111fcf)) (pad "295" smd rect (at -17.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 46968c8d-6f1c-4200-a525-08ed8a2480db)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 29c75400-cef3-4223-a04e-3c8de3b28501)) (pad "296" smd rect (at -17.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 2aae256b-fa72-483d-935e-696c7a3eefa6)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0c5e65aa-ddec-4c40-b55d-fae3a731468e)) (pad "297" smd rect (at -18 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 310 "unconnected-(U2A-25MHz_CLK_Q2-Pad297)") (pinfunction "25MHz_CLK_Q2") (pintype "bidirectional+no_connect") (tstamp ee37bbd2-0a40-44f2-911b-12ef10f70063)) + (net 303 "unconnected-(U2A-25MHz_CLK_Q2-Pad297)") (pinfunction "25MHz_CLK_Q2") (pintype "bidirectional+no_connect") (tstamp d91e5f15-e007-4fad-9b20-1540da736146)) (pad "298" smd rect (at -18 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 311 "unconnected-(U2A-25MHz_CLK_Q3-Pad298)") (pinfunction "25MHz_CLK_Q3") (pintype "bidirectional+no_connect") (tstamp 36b69209-9553-4f61-9390-f5cf5f090607)) + (net 304 "unconnected-(U2A-25MHz_CLK_Q3-Pad298)") (pinfunction "25MHz_CLK_Q3") (pintype "bidirectional+no_connect") (tstamp 5b126284-6d0f-4894-82a2-5f887b65823d)) (pad "299" smd rect (at -18.5 43.025 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 490b3410-94ce-4f22-89f6-86d4dfb31d4e)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e22aa114-5ba8-467a-aceb-3171596d33ee)) (pad "300" smd rect (at -18.5 46.975 270) (size 0.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ee4035ab-dd5f-48b1-af20-2a545b97c09b)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a3a74bf1-4bd4-4654-9249-9562c374a84c)) (model "${MODEL_3D}/ERF5-075-05.0.step" (offset (xyz 0 -45 0.15)) (scale (xyz 1 1 1)) @@ -10221,7 +10589,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 65dc5164-202c-46b2-9003-3d690d0ecb28) - (at 203.059874 149.025063) + (at 205.413674 151.692063) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -10230,50 +10598,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/96866bc2-79c4-4e25-a56a-b4879e3ce58c") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/0e427b56-883d-4e75-9dea-c284b81d3ff6") (attr smd) (fp_text reference "R14" (at 3.39381 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c8bf4483-cf4d-4773-89eb-1fb6153f85f0) + (tstamp 99c17ec3-34ce-4e30-9da7-b7f1e4665b2d) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp fffb54d2-cc9e-48b6-905d-3a4c5067b1e7) + (tstamp 1cf61941-1ee7-4609-95df-a64aeb440671) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 58cd0825-a51f-4af9-a777-6304585fb8d1) + (tstamp d99d7d5f-6e70-4930-9618-5e3c29694b75) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 823bad41-dbaf-4b6b-bdb1-e4f18f380d45)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3224041a-7955-46fb-b6eb-ab618c553438)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2db18448-c650-4c1c-b97e-b5d63f0a2347)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe1e744e-f614-40ec-9003-232d917c5559)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fdd83fd4-86d5-476e-9416-43d31d94aff6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4abc8fa5-bb41-4214-8e36-ba58912b2682)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64e1c868-4d73-4008-b6cb-d2b02e011a76)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2c65e88-d87e-42eb-8d9d-93133962720b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 99b43367-f574-4e6a-9dec-27a68c73472f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aff0381a-7d52-4f59-90f1-ccd5fb499c58)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1c2d7e23-d8af-47a4-9cc6-de715d064a65)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 415797c1-9f4a-45f8-a325-79f293f1ef4d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 080b771d-4471-45a7-a300-201603b2d051)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 86298b54-59f7-4738-8c81-3b516a51db96)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5427b039-4bda-4138-ba90-4ce9819c77f4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 72f94a4d-f22c-4c13-a7e5-12df3d088492)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 702d7ec3-f24a-45e3-bb45-d193a9b143e7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0e9619d-738b-4de2-a394-f2053ab7b463)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5da2499c-fe79-42d0-aba8-8ac251305b61)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 891cd59c-672a-4061-93a9-7d44495fb77d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 11f449d8-cec3-4515-9c0f-3a68b8c6e081)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4d69c0bb-af82-4834-857a-e33caaae20ad)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a929c654-6ff3-429f-ac8b-57e6f0888a3d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d0b1259-d2a8-42f9-a619-99a0e8efa190)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 25 "Net-(D12-PadA)") (pintype "passive") (tstamp 0865f517-0df6-46df-86fc-6124a8d53c7a)) + (net 24 "Net-(D12-PadA)") (pintype "passive") (tstamp 587307ed-0b9f-47ce-b82d-25919a32453f)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp e4eae57e-cc09-47c1-bb3c-05470df31075)) + (net 4 "+3V3") (pintype "passive") (tstamp 4000d0b9-7fa2-4538-8c48-f15b3a7d3261)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -10298,44 +10666,44 @@ (attr smd) (fp_text reference "R118" (at 3.774191 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 240f2f52-93da-4e2d-b6a6-ac97d1f17812) + (tstamp 5a7ae7a5-1b52-4090-8d36-3c4bbc1b2d4c) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f809eedb-a172-4d35-846f-984a5d24120c) + (tstamp 628f9585-8b66-46c4-8e40-f60581d171ca) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 09150514-2f96-4b8f-9602-4f5e31b7d037) + (tstamp 9ac250f3-3cce-4c0b-8792-71bc50118396) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f95b52f6-3e6d-4371-94a2-02aabbb327ca)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d03b91c-4e9b-426e-989d-4bc0c8172ab5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fed0ea1f-4b2d-429e-bc2e-aad3779635b9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0451f985-4f92-4867-b453-e85c38aacceb)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42460d70-63d4-4c2d-b84b-9be46e4714c0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf8ca448-7a7d-414f-813d-a58d504288bc)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fd15c6d4-e435-40eb-9c46-6b478d8acdc1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6a3cdac-295c-49f4-a871-0c2515029bee)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 92a5c212-3e3e-4991-a3b1-242a8ed1aea4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9836f304-31ea-4ce5-86ba-9fb1bbe583cd)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 27d98dbd-f128-4b4e-a91e-89c1fd656b42)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 19bff43f-66ce-4334-b27c-c7a06977aad7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fd5f332a-4680-4d44-8882-5c193a87296d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 60fd3878-c818-4337-b7b5-116250ae0856)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6ea760b4-bc4b-4837-bef9-52a398236051)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fdf67b96-9a3e-4048-9378-1de948bec142)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b0a99446-2082-4a57-83b0-7748a84b0c92)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 15abbe3f-5204-4121-a40d-155c126a7cca)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35982299-36bf-495e-9f17-9d07abb48ba0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 99718602-9cb2-425c-b18c-65f87306bb8d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 65531f4c-6d3d-4398-bd58-854f921d7850)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e3ac1b06-ffcf-4106-bf77-bf2955b2f247)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dfdfb8f7-eaca-4f95-acea-66000d2dfb83)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cc18dc1c-26e9-4052-96e0-7642f3dd1c8b)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 344 "Net-(D116-PadA)") (pintype "passive") (tstamp dce86258-4e44-446a-8181-16b19f8e7868)) + (net 337 "Net-(D116-PadA)") (pintype "passive") (tstamp 7d8e1167-3734-44dd-8f69-e55e2d122b7a)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp cb748846-d85e-4077-b781-3acc54e8d0ef)) + (net 4 "+3V3") (pintype "passive") (tstamp afa9e749-1fbc-41a6-baa5-91b9d8d02fc9)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -10345,7 +10713,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 68558f61-2fcf-4d91-b1e2-567b002b46ec) - (at 69.18979 77.158571 180) + (at 69.203634 77.158571 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -10360,44 +10728,44 @@ (attr smd) (fp_text reference "R114" (at 3.786191 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 693f6726-9ccd-4e17-bec6-7c89de8a9a8e) + (tstamp 6af0e807-f32b-4c51-86f8-2eb440db6c95) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bf2b97a1-eea1-45e5-b4c7-4f86ae1a3b39) + (tstamp 7ab1fc9f-460a-4c01-8926-a977039e865e) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp fdfc480f-87fa-4c1b-a42f-8083722c845d) + (tstamp 83e6dfb4-515b-4564-a572-3a62effcb41d) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b3bc22b2-8e7d-4293-917b-d219ac13aa36)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cbfaae61-fa90-47a6-b3e8-5ccf2df28e60)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7de557a5-7c71-4d82-93a7-9b5dac9223e3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23a3959d-584d-42cf-b4ab-5fb44d9f458e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7357d5a9-cd22-4ce2-bc27-f0d4893a6721)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33b16a9d-6c82-4cb9-b640-66d711c09bf3)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2725d8f8-0f59-491a-ab1a-ac7400cb914e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp edba7547-63e6-48d3-ac85-9fd7d638a2a6)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c003f35d-56e1-4e0c-b527-304f052790b9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c1cacc32-5c4e-4a90-ae53-a24c7e12cd8f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b92d1a62-90b6-419b-a7b4-13fa564d836c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1a3d6e30-f595-46ed-b87e-a8b3420e496a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 74b41574-29b7-4289-a462-fb7d64573a30)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f5e6c849-6787-4831-9fcd-45b4f776506c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d26cf431-ec65-4edd-9e6a-3db58b8cc0a9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3e722b79-2a64-4a61-8410-75fca4d54551)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4b754659-c82f-49ee-9aeb-b01ba6b70a1b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72068193-6200-4dc4-a276-e90ae57bd0b0)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e1b07264-175b-4ecd-9128-d25ae2066b1d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fbac09e2-29a1-4dd2-a501-4d6bc6c2af1b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 17b6da52-5ae8-404c-8725-9c53a7abbce1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 928acaab-0209-4d0a-90d9-b5d5de687d4d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d5648c78-6271-407e-bcf7-95d4c489dfc5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c4ce80f-c415-4ff9-9802-5d012931fa6b)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 340 "Net-(D112-PadA)") (pintype "passive") (tstamp c6692222-009f-47fd-ad05-ce5eed2d5685)) + (net 333 "Net-(D112-PadA)") (pintype "passive") (tstamp 5a1662c2-a27f-41f6-bcd0-3135000463c7)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 46f70871-091a-44b4-b06c-0f7f3e79afc5)) + (net 4 "+3V3") (pintype "passive") (tstamp 47356578-c184-4b56-aaed-58b4d8fdf9c3)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -10405,9 +10773,70 @@ ) ) + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp 6860bd74-e0ef-4eed-be1b-c95b025d613a) + (at 173.125274 125.816526 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5aeff784-3722-4376-8bc0-e0e2f8f96146") + (attr smd) + (fp_text reference "D10" (at 0.3 -1.39 180) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 76c10e88-5354-468a-8fae-c643d4b1cf84) + ) + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9591e5e5-f499-4b88-b7b2-eb7629d17ea6) + ) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp cbc1ce88-7c19-49af-8694-1126c6d09d18) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83971808-744d-496a-89ae-633a0d667ea1)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62161470-a7c9-43b1-816d-c56e9619ce79)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 566c51fb-1727-400f-ad9f-870c191d0be6)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8b16d73-5006-44a4-a643-380fc5abfe1a)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d9dab4d-c215-4a01-8148-6f7c0cef3b60)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a702e47a-1cad-411a-8355-9c9b018910b5)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 1efafd89-ce2a-476e-b485-f84ca6066b7a)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e590e50-c48f-4e02-8c31-640f7c3017a0)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85735fdd-2376-4a2b-a838-d9b430a7eecf)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2fe71cb6-fd93-40aa-b498-9b3a916ddaa8)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8c349b20-2922-4f88-a898-1a4255bbd2df)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 721d0151-dadb-4230-80b0-2fc2a65b75e3)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1be1fde2-9ada-4587-9b28-7f84ccc1275b)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 160 "GPIO95") (pinfunction "A") (pintype "passive") (tstamp 3cf6e557-88c5-440f-971f-af91cfc410f2)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "Net-(D10-PadC)") (pinfunction "C") (pintype "passive") (tstamp ca3ec5e8-b813-4f87-85c7-ba2da9aad373)) + (model "${MODEL_3D}/LED_Red_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 686340ab-6ebb-471a-9985-7fd8c4d9ce9c) - (at 87.579474 153.781926 180) + (at 87.540674 153.781926 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -10422,44 +10851,44 @@ (attr smd) (fp_text reference "R145" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9c511725-9f47-42a8-8094-835bedaf56a6) + (tstamp 179409e9-7d27-4b30-88f7-7f5ff76748cc) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f579b567-b824-4c76-8f8e-4952978b9f42) + (tstamp e318ea7b-ba36-4bb0-9de9-70812689e5ce) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 80af4be1-ffa1-4706-ba84-db4946be9b36) + (tstamp 5792e449-309f-442f-a175-328782c7f571) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 26b17c00-4a32-4c7b-a441-b24ce01933a1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 88503db3-258c-4a17-aa28-5cdf9b9c6afb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b1a592f-b52c-4708-b6af-70842939dfab)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f072fbf7-396d-4d98-93a8-94ae05b6a0d8)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b2c8288-36f0-495e-9cf1-04b103a030a6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 247d8f6d-927c-4600-bf14-6b7a0172847e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5bbf155b-c5d0-4259-86c5-9dd0baca8ca2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 665116f3-2c1d-4413-a229-19b49ba12cb5)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 280e71f4-e7d9-488c-91ad-c14d206f3dcd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c45ec959-49e4-4a33-8143-18ec6040e213)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 29301ab6-f8fa-48a9-aa5a-c50ad61619be)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bd1b89d4-b9a0-460f-addb-be246c33eeed)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 69dcfdeb-ef5b-4ff0-80d0-9b3186b50e32)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8a416bef-f4ea-418b-ba84-ed0cd4483a02)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3005a272-9387-4735-8062-1a5debaa9ff8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 30ffd62c-61b8-4311-add7-75ce2a179214)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 803e93ce-2182-441d-ac3e-5a26c7b903e9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 350e54ff-bbbd-42df-a67e-6d8014a0765b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c9e1ec5d-9b67-4c51-a30a-729acd4445d0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 388a5b57-424a-4304-afb7-4b3ff7668b99)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ac1fded0-ed64-4acc-ab4f-b1758c818405)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 37e49ec5-98b9-43ab-b3d9-683b9404f988)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 496f241a-e1b2-4356-80cf-b1a8589e931c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9584eeee-a7ec-4a2e-87f9-a8e8357e9d9c)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 355 "Net-(D143-PadC)") (pintype "passive") (tstamp 08e49d94-647f-4a9f-a49a-e595dcafb9d0)) + (net 348 "Net-(D143-PadC)") (pintype "passive") (tstamp efd0a524-a3ed-4f9a-9c2f-fbe8b98d8462)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 7d33235c-5488-4609-b7ac-78947216a006)) + (net 2 "GND") (pintype "passive") (tstamp 9be633c0-9aea-4875-9943-562c15fc3aff)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -10467,64 +10896,64 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 689a6ddb-1fcf-46ee-b8a4-45385f3865fc) - (at 93.37103 60.383993 180) - (descr "LED 0402") + (at 93.3216 60.383993 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/592184cd-9a1a-445f-9c09-70bbb7d1c70a") (attr smd) - (fp_text reference "D48" (at -4.020867 0) (layer "F.SilkS") hide + (fp_text reference "D48" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6b7ed3d8-b346-4fb0-8b22-354ea759ab0a) + (tstamp 63decbc6-8677-47fc-a933-ec7c6c48da88) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6f7a30c5-c581-4cc2-8938-dd8ea170dba0) + (tstamp ce7abef7-8fc6-4cb4-a39c-91feedf7539d) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 3055c17c-51e6-4a37-bccb-a5273e886da2) + (tstamp 5f1e9adc-8f01-4c97-82c6-fd81884ea4c2) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c217946a-ba28-44f2-a850-b12a8901cd68)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b32ca49-5745-4ccb-b7cd-e90e8d54fd43)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92b42dee-dd3c-478f-bfc2-d2ebf910c607)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a604f0f2-ad52-4d5e-9509-9ebcf5a0b86f)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 26ed5a89-7ef7-4615-b624-4bd9e19780eb)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp bd6565ae-6308-4b98-93bd-6f5eebc9f676)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad6a50ff-38f2-4f1b-9d40-7e841a98f7ff)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 278c908c-ad58-4e2c-8ce3-743cfd36b2d3)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c20fcf59-330a-4b17-8dc7-194c1149c94e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8e793451-7c43-4957-8ec3-9496d049c6a3)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02b1aa92-d6f4-48fc-92d2-91943314a594)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 605a13b3-dafb-4ac8-862e-bb7f8a9fe347)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9df11681-83e9-4ddd-9cad-6638743b5f45)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 65c4e8eb-f4e9-4396-91d2-f5324c11d62e)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8109a6dd-c663-4ee6-9ddd-bfd12278f6db)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ea8549cb-4f2e-4b67-956e-4dc3df02a359)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 78504dfa-e7c5-43fb-a739-4c80f048b19f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c830d517-bd53-4f5c-ad5e-d59a68a37e5d)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 23ed7afd-0297-404d-97a7-12ba2b814e97)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ad108562-d34a-4fdc-9483-8fd28542d08e)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c117873a-f877-493f-a97b-f96df53439c3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a4a7d4f6-d5f4-4855-baea-080086167aca)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e7820e04-a0c7-42db-8301-81b9113d63e1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb1fa912-36a9-49cc-bdcf-078ad692d513)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e7dc6787-621c-4e10-a25d-e3ddf6a80a94)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f9546221-7f2b-4c3f-bcb1-2572e9b7c3ee)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "Net-(D48-PadA)") (pinfunction "A") (pintype "passive") (tstamp ad2c5d72-e91b-4dda-9d48-64f5d912323a)) + (net 93 "Net-(D48-PadA)") (pinfunction "A") (pintype "passive") (tstamp 11225b9b-a598-4c82-9fdb-c809bac451fa)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "GPIO54") (pinfunction "C") (pintype "passive") (tstamp dc8c8ea4-182b-41ba-869a-b274b7857d1f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 94 "GPIO54") (pinfunction "C") (pintype "passive") (tstamp 1e6b83df-72c5-4d2c-8868-c1375ff2d498)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -10545,44 +10974,44 @@ (attr smd) (fp_text reference "R39" (at -3.024664 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5b6e61c9-8d16-4700-931b-a73841b1e219) + (tstamp f3ca31ca-2268-4890-a66a-b16a57721d0a) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e27fb40e-89b9-46b0-9a81-48e9a307f039) + (tstamp c0663fc2-9266-4237-9b28-089273f2713b) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp acd9522b-61c2-4a74-a31b-82dba6dfe97a) + (tstamp 3ac1c693-d974-4eb4-a9ef-82efd05e4a74) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8c9ef75-b646-4a61-a676-e90a9e2e7f89)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83b2975d-90bc-4756-8ce4-e4975e59f41d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9b057a4-2be3-4b77-859d-3b835afd8b15)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4431cf7d-85c4-4637-8c67-579baa8a00bf)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 94d46913-fa0d-47cf-a7d7-5041c8f5ae13)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2dc02ec-4a97-46a8-a097-267be18c578e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7f3ccdd-d9ee-4ff1-9f1d-9c908f32ddd0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d32e7db-ec4e-4606-b7c1-6342ca3611ef)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 679a2244-9d21-4ba1-96b5-f4b1c8c2580f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 599dfc5b-6ef4-4ef3-a95b-cc4070b1355a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 51fdc1d9-c607-43ce-8749-bda76e986c9c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4d8c9a3a-c58b-4338-a08a-513f3a1a564e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f3c69b12-9b00-42ea-af26-ad53746f3794)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cba33c6d-f4e6-4e1b-ae4c-7cece7498ff6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7bf084db-9777-4fe7-9269-866ecafb5ce2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp da8d09c0-0393-4c41-8446-f2c2508f69f3)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec9459f2-bf49-4bc9-8d45-43d1cb9975db)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4c17060a-1d85-48ee-b065-a41126b04cb5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 03bb8d15-af63-4986-818f-7265cfda8567)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3fbcd4a7-b2f4-4de9-a4d7-cce90a3cd493)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp faf719f7-f83f-4d25-9f5f-ce61eb8361f8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 05c9fd80-70c2-4edd-941c-b1520763598f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed89feae-242b-420c-ba39-95bf723b091b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d2bf0b79-3d6f-4e44-a6c6-405c5b3cb7f1)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 399139d2-415d-4979-b0bd-5588b4135742)) + (net 4 "+3V3") (pintype "passive") (tstamp 22947657-f892-4396-9e16-a850bb07f1be)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "Net-(D37-PadA)") (pintype "passive") (tstamp 629e0084-e3d7-4981-8d05-6a7207a91dce)) + (net 72 "Net-(D37-PadA)") (pintype "passive") (tstamp 1bb5de3f-6825-41cc-8a6d-7d995fbebd11)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -10592,7 +11021,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 6b36608f-8260-45f5-902d-e76d789ab98e) - (at 203.073274 92.619926) + (at 205.413674 93.915126) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -10607,44 +11036,44 @@ (attr smd) (fp_text reference "R31" (at 3.2512 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f684e642-01e0-4a49-a79b-2540c10365e1) + (tstamp 51fa2794-a10b-4f97-b0a6-833f47887b1b) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 898aa8d2-6e46-4996-8dbd-a1493cf17cfd) + (tstamp ff4ee0ee-be78-4c3f-ba70-ca13ac56fdfa) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 36d3780e-3176-40ea-bbee-202ea62e9b42) + (tstamp 69ac0e2c-c163-43fd-8442-f32a7e09b266) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f77f51d-0e58-4c7e-8a5c-c2e8eadb010d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37a56985-427e-4873-ac2f-119833c3ecf0)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a76f261c-1f37-42cf-8103-67c3d10e77a2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3b54f41-b2a0-4f0e-9379-0a417c837626)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aff7255a-4252-42c2-9dae-bdda0c683cf5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 29af730c-d2f1-477e-a524-767599f5e66a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 263997ea-1dd6-441a-8eed-4e3db4e63fd9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91162bce-a38c-4cdb-9af2-057536455e6f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 21f58b1a-22b9-421c-9da4-d7baddc547dc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f8487aa6-96dd-470e-9db8-e695e83a4860)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1867d47f-df05-49ca-8998-d7b6efd4724a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a37921c9-6574-4b61-969b-704ece4c8b1a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 95661fc7-8f6d-4149-81b5-beda2c1f0a3d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9d5be42a-0e16-43e6-be98-bc113e29c246)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1fae9a9b-d9fe-48c1-9298-49ae13081c51)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp df9b02ab-d068-429b-83bd-85bb180a1c9d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 292317f4-f1e3-4546-b757-b17788c9eba7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 253da3f8-2fa3-453c-8707-bc9ba64bd43a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d643784c-baf0-4437-b7c1-c8c05bbf3ff9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d17b0b7d-589c-4dfd-8765-e5549e82ab90)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9a3f7496-85e3-4ddc-954f-9ee269347aa4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab00bb5b-8b1a-4f33-83af-adb6a5dc126c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39980628-8518-4294-a524-f756dceca449)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 273523f3-164a-42ef-bc39-47b917098d75)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "Net-(D29-PadA)") (pintype "passive") (tstamp 5e2aed02-63da-4504-b40d-07eb0a7d6b37)) + (net 56 "Net-(D29-PadA)") (pintype "passive") (tstamp 1eb61fa8-eb3d-408d-b523-c1dda78b4ea0)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 3eccb39e-d399-47ab-a778-d8cd1d05e127)) + (net 4 "+3V3") (pintype "passive") (tstamp bd321f15-9f32-413c-93eb-a8fefcbe3018)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -10652,71 +11081,9 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 6c91bfb0-3e1d-43a1-ae93-718e074074da) - (at 191.8736 43.5752 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/66f3ec6e-eb89-4e64-bf36-641affde7304") - (attr smd) - (fp_text reference "C24" (at -3.048 0 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3ee1a814-ef9b-4942-b899-f88af86a93b4) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4438bbd1-da96-40e1-a0f9-ac7cb4caf3a8) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 530a175e-c6e0-422a-b7f0-476a66f2ffa7) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a8f95df-af29-48dc-8bca-2ca39cb9ef47)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d56b7e26-8010-4a91-bb80-b8edeff75629)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c52de72-5ad8-4280-9ea9-2ec4981f93f9)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 45b17a75-4d76-45e6-af8e-e01cf045340f)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e3e456b4-ed0b-4d11-b16c-501ec5ac4a72)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 462bdf29-486c-48cd-a3b6-7cea08c3ea9f)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b3b92d69-5602-4b3f-b3ae-20bd38ee2cd4)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 200332d5-d4ae-4ec5-a9f9-f4f4d8fc5371)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10825661-d1bd-45dd-af41-e9d2de905610)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a1c56217-e72c-4894-9da5-92380958e0e5)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 066019ca-af5e-418a-8fc4-839f5c4f861f)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 40e4fab6-0cba-42c0-975b-1f49c25b6a00)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 8ac08523-f296-41c3-a4fc-ef44db5dc1c0)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 382 "Net-(IC3-S1)") (pinfunction "2") (pintype "passive") (tstamp 370528b8-5d02-4b3c-91ed-17c629f8f4b6)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:Fiducials_medium" (layer "F.Cu") (tstamp 6d54abd5-7276-44ec-9f03-e7f2f409f24b) - (at 190.3136 36.0512 90) + (at 197.751274 33.360526 90) (property "Sheetfile" "LCB-CTB-01.kicad_sch") (property "Sheetname" "") (property "Sim.Enable" "0") @@ -10725,83 +11092,144 @@ (attr smd exclude_from_bom) (fp_text reference "O5" (at -3.3 -4.3 90 unlocked) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6ac07b2e-a5ae-4069-9463-1cc6c07666d7) + (tstamp f823381b-424b-4dd5-ab2e-47540518fd4f) ) (fp_text value "Fiducial_medium" (at 1.4 -2.7 90 unlocked) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 703895ca-49d8-4ba6-9a4c-c5d2b113098c) + (tstamp 6dfc77eb-302f-4237-bd1c-1087cdc4bcc1) ) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 9d5e7e3d-ccfd-466d-82e2-1a9d003021b5)) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp c0977a13-613e-4099-9ab0-f0a61e8b2483)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 7289bf98-feff-481d-af17-1ccf9c6aa99f)) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 3b977395-4e5f-4a87-8be7-c550ecd8f810)) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 504342a8-0245-461e-a11d-6aca9f93efbb)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2367a39a-f18f-4cda-bc35-94e8b3f60656)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4e81c282-29ec-4284-94ac-c588603c9b0d)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d41781ef-bab8-409a-aa48-98c5416e9c22)) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 9159ea9b-8a14-438c-81c1-43a84ebc5263)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 94802ff3-1c91-4ef5-98b6-7ea33f053a8b)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 1961bdf0-9374-455e-9b5c-122db16c139d)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 8131c4ad-da41-4737-a4c1-57b7b732a4d7)) (pad "" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") - (solder_mask_margin 0.5) (tstamp 9ff1a269-464d-4d4e-ad6e-f55fe1c2e114)) + (solder_mask_margin 0.5) (tstamp 48cc4216-0cc9-4ed8-ac8d-9fcb5de96734)) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 6daf7c3c-61d0-49fb-bcf1-9b7f5df1abb4) (at 76.454274 117.344726 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/483e2fd1-ff23-44cf-894e-8eb41ab2623d") (attr smd) - (fp_text reference "D88" (at -3.509838 0) (layer "F.SilkS") hide + (fp_text reference "D88" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d91620a8-df68-4a60-80d7-91027228335e) + (tstamp bee3c307-3c7c-4cca-9b1e-e7f5c8706a79) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bc230734-b4d4-4308-afda-a2c41631ea81) + (tstamp 96028c93-569f-421c-abf2-92452513363a) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 3fe6481a-1c83-4743-a0e0-1c4e9e95633d) + (tstamp 7aaa33c9-c579-40fe-ad5d-03cf2eb64994) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58eebbf2-da58-4dbe-994a-4991967a43c5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0977ee72-11d0-4fa9-ae79-c0c075f314ca)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 894893fd-091e-460f-a332-be5bea2c3a8e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f8751d8-4fc4-4e76-b00a-179b3b085b8c)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8f6391c7-1ae0-4428-8bf1-5702ed34c2a9)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c6f1e4c4-e61b-4cf2-ac5a-3b6b303f305b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe7621d4-786b-4013-9ba2-212207581b89)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c93e3ea1-8d85-4c6c-9ecf-7da3e5275694)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1428064b-3e11-4086-87ba-422b628e80ed)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d527108-84d7-473f-a403-dc6de2058636)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 805fe510-e0af-442e-b004-44c76f98ae75)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4abb9267-580c-4238-9d39-43098e62616c)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 3361e7f0-d454-43b8-a3c8-dd545ec23006)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 532c1ae4-5849-45a4-af95-4d6c6d7872c4)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3093541-e1f6-4bc6-8245-29f7354b7304)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2025e561-8382-4516-8e4c-1d5bf31c5d80)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c60e1612-c158-4180-a9b0-941d8e46aa0f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c27ce958-6071-4d63-80a8-59f182c29b1e)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4f8f7ace-b31c-47ea-b3fe-504529c17059)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1840c833-483d-45e6-8041-4a6c7e98f227)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bd0448fd-b32f-4714-bdca-f628024dd23d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c45e4c16-6f2c-44dd-a558-774d46c36374)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 421908e8-dd09-45ec-a39c-7bbeb243c642)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c1d46b53-c420-4e96-a154-0ccc080fbd33)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dea7316d-a626-4ed5-8330-f2f4d46dc38d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3302f3e-968a-4453-b308-76261e4656ef)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 174 "Net-(D88-PadA)") (pinfunction "A") (pintype "passive") (tstamp 39bca75e-8abe-411c-ab00-3288774d1dd3)) + (net 171 "Net-(D88-PadA)") (pinfunction "A") (pintype "passive") (tstamp 289d399e-0597-4574-946c-f14f8824662b)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 155 "GPIO87") (pinfunction "C") (pintype "passive") (tstamp 65356b42-e1d7-4fbb-bee9-5919fb6d7aab)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 152 "GPIO87") (pinfunction "C") (pintype "passive") (tstamp 036fbeb6-80f8-4f51-afcd-e7f284a0d081)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp 70257e21-51fb-49eb-983d-537977da7081) + (at 197.739274 134.076526) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7c0d8853-fcee-44fd-94db-07ebba1c7a0b") + (attr smd) + (fp_text reference "D28" (at 0.3 -1.39) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7ea0fcc0-9e13-4295-99d1-487624148bad) + ) + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bd996b46-2669-44d8-8d87-100af9c6e5fe) + ) + (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 3d3075ec-27c0-42af-bf95-d2866ab7b4ee) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9058a31-1909-4ac6-aae4-7524dd36781a)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b09c4168-c8aa-44e6-babc-7ff1dac973dd)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 021cabef-f7ea-4c27-a338-f662b33a5266)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b875167-8ab1-43bc-8b3c-bcf2054e7375)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0419ff3-9d13-408d-872a-d5cb71c4ea61)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d59cb6bb-0592-43e8-ae12-3266554f7495)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2424f575-a84e-4543-b6ec-640b9d372f00)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 56d7551e-e493-49a4-bd03-645c863acb93)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0ebab99c-6726-4b00-9421-7cdda04528b3)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 39a7c660-02a6-424a-b775-ac3c3558f8c8)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f139d1a9-767d-4ea9-bf79-7818071b3948)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0825f0fc-de67-42da-a2bc-8c9ddc6e3d3c)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8609b068-9e70-49e4-bd5d-b04e5066bda0)) + (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "Net-(D28-PadA)") (pinfunction "A") (pintype "passive") (tstamp f267d4dc-eaac-45c2-87b1-edb746060066)) + (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "GPIO33") (pinfunction "C") (pintype "passive") (tstamp 6de6a7df-ff10-4332-bb2a-34f200fca6c0)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -10809,60 +11237,60 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 6eff5283-83a4-42a3-9c2b-cae46cb81308) - (at 184.517874 144.066926) + (tstamp 70ede3e8-2c28-4d64-b595-75daf4d0f9af) + (at 211.086274 66.253526 90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/03955071-402c-46b0-b523-943a1dd3980d") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/dbf8d109-c376-49cd-a7a4-78d683909cab") (attr smd) - (fp_text reference "R18" (at 3.38041 0) (layer "F.SilkS") hide + (fp_text reference "R157" (at 0.635 1.524 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp f9b85162-db51-4c68-9d94-45e5540e0356) + (tstamp 7abb027b-6763-4268-9dda-04be1fc76434) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0982b8db-eea1-49d8-82d2-0600d1ed0e7a) + (tstamp 45104af3-7a9e-4fed-961e-eaa82f53fea1) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 20eff986-25fa-4ab0-aa0f-ccce202eb9fe) + (tstamp 8adca41a-1493-463c-8b63-0fc764e379ab) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f754274f-be30-4eb5-88ab-645c32d951b3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fd59bebd-259e-4e13-b0bc-d325660ed819)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 086e6150-6adb-403c-b9bd-97bb2ae2a2a9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 446bcbb1-0390-45eb-bae5-10d591c2740f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43f5d14b-0adc-4441-9e69-fca1588bbcfe)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 45ba8ba2-3b7a-43bf-b738-bde0a76bb25d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e674f4a-ec2c-48d9-87f5-2148c6e5e545)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80288779-b6a3-456f-8091-2e73cb15a9a3)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fa14d8d9-4c3f-4672-bcfa-cadbdee914a2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 253f01c6-8357-462f-b5ca-98dd216611de)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f38889f4-e8ee-49df-b843-c062138932cd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e2069734-60e9-4fa9-ab13-19e209a4a7e0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d3ae587c-fb0b-4ff1-93b7-45c4051f9b85)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 04c46dc3-a69f-4618-a257-928c9637de7a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5ea97e65-57f0-4115-8a63-77874516668b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 64f052fb-868c-4ec1-96a1-23ee39357aa2)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 33c732c6-1577-4dc6-b5e0-f5caa24daed5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed40c9fb-cffb-41aa-84a7-fc74133e8316)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c07f8d6a-61c4-4f57-8558-067462503d18)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cf2c6393-661a-4788-8663-95a8934e23f3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 64d6fa6e-c4ad-43d3-848e-af80b58757d7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7240c6d6-d624-4da1-8513-d94a16e249d2)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 92335bdd-f4a4-4e58-a6b2-556874424c90)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 33 "Net-(D16-PadA)") (pintype "passive") (tstamp 6a5826ba-615b-4a2a-b4de-c04b2fc68f22)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp c6d02411-a30a-403d-aa15-6d2e3600d03b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2627252a-b1d3-4901-8712-af489fb4a1b3)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 364 "Net-(IC1-S3)") (pintype "passive") (tstamp 91be2ebb-6649-4d3c-8399-88f1367aed04)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 705b7c00-8c0b-4ac0-a12f-1c381b44d5a4)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -10870,61 +11298,62 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 70257e21-51fb-49eb-983d-537977da7081) - (at 177.165274 133.944526) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/0854942b-5c02-4a97-9194-6e74b4ca91f7") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 713772e5-502b-4f85-a40d-4f18a6cb8de8) + (at 189.750274 66.634526 90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/f6b64cb0-9e70-4dbf-97ed-4084e926fcb5") (attr smd) - (fp_text reference "D28" (at -3.338363 0.02) (layer "F.SilkS") hide + (fp_text reference "R168" (at 3.175 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp fbe4c5d1-b5da-4a93-8023-e2e3d52decb1) + (tstamp ad2e4417-6ead-40ff-b4cf-a4e9baa9a0a0) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8c7cfe51-f464-4d96-badf-c48cbf253997) + (tstamp e545e192-ba61-4100-ad61-ce9e33d9c6dc) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp a33fb424-50f1-4e42-82f3-88adcb90e000) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 6be554d6-f7fb-48db-91a9-ad72f11ff686) ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b475585b-286c-4d89-9290-09ac2447e559)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8878e98f-7597-419a-ac82-31877851910f)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f117968b-3c6b-49c7-b9ec-e536b7428a7c)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22f4f1a6-3892-4565-b00c-777fada9fac7)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2328ad8e-3e4f-49d7-b161-fb469d56cc90)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a5c7ae05-bf2b-40e5-b3af-e7ff348a10ba)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 26b6d552-fcea-46c2-831f-9fda38eea68f)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4aa649f2-bde0-477b-9bd0-108249f131d6)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd948be1-9aa7-418d-ae67-07c0c7fc41ff)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 987c830f-86f3-4b9a-9035-bef5f4a301eb)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43cb6f56-52cd-45b0-a733-392552aa8398)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 717b6436-69af-4924-af48-66d7382b9af2)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 44404e47-4242-4e4e-9b9f-8a6f7167e57f)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "Net-(D28-PadA)") (pinfunction "A") (pintype "passive") (tstamp 6509b2ec-20c1-4bd2-9727-d2400f54430b)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 58 "GPIO33") (pinfunction "C") (pintype "passive") (tstamp e241d1d3-e3de-4b59-ab41-a02574190959)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03e07209-2315-478e-8784-34eb77131be0)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 585d2400-1540-4c0a-b26c-967fbad1643d)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 838ae9bf-7803-48d5-babb-6c52b043e59d)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6b79758-82d5-42d8-a03f-4c2a12530829)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b3b26d2a-0f09-461f-888b-247c3b325588)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a814689a-164c-4d59-bf5c-65eaa9b33c03)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c7b1a91c-dd28-4829-a8b6-09582e1a5885)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 19003f6f-99da-402c-8b8b-949c764e0ad4)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8c43e167-ce74-48aa-bec4-e181ae72ddd4)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d36e2c99-8ed8-4140-a0c1-420ea5b29997)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aef51367-2e34-4fe2-8a5a-3c87daac81a0)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 94c8a273-f842-41cf-b6b0-701ca31e0d0f)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 363 "Net-(IC2-S2)") (pintype "passive") (tstamp 260b9497-3e57-4dab-a3ef-69be31a26bd4)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 38bf95af-ea5f-466d-b001-fc592afd5673)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -10933,7 +11362,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 71e5974f-94e4-4695-8094-0c869d6fd808) - (at 69.112274 51.141126 180) + (at 69.203634 51.141126 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -10948,44 +11377,44 @@ (attr smd) (fp_text reference "R44" (at -3.3528 -0.031) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp eb1ebfda-7782-4d2b-8171-76599628d0cd) + (tstamp 6babaa82-527b-41b1-93cf-f48bc97fbb38) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f4795b76-6c5a-46f1-9fac-7155e3f56c60) + (tstamp 49d73930-8367-47c5-9fb3-3ba8002361f1) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp b2aeae85-f136-4e61-923c-20df40a6246c) + (tstamp d8eb3e8c-9ce8-4d6a-be00-ab75c4b558ee) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20242d0d-8f8c-4cb8-ae38-36f731b141c9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb16368b-177d-43ba-a6f2-d251201dadcf)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6340a2a0-4ea9-4f50-9a93-18c7c4e90638)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c886d873-d407-4852-b272-fe4326c6e5f7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30744a96-b1c8-4606-8918-37131a6e318f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce081686-ebe0-407b-b90a-c2e46394d751)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1dc9071-c40f-4456-9216-3839bca605b1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e76bcc0-cf44-409d-b572-0375052f6c63)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 64c9bc1d-5420-400a-aad6-108cff0c469c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a4787e3b-1753-4287-9d1a-dcbf5252d89c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 246547e1-60ba-454e-a0b3-336416fcb428)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 997423f1-1d5b-42d2-b056-78b752547ed2)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b51ac024-0e90-4107-8ec1-c34d137257fb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 545924b4-95c8-453d-874a-fd57b4f92924)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d248d5b1-f3ce-4e38-88ac-f2d1b54391b5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a08b9d38-05e2-438a-b281-031e60371e6e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aef7ddb0-b9de-4ada-b55d-ac1ae2202afa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4ba99cb-183d-4ad9-83e3-38884008e3b3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e010838-d554-449c-92e7-d596f812255f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bedef7a7-1684-418b-9854-a7b552f3bef4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d88cb12f-6904-4481-8907-34e6070524f6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3366c6f7-bf16-4869-82b3-1dbc6722f2a7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9cc22a3c-ef21-4179-a0a4-9ed0a03ff06d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0f89680e-6bdd-4cf5-9d30-e6cffca9b169)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 84 "Net-(D42-PadA)") (pintype "passive") (tstamp ddf2048a-049c-4b5d-be68-7e5ebd6eed5b)) + (net 81 "Net-(D42-PadA)") (pintype "passive") (tstamp bd2ba396-7680-48fd-a6e5-2c630649a28a)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 09748b7b-4330-45c4-a652-d63f43c36640)) + (net 4 "+3V3") (pintype "passive") (tstamp 382af5fd-16f1-48e5-b16b-803deecb3ff0)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -10995,7 +11424,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 726cc421-bf9c-4167-8ea4-4f8fd1266b1b) - (at 69.18979 79.698571 180) + (at 69.203634 79.698571 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -11010,44 +11439,44 @@ (attr smd) (fp_text reference "R121" (at 3.786191 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d6baf555-2893-4b2a-84b7-b16ed0635e09) + (tstamp 93583a64-8993-4b9a-b8e1-7aaeb3e2c668) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2eacbad8-e710-4700-a379-41a205bf6047) + (tstamp 892fdb84-faa3-413f-8564-8b418563fb64) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 44842c91-3454-4bf8-b5c3-c92c2499faf0) + (tstamp 4b86972e-24a5-4531-afd3-8fc2c042d75d) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 851cd947-fa13-4321-a8d4-166d2e150c3c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c681aebc-3aa7-4895-bf1b-cefb788bd325)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d9c7d3f-cf99-4d64-b09a-3eedadeba195)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d7147ec-6bc1-4f49-a2f8-39cb967da3ad)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aed4b37c-05a5-479f-bdfb-669e1b68c5ae)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4df8952e-653d-4649-aebe-3650dc7a6344)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59f301e3-a86a-457f-bfdc-149177fa2654)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f99bf766-96b1-4f1b-aa5f-22da3308b308)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c9f60b88-7f04-4ae4-a8ac-0f2384b27872)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp da88afd0-44d4-4f43-95c8-afe683f068af)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 42bbb8d4-faa3-4e6d-8bf3-0de6a26ed019)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 164cc4a2-d3b0-4b42-a047-f525adadfc67)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4b36352f-3156-4901-bb42-df11560e4c5c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 622d0e39-498c-4ad4-ae3e-a8a36f8d89d9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2d93252c-38aa-4f19-9c9c-5e8867c97ce2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 147fb612-b833-4d10-a054-a1e5293e4f40)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e657324-957a-41ab-9535-adb9e698ff8b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b197446-ed1a-4e00-b30f-db886d5556a5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39d1158a-7b95-4514-aaa4-a0a830a0d7d1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b6a0c891-cdd7-4e8c-bf8e-769ef0684e98)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e014d55a-df2b-4dde-b7ad-ec9bf86f8d76)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4892ea7e-bcbf-4200-a884-ebcd94dfab0d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 37b40df2-0bbd-4e5d-89ed-6ac1b0615e84)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d9abdd93-bf0b-46da-a423-2121ad152f43)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 347 "Net-(D119-PadA)") (pintype "passive") (tstamp c0c82864-c26e-417d-a46f-a50a7b6352b3)) + (net 340 "Net-(D119-PadA)") (pintype "passive") (tstamp 290b7fa5-c35b-4cb1-abb0-4fe77e99de37)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp dd354789-9d3c-4698-8c95-1db93661a45d)) + (net 4 "+3V3") (pintype "passive") (tstamp 7c62d574-7414-47be-b3a3-96b2ba1c612c)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -11055,122 +11484,184 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 72ff4b72-2e47-4468-b2a3-7e114b1966c9) (at 93.3216 118.369225 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c1911cd3-fb8f-4be4-b53b-6c3d86ccb3f0") (attr smd) - (fp_text reference "D40" (at -3.916561 0) (layer "F.SilkS") hide + (fp_text reference "D40" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b0fd969c-7fc0-4689-af7c-0944d899ccce) + (tstamp 66745ed6-d7c4-4894-aa28-c8aa07c0e361) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4b32b313-7777-4d15-b528-be9d01d75dc4) + (tstamp 690c9484-5159-4617-8f8f-b392507669e0) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp fff7dfc5-2b81-4681-a9a2-c6a7d7d4fe06) + (tstamp e2a00857-aee5-454f-8464-af5cb94a8d8b) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6b969219-6f2d-4d50-82eb-d13090b8be1b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4af8d0f4-258f-433e-ad3b-d52862afebcd)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec71c0b7-dd94-46c6-91ab-686f88c77604)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8e3dcbf2-8525-4ec0-b3d0-7893c1b43a99)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0608f276-f5c1-4319-9314-812285d0d7d6)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8f17a23f-e1ed-46fb-ae56-02c146243536)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5e311b4e-c72d-4fbe-b6a5-70ddb1943fd4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 535ee10a-fe12-4a5e-af2d-0ec363654439)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b29aa4a-54c0-4bd4-b13a-b19dcd8cd330)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83ed0a43-b752-4ff3-8c43-1c734dcbf6ee)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 792c31cd-6e30-40db-baf4-4ded612f6e44)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb486e91-ef66-4e5f-b062-da4992d75dc8)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0ca1979a-169f-4105-997a-31876a5b69ca)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 91e4c03c-b1fa-470e-b33d-f0f91821518a)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fb482162-9f4d-4623-83a5-14d8ccf25573)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 89d03c7e-ce6c-4c54-b757-d06bf93f2880)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 45ce36d2-8329-41b4-a88f-bbcf0c6d5117)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0b41b75f-69a8-4a28-8d79-44a91bb34f1d)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 022b077c-100d-47ba-aedc-e4232b72a8cb)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0da77a9b-aea6-4513-ab2a-08b1990597b5)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7b385555-1891-42ea-a133-b7c48566ec84)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp faa276ea-ae29-465d-b7e6-aad77eab6b81)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 98e1f10b-87ad-465a-92be-c36ca524cb19)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 67e9f9f7-b2ba-4ba4-93c8-9e23c63a04c2)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3eeb1459-a9fa-4a4f-ba58-e22c10f99fd4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72c1e956-1434-49b8-817a-044f970efe12)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 80 "Net-(D40-PadA)") (pinfunction "A") (pintype "passive") (tstamp ce6edd61-5c68-483d-80db-8144975601e5)) + (net 77 "Net-(D40-PadA)") (pinfunction "A") (pintype "passive") (tstamp d2d2102e-4789-4e63-b7e9-22800b66df6a)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 81 "GPIO46") (pinfunction "C") (pintype "passive") (tstamp 1d5f9eeb-6fec-4b5c-b6fd-4cb1696905dd)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 78 "GPIO46") (pinfunction "C") (pintype "passive") (tstamp a70509e4-94f4-4643-a908-0047feb20aa5)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 7490ea10-4f32-41c1-b03e-4d5d261d340a) - (at 195.707274 151.573463) - (descr "LED 0402") + (at 197.739274 154.240463) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e9738591-452b-472e-a7e2-c30880ad9f57") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/b323a0a0-13b0-43d4-9d95-1a89aae46d15") (attr smd) - (fp_text reference "D8" (at -2.869619 0.02) (layer "F.SilkS") hide + (fp_text reference "D8" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a14c15de-f27b-4dbe-a832-fd9efb05051f) + (tstamp e9ad823c-0f18-485b-a306-dd3796eeb36c) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ead6d9b4-53d7-44b9-b11e-f7c4485979d2) + (tstamp 3be34ad6-9ba2-4b41-ad8c-b6c2b10942d6) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp d90a7e16-0d27-4c2b-ab43-bbdab9ad613b) + (tstamp edffa0c4-db51-4efa-b323-e256b590974d) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 330b2527-73ee-41ac-bbcd-f4c7cf4b7fa6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07bb20b2-13fb-4595-84cf-c43256e96c38)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01afa13a-f8a9-42e8-adb4-e5cd217c1263)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 647deab8-8e9c-4464-85f9-9e2d0c7a0150)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5f4dab51-7ea4-4939-8c14-b659f1c2ed33)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c6eeffe3-19f4-40b6-9bb6-e168ffb017b9)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe89f1fa-99b3-4c55-90b6-d8ad9cf8c219)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd62854d-1c81-4d49-8831-bef4e9f22b8b)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86dfd812-2048-493a-a4f1-ea4980a2a7ab)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 53f9aaae-db6f-4227-8b31-33ad4e8deb00)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0e28804f-cddc-4cbc-8d5c-f0e3461da029)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ad3bd2f-62c7-4da9-b0c7-60294a2091f6)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b82aeb53-f792-4598-9e28-fbda8b6f4a95)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4c01f685-19e9-4633-9a82-79cf1615ba83)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 67c2bdf4-eca5-4e8a-80b1-dcd683e488fe)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 59ba6733-33ee-4a7f-ad0f-7455e4f07943)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b66b0c8a-a95f-430c-bba9-d92dd74cb7a8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd1199c9-a69c-4690-a224-df9172e28e39)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 53798c0c-2ff6-4d83-a490-41c667495726)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c4b84fc3-b863-48e4-9fd3-1a6f10a590fa)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb0d1969-8050-4764-90b4-32931bc3878f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 40b059ae-dbb0-4831-9c4c-ee1180ef0f36)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 230d3f63-f19a-44a4-9e58-c3fc79a67404)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1728eb8d-a951-488b-ad41-883521a373eb)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4abdabdc-7bb8-4682-9c97-e674f6777b43)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6cbc3da4-ee23-4d40-be38-b2daa74a8003)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 17 "Net-(D8-PadA)") (pinfunction "A") (pintype "passive") (tstamp 64f94e16-4684-49f1-bd94-6cf0941fe585)) + (net 17 "Net-(D8-PadA)") (pinfunction "A") (pintype "passive") (tstamp d7c6757a-1e00-41c6-8b37-37c4cda78810)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 18 "GPIO12") (pinfunction "C") (pintype "passive") (tstamp 9ad65b68-f226-4705-a559-1c247b78b5c1)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 18 "GPIO12") (pinfunction "C") (pintype "passive") (tstamp 76e035ca-5c6d-4cc7-8b59-17ed80296d65)) + (model "${MODEL_3D}/LED_Red_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 7576b076-58d4-4827-a696-58d076e7a317) + (at 202.825274 59.858526) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100KFKEAC") + (property "mouser#" "71-CRCW0603100KFKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/dfffb290-b0df-4c6d-a93b-02873108cd1e") + (attr smd) + (fp_text reference "R181" (at -3.55 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 156bdad0-278b-4ebc-8397-cba3963f8f3f) + ) + (fp_text value "100k" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 035228fb-bdf6-4b0d-9f69-c1fbabc9e14a) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 7d41fec0-cbca-4465-bae0-c2130916de47) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3023bdfc-e916-4014-82ee-b448ee0ceb1b)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46e2fdb5-9c35-49f1-adcb-b5b13ceddaae)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac4427b3-96ed-4d8b-899c-df2e4740a782)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 284f50f1-52f1-41bc-a448-07d0b2d586b7)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c8d7cda2-8dd0-4737-bfb1-c0031dce0f29)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b09249c0-2001-4c31-899b-8248d8b06a69)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8bb14887-8ac5-4e95-93c8-9ef0558afc42)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c20f9cc9-596c-4847-9795-d1d90c1e6f32)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e13b661-c9e7-4262-908c-bd2a7bf7fc58)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8fad4b24-84ba-4485-89fc-f59a0b9a974f)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f1c65893-f609-4027-b8f1-1dd1e1f8f8b0)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e4cc7295-e3ab-4df3-a67b-e17d9b152273)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 9a9d6769-855f-434f-bd09-010865643717)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 390 "Net-(IC4B--)") (pintype "passive") (tstamp 305e87cc-c429-4b1c-9616-00a770008b33)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -11192,67 +11683,67 @@ (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c3b20efb-d155-4ea1-9310-53e366507f74") (attr through_hole) (fp_text reference "J4" (at 11.5978 0.0254) (layer "F.SilkS") - (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 537bc8c5-fdcc-4675-a2d6-a7a519a1c6ad) + (effects (font (size 1.27 1.27) (thickness 0.15875))) + (tstamp 3d6c9ac6-b8a8-40fa-acac-3d90a239d7b1) ) (fp_text value "Conn_02x08_Male" (at 0.53 4.25 90) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 15890eb1-d2b6-43f8-8182-0a4953e20468) + (tstamp ae3f8ab4-e4d9-4d39-aa40-bb833f3fd3d4) ) (fp_text user "${REFERENCE}" (at -0.71 6.27 90) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp e3f75d1d-0286-471b-9a28-ed8168560210) + (tstamp 1f9ed25e-e380-4441-8c62-07e344a380c1) ) (fp_rect (start 10.38 -2.72) (end -10.44 2.76) - (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp d940e8ce-6092-4434-9dd8-2e49833a3102)) + (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp 0e03dc52-d68c-415a-b630-2e9b73ae634e)) (fp_line (start -10.595 -2.915) (end 10.575 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fbdccad6-c6d2-41c1-b977-0617d161dbb5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d867ba63-0669-4839-bf9a-7dfd367808ca)) (fp_line (start -10.595 2.915) (end -10.595 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0103bae2-801c-4d29-92f1-4f0518bc612f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 13bd267f-66d0-4a27-8c4d-fe5215cb2349)) (fp_line (start 10.575 -2.915) (end 10.575 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c40d9d32-a3ef-48f9-9172-94cbbadc8f70)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e06a5f6-d174-4bf6-9968-18f0fe7c6a45)) (fp_line (start 10.575 2.915) (end -10.595 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 08404d84-845a-414c-b682-5ddc9bacca41)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 74aa136e-3bd0-4355-8fbd-f423511db6f2)) (fp_line (start -10.345 -2.665) (end 10.325 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bdc5459d-a78e-4578-9c85-2377fe3a590f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0e058610-e98d-41a3-84ea-fa2fd0cfc795)) (fp_line (start -10.345 2.665) (end -10.345 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 42f1954e-882a-464f-bbda-2498aa38c58a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4543a1ec-020a-4f55-a5bd-21d93f7047dc)) (fp_line (start 10.325 -2.665) (end 10.325 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 92bf8896-af09-449d-989e-dad66365ffa9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 238a1d45-d726-4355-87d1-d7bf341dce70)) (fp_line (start 10.325 2.665) (end -10.345 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 51f698a9-975e-43bd-8678-f039296e8f3f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de4ef334-9160-47b7-8d8e-09e6d57d7a1f)) (pad "1" thru_hole rect (at -8.9 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 237 "GPIO145") (pinfunction "1") (pintype "passive") (tstamp bf49de6e-cd4e-495e-b816-0d2f398f5fdb)) + (net 230 "GPIO145") (pinfunction "1") (pintype "passive") (tstamp d110f386-f510-457e-ae56-a3a62e5e026f)) (pad "2" thru_hole circle (at -8.9 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 240 "GPIO153") (pinfunction "2") (pintype "passive") (tstamp e016738b-9374-4d2e-8caf-4f6e0ddb1d02)) + (net 233 "GPIO153") (pinfunction "2") (pintype "passive") (tstamp e2b4896b-6dab-41ff-bb6d-bc4a657c3a0e)) (pad "3" thru_hole circle (at -6.36 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 246 "GPIO146") (pinfunction "3") (pintype "passive") (tstamp 59359687-7577-41e0-b215-fbc5537ca819)) + (net 239 "GPIO146") (pinfunction "3") (pintype "passive") (tstamp 5f6fe3fb-503f-4f83-9987-0025fb7582c4)) (pad "4" thru_hole circle (at -6.36 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 241 "GPIO154") (pinfunction "4") (pintype "passive") (tstamp 390ac62a-ebfd-4883-882d-5e587b8a4ee0)) + (net 234 "GPIO154") (pinfunction "4") (pintype "passive") (tstamp 0a905590-4c22-4308-9c19-6e540bdb0d95)) (pad "5" thru_hole circle (at -3.82 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 247 "GPIO147") (pinfunction "5") (pintype "passive") (tstamp b3bc1aa5-190e-42e6-911e-ce78ca5d6d2e)) + (net 240 "GPIO147") (pinfunction "5") (pintype "passive") (tstamp 8f595992-8e1a-4c72-b4bc-99afded3c1dd)) (pad "6" thru_hole circle (at -3.82 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 242 "GPIO155") (pinfunction "6") (pintype "passive") (tstamp a3ec4cc1-d542-4ceb-9237-0acfd520fccc)) + (net 235 "GPIO155") (pinfunction "6") (pintype "passive") (tstamp 3d7f4da6-d9e7-49e2-8e7f-ee4da9d0ac61)) (pad "7" thru_hole circle (at -1.28 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 248 "GPIO148") (pinfunction "7") (pintype "passive") (tstamp 0f1be3da-2007-4520-9ea6-ca3ff52b54a0)) + (net 241 "GPIO148") (pinfunction "7") (pintype "passive") (tstamp a78721a6-16bf-4d19-bb18-b76c3879a8a4)) (pad "8" thru_hole circle (at -1.28 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 243 "GPIO156") (pinfunction "8") (pintype "passive") (tstamp edd44079-9eba-4fa0-9fd5-1d9760b7ca3e)) + (net 236 "GPIO156") (pinfunction "8") (pintype "passive") (tstamp e985d5e9-8bcc-4d73-86c8-e91749269366)) (pad "9" thru_hole circle (at 1.26 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 263 "GPIO149") (pinfunction "9") (pintype "passive") (tstamp abdc01c1-5547-4395-a230-b6fe592e835d)) + (net 256 "GPIO149") (pinfunction "9") (pintype "passive") (tstamp 5028f68b-11ef-49d2-b056-2a91d13b7c29)) (pad "10" thru_hole circle (at 1.26 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 244 "GPIO157") (pinfunction "10") (pintype "passive") (tstamp cdbe57b6-f7bb-45be-afda-9e0fc16e45a6)) + (net 237 "GPIO157") (pinfunction "10") (pintype "passive") (tstamp e011e3dd-0d17-477d-8aa9-d4a805900042)) (pad "11" thru_hole circle (at 3.8 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 264 "GPIO150") (pinfunction "11") (pintype "passive") (tstamp 62ffca79-62e3-4722-83ca-049b4c6aca6d)) + (net 257 "GPIO150") (pinfunction "11") (pintype "passive") (tstamp 5dcd0652-1de5-4873-bea5-039f32464beb)) (pad "12" thru_hole circle (at 3.8 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 245 "GPIO158") (pinfunction "12") (pintype "passive") (tstamp ad1b354e-01e6-449e-83a9-b0c759bdae1a)) + (net 238 "GPIO158") (pinfunction "12") (pintype "passive") (tstamp 0935be2f-bafe-4666-b7fc-ecf206197a4a)) (pad "13" thru_hole circle (at 6.34 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 238 "GPIO151") (pinfunction "13") (pintype "passive") (tstamp 28a8fdd2-2a9b-4fba-a599-ff0e9ed79dcc)) + (net 231 "GPIO151") (pinfunction "13") (pintype "passive") (tstamp 8ffaf377-5d41-4874-9162-969296ce8ca3)) (pad "14" thru_hole circle (at 6.34 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 262 "GPIO159") (pinfunction "14") (pintype "passive") (tstamp a99c8ab2-7627-4ca7-be9c-03f3a694f586)) + (net 255 "GPIO159") (pinfunction "14") (pintype "passive") (tstamp 91e76ee6-ed13-4d80-8036-f80689b38636)) (pad "15" thru_hole circle (at 8.88 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 239 "GPIO152") (pinfunction "15") (pintype "passive") (tstamp 64da7a4a-5344-4014-aa94-1bbb0712232a)) + (net 232 "GPIO152") (pinfunction "15") (pintype "passive") (tstamp 844df1c0-7f3a-4469-bf78-9b4b27cc69ed)) (pad "16" thru_hole circle (at 8.88 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 265 "GPIO160") (pinfunction "16") (pintype "passive") (tstamp de4dc879-c5ec-4155-875c-6ec301ff27e9)) + (net 258 "GPIO160") (pinfunction "16") (pintype "passive") (tstamp afb23c45-3614-4d5b-9088-ff2c09b7796c)) (model "${MODEL_3D}/M20-9980846.stp" (offset (xyz -9 -1.25 0)) (scale (xyz 1 1 1)) @@ -11260,193 +11751,131 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 76910ea4-be7a-42e2-9d51-2caf1807a3a1) (at 76.454274 135.1662 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/2bfd4347-40b6-41f1-8924-d0bbfbc3f039") (attr smd) - (fp_text reference "D6" (at -3.047047 0) (layer "F.SilkS") hide + (fp_text reference "D6" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f008d448-646e-4e5f-a331-caa3a689bda1) + (tstamp 571cf2d7-0872-4508-8be6-0b39f986b946) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9c590b12-7e20-4aa0-a4b9-586b4955e4c3) + (tstamp 0e7059e8-fcf9-45e0-92e7-11a003c8fa2a) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp eb79ecd2-cf88-4293-8693-ff0837b10fd5) + (tstamp c35a1981-ba1c-4a3d-9924-8709e2367d76) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4cd830b2-094d-404d-bfa2-38493f0244e2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca9ab39f-2ddc-45fc-b834-48d8df65d3cc)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 525a3668-6643-404e-9046-f1e92a448866)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95757871-b619-4dc1-b88e-1a6acf917e3e)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 57086f7c-37a7-44c7-9b4a-9ce152fe315e)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d3cf887d-295d-4f77-8d64-0728b0306014)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 106775a8-a03d-4d50-bb2a-252a0721c6d9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b8155c9-eac8-4dfd-a828-2ba645f5ce1c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 53ae437c-7373-4154-96bb-74ae65a4ef94)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b5d840d-8a39-48d2-bccb-b4aedcb54487)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0b5bdfa-9bc9-4dc0-85a5-2c8386eb35cf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fcf6b7b2-516e-4445-820a-9b04fed606e6)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7a201438-9835-4748-b46f-9c97e10af9d7)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 480f3877-b0ea-4325-8c38-daa0d867ca55)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4d99be6f-8d1d-44ca-9e35-fd10ede0e429)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3bb293a7-86bb-4b13-a061-cdb705182c4c)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3bd729df-38a4-4205-922a-4c0c00ed874c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 11c00b3a-fe6b-4a02-8a0f-604f7708b1c1)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8c63db90-2283-4a99-8341-116fe74f643a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 93f57e42-b32b-4011-bdf4-7f70c6b7494c)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5da4bbc3-71bd-443b-976c-8c7813c6c753)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 47fceb77-7e54-41fc-be98-cfc10507871f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0dfac99e-bdff-446c-bdb2-491152d9639e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d072148e-1909-44cc-b6e2-49a8ad8c8a3d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a94f03b2-8d4e-4702-abce-becc1efd5dd7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 078999b3-344f-4abb-a68b-0d03f5736676)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 13 "Net-(D6-PadA)") (pinfunction "A") (pintype "passive") (tstamp b0ec1ed2-8bdf-4248-8b8b-7f9bbe7b6230)) + (net 13 "Net-(D6-PadA)") (pinfunction "A") (pintype "passive") (tstamp 57c1da92-6264-4520-9e01-7eefa839bb4f)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 14 "GPIO8") (pinfunction "C") (pintype "passive") (tstamp 7a814f3a-ad41-4af2-a952-064116d580b5)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 14 "GPIO8") (pinfunction "C") (pintype "passive") (tstamp c9df1a06-5af8-4e5d-a2d9-526de4c19772)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 7712eede-2ca4-4833-ad1a-ecbb6549dc09) - (at 203.073274 100.274526) - (descr "C 0402") - (property "Manufacturer" "Vishay") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "Resistor 0603") - (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603680RFKEAC") - (property "mouser#" "71-CRCW0603680RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d3d960bc-d0d6-4666-9f29-6f8b4ca39655") - (attr smd) - (fp_text reference "R78" (at 3.2716 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c7c78c58-276c-4545-a297-8d914da6dbf4) - ) - (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp a3b0fd31-6cb8-4f2f-b0b5-8b934501f720) - ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 67daa096-588a-4979-bb96-66ce2b8c5316) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 189c246b-965e-4c33-8931-213c94d9a4b9)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b976e3d-ea17-4a65-8629-c7a49c1d6add)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 930b8ff7-f434-405e-b24d-9f90b5920013)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d65956ca-ea68-4926-a4d6-8724242c942b)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0cf7a24a-e57a-45b2-9825-20671a059b0d)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2c2fcbea-3357-4e81-9e63-551bbddb115a)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6ef0de67-06c7-4e9d-b335-90f1c65374ae)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 11dcca35-9044-47ab-83cd-d637795f25ad)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d7851be-c8a8-4878-a8a3-63e239edad68)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ead51da9-d506-4039-9b56-9aa822f2095b)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 21b03464-c67e-41f4-95e3-c3dac2601b84)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 78cedd46-f514-428d-9294-66853454776b)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 150 "Net-(D76-PadA)") (pintype "passive") (tstamp 1997a78a-8f45-400f-accb-d8f95cc95820)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 492f1d18-c99f-41a5-873a-8ed202e2c6e5)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 77482a80-227d-4fdb-be1b-ab926183c8ee) - (at 93.357274 76.298687 180) - (descr "LED 0402") + (at 93.3216 76.298687 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8915d9c7-d4e3-4c60-b124-ad5ba5d49b2f") (attr smd) - (fp_text reference "D24" (at -3.985546 0) (layer "F.SilkS") hide + (fp_text reference "D24" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3565b427-fc70-4990-953b-6c6ee218228a) + (tstamp 55cb3581-079d-4224-b34d-fed21a34a320) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 25a55788-4007-40ea-966c-59ac4dd9bd9d) + (tstamp 940cd959-930a-463b-8c3c-e5ea44695136) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 264f1189-f94f-47a9-ae5c-9854b1714785) + (tstamp 67a0a5c2-aa08-49a0-aeab-e2ec389692c7) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e11a8a50-9469-4f87-a033-6f9919422676)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67b9ccb4-6dcb-4803-83d7-f7da8c0d55f7)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d5f360a-aaee-4ec2-b7fe-c3ff082393fa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a5bf690c-09be-4369-b9d0-1c490b7d918c)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9c7bd321-91d2-457b-a07b-58fae3ceacbe)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 541a8431-3acb-4f53-bd88-9ef295f590af)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c39b2a42-4887-4228-9f7b-01bf613d4939)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c863b1d-be5b-4daf-af2e-8dbd1867c76a)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93e12edb-278a-43f4-b0da-cc8d8a3877c0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 38c7acd6-21a4-4cea-abb9-f9896e026aa0)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72cd8f49-20e4-4f1e-9d84-0a87540721e9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 89aaca9f-6044-4b7b-a6c9-cfa233cc3390)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d7f820c5-9223-48dd-a721-50192371dad4)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 73e9e728-5da3-4489-89ef-a43e6c8239f9)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 03b18733-e41d-401d-b9cc-534f260065b9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp db36a103-2dd0-4e75-88d3-41c215a26941)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 560bcc0c-2e8f-4846-8d1c-3b1d12907490)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 990d45b6-efbe-49b4-8833-e79046ae3e15)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e2fae307-4def-4406-8d45-bd2db6d88c28)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d8d7d5da-333c-4c0b-8ead-9e08833136b7)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7c9ce5f6-0635-40af-abf1-bc65456bc399)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 09a49585-7005-4b59-9972-6ee99402d158)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 073e7141-8462-4865-b002-02702a758a99)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e8127ad-3d71-4c4c-9e2d-a301b81c183c)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 28879797-d282-4578-af93-ef1120b86292)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb10931f-d39f-46b6-aac1-5e42b79e1202)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 49 "Net-(D24-PadA)") (pinfunction "A") (pintype "passive") (tstamp 4ac47917-86d2-412e-bcda-609006d3dcf6)) + (net 46 "Net-(D24-PadA)") (pinfunction "A") (pintype "passive") (tstamp 10be1903-8dd6-4529-a2ba-5e2f976413af)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 50 "GPIO29") (pinfunction "C") (pintype "passive") (tstamp 49c51f4d-2b74-4394-81bf-9041e8e8273c)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 47 "GPIO29") (pinfunction "C") (pintype "passive") (tstamp 20c12015-98c3-4ef6-8cd8-e28d76aa5fea)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 77574397-c334-4d06-bab6-e193674ec17f) - (at 87.561074 143.653888 180) + (at 87.540674 143.653888 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -11461,44 +11890,106 @@ (attr smd) (fp_text reference "R141" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a6250e4c-1b51-45f7-bf44-8fcb090ffbd2) + (tstamp e4ea3b7c-98d9-4c56-b45a-491dfb1f99f5) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 623a7b16-f576-4d40-8ab4-c7d484ba84eb) + (tstamp 5da44a37-0235-4622-b1e7-7cfeed616061) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d472202a-7aac-4485-a9d0-94c38954eedf) + (tstamp ddf6fb7d-b7b6-4a83-a99b-a5d359c000bc) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bdfa3f9d-9fed-4a38-b90c-f376ce77c39b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4de9ec05-4508-45e5-ba9f-cd050db8927a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 76ba7679-77b5-4d51-86bf-d9b57b9ddf86)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 022bfae5-7058-4b5b-82a8-269ee45cf1d7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8adc70ab-65fc-4e04-998b-cb75a46fed5c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 753f1fcb-b878-425b-a4cc-65fe0de49d09)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9595e5ae-42bb-40ea-855e-035466f2090f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f915f500-7fa3-4563-9559-b932ce79946f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 816f1971-a50b-4620-b7b5-5425f5149bcb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0a8b241c-88e8-47db-af8c-dae554b883b2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 85f7dccf-dea8-4665-aab9-ce745f8980b3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e37b0f37-bbc0-49f9-9904-b2dfbf2a7e16)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b56a6684-b869-4b56-9025-6af5f90c9da1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 99bfebb5-5acf-4a9b-8d1c-3c01c044d3ca)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0e99fe4d-1b58-48a7-9417-6d5278199643)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6db71ed9-f26b-4575-9070-12b2c3be9b07)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cde59e74-35ab-42ec-af56-ede341402540)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6dde98b-2707-4dff-bec6-5dcefdb6462d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ecc8edc3-8ab5-4983-bfc1-07d28ba41043)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aaacb872-3733-4bd2-b490-4e1aef9cf855)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dca9d71b-75d0-4f78-8e45-d9bd52fb0cd4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5c530134-700b-45e6-b5ec-f95e10ca4e3a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ed20223-36f3-45d8-a12c-d5eee20a3a0a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e89326ce-d78b-44b7-a4c1-6ee21ede2f6e)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 348 "Net-(D139-PadC)") (pintype "passive") (tstamp 2b18b987-1250-42bc-b0aa-62bb2ef07c6b)) + (net 341 "Net-(D139-PadC)") (pintype "passive") (tstamp 93314c54-6af4-43da-98b1-37541eb9265e)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp fcd35a73-afbe-43f9-b19e-d929643cbb43)) + (net 2 "GND") (pintype "passive") (tstamp 6d0f677c-19d7-4f6f-b366-f601c6187b8d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 7786c6bf-bed9-4818-a11b-68035c449323) + (at 192.036274 43.520526 90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/d0014d7c-65cb-4a61-867e-8531f1924f28") + (attr smd) + (fp_text reference "R170" (at 3.314 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 659997aa-c5b2-4297-9d66-0d105d66e604) + ) + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ddc779f1-abe4-40d3-91b0-294cf8fd509f) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 56424f42-7243-4b9e-a213-b9d0a545bf02) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fde95bb-d68e-4224-8575-7a097f3d5127)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e3e494e-1f28-4120-b46e-2ccfa4a8b075)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6611a09-dac9-45b0-9d00-d91edabc4c8d)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp edb5c931-deb1-46e2-866c-e28a04d55cb5)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 50480994-0598-4dbe-9e70-f678a5bedb40)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e1c3f8de-57f8-4e9b-9c24-91e0af4c4b10)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 93c04018-00ab-46d8-86ee-3e23103754cc)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 45b4c2ed-34ec-4574-b9d5-148ce4b99671)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0f8a30c-6b22-46ac-9913-1debd137dd1b)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a9548389-4d42-4470-a0e1-3f285fb127e1)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e9cd9fb-3dcd-4742-a2e0-3225a820f2b7)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 64ba88eb-d982-43cf-bfe1-7e83edc62315)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 375 "Net-(IC3-S1)") (pintype "passive") (tstamp 6b81c33a-3c8e-4fcd-8c42-a65dc05665e1)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp e5412750-f6b2-4ad9-87d2-44b4fcf76450)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -11506,64 +11997,64 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 79acb294-a9e0-4aa7-915d-44745a6c5315) - (at 93.357274 94.24419 180) - (descr "LED 0402") + (at 93.3216 94.24419 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3990e16a-9c0e-4c91-9fb5-5ba7edf18e56") (attr smd) - (fp_text reference "D70" (at -3.787966 0) (layer "F.SilkS") hide + (fp_text reference "D70" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4faad91b-5cee-4090-8c87-5fafd9242547) + (tstamp 32418fc9-4285-4051-a960-d235d944cc48) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e1f5fdb6-cdae-42f4-80ae-9f441fd29602) + (tstamp 27de78cd-eb44-422d-b5ad-1b1744f0797c) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 252abb0e-2af3-48f7-b85c-c1843de8e7e5) + (tstamp a05de2ce-08c0-4176-8baf-1410abe1e1c3) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00f181d9-e8fd-44ca-95d7-1461c9cf0610)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93807b06-92df-4392-bc43-2319e7908d78)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a5caa03-101d-4faa-a332-2aa7ceb19f3e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d818f4c-8cdc-42cf-9efc-5bd0415037ba)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 51855e89-9a84-4ce1-a5e5-ad6a793264cf)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ee63d5c8-b09b-4ed9-b7cc-08fac1651b9c)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 633b3025-6a7e-45cf-9b9a-3b5f9531ed77)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ecbe5f95-e0c4-4269-9c3e-1ad30c4351f5)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 88c13ffe-dec9-49bd-a675-831041df6656)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8bd053f-217f-4d25-a9ce-39e193a4f87a)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 508e0e92-5975-4a6b-be41-697e5359d9e9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be8622a6-b81f-48a6-847c-12636886ab95)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6802bd00-11e8-4eb0-90d1-b300ca4bd583)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 54798864-355a-493a-8195-9de55b9415a9)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10d01604-1715-4c6c-b443-982ebdd4256e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5062973b-8505-451d-86fe-102e89964822)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be70e2de-4681-4f94-88f3-1f5fa2467bde)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 165e7fb5-0c0a-4c56-aa80-4e4b7bb4721e)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3e2edb6d-8871-4ba4-b1dd-ebd4127000b4)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0f9ed811-84e5-419a-907f-68d93a85585d)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fee0110b-e8fa-4bd7-a532-74520d7c42db)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 882a3060-bdd1-4d6d-851a-b376b1fab879)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 375bc8af-1317-49fc-bf79-1827379e38bc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3ee9db4-af93-475c-af9a-1fc66ea48760)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 798effdb-c247-4797-a777-b4fb2c045faf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4f714d9-3bd7-48bb-b1c3-d5467dbcdaa4)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 138 "Net-(D70-PadA)") (pinfunction "A") (pintype "passive") (tstamp f58ea572-1af9-4abf-8fdf-7efd39cf1fd0)) + (net 135 "Net-(D70-PadA)") (pinfunction "A") (pintype "passive") (tstamp a3067787-4cac-4fce-bbc0-358b4cb016f4)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 139 "GPIO79") (pinfunction "C") (pintype "passive") (tstamp 0f996e1c-f06d-4f04-a886-7164605214c9)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 136 "GPIO79") (pinfunction "C") (pintype "passive") (tstamp 4ab16ad0-547d-4053-9387-2aead802484a)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -11584,44 +12075,44 @@ (attr smd) (fp_text reference "R89" (at 3.5266 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 488a95e8-93d8-40ad-be02-186276a14675) + (tstamp da6ae704-9896-42e6-af1c-1a2a0aa26f65) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0cfee84b-2060-4e21-b917-e9de0bb22a07) + (tstamp 24a4ee5b-c356-4093-8552-97dbed4711b0) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 3fc4283d-ece0-4720-a531-3feda2e6806b) + (tstamp e40076fe-d2a8-4ccd-8de6-3eae18a5aa94) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b5f4dc32-2182-479a-aa71-d0ec2de5c9d0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 376bee35-2bbc-40c2-be42-4190e51a53bc)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c31d4352-646f-418e-bed4-352aa1b2e0b8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b044f0a4-67e0-401a-9b6b-c9aa8919c2d4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d589b375-e52a-43a7-99b9-5f23ae619baa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d5d9672-865e-4de5-bfb5-7fdffd2c331a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0016c3f-3e7a-4c3b-9bfd-f477a609be07)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp df45b242-042c-4438-9ec8-baaefa940626)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8c3d9e73-4ac7-48c3-a27d-32216fa0a2fc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1e9624a1-9bc0-4edd-a1d1-b6d506703c91)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 67458f5d-240a-4bdc-bc17-d29c555e4f96)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cf3cf47c-9b66-4c40-aec3-2673c7dd61d7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 55642aec-0940-4ca1-b57a-3b0981b62ac3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 560e556c-310b-44ba-a0fb-04f1707411de)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ef7dd104-a7bd-456b-ba11-333556c9fa51)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7100bf72-2b0f-4d4a-a88e-1c0ab25f78c0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a55a5ce-9b05-4c17-a414-33068b97a79b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d50c10f7-f3e3-4b62-86f9-272b4a5a16ea)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4af81d35-dde4-40ef-8792-0b0a83f7dfd5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61014009-2eef-41f5-8e52-bc945bc08c12)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d3efb698-5dab-4c09-bca1-9ff001156a5a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 938214ba-2086-425a-aa66-853f17ee8b60)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c5810c99-74ab-4b6c-9d6b-e34b589ccd96)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b1d5349-07ab-48a6-a336-8eba29b39308)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 172 "Net-(D87-PadA)") (pintype "passive") (tstamp e9143243-ba9b-4fdb-ad68-c04dd081dff0)) + (net 169 "Net-(D87-PadA)") (pintype "passive") (tstamp 6f4871fc-d5a4-42d6-bff0-86966b205b58)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 534cc680-44eb-4215-a914-395d9951fef1)) + (net 4 "+3V3") (pintype "passive") (tstamp 7d39fdeb-9527-4cee-8689-e2eece153a63)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -11631,7 +12122,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 7b212f57-7134-4596-b3ce-134c042fedf0) - (at 203.059874 133.934726) + (at 205.413674 136.601726) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -11640,50 +12131,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/1399ba20-949e-4583-b878-52feaa2a6210") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c150bb78-14c6-4676-8ac7-40d1b6c5ed1b") (attr smd) (fp_text reference "R20" (at 3.39661 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a2795d60-abb6-45aa-a0a5-f9bc31c7c9f8) + (tstamp a3b4dc58-caed-4fe1-931f-b0f67561021f) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 79f46227-50bb-4652-a703-a033c9828487) + (tstamp ecedb14d-0e0f-4af6-8b34-561deb700dc4) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp e2c7d3c4-8a3f-4700-9a77-09f9d538249b) + (tstamp f3c0e0fd-5d1b-4f98-ae70-de0012f13dcb) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55e31f5f-ecda-4797-90aa-f2b273f3feed)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ede5011-b13c-4841-b7b0-27a31513fdc4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2e81236-cfdd-4ea0-906c-f4766a1c819e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f11e2a38-97c1-4092-909b-dec59e8596e5)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc8b68d0-0b4b-4644-a902-d6aa63ce8a79)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58303b18-e82a-41ee-9fa7-dfaa75f2446e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c42729b6-9e60-449a-9328-39b735683e61)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 156b4f11-997d-49ec-80b1-4953a75e37c6)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 04ee9498-318b-4189-ba11-0bd5b94df3e2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 813212c2-6d03-4315-919d-49b9f9bf0579)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cdc415f6-9362-49b7-bd42-9a7f7acaab73)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a8feada0-ef2c-4abc-bcf6-765a65a0ea58)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2cf645dc-296e-4ddd-bd38-069b3219746c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a400e584-2e14-4736-9d5d-0fd7a9694b93)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6a7fbb7f-807f-4839-a7dd-b60dda1b32c7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dde1939d-cfe4-47a2-a31f-b60c59d703fc)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e3a6fe31-cc40-4ac6-aa29-b2a9b6425c1e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 432ea60a-281f-485d-9ccb-899bb55f2c68)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d42790d4-5da7-442b-82e1-1d92d4f3c256)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0a03dc28-1109-46d3-8de0-1c38a5f1b137)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b344783-fbb8-4b44-a76d-589fe63200fc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9d1ff6bb-1a84-4249-9676-530085cd2095)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 84724295-77a2-4484-bc47-01913a6a3e78)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e270a63-2b78-49de-9ac5-4683229ca8ee)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 37 "Net-(D18-PadA)") (pintype "passive") (tstamp aa307441-0c1a-4b2a-9ade-826c018f1f87)) + (net 34 "Net-(D18-PadA)") (pintype "passive") (tstamp bdebf43f-44b0-4ce5-bbed-6af2dd99af93)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 8407cda8-26c2-4641-8af9-69ca33c7c290)) + (net 4 "+3V3") (pintype "passive") (tstamp d5feac72-eb7c-4491-9636-ddd2f12b3afd)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -11693,7 +12184,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 7c4b8e5e-686a-4c37-b022-8e62507e65ad) - (at 69.112274 36.027526) + (at 69.203634 36.027526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -11708,44 +12199,106 @@ (attr smd) (fp_text reference "R33" (at -3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5bb12190-c56f-47ef-a9c0-02ed54c2857e) + (tstamp d8f4feed-4a94-40b1-94a4-448f59aa5098) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f0254f65-ed1b-4b34-9fd8-9970521ffedd) + (tstamp 8ce380e3-2d5d-4b33-b637-2a60b00ac270) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d48292ad-a028-4e28-923b-caf538345e3d) + (tstamp 3c765b62-78da-47a3-a28e-3f7282adc812) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f8652095-f272-4f53-82c4-4176b8b7d4b8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3809a736-f354-48c9-91da-ca3efb8915f8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8758f835-653a-4ead-bdc9-1b26a1a6d6fa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca48a5ac-7e12-4e54-a499-f9dd80933449)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 823b8f3c-aa12-4bc0-b777-116c224f7574)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 68684be2-2a29-4fc5-a70a-4ab77702d574)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b3cb6be4-b483-4514-84fd-ed3022738b16)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0d49712-9629-49d4-97c0-7a819adc7146)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp eef72ed0-f1a2-4751-96b0-389181ed8927)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7568eca5-f3ce-4b36-a78b-7627e8dbbd2e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b16ae91a-4bd3-43e7-be2d-34c969e67487)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 80e60221-8f1b-4db1-887c-8d72fc27f403)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8101a103-0fa9-4b76-b5d9-6d0616161888)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 145facbd-3080-4172-aef5-1aaae1c2d5b6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9ec6c316-c848-4185-a4aa-73f18ecc83bd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 631cfa21-e552-4360-b82e-de01c4b31ab7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7cb64f9-4d18-43e7-81f6-1024acc95bae)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9eee9b2c-2a76-47b9-9a54-a497889bcc54)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7924f36-e1c3-4a48-b3a5-df6ab2f6a771)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4f6fedfe-9698-4b58-be30-523e64d786f1)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 376a943d-8442-450f-a6d7-b5c634173182)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 885d8e66-6886-4c9f-904e-94995e765edd)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a0f54a3-5f6e-42f8-a2dc-6a8089f619f4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1ec2cda1-7c69-4d4e-8ecc-bb1c08b63806)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 6b83d945-73f1-459b-a924-3c2eca10a7b3)) + (net 4 "+3V3") (pintype "passive") (tstamp 2ffbb872-a6ca-499c-a1f8-fb31ef24e2d4)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 63 "Net-(D31-PadA)") (pintype "passive") (tstamp 792810a8-363b-4524-bb91-92df9329ab88)) + (net 60 "Net-(D31-PadA)") (pintype "passive") (tstamp d4693654-aa8b-4cb0-83a4-15676571ee0d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 7c60b387-3650-45d2-9d24-6f8075eb8617) + (at 183.690274 107.528526 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c62ec4a2-aebc-4406-8ddc-3be8bd5a238a") + (attr smd) + (fp_text reference "R83" (at 0.6 -1.5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7b6e46ad-8232-470a-948d-05b3fc72df0e) + ) + (fp_text value "100R" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 03a892af-8f81-432c-bbc6-d419f15de016) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 25b031e2-a3c4-4581-a854-3cdee6f8fc21) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8253412-729d-42d5-9d2d-5ebca6f3b841)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95eed3b5-9136-49bd-a74b-6d088979e447)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b17f75c7-4dda-4bae-8cb0-56dc5e2968be)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 666f52b9-ced5-4370-bdbc-92aa4d141adc)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f151e699-e803-49dc-88c4-ff74ea0623f4)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2e16bf69-c1e9-435c-8f51-9458c046f839)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9c3a9c00-bae5-44b3-aba9-f095f5006c97)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1873389c-79ac-4039-a187-abd1dd8db943)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3c97ab05-04bb-422e-9391-bd6f35b0f75d)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 14e0e448-69d1-42dd-8cc7-7149b6223de0)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e431a87b-6add-4c24-b182-26b639f5b570)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4a0040bf-4374-4e96-9417-ab019907ee17)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 70321c45-d6f9-44a9-bba0-67014d8e38b5)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 392 "Net-(D81-PadA)") (pintype "passive") (tstamp 29a8c3eb-0a26-42f8-b1e8-fb94bc6b06ec)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -11753,186 +12306,186 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 7da92b9e-7fe6-4cab-829b-e9f0c3f11485) - (at 195.409474 117.724926) - (descr "LED 0402") + (at 197.739274 108.932526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/38026cdf-0326-4fab-ba13-4783ad933f15") (attr smd) - (fp_text reference "D14" (at -3.843849 0) (layer "F.SilkS") hide + (fp_text reference "D14" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7e594119-82a9-440a-bcd9-b9840985f99c) + (tstamp 1246bbeb-af10-4c51-95f8-d455b025b706) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3df2c228-dd78-48fa-84c3-3300d17314ab) + (tstamp cc30f498-4b90-4b04-a34e-8dfeafc589a8) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 8d8c189e-e98b-4b8f-b3ed-693be8f25f4b) + (tstamp 63b5aca1-400a-4acb-8d5a-9871c9a4e645) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bac2837e-b76e-4c31-b8a7-d885c0e2f48a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d1a3228-2079-485a-a92c-273a2552d6b6)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 82d99050-7770-41a6-bdfb-16c51751efb5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9a5b0bd-5d33-4976-bac5-15e503162350)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b3d751dc-14be-4636-944e-fb503d87d052)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 09792a5c-5c45-451b-af74-9a86eae729d8)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9dd3b04e-94e7-435f-92c2-e009dd9ca6fa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 089d1ef9-7f3a-4907-a23a-35d367f298fd)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64889deb-01e9-4d71-835e-9ea89d339616)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6da14308-8e4f-4e82-ad6a-8e3b391789ee)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7396f0a-74bf-464d-98b1-ac14bf6b0016)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7ec7e15-a23b-41fa-b386-103c5fc5424b)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9ecfb8a0-8506-4154-a2b8-18a0fabca612)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5f09c3ec-1024-415f-946d-8a20b7a6f9b6)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0a05b58d-b6e9-42a3-8244-d27485af183c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e2733d4b-a727-40d3-9285-0a61fbf31c50)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f268a014-76e3-4f98-a678-68752bb9be6a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 86b07168-e892-499e-8986-ab812a04b07c)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 01555521-0a88-4c36-967c-8de8335c14f5)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fdd24c2e-6f30-4321-9b92-13c6de549aec)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4acddcc4-ea44-4858-b4fb-444e87fb2b33)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb52a96c-9755-4ae9-83c9-08f0498c3d5a)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e112df1-ff7a-472e-8de3-d035196006f0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 60c8b8dc-8b49-4b9f-a896-3def761e2736)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63fb7483-107b-41db-a717-50de111c38e5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 49705f37-2a66-4f81-91c2-992edeb963ff)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 29 "Net-(D14-PadA)") (pinfunction "A") (pintype "passive") (tstamp a53bf04e-57eb-4976-bad2-5b6c7c7ccae0)) + (net 28 "Net-(D14-PadA)") (pinfunction "A") (pintype "passive") (tstamp 82f17753-730b-4762-b60a-93532fa37adf)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 30 "GPIO18") (pinfunction "C") (pintype "passive") (tstamp c1ad15f9-d4ab-4e7f-8c1e-3f20d47a8cc7)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 29 "GPIO18") (pinfunction "C") (pintype "passive") (tstamp de9017da-d153-477b-a5d9-472ef8d79912)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 7eab2282-7635-4366-be79-9f7a5431dda9) - (at 195.707274 149.033463) - (descr "LED 0402") + (at 197.739274 151.700463) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/68d047a7-9df8-43d1-9710-ce0dd93b013d") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e082a20f-a6d2-419b-904d-8c68767f2315") (attr smd) - (fp_text reference "D12" (at -3.331009 0.02) (layer "F.SilkS") hide + (fp_text reference "D12" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 73c1229e-e35e-4255-a8d9-0fa39aaa8014) + (tstamp 50888306-5705-4dca-9275-b77f6affad8f) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0d580d18-fcf3-4407-91f4-1b9f3a9a9e39) + (tstamp 363ee1aa-2824-4347-8f63-05e0f095eb77) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp c32aead5-1497-4d83-a853-bfe7c47f9b26) + (tstamp 12c24f3f-5546-4705-a8b8-3a48940d56f3) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46e25c91-4bfa-4ac4-bde2-543b37b64e6d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 68d8d666-e7a7-4eac-a6b9-96d7bf749e9a)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b11aa03d-eb17-48c6-8ccf-3637c7fb56d3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e7640ab-b4f0-4270-86f3-7449c74777e9)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f0de5b62-8ab1-4ed1-b1fc-2dfa212b362b)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 57d8babc-005b-4957-ae95-c033c438413c)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 61324769-6857-4b59-a699-46763ecc6425)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b34f6b27-afaf-40a5-8ce3-8affee0f0401)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 99a9dc50-716d-407c-8119-e955a5a9cabf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64995610-ec1a-48e9-8808-f7bf79a1061a)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 291aca40-cb39-46a3-bc03-361889192a60)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 14648945-f80b-4202-8f54-999068b2f843)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4f14e2fa-cb0b-44d6-b579-b62228a433fb)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 68a516c7-ee7b-4016-bd9e-4cfc4d7b68b9)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e290bd8a-3d55-4fca-b97c-e579457423bc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7ac3680c-3279-4374-b749-2ef99f5f724f)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d369370b-2cef-4bc9-b0de-7d5081e94ac6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2340b6a4-698a-45f8-a407-fb415dd00fa3)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e625d40e-5861-4b4a-bd5d-456f08577b2d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 62fe0611-bbec-427a-a134-31a5c1959a02)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 339f8b80-50f7-44b5-9174-eee4299d9e60)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8ed3da14-a7e5-434c-abcb-447ba542f0d1)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f841e9d0-42eb-4729-9aa9-aa813cc2e221)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0a49bfb0-1369-4e46-aa99-72eac25484da)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6b09f36d-fd1b-4591-aa8a-896eb370e6fa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c5bd9b3f-4a1e-4a1d-8cf6-f46715abb406)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 25 "Net-(D12-PadA)") (pinfunction "A") (pintype "passive") (tstamp 15670eee-d774-4f7f-8f34-c6fb54db17a4)) + (net 24 "Net-(D12-PadA)") (pinfunction "A") (pintype "passive") (tstamp f5244d8d-8e39-4d86-a9a1-9c3bf215d748)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 26 "GPIO16") (pinfunction "C") (pintype "passive") (tstamp 5b65a71a-2641-4bb9-b971-e864370cf1ec)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 25 "GPIO16") (pinfunction "C") (pintype "passive") (tstamp 1e66d6b2-dd9e-43b4-af3f-0519af4861a0)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 7ec25c39-9871-470b-8d7f-88900c8b9f26) - (at 76.44043 67.023126 180) - (descr "LED 0402") + (at 76.454274 67.023126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/184a3d52-30fa-41d9-bbca-3f0e62681343") (attr smd) - (fp_text reference "D46" (at -3.755937 0) (layer "F.SilkS") hide + (fp_text reference "D46" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 14d54d4f-ef74-4a7d-9446-f324d0a1afd7) + (tstamp ee2ec9f2-6309-4984-bb0f-e9a786f0e805) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0a854f1a-0182-483f-a14f-e72cf9ca5946) + (tstamp ab721e6a-0eed-472a-8565-d517dfa069d7) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp e8c10bc0-b821-49d4-99c6-332722f6e365) + (tstamp aa98b745-7c90-4cad-a588-d09d592c3d30) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee4d7b94-c8ad-4d40-ad7b-3956a75a332f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dafee582-be48-42cf-934c-a43432d48ce2)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 671ffc1d-ffd3-4425-ba21-44b3e184a9ba)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 997fec54-ab80-4e93-87b0-ade675879615)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 45455784-a391-4b63-bdd2-62e20d286268)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8e91d3df-a101-46b0-b4d7-af1a3e270beb)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3734dcc5-3d10-46f0-b931-95ad0665d09b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac34d9fa-4f22-4371-9caf-de724f6d59c5)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f90f81c-42fe-4fe8-bc72-7ccb4d20eaa5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8cbe3170-d51e-4de8-9b46-07269475fa83)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 14e62dc6-a0bb-4b3f-a3e3-75694a51bfa8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 14a8cddd-e7b0-433b-a8a9-c168c99c7182)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp fcbc291a-8b84-4661-bfa9-a989e6a23a06)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ee12597c-d2a3-4af2-94ce-3d4d1a01cc36)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1ba50191-6065-4d6b-a10d-6fd72e91a1f6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8b2215a6-4c9f-4567-a199-cf5cd1420b59)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e03a8c8f-f2f8-4f02-a49e-77c1990b9211)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1a1634ba-8feb-40ab-ab2d-d40dfbd84c5e)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp dee31488-8b9b-420e-983a-42139e0d9612)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 11e638cb-ee6b-4e30-8077-692e1e27c3c4)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2eaa3001-22ae-4cca-9cba-0e0db29495d4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4f8544d5-9675-4e0e-bc43-75258158943e)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e2ff1c23-7d0a-48c4-893a-bde8f0c5a44f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 212d36ca-f1b9-451a-8b7e-a13d22f84e9e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 45161d02-a11b-49a6-9409-3230788bdf03)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 836a9ed2-7df4-4223-9049-57502a6c4709)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 92 "Net-(D46-PadA)") (pinfunction "A") (pintype "passive") (tstamp 5389c383-8f95-44e9-98f3-7c2759d87eb5)) + (net 89 "Net-(D46-PadA)") (pinfunction "A") (pintype "passive") (tstamp 12bcf708-3df5-4265-8940-04cdffc9788a)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "GPIO52") (pinfunction "C") (pintype "passive") (tstamp 30fa7a4e-1f80-447c-8823-d9e049360f22)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 90 "GPIO52") (pinfunction "C") (pintype "passive") (tstamp 951a248a-5ea3-4baf-b12d-d2cb9063d8bd)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -11952,76 +12505,76 @@ (attr smd) (fp_text reference "IC3" (at 0.016 3.393326 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 58859d1e-7c1d-4180-a0cc-6e7fbacb13d4) + (tstamp 7770bbae-72fa-409a-b3f1-0f2c76c06d45) ) (fp_text value "ADG708BRUZ" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp c2ed67a4-e039-4990-8795-479bbff52541) + (tstamp e91d8674-512d-4739-b0db-da205605213b) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp feaa3007-0b34-438c-b414-5fffd19b9c05) + (tstamp 2140292d-d6fa-46ea-a5ad-f0c1f8649eeb) ) (fp_line (start -2.9 -2.8) (end -2.9 -2.8) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00c58cfa-395d-4a40-b582-47944eafb05d)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 617e8fa0-0700-4653-9321-62067f0db163)) (fp_line (start -1.85 -2.5) (end 1.85 -2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 9706d719-5c72-4f7f-90dc-912a9f4d7360)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 9310634d-6fa2-4c3e-a15d-905f2618c9b0)) (fp_line (start -1.85 2.5) (end -1.85 -2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 171e9aee-93b2-4fad-a0ab-52b3ab3fa23d)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp c6e25ad7-1843-4a05-aaa2-c25579a5bb43)) (fp_line (start 1.85 -2.5) (end 1.85 2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp c785a6a8-b8af-4343-9c45-5dafc21d3d9f)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp d4183c64-2c1b-4633-a916-5082f95b34db)) (fp_line (start 1.85 2.5) (end -1.85 2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 13718a3e-7304-459b-b08e-9c837c183596)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp b1cf80b8-3207-41e7-b706-5f1a4602ad92)) (fp_line (start -3.925 -2.8) (end 3.925 -2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b08df98-d4d7-4187-8004-cb6bee9b596f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cec26604-5b5c-4a46-8698-e0d0b4678164)) (fp_line (start -3.925 2.8) (end -3.925 -2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a6eaf203-da72-414c-8a13-a44dd3ba166d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8887a1d-5771-4572-9703-d77c9adfb596)) (fp_line (start 3.925 -2.8) (end 3.925 2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e1069566-4054-46ef-8d29-fbc4de78ea70)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8e06cd0-adca-4794-bda8-fee37a0fb27f)) (fp_line (start 3.925 2.8) (end -3.925 2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 109b9c53-dcc0-4c70-8cad-b674cd29b079)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ddde177-1b2e-4b35-b23a-cd138c295168)) (fp_line (start -2.2 -2.5) (end 2.2 -2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8ffd929f-56e0-425b-8076-6b4ca15bdbc5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1bfb17d-bdce-4bde-a13b-b249bfb7d938)) (fp_line (start -2.2 -1.85) (end -1.55 -2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3035f7e1-44cf-42bd-adde-c05b359e8b49)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 846041b3-f2f3-44bb-9db3-8446369e996c)) (fp_line (start -2.2 2.5) (end -2.2 -2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6aa272eb-3842-4448-8a6f-974fa57bc0f5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25b913d5-5232-48c6-8cc6-be5534cde1bb)) (fp_line (start 2.2 -2.5) (end 2.2 2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b8b6ee89-13cc-4a81-b5a8-d13db86c5784)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 99fe1ed0-ead9-42f7-8b2a-c4cd0f8cf6d0)) (fp_line (start 2.2 2.5) (end -2.2 2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 318d038f-2a14-4182-83c5-d8e9cebf1c67)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f4c4cce-93ea-4280-b161-61135a1b473b)) (pad "1" smd rect (at -2.938 -2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 332 "Mux3_A0") (pinfunction "A0") (pintype "passive") (tstamp 2996cd10-b809-4607-becd-e9bb31f3f2cd)) + (net 325 "Mux3_A0") (pinfunction "A0") (pintype "passive") (tstamp 50c8b187-b700-4693-b60a-6669d1e2bfa6)) (pad "2" smd rect (at -2.938 -1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 338 "Net-(IC3-EN)") (pinfunction "EN") (pintype "passive") (tstamp c2c35638-41b7-4bd0-b61b-92a7b463fe4d)) + (net 331 "Net-(IC3-EN)") (pinfunction "EN") (pintype "passive") (tstamp 6263dcda-4dae-4153-81cf-b9952ced8370)) (pad "3" smd rect (at -2.938 -0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "VSS") (pintype "passive") (tstamp 73527304-b0af-42f7-a637-9008ad78489a)) + (net 2 "GND") (pinfunction "VSS") (pintype "passive") (tstamp 6e2bfd0d-a145-44fb-92e7-00db9b190c04)) (pad "4" smd rect (at -2.938 -0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 382 "Net-(IC3-S1)") (pinfunction "S1") (pintype "passive") (tstamp 66a482b8-1a33-4741-bfc5-7e717880379f)) + (net 375 "Net-(IC3-S1)") (pinfunction "S1") (pintype "passive") (tstamp 1df6c7d2-5038-4d0e-a03a-d735f65bb47a)) (pad "5" smd rect (at -2.938 0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 383 "Net-(IC3-S2)") (pinfunction "S2") (pintype "passive") (tstamp 89c8bc98-986d-48a9-b420-e90fe259f2df)) + (net 376 "Net-(IC3-S2)") (pinfunction "S2") (pintype "passive") (tstamp 0257bb2f-d612-4c34-8329-f5bf6886f911)) (pad "6" smd rect (at -2.938 0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 384 "Net-(IC3-S3)") (pinfunction "S3") (pintype "passive") (tstamp 1e3e5c74-af69-4c88-a68a-b5469deb95c8)) + (net 377 "Net-(IC3-S3)") (pinfunction "S3") (pintype "passive") (tstamp ab19c60a-7da3-44d2-8241-43577da6d3fd)) (pad "7" smd rect (at -2.938 1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 385 "Net-(IC3-S4)") (pinfunction "S4") (pintype "passive") (tstamp 169e9398-fd58-4f2f-8c06-d20d5e53d235)) + (net 378 "Net-(IC3-S4)") (pinfunction "S4") (pintype "passive") (tstamp 1cbdf45c-edc4-4acb-8ee8-fcf30e4c8004)) (pad "8" smd rect (at -2.938 2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 260 "DACOUTA") (pinfunction "D") (pintype "passive") (tstamp 0bfd8f4e-14f6-4a2b-b841-9cb4e937823d)) + (net 191 "Net-(IC3-D)") (pinfunction "D") (pintype "passive") (tstamp 256f83d1-7370-49eb-a9a1-af8f68116d3c)) (pad "9" smd rect (at 2.938 2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 389 "Net-(IC3-S8)") (pinfunction "S8") (pintype "passive") (tstamp 320dbf2c-92d6-408a-ade9-c60fc21adbb1)) + (net 382 "Net-(IC3-S8)") (pinfunction "S8") (pintype "passive") (tstamp 5c042b90-9369-4544-aec8-7917bb816210)) (pad "10" smd rect (at 2.938 1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 388 "Net-(IC3-S7)") (pinfunction "S7") (pintype "passive") (tstamp 0d1e4d52-d9ae-4e75-89b5-12e698192218)) + (net 381 "Net-(IC3-S7)") (pinfunction "S7") (pintype "passive") (tstamp af2df667-4850-4180-b417-fb0ecb48962f)) (pad "11" smd rect (at 2.938 0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 387 "Net-(IC3-S6)") (pinfunction "S6") (pintype "passive") (tstamp 56005987-bc1e-4c1f-9983-af51c89cee2c)) + (net 380 "Net-(IC3-S6)") (pinfunction "S6") (pintype "passive") (tstamp 5ed404f4-4761-4cbf-979e-9d8a05d29a0f)) (pad "12" smd rect (at 2.938 0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 386 "Net-(IC3-S5)") (pinfunction "S5") (pintype "passive") (tstamp ccf8bce2-b247-4035-8f53-7f8b034f3e00)) + (net 379 "Net-(IC3-S5)") (pinfunction "S5") (pintype "passive") (tstamp 3978f3eb-ec66-47d5-a9ad-19a6d1998b11)) (pad "13" smd rect (at 2.938 -0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 396 "Net-(IC3-VDD)") (pinfunction "VDD") (pintype "passive") (tstamp 564103ea-8259-4f69-9deb-18efc96aa112)) + (net 331 "Net-(IC3-EN)") (pinfunction "VDD") (pintype "passive") (tstamp 99a3fcdc-ac80-41d1-aa13-1c0453833d13)) (pad "14" smd rect (at 2.938 -0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp 392e97fa-fe7c-4495-b977-75710da4c270)) + (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp bd12fe9d-c01d-48dd-b6f0-91e43aaba9e4)) (pad "15" smd rect (at 2.938 -1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 333 "Mux3_A2") (pinfunction "A2") (pintype "passive") (tstamp 22c8fa99-4583-4c76-8c02-c7485122c635)) + (net 326 "Mux3_A2") (pinfunction "A2") (pintype "passive") (tstamp ed015d46-3834-495e-878c-285024dd107a)) (pad "16" smd rect (at 2.938 -2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 334 "Mux3_A1") (pinfunction "A1") (pintype "passive") (tstamp cb8483f1-9efd-4167-accd-f6e3bbc7a368)) + (net 327 "Mux3_A1") (pinfunction "A1") (pintype "passive") (tstamp 222f9009-00fc-41a9-b54b-322368237ecd)) (model "${MODEL_3D}/ADG708BRUZ.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -12029,122 +12582,61 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 7fa7cc71-a936-404c-b8fd-a5f0877da177) - (at 93.37103 65.507623 180) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6521a62a-791a-4fbf-ae4e-2e58cbbc337f") - (attr smd) - (fp_text reference "D35" (at -3.984547 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3ec51919-ccc8-4c4d-bd61-54b545119db0) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp b723dcb2-f6f3-4d1b-bd4f-1d00e292e0c9) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp d9db372e-7a3c-4231-90ae-c3b934ccd1d7) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e9500f86-b8c4-49f1-9405-86e9c88cdb7c)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e23e742-d098-4baa-a012-6a216c07bfeb)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2ed10f26-d12a-451c-acac-0460834c0312)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 040b370c-a692-42d3-ae66-742b0843e330)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 503ddd4a-29fd-42fc-b041-27c90d434fec)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67ab9ee0-da44-480e-94c1-385bec76666f)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c005462e-e5a1-4fa7-ba17-2e5971361998)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2c143ed2-d92f-4cf9-a35d-5fe8255d9f0e)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 14eac1d7-2fdb-4416-ba4b-7a599daa3f1c)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eddc793a-cc08-4933-ab32-5bcffdc36b32)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ddb8625d-39d5-43eb-8889-1f6b615c57d8)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 047bc59f-62a8-45e3-8dbd-a4bd985c8046)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fe681085-51e8-4661-b2d4-b405a33a200a)) - (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 71 "Net-(D35-PadA)") (pinfunction "A") (pintype "passive") (tstamp 4a342a7f-0dd4-4839-9acf-6ee32fb56c0e)) - (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 72 "GPIO41") (pinfunction "C") (pintype "passive") (tstamp 21a479fc-1d4e-49f3-9f48-a0ca3c030fe7)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 7fb191bb-f101-4c3e-88ca-1e70b1693d6a) - (at 133.895674 138.491126 180) + (tstamp 7f6a0e4d-6169-4c27-b242-ff232afe71fe) + (at 191.147274 79.068526 -90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c4755955-d802-473f-b988-4409983fc014") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/da85d67f-6fa5-4691-8073-c19ea8bb864a") (attr smd) - (fp_text reference "R147" (at 3.31 0) (layer "F.SilkS") hide + (fp_text reference "R163" (at 3.302 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp cff4a070-a2eb-40b8-a02e-798433fdcd4f) + (tstamp d0da67fe-a080-474d-b9cc-0fd4c6a65229) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2264ce6f-9781-4896-8bd0-bae805151ab8) + (tstamp 018ce799-77c9-4df8-9235-b8511b3d5f06) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp aec40f32-d360-4477-8389-1387e375f1db) + (tstamp f56c1eb9-9798-478e-ba49-989b1584be47) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b47ec6e-432a-4122-afd6-73e9759f373c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2e2d8c9-4c7c-4535-960b-6e3439066ef9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8ca175f-f84a-4272-9810-03764d7da1de)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b54d1db-7221-4c32-b495-03769ea2ab20)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 41f10863-9a18-43c6-a266-a894a611448a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f802f80e-6d94-4cf0-98d7-468e31066d2c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ace90993-2edd-4c17-ac6c-abd69259b882)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5d555ca9-af16-4a6e-ac2f-1fc65391c0da)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b0990550-919e-45c2-a9bd-4c1d9c00895a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 61142f3a-f0b9-4e89-a3d1-c6948ccbc8a2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cc3c275f-9190-4e2a-b468-d37307fba339)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 051e205d-7073-402a-a3e0-0d5fb2d97913)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6647a8cc-8874-4c1f-9b6b-e65c7398288b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7781a3d4-ecc5-4351-b36a-625128b2090a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 04b369eb-e24d-4b3f-8bfc-37d48a81b4ee)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cff19d5c-af1f-4207-a159-9f90924646fb)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e8fa5d8-abef-41a1-8e9d-c31fe35e46c5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f503067d-a70e-4379-81af-c52d2275d6b8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10fa956d-7e7c-4410-b760-754128b54351)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp affe8351-7fac-49b5-bcac-091a202c009f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 672bee33-0e3a-4e6c-b275-6806d6cf7b90)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp baa714a7-ba19-48ec-9185-5e76b90be05b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 784e435c-466e-4978-b845-9d54c9d59c7f)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 351 "Net-(D145-PadC)") (pintype "passive") (tstamp 6bf691ed-d23c-412a-ba20-b1a501d1c7f9)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp e6600b12-bea1-447f-a2c1-fe80d4afb964)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6b6cf941-cafe-474f-92a0-f89bc77a2bb3)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 369 "Net-(IC2-S5)") (pintype "passive") (tstamp 4adce917-f39c-4115-9751-5a56021106db)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 4418b25e-4cf0-4794-a3de-1069ba4154e1)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -12152,123 +12644,122 @@ ) ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 7fd4755e-000a-48d5-91e1-b0e904295f75) - (at 87.630274 136.052726 180) - (descr "C 0402") - (property "Manufacturer" "Vishay") - (property "Mfr. No" "") - (property "Mouser No" "") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp 7fa7cc71-a936-404c-b8fd-a5f0877da177) + (at 93.3216 65.507623 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "Resistor 0603") - (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3e098d0d-d31f-40aa-9096-8bb09fcb0084") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") + (property "manf#" "APHD1608LVBC/D") + (property "mouser#" "604-APHD1608LVBCD") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6521a62a-791a-4fbf-ae4e-2e58cbbc337f") (attr smd) - (fp_text reference "R138" (at 3.31 0) (layer "F.SilkS") hide + (fp_text reference "D35" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1e7e7388-8d21-486a-b43e-0ceee0007eb8) + (tstamp 86af50d7-7c3f-4d29-b242-4de51ba8df11) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 01db4cd9-c5f0-4e61-8b6c-7dc0f8cf26a4) + (tstamp 54ee4f44-fa91-4edd-829d-7006fa6b891e) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 20828bf9-866f-42b1-9dc8-d01f84ed532c) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp aea0775d-63cb-4c5c-8cec-4607b5b63764) ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb8670cf-104e-4ac3-b2be-858e53486193)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d64ef262-eacc-4177-9392-5dec77407d56)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 374dcb95-70e1-4514-a7bb-1bd2d6bfde75)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e741a956-14fd-49f6-ac6e-717218775edf)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dd6289f2-154c-42c1-9829-667bc298891b)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 480309a0-ba32-4940-bfc2-77fbad507488)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d5333fde-ebb7-4adb-8903-002613ca7dfa)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a445300e-3147-4d53-acef-27ad73b76900)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a812fd6-6437-4499-931f-89946b9c6d19)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9cd62b1d-eacc-480b-a723-0632b2c59129)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2eda4909-2900-4ff9-b05f-6b6c63e863b4)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d227104c-3627-45b8-b45e-15cd9df8ed33)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 326 "Net-(D136-PadC)") (pintype "passive") (tstamp 68644405-f9e7-452e-b608-8ce0678270fa)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 0e4764c6-0d0b-44fb-811c-c850d8bcdc16)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 573d05a5-8259-4e92-a465-07be7d54703b)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e5e3c43-43e0-462e-a545-e14b0557d4b5)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5773af13-d82d-4236-8b23-1786fbebc939)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 105d56c1-f4d9-4f61-ae31-d189fb4d3483)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 184f33b5-485d-4dd9-a2e7-851fd282c2d0)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp abf6b708-2d67-4744-8242-411a5102fe8a)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 94f438b7-6707-42d5-94e4-e38646abc96f)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7a6b585f-8a82-42fe-9908-5368fbbe8de9)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 728d156f-d3a5-4d86-a9f5-37045a83eca2)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 034d28d7-b581-4548-8669-d9300dff31db)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f97f90d5-00a0-49b7-8347-fa3cea616e39)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 23a7b8da-4742-4158-830c-369a1ae41fe2)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7cb0e73-9beb-463b-b029-e4c335ca30a7)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "Net-(D35-PadA)") (pinfunction "A") (pintype "passive") (tstamp 8fe574da-92bd-491e-a209-9ccccca7875b)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "GPIO41") (pinfunction "C") (pintype "passive") (tstamp 815c08a0-af8a-4812-98e8-1b5ae99f989b)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 7fd4bf9e-7f3f-483d-a830-2ec2d55c0869) - (at 137.109674 40.925526 180) + (tstamp 7fb191bb-f101-4c3e-88ca-1e70b1693d6a) + (at 133.895674 138.491126 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "PowerSupply.kicad_sch") - (property "Sheetname" "Power - Page 2") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW06030000Z0EAC") - (property "mouser#" "71-CRCW06030000Z0EAC") - (path "/71f7e37d-6088-4953-b84b-4c10f88e8c06/11812f23-4347-468f-ba95-086a6cc628ec") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c4755955-d802-473f-b988-4409983fc014") (attr smd) - (fp_text reference "R1" (at 0 -1.6108) (layer "F.SilkS") + (fp_text reference "R147" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 79cf8ba2-1e80-443e-b6cc-36d682ccae9d) + (tstamp 5776d674-6d44-4eb2-9ae6-854d8b71bba5) ) - (fp_text value "0R0" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d8f1c017-6826-4c5e-bc5d-8ecce469cf93) + (tstamp f20d0fe7-9f52-4147-bb56-6094a068930b) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 88f9a7b7-a7b4-49dd-8421-1c8d475eb832) + (tstamp 8e9614e1-d18d-4290-b5f6-c06fded8972f) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8b337ed-5934-4f4d-9adf-45a729f17677)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0ff4fac5-a8b1-48ca-9940-a9664a259b65)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0e20f02-8dcd-45e0-ae98-235f2ea99125)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb04269b-bacd-4dbf-a62b-331e689641b4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b184e55-692d-454e-9a4d-7ffb195da125)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57536b56-941d-440a-83f4-07d21abee229)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6795257-82e7-4362-bb5b-48b267b13dc9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6dbfc90a-1458-47be-abdc-b90f911cf924)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 26796414-4cfb-4e56-8020-cddc4c78c779)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d8892aa6-1508-4c77-9ee0-c1924b176f77)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 422c7f19-88d1-4ebe-8c0e-a41961d32b02)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c9514fe7-17f1-4393-92c0-843447c63829)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0e9f1026-fc15-43df-ac1e-ed291aefb45c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cadd2838-072d-4012-a01a-948261fbd83f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a486c886-a80a-424c-8ab5-500df20073ca)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp acb324a4-6354-41a1-a43a-8b9789037af0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e995c62e-77d7-4165-b734-974abeac1d83)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 49103ccf-441c-42ba-bbab-744851ac3cf6)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3bf16dee-3f6b-48ea-92b8-c676cb8fd70b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0ff16aeb-d76b-469d-a8bc-430d9760532d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bdb36597-5771-4fb7-b867-fc93fe4f53b8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a524cfc1-3f52-48bf-974e-855bb36da524)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 26c7bd48-6061-48bd-bdb9-2f184dbd7354)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0889c17f-aad9-4624-8d8c-8992c324141c)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 5ea7be35-e06c-45dd-93d0-47eff609b1a8)) + (net 344 "Net-(D145-PadC)") (pintype "passive") (tstamp 9b108be7-d823-4e86-a91b-9bc6e753f76a)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 259 "Net-(J1-Shield)") (pintype "passive") (tstamp 40dba9f6-f971-4400-bcdb-69e5be675e51)) + (net 2 "GND") (pintype "passive") (tstamp 04135ccb-0ccb-4c36-817d-76c2bc89ba65)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -12276,124 +12767,192 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 8093fec4-f03a-41ca-b49d-0eaeac7305e2) - (at 187.5536 79.1252 90) - (descr "C 0603") - (property "Manufacturer" "TDK") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 7fd4755e-000a-48d5-91e1-b0e904295f75) + (at 87.540674 136.052726 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/6ef79760-d36c-470e-bfab-79334e5c9df0") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3e098d0d-d31f-40aa-9096-8bb09fcb0084") (attr smd) - (fp_text reference "C20" (at -3.048 0 90) (layer "F.SilkS") + (fp_text reference "R138" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e66f0093-4974-4ab6-a98e-ac5447350f48) + (tstamp 31ccd0e2-465d-4132-a809-dc26e2a4e22e) ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide + (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b762b30b-76d5-42b7-9c1d-775a26002e46) + (tstamp fefbbb83-782a-47fc-b321-84a7d276a91a) ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp bd20fec5-d3fe-4bf9-a2f7-431d573ece13) + (tstamp 2a1055fc-cd51-4d08-aee5-e25ab1c9f6ff) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f14accf-a647-4f97-b066-ca54b678e8e1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6119ceaf-4c18-428a-bd40-24d95c952726)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c62170e-9c27-46c1-a2a0-a4085573ac71)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3ccb6580-343e-4552-894e-8a9d3572ac18)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b06218e-da8f-48e4-9cf3-77ad5fa597ca)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4d1d096-9fd0-4d48-949d-f64b3a2cfcd6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2e084af-6e7f-490e-a278-1c0d85c0ffce)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ca6d690-390c-4ec5-9719-e61b06118764)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2cc34551-0f24-4a77-93cd-0be32ab1c9df)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4b982612-f056-4b6b-aa3d-a6fd6d9d1c56)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dac72f2d-6eaa-4c6d-ad1e-9ea618e6a032)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 67f8ce30-fc06-4ec4-a4e3-14b992ff78d1)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f8fff39d-803c-4082-8e24-cf2b4e36da59)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 65a25db4-b9d7-4b4b-a6c0-d70355c66e6a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e56e71e8-b0a0-4a91-8ea4-051c6917da8b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2c9fef91-3254-42e3-842e-49d9a502f794)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d68e2657-b1ab-4f61-bcc4-95cfc87d3c0e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 01a7c8fd-d8bb-4c10-be35-a30fd1a236ce)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2422a590-0a75-4c2e-9ad2-dfb7e8eb5e2d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a9bfd434-4360-49a0-9abb-fa665fa62b07)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e3353a25-e031-443e-a0d6-efbf8055230d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 26f86c5c-6e77-4560-9327-6df1e904a832)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 40dcaffb-f4ea-4235-84ca-54517cf8f297)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp f1395707-0cf9-423c-95ee-8fa87528ff19)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 380 "Net-(IC2-S7)") (pinfunction "2") (pintype "passive") (tstamp 339a2823-c1f2-4f8f-9d07-829f266d347c)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e247f80c-0617-418a-838c-efd4793569c4)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 319 "Net-(D136-PadC)") (pintype "passive") (tstamp 183f5490-6f61-448d-bf75-fe3bc7377f94)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 129ed329-4bdc-4a2f-a77b-77545554bd33)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 8171008d-a2e3-4238-94d4-f94e2103c07b) - (at 191.1096 79.1252 90) - (descr "C 0603") - (property "Manufacturer" "TDK") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 7fd4bf9e-7f3f-483d-a830-2ec2d55c0869) + (at 137.109674 40.925526 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/836a6599-aafc-466e-b18d-77b243b060c0") + (property "Sheetfile" "PowerSupply.kicad_sch") + (property "Sheetname" "Power - Page 2") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06030000Z0EAC") + (property "mouser#" "71-CRCW06030000Z0EAC") + (path "/71f7e37d-6088-4953-b84b-4c10f88e8c06/11812f23-4347-468f-ba95-086a6cc628ec") (attr smd) - (fp_text reference "C16" (at -3.048 0 90) (layer "F.SilkS") + (fp_text reference "R1" (at 0 -1.6108) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 75005dd7-e763-4359-b06c-5541e0808481) + (tstamp 2fc72f0c-0664-417c-aec1-45cc4156a222) ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide + (fp_text value "0R0" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5491198c-8ba5-4af5-97b4-573c7d831f78) + (tstamp 51f89e6d-220d-4ae0-8441-6172125d7dc6) ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0514bc54-ad23-4654-92dd-2f9d1a1b4e34) + (tstamp e21f7512-3a0d-4fb4-96fb-0019f7bfb8fa) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a50e4df2-63ce-454e-9e12-90245dc7e03a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ec582fc-42b5-4e91-8e02-a78f3f51da88)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c5ce9dc-42f7-4a49-b86b-1e25582d9e47)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8cd47a94-ea46-43d3-aaf1-544274f56fef)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebd3f929-06cb-4112-baaa-ac08a5293606)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1345e53-6d48-4e2a-8959-32c913a056dc)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9917ac40-3a4b-4fcf-bcab-142c8e5153d7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e585a902-63b2-40c7-841f-d4dbc39a2157)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f1039959-beab-4ada-b2c1-3b6f6aee9cdc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d6da44c8-5d01-43e4-8189-c8dd4767c357)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2db32888-538d-4178-8533-113cb17bff64)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 377a2bc5-b5f4-4463-a503-016e4d08ebe3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 14e60e55-b846-4e51-bda0-6080f15a36c5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 270c483a-392b-4437-a1ed-09b5294f6313)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5192ed1c-075d-4f41-b92a-99c2bcb4e848)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6b759dc9-20ba-4bc7-968e-e1a26b46d9c9)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6b901041-9d21-484c-8afa-cf48f215362d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3d1cc8bb-354e-4966-98d2-294d63a5067c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 90f2fa50-134b-4e22-90c2-b75bedf775e8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d29f89bf-e87c-4b6b-9563-8b5387343258)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 392868d1-5540-42a2-a70b-3fc17095346a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9067fff8-3590-4b59-ad2d-86dee89983b4)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 237aa026-5e8e-4c74-a6e5-661967349b35)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp d62d5238-6765-46df-8785-868aa95df32e)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 376 "Net-(IC2-S5)") (pinfunction "2") (pintype "passive") (tstamp b90548b8-7647-4b54-a70c-4510b04fbfee)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 671bfe49-9891-4e5c-87ed-4aa2d8ff0fd8)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp d371a563-4586-4c72-8661-a230b2f44a51)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 252 "Net-(J1-Shield)") (pintype "passive") (tstamp 743ac514-48ae-4898-9810-00e23be8f65b)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") + (tstamp 80b6785f-fe1a-4005-9b01-613d8df0d7ce) + (at 176.923274 157.947526 90) + (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x02 2.54mm single row") + (property "Manufacturer" "Harwin") + (property "Sheetfile" "PowerSupply.kicad_sch") + (property "Sheetname" "Power - Page 2") + (property "Sim.Enable" "0") + (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (property "manf#" "M20-9990245") + (property "mouser#" "855-M20-9990245") + (path "/71f7e37d-6088-4953-b84b-4c10f88e8c06/3ec828ca-ee67-41e1-8819-65b6f31c298b") + (attr through_hole) + (fp_text reference "J9" (at 0 -2.794 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp befe3988-f4f4-4dca-9670-7065eb47ed2c) + ) + (fp_text value "01x02" (at 0 4.87 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2f445f1f-b492-419c-9d4d-c135af85f332) + ) + (fp_text user "${REFERENCE}" (at 0 1.27) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6bb6d523-d2f0-4bd5-8501-2003c77ac92b) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f315255-3657-43d2-a3ce-fdc9dae363ae)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0dc5915-32fe-4fe2-8258-8ca232a79c82)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 065edceb-9de4-437d-a725-3921de8b3f60)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5a987ef-b1a4-4ed0-bfcb-556eb611c0ff)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4586e47d-8d1f-4f46-b193-8359f498dfbf)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa32ab3a-5f70-4f32-998c-0b96d745eca4)) + (fp_line (start -1.8 -1.8) (end -1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c3737eec-14ca-427a-a5c4-4e9874abf4b0)) + (fp_line (start -1.8 4.35) (end 1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63a5ad6f-974f-494b-94d0-f6b3fb1d6fb9)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69d2775e-9695-474c-9272-70532fdd5092)) + (fp_line (start 1.8 4.35) (end 1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8c6f567-d053-4f92-a901-c6d934081ca4)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea4bb3b0-2fe4-482f-936b-22e763d7de63)) + (fp_line (start -1.27 3.81) (end -1.27 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fc5a0268-ee2f-4793-b79c-ecc61ced8a6a)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 156d411b-ecc3-45ac-9f7c-e2801bc15cdb)) + (fp_line (start 1.27 -1.27) (end 1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2be6b90-83e7-4ef8-909c-ceb19bb9deeb)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b691037a-815a-4bb4-a165-1aa8e7c8a35b)) + (pad "1" thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp eb18c202-e3c5-4b02-b9a4-3a4ed9c0e26b)) + (pad "2" thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp d5bcadcc-371d-4db8-9cd4-a3a3760e4e0f)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -12402,7 +12961,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 81ff3394-c3ff-4a1d-af5b-a42e6e151d50) - (at 203.059874 146.510463) + (at 205.413674 149.177463) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -12411,50 +12970,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/eabf1e1e-2351-4f12-8106-fd6f6c48521d") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/b9b5f94f-16e9-4426-9e4f-b71fc8949d12") (attr smd) (fp_text reference "R17" (at 3.39381 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a05ce3fe-a53c-4312-8866-84394a816884) + (tstamp 3616bc38-4033-4924-804a-7dda2a3e4e10) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7d81b609-c471-47c7-adc7-91cbbddbe827) + (tstamp 663e0d9d-0fbf-4a1b-98b3-3b4ee932ff14) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d11ea7fe-04de-48e4-9753-035086b7cf9c) + (tstamp bc8311f9-e633-4878-ba71-9c318defd7fe) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9cbf02a5-44b1-4c78-93dc-2bc488696d2c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9fbe00a4-e0eb-4f17-9f9d-046ac9f174f1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba0b4b89-5e91-4c37-9947-4693299a4eeb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ceaeaab-cbc6-4ee0-b292-2c6e22dd2acf)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7624d7e-0405-4d0b-ac88-3a122179da2e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c290ce4f-f1df-4f8f-a680-db83d8624605)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 898d66eb-cd02-4745-8df0-fa57f08dbd3b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03415022-f60f-4077-977a-a8df4778293d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dfc89d79-b48d-43f9-8815-e3631e635310)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f3568557-2d94-4032-a091-39576ccd8976)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2f4dcf4c-6b5d-446c-961d-5cd1508807da)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b06c3cbe-2e1a-4b4f-98cc-9b6de2ee1db3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ca139a60-ad9a-4396-ad67-87ff87bc1b29)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6e3a47a3-604d-4c26-bfc3-decc015560da)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4efe5506-c2f8-4193-b8a3-dc37c9c0cca4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f2dc6a08-a922-405f-a985-23dff97bbf29)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aea75d6a-b170-4651-83aa-459c63fe865c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62b0eef8-91a0-42dc-8da7-ce900f4f9b54)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2fbb8b5b-7022-474a-a2fb-eeaec120e30a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 60415fdc-4077-4cac-9cd7-3dd6fa8aaeef)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 013c5297-a6fa-47f7-85af-a596d0f5d1be)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9440335d-ccf1-47eb-91b4-6055cfdb2004)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 344f23e9-c363-42bd-81b5-9692dbbf19a9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 752717a8-a564-468f-815c-82c462ad37c4)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 31 "Net-(D15-PadA)") (pintype "passive") (tstamp 802a0e7d-73bf-42a6-a37d-f2714999a11d)) + (net 30 "Net-(D15-PadA)") (pintype "passive") (tstamp 7ef9a436-a5e8-4321-9b97-12a7d26854fc)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp bf0020bf-d3e6-4e41-a78a-d377fea269ff)) + (net 4 "+3V3") (pintype "passive") (tstamp a9c915a8-6e56-48fd-9c9b-35a25c0b348e)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -12462,247 +13021,308 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp 82399e77-2a49-4b6a-92f5-b90057f41647) - (at 195.409474 122.804926) - (descr "LED 0402") + (at 197.739274 114.012526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8ac72f51-6f58-45ae-893e-121fa41bfbeb") (attr smd) - (fp_text reference "D9" (at -3.34581 0) (layer "F.SilkS") hide + (fp_text reference "D9" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c58a667b-f72d-4b09-b5e4-c16f823d5f71) + (tstamp b9dcb5f6-8a91-4e1e-8826-a714defde1c0) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c7fd4ea6-bbce-437f-9640-f953ebfb6d49) + (tstamp 41e283fc-aba3-49b9-b6ad-2b08d75b6b49) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 196cb736-1807-4da2-9a93-80e335392d07) + (tstamp 1f6c409a-8794-4144-8517-ea92999af760) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5669d286-4386-4bc9-96be-338f6ee0fe23)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c679925-b895-4123-b04f-86f58506369e)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9d174ac-3957-4a63-800e-e1abedef0c8c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 34e25654-a407-4a34-b53f-58929451a2dd)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 48d933c9-5fe7-460c-86d7-b3e627260d02)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3423b97e-0c08-4fed-ab1b-b0e610f32c06)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 986e43b1-72e2-485d-b439-ed956a108ae0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5f109b00-48eb-4879-8448-2f4d158382df)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c4cf806-fcb9-4d1e-a0ab-d02a2d5806c3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b59ee0df-a0fb-4f56-9464-96f37079448d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1f6f3696-ac41-49b9-aed6-4e613ecd41db)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 655cc9cb-d52f-4978-af9f-8c0acb7d9880)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c8bd9792-6a56-40e1-8686-45e17e7fe04c)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 89361772-6572-4eaf-a8ff-fb5e7d069bb3)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c07e4e50-9351-4edc-b6a8-965125b56ba9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e90ff871-de07-4664-afec-55f3c8b66af5)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 65380344-05a5-400c-b5d8-786d4cdc8c59)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 95d5edfc-55b8-4b4c-8a2f-fb65b0481562)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fe4ca064-40cb-4baa-acb2-0ea79cdfdbb4)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp db9b6f37-e7f4-4952-979e-8b79586ff7c5)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c35f1c1f-0403-4a37-b5eb-40813c6c1f9b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6a33b488-a9cc-4c1e-8e42-0e780df065e6)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5aef313c-db28-453a-bd22-63ad7e73c2ce)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e0565095-dbda-42b3-acfe-576b79c64873)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10f87798-6f6b-4840-bbfb-86f39f01cba0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8fc8b60b-31f1-4cfd-b501-56a7d1609ed9)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 19 "Net-(D9-PadA)") (pinfunction "A") (pintype "passive") (tstamp e54f04c7-f8f3-4d69-bdf5-d809aa6fd704)) + (net 19 "Net-(D9-PadA)") (pinfunction "A") (pintype "passive") (tstamp 3c7741aa-909f-45a5-b495-dc6bbde67f24)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 20 "GPIO13") (pinfunction "C") (pintype "passive") (tstamp d7d98487-52d7-4da5-9776-c87747dd2111)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 20 "GPIO13") (pinfunction "C") (pintype "passive") (tstamp 3df520ba-c6ca-47ac-8cd0-eed41de48461)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 82555573-60dd-4e99-bb6d-eb7eeddc6760) - (at 107.593274 141.132726 180) - (descr "LED 0402") + (at 107.530474 141.132726 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7163707c-7bd8-4375-b691-b7e0354a5b2d") (attr smd) - (fp_text reference "D130" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D130" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3b4beb01-fd26-4fb6-8d5a-854bbf0ab680) + (tstamp d39ca51a-921b-4875-938d-3ef5ffa7d40f) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5cf192b4-736c-40d7-8f7a-a1beab1bc413) + (tstamp 678fa07b-9c8a-4037-b228-1cbcc1804741) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp b1631e2c-49a3-4810-88a2-02515b17e41e) + (tstamp af376bea-ba01-4b48-b47c-30565852b653) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 479d0016-3137-4a5f-bbdc-efa0f5a6b871)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46183e9c-49ad-4718-83b0-d12c8dcf0704)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 765dfa1b-854b-427c-8490-4418839dd1a6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d627d75-cfc6-46ef-96d3-80f7ab7deced)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c9357802-537d-459d-8750-e1ee805861b4)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cbf19cfd-ce83-48f1-9a1e-522ce8f104ef)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fe34424-1e12-4abd-b5f4-45701940c994)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fcb03498-0f1d-4591-a054-b81227fb81a2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35638bfe-f6c5-4fd2-b00a-6372d3efb10b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74d3e0c1-5c5d-401b-9a5e-ad4dbf7f6c66)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06c96a0a-7c8a-464f-9716-cc50cfc8f41a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9ccf987-1fce-4c20-a592-d6c2c3fc89ef)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9f271c91-bebf-463a-a996-128cd5aa8ce9)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 29b8afbb-c9af-45d7-98bc-3863d295c4ce)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1681073f-79f2-413c-bbb3-2d78cadc640e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8270f5a7-3265-4e1c-9854-36de73980be9)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7c25374-fa26-4b8d-920b-c5b95d95c156)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5da406e1-46f8-4c0c-bca3-a94f360be645)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 312bed57-c544-44f9-962a-d2df3aabbb80)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2b846b40-3a1f-4671-87f5-0b48b4bda82b)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2caabd35-7881-47a0-830a-2c43f79d66a7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b5c77c8-8f40-46f1-98a8-e35c33387051)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eb730d6f-d712-4285-9bee-72f27e319b2f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 89d3e439-a736-45cb-aeb5-214e6e0cb569)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 75fedaa4-2a39-4635-a2a1-4743b03de4be)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ea5bf8a-216b-43ed-b886-03341925cc0d)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 242 "GPIO155") (pinfunction "A") (pintype "passive") (tstamp 7d65dbc1-0b7d-4773-b803-840f1efe58f8)) + (net 235 "GPIO155") (pinfunction "A") (pintype "passive") (tstamp 3ac30947-1d8e-4524-9151-eb85b6f6abf5)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 320 "Net-(D130-PadC)") (pinfunction "C") (pintype "passive") (tstamp 49833a06-7d80-45ef-ade1-dcc654dbd2fa)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 313 "Net-(D130-PadC)") (pinfunction "C") (pintype "passive") (tstamp 756617b4-b449-4277-a69a-b745b9b29d24)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 826064c1-09b9-4356-ab59-202961bc4a37) - (at 93.37103 68.087623 180) - (descr "LED 0402") + (at 93.3216 68.087623 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/0d96745c-3fce-43ab-b685-93fbad789b96") (attr smd) - (fp_text reference "D39" (at -3.984547 0) (layer "F.SilkS") hide + (fp_text reference "D39" (at 0.3 -1.39 180) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6f687385-e6b4-48fe-954d-4a60f27c9372) + ) + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 22de70c9-4a91-4598-b64c-acd19a7b89de) + ) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 6f6e1e68-e4db-4fb3-a007-e1a16e17c4ed) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff0b93f9-74fa-48f4-9d11-a6fe0016ba42)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 29b06e7f-5f56-4ab4-8835-602ba91b4da5)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a4550cf4-107a-4272-a5c1-3a21af584544)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fd374ef-cce1-4678-8d7a-f4b3ccb98c11)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77389e67-1a26-4172-9c40-dfb2c98a42a7)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ed8d774-553d-4f42-b0e4-955073bbd9a1)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp cb7c0904-f032-4ada-b478-4b11c29df2be)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7f4e068-7130-4122-b331-4870bec235ec)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cfc3823f-b3db-4920-908f-d5201bf90c5b)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f3792ccc-d151-476e-9dec-1e83e22c2d08)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ccb24644-060d-4243-9a51-161a7482d861)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e283e4ef-3230-4f5b-a05d-300b550bc260)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83a57be8-a1e5-48d3-bd06-58010584d843)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "Net-(D39-PadA)") (pinfunction "A") (pintype "passive") (tstamp df843198-385e-431d-a3fd-6dc71230d2b8)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "GPIO45") (pinfunction "C") (pintype "passive") (tstamp cbf57107-d705-4a0e-9fc4-629fe03e61d2)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp 828bafe4-a7e9-4fdb-a39c-cec912b79b59) + (at 183.273274 120.731526 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/65c21e0a-71fc-4a51-bbc4-e95a1503d242") + (attr smd) + (fp_text reference "D99" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1cbe1cc7-fac0-4fc4-9546-1e0e67edf92e) + (tstamp 40e97ffb-3da5-46e0-a01f-4bd3d9c61dc4) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 14eee085-a822-400e-b76c-0bf8d6c882ee) + (tstamp 11efa5b4-631b-4829-b0fa-aaecb3d7b2bd) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 8f5f6a5a-f551-4ef9-a876-800d3bfdf439) + (tstamp 7a435c9d-40a3-4351-8ad0-c0aeb57f0abd) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ff1409e-e5ac-4849-9d17-33f5fd6a3ede)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 96f99c82-d897-489c-a9d2-ae7ca52a20ba)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3abe5e99-268a-4d97-bac6-cef93a9e5311)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 32ea7697-49bd-4690-b44c-04e24a216aa9)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6e88020b-11e5-4864-8cdf-70c7a168d8d9)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 08bd247e-4053-4832-b89e-4ad660715b0b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee5c0a01-1904-439b-80bc-3557ef2602e2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f504d381-ddc6-4411-84e3-d98765e419f4)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bfa261e2-a41a-4b25-a003-e44f090f61dd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a3d10a9b-8099-4308-bed0-9ff221df6e4d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d32a59b5-5952-4eaa-a825-1dad4ad4b50a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 898901e4-7727-4948-a098-c06b0459160b)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 85dc31d6-57b7-4332-9ff9-0a8650059349)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 74b72508-9047-4796-a270-48c2fe4e1bae)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f64e8642-e4a6-45d3-b5b6-ecbe0ae2bd14)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eb661ded-511f-4f97-a7d7-fc91bd162fef)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3b741862-7740-4a7f-b1d2-2945e5515388)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9cd97866-8b48-40b5-ab54-9d3259aea9b8)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4c7d3046-4efd-4568-a497-a15a8a885f46)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b8a21a62-92c8-41d2-9701-c212c360c601)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1efe5469-4aa8-469a-9953-fa60bb78c396)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6dda9780-d396-444d-a11c-7476c0594318)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f420d3fc-de71-4ba4-8562-3a183ea658a8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dbd41b0b-49b5-4c6e-a0d6-c8a0554157ff)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d18d544b-ac40-449c-8f46-b6a7210b19c1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 653e9974-28ed-437d-83de-6e2b733b751c)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 78 "Net-(D39-PadA)") (pinfunction "A") (pintype "passive") (tstamp 3464bc9f-2085-44bc-a271-5c825b738c8d)) + (net 396 "GPIO20") (pinfunction "A") (pintype "passive") (tstamp 86e36f1a-afaa-4292-ba08-fa3cc6a3ccb9)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 79 "GPIO45") (pinfunction "C") (pintype "passive") (tstamp 51804153-ffe5-484a-924c-ba72710dc54e)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 397 "Net-(D99-PadC)") (pinfunction "C") (pintype "passive") (tstamp c0afe0b0-9cd6-42bd-8879-2ab5b984a25a)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 83014e04-0dfd-484e-9f11-ab5574041347) (at 76.454274 100.931526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c2d71558-b5e2-4b7e-a16b-3ee8d14120d5") (attr smd) - (fp_text reference "D60" (at -3.478334 0) (layer "F.SilkS") hide + (fp_text reference "D60" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp df0211aa-fc69-4a68-8028-051491d47818) + (tstamp c1e48ce2-659a-4417-9d01-3c227ef66411) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 439db42d-b290-4170-b818-df0c80f51ca8) + (tstamp 9addba57-3e62-49d0-bbab-f7642cbd58cd) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp b9f34b1a-29d7-483a-abca-db95a4dc1f85) + (tstamp 709c5d7a-9d65-4ba3-a5f7-a3d4d11d2fa5) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93117905-7a26-42c4-a73b-df4cc9b6d0a0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2787f414-b7b9-482e-a035-83f9605b809f)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0f13dba4-fb34-48fa-a735-ccf9fce5bcfd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e18c3a8c-9f30-4935-8ea3-d84268f95e54)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6a2c14be-0237-48cf-be2a-63d8ff5e7ca4)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5485be47-6b91-4536-9c0d-b59b6f76972b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3affe529-ceb3-470f-a77f-54e09a9ddc39)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bd958ee5-ffe4-4d48-91d5-9fd846a680e3)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6a04c187-1ad7-4bd9-a47f-87b032e5230f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74c31cb2-f580-4524-bb1e-dd268b13d047)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 639cb79a-46f4-4b18-a4ce-e7091b41ed65)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f840d024-8d0d-4426-8e97-f09316e55e81)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp a643851b-26ed-4db2-a3ac-68b3ebe987e4)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp fe732dae-45de-41e0-bf6e-f6fdcd0b351e)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 20c52586-4fe7-4454-9237-218a8d7681bc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c9a2dba5-9781-4575-8ed1-b119e4b6203e)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab3b3595-f617-4722-9dfc-a1421a8378b4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a4086f4-929e-4384-a94e-c8c751c22cfd)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3645467a-e176-4ded-959c-7998c801302e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 38263b65-3d30-49c1-a51f-ffcb51a61ae1)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4493b43b-5203-4ea2-995e-27424789dbb9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7b1c114f-e18b-4adc-a0cf-4868f1565218)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 075788a1-557c-4a8d-b53f-dba09fb481c8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4bdcd183-c4f7-45a6-8993-8907ccbd4723)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 635b0ae2-5bf6-4c9f-839a-ea7933d4fd79)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c4a2b503-72d5-4e56-b308-098b205b1d34)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "Net-(D60-PadA)") (pinfunction "A") (pintype "passive") (tstamp c2c065c9-8098-4b0f-bc07-3ea1629836d9)) + (net 115 "Net-(D60-PadA)") (pinfunction "A") (pintype "passive") (tstamp 042195aa-5069-46f0-acee-fea6474c4509)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 119 "GPIO66") (pinfunction "C") (pintype "passive") (tstamp 22512044-6222-41db-a230-563a250acd89)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 116 "GPIO66") (pinfunction "C") (pintype "passive") (tstamp 01dbb446-53f5-4274-ab9f-53b65a11dad4)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -12723,44 +13343,44 @@ (attr smd) (fp_text reference "R130" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b53ebf75-9273-466b-bf9f-e15ea0c9c973) + (tstamp 509b6e6a-a3ac-48f9-83a4-d6c2a6befea6) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f6444d44-ad6a-487b-82f1-6fd292cbebeb) + (tstamp 92cb541e-43c4-40e1-a020-17063ad33cb4) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 531f9285-1612-4f7f-8bfc-314f7bd38d44) + (tstamp 8a50ca74-9d5b-45b2-94b2-d5d0f0b68b54) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ced14a0f-fda0-4f76-ba6e-6e9e07172856)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aff890a8-dc90-4f78-af22-3849209bfaef)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 634325cc-c135-42cc-a8d5-022950b76582)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d67b5d4-ca99-4481-99b4-de77c3680fd4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b656efcc-9d7e-4c4d-8663-42570602bdc8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b72ed1f6-9583-437d-9a81-d61d9ec9d709)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2189fcd8-cc3d-4213-837f-684b01746ab8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b4521bd-2dc0-49be-821d-ba57e59fd4f6)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b5a17a1a-a66d-4b84-8159-802bcc5e4837)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e5990d4e-d686-48c6-98bf-68afb8bcf9ca)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 61f37739-b9cb-47c6-b441-67a3c55d6b1d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 96436ac0-db2b-450f-b593-15559c16f1cd)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a1f63ffc-0aa7-40cd-adfa-a92b59c29b30)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0dd05fbc-eca6-4ced-a662-01b1d1173a25)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp eced7325-1756-4b8e-a77c-eca7d1f0de5c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 373e4c24-8777-4579-8cc4-535196293dd7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 22c4c295-c76c-464b-a049-c4c8714560e7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d8c282c1-3295-436e-a838-2e563716df2d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07dfadcb-7d02-472b-b38c-f266fb8098c6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5acf5cce-85f5-4116-8750-5b50cdb7a0c6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 97652085-5919-41e7-b4ad-08fe58932196)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 442b2b20-31e6-48cd-b40b-cba38a8b139f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp edb9cfe2-983b-486c-9cc5-ed98a43fb4ab)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 647fa7be-26b5-4b15-b39d-74028c38cbc4)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 318 "Net-(D128-PadC)") (pintype "passive") (tstamp 8aee9f96-d298-4119-a815-9d59349e0d66)) + (net 311 "Net-(D128-PadC)") (pintype "passive") (tstamp 5ab31aff-660e-41b0-b002-bfa6471d4969)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 3f06715a-7046-4282-baca-611132e9ea69)) + (net 2 "GND") (pintype "passive") (tstamp d8fee9af-cc61-4b3f-9b5a-7f9b9ef4bd28)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -12768,131 +13388,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 83d2889e-cbcc-4141-9284-9c0d37045952) - (at 93.37103 73.035326 180) - (descr "LED 0402") + (at 93.3216 73.035326 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5b7a374c-7c1d-4098-8ee7-3d3ba72d8792") (attr smd) - (fp_text reference "D111" (at -4.414536 0) (layer "F.SilkS") hide + (fp_text reference "D111" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e1f5bc5c-a420-4f39-ae15-0dfbd497576f) + (tstamp 28d99b70-4161-4150-a0a4-dd64a5b241f8) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d788d582-0ada-4a56-913b-678bdd7394cf) + (tstamp 0b521b2c-9a79-4fbb-8dd3-7f59257ca31d) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp dba18d37-acf4-4c8a-b4ca-c75ca286b38d) + (tstamp 431e23a6-9291-4df8-a2d8-fb189fe085a9) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5a9b6b41-7d16-4149-974f-432d61a42403)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8687df08-1dbb-485e-8268-82d545acd90d)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a5c71770-14ec-4acf-9595-2e66b059e64f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6a6871fe-40d3-4bfa-9631-ebe97a136eab)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp dbe4e5ad-e79d-43e2-a159-7b71d54c6815)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 04c956ab-71d6-4a8e-945a-9ba4b063fb7b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6bea53a-4080-4a66-ac73-88885d8c8a29)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98f9b8eb-856d-4ae3-a532-07c95d15bcdc)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 735e7ca7-a39e-4e8b-9311-b69c4cca00de)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0915e02f-225a-44ff-91fa-0a52c01b904e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bd62c9bc-bca2-4ffe-9a46-d6de3dbe2d58)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cbc81563-1614-4d25-94dd-27fbaf8784c1)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp cd500f47-42f7-4636-91e9-787cdb074219)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 133bac43-190b-4222-8d42-a1a529de4be6)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7742d30-1039-47fd-bcbf-a1af4686052a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9dfdd764-f39f-48ab-8d17-387868add842)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3a1234b-42f6-434b-ba39-db3895406acc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 299f6136-f93d-4a67-9d97-1ad985982afe)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0bdf2b03-01aa-4ac0-b3cf-a61181039d4d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8044b27a-fee6-4665-aa17-3f79008bc926)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d258b469-8a50-49de-89f8-7694c8b675ab)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8585a270-c560-426b-81a2-e465f610c201)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 171a0afd-3e8f-459a-a6ed-9520a6fd5a2b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9b70ba96-e518-4040-ae0b-dded07446ae4)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b2b5965a-080e-45fd-898e-850483f37e7e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc26351a-4aa5-4fa6-b1bd-c951041e7b8f)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 339 "Net-(D111-PadA)") (pinfunction "A") (pintype "passive") (tstamp 30ed1ae8-6da2-4afe-b3cd-6f3beff59e0f)) + (net 332 "Net-(D111-PadA)") (pinfunction "A") (pintype "passive") (tstamp 1c8d7a4a-3214-40e5-9f4a-69a991b78e60)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 221 "GPIO127") (pinfunction "C") (pintype "passive") (tstamp 8a127501-b0d2-4f52-8c26-2c771e59137d)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 83dd79d4-18ba-443f-a761-fb75d189c47f) - (at 177.165274 136.484526) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/35324e1d-1ca8-4924-993e-5369ef6c4506") - (attr smd) - (fp_text reference "D10" (at -3.344409 0.02) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7d23f1f0-0606-46b7-9ed3-d78cd45c56d6) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3d293f61-4133-4628-aa7e-0878e48eb14b) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 38834598-0438-47e4-9a8c-2c18f01549aa) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1275917f-5c2b-42d2-8f91-c8ace38ac8e2)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d749a7c-2806-4bb4-a427-b314efa7a5b2)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c76e45c5-f6dd-4489-97c4-0883a8e4a786)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2140f2c6-42e2-41c4-acc2-6074853a3bc4)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cba082bb-3a2e-4d1f-b6f5-0f75ebd5c5d8)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e896137-2d81-4754-b9a7-62c7e3c2796a)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b62fcade-f3e1-411c-863a-c2580fc931e8)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1a6b8610-2e38-43f2-bb47-5428afee0c6b)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 97338577-81d1-4177-8193-e8bfe70d349d)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp afe9ce67-7763-43a8-b50d-68deb716bb4f)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8cd615e6-715d-41f3-9747-0d0060b2d111)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 043ff13f-0fc6-43e5-9ebd-b15a21e66e9e)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 122c95c9-44a6-49b4-96e9-758320d5161a)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 21 "Net-(D10-PadA)") (pinfunction "A") (pintype "passive") (tstamp 888b81e8-12a0-4115-b64c-18c0e41a412b)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 22 "GPIO14") (pinfunction "C") (pintype "passive") (tstamp 303231dc-17e8-484c-8e1b-17aa3c240520)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 214 "GPIO127") (pinfunction "C") (pintype "passive") (tstamp 5a5049e9-eadb-4eee-959c-3b82fe8d0287)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 8439a889-74dd-41b8-8573-da27482dcd9b) - (at 69.18979 59.395526) + (at 69.203634 59.395526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -12907,44 +13466,44 @@ (attr smd) (fp_text reference "R35" (at -3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cadc77a0-31a2-407b-a7c1-1426b99344a8) + (tstamp b5308e42-c4c8-433f-8cc9-ffb010d34bb7) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp fec09fe1-f305-44eb-b78d-f00f08ce0ae8) + (tstamp 07e3b56f-5185-4081-b753-116e570e425c) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp de1e920a-ab86-4583-9f8a-f2208f11646a) + (tstamp 735ac438-736d-4e08-aa27-cf79e8ee103e) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 28ba2c4b-8a14-41c3-8c4d-da0297b94d0e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46421c57-ec51-4494-985d-05b6657c4e86)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ba461fc-ad09-4539-903f-c03d2aa4173b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9bffcd2c-710b-4dcf-bac6-eadbc4eeaa7b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d32601cb-885c-427e-8675-5c81f6e4199d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c278ee26-d0b8-44eb-861c-1b106236c7a4)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 079b73e5-0966-45ec-8057-db62992bfdfa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e739d9b-e8cb-4bd1-993d-8b1c8b46c147)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 442d0fa5-3a9e-4cde-856b-99054dd0b557)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6167d544-f052-4f72-b2e6-7b31e4865400)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bf874a45-02b0-4c5e-bcbe-e05cd310d40d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d1d0cb33-ff4c-47f5-b644-0ea6137fa12c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c9abb94a-5d7c-44e2-b84e-14d08fed0c65)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fd8d3cd9-ab46-405e-8768-ebc44d8ae96a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 081c341a-63e2-497d-89a9-fb5e8445db29)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0d137406-4cec-4045-a245-c50e7f1cae3e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aacf145e-3844-47b7-9e66-2c0b51c44e12)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6599e1e7-d74a-4998-8c24-16e810dae48a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0f5b840-7fad-4515-ba23-1351b31ac195)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3acdefbf-65d1-4e83-a956-af65b7b710e6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec8b7487-fc0f-476b-a57a-f5a9cd5e8c91)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 904eabb9-a441-4a5f-8494-2443838d4ad3)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9f07ed56-381e-4cec-bf21-57534ad80f55)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 04ba9d5b-8022-4262-8743-26df9068dfcb)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp a2732d26-7cb1-4579-8d09-f43089a1a907)) + (net 4 "+3V3") (pintype "passive") (tstamp 5282261a-24d1-40f0-b806-a0fcec938bcd)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 67 "Net-(D33-PadA)") (pintype "passive") (tstamp 6862ae64-ffad-4674-880a-7a1e96f9ad73)) + (net 64 "Net-(D33-PadA)") (pintype "passive") (tstamp f86607fd-4432-421d-b173-95c27c14a4f3)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -12969,44 +13528,44 @@ (attr smd) (fp_text reference "R8" (at 3.09981 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b8e29716-24ed-4913-b228-fb15ee3783df) + (tstamp f0dcae52-10c2-47db-b587-dc446e3946b9) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c7c26383-7528-4ebf-8316-b3e263252d51) + (tstamp c09e12a6-41d7-4df3-9825-3a20519a76ed) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 89325b65-0a71-4f6a-b863-293cf6017ba1) + (tstamp 49d6db76-ad9b-441c-8d80-c58301f44c25) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ffd4800c-f512-46ef-86a6-b2d7f04af22d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 321d8a71-45f6-4063-8fb8-f11007cc397b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3be92a69-155e-4ba6-bcc1-bd0874447e34)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8dc70ba2-e856-46c0-8890-9bceb78d8457)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9104ec11-0db6-4b36-8156-f528fa688618)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ae9f04a-a8b7-4218-91a1-86d27f4d6786)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7269cf79-7f74-4002-b740-5aa9bc7d40b6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fcc54a6c-920f-4534-bc64-0fe0eb3eb4c3)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e1367ac8-5389-460b-8a8a-1a0777c59f6d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b260d7e6-32e6-413e-a915-91f0ed1d9b16)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3005998e-dc4d-472e-88a3-08b29c9b8c11)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ff3a80c6-2381-4348-8fc7-128899802741)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fc0a3d76-76bd-46c3-9629-5a80ca4f36b8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3c963be0-f6c3-406f-b493-722efb5e6855)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 585e4960-3599-423e-b34f-5771ad83448f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp eade8e3c-ae40-4c31-9a0a-f96e2d22ba26)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6f3180d6-0237-4d99-8c46-779d0e6ff834)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 614b3551-f787-44fd-89f1-20321e5b28eb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d4132109-43a3-4a63-89aa-577d39c3561f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4d6048a9-4cde-44f5-b341-2c1ec8952e56)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7b5977dd-0c26-40ea-b12d-4e5c36b023d0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a22360d7-f207-494a-b83f-52cb51f9a59b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aacb7510-ddc1-4c86-be97-6754022d3ec6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4b959674-7e94-469a-9484-533061f5fc27)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 13 "Net-(D6-PadA)") (pintype "passive") (tstamp 269edfcf-7115-4a06-a97a-6f30a2076a27)) + (net 13 "Net-(D6-PadA)") (pintype "passive") (tstamp fff3c018-d368-40be-a288-ff41cfd5c4bd)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 4c63d4be-ced1-4513-9919-d0618af86d54)) + (net 4 "+3V3") (pintype "passive") (tstamp 94fae22c-ea3a-42ee-90d1-d20d2c353428)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13016,7 +13575,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 84704195-3d02-4a6c-9f9d-531b5dce5363) - (at 203.073274 87.559926 180) + (at 205.413674 88.855126 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -13031,44 +13590,44 @@ (attr smd) (fp_text reference "R98" (at 3.146049 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ed63e055-ae7a-403b-ad55-403e62066a1a) + (tstamp 23ebb94b-8edc-42cc-94d0-b6e7d1c3ae4a) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 670fc44e-2215-4170-88f1-ddea89b7d919) + (tstamp 5c0a982e-89b8-4561-bf72-feefc732565e) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 18527091-2a5a-4b74-9e66-6616bb9950d5) + (tstamp a90eecf2-32df-493d-8491-0c7b259d7120) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 077f1643-acda-4252-a888-1bd80c15e0b0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c2fbc06a-f09b-4a4e-b8d7-4e4ba9db28b9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 890b8848-e8fa-4de3-83fb-733d3c2d3ee5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cee41a61-922f-46a1-b012-a3e781fa1db7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0554da49-5782-4786-9b65-11f1fe37626a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2a005de-7a87-4a09-b347-bf9a4b5d0df5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6bd37bcb-2d45-42cc-bae0-dd3ca01835ad)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9188b22-9a4d-469a-af25-b019c3130ca6)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 57a7ac34-1ab6-4a7b-a97e-fc633509a419)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8e598444-a21d-4337-9e71-94573ebf5ccf)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 338e5b44-7fbb-46e0-837c-f3c61898b8ff)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4ee08ca9-cb1b-4196-ba8d-6dddf3dee68f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e5bd618-56a6-408d-aae6-12f382652786)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp db70c4ca-721c-4c0e-a5b1-6e78246a4b6e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 095be61e-4c0c-4f6f-9136-ecd7c07dd615)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 71af2854-6b01-4436-8bc5-7206cdaf9e5e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35900797-5dad-475a-b214-21cb6098a633)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 153fcd72-f241-4d0c-916c-d7910ef7def8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1254a4c5-95ce-4a47-942c-292d44bb6b03)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8c7fb0b2-b21d-47a0-b5df-05b4a1dc3c0e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b163a043-e85d-4c7a-886d-08b990a6e6bc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c21fd5cd-d310-4b8c-9978-dc98fed9dcad)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 84735f11-f3a1-4671-80d9-97f315327013)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 88bc9c98-fc44-49d9-a9ad-82390b2ccbd9)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp a9f8d073-45d8-4fc0-8491-5977d939620b)) + (net 4 "+3V3") (pintype "passive") (tstamp 54658dde-62de-49aa-921a-ef218a27bdfc)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 190 "Net-(D96-PadA)") (pintype "passive") (tstamp c4d62be0-0ee1-4ecf-8f89-533838a00f91)) + (net 186 "Net-(D96-PadA)") (pintype "passive") (tstamp 416106dc-4112-4e20-89e3-03792c9e8ce1)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13091,54 +13650,54 @@ (attr smd) (fp_text reference "U1" (at -0.0375 -4.5) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8ca14800-f118-4ae9-b28e-9d912e4b363d) + (tstamp fd151c3d-6351-4ce6-8986-88828f58915d) ) (fp_text value "TPS73733DCQ" (at -0.0375 4.5 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8ecd0913-33b3-447c-815d-d9d39b3307cc) + (tstamp 6eac8267-9ad1-4d2d-9a84-3515c0a14e3e) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") (effects (font (size 0.8 0.8) (thickness 0.12))) - (tstamp bcc3d0c2-8a05-4618-a4ea-d64fb9b8659c) + (tstamp 97bedd39-554b-4739-bbe4-22332f7f2b16) ) (fp_line (start -4.1375 -3.41) (end 1.8725 -3.41) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05bafa6c-a10c-46fc-92cf-e777e32f849f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce54bc13-76aa-441e-9fc0-8ee7d0880f8e)) (fp_line (start -1.8875 3.41) (end 1.8725 3.41) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9bc9896-cd81-437e-b9a7-07d8c8a8610f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 157d9af6-ddab-4444-b5fa-0edf1dc93bcd)) (fp_line (start 1.8725 -3.41) (end 1.8725 -2.15) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 15806a11-9f70-4f5c-b5eb-08e327bb5a7e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ea7e991-b5ab-43a3-9348-ff3ae0af3b1c)) (fp_line (start 1.8725 3.41) (end 1.8725 2.15) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e124911-8be2-4fd5-97b3-a4e3b3a3468e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 16da0622-5bd4-4561-9f54-990bd5ee3dbc)) (fp_line (start -4.49 -3.6) (end -4.49 3.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b6a990e3-917c-4917-8b65-72dd1d065ac1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de4e3d9e-08dc-486c-9eed-dab912729d43)) (fp_line (start -4.49 -3.6) (end 4.49 -3.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45795d03-8b92-46ff-9137-85a0e9dfcab9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b787bd56-8346-43cc-8cc0-69cccf19bb6c)) (fp_line (start 4.49 3.6) (end -4.49 3.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aedda818-ae11-4bda-9c26-505c5678e83a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7eba066f-cd74-4641-8c45-e0277a857a31)) (fp_line (start 4.49 3.6) (end 4.49 -3.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f56513a0-f848-44f7-8a76-06ee5d0661d0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eb6670fe-4284-4d0e-8838-48b4be99c4a6)) (fp_line (start -1.8875 -2.3) (end -1.8875 3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39f98927-c35e-4ab3-9ded-55e41ec563a5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d051b7e3-e2bd-487b-94ae-a0770697c74a)) (fp_line (start -1.8875 -2.3) (end -0.8375 -3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a55bf445-1f9f-46ef-83a3-ff522774e085)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb29f8c5-207a-49d9-ad38-1a34a8098cb6)) (fp_line (start -1.8875 3.35) (end 1.8125 3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0d6e73b-1e7a-4fd7-afd5-c3602cb7b2be)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2d56c75-cc8a-4299-a460-f166d4f96fd6)) (fp_line (start -0.8375 -3.35) (end 1.8125 -3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1100fbd1-5b7a-4db8-bb12-e0ddabd75dc1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29d2bc70-a36b-4193-962f-a426338a179d)) (fp_line (start 1.8125 -3.35) (end 1.8125 3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0ecda4d-b94e-4746-8c98-ad60cff7579b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2a0c9237-9fcf-4065-9762-e08ec5e4faf1)) (pad "1" smd rect (at -3.1375 -2.54 90) (size 2.2 0.6) (layers "F.Cu" "F.Paste" "F.Mask") - (net 1 "Net-(U1-EN)") (pinfunction "IN") (pintype "power_in") (tstamp cdab9407-6bc9-4375-b619-1faaf39646b0)) + (net 1 "Net-(U1-EN)") (pinfunction "IN") (pintype "power_in") (tstamp 9b19ab52-a3bf-4514-b5a4-fd4ffeb4f9eb)) (pad "2" smd rect (at -3.1375 -1.27 90) (size 2.2 0.6) (layers "F.Cu" "F.Paste" "F.Mask") - (net 4 "+3V3") (pinfunction "OUT") (pintype "power_out") (tstamp f41761ac-417a-4448-8401-28e57c2221db)) + (net 4 "+3V3") (pinfunction "OUT") (pintype "power_out") (tstamp 72e27292-e4ad-4d71-a618-3671479e5a29)) (pad "3" smd rect (at -3.1375 0 90) (size 2.2 0.6) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 32ca9bed-9ace-4e5e-9908-6f6623cf08a4)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 906e6d44-99db-4fc0-8fe0-554cce2d79a0)) (pad "4" smd rect (at -3.1375 1.27 90) (size 2.2 0.6) (layers "F.Cu" "F.Paste" "F.Mask") - (net 3 "Net-(U1-NR)") (pinfunction "NR") (pintype "output") (tstamp e5d8bcab-5d1d-47a4-852b-4818d9c81fd6)) + (net 3 "Net-(U1-NR)") (pinfunction "NR") (pintype "output") (tstamp 04f71caa-d99b-45f8-8f14-11dfdcefcb1d)) (pad "5" smd rect (at -3.1375 2.54 90) (size 2.2 0.6) (layers "F.Cu" "F.Paste" "F.Mask") - (net 1 "Net-(U1-EN)") (pinfunction "EN") (pintype "input") (tstamp 7a2926f7-8a10-4fbc-91ae-ddebde048f68)) + (net 1 "Net-(U1-EN)") (pinfunction "EN") (pintype "input") (tstamp 7f29d4cd-808f-47bd-9cbd-57f87a79f060)) (pad "6" smd rect (at 3.1625 0 90) (size 2.15 3.45) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3e83b87e-2ed9-450e-a454-1aad437c93d5)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 76935fc3-0ff9-44bb-92a7-019e6653944a)) (model "${MODEL_3D}/SOT-223-6.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13146,186 +13705,186 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 8512dd1a-14fa-4476-8fbf-fae80016ac88) - (at 93.357274 78.848687 180) - (descr "LED 0402") + (at 93.3216 78.848687 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7872446d-445b-4ebc-9f65-1568233a73f3") (attr smd) - (fp_text reference "D25" (at -3.997546 0) (layer "F.SilkS") hide + (fp_text reference "D25" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 18ffa836-19e0-4232-ae80-0cfcd085ce2d) + (tstamp 9e1b88bc-62bd-4178-a595-bdfc3f7e4906) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c238f266-279e-45ac-ab45-49445674f13c) + (tstamp facc5925-cfe6-42c3-9933-f7356bea921a) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp f8519cde-abce-441f-8f67-8f07d1bc63fb) + (tstamp 47e36573-c725-4277-95fa-caed8d6312c7) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ef6503d-8ced-43e6-a574-fe5e49e1b278)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 16259ea1-fd69-45fd-baaf-77ec22b61da8)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d41ef592-a37b-4ebd-90d3-ef85ba2b3c94)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02462843-6ea4-45c0-8225-8d5f7ad9099c)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6d8d52c3-3887-45d5-b7fb-6a63fca569fc)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cfb18821-3dc4-44af-9536-d66176e80325)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d031958-70f1-45ad-a540-52758dbad3f5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fdbd170-5b9d-4772-92e4-cb743d5b1499)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 229f807e-d298-4310-bb1c-6ee630a42a79)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed611a65-f534-49b9-a1b6-246574c4b425)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52947c12-55f6-44ad-897b-bdad75058083)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2dfdc2d-35b4-463b-b127-c8310a893c3a)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp faddf39e-8717-496a-b52a-26725ecee1f7)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 279aa689-3f2c-4bc0-ad31-3a9e5dad84d0)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4593fb97-e76b-4161-85f3-db4d0ca99b44)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 669435ad-d181-492a-ba37-4938a302f147)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9a35e89e-7b1d-47ce-9dc9-d1ca00382c99)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 226de833-c555-44a7-99f2-4b0fa2d80617)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 054268fe-67db-44bd-b097-ba242890b87f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 99ae84f0-a22a-4d78-b5ed-3c33ff31169b)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a340fb0-6646-4de6-9e5b-bfa45ac3245f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 353c3786-fe00-4937-9928-c83bc8d19e6e)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 261e9c9a-df4d-4b5b-b497-5a22119f865c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35b0697b-a4c5-4721-87b5-ce700d5d54e0)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3532ebc6-6e92-490a-83f3-241550a1f0c1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0e70aa36-9cfc-46f5-87f3-907d55000829)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 51 "Net-(D25-PadA)") (pinfunction "A") (pintype "passive") (tstamp c89a325a-774f-4634-ac74-4a443bded7aa)) + (net 48 "Net-(D25-PadA)") (pinfunction "A") (pintype "passive") (tstamp 9415d81d-1d69-4625-bb50-a0e8364468c5)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 52 "GPIO30") (pinfunction "C") (pintype "passive") (tstamp 8c9bc770-d9fb-4326-891d-8d6679e8b19e)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 49 "GPIO30") (pinfunction "C") (pintype "passive") (tstamp 36e07919-4517-410e-ab74-495f6a1c9926)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 864477df-2bae-4ade-a7cc-85bd49db5016) - (at 76.44043 79.734126 180) - (descr "LED 0402") + (at 76.454274 79.734126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/03521e7e-805d-4f23-ac45-c6a468474d29") (attr smd) - (fp_text reference "D119" (at -4.303303 0) (layer "F.SilkS") hide + (fp_text reference "D119" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9308d605-af5c-4f6b-bcf6-34d949b05525) + (tstamp 00de0fc1-a06e-4037-bbae-e454098495ef) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 896b2eee-636a-49d2-84dd-083d52a038fb) + (tstamp dd65bda8-dbed-421d-9ecc-58886050bc05) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 159f0b4b-c5b6-4324-87ba-ae971050a92c) + (tstamp 6674204d-5eaf-41d4-a1c0-305573147ff6) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7cc85452-b676-4b98-a71b-845b649c3f4f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23ea4294-650a-4d1b-a527-f4f34a1093b1)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e72a328-a87a-4a0a-b5de-d8896743e873)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f5d7c61-d344-4f54-ab63-0ed2d8bfe6f4)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2e23913e-d433-4ccf-b72b-3cfc45a40926)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7ad6574e-cdc1-4842-9127-d7bf3f5060a1)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c589e812-37c3-473d-914c-b2b9cae142d5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e471d23-9935-40e9-947e-9365af79937a)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be268d7e-f48f-47f7-b022-ad307f57324c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1a30582-7b68-43ed-8323-19d016c0db95)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cdc8a23e-9f67-4f1b-817b-f5fc26374e49)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 61b77858-6423-4d25-a7a8-211ed8a9d346)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5278abc6-59fb-45aa-8b32-e5f4796b53b3)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 411b57fc-1e03-4c94-8ebb-f67a7cf45463)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 19a50113-e8eb-4c78-ab63-6d8af5eff57a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dc80f169-e59e-4015-99ba-7d13bb1b5797)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7cd3b92c-9c95-44dd-b7e0-08df7f21cc6f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f9f939d-77d0-47c7-ab96-ca849bffa386)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5a748751-12b8-42d8-af8b-59a023c474e4)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 58960d9c-7747-4df5-8b86-2ebe72d200ce)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f6f69d80-5877-46a0-ac15-a3a9fa2cdfa6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 90373cf8-3183-4c9d-891f-0093f1e73a26)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 897fca10-16e2-4f39-ac87-a4f5970abb34)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e89a114e-b574-40a8-ad54-568311107772)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b5ff2230-3be8-4495-bedb-341462f8e717)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5abe4945-d61b-4f8a-804c-5d521be98359)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 347 "Net-(D119-PadA)") (pinfunction "A") (pintype "passive") (tstamp 249a1146-c862-4246-b958-46f321267841)) + (net 340 "Net-(D119-PadA)") (pinfunction "A") (pintype "passive") (tstamp 0ba0aa26-156a-46b8-90f6-63185a7bca74)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 222 "GPIO128") (pinfunction "C") (pintype "passive") (tstamp 7f86e689-3ce6-4775-a428-2aef3efeb8e0)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 215 "GPIO128") (pinfunction "C") (pintype "passive") (tstamp 0d2aaea9-bf0b-4f57-9384-05f6e871a940)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 878a27d9-ed85-4587-8f70-c12c40ea4a08) - (at 76.362914 46.061126 180) - (descr "LED 0402") + (at 76.454274 46.061126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/0e8b4cf0-221f-43c3-9895-e109fcb54281") (attr smd) - (fp_text reference "D83" (at -3.798 0) (layer "F.SilkS") hide + (fp_text reference "D83" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9e695df8-0c66-4087-8d6d-df4a06f62e70) + (tstamp 1fc39454-50f0-4051-98b6-a5e7f7917b19) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 906410cc-4515-4df1-bb48-41b5fd76ed27) + (tstamp 86d9fe42-23fe-4069-9e42-21deb46be773) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 70813bab-142a-487f-9dc2-395ba45c6883) + (tstamp 8e2be781-2458-498d-b0ac-631049d80329) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 783e68ed-f009-4cbb-bc35-8014f6713da0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f60d316c-d9cd-456e-a74e-3f49e86af36c)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2a3603d-6777-4292-9008-2544dcf332ac)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1f99a1c-951b-4c7b-8d80-c5745d6b1372)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e23bd1cb-a4e9-45d4-bb26-a4df85a416ba)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cb4c03e4-c9c4-4302-a2db-5ac33e13d05e)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7d078d1-0490-45ac-bfc3-20fda4da44db)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd2c07ee-261b-4f66-be56-047091e6e59b)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eb083ef7-3477-4246-b892-ed7e58a85169)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b47cb5d-03c3-4dd0-b195-acd9bd78f996)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c057b75-df1f-4f16-9223-8d53a21ea686)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bc13a63b-f70c-4f54-bfe5-745861e90ec4)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp be9124fb-004f-4031-851b-aaf6960b495f)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7c385053-ec89-4d10-954c-b1cb408cfdf9)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3474826a-0540-4f51-949e-a0a19f07d32d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4e79dae2-3b91-4c6f-9dc4-2f43793d0531)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 76a38747-2d3b-413e-aa97-0dc84ecf8e1a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3dd489df-4058-4dc2-b409-55c087ddaaae)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 332ee42b-af1c-4758-9ed3-5efc2221b1c7)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp deb00e9e-6f81-44c3-a931-b4e701384a96)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f91b554d-fd40-43ee-b91b-b897036e8d30)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5eb52caf-fe1b-4d31-9878-5eddc7fcaf0b)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43b2a227-7032-4e86-b80c-07b26709508b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 03d59491-2d76-4a10-9c16-c8f5ffc78613)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f49840e0-44d2-49b5-bfdc-e4e11ef3b3a2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aa4b064c-cd37-4acb-879d-4b3db3a2306d)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 164 "Net-(D83-PadA)") (pinfunction "A") (pintype "passive") (tstamp 5996704b-aecf-4803-b772-6fa28a85ce9c)) + (net 161 "Net-(D83-PadA)") (pinfunction "A") (pintype "passive") (tstamp fe31ccfe-be7c-4b10-8724-de6fb0e84c90)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 197 "GPIO114") (pinfunction "C") (pintype "passive") (tstamp 605e14b4-6370-492b-8696-0b696e79fd05)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 192 "GPIO114") (pinfunction "C") (pintype "passive") (tstamp 4412d42a-a048-4501-879a-b281b576883f)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -13342,110 +13901,48 @@ (property "ki_keywords" "R resistor") (property "manf#" "CRCW06030000Z0EAC") (property "mouser#" "71-CRCW06030000Z0EAC") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/324756d5-467f-4c9f-be1f-a92b026a9818") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/d932e7ac-1b61-45ed-b0db-cface69e1482") (attr smd) - (fp_text reference "R156" (at -3.556 0 90) (layer "F.SilkS") + (fp_text reference "R156" (at -3.314 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp cf0aff43-5e48-4e7b-be14-de7b10e0458a) + (tstamp fe8d440e-656a-4089-9d29-9b3e39b48b97) ) (fp_text value "0R0" (at 0.58 -1.63 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ada301f4-c42c-422d-8d90-2601fea00400) + (tstamp 6aeba1ee-2e16-481e-916c-5a6cb5b59a7b) ) (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 16c5eb64-8f67-4553-921f-932ec9c10bf6) + (tstamp f68d88b1-b857-4939-bf9e-0e32c28dad4d) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e9fab2a-6de6-47cb-bc43-f791cf1ff2ae)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 826f87e0-effa-4cf3-a10d-c5524755778b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75b47353-b562-45cb-abb4-6f146efc798a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b8aefe5-4c02-4c63-984a-148608634b77)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05ffec18-ce38-4c5b-b976-9a3d3f859624)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 665bd4f1-092a-40e0-bfba-1ca378414bc4)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d90fc063-c893-4d6d-b0ab-5e02170776ce)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8b2f639-5f74-4689-8ccd-1ad7f74e2f66)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5eb961aa-3e77-4ace-b90e-b9fca32ea28f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 199f1872-9dd0-42a5-aa86-770bd58283e4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7b3747a0-9c39-4aa0-b041-21eae9aff6dd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dd8bf265-fbd8-4f5f-a882-2ae3a10660b0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1403a49c-172d-4dea-9041-f5be93b192ee)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 166f7cbe-3567-4147-83d4-215e81b6007f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 759019be-912f-4c5e-951a-c81944860443)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 10e82931-bbc2-443a-a18d-cbfb212a1812)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0bef5cc-0ae6-4849-8fe7-b72b9d85003a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 56491f23-8f4c-4437-8f4c-001bbcf9659d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dea60ef9-a6d3-414c-98db-018c9a61aa55)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0f28e270-78b7-49e2-a02b-6abadabe4a33)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 64614896-89cb-4785-84be-05fc968f78b8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 21e4f156-3b95-4b0b-b7d1-ac25432de2c6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 81397c6b-3296-499e-961d-58a2da97f9f4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d8d4dfd6-c023-47d2-9395-f4229ff1dee8)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 44184752-a6d9-4dd4-8dd7-c7b1a7815e40)) + (net 4 "+3V3") (pintype "passive") (tstamp 914b72a7-77fd-4fc2-97a6-896e0d7782eb)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 396 "Net-(IC3-VDD)") (pintype "passive") (tstamp 52947259-4de2-4754-82fc-8b6b9f43a851)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 8845546a-c4b8-4264-96db-5a83182a0bb0) - (at 184.404274 100.416526 180) - (descr "C 0402") - (property "Manufacturer" "Vishay") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "Resistor 0603") - (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/017272e9-7c7e-4a6e-9f29-c55ce28e129e") - (attr smd) - (fp_text reference "R104" (at -3.857839 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 84369cff-ef2d-4d25-96f3-ffb4f76d73e3) - ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp ba07404e-eea1-4869-8e15-3b8f0709bde7) - ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 81299cd7-0ed0-4bba-bc43-5e1e639e75df) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 557248a6-5818-4c0d-8cc1-674be78ee967)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fedc0d9f-8f48-4f90-818c-5ad067f64543)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f0a9a62-7458-4461-914d-ad21911bd5a3)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec35a373-5b2b-44a0-989c-04ebaa6c9539)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e06fa9a7-50bc-4aee-a946-1882a307ff18)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp acd77fd7-aa6e-4873-9e97-dea9ae6bd08a)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 69c85c48-f494-439b-92db-3144f8a3ecef)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c11e61f4-d28f-49a9-9cdd-0f68593613b5)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c895bb34-b896-4691-b0c3-5ff2d86b6014)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0677d251-94f7-41d2-91bf-47117a72c72b)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 060e8769-5447-470d-b9fb-7f2a99a73bb8)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ec58dc6-f633-4ed2-8775-f7a4320030c9)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp bcf41c0c-fa36-4a11-a0bc-bc90f03521cc)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 202 "Net-(D102-PadA)") (pintype "passive") (tstamp 65d4f6b8-9624-4173-88d1-a356b38d699b)) + (net 331 "Net-(IC3-EN)") (pintype "passive") (tstamp e8e9644a-cf1c-4859-8e1a-e4b4e3ee8974)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13455,7 +13952,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 885085e3-2cef-43fd-bcdf-56fe2b25f5ad) - (at 184.480474 118.074526) + (at 183.690274 112.613526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -13470,44 +13967,44 @@ (attr smd) (fp_text reference "R82" (at 3.39661 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f5bb873f-b09c-4ac7-93cc-465784f524cf) + (tstamp 5da17912-e592-4011-ad8e-6fa2705aa5e9) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6cc7b601-6650-42ed-a6f4-1b7a021e7842) + (tstamp 646359a3-6580-4613-94e2-c4b72fc144df) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 77010dc8-0c56-40ee-997a-82acac7ff6eb) + (tstamp 806d782d-d47a-4942-bd6d-80a7b8cf50bc) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0ea6084-e23c-4df7-a706-61cfee79aab6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b335da94-6a04-43be-90d2-c8d40e1fcd66)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2fc2810-c750-4686-b837-e7544468de17)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7336161-19e3-4345-bb8d-2036a3ffc497)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 800ece51-2c22-4bde-b901-0b9fc3e2b76e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2aa42054-bc3e-4573-8bdc-8f6ef06c7656)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0092051c-ea4e-440c-b2a7-2e3f86094cff)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d0f7bce-b686-45fb-b9c2-dc16282d135a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 975015ed-5052-4d78-b874-22084c3c436f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 806c2f5a-e094-4c4c-89a3-eaaa033561f2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1151f5ca-f570-4ab1-ab23-1c528628a39e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a0ece3b6-2e14-4af3-a35f-6067c204c0af)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4ce4b4d1-8a8c-4274-83d8-2df5e7c82992)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cb7499d5-9f33-4380-bed7-3deea5347047)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a971d25c-4b6b-4af9-a9a6-23984b031526)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 64ee032f-ad48-43ea-9248-886dd6f90568)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 58d13445-49d7-495e-92cb-8c927e0d9a7e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 058a4ac2-ab58-4b25-b07f-08fa66029a63)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp afb2e926-7937-4703-ad27-4745d5c000b0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e4cd971e-e669-4381-8514-d0327aa90c44)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4d079be-a94d-4cdb-8ccd-2b8bbba1f07d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 858ed247-e116-458b-ae27-0649d0b77937)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07c88701-7097-44d4-81eb-4459576293a6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7790cb2-879b-4f9c-9b17-1689a95898e4)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 158 "Net-(D80-PadA)") (pintype "passive") (tstamp 9d79234a-173b-4400-87ce-87350ee6aeba)) + (net 155 "Net-(D80-PadA)") (pintype "passive") (tstamp 7a866c01-d29e-40af-af7a-df5966fd3193)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 8d6ad1f7-095c-45a0-9e0b-71d3e0629bcf)) + (net 4 "+3V3") (pintype "passive") (tstamp 4252b280-62ef-4ecf-94ff-4dadae5c9327)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13515,132 +14012,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 8a700ce6-25cc-4141-bc5c-9fb92f1847dc) (at 76.454274 122.394526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/11272027-ba6f-4203-be3f-25419b6a599b") (attr smd) - (fp_text reference "D71" (at -3.523238 0) (layer "F.SilkS") hide + (fp_text reference "D71" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 738647ac-9f4d-4958-a287-6ab5958bb3be) + (tstamp c7e20872-35b3-452d-a47f-8ab51e1f9dc1) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 91e3f788-cee2-4ba8-991e-c928fcca46c4) + (tstamp 7523ad35-46c5-4e24-9ca4-28faf721d1a2) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 84ea92fc-b024-4159-8a94-b72cc30f4ada) + (tstamp c83ff2d1-5d6f-4ca7-a725-846f99016dec) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 894d9857-84b5-40e2-81a3-a70100093f81)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc7ad903-5dbe-4f26-bcfc-4a8edd180492)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d14cd5f-6ce2-43ab-bd57-fb5e3b4d323e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 843b3915-0cc6-4bc1-9bae-12a48acde0de)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 60cdca70-7d79-4620-bad5-454a56803aa7)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5b7e28f4-20a1-4fcc-8542-6a25aed1c857)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fac8c548-c55a-4af3-8528-7b763e3d35b8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe7a69d1-d48c-41e8-8cea-23856614aea0)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c673770-e0d9-44fb-b395-799f3dfa04f7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87fe9912-b57b-4163-a356-40a3529e4e1d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92f2602c-1093-4bf6-9380-7ffa5adedfd2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72b28668-1c1c-4741-b608-f15b0a100c30)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp da543883-645d-4599-b24f-43ca9a6069d5)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d795d8a5-764a-4d54-bf85-1b40ec1fb753)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 819d6851-39f4-4ebf-be0b-b038134a6453)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07595f3d-cf78-4fe0-b6c6-4800544debed)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0fdfe7c7-1c84-4944-9151-5993b9a8da6f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp db4356fe-62c8-4b88-87e5-58af52268181)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6fcb871d-bd8f-4ada-888d-57188313f050)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 239a003f-0e8a-442c-b467-214850dac5aa)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32714741-db58-447f-bc26-e52b6bd1da11)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eca011e5-04b0-45a5-90cb-5128b5319750)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7e7710c9-a7ba-4131-8fad-84200cf88197)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3d77a836-75dd-45ee-baba-9f2aa93db2a2)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a7d8771c-5c82-4ec9-8c2c-0f52b11eb4f4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ba1c0c9-8288-4309-8c96-c62ea7ea32f7)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "Net-(D71-PadA)") (pinfunction "A") (pintype "passive") (tstamp 287bb25c-c3a1-478a-8bf3-c0c386517937)) + (net 137 "Net-(D71-PadA)") (pinfunction "A") (pintype "passive") (tstamp d3395f24-93ec-4ab8-9b01-d191de845870)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 141 "GPIO80") (pinfunction "C") (pintype "passive") (tstamp e45c0d09-c5ef-42ee-8547-ecbc8e580e51)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 138 "GPIO80") (pinfunction "C") (pintype "passive") (tstamp c30f6911-2738-4be3-9cc9-fe8e1dd744d8)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 8aab52de-f619-475f-99e4-dcd0d18986fb) - (at 189.3336 55.7672 90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/6047a0e0-e5aa-40a6-bae4-fc1530c320c5") - (attr smd) - (fp_text reference "C29" (at -2.972 -0.03 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 42a07327-eb8c-4dbe-97d0-fd6b640ea36f) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp abaa5eba-469e-433a-9ef8-c3ddfe66b5a3) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp eed3dfcd-0ed5-43a8-996d-3db396f91fdf) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0920f9bb-4e29-4c73-90a6-51f53b9371b8)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 095ba409-e278-4798-8697-f2a7c6814597)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e4f517d3-5d5b-4251-a6d6-3037d75bfdf9)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 505e683a-c945-4dc0-ac17-8a153982266a)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bf897826-fe91-4c2c-a72f-fba24085d684)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c6d27433-2253-4d9c-85b3-4d62a3c300c8)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3c5d9ef2-9bcb-4b56-9045-0c193407a7ed)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e0095a5f-fe5b-4439-ba80-72ad18b4ba68)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f0e22f9c-03bc-4e9b-be2e-43b8cc15d89a)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dd866bf1-4c43-49ba-ac3b-c846a7360256)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8256107b-ad5c-4295-b881-a774f448da00)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4f37d2e3-dfb6-482c-95d4-ba06be2136cd)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp c06bc047-0ec4-4c6c-bd6d-6b6c6f717f68)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 387 "Net-(IC3-S6)") (pinfunction "2") (pintype "passive") (tstamp 9ba1938e-f4b6-42be-a861-35bcfebfdb49)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 8afb3864-2e42-4524-b360-4c8d92b2ffd9) - (at 203.073274 102.861126) + (at 179.237674 120.731526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -13651,48 +14086,48 @@ (property "ki_keywords" "R resistor") (property "manf#" "CRCW0603680RFKEAC") (property "mouser#" "71-CRCW0603680RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/50bdb47c-b324-4292-86f1-f8fa75ecef4c") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/a4cc5b2e-6033-4032-ba01-3d0fc47e1d0f") (attr smd) (fp_text reference "R13" (at 3.2258 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6cfdb9cd-0911-4963-b987-467068dba138) + (tstamp bf72e8b0-6f3e-497d-ba09-042de69d9285) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 83c1cb9a-4ce7-4dd4-9296-817231566337) + (tstamp 6d3f21d3-a845-4e19-b476-e3ec211a4926) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 649da80c-62c2-4475-8d26-da715d087f19) + (tstamp 5e7b202c-e36a-4e92-b620-216745e0d3ad) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aba933e6-0991-4c97-ac33-4ddd28a54c47)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73b6e614-2794-43a0-b294-11fab6f5ff88)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bd64e31b-70ef-4766-adfc-d55a06692615)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 13e7baf6-3aa3-4764-9b9c-4e84677edbff)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f81b36ca-230b-4ca5-a5e7-bc4c3a16f2b8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a766d299-118f-4496-8512-f5b214da3e26)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f5696b6-cdb8-45f4-998f-86200be0e506)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8dfc2394-b24a-4c5c-9086-d9a5c23a2a18)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 29a64c35-057d-4e54-8239-0dc22bbb200b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2f18de47-a256-4faf-a11b-f514f56ebdc4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 12a9c3af-9fbf-4285-aff6-4627e7cc45c2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2974fc81-8c8b-4a5a-8279-064336cd503c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 21ffb217-6273-446c-8134-37c5cf7df2e2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d84a3320-849b-4599-958e-e690cf76716d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 558d8213-9f99-4ce8-ae42-838ec344bdae)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6439a132-7fb3-46a7-bf24-2d3c45c16cdb)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 821a6ac3-61e6-4f85-9307-f01ba50d4bb2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0b7b6f3e-7645-46ec-ab9d-001d210be9fc)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aa44e1fe-38e2-4077-a9dc-ecffd1b8c925)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3429fb37-2fbe-49dc-b3f2-974d42c7fa50)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f9567196-7729-4a52-8a38-decd30aee39a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 021271d3-5bff-493e-8681-22f1fbec82fb)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fbc1e1e4-21f2-4d8a-8441-f7369d6587de)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ab02582-768d-491b-98eb-d1025e69e990)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 23 "Net-(D11-PadA)") (pintype "passive") (tstamp f82026e7-0ba8-4195-9808-0ee45fa2034e)) + (net 147 "Net-(D11-PadC)") (pintype "passive") (tstamp 326a75ef-afc3-46ca-b124-9e491852694f)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp abc8ef93-9432-483d-b4c8-ddb9afbefb26)) + (net 2 "GND") (pintype "passive") (tstamp 3eb79b64-39c2-4693-8882-6c45d5a3d0d8)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13700,129 +14135,6 @@ ) ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 8c110997-5d50-471e-9b32-afb04ab78833) - (at 184.517874 141.516926) - (descr "C 0402") - (property "Manufacturer" "Vishay") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "Resistor 0603") - (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/353d4692-ef07-471c-8f61-605c1b14c1d4") - (attr smd) - (fp_text reference "R19" (at 3.38041 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 554a55fe-26a0-420e-8f93-324acb4571ab) - ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1c0d5cb3-5965-423f-960e-059edf938715) - ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 811b1c32-2983-4b6b-ab98-ff0e14b72c69) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46da8301-c208-4111-b2e2-68eded85c461)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e925b25c-2508-4a62-be07-62059e77d80e)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca07cc00-a186-4be9-ae60-c4dbff10c8ae)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad528676-4be3-466e-acfa-1b96f43c0003)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a14bb142-289b-4ab0-8cb1-0d0d483d76b5)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a2e0a0ae-c05e-4349-b4be-71505795d152)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7231f416-8f2a-41dd-a0f0-2ea27264468a)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 84c00f45-42d5-44c5-b624-a25942481a94)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp feb2a573-56cd-4bdd-90b1-09a510cd44c4)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83f06831-af09-413a-a24b-5d146083d5e5)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8426bf0e-dd4c-46b9-9690-8507089d0df1)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 461f66ff-a14c-4067-9938-ff4594c0f163)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 35 "Net-(D17-PadA)") (pintype "passive") (tstamp a6f9b4b0-6c10-427c-b402-e657874600e0)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 6a3c30c9-443b-43c0-90ef-e4ba9b22e786)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 8c15a8cc-46b8-4641-9f19-6da17145b1df) - (at 177.127874 112.989526) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/337fe2c0-350f-4741-b087-135c0cec5a98") - (attr smd) - (fp_text reference "D103" (at -3.7832 0.02) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 36e86ea8-b078-461d-a706-8b94c193b925) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4072d5aa-7953-44ab-af15-13f900d685f5) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp f09ddd28-8ef4-4c97-8b19-da2fb2e3aabb) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eb867ede-5cc3-4767-8ff6-147f81b3326c)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7764eadd-f879-4388-91f9-6ac94cb791a9)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7e005b6f-7273-4d94-aa2b-d2a7f8de0723)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd7e475a-dc97-4b92-a92d-13792378c13e)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0707958d-5e7d-4340-84c6-a99256688d20)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6cee4525-b389-4019-b606-ff284b3b6929)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 74296438-0e8c-4311-86bf-d11d10ee804c)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d4b50a46-68bb-497d-9a4f-7926d42632c9)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 99045d91-feff-46de-8a0c-a729eb0d6190)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 52090eaf-93e3-4f2c-ab87-7898f7c15700)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7a17bb6b-58ae-47da-9227-b756daf95cf6)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be273287-66ac-495e-b10f-6b6e20278a08)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5feeaf03-0797-46c6-97c5-ffcaa174f141)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 204 "Net-(D103-PadA)") (pinfunction "A") (pintype "passive") (tstamp d9cb430b-2008-4c84-8811-214d59875f5c)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 205 "GPIO118") (pinfunction "C") (pintype "passive") (tstamp dd843f83-3fe3-48fd-9ffa-7ae34ca3bc1d)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 8c748b49-1afd-4e79-a04e-6415f1b54848) (at 69.203634 56.275252 180) @@ -13840,44 +14152,44 @@ (attr smd) (fp_text reference "R32" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 31315251-bb78-4842-8e67-9d72bce5a0b8) + (tstamp 2422d99b-4312-4942-b6b9-e59a66e93f98) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d5948782-d3c3-461b-a77c-21467ee794bb) + (tstamp d2134081-50a5-4b70-beec-497084595dd4) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp ee79f474-adc3-410d-aac3-81b946e62c73) + (tstamp e233086d-c12c-4c7e-bd3e-7c139fa6d46b) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e37fd8fc-e370-44c2-bc47-8298b508f02e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a838d68f-19da-4b82-ab57-583c9e98e30b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 359c57b0-4b02-4920-a807-220e9d51fae2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 546e4b9a-a0e0-432a-9df5-64a51cc911a9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be8a7981-9c77-4fca-a1ce-dbf3e9be6e36)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c1a1a94-e812-47da-b65d-203a4dbeb178)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee01a7eb-4322-4271-8b42-59d2748d4b27)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3637509e-dcd9-4e3f-9aac-5893164e17e8)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 76ceec33-dfd8-49be-b58f-1b06ead09e6a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3f66c084-fa2a-4b26-899d-4d2c5dd4873a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5c39d4b7-b68a-4c9b-bb8f-f1621f614caa)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 158063ea-0ccd-4236-864f-650bbf27046e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1714ee89-d05e-4678-8f85-257c81bc3643)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b1329913-7c62-4881-98c2-b0e58b299452)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 61ccca40-69db-45fb-8feb-dfb08bd09817)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 083fbe0b-951d-409b-9a99-22364cf3bddd)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0224ec25-60b8-4744-a9ea-0827d5e2ab8d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7cdb1aea-672b-458c-89b5-9bb461089b40)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7cd7ab7c-ab46-463c-9d8c-9411116ecb0f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eb85673e-6882-4f60-97d8-928b2881e65f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39d72e4a-3ddf-49c7-bec7-da98641998df)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2063c76d-c713-4d78-adac-bdcc016ae5b6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 68e02cb4-acfb-49b1-87a2-210c1436b636)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0bb09c79-57ea-4de0-9b22-f9b0b184f09e)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 61 "Net-(D30-PadA)") (pintype "passive") (tstamp 98e1ce8e-4fca-4f94-a7bf-197e59ad2b6a)) + (net 58 "Net-(D30-PadA)") (pintype "passive") (tstamp 7eb234c7-750f-4bfa-ba91-943b00631494)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 5183a0de-5134-4887-9994-013e8f60625d)) + (net 4 "+3V3") (pintype "passive") (tstamp 3411bfa3-f328-49d0-a5fd-bbf59ebf9428)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13887,7 +14199,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 8cb717c9-c2ca-4917-96f1-f62715c6b141) - (at 203.073274 127.776726) + (at 205.413674 118.984326) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -13902,44 +14214,44 @@ (attr smd) (fp_text reference "R7" (at 2.683858 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 87c5e0c8-38ba-45e7-921d-15132ce5ab75) + (tstamp 9d65829a-ed71-4ce9-b53b-657965228edd) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a88e3ba8-5468-4942-afa0-a8267d5d2ef7) + (tstamp cb01f9f3-2873-4550-a1b6-da4fb04ca51a) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 632246c6-daa5-4073-a752-5cc7dafa25e0) + (tstamp 517cf4c4-a476-48bc-ac3a-87a2864f07df) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 069c12d0-92f2-49b6-8e6a-64c3bbce3446)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp de0687bb-1150-441c-bbf8-bfeb54c452ee)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 13cc141d-ad21-4e4a-8dd1-2bb314cb7c45)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 973f3bd3-6c8d-4cb0-b25c-e9bdedfd5b55)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e52e55f2-9913-4558-8717-710e2aa0b96f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1739f390-9b2a-4cc2-8cad-5cfa19364431)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 12b64aeb-5438-4e56-9acc-d0530b0ca07f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b68d1e68-99be-4da8-b066-669decbbf1ee)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b613ea38-d1d4-49d0-a95c-8d8bb16c1d2e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 706762be-7847-4a54-853c-509ae2a33ddb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 54bb8379-d213-46c6-be49-c61b0b3955b1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 43650297-da1c-4540-af9e-bd0d975a4129)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 10553de9-8d8c-4e70-aeae-e5bbdbaafe80)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 438ffb32-d3bc-422c-adb1-e1ae09fd6bba)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dfc347a8-f24b-427c-8314-fd5ae16e494b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b181a682-f089-4863-9c8e-5b270a6c2d92)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1b8cc2ed-c734-4872-b4a5-b8ef8a14a142)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f00acfd3-d48e-40a6-ac1d-978c00dee0c7)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4252f6f5-2740-482a-a0de-bfd1a528942a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 70db4079-3253-407e-9d05-373f960c8766)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 01f7ab36-1db3-4187-93a7-e39baf3d5764)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1fd5ec63-4713-4cb8-915a-26df9efa0b25)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2891eb95-eb89-4fff-8894-42eb1a7a5ca9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3c947855-2f28-4cd8-adcd-c2358957f8b8)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 11 "Net-(D5-PadA)") (pintype "passive") (tstamp 63d933a1-fb1f-4ee8-9ec2-676d71e34455)) + (net 11 "Net-(D5-PadA)") (pintype "passive") (tstamp 8aaa7b03-5850-4093-a2a3-239103b627b9)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 874385d1-2565-4fe4-9a11-2003a0ffe854)) + (net 4 "+3V3") (pintype "passive") (tstamp 56a54fbc-6663-42af-9994-50befe08544d)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13947,61 +14259,123 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 8d7b2c79-21f5-40c3-af1e-ebf04e633782) (at 76.454274 103.640272 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/10423f63-6f9d-4611-b233-f11439e1c214") (attr smd) - (fp_text reference "D57" (at -3.483513 0) (layer "F.SilkS") hide + (fp_text reference "D57" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1ab87f43-8f46-40cc-b215-730ca182a99d) + (tstamp b81b87fe-e8b2-4be1-917c-e3ac3f7320b9) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7e0155e0-9763-41c3-a4b1-ffb6b66883ba) + (tstamp 0e74fe43-b954-4e13-a135-cc3b968322e9) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 34dedc4f-6c18-4d12-8b5d-e5e4c1de3978) + (tstamp b2345430-e8d5-4b19-90a7-6e89f8d9086d) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb7644e7-09e0-4867-96cb-312220c4aa9d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0cd523c-62a9-4cae-810f-c8b81cfc305f)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c009aa5e-01b6-41f0-9789-3f4e3e644a8f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp abfc25b1-ef3d-4c6b-a273-6761c2a68c81)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0e34a9e0-ab85-49e9-903b-80d176164780)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5161ddaa-b0d3-413b-adf8-e56f88e45e0a)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 149338be-cb10-423c-9b73-5a2f66a0aada)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 264d1029-78b8-4aaf-a52a-2e71825aa6be)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3900f14a-7a01-4927-b676-425560469dc3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ecf14fa-5828-4d93-8ad3-2d0f643d2cab)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81e9dd06-9dc6-4f61-8d58-b6a84d69aa3d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9c43dbf-0a4a-40f6-84ee-9cd9dcbb46f6)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp dae78050-53b3-49b0-8d3d-556ed43e0a3a)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 137359ef-56b0-4cdd-bcb1-c7e195fe6912)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c3e1614a-36c5-4a04-8857-c85ab1029abe)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e2968996-2172-4804-aa6e-f4126e23b5a7)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 747b0fe7-c36a-4d52-9306-9e3db993c70a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d960b6cf-e1ac-45da-a7dc-2c450bb16caf)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6687b46b-d672-449c-b9ed-de83bf28725e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6ada75ef-0944-44b4-a749-53736353363f)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 90ff3d31-9126-4a1e-be4b-aa55a4e3d387)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1cb970cb-da81-4f80-8e65-2d9fc240f685)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a57fb603-16da-44cf-a5fe-5c0abb42d1a3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fbbb4613-da05-43d4-9191-074dd5e8355c)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8866fdb7-9da6-489e-91fc-f775126bea73)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a1e50177-ed91-48be-b93c-44092ac2cb89)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "Net-(D57-PadA)") (pinfunction "A") (pintype "passive") (tstamp a0917998-55cf-4178-9715-adca265b0633)) + (net 109 "Net-(D57-PadA)") (pinfunction "A") (pintype "passive") (tstamp aa790c7e-164c-4366-bc31-30a9a16d89a6)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 113 "GPIO63") (pinfunction "C") (pintype "passive") (tstamp 9a516a52-2285-4ca4-b1f1-28f34d7a3938)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 110 "GPIO63") (pinfunction "C") (pintype "passive") (tstamp 4912070e-cad1-49b3-a37a-8c2812217c9f)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 8e513795-0788-437f-9f61-a70ef766ec5a) + (at 211.213274 78.699526 -90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/2c4fc1bf-5181-46a4-9ea0-f59683264481") + (attr smd) + (fp_text reference "R159" (at 3.302 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bb75f65e-b0b7-4193-a4bb-86da5547c657) + ) + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 78d45431-41e9-44b5-bc67-16f9721d947f) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 11d4ce47-e7da-4eab-894c-acd6ab94a6ac) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93ca20f6-cb24-42e2-a1dc-eca18e39a579)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18883d4d-7381-4f83-95fd-3bc0c4bb0462)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb0661f3-963f-488c-bf9d-98da421e63ef)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fdd33db4-ef9e-4c72-8a6f-d96aeae1d519)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d644e6e4-3e2b-4d68-8b56-0aae42976f8f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3c143428-5776-4305-a843-30e9d1f6d615)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 13e57848-cc8a-4b68-9cc4-607a17f7a402)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2a4e1950-7a06-430b-b13d-454def4f3cc0)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5cafc6fb-29b3-45ec-9b94-d9406d38c762)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6abc6ef8-d4ad-4f99-a665-c6e5ccebead8)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp db9defbf-cb4f-4a7c-8961-26acaaacad27)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d207255-a50e-4c57-bda6-19c4ae1f8d85)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 368 "Net-(IC1-S5)") (pintype "passive") (tstamp 797a4e0a-16a1-488a-b19b-ce0e4bcf71f0)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 45059294-fb95-4d7d-9cba-37363e707b96)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -14010,7 +14384,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 8fffc502-9d9d-455d-ac06-16340a7326ae) - (at 184.480474 107.914526) + (at 183.690274 102.453526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -14025,44 +14399,44 @@ (attr smd) (fp_text reference "R86" (at 3.37441 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d6663744-3ab3-4302-a097-688887b1a62d) + (tstamp 3e350388-2570-4fb1-95c9-c286f8a24be8) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 61e02278-996c-4cd1-985c-c8512a2d792a) + (tstamp f5656947-f6c0-4241-a9f8-7916c51d0628) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 796396cf-8dfa-426a-bde1-d253aa5a176c) + (tstamp 64fb9f20-dd05-4087-b1f8-ffbe98718ac0) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a18fb1af-0183-48cc-8718-4786f41b942c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 839b152a-cdd1-4a5a-9fbc-96d849ab6fbe)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b35b526f-43dc-4abf-bb55-2f5b1714f55c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8eb40b9d-c37f-45c3-83cf-b16420ed5c7b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37eed08c-c288-452a-ab65-36c2ca6f38d3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae6e0aac-4f1d-4340-b5d1-81665f1589cf)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d87d37ed-4ca1-40bf-833a-d738e32d0841)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e9cb80ab-3029-49a5-b311-12e8e69fb150)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7bf0097a-3d43-423f-9608-e097f56685f0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fc0a12f1-ecbc-4ac3-81d1-7fd589160a54)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 01602f71-e2b0-4aaa-90ac-30e60b963077)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 59ceb174-be45-40e8-8afc-6cfb0329fd64)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b69d3b4c-12a7-4e05-be96-f31b7736cbd0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 30d1443b-641d-4ae7-b586-cbcbb4ec1c03)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 533d3a9e-6089-4edb-840c-4c8fd59150a0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fc980a65-b413-448a-9266-59519e6ce896)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 11cc864b-2912-4f26-850b-8eccf6f89446)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a09db0ef-8e9a-4b3e-bf8f-4cd31c36c0d1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a7da281-ed1a-4846-9ca8-b19434f3c575)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 75c323f5-45a5-497c-9bcd-d1f433be320f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e513879-25a9-4100-a989-9201821fb2c1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8309398d-7b45-4e7e-bc39-666c79dad68b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d81a3e58-938d-4ed4-9d63-90813f0906c5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8502bd46-f7e3-4b5f-bcc2-3d2cf0c58f5e)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 166 "Net-(D84-PadA)") (pintype "passive") (tstamp 01e14d95-732a-456e-9070-44a03f24603f)) + (net 163 "Net-(D84-PadA)") (pintype "passive") (tstamp 16e8bfe0-8465-4828-a671-87f1ad53e8c3)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 2dcbfb79-82fa-42de-a56f-dc5a6eb0eb5f)) + (net 4 "+3V3") (pintype "passive") (tstamp 7e87d9eb-4736-4417-85f2-610bcd3dde1d)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14072,7 +14446,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 909f97fe-d462-4f0e-b4ab-282257572379) - (at 69.18979 67.015526) + (at 69.203634 67.015526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -14087,44 +14461,44 @@ (attr smd) (fp_text reference "R48" (at -3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5257d191-c5bd-4e0b-8743-476dbfd55b17) + (tstamp 62754b53-9e37-4042-8455-12145e87c18b) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3bee4ef5-e392-4769-84ac-170925737323) + (tstamp 83f79d77-48b5-446a-938b-33d0acb0568f) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 45016576-4358-4d77-b375-217452717ced) + (tstamp 0252646d-4dff-41e0-b2fb-ff5c42db912d) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f244f41-f9f7-4bd4-aea8-1a4f81613225)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97b63179-4bd8-40a9-af57-37ebb1c757cd)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1b28706-86af-4ae4-969f-6b470a6a6a18)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba6504fe-b5a2-4b9c-b6b2-32767e707c63)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4cc8ee24-c670-4cac-b5e0-376d5aad2a1e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 565ddec5-2380-4d25-976a-d6e6a81bf3d1)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a4a407d-ebb2-47b4-bc74-be31e66193b8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf129ddf-7956-4e26-b6ff-c53e1797c820)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5b3e73f2-33c9-4664-8765-2c801f95896f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c82186a4-fab5-4a1a-9284-17a51235303d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 43034e64-e53d-40d2-9197-23635bb79ec0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 507f1320-f655-4b18-922b-8d6f1f8ce5c7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 20bbd711-4233-40fb-87f9-5ae7d6d208c7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c57c4541-2ef3-4537-936d-3953efdc1c63)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 007d259b-6cab-4de3-b61d-4211a1e85ef8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e064308f-e4dc-4ba7-8603-f6acdfd92391)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 49f3b227-1158-4441-9bcd-4c1f695c0951)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 14e7184f-4d38-42e7-a113-b901724dbb16)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b8e83aeb-787a-4662-a32d-ac9e211bde1d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 858d1270-6a6b-4a08-b6ce-c6a3ede85051)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1267fd74-1381-4f32-999f-4317b00ce87f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7f74c902-fa52-4b99-a747-18a5fb7b853c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0d3581a8-0b4b-4fd1-bdce-01192b2396d8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ee559cb-9b0c-4dbd-b9f5-56b7fbad6d0f)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 545b0c07-6b85-4765-ac79-250b08ce60b2)) + (net 4 "+3V3") (pintype "passive") (tstamp 149f1a12-35a0-4884-8aef-1236cdf47faa)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 92 "Net-(D46-PadA)") (pintype "passive") (tstamp dac63ab8-9983-4987-b19d-3ef954c4dd0c)) + (net 89 "Net-(D46-PadA)") (pintype "passive") (tstamp c846b0bf-c897-4d50-bc72-930aa23b6e01)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14132,70 +14506,9 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 91f22346-0441-4eb3-9e11-d7c5d9485e1c) - (at 195.409474 95.229926) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LSURCK") - (property "mouser#" "604-APHD1608LSURCK") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/55a29d1e-1c1f-4ca6-8b75-2cded2383cea") - (attr smd) - (fp_text reference "D81" (at -3.831849 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f3b4a34d-a2f0-4d4b-a8c4-be3692ce29e3) - ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 06652d28-0992-45f7-b044-dbdb947aa3ef) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp e2d463a8-9f76-4925-a6e7-bd0b5e18c803) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4460b8ca-1999-41eb-a74d-18ebbe6ccf03)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7bef9ad1-ba4f-4897-bbf7-4c8d30127033)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 30a1de8b-ecde-467b-a53b-939fd276bb13)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b190a84-765f-4fb0-9501-e34b5ae9b631)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 800a718d-a19d-4a66-a32e-1a44ef76e842)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6f76ec4-3b96-4b61-ac95-92beb6f5c917)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7cccb014-7620-4b9a-862e-f27c76586dbb)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eed2c565-6558-47bb-ae8c-a0915f4ddbfb)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bc57d9fe-a874-4a06-bf89-05021f530e8f)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1fb107a9-4de3-453b-8d1b-bb78879e21f9)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c94c9709-9f06-401e-8095-45e59fba3634)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 276c2f35-ce2b-4312-bd3c-cf088f77f8f5)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0e657e55-9941-40a0-9f80-057665ed50cf)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 160 "Net-(D81-PadA)") (pinfunction "A") (pintype "passive") (tstamp dc020775-55be-4bea-88b9-536f826e2ae6)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 165 "GPIO96") (pinfunction "C") (pintype "passive") (tstamp 120fe0cc-5367-46b2-ab88-4eccd2843a92)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 922ffd30-0e53-4ab3-b61d-94268c595077) - (at 85.862518 76.281087 180) + (at 85.826844 76.281087 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -14210,44 +14523,44 @@ (attr smd) (fp_text reference "R26" (at 3.343126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d554699f-b9c2-432d-a378-090221b7e4f1) + (tstamp 38ac4025-af60-4b04-ac3d-ee84f59d22d9) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 694f30a3-c89d-462f-84d5-b8cbb3e41154) + (tstamp e04367f1-e454-4066-8b5b-9283243aebe0) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 51bde7c6-453c-4df8-a6dd-7ecb2f9bedb0) + (tstamp a6db5059-7a7a-4ebf-9248-06c189e43b1d) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80c2f82b-a88f-4a9d-a3c2-d6f9d78d61ee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36df4246-017d-4766-a6fa-a6f38cedb417)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a5610abb-0011-4331-8c88-4dd9b7476f2c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 44547fbc-577d-4f73-9494-fd081d75e05f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cddbeffc-aa12-421d-a84c-e70fa63dad91)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8e5b6157-06e5-4eb2-9d83-eba851d843df)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 577472d1-7ea0-49c7-a84a-ed15b2b6534e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f26fc559-132f-45a1-83ea-9a3d8fc72956)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2623a085-3219-47f4-b1c8-b714d473f36c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b55213c4-78ad-4d5a-a0aa-5b6489d27111)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8ff42978-1728-4128-a826-c78f0c145cd9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 60915485-6184-4c89-8a4d-a34942be19a0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5406131a-4ad4-43d9-a184-ab547249a1be)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fac18650-cf4e-4e1c-a775-aec14276c7a8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2b5e1b5b-4f66-4bde-b14c-acaf704bd5ca)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 18c23440-68cb-47a0-9a08-fff2dc2faa76)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d00fc40a-5023-4236-a575-0c2582c218ec)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 27640440-8668-492f-8bcb-6a50a3cd04ef)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07deac25-73af-4717-9669-f3b79e74ea6c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e458517e-d885-4bd6-9bfd-7979358c6b1c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c521f6ed-3d6c-4ac5-b810-18d98a051520)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8ba39c48-62fe-44fc-b5b7-8d129f128bd0)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6ba5368-4d5a-4870-aaf3-a99b60de0de1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39e4ec87-d98e-4ad9-9e14-46e53cef3f3d)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 49 "Net-(D24-PadA)") (pintype "passive") (tstamp 731efd89-6df6-4884-aba9-f70aca4a63ef)) + (net 46 "Net-(D24-PadA)") (pintype "passive") (tstamp b5263c4f-6943-4089-acd2-56d7b477f3b9)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 6b5b317a-039e-4f2f-aeb9-a8ce35d09d19)) + (net 4 "+3V3") (pintype "passive") (tstamp f4b4f75d-8577-4e43-a04f-6878db609c03)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14271,76 +14584,76 @@ (attr smd) (fp_text reference "IC1" (at -0.007074 3.3948 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 07fec912-a2bd-496f-8709-d42081bd6fae) + (tstamp 077f0431-dc0c-40e8-9006-04fc9f15db7e) ) (fp_text value "ADG708BRUZ" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 32c80210-9d90-4b75-8d37-d872c954b255) + (tstamp c5950598-0d8e-4359-b70f-aea02a930561) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp dae0c0f4-fd6a-4380-b966-e6e105b9f27a) + (tstamp d5364ab6-8122-4ef4-819d-be4d80ade7c1) ) (fp_line (start -2.9 -2.8) (end -2.9 -2.8) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 948a675b-ee70-4f48-9118-6f51b94a3f2e)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 34ec3e75-295a-44ac-8a3d-fc963295714a)) (fp_line (start -1.85 -2.5) (end 1.85 -2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 57ea3f02-36af-42fc-b90a-33be303dfe6a)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 287ff3d1-7ea9-48f1-aba8-f66ee15c2a8a)) (fp_line (start -1.85 2.5) (end -1.85 -2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 1c6c0150-1be7-4fe9-9909-8d3bda960abe)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp e540c43a-f800-4b8c-bb83-9fae4d7506cf)) (fp_line (start 1.85 -2.5) (end 1.85 2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp ae23d771-e61d-45d8-a72c-8e17c868554a)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp f222de74-0181-4b43-b02d-c37ff38375d5)) (fp_line (start 1.85 2.5) (end -1.85 2.5) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 8d6be9d1-fd7e-49e6-a5cb-08b831906f39)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp ea7c6849-5f7c-492d-81bd-fa30598472e6)) (fp_line (start -3.925 -2.8) (end 3.925 -2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6e3cc65c-698b-437c-be54-fa72bb990def)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 38501a6b-6b2c-43a5-b276-21a7162e70f8)) (fp_line (start -3.925 2.8) (end -3.925 -2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a1ed584-ccbc-48d3-8005-bc641ae9f3e7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5518d3e9-eade-4660-8db7-8abee0b4b274)) (fp_line (start 3.925 -2.8) (end 3.925 2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 782d149b-85a4-43ad-8a07-fe10d71dad59)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dc439b9a-d2d2-4521-8a37-5ec848f3d929)) (fp_line (start 3.925 2.8) (end -3.925 2.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d76ce992-03f2-45f7-abd2-26eca40731d0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3eb91fa7-21d6-414f-ac64-ccf46bbf1ffe)) (fp_line (start -2.2 -2.5) (end 2.2 -2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9c178bb7-0304-4319-8621-2e2a435038d9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f7094221-3699-4751-835d-6f1446ca2f00)) (fp_line (start -2.2 -1.85) (end -1.55 -2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 527b3169-e170-4654-8b31-2cd8528eedb7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 00144f90-b1ad-4bbc-a14d-fee9af14d05e)) (fp_line (start -2.2 2.5) (end -2.2 -2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 04cbec58-c05f-4031-9447-122335f3634f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cbdfc7cf-e923-4fe4-b95f-7999af3d5448)) (fp_line (start 2.2 -2.5) (end 2.2 2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b468382-f773-4a92-aa55-f280bcc244af)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 05673ef4-4eea-4c39-9eb6-0681e6f3ea46)) (fp_line (start 2.2 2.5) (end -2.2 2.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b98fad1a-2f4e-47b7-9b0a-74129e870e2c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6646033d-f8a8-42b5-b98b-20f566ba2eea)) (pad "1" smd rect (at -2.938 -2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 329 "Mux1_A0") (pinfunction "A0") (pintype "passive") (tstamp 950a2b7b-3d41-4c39-bdf3-cc31442722fd)) + (net 322 "Mux1_A0") (pinfunction "A0") (pintype "passive") (tstamp 69d2654f-813e-44a5-84c5-dc782951526f)) (pad "2" smd rect (at -2.938 -1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 312 "Net-(IC1-EN)") (pinfunction "EN") (pintype "passive") (tstamp e86bd38f-1371-490b-9b73-783c682ffff5)) + (net 305 "Net-(IC1-EN)") (pinfunction "EN") (pintype "passive") (tstamp 326f1f3e-081c-473d-8ac4-363686682668)) (pad "3" smd rect (at -2.938 -0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "VSS") (pintype "passive") (tstamp 9853e7ce-9e3b-4d3d-8bac-60c108f106d8)) + (net 2 "GND") (pinfunction "VSS") (pintype "passive") (tstamp 8cff3f59-2790-4cbf-a6d2-7eb864c43f56)) (pad "4" smd rect (at -2.938 -0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 361 "unconnected-(IC1-S1-Pad4)") (pinfunction "S1") (pintype "passive+no_connect") (tstamp 7ba2dbca-9490-4ede-bab8-eac3d63657ff)) + (net 354 "unconnected-(IC1-S1-Pad4)") (pinfunction "S1") (pintype "passive+no_connect") (tstamp 14cede91-1f54-45ac-b739-39521922524c)) (pad "5" smd rect (at -2.938 0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 360 "unconnected-(IC1-S2-Pad5)") (pinfunction "S2") (pintype "passive+no_connect") (tstamp b84c46c5-eed6-4094-b603-b33e7351a54c)) + (net 353 "unconnected-(IC1-S2-Pad5)") (pinfunction "S2") (pintype "passive+no_connect") (tstamp bf2b9fe1-b79c-411f-b887-706cd5886600)) (pad "6" smd rect (at -2.938 0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 371 "Net-(IC1-S3)") (pinfunction "S3") (pintype "passive") (tstamp f5243f64-41fd-4bba-802b-36373ede7410)) + (net 364 "Net-(IC1-S3)") (pinfunction "S3") (pintype "passive") (tstamp 6cee7f73-15eb-4046-97d1-a24b2411e44b)) (pad "7" smd rect (at -2.938 1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 373 "Net-(IC1-S4)") (pinfunction "S4") (pintype "passive") (tstamp cce456bb-0d89-42f3-81cf-5066ffa9151a)) + (net 366 "Net-(IC1-S4)") (pinfunction "S4") (pintype "passive") (tstamp b00619a5-df6a-42de-87a7-13c96dd6c296)) (pad "8" smd rect (at -2.938 2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 392 "DACOUTC") (pinfunction "D") (pintype "passive") (tstamp d46a7eaf-1f09-45a0-a678-7f6a4d9e581c)) + (net 32 "Net-(IC1-D)") (pinfunction "D") (pintype "passive") (tstamp cab2920c-6541-4aed-b2b3-bdfec8bbfe1b)) (pad "9" smd rect (at 2.938 2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 359 "unconnected-(IC1-S8-Pad9)") (pinfunction "S8") (pintype "passive+no_connect") (tstamp 3705efd8-284a-4e5c-8d8d-846e9d38ccb6)) + (net 352 "unconnected-(IC1-S8-Pad9)") (pinfunction "S8") (pintype "passive+no_connect") (tstamp ac3c3783-4e53-43b8-ba74-46eec92ecb34)) (pad "10" smd rect (at 2.938 1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 379 "Net-(IC1-S7)") (pinfunction "S7") (pintype "passive") (tstamp 8352361a-0747-4f8d-9a10-c90d1b2641dd)) + (net 372 "Net-(IC1-S7)") (pinfunction "S7") (pintype "passive") (tstamp b76c6723-de01-4022-8aab-c2e823537baf)) (pad "11" smd rect (at 2.938 0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 377 "Net-(IC1-S6)") (pinfunction "S6") (pintype "passive") (tstamp cd9bd214-1c0d-43b1-81c5-3a97483012c8)) + (net 370 "Net-(IC1-S6)") (pinfunction "S6") (pintype "passive") (tstamp 761b0e1e-f1c0-4795-b24c-00d44c5b22e2)) (pad "12" smd rect (at 2.938 0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 375 "Net-(IC1-S5)") (pinfunction "S5") (pintype "passive") (tstamp a8da4cb4-1522-4287-8d80-3c4cfaad3917)) + (net 368 "Net-(IC1-S5)") (pinfunction "S5") (pintype "passive") (tstamp 17e82afd-9111-4b74-9afa-96256c9e2d5b)) (pad "13" smd rect (at 2.938 -0.325) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 394 "Net-(IC1-VDD)") (pinfunction "VDD") (pintype "passive") (tstamp c345885c-3950-4837-8b6d-e05927f815b4)) + (net 305 "Net-(IC1-EN)") (pinfunction "VDD") (pintype "passive") (tstamp 36de3738-cdd1-423d-8826-652c2951445e)) (pad "14" smd rect (at 2.938 -0.975) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp b4d3227f-5aa3-4de1-9c7e-9144565404e6)) + (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp 3759bc7a-5d31-49c2-b107-81ebdde188ea)) (pad "15" smd rect (at 2.938 -1.625) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 330 "Mux1_A2") (pinfunction "A2") (pintype "passive") (tstamp 0feb5c2f-89c9-4be2-a550-07f83cdf20ba)) + (net 323 "Mux1_A2") (pinfunction "A2") (pintype "passive") (tstamp 88f47cfb-e8ac-4b91-bf49-8597c080e8fc)) (pad "16" smd rect (at 2.938 -2.275) (size 0.45 1.475) (layers "F.Cu" "F.Paste" "F.Mask") - (net 331 "Mux1_A1") (pinfunction "A1") (pintype "passive") (tstamp fe588baf-e9d5-4213-90ae-cc2b2b9b6165)) + (net 324 "Mux1_A1") (pinfunction "A1") (pintype "passive") (tstamp 6d02de3f-8bff-467b-a1eb-5fbf7b45ef15)) (model "${MODEL_3D}/ADG708BRUZ.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14350,7 +14663,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 945fc1a4-fc8a-4768-8a94-ca223883ad0e) - (at 203.073274 115.285326) + (at 205.413674 106.492926) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -14365,44 +14678,44 @@ (attr smd) (fp_text reference "R95" (at 3.2716 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c88a7a5a-3563-4546-bbdb-8bb92afc4f0f) + (tstamp c6f7246a-959b-4e22-a62f-e35ddeecbef6) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6dabb382-5a6e-4a43-a60a-34565b7f48be) + (tstamp b214381c-6b33-4fcf-90db-070ab7ed04a6) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp a5c84f57-ad81-47ac-b276-3713a3349fcb) + (tstamp 42442949-5378-4280-bea2-084d55c44df9) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0788968-2710-440d-9f94-3386b35982fb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4faa000-0bfa-4a18-961b-b35db2209b52)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa907f37-f0d3-4c4a-83d6-f4147f8ff4cc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65f57800-518f-49e1-b48f-22a53159c505)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f38dd10f-3deb-4a12-bd2d-cb179b6f7a24)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56031a26-abb8-4694-9526-81dd0b5dfe2e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c36defe9-bbd9-485d-80dc-ea5cae59a189)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2906b590-b740-4742-9484-b996cd773679)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f14b7433-8939-42b9-8f57-f7c4a49753c1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3ea2c0c9-784b-4ca2-a1c1-16691fdf03fa)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5a6b07fd-5feb-4ca0-850c-9a702d57f248)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d32631b5-91fb-4e99-8320-4be3212f9734)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a8ef6497-9ecb-467d-a733-c4f5c75c9345)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dfd0d977-1035-4624-afb8-5e5dc1270f39)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4e01648e-eee7-4514-83d4-342512bc260e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 48bdc5bd-a0a1-43ee-9025-db26637076b0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ce482e2e-b4bb-46a9-991e-7ab7513ffed2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a9413564-df4e-40ab-9e86-071a352eb663)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 81d85d12-1b6e-45b4-9cf0-e107a08302a3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9641994a-8f45-496f-802c-2ae0a903a69d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 544da4e4-f9e7-4e13-8589-6ca49324f4ed)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 02b24334-7eb1-41bc-a0ed-43828fa822a4)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 16816311-6d08-4b22-a35f-9a0735209fcc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 47177c04-3ad2-4321-8355-e8cfb5951cbe)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 184 "Net-(D93-PadA)") (pintype "passive") (tstamp e775cdf5-5915-4eae-bea1-f5c0ad0ee636)) + (net 180 "Net-(D93-PadA)") (pintype "passive") (tstamp 9f1c805a-cc27-4bca-ae79-fbbcba9c72e1)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp da0970a1-88e8-41d8-941d-4d84fccd5900)) + (net 4 "+3V3") (pintype "passive") (tstamp 31a70678-1b67-4e9f-aa42-0627e37304e3)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14410,253 +14723,344 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 9626b230-79fc-4e72-aaef-9446665f3519) - (at 93.37103 57.804423 180) - (descr "LED 0402") + (at 93.3216 57.804423 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6da3a093-9b11-41be-b0ab-1961aeddaaa7") (attr smd) - (fp_text reference "D47" (at -3.984547 0) (layer "F.SilkS") hide + (fp_text reference "D47" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 15fe1b4c-2541-48fc-841d-450474de49e1) + (tstamp eab5cd63-a2a8-475f-b493-bb86ed21be01) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 24021810-cc71-45b7-8dac-c5e0baa541a2) + (tstamp 9da83bf4-3d31-4de4-8223-5e771426fcb4) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 0b6c9b4b-870c-4f9d-bf4d-17f6627fceee) + (tstamp 01d8fa01-e13b-4709-a779-80e71a8b658d) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d0dcd90-1d1a-483b-8a27-cf1c3f412468)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 195438e8-20d2-480f-a11a-754890675b48)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 45f41cae-848b-4e11-95b0-c0820871df75)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e27193d5-1b4d-4999-9451-c208d3919760)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d2ca6f16-f1f4-4897-942e-429cd632fcc5)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7c5d4ee3-ae07-4825-8115-9731f1bd6693)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 34b1656d-03c5-419c-b7dc-b4faa6411cc1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9f4ecb4-bd42-45ce-9486-0e8dcd25e9a2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 076070b9-4b6e-4542-a382-fa9d9eeb1de7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f8f9e61-f38a-432d-8093-f3aa5c78009d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f803a05e-fa5e-4186-a468-a037da492357)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ba1f182-cd1e-40ff-8c70-50fa04e0d081)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp be2fc293-dd60-4683-aa02-9f6dfcf20d8a)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 13aa9a41-0401-4730-9638-e624b3f296c1)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d1605155-65d9-4818-b61f-6efe70f927dc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bcbe7a8b-97f3-4013-b74e-0d76f7c3423e)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d26ec32-c26f-4dac-a6e6-ac7bb6708f11)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a2c28fd8-6a3f-486e-bf31-3540388b5c5b)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ae95750d-68a1-4f44-a5fc-9c13996a27da)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 021e1442-855d-4e71-8466-1af7752cee7d)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61af6ad6-58dc-40b6-b76b-dc49359440e9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5f96cd20-d27d-436c-930d-e78b867c70d0)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 495db003-50d7-434e-9df6-8c0f27b47e4a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d1914cee-535d-43cc-aeaa-9001feffd1cd)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c94e7f10-243e-4636-83f0-0616223eec6b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 31342d17-08a9-43ae-9d79-03695a2ed67e)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 94 "Net-(D47-PadA)") (pinfunction "A") (pintype "passive") (tstamp 68b674bb-cdc8-44b6-b643-3e07325b26fd)) + (net 91 "Net-(D47-PadA)") (pinfunction "A") (pintype "passive") (tstamp a299860d-ba0d-4238-a17d-72fb6211ca1a)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "GPIO53") (pinfunction "C") (pintype "passive") (tstamp 879a8c01-ae5f-4354-90da-11460ccb0145)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 92 "GPIO53") (pinfunction "C") (pintype "passive") (tstamp ae68ae85-e4f4-468b-9011-f4bf4b452f01)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 9651e82f-d132-4528-8c7f-1afcdb5eace1) - (at 177.127874 107.909526) - (descr "LED 0402") + (at 176.300274 102.448526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/41da9fd0-6f17-48a8-87d7-fad289f492ec") (attr smd) - (fp_text reference "D84" (at -3.354609 0.02) (layer "F.SilkS") hide + (fp_text reference "D84" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a6069828-3a17-4aa8-a255-8cdbf8439a74) + (tstamp c941bfc3-1795-4c33-8e70-babfe666eb73) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp af8506ac-56bd-4942-a39e-feed108dda6c) + (tstamp 8a3ac5fa-a860-4ecf-bbd9-a83feb68fc5a) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp c7c25368-e0cc-445d-96b2-31da88963918) + (tstamp cafc9d91-0742-420e-adfd-4f0e465f2878) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57c79ce1-84d0-4587-aafa-124e7e2d18c8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ea3fee1-2ffd-4da1-a2b3-b857b3fabdf5)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 234b3374-abee-40c4-bd49-294ccfea60f0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp baa7426a-e8e2-46f5-b60b-5d57386d15af)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3adf2423-f046-436a-8232-a7ae1815a39a)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 583bf9bb-bb9b-4fc1-9cbd-29924b8fd7a4)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c05f6fe2-621c-456f-ae5c-eda89a3b8a17)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b108516c-d855-4aa9-9d34-56baa7acb95b)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19278545-d62b-4e51-b6a9-72c9110d7996)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f81984c-204e-4489-9fcf-e09650fccb31)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07f89408-3561-46e7-b05c-2a54f9de0f2b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b05149ac-bcad-41fa-a744-9642b4cc6271)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 66c837ce-b0f1-4dfb-8fe2-dc9e2d90acb5)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 83cfd4a3-361e-4e32-8ba6-0dad703fe0aa)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72fcb3eb-6fdf-4fb0-b0ba-ef63204a6891)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9c3e6dac-95b4-49f6-89f7-ff2afdf05f8e)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e92394c-5189-4800-9360-15a45d4f87f9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fe4767a8-63a9-4133-8c96-0e75cc557286)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d2d34566-de7d-4dbc-ad56-5cb6e682ed2d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ddbc6e3d-a356-4f81-aadf-fd67ffc58fbc)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 91e35c22-f346-4726-b9bf-7d6b7f27fb31)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9157a4ab-7ada-459f-847c-ea419579fb0c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d31c6029-1f97-469d-91e0-4bea6a2300a1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1d7a43fd-474b-4862-a490-4da8cf4a001f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 472e0c28-c129-4581-b4d5-917711324700)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eb6b0a9d-82fb-435d-b24d-921a1e7716be)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 166 "Net-(D84-PadA)") (pinfunction "A") (pintype "passive") (tstamp 0770440a-26d4-415b-8c94-6219fcac5bb1)) + (net 163 "Net-(D84-PadA)") (pinfunction "A") (pintype "passive") (tstamp 9e1beed0-1ad2-4e3c-9455-a5044957c6f9)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 199 "GPIO115") (pinfunction "C") (pintype "passive") (tstamp 886e4e4b-24ba-4ed5-97a9-8cca818a9105)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 194 "GPIO115") (pinfunction "C") (pintype "passive") (tstamp 1fe6f635-738f-495b-8881-62099282889d)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 96cd3123-5a04-48de-a546-74a8127e6d21) - (at 177.050274 97.866526) - (descr "LED 0402") + (at 176.300274 92.405526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/fd287afe-2bc2-485e-8e95-4a88b2969974") (attr smd) - (fp_text reference "D106" (at -4.274191 0) (layer "F.SilkS") hide + (fp_text reference "D106" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4c622116-bae9-4c5e-9418-339c3f8e3055) + (tstamp 33c538d8-484e-4432-b91a-6520eb9de515) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 380ca54f-4511-4315-ad7d-5938b1c22f98) + (tstamp a00590d4-8de8-4759-9696-7d00e1d108df) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp dcbceb9d-a771-4388-b23a-7aeabe76ca53) + (tstamp 03a71e3e-43ad-47ad-bd26-8164f214ccea) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c2dfb73-d09d-4251-b8ee-3c7bcf86e87e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1af6c43-c177-4f56-ba49-cd4cd7f8dc2f)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 53b13bf3-d4db-48fb-8be7-0e02b2fc2dd6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ffaab88-1431-422f-a5ec-4f5aa5774de0)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f79395d5-dbc4-4157-a93f-e4770f1e1619)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6cb80190-da2f-48f7-a6dc-716b31f13eea)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa72c90b-16fe-496b-a749-82ba8d6f44fd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e269236-2476-4a4e-a775-19ab400372ac)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc2ebeb4-7d58-4aba-aed9-e22725849ab1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 27ed87d4-515e-4f15-af78-8de0113e653d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b6f1ea6-cf48-4010-88fd-0b2fffbe677f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 795bbdf0-90fe-41d9-b870-82afac03260e)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 981519fd-e4a8-40d2-acba-eedfdb1a0475)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4451f6a9-54b9-4921-8db1-c053616c7ec3)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0c7aee5-39b3-4f76-84a9-235c8ee5864c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 456b222c-f481-43f1-80af-0d51e1f30077)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 093bb1a8-6975-4ef8-84ec-7094c55798c7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e1df92af-1ca4-435f-8063-7c665c7b5139)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f64cce7b-b6a3-4c90-9373-db42c2374d36)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 82fb1c77-8026-4537-ada9-973622403a96)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d8488006-22d9-46dc-a9d9-de2075f72a81)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bad8688f-daa3-4096-b687-1a043aff082f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d3bb87a1-a89b-4eeb-b0b2-552a81baa0b5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e902c72-095b-41b4-a701-eccd92b5eec1)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 785dfdc8-9fc9-4f50-9e93-04ef3f407f5d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 27cba18e-a1fb-4d38-b46c-1f507a2a0371)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 210 "Net-(D106-PadA)") (pinfunction "A") (pintype "passive") (tstamp 9c626c55-6440-4ceb-b885-ef1751637056)) + (net 203 "Net-(D106-PadA)") (pinfunction "A") (pintype "passive") (tstamp b0c204e1-cfb0-4dd0-8e9e-de3e402b4553)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 211 "GPIO121") (pinfunction "C") (pintype "passive") (tstamp 4bcb06f1-2baf-4308-95f5-4ac3e2fd47a2)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 204 "GPIO121") (pinfunction "C") (pintype "passive") (tstamp 71497964-f6b0-4aa3-9208-f9c7fe1a9a07)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:TSSOP-14_TI" (layer "F.Cu") + (tstamp 96d48458-9827-4d9d-8571-844630d6ea97) + (at 209.052885 58.835137 -90) + (descr "TSSOP-14 from Texas Instruments") + (property "Manufacturer" "Microchip Technology") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Quad Amplifiers") + (property "manf#" "MCP6004-E/ST") + (property "mouser#" "579-MCP6004-E/ST") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/8268bf0c-2a97-4dcd-80a1-d18930f13f2a") + (attr smd) + (fp_text reference "IC4" (at 3.354389 -0.128389) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f7171984-5f58-4be6-884d-0a7fba7a570b) + ) + (fp_text value "MCP6004-E/ST" (at 0 4.8 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp acdbbdf7-53bf-4ca7-a65f-3c7edb5fe550) + ) + (fp_text user "${REFERENCE}" (at 0.2 0.1 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cb6f0b4d-33ea-4abe-98d8-04cbe56f8dcf) + ) + (fp_line (start -2.6 -2.3) (end -2.6 2.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a590654-ff90-4322-8ead-ab7d0e9b400f)) + (fp_line (start -2.6 -2.3) (end -2.4 -2.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d30d003e-721d-4e17-95af-ee9cd1251b03)) + (fp_line (start -2.6 2.3) (end -2.4 2.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a4f3646-746d-4e14-b532-c0c8345c931a)) + (fp_line (start 2.6 -2.3) (end 2.4 -2.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59693613-c594-4a28-8544-83b026120185)) + (fp_line (start 2.6 -2.3) (end 2.6 2.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8a1daf9-f13b-4ef0-a138-25e8dcf1e91d)) + (fp_line (start 2.6 2.3) (end 2.4 2.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1f734f9d-3c90-4b93-ac73-fcd421e32e18)) + (fp_circle (center -2.6 2.8) (end -2.5 2.8) + (stroke (width 0.2) (type solid)) (fill none) (layer "F.SilkS") (tstamp ba4e1572-2b80-4749-a4b6-676943e54ad5)) + (fp_line (start -2.6 -3.9) (end -2.6 3.9) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp eba02010-966f-4569-9b8a-490a9e2a29e0)) + (fp_line (start -2.6 -3.9) (end 2.6 -3.9) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 37107d1d-d8b8-435f-b6a5-7764f590ef71)) + (fp_line (start 2.6 -3.9) (end 2.6 3.9) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b3d0d8ac-eff0-436f-bd6a-a7701f55c7d6)) + (fp_line (start 2.6 3.9) (end -2.6 3.9) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3bdb0dbe-b9ca-4fe4-8872-5c804bb199cf)) + (fp_line (start -2.6 -3.9) (end 2.6 -3.9) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b2357276-7716-4113-b7a2-517d7a9bde0b)) + (fp_line (start -2.6 3.9) (end -2.6 -3.9) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0e1f2a74-ed8e-4f3c-9482-ddc524dda556)) + (fp_line (start 2.6 -3.9) (end 2.6 3.9) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp da4e53b3-f047-4e97-864a-ce2a6e05ba45)) + (fp_line (start 2.6 3.9) (end -2.6 3.9) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c7c6bcb5-9c95-4ef1-9067-0be4786eefc1)) + (fp_circle (center -2.6 2.8) (end -2.5 2.8) + (stroke (width 0.2) (type solid)) (fill none) (layer "F.Fab") (tstamp 307e0752-3576-4e50-94d6-2bb291f0c64a)) + (pad "1" smd rect (at -1.95 2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 191 "Net-(IC3-D)") (pintype "output") (tstamp f7cac6bd-f86e-48dd-a7dd-6fe25f5f3cb2)) + (pad "2" smd rect (at -1.3 2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 254 "Net-(IC4A--)") (pinfunction "-") (pintype "input") (tstamp 1e65489f-a7b5-4500-b652-bb43929ae90a)) + (pad "3" smd rect (at -0.65 2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 385 "Net-(IC4A-+)") (pinfunction "+") (pintype "input") (tstamp be0c73a1-d2f8-4372-a234-9de5f1184043)) + (pad "4" smd rect (at 0 2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 21 "Net-(IC4E-V+)") (pinfunction "V+") (pintype "power_in") (tstamp 77d0bfca-34cd-489f-a189-22c4f0d10df1)) + (pad "5" smd rect (at 0.65 2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 388 "Net-(IC4B-+)") (pinfunction "+") (pintype "input") (tstamp 864fea46-82b0-4cd2-9faa-0442de19894d)) + (pad "6" smd rect (at 1.3 2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 390 "Net-(IC4B--)") (pinfunction "-") (pintype "input") (tstamp 73b276fa-44a0-4601-acc0-c2a0e2fc9b82)) + (pad "7" smd rect (at 1.95 2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "Net-(IC2-D)") (pintype "output") (tstamp 6d8d12b5-4751-415b-aa6b-b8138ef0cc2a)) + (pad "8" smd rect (at 1.95 -2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "Net-(IC1-D)") (pintype "output") (tstamp a6aec64e-af1b-47b6-96ff-f1b338d3c258)) + (pad "9" smd rect (at 1.3 -2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 389 "Net-(IC4C--)") (pinfunction "-") (pintype "input") (tstamp c1e541a6-8ce0-465f-ae4a-379f1e2bfd8d)) + (pad "10" smd rect (at 0.65 -2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 387 "Net-(IC4C-+)") (pinfunction "+") (pintype "input") (tstamp 4dc1e339-f25f-48ff-ab5e-bf1c0a3d3adc)) + (pad "11" smd rect (at 0 -2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "V-") (pintype "power_in") (tstamp 6b76641b-93e1-4bce-9f7f-755c5c73f5d6)) + (pad "12" smd rect (at -0.65 -2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "+") (pintype "input") (tstamp e0207662-4e1f-4cd5-a047-bb65957c4e39)) + (pad "13" smd rect (at -1.3 -2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "+3V3") (pinfunction "-") (pintype "input") (tstamp 6c96b7e0-5555-4b3f-aed2-7ab8f9f22e01)) + (pad "14" smd rect (at -1.95 -2.8 270) (size 0.35 1.6) (layers "F.Cu" "F.Paste" "F.Mask") + (net 253 "unconnected-(IC4-Pad14)") (pintype "output+no_connect") (tstamp 17d59981-e408-49f8-ae34-65659ae4de3e)) + (model "${MODEL_3D}/TSSOP-14.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 96f52ae2-883c-4cfd-b5ec-e3a9fd642021) (at 76.454274 132.554526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/862f1f41-b2c6-4323-bcb3-acfc2a329d0c") (attr smd) - (fp_text reference "D36" (at -3.535238 0) (layer "F.SilkS") hide + (fp_text reference "D36" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5c7a6a09-3b7f-408c-8fa7-86b8d1f8ed0d) + (tstamp 477936a0-3b0f-4479-96a5-c33495a61fa6) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8a909aba-b207-4e57-a5ae-457843f31465) + (tstamp f448eda5-3c76-477e-afc3-020a1db91dd4) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 8b536df0-0f92-4476-9724-f34f60c0ce0e) + (tstamp b8b7dfdb-6548-49c2-bd66-c19330f587a5) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0c10d83-6e78-4ec1-8055-b6989565a11f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59c797d5-4e19-416f-909e-4e000d807209)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1bc8e3b-9aee-413f-9dba-5d83c8892300)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c95105a-7b37-43a5-adc0-75b5373f178c)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ff63cc4f-e4f5-491d-9d08-af577aa61ca2)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b8aab45e-2310-4088-9713-f3725ebeee25)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 13e05b13-88bf-45be-a903-310fad39ef44)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0048e16a-711e-4eb2-9146-5608f3bfb27a)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd825c44-2919-434b-9433-1dd3ddf8d1f0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ce9cb62-db3e-493c-9498-05c0825d081d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab5d69dd-5de7-4eb4-8d0e-8894dd4760d3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d50cce0-afbe-4ca4-b42d-205d93d14e8d)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5b99e68e-4cf1-474b-b8e2-7cd2c76fc56c)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 613178e3-737c-4625-8c05-b32a8acb2192)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 838ab2ce-a6ac-46e5-8b84-a4f72bca45cc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a866fe32-1604-4476-8d8c-1c5b5e051960)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a23a2b4c-2a33-4bb9-ba92-0b77bf923729)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1c1982a5-bbda-4b87-9ecf-97c6eb7da288)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2764dacf-655f-4053-b8f0-965311df75c3)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 02960383-c363-4205-87df-af9fb64e9431)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ac4c139e-5d14-41d1-a5c4-81388f419e3e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62743e36-c223-4bd9-aa8e-8818c9a2cf7c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ccf4f48-df5b-4266-a32b-cfb9c98bca25)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f62da5e2-5a9a-4b9d-bc18-ee4bdf4c4577)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 98ca3240-02a8-4862-81f9-56281c1690ac)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5cdbe062-f5f3-4d0a-975b-149d74c5db8b)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "Net-(D36-PadA)") (pinfunction "A") (pintype "passive") (tstamp 04e119ad-a505-4d47-b7d2-063ed537d73d)) + (net 70 "Net-(D36-PadA)") (pinfunction "A") (pintype "passive") (tstamp df4f6862-8b2e-4e10-9b10-268127462809)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 74 "GPIO42") (pinfunction "C") (pintype "passive") (tstamp 65b19c26-8bc9-4339-a0a6-cc215a81d8a5)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 71 "GPIO42") (pinfunction "C") (pintype "passive") (tstamp 0a622abe-ae25-4339-92e6-dee366677014)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") (tstamp 976b4953-4652-4a2f-a8ef-fb5fac2ff1eb) - (at 214.261274 89.240526) + (at 176.923274 142.199526 90) (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") (tags "Through hole pin header THT 1x02 2.54mm single row") (property "Manufacturer" "Harwin") @@ -14669,52 +15073,52 @@ (property "mouser#" "855-M20-9990245") (path "/71f7e37d-6088-4953-b84b-4c10f88e8c06/1af56689-a91a-4d87-9bce-03ccb12de9af") (attr through_hole) - (fp_text reference "J14" (at 0 -2.535 180) (layer "F.SilkS") + (fp_text reference "J14" (at 0 -3.297 180) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp cea65b85-02f6-41a4-a698-0bf6950234ca) + (tstamp 51d56fdc-7356-484b-a839-9d1f65c42ee1) ) - (fp_text value "01x02" (at 0 4.87) (layer "F.Fab") + (fp_text value "01x02" (at 0 4.87 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp cf02d92e-8afb-4d2e-8bb7-5ca66dbaa284) + (tstamp 259fc7ac-26e5-4bb1-839d-b12ad3c0943f) ) - (fp_text user "${REFERENCE}" (at 0 1.27 90) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 1.27) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 26b45858-5d6c-458a-935a-acf31a7888ed) + (tstamp 47fe6e9a-dac3-4f80-b574-dd6dbefe067c) ) (fp_line (start -1.33 -1.33) (end 0 -1.33) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad312a08-013b-49e9-a5a6-b412dbcc80f1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51bdb67d-53e3-4f29-b092-ba798df6558a)) (fp_line (start -1.33 0) (end -1.33 -1.33) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cab26391-fb1d-4ed2-8f07-4a8a20502d08)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c6ea1a1-cffa-4087-ad81-7dbffded7803)) (fp_line (start -1.33 1.27) (end -1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72c65f18-7911-47d5-a485-6c2853421ea5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ef7723dd-b0bc-4d38-8137-4d419c479d90)) (fp_line (start -1.33 1.27) (end 1.33 1.27) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b8fce32-8296-44f1-8490-91570a72d605)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8d0a877-e8e0-4792-8703-4704bbf3a61f)) (fp_line (start -1.33 3.87) (end 1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75c4dffc-be80-4294-86c0-1dfb2a7d6fe8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f42d899-1e35-4ade-a3a5-4914b6cb126d)) (fp_line (start 1.33 1.27) (end 1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa3f924c-69e1-4de6-94d4-e02961a46c68)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b612cff3-afe3-4463-b04a-f583a1f9cd25)) (fp_line (start -1.8 -1.8) (end -1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d634009b-c914-40cb-b800-68e424e8c496)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cae04b79-973a-4ccd-a12e-ad45dc78887e)) (fp_line (start -1.8 4.35) (end 1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 475a7313-d5ef-414d-ab15-e4c04164209a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5c54733e-7e2e-4519-9d36-f2661eb39f79)) (fp_line (start 1.8 -1.8) (end -1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8518daa-d7fa-455d-af49-74f7adec5771)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 582d8ee8-eea1-44f6-b642-d9954ba49591)) (fp_line (start 1.8 4.35) (end 1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa55b2ab-9097-4b88-837e-30a147e558f6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a361064-85c7-4cc2-bcc4-869f0ba51ad1)) (fp_line (start -1.27 -0.635) (end -0.635 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93f59663-3100-47f4-afa7-508f1fc3852b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 037d945b-1517-4011-b56e-e310d0f88725)) (fp_line (start -1.27 3.81) (end -1.27 -0.635) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b72904f1-7130-4b33-ab53-4b46c39939b6)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45ad3ef5-b71d-4b9d-a63c-65449f9995cb)) (fp_line (start -0.635 -1.27) (end 1.27 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a24f0694-356d-4b43-84f4-8529972b32d1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e6a712f7-02f8-44e9-8a8f-8cae1e6fc7f3)) (fp_line (start 1.27 -1.27) (end 1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8962ca31-d675-4e88-9728-a931259f4e42)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1b5fbe35-738e-47e3-98e5-ba2c72e6a6ab)) (fp_line (start 1.27 3.81) (end -1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a76ddcf5-b86e-433a-93ef-683fa676ea64)) - (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 4 "+3V3") (pinfunction "Pin_1") (pintype "passive") (tstamp 650a23ae-a106-454b-9d19-192356fa230f)) - (pad "2" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 4 "+3V3") (pinfunction "Pin_2") (pintype "passive") (tstamp 62324133-abb9-4968-b0d5-5960b5c3d220)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 79d22f1a-6ca4-4842-be65-69730aa30165)) + (pad "1" thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 4 "+3V3") (pinfunction "Pin_1") (pintype "passive") (tstamp aaac357e-96d5-4e31-993d-39f04be0e3ca)) + (pad "2" thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 4 "+3V3") (pinfunction "Pin_2") (pintype "passive") (tstamp 8b9e92b9-14f7-449b-9d69-71fc82d9fc62)) (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14722,182 +15126,305 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 98b950d3-fb6d-4e7e-afdf-a3c5b9397148) - (at 209.268874 66.228726 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") + (footprint "LEA_FootprintLibrary:TestPoint_KeystoneElectronics5019" (layer "F.Cu") + (tstamp 98db003a-0fdb-4f43-9ba5-89250bcdb7ce) + (at 210.324274 44.663526 180) + (property "Manufacturer" "Keystone Electronics") + (property "Sheetfile" "PowerSupply.kicad_sch") + (property "Sheetname" "Power - Page 2") + (property "Sim.Enable" "0") + (property "ki_description" "Test Point") + (property "ki_keywords" "Test Point") + (property "manf#" "5019") + (property "mouser#" "534-5019") + (path "/71f7e37d-6088-4953-b84b-4c10f88e8c06/486f86e5-724a-435b-a1a6-9a377e2ce496") + (attr smd) + (fp_text reference "TP6" (at 0 2.794) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp aac3f47e-64c3-4aa1-9257-89b13b45daf9) + ) + (fp_text value "TP_Keystone_Electronics_5019" (at 0 -7.1) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1b19191a-ec74-4f2d-a1e0-296cd044c10a) + ) + (fp_text user "${REFERENCE}" (at 0 0.15) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3d72d92f-9ad0-4156-ab72-a9e1214c0570) + ) + (fp_line (start -2.15 -1.3) (end 2.15 -1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 852d145e-a564-42e7-96e7-9dc11d978f3b)) + (fp_line (start -2.15 1.3) (end -2.15 -1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bcc4747b-3e3d-43f5-86c0-f499ca0fe928)) + (fp_line (start 2.15 -1.3) (end 2.15 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d97f004b-7267-4659-838c-d4273d24b41a)) + (fp_line (start 2.15 1.3) (end -2.15 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64feaf46-09c3-4d67-b577-5939528e5590)) + (fp_line (start -2.15 -1.3) (end 2.15 -1.3) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ca6d5993-4ba1-4c0b-a659-737cd54ccbc0)) + (fp_line (start -2.15 1.3) (end -2.15 -1.3) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f3c1d922-1a7d-4c65-8ff1-9614ab7f4bdd)) + (fp_line (start 2.15 -1.3) (end 2.15 1.3) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp db05a6e3-1058-47fc-be25-10fe24c61da3)) + (fp_line (start 2.15 1.3) (end -2.15 1.3) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fb57f981-38ee-4df2-99be-cf12d5020add)) + (fp_line (start -2.15 -1.3) (end 2.15 -1.3) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 38b483d5-413c-4753-ba8c-8a5f738013c5)) + (fp_line (start -2.15 1.25) (end -2.15 -1.25) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 38c126a8-68db-4a7e-bc1e-6b607f9cb9d7)) + (fp_line (start 2.15 -1.25) (end 2.15 1.25) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 272d7d7e-079e-4fdd-bde0-056e83c4652c)) + (fp_line (start 2.15 1.3) (end -2.15 1.3) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 65ee1c95-70a9-4926-a7ef-1b64c0ce5a12)) + (pad "1" smd rect (at 0 0 180) (size 3.8 2.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") (pinfunction "TP") (pintype "passive") (tstamp 7bcf69e7-e8f6-438f-b104-0f38e996da20)) + (model "${MODEL_3D}/Keystone5019.STEP" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 9944ea0e-4a65-49ef-8e6a-df8a7f75e4bb) + (at 115.048874 151.227926) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7d47c9d8-caf8-4444-8c56-fff6f390749d") + (attr smd) + (fp_text reference "R136" (at 3.31 0) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0ddafb53-0f5a-4396-ac70-46f8b98f912d) + ) + (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a5b22a23-02e8-4865-a366-38d11d4ff9eb) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 73dd1f00-50ba-4403-925f-45d1a611305f) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ec6e885-eeb6-48bf-8ba5-090851c95599)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 720c374a-0cf1-4d81-a9e5-de0d8cf879f2)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c85b276b-863a-4d6c-9060-12ceab78595d)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e5211d02-8f31-49ef-84e0-6c7c0a671852)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b667ef35-04b9-4b4a-bd82-84b31c061723)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 29116837-c875-434f-9428-e53b8e1f114c)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3f0d209c-4641-4644-87c7-36884cb46db4)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f3482db3-ba6d-497d-84fb-dc8b8fa78c2a)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35bc8bdb-3198-4820-a420-172118d53868)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1e47fe4c-b80e-46a7-b824-a46b48f273de)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp da8e5eef-f213-4225-b3c3-23ecfc32942f)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 86e6e9cb-b6e7-40b6-8ec5-25c86a4c199f)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 317 "Net-(D134-PadC)") (pintype "passive") (tstamp cf3b678a-44e6-465d-9baa-387c0c17a369)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 11a23d6f-1559-434f-b302-ace6dd48e13f)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp 99d9f46a-2235-4956-b600-94d482dcbe4e) + (at 189.369274 79.068526 -90) + (descr "C 0402") + (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") (property "Sheetfile" "ADCINs.kicad_sch") (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/ab2e4ee2-4e80-4393-8db4-4b3a98bcb71d") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/e1dff8ea-7ec3-4097-aae4-839d277ba397") (attr smd) - (fp_text reference "C13" (at -3.048 0 90) (layer "F.SilkS") + (fp_text reference "R164" (at 3.302 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 33694840-e096-4253-859c-e2ef60eb2fe3) + (tstamp 9b969879-b514-4cc7-b3da-85cb5876403b) ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e1bb7175-cdfe-4229-9092-f1de55a9af0f) + (tstamp 1adbd9d6-2d33-49a8-a2ef-8ae67e4b33d5) ) (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 01cc89f6-8ae7-46d6-8840-4cdd1e1baf04) + (tstamp e3576d27-3120-47e7-8fa0-2b5034d39c75) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e26281c5-c6a5-4973-8f66-6025bdcaf952)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 13e8e17c-0043-4f54-af14-e6594a14e970)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 13318af9-0bff-4c42-be26-e973d28713c0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52c01718-1c55-493d-8f39-876138eaf364)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f05d77bc-f391-4fc8-a9a1-4be6b4c8b566)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1df1d4c7-2de8-4bbe-be6a-751888532097)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb4cfbcd-a326-4f7e-98d7-bf47c6d7e971)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f99687f-eb59-41a3-acd5-4313cb4acc9f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8af621b2-34e5-4724-9452-0325c702987f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a9a004f6-01bf-4776-b43a-46b3c27f8055)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 50402a5a-4401-45d6-b4ea-c964998672be)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f7ab0e79-d27f-46c1-b2e5-c4cf443ffb67)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e06e816a-0e9d-460d-bd00-82e688da3016)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d1e29bcc-bdf1-4201-9601-ffc625a7044e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7a82b0b6-824c-410f-8282-2deee2c4c218)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5ace4999-ba6e-4d02-b582-32df4776493d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f5104d78-c336-479b-8ce9-a9a6b2066718)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4c0697f8-b01a-454d-9aa9-989da1cec0d3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 29c5c00f-919a-48fe-b004-dfd8514905dd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5dfc883b-8e12-4418-8151-6c08474021a2)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 54ba441a-24e8-4149-9525-af7b54b2a831)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f483bc44-de40-4b47-8f8e-9b9176a3adf9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e54bfbeb-b91b-4d8e-bcf7-eb4636e35a1e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp caa118bb-31c0-4ae0-9617-b410d24fa762)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 669a4b24-c5ae-4950-9fc6-247f533d921c)) + (net 371 "Net-(IC2-S6)") (pintype "passive") (tstamp 114afd0b-12e9-48a0-ae4f-8a804544c2c0)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 373 "Net-(IC1-S4)") (pinfunction "2") (pintype "passive") (tstamp ff3a9dfd-4086-4b11-a30c-c1b65130eb38)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (net 2 "GND") (pintype "passive") (tstamp da145365-112c-4180-831d-c62ad53e6052)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:TestPoint_KeystoneElectronics5019" (layer "F.Cu") - (tstamp 98db003a-0fdb-4f43-9ba5-89250bcdb7ce) - (at 212.793274 51.953326 180) - (property "Manufacturer" "Keystone Electronics") - (property "Sheetfile" "PowerSupply.kicad_sch") - (property "Sheetname" "Power - Page 2") - (property "Sim.Enable" "0") - (property "ki_description" "Test Point") - (property "ki_keywords" "Test Point") - (property "manf#" "5019") - (property "mouser#" "534-5019") - (path "/71f7e37d-6088-4953-b84b-4c10f88e8c06/486f86e5-724a-435b-a1a6-9a377e2ce496") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp 9a5ac8b6-2a0d-42eb-a7cd-0908b6d67b1c) + (at 176.300274 110.068526) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") + (property "manf#" "APHD1608LVBC/D") + (property "mouser#" "604-APHD1608LVBCD") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d30c7d01-0fc3-48f5-b58b-342d31a83e21") (attr smd) - (fp_text reference "TP6" (at 0 2.794) (layer "F.SilkS") hide + (fp_text reference "D82" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1bb0145d-d8ce-481b-8da3-6cfae29fccfa) + (tstamp a730f2f3-6360-4b9f-9de1-1ade64ffd399) ) - (fp_text value "TP_Keystone_Electronics_5019" (at 0 -7.1) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5f436079-a2f7-4de2-8483-7d87661d9d23) + (tstamp b24983c3-ed51-4fad-ace9-41063e5bc838) ) - (fp_text user "${REFERENCE}" (at 0 0.15) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5f153925-1379-430c-b65a-0034dbd0c6be) + (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 117010ab-74bb-4a05-af3d-f8a93779662f) ) - (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a610276-7ecc-4cdd-9ad0-78af94f5f224)) - (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ed71497-7978-4cfe-918f-2611e4aafef4)) - (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e8c3618-2aae-4980-9c36-fe42db5d8495)) - (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0bb95e7a-86f1-4de4-a12f-3ee722139a87)) - (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 68b0dbce-ad77-4271-9539-d115484f1205)) - (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5b149c34-cd72-40c4-b776-019223ec4a1e)) - (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3560eb0e-f70a-40a0-b935-d59aa1d3f5e0)) - (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f96eb072-192c-4e2c-9c73-66714407433d)) - (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8eb2e634-4f8a-45eb-b8ed-e5d4b7e46e1a)) - (fp_line (start -2.15 1.25) (end -2.15 -1.25) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 33d7ff84-704a-4e93-a614-245cb4d89bc9)) - (fp_line (start 2.15 -1.25) (end 2.15 1.25) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ef47a172-169a-4bb6-8de0-1f34dc6b0a57)) - (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 34d4dc4e-00df-4d57-8971-677c4a8ee7ca)) - (pad "1" smd rect (at 0 0 180) (size 3.8 2.1) (layers "F.Cu" "F.Paste" "F.Mask") - (net 2 "GND") (pinfunction "TP") (pintype "passive") (tstamp ae114b7f-d12a-4cf5-9dfb-cdac97278d11)) - (model "${MODEL_3D}/Keystone5019.STEP" + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86af7995-c709-4c2b-a2c9-b0ce8f202635)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56fcd902-b83a-48ed-a83f-60dd42512682)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 392b0714-95a9-4f33-80a2-484e3a69a980)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4cf21293-08ae-4352-8cc5-c9be9cb8718c)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b5af742e-9efd-4430-b54d-553354cad9a3)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eba2b5c9-6a54-49ae-9cea-006da7c05a89)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e57525b6-894a-4d94-a758-3fae1920ad68)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 71f597cd-e402-4f7a-9d6c-fe0744464403)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c9810f4b-4cd0-42dc-9c79-e289b333708c)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 791314fc-f3c5-43da-bcd7-109ded8350d8)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b42d21e3-4cd6-4ac4-8e59-cf02914dd74b)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ccfdd0f3-799b-4667-8308-ebf62cdde91d)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 21fdde29-1bfa-47bd-9e60-fb20cb6a163f)) + (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 159 "Net-(D82-PadA)") (pinfunction "A") (pintype "passive") (tstamp b9f9438a-92c2-45cc-a0db-54c0e934615e)) + (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 190 "GPIO113") (pinfunction "C") (pintype "passive") (tstamp 4f87411f-9b37-435a-ba95-39350594eb6b)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz -90 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 9944ea0e-4a65-49ef-8e6a-df8a7f75e4bb) - (at 115.099674 151.227926) - (descr "C 0402") - (property "Manufacturer" "Vishay") + (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") + (tstamp 9c53f467-fb06-4270-b3bc-2c15dd2e6a8a) + (at 208.292274 54.696526 180) + (descr "C 0603") + (property "Manufacturer" "TDK") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "Resistor 0603") - (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7d47c9d8-caf8-4444-8c56-fff6f390749d") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Capacitor 0603") + (property "ki_keywords" "C capacitor") + (property "manf#" "C1608X5R1H104K") + (property "mouser#" "810-C1608X5R1H104K") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/0f14a215-5afb-46d1-9c1d-b0ec4c3847ba") (attr smd) - (fp_text reference "R136" (at 3.31 0) (layer "F.SilkS") hide + (fp_text reference "C7" (at 0 1.524) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp ef72a9b5-16e5-4545-8069-b0eea325347f) + (tstamp 41c9a56e-93c1-4f1b-9983-b4f50ec89383) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "100n" (at 0 -2.75) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 54d44b5a-a051-43a5-ba10-7094c4ec5cc7) + (tstamp 90e9ad8b-c655-4fea-8099-c5298735a155) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 69ce18ef-809c-4f97-afdb-70ea0b210dfb) + (tstamp 3a8f4e29-28fd-4b05-a416-d13a0dc3755d) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3ebcc59-70ec-4dc4-beb9-0fb4c49381c8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0881e97-6ae8-47b3-81ad-abda5cc207dd)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64296ce1-5932-4c99-9775-8f80ed80e3e4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ee51969-c65c-4d4d-8ab1-dd0bb3a62276)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d59f9b9-3d07-4987-8a79-5902ed72af8d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 086f59d6-9e43-46ed-95c3-d86e6431da28)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f128a3c-4524-43ee-b248-432366d6e977)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33830f28-6266-42aa-979d-f0d4d2d6ecf0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2beb7cac-e864-4169-b276-7c79f54461c9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5b80980a-5f3d-4d8c-9cfc-b4207c02118a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp be2813ce-ab46-44a4-8239-6c444e864186)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 21705e6d-cf2e-4ffd-b446-cf075de6a9fe)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d1519ab7-1be3-4b25-994f-562e95e7116b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ce91dbb5-e4df-4ae8-bbfd-b116b21aa106)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7137a973-6d61-4c72-ac67-7051cb909f27)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 06e7ed42-caae-416e-a94f-ed8e1d7d01cb)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 16125d61-2797-4ed2-8882-10b98f74e41b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3484e33e-9869-4170-9170-417f7ab4ab34)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 99aa63fb-87f9-4ba9-9ff2-e6c121325e6f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 836d8f48-1784-4665-8b27-c20b975b5b20)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c89e03d7-5f01-4d07-a227-b116810431e1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 859f5c16-a760-4694-b83f-f222a729e03f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 68d66953-d7d1-416e-b8d5-e5a3edecace6)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 324 "Net-(D134-PadC)") (pintype "passive") (tstamp 51a16e8c-2ce4-4dbe-b755-237b0af6a6e6)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp f562f07c-c03a-45f8-a801-57027b2e72c1)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be5a0cff-1ecc-4cb0-bb5e-7c418640b2c4)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "Net-(IC4E-V+)") (pinfunction "1") (pintype "passive") (tstamp f38a69ea-9115-45d9-89cf-64f26de5ce18)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 32e5983d-7801-4bc7-a9d9-8e8d71bceac2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -14905,8 +15432,8 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 995138aa-6fc3-47a0-9610-f3094fde3d0f) - (at 203.073274 95.209526) + (tstamp 9c9d8c13-7c47-4d87-b760-db5e6a5dfa46) + (at 133.895674 153.858126 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -14915,50 +15442,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603680RFKEAC") - (property "mouser#" "71-CRCW0603680RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6fcf5ec2-852b-4b26-af14-7094b9b695bb") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/a3f7f395-6cd9-48fa-b38a-c23d53b4983d") (attr smd) - (fp_text reference "R83" (at 3.302 0) (layer "F.SilkS") hide + (fp_text reference "R153" (at 3.553317 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f75bbcda-7284-438f-aa66-5e255fcb98df) + (tstamp 5f009053-e5bc-4937-a1e4-a1763e8aa784) ) - (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0bdec24b-3d9f-4704-8872-30a4e4d5f61f) + (tstamp 104a1b3f-5ed1-41a4-b17f-4edd739a4797) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 7d74c2cf-152e-4283-8d60-65a44dc9be99) + (tstamp 13f1de62-89f4-43c9-b32c-cd0eb3c24687) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d41e95f2-b9ea-4d4f-bafe-4aa33e8b0788)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 569f60b0-5bec-4fbb-83eb-3a90d3211c50)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 527b229d-4921-4185-bb6a-5d88b0a0ecf4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 498b8039-d05d-4899-91f4-4f46e6cca23a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 28a749c1-ec50-4671-bce4-526de77d68c8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f01aed9-f83d-4258-bd1d-2068a411c451)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f110a4b1-b9f7-476b-86b7-85c0e579d9cd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c4120e5-3bcd-4675-bdfb-a010a4950221)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c9469b67-dcee-41d2-872d-8c99275f9b93)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b7333e34-914d-43a7-be9b-d23acf76acdb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0b121b74-e246-4ee2-92a7-1ef66d0193a0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aac42a62-6ac8-4f16-90aa-7099a880176f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2c19fc68-1156-4803-b700-bb07f38a1cfb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fb7cd9f9-7d89-4895-8f71-2ff9902a9aaf)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d4811ce2-0213-48ce-816c-5728c89153e8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c6934ae9-589b-4ce0-8919-ea3515b35bb3)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4d494378-1078-409e-89e4-f03c6721c77a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9740bf09-e8ed-4906-bc6c-6dc070475120)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cdd85f8b-6050-415c-ad54-754eeecbacc5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp daee0ac8-031c-4a3e-ac9c-1b7b7baa0620)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3ee4b1a3-9184-43f7-9363-8067e3127897)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e106b33e-91e6-494c-9500-f4971fed76f9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp de61e870-ff68-4371-880d-1193c903c1bc)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 160 "Net-(D81-PadA)") (pintype "passive") (tstamp 16aee117-ed2b-40e7-887f-4746980614f7)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 0e2acf59-e18c-49ba-93b3-cec3d8fed2f7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dc970e7e-b2b9-49d2-8842-a05c7b33c58f)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 358 "Net-(D151-PadC)") (pintype "passive") (tstamp 044b04b5-daac-4be0-af96-e5290f07a148)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 12627a72-b35a-43e8-a98e-c920b3643deb)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14966,70 +15493,9 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp 9a5ac8b6-2a0d-42eb-a7cd-0908b6d67b1c) - (at 177.127874 115.529526) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d30c7d01-0fc3-48f5-b58b-342d31a83e21") - (attr smd) - (fp_text reference "D82" (at -3.307009 0.02) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 88cf540f-fc9b-4d5e-b3dc-ea46d2ee90c6) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp fb0f6f03-ff44-44de-9992-b566dd44e050) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 1d75d2ce-b692-4188-83d8-9fcdaaf7bce7) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec1853ab-59e8-47e7-a62b-11d27c821cef)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8719903d-8327-438e-9381-e81bd65e7157)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3e795b93-a400-46af-be94-e10dfb7a7ef6)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8141f9c1-6136-4e43-b8ff-fa5df9960b06)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 15ade0bc-22a3-4349-ae94-49013f8f2ee3)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1d16937b-63d0-4b51-8f48-2dc63cb40ec2)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0b116f88-36d5-4aa1-9cc7-db7af2f2ad59)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 779abe1c-f273-4b30-8c10-510d56f3db85)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 77f318eb-e0ec-4f8b-99cd-e70e09c6d244)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d8541de1-a142-42a0-a86d-4e1136cb3f95)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 960e4426-27ff-4cde-a110-7f4627e8876a)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ff0bf352-0de8-430e-a51c-b2296bde83da)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 531bfbc2-2766-4675-8035-d4afc3ccb00e)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 162 "Net-(D82-PadA)") (pinfunction "A") (pintype "passive") (tstamp 06ceb72e-573b-4a35-8e55-2b28e414d87a)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 195 "GPIO113") (pinfunction "C") (pintype "passive") (tstamp 92922dcb-2ede-4095-a7c4-2b1d6efb1a19)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 9c9d8c13-7c47-4d87-b760-db5e6a5dfa46) - (at 133.895674 153.858126 180) + (tstamp 9cb6daa1-1684-4bcb-a556-90f4e8a6f674) + (at 205.413674 131.566926 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -15038,50 +15504,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/a3f7f395-6cd9-48fa-b38a-c23d53b4983d") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/454c645c-b41e-4629-91be-65bf6913c053") (attr smd) - (fp_text reference "R153" (at 3.553317 0) (layer "F.SilkS") hide + (fp_text reference "R102" (at -3.8982 -0.0762) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d124868f-10eb-45cf-9467-dd6f30db97e4) + (tstamp a36f0705-1394-48c9-b3d6-b2e063460b89) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e5ac0c59-5232-4869-9b77-45b098aec9ea) + (tstamp c9f5d4de-c99e-4e3b-ba64-283337cf92c6) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 19636d8c-4284-4c6e-a415-95655a303224) + (tstamp 449c29c0-e9ef-41a9-8cb5-61567be0fe2b) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8914a529-e9be-48f5-b1d2-1acc37cc15f9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c02eccc-2862-4b6d-b535-190d4d9d321d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0679e286-6d2b-46ed-98ac-22498b2d0dfa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e94895df-f7b1-4c55-abcd-cf8dbfc4e83e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d50c35e-9b30-42be-aa2f-a8661a2aeea9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf794d97-d19f-4789-80f3-8cb42eb6e910)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 11c0e09d-6f9e-4939-a00c-85c1fa871503)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0cf9960a-4a92-49d9-a6aa-5e1d3edbd133)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5d0c235b-3b29-465d-8491-d96eefac0249)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f10821ba-638b-402d-9ee7-4ae456c8203a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f137ee2a-f7cc-44e7-941d-2d0cfb9d93b1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 13f3d75e-31c0-4cd6-b723-e3283ef6f57c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2d6f47a7-34bb-437a-9427-6c420cbe871c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8f3c5a7a-8e24-421c-b611-c549937e382a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 484393ab-9dad-4438-84b9-8f314e6f5370)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 286f0a74-6549-491a-978a-6e98eefad6d1)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5b76f4d5-d027-4068-ae00-5ec91e93656b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b383988b-8376-404d-98ce-a9cccab97798)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 97ec0ad6-25b0-4257-83bb-2f059370be84)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f8ba73f2-c000-49c0-9fd0-8f5da630bef8)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bbf33242-0b7b-4984-b37c-66dd305e2ee6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1c323818-76ce-4cb8-a8be-87c3b1ef866a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c8ca2863-e621-4170-8e73-127d32253125)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b0107ce6-32bb-46a5-ac93-815cdca50672)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 365 "Net-(D151-PadC)") (pintype "passive") (tstamp a2beb9cf-ccfe-44ad-a323-16557a3f78ca)) + (net 4 "+3V3") (pintype "passive") (tstamp 34c1ecda-f15b-4a03-993d-fd58efe3d566)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 5ef3ed0e-dce7-4d58-885e-a878355e554d)) + (net 193 "Net-(D100-PadA)") (pintype "passive") (tstamp dc68fa1d-4ab0-4e62-be54-93368e655a25)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -15089,62 +15555,61 @@ ) ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp 9cb6daa1-1684-4bcb-a556-90f4e8a6f674) - (at 184.517874 131.434926 180) - (descr "C 0402") - (property "Manufacturer" "Vishay") - (property "Mfr. No" "") - (property "Mouser No" "") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp 9d4a08d1-fd4a-426c-aa71-7776387ab8b7) + (at 173.125274 118.201526 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "Resistor 0603") - (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/a3fd90df-e733-421c-bc07-56094d26acdc") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/2cf3c8b1-986c-492c-aae8-49a39d83ac80") (attr smd) - (fp_text reference "R102" (at -3.8982 -0.0762) (layer "F.SilkS") hide + (fp_text reference "D17" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 765cc86a-16e6-4eaa-9711-a957f2f1ea6a) + (tstamp 30e19c2b-3cfa-401f-ba50-2ce7d3f1ee5a) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 61c3394a-68d5-4f32-8639-43c2f5d39bdb) + (tstamp 3fa6703a-b54c-4dce-95ab-9569a211096f) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp c0b396d9-8048-4059-958f-30c97c042227) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp d95aaf53-4a47-42be-8bb3-e4728ea434a6) ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b30200f3-5cd1-4f1b-940d-0932e62a018d)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ffa7f0f-9064-4e4e-b223-a5a66500f520)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 444e764a-1058-4f11-a428-d52ede43b654)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b81c8b5f-c07f-4689-9e3a-0c8630f09e68)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 02cd77b6-ea88-480c-9a78-3cc238095192)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 41cf6c1d-6a6b-4d72-abd3-9894d1fc96fa)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2117a9b9-5ca9-4948-a7ad-9d9cc8bbbf73)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bcf2564b-2697-4efb-a050-a66f2008df99)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e4ed86f5-84eb-4a9a-b79e-e6a6fb099693)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 686846a5-30f4-45d9-81e0-bf952a1a9807)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1e6e2ff9-ad00-44f8-9625-c96d42031290)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b8e1b2cd-67a5-414d-a00c-620c37ad7e55)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 3f3c8cdc-3783-47ee-95fc-02273e669199)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 198 "Net-(D100-PadA)") (pintype "passive") (tstamp 4861ecc8-fd3c-45b8-86f5-dc5ae9fd6ec6)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4a8ee780-2dc6-409d-adaf-adc4dc321d96)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1db51028-c448-42db-967d-70acc25b06fa)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp abaaa81f-f19c-4ac8-8a14-f3eb379875b6)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67609bbe-172c-4e12-8428-8ca410c9f5e3)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b85a41e-9916-4135-a263-b6595173f0b7)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5784688d-9f14-45ef-afb4-9678504a5887)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp eefc938c-3344-44c1-911f-dd713429076b)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8906a69f-f72e-4803-99ba-23689dc01400)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 625d7d0f-c430-40ff-9870-f978308d76f1)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a7703a22-9e89-4563-8674-b7c8115c34e1)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 460bebcf-16e6-46b7-9e0e-89979e4377f7)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ade71ad3-0571-4b12-a53d-bcd9045b61c8)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a78be4ee-30e6-408a-bc09-86734dd3d201)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 164 "GPIO99") (pinfunction "A") (pintype "passive") (tstamp 51dd5e14-ce7d-40e9-b1d1-59dbb063c74c)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 178 "Net-(D17-PadC)") (pinfunction "C") (pintype "passive") (tstamp 9ced3cc9-d8b4-4f7d-92d3-88e44baaafe1)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -15166,67 +15631,67 @@ (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/279aa9d7-0af9-406a-900a-d93035b9f8b5") (attr through_hole) (fp_text reference "J7" (at 11.801 0 180) (layer "F.SilkS") - (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 68d5b123-b74b-431a-800c-9bfabd3f0046) + (effects (font (size 1.27 1.27) (thickness 0.15875))) + (tstamp bfafe255-d95c-4339-a6e8-4733f18d82a1) ) (fp_text value "Conn_02x08_Male" (at 0.53 4.25 90) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 462ff08c-fadc-4000-8700-a58ab0d69eb9) + (tstamp db240a46-28bd-47ff-8811-2ff3cbf43e98) ) (fp_text user "${REFERENCE}" (at -0.71 6.27 90) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp c5c801fc-4a8d-44ec-b01d-a448c805399a) + (tstamp 42c2af9e-8341-4cb7-a23e-ad180cc5752f) ) (fp_rect (start 10.38 -2.72) (end -10.44 2.76) - (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp 606df4b2-90b4-45ab-95cb-28e0dee4badd)) + (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp ba1e2ffc-d1be-4477-a44e-504ff624df8d)) (fp_line (start -10.595 -2.915) (end 10.575 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4e3f978b-0bb1-4dbe-b19f-1e28232357f2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec125feb-32dc-4217-a12d-85d783c130c4)) (fp_line (start -10.595 2.915) (end -10.595 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 64a4f928-65de-4791-9998-a9b60950912d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 146ff66a-dd73-4656-a18e-c6d652a8b77b)) (fp_line (start 10.575 -2.915) (end 10.575 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42252bfb-444b-4498-b61c-fade6129ed9b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac50f7b6-e9ca-4f56-a002-009d16b27da1)) (fp_line (start 10.575 2.915) (end -10.595 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 596ad9c9-c58f-4a4d-830f-170bf61967d0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cd4f0072-ef43-4b44-b6e6-7b801ac23e9a)) (fp_line (start -10.345 -2.665) (end 10.325 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7820a9d5-a6fd-4a27-ad0a-519fac4aef4b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c77f15c-2c81-4d6b-9b2d-ee4bc00969f8)) (fp_line (start -10.345 2.665) (end -10.345 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 788ed6d2-14f0-43fa-83e4-97288e883dfc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c61e9ef6-9be3-4b75-ac92-7e1fecb3ec02)) (fp_line (start 10.325 -2.665) (end 10.325 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 83462a7b-e205-4350-a941-1728ce318470)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93fb01f5-b13b-4435-8843-870a86002f8f)) (fp_line (start 10.325 2.665) (end -10.345 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69138e9f-c123-4664-9210-a6855efc68a2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 94433864-3743-4b45-95f0-3ac0a0d8e341)) (pad "1" thru_hole rect (at -8.9 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 389 "Net-(IC3-S8)") (pinfunction "1") (pintype "passive") (tstamp 738b08c1-b7cd-4b19-8a86-df490c32508c)) + (net 382 "Net-(IC3-S8)") (pinfunction "1") (pintype "passive") (tstamp c460ab04-0046-4693-a305-7d6bfa1e5229)) (pad "2" thru_hole circle (at -8.9 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 295 "ADCINB3") (pinfunction "2") (pintype "passive") (tstamp 1999b64d-96be-4859-bf81-ffbe9ebe1665)) + (net 288 "ADCINB3") (pinfunction "2") (pintype "passive") (tstamp 36390529-73c1-4126-ac2e-ccc0c8483a01)) (pad "3" thru_hole circle (at -6.36 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 388 "Net-(IC3-S7)") (pinfunction "3") (pintype "passive") (tstamp a3086db7-ee3a-4c78-9eff-312cff7a1bbb)) + (net 381 "Net-(IC3-S7)") (pinfunction "3") (pintype "passive") (tstamp 6770bc26-9896-4b19-98a8-02b97d7c2ba5)) (pad "4" thru_hole circle (at -6.36 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 297 "ADCINB2") (pinfunction "4") (pintype "passive") (tstamp d5946662-3727-41ab-9500-27a80b1c65d5)) + (net 290 "ADCINB2") (pinfunction "4") (pintype "passive") (tstamp 5521e842-90b3-4b72-b7cb-1a12f20a2926)) (pad "5" thru_hole circle (at -3.82 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 387 "Net-(IC3-S6)") (pinfunction "5") (pintype "passive") (tstamp bbb5257a-e782-4b7f-8dd3-98f0072f09b2)) + (net 380 "Net-(IC3-S6)") (pinfunction "5") (pintype "passive") (tstamp 991fdb1a-567c-4fb1-a256-105a6731af63)) (pad "6" thru_hole circle (at -3.82 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 299 "ADCINC3") (pinfunction "6") (pintype "passive") (tstamp 9c0ed4a2-516e-4c46-9e8a-087140f0be62)) + (net 292 "ADCINC3") (pinfunction "6") (pintype "passive") (tstamp aa5ce14d-e3b6-4e39-b683-1b6d5724f245)) (pad "7" thru_hole circle (at -1.28 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 386 "Net-(IC3-S5)") (pinfunction "7") (pintype "passive") (tstamp 3963bef8-d390-45fd-a1d5-7a1fd84867e6)) + (net 379 "Net-(IC3-S5)") (pinfunction "7") (pintype "passive") (tstamp a6627db6-7ca4-406b-8d56-b26dac11d5d2)) (pad "8" thru_hole circle (at -1.28 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 301 "ADCINC2") (pinfunction "8") (pintype "passive") (tstamp 8ac7240e-c236-4522-bcf1-1c3708e849c6)) + (net 294 "ADCINC2") (pinfunction "8") (pintype "passive") (tstamp 7583cec8-b7f1-4c89-b98d-3fc5a5dd3de0)) (pad "9" thru_hole circle (at 1.26 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 385 "Net-(IC3-S4)") (pinfunction "9") (pintype "passive") (tstamp 8c7c302f-6dcd-4825-a83f-392c29a468e2)) + (net 378 "Net-(IC3-S4)") (pinfunction "9") (pintype "passive") (tstamp 6c5e5eb5-c16d-4a57-89ac-456ef0c88418)) (pad "10" thru_hole circle (at 1.26 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 303 "ADCIND1") (pinfunction "10") (pintype "passive") (tstamp af20e179-18d7-4242-9913-0b59326199bb)) + (net 296 "ADCIND1") (pinfunction "10") (pintype "passive") (tstamp 3ab614bb-701b-4777-b689-6c55e69e5fc1)) (pad "11" thru_hole circle (at 3.8 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 384 "Net-(IC3-S3)") (pinfunction "11") (pintype "passive") (tstamp d9010c99-5d1f-4e02-b9dc-6240d0caf135)) + (net 377 "Net-(IC3-S3)") (pinfunction "11") (pintype "passive") (tstamp ae154b72-dd13-4ea7-ae5a-11dc9dc463c7)) (pad "12" thru_hole circle (at 3.8 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 305 "ADCIND0") (pinfunction "12") (pintype "passive") (tstamp 7a89ad21-8a55-4844-b157-dd8b42082790)) + (net 298 "ADCIND0") (pinfunction "12") (pintype "passive") (tstamp 1174fde8-3088-4dde-a2d8-5ed18acb8956)) (pad "13" thru_hole circle (at 6.34 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 383 "Net-(IC3-S2)") (pinfunction "13") (pintype "passive") (tstamp a0319754-0c80-462a-9084-ab7ebb8e621a)) + (net 376 "Net-(IC3-S2)") (pinfunction "13") (pintype "passive") (tstamp 0c055e9d-01d1-4d90-913a-e813d7e83666)) (pad "14" thru_hole circle (at 6.34 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 307 "ADCIND5") (pinfunction "14") (pintype "passive") (tstamp 134e227c-bd2c-4195-9d5b-b9aee09e8caa)) + (net 300 "ADCIND5") (pinfunction "14") (pintype "passive") (tstamp ca57df7e-b062-4bf3-9a02-f89eb58e8b87)) (pad "15" thru_hole circle (at 8.88 1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 382 "Net-(IC3-S1)") (pinfunction "15") (pintype "passive") (tstamp 8740501e-efc2-40f4-8363-65e67ce62f24)) + (net 375 "Net-(IC3-S1)") (pinfunction "15") (pintype "passive") (tstamp 2a8e3800-675f-4210-b121-ac7ec72ba4f5)) (pad "16" thru_hole circle (at 8.88 -1.27 90) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 309 "ADCIND4") (pinfunction "16") (pintype "passive") (tstamp c981fd83-88f7-4312-a1b4-b6dc52e0e5ab)) + (net 302 "ADCIND4") (pinfunction "16") (pintype "passive") (tstamp f2a1319b-0800-471e-8693-e0f5f417b462)) (model "${MODEL_3D}/M20-9980846.stp" (offset (xyz -9 -1.25 0)) (scale (xyz 1 1 1)) @@ -15234,70 +15699,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp 9dc178d1-9fdf-4a33-89b0-75d63f05272c) - (at 76.44043 59.370126 180) - (descr "LED 0402") + (at 76.454274 59.370126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/60e71d37-2708-4772-b7d8-c66a576a109a") (attr smd) - (fp_text reference "D33" (at -3.803082 0) (layer "F.SilkS") hide + (fp_text reference "D33" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 565bf0e1-e767-47dd-a94a-aa9592170596) + (tstamp 1da5af04-6c43-495a-af1a-f75736298010) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 867d309a-2091-450c-a11c-aa6c16065721) + (tstamp 00571bc1-962c-4283-9236-9e3737576a0f) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 50a44084-fa5c-415c-9a69-9ba109ae9c6a) + (tstamp 91b6079d-e24a-4fd5-b633-b5d2e6ddf604) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cbe2ca23-fd88-4fdd-99ae-5f5eab024eb0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0880f06-fa6d-4b48-98ae-305475888b75)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 50b1fdbc-fd0b-4352-aa65-e222e237f652)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8f0640e-6258-4e86-b2ca-f71bde14fe97)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5e7c82d2-360c-4df9-8e6e-91ba17079a9c)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 58b0cd88-1b46-4819-b93b-896e5b40469b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp abda9284-a095-42dc-917d-87f2c4d90f73)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 299bf16f-68b6-4d38-ba56-beb5750a0300)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2ca410a-dcb9-4458-a57c-5021b4b886d7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 68d48fcb-947e-4773-8256-83423830b8a2)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7824100-3ac3-470e-b213-953b431fb701)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9d9c774-8d7d-4720-80be-fcb22efbd180)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 13cada1e-5419-4c68-b1cf-e5591ee5fd0a)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 30596033-4dbc-491c-bf72-14438cf74276)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f22d69b4-690c-49fc-ba64-04251ec514e7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bccae64d-30e0-41b8-bc1f-eeeb4545cbfa)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 322a7dd0-beeb-4ddd-84fa-25234acedfc8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ad13c42c-823d-4205-8452-e33a82d40a1d)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a55a95aa-6f2a-44bf-ad20-c675eeeee90f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7a190738-9ba1-48e5-9b41-4fb7219763f0)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0d36065e-b2bf-4b61-8d69-5fae1e57b55a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8e325eb7-d335-43ed-ac94-e03b352a93ba)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 36dd4a03-372d-493b-8adb-b3ff95a235f3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0df2c93f-0064-4ad6-802f-9e16276ad16a)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 49af7959-c251-44c4-b5ef-3b5897028174)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0f848127-ad7e-43e7-a233-58388c78b25a)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 67 "Net-(D33-PadA)") (pinfunction "A") (pintype "passive") (tstamp 8df635d4-626c-4fe4-bce1-d483440c2150)) + (net 64 "Net-(D33-PadA)") (pinfunction "A") (pintype "passive") (tstamp 494941bd-f1f1-473b-9e22-8badd740731b)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 68 "GPIO39") (pinfunction "C") (pintype "passive") (tstamp 5ff3a283-b2e2-45c8-b7d2-50d73e6045cf)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 65 "GPIO39") (pinfunction "C") (pintype "passive") (tstamp 6d424e84-68b0-4aad-9c7e-3cad5c743316)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp 9e66073f-0680-48a5-ac2b-35fa969eafb6) - (at 85.876274 57.842223) + (at 85.826844 57.842223) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -15312,44 +15777,44 @@ (attr smd) (fp_text reference "R49" (at -3.343126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5a77a19f-3ecf-4a0a-917f-6b7f512d1f4a) + (tstamp b7f64d00-97c2-4a2b-87c3-17874b2c8d79) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 27d7c76d-53a3-4b0d-9b69-252cc62946f5) + (tstamp 94758c3e-95dd-436b-8794-b8493dc99aba) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp b91cafab-eb49-4644-b3f7-52abca53713d) + (tstamp c9013597-407c-4784-a76b-bff3ef4910f9) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebe47ae8-1e90-4780-94e4-ae8956e10a35)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75d74553-ea84-4fbd-8892-33e2433aee38)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca4b6497-6c15-4e58-afde-bb9b6ac8a22b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d704008f-849c-46a6-964c-e92f6980cefc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3dde1f60-1c42-4e01-9580-0d7fea381baf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25d0a95c-b28f-4ee3-bf34-076b16f9c67b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp de4b6441-f1aa-496b-a2cc-c7a3dda6d7df)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 32dd85e2-c9fb-484e-bb72-462dfa3caeb2)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e2e852be-8015-4f62-be84-d57199c456f5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8921a595-7b29-473c-8db4-88aab069e3b6)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ded2a472-23f3-489b-96fd-e34975fa8a6d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp df6b9834-18fd-4c32-84a9-7568f2965926)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dd164a45-c272-422f-924d-a5fdb4b225ac)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0a63c7d0-c8e5-4453-9267-650e3dd2547e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 13eb7081-1d49-4d71-bc9f-41b1b59c280f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 048f8ddd-ba5d-43a3-86e5-0731f70c137b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c784c883-dd59-4732-a488-dd4df0097d02)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 42c2476d-c8a9-41e2-b094-d9634e6fbed7)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6465fea0-7f8c-4522-b48f-a2abe592da4c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc053923-8cb9-4966-bbf6-356b5c532bd3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0fc881c2-1355-48d2-9128-00c05b70a997)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c85e19fc-e545-4af0-9848-5b38f2f960d7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 955ca092-4f53-471e-8c6a-fbde20069769)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c2293a8e-edae-4073-acbd-bff7540d41ca)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 1c84561a-096c-4f96-95d6-33c575fb09cb)) + (net 4 "+3V3") (pintype "passive") (tstamp 79a52f3b-8b45-46a6-8841-f58028cfc92b)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 94 "Net-(D47-PadA)") (pintype "passive") (tstamp 12db6fa6-9514-4a3c-953b-13f6fa5acd8b)) + (net 91 "Net-(D47-PadA)") (pintype "passive") (tstamp 591e11ce-76da-4335-a4a0-c28e3de1b44b)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -15357,68 +15822,6 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp 9eb3fbb2-448c-4936-8c51-8797e263fa4a) - (at 185.7756 79.1252 90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/717c4343-0f07-492b-87c4-5f87a2f9a810") - (attr smd) - (fp_text reference "C22" (at -3.048 0 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp ae671a45-70e3-4cac-b40c-c6c0eddda48d) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp a1b82fb7-fd4d-467e-a8e9-0f98826b71d8) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp ed0ba085-0e23-44ce-b789-36fef6d1909b) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 243cb264-15b4-4256-b7c5-e87c4c5e0f12)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81da7434-c7ab-4caa-b334-d661a3acebab)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a58b0d9-fc59-4531-8b93-7a634c9a97d8)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c237b428-cfcf-48c8-8e4a-6088a49e6829)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fc49ed4e-8244-41da-8431-249955ab7e79)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp edf06e6d-9e61-4458-89f2-109a95850c94)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d37165ee-09d2-485a-9738-18d3d13d8aca)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e384af6-94d3-436a-aa63-d83bc9cd39dc)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b331f6ad-0060-4125-9fc4-72a1957258f4)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f6bf586e-94ba-4cb3-a7c4-b5320d045257)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 14869977-0e7d-4983-9b08-089550fc8bcd)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c8e9b84f-f0fe-4e6d-86be-7a4c31b97d3e)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 3e30fd81-53a7-418e-be20-97fbd37cc29c)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 381 "Net-(IC2-S8)") (pinfunction "2") (pintype "passive") (tstamp 6e7ae3ab-1532-4d85-bdf1-77793c75a0d8)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp a1375e3c-7d75-47b7-ae2d-e5b8dc6f27b4) (at 85.826844 103.078097 180) @@ -15436,44 +15839,44 @@ (attr smd) (fp_text reference "R88" (at 3.319126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 465fd477-291f-4375-960f-ebbba144731c) + (tstamp 9f89d2f8-0b8e-4ade-8de0-fe1582554a71) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bce6f8da-f81b-4360-ac44-fccb572a319a) + (tstamp 60829b55-8103-4171-9bb1-f28939342009) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d4cc5812-201c-40b9-83f0-fc0750a1d31e) + (tstamp dc794494-10d1-4edb-b795-c587d6f70480) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 507a76b7-d0cd-4ed0-b7b2-0333218d740f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7adeb809-1983-40f1-92c0-7544ada0aa93)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 38189e99-4d6b-4eef-abab-af504b3e3c3a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 45cbb676-8c18-45a1-9eb7-1e6c4b4ba4b3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4eb757c0-6ef0-47cd-8b3f-7f9d5545bfcd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c3d8d2d-3a42-4d8e-a3be-90e6af92f813)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd4a297a-14a6-4cb1-b36f-fc5ab21b1eee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86c93177-5dd0-402a-90b0-f09654b2cf5b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 384be4fa-82fa-4fc5-8e0a-23434bb9f410)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8aff095e-2c79-4849-9b88-b46b9d7ac999)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp df9e8b1f-e15a-419f-9ec8-fcb0dd4f2f37)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f2aa0549-6cb5-4ee7-b6da-3bfc4318c2e9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 97734fda-ac53-402a-9532-78037568c519)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 36927107-2511-43bd-973c-e074f79e982e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 520b28b7-12c7-4380-aae5-cb1158201ba3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3ae29514-2e33-467d-abaa-77e7e4f76379)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ae05838d-9fea-446b-bfae-ce4f8727540e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e5a921d-207e-4740-93ac-a2559bb90c46)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e003f79e-8226-4323-97ec-8517cd3c2eff)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8c34f241-f1e9-45eb-9c08-3adf1ea73908)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp df6bc34c-17c3-4268-8add-dc97ba06dcd0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3da20865-ede7-4ef8-95f2-fc40c87d5d7f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e06a5eab-03e0-43f4-92c2-82ed12d7d272)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d1edbdf-d4f4-45be-9151-8b7e934c4178)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 170 "Net-(D86-PadA)") (pintype "passive") (tstamp d606ba06-a3f9-4de3-ab4d-33069dbe815b)) + (net 167 "Net-(D86-PadA)") (pintype "passive") (tstamp 26803c80-4541-4a8c-b98e-12617953d70a)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 1a93cadd-caaa-4289-a62c-6d0e5fe02b4b)) + (net 4 "+3V3") (pintype "passive") (tstamp f71af6d5-0d28-4b90-8ca5-84355dc12013)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -15498,44 +15901,44 @@ (attr smd) (fp_text reference "R74" (at 3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 68211e5f-2a23-4f3d-82a4-70d4a6e12e13) + (tstamp 205069e8-ca2d-4ff8-96af-a741b4ca3982) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bed887b9-51e1-4d9d-b3fd-69070d5fafbb) + (tstamp e0e405c7-0fc2-42f0-9801-046134faffd1) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 3fb68cee-da20-4a54-bad1-1c8864603542) + (tstamp defc32a8-a2ed-409b-af45-f3aac66e5a3c) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 161c0015-aaeb-42a8-b523-c88ddc5085f4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17354cc0-1613-41b3-8c97-c8981ee5db63)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4a6ddb61-e8a2-4763-a58d-8e69612c49ed)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6a413b3c-2b9f-40eb-b3c6-2e322389b2be)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0e162455-4031-4dcd-a272-f5c05ea0c934)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 78509573-9a2f-4af5-b72c-95e6570c21e7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe4aedf4-a34f-46f6-ad71-04de3bf16b8b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8cb5cdb6-903d-45f9-91ef-9cb9d6d7c4b7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ac590cfe-971e-4080-bebd-803b6f7b601d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 26b479b7-8e7f-461d-8f36-7b159509a226)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3f7b76d4-08b5-4f8e-bcc1-913bbc2eacb3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ab9d51e2-e324-4962-b8e3-374f0d81d24c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3f460c09-fad9-457a-b01b-320695d84169)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9b29c0d5-a76e-4904-a7b2-e78e28263584)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b508e755-7512-48c4-98d8-41ec49043c9a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4ad52a0a-a61d-49d4-b85d-4cf5ed6efaa8)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d1efe256-53db-4799-85d9-f9c1bfa4f9bd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 47a02d02-6a9e-4cc6-a158-b44516b67965)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 937d75e0-101f-48d9-ad37-821974f49c4f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 64685fed-6e98-4263-ae00-4267b92b740a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 894fad9e-731b-4d40-b5fe-e629c648925d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 26dcc8a5-fb55-431b-b552-b22daee95c38)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cfb4b403-ef98-45df-9a48-86962fcb56f2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8b7b9167-6b4e-4981-82e8-f2f7040ee06b)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 142 "Net-(D72-PadA)") (pintype "passive") (tstamp 1baf691b-2390-48f4-bd90-077e374f2abe)) + (net 139 "Net-(D72-PadA)") (pintype "passive") (tstamp c4d62d5f-db03-46da-9eba-0aca0462c6b5)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp d853db11-558a-4490-b547-a843b8a517ff)) + (net 4 "+3V3") (pintype "passive") (tstamp fb275826-d939-48c1-8768-4f91e018aa13)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -15543,70 +15946,9 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp a20fa568-1037-47f3-b618-fb4c3e40c125) - (at 195.409474 100.289926) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LSURCK") - (property "mouser#" "604-APHD1608LSURCK") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/2f6c7364-b3b4-4099-99dd-7dc3da457b3e") - (attr smd) - (fp_text reference "D76" (at -3.831849 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1bd3ac0f-2354-418b-ba4a-9310f592603d) - ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 040f29be-5d0b-4255-995d-bcbcd94d7a2b) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp f15cdcd8-a62f-446d-94fd-40d9e7b09cb2) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08b7b08c-d7cb-45fb-9bc1-ef808d556c83)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1597dd8-b95b-43cf-9c29-76c29f44c494)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ba4df4a7-a36b-4c11-a982-652b541f9363)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3178b1ed-3d69-4d4f-8ee2-05822c76d331)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 279df682-2ed4-44a6-bc92-6dd39ebb96eb)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e67413d3-5430-4db4-9594-e131826998c3)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 9e1c21e7-8888-4b88-9e49-6ead104dc2db)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0834ca9e-9aed-4b3e-86b5-c8eb52450b29)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fabbc5a4-697a-48a1-8b24-9944b17aef2f)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7be035fc-7095-4c08-afa6-d4ac2feb5e42)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bed75cad-f04b-4af3-a703-ece97715f7cd)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b2599b14-8c16-4cdd-8e27-7dd065944cbf)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 928f1702-db18-4d00-af0c-f7ef84f6d4e8)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 150 "Net-(D76-PadA)") (pinfunction "A") (pintype "passive") (tstamp 1a48b3fb-7e8e-40f9-934b-1fade1963b0e)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 163 "GPIO95") (pinfunction "C") (pintype "passive") (tstamp e9deb002-16fd-41d1-b2a0-2210d63e0b73)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp a2cc90ef-857a-4879-9374-741e35d55db3) - (at 161.869074 146.092326) + (at 161.881474 146.092326) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -15621,44 +15963,44 @@ (attr smd) (fp_text reference "R126" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4b6a8482-1fd5-464c-9953-a392c23e1445) + (tstamp 28647ed7-6a79-4173-b3aa-a5a4469bd252) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ea6f57a2-1b8a-4465-b05d-53a6a62443e1) + (tstamp 3f5a200c-86fa-40a9-ad55-3ed1dc892efe) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 2be687b2-8105-4cc5-8ae5-eab2558caaab) + (tstamp 54aa9452-ca52-46d2-908d-f5a505c98eaa) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5db245ca-7317-44a6-a88c-bc943af33be5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb490f19-557e-4493-9e45-51b59b73b720)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 853c0e85-95c2-49ce-afd4-4a47a4e07356)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cfcfdcb7-1e02-4861-a868-97e12a808ffc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aef2141a-5368-4bbf-ad8c-533a59144930)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2237160f-f160-441c-ab39-5c40a524ff18)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bef049d4-69be-410d-bd85-c31a4532a6a7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 392e4651-09bb-449c-8c2b-217da7aa4cf9)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp db4a3d40-09c3-4e5c-8952-2cf5e62c13e3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2261ecf6-43b0-4057-8fd0-697249660c7e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 97cdfd28-bb3b-455c-a2b2-6d7446f3d1a1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bf3469de-a20b-4413-97f5-808a4bcad2b9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 981d0fa0-0911-44e9-b9de-cd8e0db35161)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2448d8c8-1747-4496-af84-afccaa498d5c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6af56972-e365-4287-843b-2541f3abe7ad)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 81965c48-3afa-4db3-8f94-800ef716e6d7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 13578a1d-abc3-4abe-935a-0215c988abfd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4857b6b8-89cf-40e6-8cc7-62dfa8d8059f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1ec708d0-9a52-4412-bd74-57edb7ac8004)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ef18df2c-e62b-405d-a555-5a6840e21c99)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e5e7fa5c-924c-48c4-ac60-56df59ae7d53)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a4e5f8a-9623-4154-8d21-8ccab81d2f8d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2d0f70f9-0ecd-4705-8c90-05a7c17eb6c0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 576e3158-8835-41f2-ad97-8a54076c0bfb)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 314 "Net-(D124-PadC)") (pintype "passive") (tstamp 2f42d4d8-39bb-4003-8d4b-b7056c338406)) + (net 307 "Net-(D124-PadC)") (pintype "passive") (tstamp cab73260-1651-4c03-a7cf-42902f102989)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 92dca090-372b-4ae8-8566-38fd28cdc1de)) + (net 2 "GND") (pintype "passive") (tstamp 3efacdf3-db0a-4a3f-b755-0445f166c381)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -15666,125 +16008,125 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp a49355a5-4684-43a2-b2e2-e72f420ba1b7) - (at 76.44043 72.044126 180) - (descr "LED 0402") + (at 76.454274 72.044126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/097aa4bc-7d00-4e08-a8ab-47365b4d8375") (attr smd) - (fp_text reference "D51" (at -3.817117 0) (layer "F.SilkS") hide + (fp_text reference "D51" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1455a38f-64e3-4d8c-a697-2a17620d55e6) + (tstamp 0f007134-706d-427f-b4d2-1ca622dc9451) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp edf42088-4752-4566-97a0-b61c2cb2b081) + (tstamp 583b2a6b-505d-458d-aeb3-d66b3512b124) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 5c8ba66f-049e-4010-9c0a-8610adfe4dfa) + (tstamp d892ca3d-9a79-4481-be6f-fd77833f6f36) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0396d84-aa69-4293-88b3-f737732f68d7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d4ea96f-12a8-412e-8f2e-d2715a244cbc)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5080c47e-84ed-44dc-99c3-39e905d8441f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c7ef8d3-d9dd-4986-9a99-df095a243541)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6f9a46c0-002e-4dac-9de4-db11d647ec24)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 27d2e350-4038-42e3-821d-19cf4fe03698)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e16c0b49-5f22-4bce-a1f1-1f6a6074768c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1cf9e007-25ca-47f2-8862-bba7da16aeb6)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 228d889b-90f2-48a2-a589-dfa73e8f5a26)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6541163d-c882-48b0-af6d-04dfcdff9047)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ed86dc3-a710-4780-abd8-620eda7a5f89)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 89fb9873-cd1c-4d7c-a429-bc773326edc8)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 93b6dce1-6873-4816-94ea-7876aec7a561)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0ae8b435-adbd-41d5-9b4d-3ba2bea95d8f)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b9e26fd3-a15b-4135-b968-c46b2a94b8bc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba15a8f4-a164-4684-a695-3124cc2e2938)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e41a6b92-5def-402b-8054-3c40518085e0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d21dd51-66cc-4bde-a44d-e4ea0936ff87)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f7c577eb-ce1f-4055-ac0b-6cb28d9b4ff6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b0a98d6d-ca88-4087-91ed-d0231ef72210)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 69d274ad-173a-4c01-8a99-d24493901b30)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ad888647-1b1a-453b-893a-40f11316079f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d9f96353-63f0-446c-af68-9740fd3af4c4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e07e00d-9bb1-4587-87a3-13905c42b3cb)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e9eb54f-a264-4bd0-b277-c6404d83fcc2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 577a280a-f1dc-490e-899c-bbdebca47431)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 358 "Net-(D51-PadA)") (pinfunction "A") (pintype "passive") (tstamp 44ed6f10-1f76-48aa-b7c8-c638241f9c2a)) + (net 351 "Net-(D51-PadA)") (pinfunction "A") (pintype "passive") (tstamp cd1c2035-d792-4d77-aee8-bc8d5db84bb2)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "GPIO57") (pinfunction "C") (pintype "passive") (tstamp 2740bf96-c454-41c5-9fd7-008155a9b371)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 98 "GPIO57") (pinfunction "C") (pintype "passive") (tstamp f366c2d7-d3dc-4fb5-a968-fc74a8cdeba2)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp a495de7a-f577-4927-8218-8d9a40d4d9c6) - (at 76.44043 77.144126 180) - (descr "LED 0402") + (at 76.454274 77.144126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/cea06361-da4b-4ea8-b6d2-1c5af4f22d9d") (attr smd) - (fp_text reference "D112" (at -4.315303 0) (layer "F.SilkS") hide + (fp_text reference "D112" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 18b21a71-f674-49a5-9ebe-6e2fcf5d5c8e) + (tstamp 0a31fdd2-0ccd-4ce3-93ed-5b9415424c36) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 73a6cb2e-b965-4e5d-8995-854caefa87e9) + (tstamp a9cdf49a-de25-4fd5-852c-623ae0869cde) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp f7557889-d642-46b6-bd2a-462d376540f8) + (tstamp bf1e40ff-ddcc-4d17-b766-4d4e5c997993) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bac0ec6a-f536-4168-b836-43a93a911bb3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 89fe76a5-7f35-4033-95da-e2f373dde478)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a36a565e-6361-48f4-8ad9-617b46360a43)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 041084b5-3ce2-48b2-8a60-b25ac757aa68)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 49f62d91-25ac-4d6f-87d9-07007baab31d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fe912089-3bea-4ba9-8d4e-1e95c4df1451)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cdc99691-57e1-4504-b505-f085169295a2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36aacbf9-e57b-41c2-afa5-94f6d031b1a0)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c337b29-07d8-466c-8f34-2d942b1e25f9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ed2905b-a968-4f64-82b4-40cc40ae9f23)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b88211f6-73eb-42a7-b4fe-f65e07a2e9ed)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c2874339-361d-4453-8422-77a43bb5b671)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 44244145-1b71-47bc-aa33-ab1e3729ccb9)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 735be5e8-916e-42d3-b466-9923c2e77bd9)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 957a7dd6-a24b-49cb-9b2f-6898ea13f767)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9b182210-85be-46f9-8dfb-e5567b2f5245)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3e017bfb-503f-436a-a601-e42d2ee1e701)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8ca663db-04cb-4ed9-b1c3-4c9759cc44ce)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 48311d81-eed0-4d00-8316-a23fcd454642)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bb0e00b9-f05d-4200-88c3-d81824dcc597)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ee677566-a64d-44bb-a9a7-7f38dc4a50c8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0f164c20-83bb-4f56-8a99-e30c55f4fef5)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp df857200-e6a9-41ed-9d4c-30f8b11ac625)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0ced795-1f3e-4907-ad10-75bc24a06b63)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 106ee502-9e45-4e56-b53d-8bbdaef36217)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 65720ba1-3565-49f0-b17f-863e42570c40)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 340 "Net-(D112-PadA)") (pinfunction "A") (pintype "passive") (tstamp 16b32cd8-0d05-4f32-b1ff-938ee259f216)) + (net 333 "Net-(D112-PadA)") (pinfunction "A") (pintype "passive") (tstamp 53933e40-bf5f-4db7-bcd9-13c6a70c237f)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 224 "GPIO130") (pinfunction "C") (pintype "passive") (tstamp 8c1e466d-c198-45e9-a056-ad8f09693909)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 217 "GPIO130") (pinfunction "C") (pintype "passive") (tstamp 5f5b936f-1fa1-4d9a-8f3e-07ba9d8668af)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -15799,11 +16141,11 @@ (attr exclude_from_bom) (fp_text reference "O1" (at 0 7.62 unlocked) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a45361dd-3b64-47ee-a345-a1e889d115d8) + (tstamp 7f613f63-78ce-40f9-8714-cc6cd5a68b96) ) (fp_text value "SYM_LEA_Logo" (at 0 5.715 unlocked) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5db1ee38-2eb5-4e10-ae32-17b55b9ed72a) + (tstamp b52fec6c-0342-4ac9-a767-111def5626b2) ) (fp_poly (pts @@ -15846,7 +16188,7 @@ (xy -2.739488 -1.763724) ) - (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 8b9deaf4-7024-4eef-b0f5-2a7d96ef9e24)) + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp aef7a561-3093-45e2-883b-2143cef2afff)) (fp_poly (pts (xy 3.130436 -0.847828) @@ -15893,7 +16235,7 @@ (xy 3.092098 -0.856146) ) - (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f4631286-83cc-4108-83d1-5afb6c5699f2)) + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp baaa1834-8377-45a4-ab32-66dec1673236)) (fp_poly (pts (xy 3.644269 2.415752) @@ -15959,7 +16301,7 @@ (xy 3.644269 -1.544463) ) - (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4a052fec-c237-44b8-a854-01c7b9e60708)) + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 03d833ee-5307-4a37-a80e-08d6c03c9a32)) (fp_poly (pts (xy 1.268869 -1.670489) @@ -16152,7 +16494,7 @@ (xy 1.283254 -1.773754) ) - (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 66ab515e-f3cb-4b08-8a8e-0c82d6320db9)) + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f78d605c-fcfe-4248-b09f-460ed6789fa6)) (fp_poly (pts (xy 5.682842 1.848908) @@ -16386,125 +16728,64 @@ (xy 5.669897 1.368155) ) - (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f7e12c7a-1c9e-4eb9-96ae-501c8e76c78b)) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp a5259b97-8c20-4070-b4f0-4ad7e684c187) - (at 177.165274 139.024526) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/617aba00-77c7-4128-a209-5ccaa0831a54") - (attr smd) - (fp_text reference "D99" (at -3.344409 0.02) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 82e4cb86-b91f-453a-93ca-38efee87a604) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 189cccd6-8cd9-439d-880b-e923498ee5d4) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 65b38bdd-1091-4f4f-828a-a6166dd15206) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9c13739-6f5d-4135-ac9a-4d45f05f49e6)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp becccc4c-6a69-4cd1-b230-4190b5e37afd)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2c6684f5-9b67-4865-9f97-c890646a4920)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e9502f26-ce7a-4a97-8620-f7b2cdedfa0c)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d604be17-6f27-4544-9ee6-40baf0d92139)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1cf25cb7-eebe-4d4f-a037-4d4fa1f593f4)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 506f7db6-495b-4e2b-b88e-4e1403560386)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d58c18fd-478f-4648-b090-352a90fe9efa)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d5cd9da-a398-4e0e-8e5b-fd26bcb34782)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0dcd8e55-8c3a-4bf1-a618-00f859bae633)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32c209f6-2b57-4f2f-a29f-3ec99942397c)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6c99711f-f240-40b8-99a0-c70c828a35e0)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 010335da-ad73-49c2-93ad-db7e44eefe81)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 196 "Net-(D99-PadA)") (pinfunction "A") (pintype "passive") (tstamp 36673f2b-51ec-4db1-b70c-17c0a0c6d016)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 177 "GPIO104") (pinfunction "C") (pintype "passive") (tstamp aaa75410-9051-4214-b692-533837d8c2fe)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 5c0dfc59-caa6-4040-906e-497c11f07292)) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp a59af17a-916b-4d90-97d8-9df823eb4a84) - (at 203.073274 120.210726) + (tstamp a503b252-4f3a-4b1f-8fad-1657ed86573b) + (at 191.528274 66.634526 90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603680RFKEAC") - (property "mouser#" "71-CRCW0603680RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/51993c84-e963-4243-958e-292fadf0ada4") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/7c5e91c6-fbde-4cdf-ac62-4922db573fb7") (attr smd) - (fp_text reference "R15" (at 3.148049 0) (layer "F.SilkS") hide + (fp_text reference "R167" (at 3.175 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2f4c86af-6ed6-4a81-afc8-6a293aea75fc) + (tstamp 5480afaa-6101-4c29-9509-42f1629f0c40) ) - (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cf130db1-fe8d-478f-ba27-2559a4210a96) + (tstamp 6ae7c420-abda-4554-bae3-1e9685394162) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 7724d627-54ee-4e61-9261-cdea69edd741) + (tstamp 7e94565b-1cd5-4c90-a71d-2cff61ed3518) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f5a8f616-6700-40b5-8396-33461c734d5c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8940e028-92f0-4861-bb52-ad1cc00e9d3b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b206c826-2b6d-481e-95d4-137afb17ae11)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17efae77-9d2b-4f62-91d9-48f1294afe4a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 325ef96c-ae49-4535-b9ba-8a767d1fd5a9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5bfe4b1-ee62-4724-a6ad-1b74e892476f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ca97a4e-9294-4b9a-a68c-cfd39d5094e1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 134f25ae-ad35-4763-b2ad-0df3b595d07d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1106da0d-317c-496d-91ca-0ce44ea3fa0c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 81b3691a-d91f-46ee-9823-c7cb341b8262)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d7b211ba-c19f-4093-b69c-f76ffac06b3d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0706f227-767a-4987-8f4d-328e228d9362)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a455099e-629d-4d5c-acff-df090c9161b5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 705598cf-c063-4ba0-a9df-9438a32b5f47)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp df26622d-0115-4250-9d1c-7e7b563fc652)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 564a4f78-768f-4c6c-b0ab-3b093f2b7dd9)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2c6b8fce-7620-4746-b222-40a7525be98f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 97fc5305-e094-4b46-b38b-cd247eab29b3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4b38a030-ae33-4f4b-b61f-012f774d7f19)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7f35583-c855-40f3-b4f8-6f4327a7e980)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9a45eca2-b753-4031-a5e2-ba7d22d40338)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d98629ba-fe3b-4a64-bcad-967a6a0bf88e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 36b0861e-6f4c-4a0e-bc58-fbda6ad23b4e)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 27 "Net-(D13-PadA)") (pintype "passive") (tstamp 43c20527-6ebc-48f9-8f39-751ca9c0e57e)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp bfb0ca7b-3614-4626-bf97-f89a4dc5e5ca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d14b26b-75d9-48f9-b403-cd3d69efb71a)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 243 "Net-(IC2-S1)") (pintype "passive") (tstamp 66dd95ab-a071-447e-84a7-3105ce9e2af1)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp dd80bbc4-b1c0-4d2e-b40e-8e12327e61e0)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -16512,62 +16793,62 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp a5d3956b-246d-4b89-9a08-a9f6c675faf8) - (at 211.046874 66.228726 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp a59af17a-916b-4d90-97d8-9df823eb4a84) + (at 205.413674 111.418326) + (descr "C 0402") + (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/49a1db00-9cfe-493b-adf7-4e0ceffe28b7") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/51993c84-e963-4243-958e-292fadf0ada4") (attr smd) - (fp_text reference "C11" (at -3.048 0 90) (layer "F.SilkS") + (fp_text reference "R15" (at 3.148049 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9ad56f20-2c00-4768-9415-baa2e2d9695f) + (tstamp 0053417d-f4a2-4484-80ac-6bdbd9530a5d) ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f7fb6f24-b127-4dd7-8780-e68ae81725cd) + (tstamp 46dad67e-aa5b-4dd5-ad02-6976a3ce8d70) ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 377d5e9b-bab3-4063-ac58-206955ede77b) + (tstamp a5070123-be72-463c-acda-8672cf116799) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0ee5ddc1-e926-4c82-81b7-90d83ee36bdd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb5c9f98-9b15-4ced-82e4-1b88691c449c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp faab7584-e1bd-4df2-b280-929555213048)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a163868-b25c-4c57-a3f5-834f26b03fa9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3586b0d9-8b54-4adb-a930-21fe8709c7ea)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6db76226-21d8-47ae-b428-7fc7b4d7ec84)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bbca273e-87f6-4dd5-8011-f2db8573d863)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f5b2c292-805d-4981-b6fb-5e336d251b65)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9b3005ae-807f-4322-9a82-72ccd9a1ec4a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b4e3cb73-a47e-42ed-84e8-b2d73bb40873)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d1d430a0-70cd-414a-bc38-44ccbe52bcc8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a93d6e11-6c69-43de-a3e2-7ce7a6a5b9b3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7cb18476-d654-4240-ad84-79830440db49)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8f6c5c54-e847-42b3-bba6-788173477495)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b89370b0-addd-4102-b87e-f9c3452ee50b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 185f615a-4f97-4821-b2f4-c0752d61c93f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b446ec4b-7634-4779-a463-184a4b58f938)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32232847-5044-447b-beef-01535c402b88)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp efe6559e-1308-4da1-bef5-088c36546c9f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 422ae266-24ac-45d3-8b22-3b2debbdc71a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 978e507d-b456-4cb3-9adc-ef6e131257de)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7c636cac-617d-4a90-967f-d8f42a022636)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1e330feb-ad07-4cf2-a74f-c462c71d3d31)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp f9fca8bc-fa03-4eec-b907-fa4e815f9c57)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 371 "Net-(IC1-S3)") (pinfunction "2") (pintype "passive") (tstamp b65c9eb2-a505-41a0-acb4-9aaaa64d33d5)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3fec5628-af69-4bc4-a4c5-e3b23ef48e0c)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "Net-(D13-PadA)") (pintype "passive") (tstamp b2e99504-415f-4cd5-bd83-a15947bd0eca)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 812eb78a-fa28-45c6-bd18-6851ea50c8db)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -16576,7 +16857,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp a6340dd6-f57b-4705-8b2c-794d105c4a9d) - (at 85.876274 68.002223) + (at 85.826844 68.002223) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -16591,44 +16872,44 @@ (attr smd) (fp_text reference "R41" (at -3.331126 -0.054826) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3730e183-281a-4985-b7a4-cdc4da5ad192) + (tstamp aed42932-8e20-4e7e-9ea4-f021ef94b786) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 267bcb1b-4487-49d8-ac17-5157568189cf) + (tstamp 19f73243-85f7-475b-9baa-2ca7411b82e8) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 21a2754e-4285-4d27-93ba-97f4e3bf4dc1) + (tstamp b8769329-d50b-4b02-8083-2019cc5339cc) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 388d2866-7fe7-4b91-96c8-5de62fedba89)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b845e95-a32b-4a49-86b0-6eb1f283d1ce)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4614201d-5115-47ff-b349-ae1b35e0614a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f5cbdf1-018b-41c3-a137-0704f3e38e23)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3491b44f-e1f5-4dc7-84d0-b0c9ab723f23)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ba8e555-8728-477a-91e8-d05b118da20f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 27641098-38b3-4ed0-88d4-656520deaecc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fed56088-d6f3-4888-84b6-c8457285bdd6)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6e474c9a-51d9-42dd-ad75-0b74374180de)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aa0035bd-b9e1-4c28-b83b-5a65569d8eb9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f25468cb-acdd-41a1-a510-90533d72ddfc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5beac65e-2fc2-48ee-957d-a1ef40bad309)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dbb1a69f-2d7c-4dc4-abe0-8ee5f77a3894)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 606e84b6-d105-4f35-89dd-7ba16c873522)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 814edbcc-3941-41b5-8015-aec0294bb72f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c445714e-c960-4d78-a124-6f192368c9ea)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 482d1a97-952c-425f-9110-40737c81610f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35af6f48-e8f1-409d-82a8-2c9e807c8010)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 829f17d9-a65e-47d2-a3de-744a37cae5af)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9f4a8e6d-17e2-453b-bc21-a38c4b44aa37)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c6b040ce-d072-496f-8b69-7af863b97318)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 17b270b3-aab8-404e-9d25-8a3361f3d298)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd9b5999-a0cb-4e02-af05-ea47d956a1dd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a5a99273-7007-416e-bc09-5609f6e297cc)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 3728239b-af29-43dd-a164-dce6df5363bc)) + (net 4 "+3V3") (pintype "passive") (tstamp 6b82fffb-0957-48f7-ba89-e535afdd4a7a)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 78 "Net-(D39-PadA)") (pintype "passive") (tstamp 4a8aa478-5481-47df-8df3-1a20b4fb87c5)) + (net 75 "Net-(D39-PadA)") (pintype "passive") (tstamp cb7a6011-186f-485d-969a-9ca0a1cbbd22)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -16636,254 +16917,192 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp a63783db-4984-433e-9df9-6ef84752701a) - (at 107.569274 151.292726 180) - (descr "LED 0402") + (at 107.530474 151.292726 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/559e583d-a3c2-42fe-aed9-2caf8bdf6a28") (attr smd) - (fp_text reference "D134" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D134" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5ad651a7-a846-4491-a2f7-d6b663349847) + (tstamp cc0e36a5-8c31-4ac0-91e6-c6b5613f78c1) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 93b4b6e9-d297-4575-aaaf-3c65093d7aec) + (tstamp 4884b351-0724-404f-a3fa-a4011d195212) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 8b0344ec-10d1-44a5-8f65-e36942f32eab) + (tstamp 4618e955-b710-487d-bc85-83fb3337c79c) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 207a2e34-0945-4821-bc74-c5c79837825b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59d65888-835c-4474-920e-6762bd0c25a1)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8b495957-c594-44ac-a42d-94d0c9afff4f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp abf79464-c209-480c-8ea6-daf3b0920a47)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cf885e78-2c0e-43ac-86de-d595cc7a7e7d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 70dae71a-d68b-4550-b8d1-6adad8930fb9)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b88dc1a9-035f-4926-a3cf-7812c9783107)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9e27271-d6a5-41ca-8990-b08a070b0def)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4eae4047-4415-43b0-9aad-ae1c68c573e4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 79d4baba-1e41-40d1-869a-8207c36eff5b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1dd5d6da-6f90-4b50-b7cf-b6146f34a0f2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b6ca2b4-c293-49bd-b940-d92efb65935f)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp a71a9c08-79a7-47b0-a297-0997d150558b)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2a2c7b0e-43d8-488e-bbd2-7d868b38123d)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd682288-7580-49c9-8b49-a61544982aac)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 154b23cc-3fec-433c-9ac0-f0b05f8c1e7c)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 009d7f92-1469-4bf2-9a98-e051082582cd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 53263625-53b8-47f1-b1c6-306843f6f1a8)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 60a8dfea-492f-4822-abe3-b08638ad13f8)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 49ad8b68-9513-4c61-9462-73059953600b)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4efc5d3-98e1-4916-bebc-2af4e1a0b889)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3151591f-1ed6-4851-8b74-fbdd68b1427c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8cea54ec-cf2d-4aee-a3cc-3a201df94368)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e076f075-c0b2-41a2-9ff9-3a41143767f6)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ebdf8bf5-534f-45cf-906f-9293295c1aef)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc1f9dd9-fb1f-4f6d-9646-3c2ff38d9c91)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 262 "GPIO159") (pinfunction "A") (pintype "passive") (tstamp 61bab4a1-f686-42f1-bcd4-2a5dd476a67b)) + (net 255 "GPIO159") (pinfunction "A") (pintype "passive") (tstamp ca9e9a24-7aa6-4314-a8da-142ab11ca118)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 324 "Net-(D134-PadC)") (pinfunction "C") (pintype "passive") (tstamp 46f1e107-2ff8-4487-a9b8-b7eb382c3600)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 317 "Net-(D134-PadC)") (pinfunction "C") (pintype "passive") (tstamp 1780b153-9b2e-483a-8187-80bcf6957d34)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp a820b26a-4fbd-4bbf-8e91-a56a341a19f5) - (at 195.409474 107.859926) - (descr "LED 0402") + (at 197.739274 99.067526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/65719cee-cff0-4c9d-ab17-159adeb1c19b") (attr smd) - (fp_text reference "D22" (at -3.822 0) (layer "F.SilkS") hide + (fp_text reference "D22" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 20f4a440-09b7-437c-8726-3b514268df54) + (tstamp b1d0d06f-c6fa-4c68-8109-979fc9b75526) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 17de226d-8886-40d8-a442-5e7439a50637) + (tstamp 3ced1577-7447-4177-b099-a5d1b87407eb) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 0c0185d3-3ab5-4114-92b0-d08410aa4481) + (tstamp 9e5f8cae-1f1f-42e5-9056-f9fd5ad72376) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4efc1dd7-1fc8-4426-81b7-34796169d8e2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd74588a-1690-4a17-845e-89652e5c9fe7)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 53d64667-5973-47d4-8df0-988da8545697)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 654a1cdc-502a-4ffd-b525-8650b9dff023)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4a153e11-11ec-4948-b0ab-8c9d0154ff93)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c472ed5e-4dda-49da-85df-7761cfa49b58)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 283334cd-c36b-410b-bb3d-80a65eddd694)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f718d82f-7d21-49c6-b6d1-bc5eceb788d7)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 137df79c-e64c-4896-aa12-903ffe1bd592)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eedf19f4-6eea-4c1e-9f1a-c9e98e614da4)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f5700a5c-cab6-4dba-aa6b-cca785272766)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc894dec-064a-4a69-b841-d1ea82750306)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 724e8fa8-3954-4b52-83ca-7e68853ce35e)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b4515273-027c-4863-8d58-d731e4555806)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 570f5afe-5df2-4124-812c-459cea3bee0f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e5dbd86-42f9-4fe6-8ad2-23e48165f683)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 78f7beac-6f20-4392-b7d9-cdabbdf4ac81)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85f4bffe-2ac0-4705-9012-91d26798a220)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e92ec6ad-3161-42bd-8cd5-b065ed29f696)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ebf9bac8-9103-4686-992c-8c1423ba2de5)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f860233f-db99-4465-b2ea-3f20099b6a83)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 75fdfbac-4077-4946-90a2-b8fdd420acf1)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9f0a49f5-9f8e-4c14-8cf0-88a72a63e0e1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2fc95bc4-4539-4893-b206-4618e7ac0f71)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c7b5251d-5730-4448-986c-85ed33141b53)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 525c48d2-3925-42f9-ba50-057c07d616b9)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 45 "Net-(D22-PadA)") (pinfunction "A") (pintype "passive") (tstamp 41e99d6d-5655-44d6-9d3a-97ff4a288f5c)) + (net 42 "Net-(D22-PadA)") (pinfunction "A") (pintype "passive") (tstamp 719083cb-f890-432c-ac29-876a89b42d61)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 46 "GPIO26") (pinfunction "C") (pintype "passive") (tstamp 9c8d48ac-d22a-480c-9d4d-689dad8cdac9)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp a878ef31-0e13-4a96-a5ce-7ba7143c9e83) - (at 186.5396 43.5752 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/6d2b2bd6-5b21-4fc9-8e01-fe6ea5fe7da7") - (attr smd) - (fp_text reference "C27" (at -3.048 0 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp ab47e884-c5b0-445c-8281-d0ae0183605c) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c0d2fba7-5447-4119-bc51-1d7730f3b0f5) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 8bd51166-1ae9-402b-97e4-e6c3a58268fd) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 587ca414-27ad-4032-9d0b-6176e959fa52)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 34acc968-7d54-4117-9d5c-2ccb5c7d8d60)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40b1f21e-1ab4-4a5b-8df2-d032a1555465)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5823f823-f596-47eb-90aa-46e3bf1c1559)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 52247177-d29c-4514-a54b-274d86102074)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 16d55db9-ccba-4a5b-95cf-e279350d146e)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 23cd3245-7147-4e5d-b564-515328aa5fba)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0f7b4c5c-cdd4-4b37-ae83-fe288a8c7791)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2ab8d8bb-d13a-4a17-868b-bb889e26c0bd)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a7f1d9d0-89da-4b30-ba3e-f7b9630d5bdf)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp db32ad57-0027-45b6-a7c1-260825709e4b)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed92d0d2-bfb1-4616-82e1-4c2359909fbd)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 7dd1df68-c92b-463a-b004-3ddba119640f)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 385 "Net-(IC3-S4)") (pinfunction "2") (pintype "passive") (tstamp eb23fe7d-6113-4a82-8254-354f7776d4dd)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (net 43 "GPIO26") (pinfunction "C") (pintype "passive") (tstamp 995514f3-67c2-4113-a94b-a66ee87c5316)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp a897eeba-f268-45c5-99c9-eebc2a9f2165) - (at 107.581274 138.592726 180) - (descr "LED 0402") + (at 107.530474 138.592726 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6c9afe4c-61cb-4703-964f-c0856f7ff24b") (attr smd) - (fp_text reference "D129" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D129" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f0d5c888-141c-4e49-8122-a53c979e5e1b) + (tstamp 74ce216f-d34d-4b3f-8602-de359687c423) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ffccc7da-ef20-4530-837b-8ba21fdb6140) + (tstamp de3df066-a591-4286-97d2-0b0edb72e471) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp c7b35f35-2dcd-4f28-8068-a1f6bf6d7154) + (tstamp abc503d9-b8c0-4c17-aa4b-ec132bef4387) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02c57966-488a-46ce-a755-e82e7fa625b5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b746fdc-56b1-48b7-afee-74ab99a3a1b0)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eac4a54f-d84c-4f4b-917a-9443059dee62)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5d9fbb00-2a81-4983-88e3-0d1d7ab6f2d4)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fd5657f7-de50-4be6-9aa4-242d752eaeac)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp efbd2e45-5453-42d2-b11c-1eb4c47ad8f1)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 699b2434-1d75-464d-b0d7-75b058b8ea5b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9f4e9a9-7a58-484c-8790-b673b5f050cd)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 912e1d6a-1c7a-4514-ab9e-539ee8bf5e86)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 277ef2e6-6413-46c9-8b09-80ea851a0d8e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a645a7f3-04bc-439a-9108-d32ac97ab64c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 102a0c46-27f7-47a6-b17c-ca9b8394febb)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8b4cab3f-2325-4df3-bbbb-8305f6983ccd)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6a592faa-2a97-4d81-86ff-6dddf66035f2)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e03dc73d-ca66-4a31-8d64-efd35c4765d5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 76c858d3-c572-4d80-b30b-c5a2e8b9d71a)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 92a428d7-443b-4a30-be8f-e7cd53b64240)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e96cb13e-3f12-408b-9ad5-993ea60e359d)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 32a5045d-b020-4e29-86e8-a7742c8ed8aa)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8aefab5b-38f9-4fc8-a7c7-d63ff22f9871)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b49a70e6-a4a5-4ebc-88c4-1b8b7b1d9618)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 49feb786-0592-485b-8004-1603ad6006be)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a79d15de-9d9a-4939-8448-d5c13219d4ee)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a6b35f9e-d07f-48a7-b8ce-f52137045a20)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd6ec9e8-172d-41b6-842f-a3da374fa7ed)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 144016f1-71a6-4aa7-af23-fac05d4b78b7)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 241 "GPIO154") (pinfunction "A") (pintype "passive") (tstamp c3b703ee-c171-4fd9-964a-13ce275bed6a)) + (net 234 "GPIO154") (pinfunction "A") (pintype "passive") (tstamp cec61a2b-04e9-43a1-8d88-c1904acbc9c4)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 319 "Net-(D129-PadC)") (pinfunction "C") (pintype "passive") (tstamp 1ec5d5ef-e847-430b-8fcc-1398af6eeea2)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 312 "Net-(D129-PadC)") (pinfunction "C") (pintype "passive") (tstamp 6989c598-98de-44a0-a95a-6ed10d1c5f6e)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp a8b4ab7d-1f25-4f2c-823e-93b4e1979eac) - (at 161.869074 148.648726) + (at 161.881474 148.648726) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -16898,44 +17117,44 @@ (attr smd) (fp_text reference "R127" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9b5012f5-bf1c-4184-af6a-a0f5bd83a6a8) + (tstamp a76aec3f-0cc4-4ac1-ab47-7dcd7f269e10) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e884423b-6249-4bee-9272-2aa9c405c840) + (tstamp dea3b754-5545-4330-9635-5ff348fc18c4) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp a87c269c-76ac-4d94-8c3c-aee70cb4b88c) + (tstamp 4586561e-bb8f-46e3-a943-432324f5a4db) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e6e4d66-a05a-4fb9-840f-3bf5f54d5078)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9dda46d-c0a3-4627-a3fe-3ef3d03f5953)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 757500fb-07af-4510-ae94-aa89ead877c9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7cfb082f-3a19-403c-9e80-41266ecc49f1)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d2f629e-6a39-4cf2-b3f9-04f84eaed7e8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 813a716c-5c66-45d1-b797-d5138e0b9396)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0cac04c3-d008-4306-8f80-ac84b57e3e27)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4b80924-a8e2-4ab2-acd6-feb606c5c2f1)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2579b12d-b31f-4f6a-961c-0991e778b8f8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 719a8f3a-2a53-4ef1-869e-58a27e4318a9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9fb7c669-cb07-49a7-b2ad-15c51859c042)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 75b94fb0-ec63-402a-b3e7-655b5199d929)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d6cedb22-deda-4f4c-aa01-c529c29da5b3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bc1362a9-8589-4bbf-9271-c59edad3b523)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 83559699-fe3d-4417-a2ee-cc79c7855283)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bc44acc7-d017-4669-b28f-bbeb526f3c2a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4aa7d3d-10cb-4304-918e-24d058a65eca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1ff8d673-cd87-4477-a83f-913110c9cf72)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 56389031-ba41-4017-9ba6-800287f58558)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bd107999-1383-4ed2-b637-f2e12384ccc1)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b33c7981-80ed-4aec-8a85-6e3fba89eb9f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f94a6355-461d-4c1c-b3da-dec76c4997d5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd8b0de9-62c4-459b-af53-d960581abb32)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 086ee7a1-b8fb-45b5-b668-e2e8bf7f0a20)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 315 "Net-(D125-PadC)") (pintype "passive") (tstamp 0566e62c-1ea1-4b19-a1c9-269ba87e1dde)) + (net 308 "Net-(D125-PadC)") (pintype "passive") (tstamp 82b46c3b-672c-41f1-bfb9-6c434338cf16)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 63f19bf5-a5f2-41db-89e4-1703e09ce3a0)) + (net 2 "GND") (pintype "passive") (tstamp f9112d39-8a39-4ad9-8699-2a6f3b0d5ce5)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -16943,184 +17162,122 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp a9354d1e-16d6-457f-ab01-61668769b788) - (at 93.357274 86.837763 180) - (descr "LED 0402") + (at 93.3216 86.837763 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6deb41aa-2801-4c75-8b00-aafc3ab53703") (attr smd) - (fp_text reference "D53" (at -4.048402 0) (layer "F.SilkS") hide + (fp_text reference "D53" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4b2a4545-e92c-40e1-aafd-3ff6ebafbec8) + (tstamp bea4c9bc-e775-4706-9309-0025cff77f95) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7c098fdf-63ed-4208-a66f-4e42240e260b) + (tstamp 9b771775-aa4a-4729-8208-ccfe58e1231e) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 053e505a-796b-4a31-bd89-ef1b2f003a12) + (tstamp 4c4a0382-91d3-4fd0-9fdc-3e6ba0f29428) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab524606-f6b5-4332-a407-cc4de2a139d3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d79b90b-eec3-4fbd-a8f6-dfbd9176d349)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f145a2d3-fb12-4d86-86e6-99a37780aa66)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff2fcaa0-b84b-4a00-98f9-06f7731a1d0e)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d60e5e2b-0e92-49db-ab6f-b9c622b8a8e4)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2fe174ba-ca37-421f-a7c3-96a2a13f801b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4de6e78c-212b-49f5-9d69-55b0ee900c99)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 628f3853-01b1-47ce-857f-8fc09a89d3e3)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 933269de-0772-4cec-a138-0cd74f87056d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 633f609d-2ed9-4553-9e47-44c5e6ce4f65)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 611546ed-5155-4e4f-a933-ed954985dfa2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62ccf465-2456-454b-97c6-b44a479b9950)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 27592975-29aa-4780-9f37-6e1809a79eda)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 74995612-efda-4086-b3d2-a3ee380d163b)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83d698aa-46bd-4b57-940b-6ae58c61ee68)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 31a4a178-cdbc-45ef-869e-d0b0f2fd3964)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3648e7f2-e812-4e3a-8ecf-5ce8b4985a4a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 82c8470e-16bb-4083-882e-2c793e3144c8)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f708f671-f4b3-414f-aea4-bd6f59b6305a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b4212e17-f9fa-4cda-92cb-8a8c933328b7)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 16e5fea4-f77d-4eab-a1a3-463f2810fde4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2d670256-6104-42ee-9d99-ae76e621b0ae)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 100b64ff-6b22-4534-9a2b-cb848313c7f1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 440c7618-ce42-42ac-ae6a-dbb5af92ea56)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 577d6586-2479-4c59-9f9f-53e594e28300)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8607a7ef-799d-41b7-b593-7c192c88ebef)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 104 "Net-(D53-PadA)") (pinfunction "A") (pintype "passive") (tstamp 1021184b-88d1-44b4-96cd-3eaf4ce59d6e)) + (net 101 "Net-(D53-PadA)") (pinfunction "A") (pintype "passive") (tstamp c6db753b-b5d2-4f11-8929-ba6335d29d7d)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "GPIO59") (pinfunction "C") (pintype "passive") (tstamp 62ca892c-a28a-4d12-9e39-a77176592f12)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 102 "GPIO59") (pinfunction "C") (pintype "passive") (tstamp e1d36c59-e816-4780-94d6-dde3d866d435)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp a9ee2b53-5c8d-4589-b096-b49fb7ff9ca8) - (at 195.707274 141.413463) - (descr "LED 0402") + (at 197.739274 144.080463) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ab32fda4-b791-43f4-bcc6-b92e7f543139") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5e63db18-2e54-404c-912d-6f069e4a89f0") (attr smd) - (fp_text reference "D98" (at -3.319009 0.02) (layer "F.SilkS") hide + (fp_text reference "D98" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4beb930f-825c-4b0a-966d-326680b3cd25) + (tstamp 2c271b50-8e13-4ae9-9813-42a63cfb8b76) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 12e52dfe-3320-4d4b-9df0-9608b66fafa5) + (tstamp 70556786-89d2-419c-ac02-92699546f1ce) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 63c82fc6-2054-4ea4-aafe-cdf10dae5d16) + (tstamp 91a9e9eb-f86f-4777-903f-4761c5f55f41) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ed862b3-ddb2-4ac6-be45-d764d1d90d4e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aba13fb1-aca7-4526-8552-9a22989e0fd4)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ea56ad48-6d48-4d6e-8ea7-ca6195725d29)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95575d1d-d961-45d0-aa1c-d4f593a5ea6b)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b570a986-cc56-4ef9-af7e-f98eefcaad4a)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9c0d7d78-1303-44a8-bcad-7d0631288a1f)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8ee2162-af97-4f8d-858c-1f288368ad97)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5076d7c9-e42e-475b-825f-7582791df695)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ffc732e-7e2d-47ee-8ff6-cbac446146bf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac835b22-6a32-4919-83aa-6a128938ab4f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64a55bf0-777b-4eb3-be71-afa189878363)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d6476fb-aa33-416e-afdc-2fa704da4319)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6c841ace-db26-4cf7-b4b2-8f2eb0856a4a)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 3e5ad5a9-4e89-46cc-b9c4-fae79e4e25f1)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c79f272-f2d0-45a9-b079-95788b1b869e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 25cffe82-6eb6-4ebf-b466-0d308e3ad697)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83058c3a-d04c-42f1-95ef-44e556c55557)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e5bebf7d-7db8-4d73-89db-bd25927b721c)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 42b02f85-138b-4ea7-9ba8-28a7cc3c99ed)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c7240c4c-f318-4e0c-86d3-e441f1d69af3)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp acdb01a7-627c-4817-aa3c-ce6a792734ca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7026bb7-0783-4c6d-81bd-76e2f876453f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72cbc4ac-b688-442f-8a7e-ad3b6dbfc982)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed44ef2b-9d15-46f0-923c-1f3c81673f03)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d45bbbdf-1f2c-4679-bfd0-cf247618aed7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e3669640-c45d-4e50-8c64-b8bf116e7265)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 194 "Net-(D98-PadA)") (pinfunction "A") (pintype "passive") (tstamp 4a7b1b8b-06bc-4757-afa8-684163b837b5)) + (net 189 "Net-(D98-PadA)") (pinfunction "A") (pintype "passive") (tstamp b533f15b-70ed-45b8-8efb-52af34ebf736)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 175 "GPIO103") (pinfunction "C") (pintype "passive") (tstamp 6417cd82-608c-4b39-b9d0-05217c0929ad)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp aa03ede2-c2cc-4c54-bc3e-2d87ccd2f55d) - (at 189.8396 66.6912 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/b7d263fe-b53f-4fe7-80dc-2899ffe762a3") - (attr smd) - (fp_text reference "C10" (at -3.003074 -0.571074 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9d5acb3e-3808-4781-8184-1992ef82d125) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp d823cddb-4dfc-43b2-8f4e-947f9eb7eb73) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp cac829a8-272f-426a-868b-e938b3e9565a) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aefa703b-3795-44c9-b115-14ff3c7e0161)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c21ec65-f8d2-4a17-b505-c42ed438e9bb)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2f15bb1-7c16-4791-9bc0-459a939f7d6e)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 248fe627-0eb5-43e9-976a-e3d36df4a3d0)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f0a58f10-4d6c-45b0-9a26-f71574731be5)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2b464a24-0430-4a03-b37d-0cfbbef74cbc)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 11839ffe-ffcf-479f-9b73-e90fc2c47d4b)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1e25059d-ff46-4321-bfec-08f932468fc2)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cc086823-7101-469b-99a0-9cac4068434f)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 38b061a1-4855-453c-9a0b-a713b7cc5b3f)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a3f5230-f505-4533-911f-1acef6c31187)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a7b93f1-0b93-48c8-977d-018b3fe3b0c4)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 767623f6-88db-4725-b0f1-31cbd8e2dee4)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 370 "Net-(IC2-S2)") (pinfunction "2") (pintype "passive") (tstamp ffcb11a6-ed4f-4d68-9619-938ea410d603)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (net 172 "GPIO103") (pinfunction "C") (pintype "passive") (tstamp 9e721603-4b0b-4cb1-bb2f-42482a9ecfe3)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -17144,44 +17301,44 @@ (attr smd) (fp_text reference "R70" (at 3.319126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f72996a3-2f6b-423a-ae06-709ea1ef5d72) + (tstamp 6cbbf83b-3eeb-46dc-8f20-89bb862bfd0b) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 51cf628f-c202-41ca-84f0-0c15fb4d1480) + (tstamp 6b006262-83d5-481f-8be0-f12fb31adeaf) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 6dec6979-7bc5-4a89-96e1-6226382fc5b8) + (tstamp 30cb5a49-dd28-45fc-9137-cd0551493849) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2470f9ec-e840-4fde-b502-671e6ba6bea3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e24e7ad-2e7a-49b5-96ba-90c4dd0ec540)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9243c282-894f-4b96-8e1b-98efe300b15c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dca28ccb-abb1-4343-95a1-b65f718223ea)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 036e0564-3565-4a60-a712-794949ec81df)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa5dc59d-300a-4409-9690-c3a518eb860a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 76a8bd97-8678-4727-b1a1-79d6322c712e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4750719e-ac49-495c-bae9-6d42ac9f3935)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0a6496e7-74c7-4362-b923-9f15c99f162e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5f1647f5-8ebd-4bcf-aa4c-9b09ff42e414)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7146037b-fc90-4d2e-a320-99eb843c1942)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 13a6648e-32d2-409f-88c9-d0acc2a36fcc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 77a1e185-50ab-45c0-b9d0-1d6121c7dfd9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 10573915-9f81-4425-9b2f-368efc39ed4a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d9d74bc2-db6e-4b3a-aaf7-613da7d1eb6a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 49d98f7e-d60c-4d8f-91b8-3d7fe8553977)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83dc030a-e5f8-4d3f-a5f2-a4863f3c5f80)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7348f0c-a31d-4605-ae87-d9fdc58bbfeb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c53310e4-2a0a-4379-b153-ab24be41eba0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61fab089-6bca-46b1-91c9-8abe0f5e1e63)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 75480d37-c5d8-4a06-b50e-e73c4e2fe689)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 490ab867-249d-4a96-a770-7b8fde0060d6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c793043-9877-471f-b55b-69685be95718)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp edc0892a-ba96-43dd-a288-53404ed5188a)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 134 "Net-(D68-PadA)") (pintype "passive") (tstamp 5e3e28a8-c9e6-4b80-beba-8271ab4d5c3d)) + (net 131 "Net-(D68-PadA)") (pintype "passive") (tstamp a20f3f83-746e-4859-b049-83db6580bebf)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp fa590a9c-a530-439c-95af-335351296da7)) + (net 4 "+3V3") (pintype "passive") (tstamp 120b53a0-3aab-439b-8612-870eaf9e7099)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17189,125 +17346,187 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp aa861228-4b03-4c19-9099-53e65df235d3) (at 76.454274 109.041526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/09c11db4-cdc5-40e0-a8be-ccf8c0012ea6") (attr smd) - (fp_text reference "D69" (at -3.490811 0) (layer "F.SilkS") hide + (fp_text reference "D69" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 439a2384-cacf-4db5-a524-4df69e974171) + (tstamp cc3fc44e-a9f0-4758-a167-6f9ab0197320) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp eb5a4c12-c09d-449f-b667-351dc0475cc0) + (tstamp 8d102be9-9f05-49bb-bc21-5704c4e040df) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 30378b8f-ac17-46ab-9636-5eedddffdc15) + (tstamp 5e17a727-1b5f-4366-ac5b-1a082e322467) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20e0a85c-7dfc-449f-84b6-134984095ffb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp af6c48a6-494f-4df6-9c47-aaf3a7083448)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c4c98d6-222e-4f44-9afc-fa8d43140e37)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6548167-7820-415d-abee-9688fef9c732)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d50c1062-adf0-41b5-bfca-4fc7f4671a6a)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 82145651-9a7d-4d9a-b4b1-d81da1739bae)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ceeb5051-88ea-427c-8d9e-14976f5d0513)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8100f65b-e127-47ae-b18c-0ca37a2d394c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 384d0fbc-aae7-48b2-8fdc-e9d4f2bdf174)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02b7acba-d367-4c55-ac1f-cc1ce2cd7b79)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1006d141-211a-4ff9-ad65-d4e3bc3acdbe)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3e99ad1-f7f9-4431-9cd2-43b1ec59c8f7)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0e6b51f3-20ec-4e54-ba02-9d553d095a51)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e3f01fff-d5d1-4b86-aa0e-ac974e1d5e41)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dfb917fc-a649-4c8d-885b-947034161624)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fd019f87-67b4-42cb-b640-9d777ce5bc2d)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 16f1d53c-e599-41f9-b710-3b80fd1854be)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 45e7c581-4cc7-49af-a3b4-5b5995dc8368)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 38e3b9d1-bd62-4eeb-a2ee-7c9069384c65)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp da8a720f-1ab8-4196-9103-7c85c8e021ba)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec6bebd9-392a-4168-95dc-6c12f589075a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab8b083f-62c7-4b08-aa25-03fa59dbd85d)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fee22440-bbfb-4099-abd1-b8d2174d30d5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fdde3327-62d5-4e40-b38d-4bf0ba986244)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d387120-7281-4a62-8205-ffdc48d2685e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f738da23-ea28-4bec-bcbe-99ae3b839502)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "Net-(D69-PadA)") (pinfunction "A") (pintype "passive") (tstamp 5a002b58-593c-46a4-878c-b2322c1806ec)) + (net 133 "Net-(D69-PadA)") (pinfunction "A") (pintype "passive") (tstamp 038f9b1e-8b91-4d71-b994-bd0986625089)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "GPIO78") (pinfunction "C") (pintype "passive") (tstamp 7ec8d517-c153-4898-b8ff-9dae365e7644)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 134 "GPIO78") (pinfunction "C") (pintype "passive") (tstamp 0baf833d-3e54-4e89-a032-f2c8812157c7)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") + (tstamp acf62518-6c78-4658-b4fd-ce9df2f827c0) + (at 192.925274 55.7672 -90) + (descr "C 0603") + (property "Manufacturer" "TDK") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Capacitor 0603") + (property "ki_keywords" "C capacitor") + (property "manf#" "C1608X5R1H104K") + (property "mouser#" "810-C1608X5R1H104K") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/0c5e6f20-86b5-44e4-8211-9ef30482184b") + (attr smd) + (fp_text reference "C8" (at 2.361619 -0.115 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0acda543-67ac-4e25-8a5d-0e65f268a535) + ) + (fp_text value "100n" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 805c3de9-8fcc-4d22-9306-89215d9f5b48) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp d9055242-7cce-4706-991c-ed0c19d7a569) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b660e70f-5ca7-4521-a060-ca592c816cc4)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6120573a-559f-4a75-8348-34e1b7454b04)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a3413530-884b-4f2d-89cf-35bd3af7cf19)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebd693a7-aa8a-4488-b7b6-4977e515ca4f)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9afc0ad9-8277-44b0-8908-53dcd5d999ff)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 49a0e258-f807-4cb3-81cd-93d0ad5f9342)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ab53fb0e-c2af-4379-bf41-7b5bf7f31c47)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6b31eb51-db20-45e7-b01b-c64543b218b3)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0e07043-d938-4a8f-a615-587fdb22a35b)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8d45348e-820f-45d9-baec-133abeae8b27)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5725ae95-040d-4ac5-9155-4a70b00ac144)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e10b387-dbd9-41a8-8c0b-108a8b07b4a4)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 331 "Net-(IC3-EN)") (pinfunction "1") (pintype "passive") (tstamp 18f6504b-cf37-458a-9290-a1887bf2a3cc)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 2b373ca7-1cee-438d-9e3c-53b2e1a2212e)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp ad7e5e00-8052-49f0-9388-35139b5de739) - (at 93.345274 126.197526 180) - (descr "LED 0402") + (at 93.3216 126.197526 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/49c46250-a64c-41e3-ba62-931b276f9608") (attr smd) - (fp_text reference "D7" (at -3.375975 0) (layer "F.SilkS") hide + (fp_text reference "D7" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2d3ffc5d-2962-4333-8c0f-571f8b7b8dfa) + (tstamp faebb98d-7092-4213-b306-6102168b42ef) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp da1b4b0d-340a-488d-ae65-c20f9d45b690) + (tstamp b4af2ede-f71c-40bf-979d-ae7ba1f91eb4) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 502a8273-1939-403d-9d31-168573d746bc) + (tstamp 45747a87-f9ed-4af7-9600-bef5fe1f556e) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5e3f01f7-f007-4e4c-8ec4-38064b2992a3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 605f4ab8-4d70-464a-80e9-85819d57fd2e)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 527363e3-19ae-4686-9a01-1ceaf005b294)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24f0509c-c202-44ec-b066-45badcfd18b1)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp faa22a18-15a5-4d59-9408-51aed29b64a6)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 65a6798f-8abf-484f-98c9-5b9ba1ce9d15)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 767b268b-6a62-47a8-a1af-a13390f60477)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa590305-7b39-43a7-849e-7d4fb4df2c21)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f3e3d785-0dfb-4d43-b2a3-43c22adc11f8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb1c4ab9-d101-44fc-a6e5-9d4e00270b00)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54f190d0-70b0-4cc3-a130-0d81117b6a86)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d16dfcc-0754-4d01-a00f-21487e00512c)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e381a039-7daf-4bcd-9134-8cbce49400aa)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 104aecf7-343b-4eaa-b44e-d365e3aaf87f)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp acbb0911-2f94-438d-9174-44e1d832016e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 290d5490-ae77-4acb-be0c-02bdb56005ec)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c4b5a514-e428-4bfd-b356-6fe2a259a4ef)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8aea4342-d83b-4c23-93c9-d639d17ef0fe)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bb790810-e309-4dcc-a8d1-4098e7582291)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 78b0fa6d-6a43-4cd4-bab2-4168a10f977f)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9d82b540-70ea-41ac-86fa-618630f15268)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5714c2c0-dfdf-4502-9d6d-8ce04f2db898)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 03ef275d-108a-42dd-b880-dd2cddece2c4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4658d042-96fd-4d1c-b1ea-4f0aabf5e4ce)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bae34cda-ff8d-43c7-a92c-aa5b1a13d2a4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4a0ed627-5638-49c3-b1b8-7dcad77c3949)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 15 "Net-(D7-PadA)") (pinfunction "A") (pintype "passive") (tstamp d9639253-ac16-4c7b-a98b-99864bc9e17e)) + (net 15 "Net-(D7-PadA)") (pinfunction "A") (pintype "passive") (tstamp 38b55658-599e-4017-90cd-c90c1977606d)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 16 "GPIO9") (pinfunction "C") (pintype "passive") (tstamp b812a984-b84c-4ccc-a3ba-59c4a0a5aa57)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 16 "GPIO9") (pinfunction "C") (pintype "passive") (tstamp f867af16-e1ef-42ca-a6f1-bc7cdb4ac7e1)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -17328,44 +17547,44 @@ (attr smd) (fp_text reference "R112" (at -3.774191 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c0545a2e-9ef3-4fcd-b2e5-5f07cfa48058) + (tstamp 6ebc414b-cfe5-4306-aba8-cb6352aecb60) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a1cfe25c-dd8d-47f6-9b73-355ac97f5ba6) + (tstamp bd229d8c-d773-489f-8425-53026f6073c0) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 5c3e797f-c3f9-4b05-9d71-490a4a54c445) + (tstamp 62ae21d8-4f1c-44b1-9d2d-0d36c39b5557) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b86ce78-cf6d-4c34-b520-facc6df247b6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 239c09c3-a792-44e6-89a7-8e011fef8186)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ab8bf8d-0074-4e85-9e9b-f92ae1660713)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2c78fcc-04a1-4a38-87c3-946daa25c788)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cdf03779-49f5-4e0e-b855-67458c5deb9c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f527294a-b022-47e9-a104-868a11b54835)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3a889b6-d80b-4b3c-bf9b-37d8d21fda91)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 60348924-633d-4393-bdd9-71a8b883f30c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3b6d5f64-844f-49dc-bc63-5a1c0f07de5d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 682c3816-942c-4cf3-83f7-0f76e06b2a27)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d6e97733-964d-4eb9-823a-f6e148445f9a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e7a81f8-823a-4f1f-8444-292d4617c1c6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp eab0e111-a680-47e2-add0-98b9d2b466e3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 638a9c74-ac88-487a-aeb7-4ac58b07a858)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ac015cba-62cb-4643-9a0a-db4e49d88464)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6cc1b17a-9731-4f30-a69f-ad294501de61)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bc46b4e7-db2a-4027-9628-5028d9b869c5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e0f5ec7-b395-4ad7-952c-1394c0c4d29a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fb26fe03-70a1-4fcf-be52-5f927ebe5fe4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 37b2895d-5e2f-42a7-85bc-c7d7d4fe2b77)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a5208010-77e6-48f8-99fb-a91529234d03)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f88b7f1f-9e42-4c19-9c09-17ff58f5f9bb)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 208b958e-13f0-45a5-8ce7-343bd2978a51)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4dd64a1-a523-49d2-bf1a-32c9e86d62da)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp daf5803d-32d3-4ac3-948a-7d179c71fbbb)) + (net 4 "+3V3") (pintype "passive") (tstamp e3b3265e-5463-45f2-8017-e7bddf5e1707)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 218 "Net-(D110-PadA)") (pintype "passive") (tstamp 2ba7aa45-0157-48c8-8a3e-5654c028b5d2)) + (net 211 "Net-(D110-PadA)") (pintype "passive") (tstamp 8d9b2e4d-0dea-413c-bf62-9544c22b3e42)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17375,7 +17594,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp b124500b-cd9a-4877-ae3a-7d5de1d7577d) - (at 203.059874 138.879863) + (at 205.413674 141.546863) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -17384,50 +17603,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/012441c6-6aae-4ffd-a41f-2756fddebc4c") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c3853c3e-0229-43ad-aa19-6ea6af10a6d1") (attr smd) (fp_text reference "R22" (at 3.41081 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0e075a65-e25f-47cc-a045-32f40b4de65f) + (tstamp d41b47db-9f6d-4a8e-8d0f-16e8cf2ff5c5) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp af903561-aa4e-464b-a3e1-affa9f65481b) + (tstamp 44574ec6-e22a-4b6a-b444-bb0a5d2e17db) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp a531b1d0-f776-47a0-b10c-36adbd36d953) + (tstamp a7e32d68-ac88-42d6-a0e4-738d1daff1b7) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54d2a239-f85d-4bf9-b6e5-8ed640b92115)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab24f709-b4c6-4d6a-aa3c-cb7fce7986a8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 771a5ddb-ffa2-40a0-b60e-e418e52699c4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2bbc21a9-ea32-49da-84fc-44c8c4ed461f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eede8e19-c5c0-42db-ac38-8277a883d886)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad0dd8aa-239c-4506-a48b-ae00387a5487)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ea5565a-ce2f-433a-bd1c-74c9dd6470f9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 88e77a26-f59c-49c0-b6ed-2c3e9a4622ce)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2e3d33c4-6149-4d42-8e07-b981e7f24d51)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 67119a1b-7347-4942-b9d3-da0897bcf4ee)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2fd17a59-546f-4312-86c8-5d93746296ca)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp daa09149-e35b-4da3-b47c-48120691431e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3e7ff53d-7cf5-4930-9380-ebb7dd9053b9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 05acc776-758f-4611-ac9b-313bca8d5cb0)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cf169357-e6be-42a1-8cd0-585b7d8b9e83)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1923b273-64f6-4de1-96f0-ffaf10f285d4)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ffdaccd6-e390-4410-a28c-c0658977dcc0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 36429e62-7d42-4847-99eb-585d072ed39c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ea672e41-d314-492d-8049-a3b70cbdeffc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 55b34587-5150-454e-8747-6ba38ae93b58)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 246e74be-a612-4b21-b95e-26292b2e7438)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c9e2ed92-2778-4e51-bcdf-223abce5a311)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e01c899e-0d55-4eb6-a33e-041dceccad2d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4c6d2d80-429f-4bb6-a5ee-f53793ef1e8c)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 41 "Net-(D20-PadA)") (pintype "passive") (tstamp 81917413-d7eb-4c50-a9a2-545d83960d58)) + (net 38 "Net-(D20-PadA)") (pintype "passive") (tstamp b8e74592-1588-4a28-8242-aa3702b4bba9)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 7aede472-0335-470b-b037-b781d0ee687f)) + (net 4 "+3V3") (pintype "passive") (tstamp 27f10b23-a2f4-40d3-b732-8f49f3e0a113)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17437,7 +17656,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp b13edac9-87c3-40d7-8e9d-bc74cde591cd) - (at 85.862518 99.651087 180) + (at 85.826844 99.651087 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -17452,44 +17671,44 @@ (attr smd) (fp_text reference "R63" (at 3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f99d551b-ed21-4f86-b14a-9673eefe0a36) + (tstamp b815fa32-7b77-46ed-a261-d154b34c4167) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f3f189e5-d9ae-4bd7-872a-6031f9259aa8) + (tstamp 319e33c0-3ea4-42c8-afa1-c4e194cbb5cf) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 697e93c5-0ee6-40b0-be39-2c9d7409f670) + (tstamp 5d638414-b7aa-4688-aa26-111e64de3193) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55c6e730-8df1-4d63-8ba7-a4fbe953ecb5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b977236-c014-4eca-86b2-80825a608163)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0ed761ca-3bb5-4016-af22-5a2585715bf6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8e19ea5-6d01-4b71-a213-2fac15a2feea)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1a62ea01-3da5-4bd0-833c-cb2326fabf61)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d4e02c6-7da7-4275-931f-d73684c1ccc7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf3a41dd-4d83-44eb-83a3-1ab4b054f291)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bbcb0745-0521-4000-96ad-47c0c2f6c271)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5fcf3196-dcfc-4e17-a342-cd13dd5ab538)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3f0dd3e3-3ff0-4924-93ad-33342ce69b9c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 835fe211-d74d-4bec-8161-0aa8abd2f4b6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b8c2e0a3-aacf-4c33-bde0-0e040ea874b7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 79c90e38-2b6d-4dfa-a047-977b0a9cbbed)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 854b4534-68e7-4b24-ba82-812a8d3af0d1)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fef98544-be62-4bb7-b52a-04671edef740)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e9690c74-08a0-43a4-b921-c91e87f1f56f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dd952454-8d1c-4402-85c7-09f19295fce7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d332638c-7262-46f9-a8f6-77933f11d108)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp edb4d275-299e-48cb-97d3-7cba4b7a2f91)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb9fc43f-0903-4579-b1d3-8e3a1c12bca6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 23e0e9ad-cce7-4daa-95f7-0f9f86810129)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 81febc95-771d-44b6-bf51-e758e0d50281)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 48588a70-b949-419f-b15a-5bfec2c9ccd6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 76a92f7f-5a94-4797-be6d-b6f96369001c)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "Net-(D61-PadA)") (pintype "passive") (tstamp 82860f81-8c02-496e-8cc4-9ede4f05d682)) + (net 117 "Net-(D61-PadA)") (pintype "passive") (tstamp 4b5f7d42-50f7-4bdc-917d-5af778522966)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp ddc377a5-446e-4e18-bab8-b67e7573ac5a)) + (net 4 "+3V3") (pintype "passive") (tstamp 92f9679f-3580-42da-8056-656a6cd007d7)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17498,8 +17717,8 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp b1415113-775d-4d4d-a5c4-47e9313e6c77) - (at 184.402874 102.849526 180) + (tstamp b17b6783-0e6a-445c-b1ea-9b6bc617d0b2) + (at 189.385674 120.726526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -17508,50 +17727,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5ce0689e-7584-4e39-ba6f-6a56032688aa") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5f23252a-9d14-432a-9428-54471d76dea0") (attr smd) - (fp_text reference "R99" (at -3.1362 0.0154) (layer "F.SilkS") hide + (fp_text reference "R101" (at 0.6 -1.5) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 10ee9b88-6828-4398-859b-6037c1cefddc) + (tstamp 0d1f48b3-aa02-472c-8e81-feff8643e077) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0 -2.75) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 67c80c2c-d3d4-4a8a-a47d-b086f01e9f96) + (tstamp 14a32a85-6c8f-451d-8eb9-5d6877d2baa6) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp aa045490-4b6a-40c9-87b2-57d69bfcbe4a) + (tstamp bdcfdc95-f24e-45dc-979e-bfc2ee074a29) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f52fb6c3-d7ce-4271-b4d5-2507bf372072)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c370d37-7307-4560-8e0e-c1c5dd6de7bd)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d15d5725-a83f-4722-aba6-2377adbc295f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b58021fb-91e3-4223-8a37-e6ab0d12dd7c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6665b3c5-5644-4fde-8f92-62c257f7e83b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp afb27632-c9d6-42c5-9a64-0dc4e245d341)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6141e791-5004-4919-9220-ab4a8e23dbe7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 240ae448-07f7-4f8c-bafe-74382b0f98ac)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8602e408-0f7a-4cf1-8f2e-67c04dde922a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0e89d5ac-fcbf-4928-b297-e812d56f4f36)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c6894bb1-b1cf-49f3-bf4a-e3740147eaf0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0f5dfcde-e7b6-4878-8592-bacb788ee56a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 35da0e73-39d7-4716-8c86-3a88c1daec70)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 02f24afc-83bb-4ccf-8e73-d80ef8baebb9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4018d3bf-6cf5-4255-974f-b6538a6e5b1d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7fea7085-95ef-4a6e-8749-9c6a07062f61)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd939a55-df89-4128-8c18-591a876318e3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2034974f-5bb5-47ed-ba1b-6545036c67c2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a249243-7b56-4bee-b7ab-04825d4ec2a3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c1b7a23-c7e5-4be2-9cc1-f5e364876f76)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 01973f55-bf91-4b2f-959b-bb1a7da9a592)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 03dc2141-63c2-4c66-8894-7a082913b9ff)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9246b053-3c77-4a60-a318-e8f1c3c62952)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 7064a7a0-d92c-4337-9147-06300f2b6542)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 192 "Net-(D97-PadA)") (pintype "passive") (tstamp 02f29e7d-13a9-417b-9651-9251c9c4bbce)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 975f5507-fb19-4b71-892c-a7795e7e4155)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 397 "Net-(D99-PadC)") (pintype "passive") (tstamp 5c562214-1751-4e06-a71f-5a6344c1ecef)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 8b136add-6bac-4eaf-b407-cbe4596e54c6)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17561,7 +17780,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp b2d30fe2-384c-4f11-acc8-7f8d001801d6) - (at 85.876274 55.302223) + (at 85.826844 55.302223) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -17576,44 +17795,106 @@ (attr smd) (fp_text reference "R36" (at -3.343126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e875bb38-9ef6-40b0-b546-51a496420fc1) + (tstamp 46b44fdb-da57-4404-9b0e-38ad643c30a4) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2789fb63-0e68-4d9e-8210-d1b4f6a013d6) + (tstamp 3fd351c6-047c-4a6c-995e-30c804631c3e) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp a684a2c8-1fe6-4a53-8a03-f7b5964c9749) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ca3cd32-7b5d-4df1-aa2b-aacdca8b394d)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e187386e-0074-45a8-880a-bbc3188a1594)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05af0622-4b76-4622-9cc4-4670ba45a56a)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 32b38a6a-19fc-4161-9e5c-5eeac53f5a45)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a03f9747-ed15-45e1-8de3-358311503d66)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 77a545ae-1d13-4ba0-b536-43b958d77bb5)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0d07f353-5f50-4f11-85f3-c71be12f3ef3)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ec9afd70-759d-4024-8062-439c47311e46)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a798538-a208-4629-a9b2-03abaebf4034)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 89369c43-d6d9-4ee1-9f46-2bbac78f36f4)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 86ffe805-e549-4bd3-8355-82acf463caee)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aabbd00f-77eb-47bc-b3a3-6f90d6b2ac4c)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 97723a67-41d0-494a-8532-2414b00aad5b)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "Net-(D34-PadA)") (pintype "passive") (tstamp 3d746b47-2a15-48bc-ad1f-834c9c229b30)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp b3425d8e-d9fd-49f8-970b-9f9b3fca0163) + (at 202.825274 57.699526) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100KFKEAC") + (property "mouser#" "71-CRCW0603100KFKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/1424c7dc-91e9-4b7d-91c5-79dcc0620f95") + (attr smd) + (fp_text reference "R179" (at -3.55 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4f75d587-8dc8-4d25-ba14-d72307b73025) + ) + (fp_text value "100k" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6d584991-0dbe-4e50-8a8a-7441d23192dd) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 9fb88d06-f28c-416f-819d-39e1775af22f) + (tstamp 73c77e9e-7361-45e8-ac99-ba2f7be5da6d) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 167760f4-3fee-4cb7-878e-edff92d350f0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59325079-7e5a-4b99-bbe2-bf13f187b06b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cdbba459-5648-4837-9a9e-51530eca9cf1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84e549da-f882-4c9d-8b9b-a8b4131517ae)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bee67f28-32b2-4fe6-8a6c-f5ba50a658ba)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e844caf-d773-417c-8679-b6a45feda994)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be975af7-5e60-4eef-aec7-539f94529c75)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f569af96-e881-4353-918b-be735d7cca42)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9901602e-d553-4462-a312-d7666edaa154)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 49a3fc0e-6cf0-4450-b09a-b2b664bc1dbe)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1fbd4348-7224-4547-9fe9-44e0fc5547d0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aee70b53-2dbb-4642-b777-489033c3b5a6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9666b6e9-f247-4781-a338-8a264447b2b7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2621de70-550a-4292-a695-516370bba099)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 48b4225c-beeb-45d3-918d-9095ba73df0b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 42380f40-8aba-4335-ae7d-743b7ba0cffd)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b74c4efb-8f8a-4692-b916-e13b43c62bcd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6e1effde-0af1-437b-8afe-c48b5154163a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4e40e27-66c3-439e-843a-532dda2fd3fe)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba262641-87a9-4d63-9140-55df8242599f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 29f2ca47-f214-4e26-92c7-c218c06284be)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3ef58ac3-5daa-49d8-87c3-c72251bc1a65)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7aec7fd4-a4f1-469a-8d88-91be88308f5a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a2c476a-11ed-4647-8f42-c94a5549244d)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 51c95566-d1fc-4c2f-aa91-5dc5f95c0b59)) + (net 2 "GND") (pintype "passive") (tstamp d8730776-2f16-4c7c-9c50-49184d1cba73)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 69 "Net-(D34-PadA)") (pintype "passive") (tstamp 65e71d65-27e4-46b3-9045-ce120b3105d7)) + (net 254 "Net-(IC4A--)") (pintype "passive") (tstamp 3c732e40-f7ad-4aad-9793-f0695ab16a9f)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17621,61 +17902,62 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp b428ba6b-a455-425f-9ad6-a8398adb31c2) - (at 177.050274 102.829526) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp b49bb814-a4f4-438a-aedf-795067467709) + (at 205.413674 156.862863) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/54ea0f47-309a-4686-9a0d-b005ecc6430d") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/7e774c6d-aa1a-4211-8d46-1599e6a208f2") (attr smd) - (fp_text reference "D97" (at -3.831849 0) (layer "F.SilkS") hide + (fp_text reference "R6" (at 2.890019 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 122017e1-757d-4b64-a707-2c952b81a771) + (tstamp 9c08691c-821a-4ca3-a0c9-d8e36d9d84e7) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dddbd6c6-d8f2-4d61-bffb-898ab5963095) + (tstamp 8a464758-da5c-481a-b8c9-f21d316bf3f8) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 8f32cc29-f308-4fd9-929a-000ad3594463) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp d0fdac03-7100-471b-85f2-727b6fc15c50) ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 939fc802-10aa-4085-b82e-3140ac8fe0ff)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ea1a79e2-38c3-486a-877a-4f09d511434b)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 76eccf07-6903-4f2f-b486-0d95c0518a7d)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c8e3db0c-96f1-4e2b-9f93-0d063bd99c35)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 607f2b63-d5b5-4f86-b3c5-33eb0dcc5b8d)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93d3630b-2e3d-4e0a-9dc8-83d74dc624e7)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2a16d6ea-cabc-42f3-ae1b-25ea9b410191)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 588c1022-7a5a-48d2-9daf-2350ecbb7a71)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4b0ae128-58ac-4720-98c7-bd9264e84c13)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 747d83df-2379-49f3-a4fa-58bfc03b353a)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4acd94af-848d-48d6-a6b9-25082d670acd)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 90028cdc-c956-4c69-a06a-43ef92ead8bb)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5524ba39-507e-47df-b45d-de2c69cf3b98)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 192 "Net-(D97-PadA)") (pinfunction "A") (pintype "passive") (tstamp 8f53dd0a-fa0d-49fe-b61d-eb79ba43d680)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "GPIO48") (pinfunction "C") (pintype "passive") (tstamp fdf72805-bad8-4a87-8728-3083796e87a0)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab2e35d8-d681-4180-941a-4cb88c177498)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75b37e1c-cbc0-462b-803a-1d1e2945ff7e)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 752a5c18-a4fc-4b2f-a871-04c6951f4d2c)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9535b9f-03d5-4b0b-be83-c8fe3674d0bd)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 98d9ad77-3fb0-492a-bb16-fb010ab3ecaf)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 779a89c3-5159-48cf-962c-ecb61132a6fb)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 20d06852-15ef-4260-91e7-98264c14caab)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6c0b89c4-2c57-4a0f-9b5f-a03f9258ec11)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6de0c348-0bca-4c99-b059-aec621b4fc50)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0e020b07-99d4-4eff-87ad-cf5f7c714537)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ae2880f7-eb84-4ae6-8028-1b4976c37b9b)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 00c515f1-ace4-4e57-8ea7-b3abdab6063b)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "Net-(D4-PadA)") (pintype "passive") (tstamp 64d1cce6-6467-4b5b-a7f4-861dd003396a)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 5099b749-2610-4b5b-878c-62c97bdeff68)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -17683,8 +17965,8 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp b49bb814-a4f4-438a-aedf-795067467709) - (at 203.059874 154.195863) + (tstamp b5e38b32-7f98-4a74-8120-675e958bc64a) + (at 205.413674 139.170326) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -17693,50 +17975,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/1460f5b1-7651-4349-b380-317e82a55080") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/0f17a14d-8f6b-4793-b0ae-16d527f9e5c8") (attr smd) - (fp_text reference "R6" (at 2.890019 0) (layer "F.SilkS") hide + (fp_text reference "R25" (at 3.39661 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 56cbe83b-4925-48fc-bcbe-b965b013056e) + (tstamp 431214e9-d93b-49c6-be83-9e7b46e1b081) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0be4fcd5-023a-48ff-abce-5abcbce38a57) + (tstamp 195efb02-244b-4cd7-aa5c-9cdb4f48de73) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp fd8ffbb9-feec-41c6-9b7b-a7b9ab1995c8) + (tstamp cbb4ba13-c148-4163-83f9-feb72afd9db7) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad688388-384b-44a7-87f5-1c7028f371e3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2cf7b4b7-636f-41e1-a2f2-fee330d96aa0)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 61c5b217-b2e0-4bf5-b437-cec585b1745c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64d045c6-0101-4429-8593-f533b8fab0ad)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f89ffbe4-c1f8-4742-9314-3953443c320d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc2ab165-69ab-41d3-adda-8548b9e1ee37)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 85af6fff-9622-41e0-ad53-1f93f4600c81)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95bc5291-98cb-4dd7-8621-4caaa87bbde4)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3ed55560-8543-4517-8296-b5277b7b6939)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 75a09a76-fc53-416c-b9b9-1a28491476e6)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2a271d75-c983-49ac-9cb6-9a6e7065fe17)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5731d47d-4a20-4513-9f66-e42a27f72687)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7164f240-fbe4-4cf5-875d-4094b4c1ab86)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e9ca5b39-60f3-4cfe-b60c-e05f709f57a8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1365384c-a8b8-4b26-b6b1-542b6291619f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 05fa9256-60f1-45d3-9511-9da1bd8df953)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed48dc5d-c28e-4691-ae7b-2ceeb8fa1bed)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 60afb519-56cd-4cf3-8f14-8f8df253a49c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 71d712be-29bc-46ce-9692-b834364dacb7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 47afa904-1f3a-40bc-9516-7d1640e1d194)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0a2404ce-3fdd-44f3-810d-dbfd058d4ea5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b66f2ff3-966a-4be3-8561-fdebfba91b87)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a50665ca-f48b-427f-9f78-bd9ecd7998af)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c61564ae-a96e-47b4-a4af-c47ef33b7422)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 9 "Net-(D4-PadA)") (pintype "passive") (tstamp caee6134-5c09-4cba-aa7e-6636c71a0ba6)) + (net 44 "Net-(D23-PadA)") (pintype "passive") (tstamp f95dd0ca-7b19-4b4c-aa95-7b6509019b6a)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 6bb566d9-0f02-48fa-ac3e-c822778f21d7)) + (net 4 "+3V3") (pintype "passive") (tstamp ecdddfb4-c834-4e3f-8689-c9050bd37105)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17745,8 +18027,8 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp b5e38b32-7f98-4a74-8120-675e958bc64a) - (at 203.059874 136.503326) + (tstamp b6d1ef29-87dd-4565-951a-0375b4484639) + (at 85.826844 120.928097 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -17757,48 +18039,48 @@ (property "ki_keywords" "R resistor") (property "manf#" "CRCW0603100RFKEAC") (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/45023caa-7d6e-44a0-a634-0c8b3cd07f87") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/9c3b06df-d126-4dd6-97fa-25adc509d99d") (attr smd) - (fp_text reference "R25" (at 3.39661 0) (layer "F.SilkS") hide + (fp_text reference "R91" (at 3.012664 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b8e9a04c-9a83-435a-a392-5da73184820e) + (tstamp e8c3c0ec-2fe3-485a-817d-6689dacac09a) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 84b3249d-208b-4f41-a2c1-091eac00baa6) + (tstamp 395904ad-b26e-4ed7-aa79-943deb4c1350) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp cf391e37-916c-4f7c-a2f3-7518dabe069b) + (tstamp 188da9c8-0589-4ae9-a89f-f8271c979720) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d7f58e5-32e5-4fec-904b-f1ec0154c04f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8236db1d-7733-4e04-af55-d8ed8aa45d07)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e17406f-4487-4dfb-90d2-5eab484e443d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08a945b0-4344-4b18-88fb-e8be6f9a90a9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58a7dcd6-914e-4357-846d-d83a4cd26f02)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81fd5f28-f07b-418e-8002-c398b5b3a2f7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 90df377e-9591-4739-a3a8-be7e036b720b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 714161c5-593d-4997-83fb-806be8110e4b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 27f46d84-1bfc-471e-9635-36e7c04e9092)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ff3a3d61-d396-45d2-8da8-8279439cd6a7)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ab72eae2-ba91-470c-aad2-4fe224ea2ad1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 39ce2f91-f117-4e3f-a8e0-02c26e650f05)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f2aa1943-2fb3-41cd-8cd6-02ac014bc4bd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 78edc468-b13f-480f-bf7b-7afc83e35c0b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f44794bc-d04f-4e56-a4d4-41666f5be00c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2522846c-d6e3-48f4-a8c7-25d7b65d8f36)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 88670d82-3ba8-4804-ab1a-f5270dce870e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ce19eca-8e0a-4a72-b362-0d09111d4825)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4694e58d-062a-4d9e-910b-4bcc13dd978a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 34b83108-c8c7-49af-82e7-aa8c0f0dfd92)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ee7fff8d-169b-4161-ac0c-9d0eda099860)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4327ce3a-0b15-4dab-a9b2-a3048054cac8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 00df3ecb-6830-446d-ab2c-417f8b193794)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 47 "Net-(D23-PadA)") (pintype "passive") (tstamp 22909518-266c-4334-8b89-f0fddfd1de47)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 3ebe421b-b7a7-48c1-9a64-845662584d8a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4d118d27-65a1-4d14-8799-e9f7ffdf9ec2)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 173 "Net-(D89-PadA)") (pintype "passive") (tstamp 97e482fb-1ca4-49d0-82f1-152a2bb8ade0)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 8ee0d1fc-c9cd-4aa8-85b9-7c10b4c7a0e3)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17807,8 +18089,8 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp b6d1ef29-87dd-4565-951a-0375b4484639) - (at 85.826844 120.928097 180) + (tstamp b72b6640-a14f-49e1-a914-b20a6ba7f217) + (at 179.237674 123.271526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -17817,50 +18099,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/9c3b06df-d126-4dd6-97fa-25adc509d99d") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/536ffc33-e2d0-448a-900b-61b4681224b9") (attr smd) - (fp_text reference "R91" (at 3.012664 0) (layer "F.SilkS") hide + (fp_text reference "R18" (at 0.6 -1.5) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e6b07aa2-30db-4194-95c1-2b403c3074ec) + (tstamp 38958089-0e97-4c2b-8ef7-bd76f1886c3d) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0 -2.75) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 61eda4f7-0e88-4799-accd-0c2147ceeea1) + (tstamp 2fc3a55b-948b-46b4-a20b-efb155b31f21) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp a4457ca6-05e0-457e-a2b3-708ce93225c4) + (tstamp 2d4a71e9-eedb-4032-8e49-43133ad69267) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e270b3f5-6635-4dcc-a1dd-b5c762a6a293)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c57f523e-5c96-4b1e-8040-7aa7481d823c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b863f928-7ef5-4ee4-8177-0ddbd5e02caa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19cdd268-c1ae-4d29-b7d2-e2e2ee406409)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 66a780bd-9e51-461d-8b45-323226891722)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a547f05-8622-47bf-a5fa-e919eb1fe472)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8121ad05-bcd0-4072-9ea0-6d676fef6184)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0d66154-19b6-4f4e-94d3-edf0e43742b6)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5b257573-583c-4a6e-a010-a758d45351ba)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e68301a0-61f9-409d-b332-6500869f3651)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ad0d096b-a984-48b3-ba28-918d0c244f3e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 47700621-1fee-41c5-8982-7c97fdc8b489)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 782db18a-3018-43d3-ab7d-f3e40459a528)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d7282cb4-bd43-4ec1-a5e9-6893d43fa86e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 07086d04-e1f9-4508-b5b4-666dabafca9a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6c58b286-7751-4d60-886a-75096aace014)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b77daae-20b0-4419-8037-03b587c708d1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5948fd99-06ec-4ab2-900c-f960db7809ef)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 65ec9942-cb52-4b2d-9b62-ffea71c9b57e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 26974929-e8e7-43b6-8ea7-45146b8cb497)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f14a75b6-0917-4562-bb9d-6236f0fcb931)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7ef25420-d4cf-41ce-ba35-61e3e3dce6ba)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e9feec7-edfb-4804-a4f3-03f699cfbd97)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 176 "Net-(D89-PadA)") (pintype "passive") (tstamp a63c2c87-1604-4a44-9cdc-ae841976c2a5)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 6f6e02f2-03b4-4302-80b0-0767b9e07397)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 89468dbf-605a-4b58-9884-6b0ff689467e)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 157 "Net-(D16-PadC)") (pintype "passive") (tstamp 16f13184-fab3-4b1e-a8cd-7502c8c0ba9d)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 74b15d31-57fa-40b5-81bc-c277010332a3)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17868,62 +18150,62 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp b76e9aed-8f9f-4c13-a544-6f73a1023fb0) - (at 192.8896 55.7672 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp b73a06be-13cf-4048-93f9-ef8debae3353) + (at 209.308274 66.253526 90) + (descr "C 0402") + (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") (property "Sheetfile" "ADCINs.kicad_sch") (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "C1608X5R1H104K") - (property "mouser#" "810-C1608X5R1H104K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/331f7cd9-7f01-4909-a162-898e1d4919c0") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/47e39b1a-4ebb-42cf-aa6d-143d7f76d046") (attr smd) - (fp_text reference "C23" (at 3.048 0 90) (layer "F.SilkS") + (fp_text reference "R158" (at 0.6 -1.5 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 67488679-a725-4c27-8134-d32b6f412180) + (tstamp ac71b6d2-bd61-4a61-8b83-de427adbc473) ) - (fp_text value "100n" (at 0 -2.75 90) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 72dfa95c-e9ea-40b3-be8e-5a7a9db18606) + (tstamp 94931ee1-68b1-4055-87c8-89b09cd14de6) ) (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 3bed5e6f-64d5-4660-995b-7b7465917a3c) + (tstamp 556ff706-a9b4-4bd6-af7d-d8b5c0a14bdf) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 521b11be-16ba-4fee-8bfd-7beb202cc7d6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 172c9391-597d-404b-9309-14313a574e5e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9651a6fb-c596-47e5-82b0-fdade832d99c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d46b645d-11fd-4455-a54b-8a5b1d3f2d9a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c47f478-66bb-4655-84a1-6bb41cedc1a4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 11f8ce0f-da2d-472f-a8d1-861d17f4eac9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp df33b4ab-7f18-4dc0-811f-b30ba36b1891)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6aae982b-5d30-4e28-a4fc-6fb1cae06595)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6b4feba1-442f-43a8-ab53-5aba6ffc867b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7713d531-f5cd-476c-935a-23a60576c921)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 64c46e38-29c9-47be-9e93-f1f64c1f124d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9212adb3-bf39-4b63-a2ad-e6b344617119)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f9253421-44b8-4b65-afce-7795359062ce)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2a1bd8f5-9022-487e-8e6e-173b57401560)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 31949fd5-45dc-4433-8502-5a6d5194317e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ad2a81ec-45b0-4122-972c-52cf31f60d60)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9c2e48d5-8f6d-4ed6-9a1f-988d08af14db)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0a31180-445f-4ff0-84c9-4d55fe7e34d5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d246f440-a377-438c-990f-fa892b13ea27)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aba4fde1-a716-496d-b385-c4cddc8c20bc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cecaeb97-c52c-4f48-8804-3cb132b4c1c4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b631479a-cda1-4378-a38b-441c7ddfab4e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ef03dc9-0bd5-4dae-a266-674c0854436c)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 396 "Net-(IC3-VDD)") (pinfunction "1") (pintype "passive") (tstamp 974fb95c-3862-4a7e-9d79-4142bd51fd07)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp dcd6e6f0-57c3-4ffd-81ea-f702ed154840)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a8550b64-9a0f-4e7b-a973-4574b0b10719)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 366 "Net-(IC1-S4)") (pintype "passive") (tstamp 99d680f9-5965-485f-b832-eb9399a64382)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 2c90de59-416e-4466-83b5-4d483a82a6f5)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -17947,44 +18229,44 @@ (attr smd) (fp_text reference "R125" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 16e873d2-ddb0-4f3b-9e24-7939d3b38076) + (tstamp d9df6cbd-b46e-4744-8b9f-d91b778eae6a) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ba7cf713-4cbd-4ae0-ba5c-59e6b6f58ace) + (tstamp d4f67252-5c97-4b64-a088-a4c1a74212c3) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 4b88ccff-992c-4aa0-b0b7-f018f60fed41) + (tstamp 1a30d1b9-840d-45a6-905c-36e349fbe88a) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b10cf0f2-4793-41e0-9fd5-2f736e33e92b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 549bafb6-f739-4c8a-bcc9-c300016e70f8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fbf02a4e-618d-4b0f-b427-01c5e17f8e77)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cba585d3-6d2e-4862-936d-ff0b6c999f8c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4934918d-caaf-4c6d-a144-bfda6b24f925)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fc1c04fb-bf51-4bce-8cca-6742c97a2195)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f9afbfe-f810-4e7f-b9a3-23cd55279d18)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 12d80545-ce04-4856-a7c1-5dd7fd113cc2)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6f1c64fa-239f-47bd-be00-2f98c933bb3f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c0e0c51a-9378-49e0-8804-abfc78a47bd1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cae723fc-b9a3-4fa9-844f-fca01cf0cf0f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 88051379-1024-4c41-9fc2-6d547022ccb0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 33ff73be-e109-4484-8b95-5c9e2af31e09)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1a14825f-0c84-4b70-bf17-9899f0a03211)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d5d803a0-30d6-4ba1-b756-26d1c560103b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 83476d59-0896-4809-a262-136b438d1bea)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fa5b8a5f-796c-4d48-b422-a74758d31656)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 54195abe-64f7-46a9-9765-ea4e9eed8026)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 53cb0748-4ccf-4064-a88d-974b8f0f34cd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d44ace4-06ea-48c8-81d1-ff795fe14fbc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 812f941a-6f0e-4a38-a494-de0a91ead454)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d168a056-5b63-453a-a6bc-f4d19e6b9d27)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2cfe7d49-c080-4fef-aa6b-a748edf6c529)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fdb8422f-2f90-4989-8cf8-1d0b7e7b5ae7)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 366 "Net-(D123-PadC)") (pintype "passive") (tstamp f432243e-bb38-4bdb-8586-5896977e20ff)) + (net 359 "Net-(D123-PadC)") (pintype "passive") (tstamp 19362fa3-271a-4964-8d8e-14cf8f2cbfc0)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp e9e696f0-8990-4958-81b3-26058e23426b)) + (net 2 "GND") (pintype "passive") (tstamp e8a94483-5833-4730-a062-5158ef9135ca)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -17992,70 +18274,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp b9bf54fc-b52c-4f9a-b15c-4d595199f51b) (at 76.454274 85.671526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/1fcc3fb6-94f8-4d13-84d8-ad28f1045c28") (attr smd) - (fp_text reference "D110" (at -4.214945 0) (layer "F.SilkS") hide + (fp_text reference "D110" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d2641c41-71db-4fa0-a1bd-4a4e2df0b86f) + (tstamp 3c76d2a1-abdd-4082-9be4-3d2c7b830742) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9dd542c6-d4a7-4431-9a10-700402da2711) + (tstamp 3e7a5c15-f322-4c59-bb54-bfa6c1a767b8) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 958c1b37-2eea-4f51-9754-18ce2d8c6fd8) + (tstamp 80a86e6b-67ec-4292-8213-09067d93d865) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f8a35ea-7fca-453b-ac82-41368dcafaf3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c4208980-93f2-4f7c-a876-ae182aca33ee)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c46604c4-d799-4725-91b5-793f3e5c7a9a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab02eefb-22bc-42a1-a4c1-1d5b1afe9c41)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2e7d7183-dc99-4f03-985f-0ca139f991fa)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 59a7f3e7-bfbd-4b4d-a390-9c6a09c26618)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bac951c3-2438-4687-a5ec-c773bb76f2f5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65d4ea55-3c02-4ba5-b0fc-0f1a2eb1c6da)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 474bfe3c-6202-48ab-8fa8-528920a4d403)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e00fdbc-44cc-4b9e-aab4-79deb3f360c2)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e4dbf99-6bfa-453e-b406-1ded69331d14)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9358926-16d9-4a58-9e6e-8c20549b49f4)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp a1363ec8-5b33-49c1-95da-63d0a0e99324)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2ebd03b9-979b-4a93-9073-98afebaaf9f4)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 38741673-fb70-42df-988a-2d6a09bc0169)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e3f0bbc5-ec94-463d-8117-cefd81014533)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e283c9e8-5cc3-4ecf-9dbb-6582db0e31b0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4505a48c-a385-4ae5-b94e-d70a7fb7a7aa)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4ffdd6e3-3b13-4f27-83f4-99eeb808c316)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 637144ab-1193-440a-a526-7985570f8ad9)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ff2b4586-4f98-4902-848e-4943345d8608)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 46f5458d-772c-499c-b600-04dea9455413)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6500589d-aa8c-4dd9-8d5c-074ae5d918a1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e923c0f3-9ff5-4b31-8dda-4305cbdbfbed)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63ba8e3e-20d0-444b-968d-c1e9d70a8fe1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 44ddc2f1-676f-4d04-a53a-6e34932f935a)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 218 "Net-(D110-PadA)") (pinfunction "A") (pintype "passive") (tstamp 5ef5b514-6f6f-4d50-a38a-ee926c820447)) + (net 211 "Net-(D110-PadA)") (pinfunction "A") (pintype "passive") (tstamp 7a8ec419-7f9f-475e-a075-6793321e9417)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 219 "GPIO125") (pinfunction "C") (pintype "passive") (tstamp d12eba63-f672-4785-833e-80ab77d82128)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 212 "GPIO125") (pinfunction "C") (pintype "passive") (tstamp 65eb03e2-f4ba-4b86-8f2f-eca795f79439)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp ba2e5440-fe93-4ace-a989-b0d90cc06a55) - (at 85.876274 65.462223) + (at 85.826844 65.462223) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -18070,44 +18352,44 @@ (attr smd) (fp_text reference "R37" (at -3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e12fbd8d-ed1b-4599-ac91-b935fd62f66a) + (tstamp 166b8bf2-7b1d-4a0a-8850-bfbd072747bb) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e44b257f-f28f-4e49-92fe-184c98d6c546) + (tstamp 4f2f513f-8656-48b8-a206-e490db62f5ba) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 1ea9ceaf-e2bc-4ab8-8343-0e73f21d16db) + (tstamp 2d084659-3a8c-4ec8-b848-a8ebf8659827) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9081fc8a-edf7-49f8-9b56-f3f75e0032cc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3dec3bcc-406a-4116-99c7-7a51d36969c3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87c7674b-4324-49ca-9a13-865a126e07cc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eb93b6e6-cf83-48eb-b7a0-770c7224901d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0022172-8d67-4b0b-bb94-6ccc2beea614)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec0ab1e1-dbf9-4435-bfd0-ef8796a40ada)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca1b5685-6d11-4019-8b86-b5e7c5374f61)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e6f4c21-82a0-42c2-a13b-a5bd47725738)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3cb5745b-3087-4e16-9259-5c8010a5f349)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 91b64bf8-94b2-4347-b7c4-7ee8c3f1c5bd)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4eb3b908-522f-4aea-81f5-48674b233d92)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2bffa03b-6406-45d5-839f-863fbc92843e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cdba5b9a-50dc-4244-af4d-07073cb98c06)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8a5049cb-023e-4ff1-8d1f-65a7a97bbe14)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f3151577-e9ba-424c-8799-2ddc43e97d0c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 744f8bdc-c233-4fa5-b2d3-baf6488a059d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 129fda70-4a46-476f-9ac3-7fa7407a2b8c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 93780451-a2a2-49d4-8cf3-cdb30b5a4a8c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 82644683-15ab-4ed8-8af6-9a31b7ba1853)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ea0fa5d0-04b4-4880-8c71-b0b0c47833b2)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5cde80a8-4118-492c-ab1d-07975331e201)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2dd52520-eb45-4513-a4f5-433f2c239702)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b56037c8-9d66-4b75-8a02-b025998ce902)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ef540607-f959-450c-b79d-b71e674babae)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 0489bf74-ee8e-45fd-a465-a8dd64bf4bd0)) + (net 4 "+3V3") (pintype "passive") (tstamp b950f0a0-298f-4c95-84aa-5f5b5956d76e)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 71 "Net-(D35-PadA)") (pintype "passive") (tstamp 2d47a88f-8d54-4b05-99aa-57b04670979d)) + (net 68 "Net-(D35-PadA)") (pintype "passive") (tstamp 1ab04ca6-8953-4a46-9300-3e4fabeec6d7)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -18115,64 +18397,64 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp ba4f30b7-c26e-4287-bad6-559a6f42bfb8) (at 141.642674 141.031126) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d427750c-3fe7-4f21-8591-b4d032eb17b5") (attr smd) - (fp_text reference "D146" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D146" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3b7c456e-4b12-4834-b610-46b64ba992c2) + (tstamp a80a236e-b200-4b63-a331-d08509687d1c) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0b1b6182-df37-42c6-a86a-8627a6c382b0) + (tstamp 396e1c92-7a21-4f4b-9cac-a58d254e3666) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 39c31af0-390e-4482-ac18-83db2003a254) + (tstamp 45f58ead-f2be-46c1-bf1d-c6a1e7bdb950) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a94917d9-d672-46e1-8e90-e90c8002b793)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8082c13-4dca-4a18-929d-0283d2bbedcf)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d75d90d-c342-4eca-949e-21eae4979d68)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 589a60fd-a30f-4bcd-a498-145190e97fa7)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 63355cf5-0c4f-484f-b049-d02ea6cc61c5)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7a383b29-8256-4aad-9c5a-d668d2b533ba)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acc3276d-14ff-4b7e-9de6-02ac06802947)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 99c9a872-4817-4778-b0b1-830eb3d34ca3)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1670e52f-dc57-4050-a6d3-ff224a273d32)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e02db3f-845c-41de-85c5-cdc841b32a15)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3bf3c8e7-f9bf-4a03-a0a7-6b29e44418ed)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 483276fa-ba85-4ecc-a4c4-15e17433a8be)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 56748ae6-f0af-495a-951c-fe38eed760a2)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp bc0d1185-0014-4af5-abb0-fdedb5a9393f)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a5a8b43-66e0-4404-91aa-bebaa43d8614)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63e17503-5521-4501-baae-55bc2d62c346)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 09f06d95-b6d0-4bc7-abb2-124c66ea5f45)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c2e24e35-ae50-4c26-8fe6-bacf541c437c)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ad7b0189-291f-44dc-90db-397a06cd77fe)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e73fedc-6055-4e00-b385-b714d6ca9ad1)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ae69c873-dc7d-4044-824d-29640975b3dc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e560f25e-408c-40b5-bffc-99ffac1bf32c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 127830e7-629f-4daf-8e29-cb769dfed460)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3202f8a4-ab31-45d5-b9f3-47f0f01bd763)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4728f598-37c0-499c-8653-e81d9e9f57a5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 76568239-8df3-4d0c-a01f-a7b23fea6f03)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 268 "GPIO163") (pinfunction "A") (pintype "passive") (tstamp 79ee54ab-b0d5-4d45-a08c-4b0348334e96)) + (net 261 "GPIO163") (pinfunction "A") (pintype "passive") (tstamp 14a74148-2d66-467b-852e-b378ac3c15c3)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 352 "Net-(D146-PadC)") (pinfunction "C") (pintype "passive") (tstamp 12057980-6614-46a1-a3b8-735d3e24022d)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 345 "Net-(D146-PadC)") (pinfunction "C") (pintype "passive") (tstamp 3bace112-8f54-4987-94ff-fb8e7dedc8af)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -18193,44 +18475,44 @@ (attr smd) (fp_text reference "R3" (at 0.0434 1.6108) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 58b0a641-6676-498d-a4c1-32d1c28421a0) + (tstamp b35defe1-bb3a-4a99-b8c7-b938b3e378bd) ) (fp_text value "4k7" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ffc8b70a-56ee-434c-b752-e52039fa5978) + (tstamp ec681769-c7fb-4f39-86e3-5dfa3e260feb) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 587d1ce9-457a-492b-86d1-c97f16b6813a) + (tstamp 7f9504a7-b00e-43cb-8891-ab14e37dccf7) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe67d3d3-6835-40fc-b837-f672a45dd5c9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 676183d4-4704-4431-a28e-23f3a0cbc82d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9936b107-65cd-4c6a-b30e-bd4d70ac7425)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e02b1c3-e9df-4560-9a8f-898fb79e12dd)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9625c979-25eb-4f12-80ab-a63db839365a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f46dd79-a9b0-4ee8-b9c5-cb08ff30f2b1)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp abf1250a-b744-4c53-940c-75403856d00d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 04028dbc-8328-4ab7-8483-11f60742f9ac)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b4f1eea4-5c69-4612-a2bf-f76f298e60e9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4e8ee77d-a22e-44dc-8f92-69b89feb8f9c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp eea5ea69-b8f7-45e1-aa6d-991b3c0bc375)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 30496278-4930-40f9-931f-5e67944d4b38)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 78fd5f23-d435-4f33-959a-11a8d34999e7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ceaf96d2-ecf4-4658-8f63-3153076e0208)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f7ee183f-a5c2-48b9-b812-60be0e838980)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c932fd55-d7fd-49cc-933c-c60edd9a3f41)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 492df703-14ce-48a2-b537-c7de36c81bd4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fe5e1a52-2d9c-4528-9429-da4470b0a37a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1668a355-bb70-46cf-a5c2-a945868fb73f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb38c200-1c5f-4abb-81e3-753485137ccc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3347872f-6579-4b20-931e-66ee7df0cac0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e24ee38b-a488-41f0-8553-f29572354a21)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7e868d5c-b794-4cf5-92b7-b784f9b2ea4f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed5c1159-e341-4216-8d80-6bbd87403eb9)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 255 "Net-(J1-CC2)") (pintype "passive") (tstamp af53c2f2-ea30-45d3-8e3a-40ca64b34a79)) + (net 248 "Net-(J1-CC2)") (pintype "passive") (tstamp 2be2b67b-e675-49ec-8a30-638aad991bdc)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp ad7e1675-4e53-47b2-93a8-61d3889604bd)) + (net 2 "GND") (pintype "passive") (tstamp 20570935-e85d-4c51-95df-6b6203d60e83)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -18238,122 +18520,122 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp ba6e0b68-e784-4eeb-ab2f-0571ad64e66f) - (at 107.613674 146.217726 180) - (descr "LED 0402") + (at 107.530474 146.217726 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3f277f54-fdc9-4ac1-a5bb-12f4f90e11d9") (attr smd) - (fp_text reference "D132" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D132" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bee305a3-192a-4f67-9512-4a2164924959) + (tstamp ce59171b-cb4c-44b4-8a79-c90cc53d6e54) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6dddfd5c-6c68-435a-8d7f-e7b7027f7de3) + (tstamp c3e5d10c-f7e0-4d20-a87f-78de09b5dcf0) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 193bdfbf-eb93-4044-b563-19e96dd45228) + (tstamp b7f74a59-c800-48b3-85a0-51dfd32ca663) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fd4a163-be62-4feb-b5d2-26eb0cf84136)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54d5b9be-7449-4d03-b088-e4db6e3c0308)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d61e69f9-6411-4935-ac0a-0c38b001d193)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e2e1185-993e-4564-ada0-28a3aa66afd3)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a0b18844-258d-44f4-a425-743e8d68fe1f)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 23c8d32b-3a58-4e5d-a8a7-3b7715ba9b39)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4529101a-a865-41f5-84fa-6d9c872c1f86)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b1a3da7-2354-4305-8557-ac10ececb76c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3549f3c2-728e-4e8a-9444-5aa717857495)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0d2b8a1-cfd8-40e6-b933-d08547417de9)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bd3094f0-d7ad-48ba-9146-547580d452a6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8dd662d2-ba19-44ac-ac67-b89c4acbd046)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 75be561b-8937-4e09-a22e-ba55c5501c40)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f0fb3125-3516-4d40-ad02-77909cb8679c)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp abbf46f0-ebea-407f-912e-8060eff98eeb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3791d50f-bc64-4ccc-9a9c-eb90430c8ba1)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d943f281-1978-4164-a2b5-0fda671b52d3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fe774795-f514-476f-bb81-8dfddf4f16aa)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fa380d07-8aaa-48e6-b98f-19c176c5a628)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 60fb468b-28ec-454f-9457-aee3e8b725db)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f53d5c85-4821-4c29-b0eb-9c32521191a7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 379132a3-7684-4056-a6ad-ffe334bd2e58)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3b4ec681-8198-4714-a755-9e7839fe05e0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3dae7c01-a8be-4009-a2c4-f8f0e17da512)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32dcc4fa-feb8-44e7-9b44-76ec4aaadee5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 312b2a87-0803-4b73-9f35-489cc748ab32)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 244 "GPIO157") (pinfunction "A") (pintype "passive") (tstamp 610113a5-7d83-42cf-8c0f-ad4c6aa200c7)) + (net 237 "GPIO157") (pinfunction "A") (pintype "passive") (tstamp a17b2b7f-066e-4592-8fc2-1f14f334143f)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 322 "Net-(D132-PadC)") (pinfunction "C") (pintype "passive") (tstamp 67f4b612-f43b-4230-97da-b76477a3d769)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 315 "Net-(D132-PadC)") (pinfunction "C") (pintype "passive") (tstamp f86fd89b-6100-40c2-ad95-a460fb4aec89)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp bb15d51c-d263-4491-9ee0-d048f0ce6699) - (at 69.203634 124.896971 180) + (tstamp bb12db0c-3199-42dd-ae9b-61a910588bdc) + (at 190.131274 43.520526 90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8f6ca9ae-b3cc-40f7-afae-96ab21a5f691") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/d6c1283a-b743-429f-bf92-cba1c0ef4f45") (attr smd) - (fp_text reference "R75" (at 3.504979 0) (layer "F.SilkS") hide + (fp_text reference "R171" (at 3.302 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3dbc5494-e4aa-419d-b21e-4c6bbc258901) + (tstamp faa39f72-53bb-4a75-b072-f43856d63bb7) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 13316e4e-449c-4806-8cac-5fc115a61f5a) + (tstamp 75cbf53c-3902-44a0-a8d5-c800bc85e958) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp dc5e89f4-ff7a-4872-a6a5-b5057ce703d2) + (tstamp df747aee-069f-4397-a160-805436dafbe3) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c37020d-2205-4b56-9a61-abb7c1cb64aa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9e66f60-f831-42a2-967c-0bb70a59950c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70030ecc-f8f3-4c96-b3bf-56db78a74511)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 66ff0b11-bea6-4294-b395-8b50dd941a49)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7f398fc-28cb-4596-aa0b-74e94e8fe2c6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e2ce3e8-5bf6-43d4-abc7-7dbb68a58388)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a48d9407-3781-42d8-922d-d996f877cd29)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d032d94-5ac1-45e4-a83a-61a669992cee)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 93eb57ef-a4d4-481d-9de2-2a813e1be45e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 212c7e8e-93a0-4707-8024-4594ad80cbd1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7c1595c2-bfac-4ee6-b100-9024c23bec4d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7e82f587-2fb4-40a9-99b0-aba44d0e35e0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9d208b32-57e2-4098-9156-ca4e2e0b9e8e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3993c4a1-b8db-4b00-b219-8f77bd16cc7a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7aa0299c-6906-4611-b7b2-86e37321bf5c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 43c335cc-4669-4db1-bdc9-4d430d1bdc29)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dbc0cc70-5f85-415f-95d9-36841d171ccf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d20018ae-6efe-45da-bbef-2fb6d9367a61)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e20a474e-6b05-4c5e-8aa6-52ea8767c9d3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6418ddeb-5931-4078-8056-af711c5f8529)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e73038b-65f5-4492-a2fe-b3f544483692)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 292df6c0-6755-4a49-bd42-64cfd88d723c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a18337f2-c67c-43ea-a41c-f377b1f43ba8)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 144 "Net-(D73-PadA)") (pintype "passive") (tstamp b337a7bf-d63e-4947-85f9-3a2595bc682f)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp d0892ec0-3c5b-4d13-8b71-fb49b22cd580)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72570687-6c24-4c2d-ba8b-caa283b0e832)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 376 "Net-(IC3-S2)") (pintype "passive") (tstamp fe4023ec-7fc8-4016-ae48-b6dde9a3a96a)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 5c6a6175-f6a3-4280-bb5e-c8a7e7ecd55b)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -18361,77 +18643,9 @@ ) ) - (footprint "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") - (tstamp bcb02434-4a9e-49d1-9c53-bc41c8825b9e) - (at 195.465274 74.132526 180) - (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") - (tags "Through hole pin header THT 1x02 2.54mm single row") - (property "Manufacturer" "Harwin") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "Sim.Enable" "0") - (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") - (property "ki_keywords" "connector") - (property "manf#" "M20-9990245") - (property "mouser#" "855-M20-9990245") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/eba92adb-931a-4363-97f3-4ddde14e46bb") - (attr through_hole) - (fp_text reference "J10" (at 0 -2.33) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 11066ef6-dc79-4989-a2c8-a3202f79b164) - ) - (fp_text value "01x02" (at 0 4.87) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp b5029ac5-95e7-467f-9a67-da7496733be7) - ) - (fp_text user "${REFERENCE}" (at 0 1.27 90) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 678ed43d-7fa1-43d7-953c-c92f9ac88787) - ) - (fp_line (start -1.33 -1.33) (end 0 -1.33) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03881136-7a87-4c7a-a282-ebf8e912d919)) - (fp_line (start -1.33 0) (end -1.33 -1.33) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31ab2965-fe27-49e9-b2b8-8cf28cac6d4d)) - (fp_line (start -1.33 1.27) (end -1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 744276ea-230a-455d-bc60-18e4ba60b85e)) - (fp_line (start -1.33 1.27) (end 1.33 1.27) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c072219-7e32-420c-bec5-6d9bbc13df5e)) - (fp_line (start -1.33 3.87) (end 1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7fbc246d-2cc7-4914-bd1c-444543a3e1e1)) - (fp_line (start 1.33 1.27) (end 1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09db3ec5-9427-48ce-8fba-cd31c150b9a3)) - (fp_line (start -1.8 -1.8) (end -1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f835fd7b-6533-4cc5-80df-1db1db808421)) - (fp_line (start -1.8 4.35) (end 1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d4bbf642-1445-4767-97fa-f2cb4c2d8693)) - (fp_line (start 1.8 -1.8) (end -1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72ba259a-ff30-4eca-aa8f-e7d27997c6b9)) - (fp_line (start 1.8 4.35) (end 1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29c0ef2a-fe21-4442-9c79-72518698caa2)) - (fp_line (start -1.27 -0.635) (end -0.635 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9032a0a3-1641-4d2a-8e83-ac88f2e14d89)) - (fp_line (start -1.27 3.81) (end -1.27 -0.635) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7f5583b7-6c9f-417b-9fa5-35bf3d13208c)) - (fp_line (start -0.635 -1.27) (end 1.27 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 00e9f99a-e915-4447-bca5-286d568844f7)) - (fp_line (start 1.27 -1.27) (end 1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e5c67cdb-a010-4e24-9f1c-aab7bc0e4587)) - (fp_line (start 1.27 3.81) (end -1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4180ed7-0bf6-4099-a6d0-a0871d64a29e)) - (pad "1" thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 395 "Net-(IC2-VDD)") (pinfunction "Pin_1") (pintype "passive") (tstamp 4d8ea8e6-59f0-4967-a791-a410c9b62fc1)) - (pad "2" thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 313 "Net-(IC2-EN)") (pinfunction "Pin_2") (pintype "passive") (tstamp 370c528f-2c52-4cb1-a846-bd5518ae7f6b)) - (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp bcf56272-58f7-47dd-b84d-8a60a01b8f6e) - (at 184.517874 138.978726 180) + (tstamp bb15d51c-d263-4491-9ee0-d048f0ce6699) + (at 69.203634 124.896971 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -18442,48 +18656,48 @@ (property "ki_keywords" "R resistor") (property "manf#" "CRCW0603100RFKEAC") (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/c0d1e95a-8e85-4903-ad82-26ac77f5b234") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8f6ca9ae-b3cc-40f7-afae-96ab21a5f691") (attr smd) - (fp_text reference "R101" (at -3.8354 0.0254) (layer "F.SilkS") hide + (fp_text reference "R75" (at 3.504979 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4f66939a-5f16-426d-a3f9-3da1e92b2a30) + (tstamp 67879d08-4c49-41f8-a837-57b4778566c3) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1872de62-ba50-4f05-b0a7-5b2112b3a840) + (tstamp a3e23e98-ce95-47c9-8885-8c8cdd38ad7e) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp aac13fab-0c92-4772-bf67-320b9f36830b) + (tstamp b676c28b-f087-4935-8e6e-4fda74c72cc5) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb695783-b871-4aaa-8d0f-674fdba20d97)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c94d11a-3e1a-4094-b8e6-fe3bdb709376)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb7160b7-c590-440f-a78b-e57a73681783)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8eac5f7a-0666-406e-ace5-edbb1ffb3af9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ab980d1-d7ae-4aca-9235-4e9829c015dc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed51444b-a582-4d05-8469-253e8d8db3da)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ce17d8e-ed0c-443c-9aa1-9d2d67705dba)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff038e6f-1cb0-41bd-9d92-bd9dcc664ec8)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8aed7596-6680-44ab-a1b1-f127b620241c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 36936ece-f903-4fc8-946e-424a8523e37f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e599437a-ba05-482f-b8e1-45c6ea5df9b2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 42a0192d-1b38-4513-b4fa-d8d65fff73b7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4589f0a7-cd38-4748-ac65-287c274176b2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp df71b4b3-60fa-4aac-a20a-8ccf3b592abf)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 15381c36-b142-448a-bdfd-acc295fdeff0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8d31cf4e-fbc5-4ddb-a227-1e104a9bbf94)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a67e77e8-d90c-4ec1-9eb8-bbe6933806a4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 288270b6-ed1b-48cc-8370-d585f8b9329f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f61520c-3b75-44fc-8ae0-0e4865edccdb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a696edcc-cb8f-4d98-b5cc-a57aea153bcd)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c317fc9-ce20-41f2-b65a-c7a0838d81ed)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 34bc92c2-3671-486a-827f-3a0af61615c4)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c46bdf9a-4139-4c1f-b53a-a18be243b374)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b108ec69-7d25-4dd2-b0dc-17da42871cd1)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 6134674f-5e31-4596-9efd-99d2238e6e4c)) + (net 141 "Net-(D73-PadA)") (pintype "passive") (tstamp b3505994-1c95-4267-9607-10aa62e9896f)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 196 "Net-(D99-PadA)") (pintype "passive") (tstamp 92d2cc97-26ad-4962-977f-e75b362d08cb)) + (net 4 "+3V3") (pintype "passive") (tstamp 9aa31f5a-fcb3-43de-9a4b-8bbd8f37723a)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -18508,44 +18722,44 @@ (attr smd) (fp_text reference "R42" (at -3.024664 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dcdadda7-ff1d-437a-a545-ca83a0f67b69) + (tstamp 9596b422-55cf-4bad-b6ad-4562d31840d9) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ee889412-1c83-41c0-8c9e-190ddac119c8) + (tstamp efacfe4a-2f5a-4668-84b9-ca8f53448c86) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp e004066e-02c7-470b-9159-8f95f8e0109a) + (tstamp 23cb5b57-3cba-4b28-b72f-4f591f4fd65e) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7592e9de-e41e-4e08-be26-133bd4ef4a65)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 71f46dee-bb52-43ae-9656-745c771d3d40)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e0bb378-06d7-412c-b608-9cd4c7b1c243)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 293f9e2b-550a-4f1b-acd9-989a2bcaecd5)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ac224f4-b88e-47e9-be27-84706837c27c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce04729f-0453-472c-956c-5a0edb776571)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2d5e1f5-71b8-4974-a4d5-0bf995249041)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d0d32ca-9798-43f6-ad18-4314b5475c4d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 23f591ce-d0f6-447c-965a-fb93b1859c66)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5009e080-705e-454f-b38c-f68027206449)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 69d0244a-b4fb-4336-b77f-bd1e25f91f7a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6fac91bc-4d37-41b8-a575-8f4dbf8e1fad)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b737444d-8586-4dd5-a31f-329e9e38360d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c7416013-ef3b-410f-8fe5-8b857baa7f6d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 96369e32-66d4-40f8-8f39-4bde65e1f08b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 89a1e7d5-8649-4ff2-b609-37fcbc604944)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 165a7737-c400-4fbb-b3ee-6412fcbcb9a8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d57fa549-83d6-401d-9509-a64cf3b8251b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f46d3c44-c71b-4750-ba75-096ee0964f8f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2addaf60-65a2-4a5c-b43b-36e56f5e1cc3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39af6774-6202-4e6f-af23-91a474c03e31)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 70f153b9-2a88-4779-b524-aa16d72ca4a8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b42efaa7-be6d-4e9d-ae1b-bf339ed93c0b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a06d69b7-9c64-4c82-9b0f-f738609ad85a)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 2f3d6380-23b6-4bb8-8bdb-6e659f309c67)) + (net 4 "+3V3") (pintype "passive") (tstamp 9e03c8bc-62be-49f3-93c4-d5a0e4be629a)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 80 "Net-(D40-PadA)") (pintype "passive") (tstamp 6e36d5be-9cf2-419c-92b9-b1928d2b3c99)) + (net 77 "Net-(D40-PadA)") (pintype "passive") (tstamp b49d562d-3935-4764-8440-b3a4f82532cb)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -18553,123 +18767,62 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp bdeb26cb-e141-4e27-bd34-e146f0b17d5d) - (at 189.3316 79.1132 90) - (descr "C 0603") - (property "Manufacturer" "TDK") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp be375f36-38dd-473c-9535-1210a1caf7cd) + (at 69.203634 33.546575 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/c1425511-34d0-4538-bf15-516d5783f6a2") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e743464e-465c-44d0-b5e8-7ee2431b6e63") (attr smd) - (fp_text reference "C18" (at -3.06 0 90) (layer "F.SilkS") + (fp_text reference "R29" (at 3.338842 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5bf4d7f4-91d4-4169-bc12-bc42d2a42763) + (tstamp 73884bac-5055-4ebf-b989-46372d4e1be6) ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide + (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ca48baaf-aff7-480c-bd88-cf1a317a5651) + (tstamp 01c461e2-64aa-48f8-baf6-003acf962ad9) ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 43cbedc3-d5f4-4888-bdf8-dc55421d6b9d) + (tstamp b435978a-de6c-463c-a983-74b28a7b5e11) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 684d46ce-7af3-4ca2-a238-0183b4f9bfec)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6a293fee-2755-4793-b770-02781c3a211c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp efa03d79-3219-49d7-b848-0cab03e58de9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2dd0c9d-2162-414e-8ed3-e3ce71c4bc72)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81788762-b096-4d8a-82c6-7184f74c837d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ddc1a98-bcba-4550-852a-00c5201938e5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fcb9c561-9362-4ad1-8bd0-dbb5b440c31e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1a65c94b-0215-4132-b16a-3eaf47cc61c3)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2fe6bc66-30de-4e27-8db6-698a5604eebb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6e513a18-ca17-4d71-a785-434630c2ff16)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 51de324d-8768-417c-92e0-0c75cd8c3c7a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c8175291-49c3-4b2d-b503-9d04c28649e9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 037dfeaf-91ad-483a-ba54-47224cd07355)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7ee08d98-3cb3-4206-b9ae-37bb0fe1de8d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7e6e0a5c-3e5b-4541-a6df-995304b14c58)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8b3ca01f-b05f-45cb-911a-94b1a97851d7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ac304b5-2352-4075-adea-ac75cffd8506)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2cfda64e-c5b5-4046-be74-e9ed0ec19629)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 479e75d8-baa0-4ef7-b818-49c1831188ce)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e02a880e-4234-4a5a-b8e2-966b86f403bc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dbd97d56-27b2-4227-8cfe-1cdf8dc251c7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 503b31ec-6b41-4206-ac99-efd53032146f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4cff7999-17bf-426b-ba3a-db952d465e2d)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 1059e2e9-0a32-43f1-8ada-67bebf0d9763)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 378 "Net-(IC2-S6)") (pinfunction "2") (pintype "passive") (tstamp 720f74ad-3a6e-4bc0-ad18-71dd7c15132b)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp be276185-fffc-418a-93dc-0516ed41681f) - (at 195.409474 97.759926) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LSURCK") - (property "mouser#" "604-APHD1608LSURCK") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5158b9cc-80b1-45e8-8a04-1ab3e9d87368") - (attr smd) - (fp_text reference "D92" (at -3.831849 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8e2763ab-c9dc-4139-bf59-635dd98012bb) - ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1cd88a9a-d8de-4033-bd3c-1250f807cc16) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp b0f172ad-b7dd-4429-93bf-c2e8d5591ce3) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db84d32b-1831-4843-ad2b-5ba0ae842283)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25c564a6-519f-4ad1-b7b0-fbe80c6b5f55)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp bdedb003-21dd-4b66-84e9-f8942bfbe558)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c43def9e-560f-43db-9725-cf62c07a8adb)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf4198d4-aa16-4bc3-8adb-911e8b53025b)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5230da76-2077-483a-8dd4-fb3e5dd43b0a)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6f529d29-5c46-48bb-84b8-64414ac82c8e)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f9b1a903-03d0-4596-a4f3-ed8baf6720a0)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6aa42bb2-7216-45f9-8295-36d1449afc97)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c2413dc9-377c-4d39-b523-38ebe08846fb)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3593bc3-dffb-40e3-8fba-5604404add06)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72eaa366-59cb-4ee3-a92e-9fe573bdaf96)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba022787-dc32-460c-8618-7e3450a021e4)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 182 "Net-(D92-PadA)") (pinfunction "A") (pintype "passive") (tstamp 22240d19-f844-4ab7-8848-ea1a6b6b2141)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 167 "GPIO99") (pinfunction "C") (pintype "passive") (tstamp 58d5f38b-1e11-4e12-aea6-0ad832672e67)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6b4ef5c5-82ae-4748-9d99-5584462da013)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "Net-(D27-PadA)") (pintype "passive") (tstamp bf9ea853-38a1-4af6-8da4-1d9f830c6226)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp d93d3b42-2fe7-487e-bdef-a78c5a0c79b6)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -18677,8 +18830,8 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp be375f36-38dd-473c-9535-1210a1caf7cd) - (at 69.112274 33.546575 180) + (tstamp befa3964-de92-45d0-b8a5-26ce3b0fc0f6) + (at 87.540674 138.608726 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -18689,48 +18842,48 @@ (property "ki_keywords" "R resistor") (property "manf#" "CRCW0603100RFKEAC") (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e743464e-465c-44d0-b5e8-7ee2431b6e63") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/17e3b890-bc82-4ae7-9820-1e36e0d3d2e0") (attr smd) - (fp_text reference "R29" (at 3.338842 0) (layer "F.SilkS") hide + (fp_text reference "R139" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 06ba46c0-5671-4dda-86e2-2db9031657a5) + (tstamp 4fc2f452-f054-48c4-9464-cc7ae9473ba7) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e19ba021-68bc-4f90-9c3e-04a2f5525182) + (tstamp f5fb038a-2dfe-42d4-9c1b-e87778bdb9dc) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 9f4c7bbe-6aa2-4751-91a7-a12e8d1e1cc1) + (tstamp 1f500243-fb6e-47a3-8834-60060698e9e5) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91355a94-ae29-4842-a11c-4aad1349a46e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a1ffff5-82f0-43ae-b74c-5128d5621a7b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1f385a63-4831-4bed-9aba-fb963ee9e3d1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 694a3676-b735-416c-bab1-66f6a5809b82)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7561178c-79ad-4585-b309-30a166f84c34)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e64b9d1-1d9d-4bf4-9e05-03e7a9f0d96c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87e7455b-26cd-41eb-8740-38b9ec406f40)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65e9723f-0999-4753-9637-45dac24f9f7b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1ace0d7c-ff23-4416-b0fd-79d01dbfa8b9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0c889033-e953-4837-bf6d-88a2efa046ed)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 434553fa-4503-4cee-a93c-7e9086713eeb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 05c067a0-187d-4872-9e44-a2ff20d17591)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 73339c31-db9f-42f3-97ab-64f0c8932f16)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fcf92610-4aea-4e4a-8fc9-e02b780dc11c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 422ccc84-0931-4d7b-83fe-0eff11253b64)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1bc38003-f0d1-447d-bcfc-ff1b757af26e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e1d8a09a-d04d-4e32-bfbc-80cc7f8857b5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ac49cc7a-6624-4c96-9954-021e9f6cf7c1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2b4c11db-ca7d-4bac-a5fd-679085b52819)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp edf012d2-3937-4995-a4bc-d2a85d88bddd)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 41205d0d-9d96-4512-9b79-e042b0eb4481)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3588906-35b5-4606-9a2d-91894e95e02a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab54bcc7-5e31-489a-9712-0516cef910c0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0a13950c-630f-4253-bc77-84ae9dcf87eb)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 55 "Net-(D27-PadA)") (pintype "passive") (tstamp cac57e1d-8e79-42c6-a83a-eb8bf4e4b90d)) + (net 320 "Net-(D137-PadC)") (pintype "passive") (tstamp 8b272a69-d7ad-43d2-b12f-9791d0669bac)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 5371a78f-3ee0-48ab-a0a7-94cce1a4561e)) + (net 2 "GND") (pintype "passive") (tstamp f69be55b-3ed0-4dca-9542-26b5201c7b70)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -18738,9 +18891,70 @@ ) ) + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp bf4dfd99-bd52-4546-a221-5007ba7d8d2f) + (at 154.355074 135.981526 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") + (property "manf#" "APHD1608LVBC/D") + (property "mouser#" "604-APHD1608LVBCD") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/93517487-550d-4ad6-949c-0e7f040af7bf") + (attr smd) + (fp_text reference "D120" (at 0.3 -1.39 180) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0dab8808-f2f8-4e5a-bdc6-ac65624f0be2) + ) + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c83df18f-dcdb-4f10-b90a-4c2be299ded4) + ) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp f2891ac9-6bff-4cc5-bad5-a1aa252568b4) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d45d9c3e-a926-4edb-bbba-a5fde6fa9a7b)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b905249-df75-4b29-babc-9e25e34e3b8a)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 14869a48-7f61-4f35-9987-67a7fdf92e08)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 41406f65-b2b9-450c-b660-997691608ae2)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a506b881-3d43-4e93-bbd6-2027470cc450)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d90aaec-c355-4e6c-85b7-b38d44a6638d)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 380310bc-3891-4e2b-93eb-ee493c92710b)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 290597b3-c518-4c45-8cf0-077bf6bb23f3)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d69e7203-df39-4fe4-8fe1-78cb6f375d87)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 688ec792-bdac-49ab-83c1-154ae1665733)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 771a7315-1bb9-40fe-bc2c-11413e3cfa6c)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb435ae6-f0bd-4ddc-ba11-11451084abf4)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 09ccaf35-3027-4dda-895e-26eacd7b083a)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 222 "GPIO137") (pinfunction "A") (pintype "passive") (tstamp 03d7c551-cd15-44b8-9aba-0251a93afc8e)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 362 "Net-(D120-PadC)") (pinfunction "C") (pintype "passive") (tstamp 21a6db23-7f7f-4bc0-a63c-1654b96547f4)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp befa3964-de92-45d0-b8a5-26ce3b0fc0f6) - (at 87.577474 138.608726 180) + (tstamp bf5cc2ab-8a27-4901-9de9-6f5dd6ab5048) + (at 133.895674 146.111126 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -18751,171 +18965,48 @@ (property "ki_keywords" "R resistor") (property "manf#" "CRCW0603100RFKEAC") (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/17e3b890-bc82-4ae7-9820-1e36e0d3d2e0") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5ffb64ab-a529-450e-98c4-5f6c812866b3") (attr smd) - (fp_text reference "R139" (at 3.31 0) (layer "F.SilkS") hide + (fp_text reference "R150" (at 4.064191 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f15306ee-83d1-4653-ac03-5364de129cd5) + (tstamp 2f6296bc-fd5a-4cf4-ad31-0905a902b189) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6f4d6bb3-2dd0-43c0-9382-3531ad43143b) + (tstamp 8092b146-9940-4394-b435-e56168c61f04) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 284f7b62-f134-4129-aaf2-f70d164dc181) + (tstamp 0ab483ce-2220-4dc6-a85e-ed5c2cb3e656) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae97d5ed-fb86-422b-8ebc-a3d2e03825b4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 778eb78f-2e53-4d1b-944b-df5ec0a5b786)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31afc68c-90ac-4db1-9b08-fcf5a1b5d54d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba562774-1a59-4c39-a004-d833c6061a14)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3dace16-21ca-4f68-85ca-644c64c41e7e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 34488154-5aa0-43db-8b3e-2dc7eb8b5b15)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70f5ba62-8ed1-4131-af43-4bf8d027c066)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36eddda3-8f5b-4212-ac7f-40c6d9b2bd73)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e4d84e38-578a-4e4a-9a6e-86a27dbbf219)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8291cb93-12f3-4777-b478-e2dee9faa759)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6e272e63-3110-46b2-9ea0-d2a045d0f1e3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1f249135-7f6b-4278-879a-2ba6231729c3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 29017491-57fb-493f-996e-9da41b1fc84f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9fcf728a-933a-4ac4-81a4-78c77046b629)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 60227e90-fba4-4a68-8831-feb045a7e25b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4e925a57-115f-4960-aa2f-49b234bb146c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 87fd9c88-322e-4bc7-863a-8415dc63436d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6fb910fa-5444-4af3-ba42-1de1d4a1363c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c5fabc65-f190-4a56-a27e-4ed65a0b13db)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 89224f4b-0d69-4a3d-bf14-c55baf041935)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 207c8b61-608d-4a5e-94f5-e47007198355)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 314ac360-8c27-4bc8-9b67-e9faa937bb5b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 240f06e1-d761-4338-aa47-9b96d2ac6132)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7cd5ac6e-1623-493f-9493-1e6545dfe0b2)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 327 "Net-(D137-PadC)") (pintype "passive") (tstamp c6776311-3f0e-47a4-ba9d-b9f5bf75216f)) + (net 355 "Net-(D148-PadC)") (pintype "passive") (tstamp 5ca5b0b9-931f-4b3d-88c1-0f51c2eb62d3)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 7993b25a-db84-4aef-a130-dca1b6ebb592)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp bf4dfd99-bd52-4546-a221-5007ba7d8d2f) - (at 154.355074 135.981526 180) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/93517487-550d-4ad6-949c-0e7f040af7bf") - (attr smd) - (fp_text reference "D120" (at 3.42 0.02) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c4d71080-56df-4b84-9d17-7d31357d6c4a) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 70e04cf2-ddb1-4406-9287-8109a54a902d) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp eb2da323-2df8-4c04-bb0f-738c359f9d69) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6bf66002-19b1-400a-a815-c977d7f495e8)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a1f80945-e82d-4c73-aafa-858354bfa4f1)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5705b23c-1239-4c41-8917-a85e99bb121a)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c2d0197f-6999-431e-8510-9905eb9764b0)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33e92235-05e7-4a7e-8a2b-3cce30f2a77c)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a41678ac-f242-4c2e-9820-170f04bf66fe)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 227f9f7c-2950-4677-ba22-9a6b0caabeac)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a6f4bd22-15f0-44a6-a8e5-50c7e8a247bd)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f17612d9-e7e4-452b-84fe-3f15971bb2d9)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7c3bb1f7-9f93-45a2-838a-73dbdcc2ea2b)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 824801e2-f14d-4dc3-9e86-1d9226a75939)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 354eb047-59d4-49d5-9266-1e88fce34722)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ffc3445-4f93-421f-9684-466e499ff3e5)) - (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 229 "GPIO137") (pinfunction "A") (pintype "passive") (tstamp 2ce692de-f14b-4dce-9a77-1a0b7a2858ee)) - (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 369 "Net-(D120-PadC)") (pinfunction "C") (pintype "passive") (tstamp a4b59fef-53e8-4ea1-a9ae-394df121a472)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp bf5cc2ab-8a27-4901-9de9-6f5dd6ab5048) - (at 133.895674 146.111126 180) - (descr "C 0402") - (property "Manufacturer" "Vishay") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "Resistor 0603") - (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5ffb64ab-a529-450e-98c4-5f6c812866b3") - (attr smd) - (fp_text reference "R150" (at 4.064191 0) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp ba91cee2-6322-41a4-b462-0cdf6920975c) - ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp d22511bc-21d5-470d-ac99-bfb7c712214d) - ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 99d6956a-35c2-42e8-9149-f5b665001682) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 760ee62c-236b-4296-bf80-2b07b05d8d86)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa90b2d1-81c8-4917-95eb-340808c1ba28)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0967544-c0b3-4c43-a8a2-545916719fe7)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6c74698-a64e-4db8-b8fb-9529afee10ca)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6bad47f2-2d27-4bb5-b957-40e927beab32)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp adc511ae-aba3-43b5-b297-924af406f0d2)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp db205454-5fbc-415d-8de6-52a7479fd1a8)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7e293fb7-1f45-4f74-93ee-d078c8e3a471)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 40ed9134-6386-47a2-994e-ab3ece01eef0)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec2df83e-014a-444d-a19b-96cf91b9c8e9)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 11535713-0b32-47de-8a6e-e90fd3eda3da)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3f6e68b9-8829-4954-a603-de691fedad70)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 362 "Net-(D148-PadC)") (pintype "passive") (tstamp 013f6372-faee-4314-9d05-3a95c2cc7b3d)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp f46e204d-55d1-4a18-9d6c-c2ce8e3b0f29)) + (net 2 "GND") (pintype "passive") (tstamp adfd863a-31a9-4776-8924-fddde160fd96)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -18940,44 +19031,44 @@ (attr smd) (fp_text reference "C3" (at -2.4506 0.0238) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7da27828-ebff-4519-8d6e-600990ae47c4) + (tstamp e851c51f-5801-4372-a70d-964920af155e) ) (fp_text value "10u" (at 0 -2.75) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c5a0152f-5c6a-436e-9cae-8aa7e38cd056) + (tstamp 199a2c88-39ed-4d03-b814-4d2cf2b1080c) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp f609bdd7-8615-4cac-9e0a-27de66f42a15) + (tstamp cfd9c4af-6f52-495b-aa2d-ab0fa6da4063) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eddd2b21-f296-49fa-9a89-40864cfcccba)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1532adb5-890e-43f8-b9bc-477f89dafc45)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 28d811f7-d519-470f-aa5b-398af20ea1e5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 919e282c-91cc-426f-9c68-2a5fa162b002)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 185e324f-1957-4893-8e08-17125e112a30)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 057e34d9-c4c3-4315-aa33-3096e2532202)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bc781a89-3160-4380-a909-64ba9fb99aa0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ba2f600-ef64-4a72-ba8f-bcf5b720cc8d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 81b6dbad-d8e5-4d27-8426-d14ece226ce4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d664103e-62b7-4eaa-af24-43b6ec054bac)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2caacffb-d0e9-4a2b-8687-ab85011b2dfe)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2c51bae1-ff2a-4276-a9b5-d0b06a9fac1b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3022fd85-1373-4741-93a4-bb748fd56655)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5753cd81-0623-4a74-a4a7-dfdbfb55680b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 24bf86b2-0a3c-455e-9600-351a6b3d11ca)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 168d3de2-ba7f-47f0-950f-c4e0f0656e3a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 819bc4e8-1784-4023-9388-86a710944108)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 71946ae7-f391-49c5-9816-89378c3be434)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 68e3d0dc-f39c-4f57-a9e7-ee9129910c77)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b3541439-672f-4500-9154-466108449667)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b3f3bd89-f740-4658-90e9-d72fe50b0c70)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 763b251a-e74a-436e-83a6-9495218aee8d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0f2a870-1c85-42e9-b651-d631e9deb940)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 878baa6d-242c-419c-a655-6ccc746d159b)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pinfunction "1") (pintype "passive") (tstamp 912296a0-9772-4128-9f54-c23de234b095)) + (net 4 "+3V3") (pinfunction "1") (pintype "passive") (tstamp 0e0fc831-63a5-4b0d-8b9d-13fed365da58)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 40f844e3-32d5-4113-a135-a38dd33666a7)) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp fdbf124e-c0d4-499f-aeb4-f50c5cd2466f)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -18987,7 +19078,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp c05ec36c-1ea0-48bb-8d6b-88220545a3b8) - (at 194.6656 79.1252 90) + (at 194.6656 79.068526 90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -18998,48 +19089,48 @@ (property "ki_keywords" "R resistor") (property "manf#" "CRCW06030000Z0EAC") (property "mouser#" "71-CRCW06030000Z0EAC") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/b72574ae-45f3-4bdc-a845-4a2ce6fc69f4") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/4806f7d7-7e55-4f19-ba48-be8391b71b7a") (attr smd) - (fp_text reference "R155" (at -3.556 0 90) (layer "F.SilkS") + (fp_text reference "R155" (at -3.302 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp b7bf871f-1690-4cbb-bafb-cc660cf731da) + (tstamp 71fb02b7-3a6c-423b-a03e-97830ec0f678) ) (fp_text value "0R0" (at 0.58 -1.63 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c15cffd1-b352-42c9-ab89-3b7a09d22f41) + (tstamp ad8cecdb-9144-44aa-af2b-a06f531479de) ) (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 94005ce3-975a-4ff7-b61e-346ae123ac90) + (tstamp 655157cb-ceb9-4689-8d54-a203a2ee01de) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b3afbd6c-d15f-4dfe-9108-d4976cb6854e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a7a933a-76b4-4a95-b643-852e66273a0e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0f0de565-3eab-4efe-9ffd-52254a6984d1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0588f43-5e58-4f6e-bee5-effde812a35c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b76f9663-b404-4253-ae3e-be38b45ef780)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e7c67933-f344-4d13-a749-82a4b995b949)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2479b019-05a2-4ade-825e-be5b4c32f814)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 855dc803-7628-40e9-8a14-813b19c2f3b9)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 59e346f1-7fae-431e-a9a7-7eda82d4bd96)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 845e8d0a-c241-409e-bf99-44384895c475)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2f3f25f8-621a-465e-811d-ed16996ad948)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6d0054eb-f059-4d82-8d3a-1c632deb5363)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 58163256-ace6-4582-8874-a350c930f9f7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ce05727f-2132-49da-8e82-6373b4ca7a9d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 23b7c04b-e841-4623-a78b-65b781f29b30)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a337c1f3-90a2-48fe-b40a-b00fcae0f658)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 49a04857-51be-4fa2-95c4-0888d1ec939b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bf883986-1742-4d83-be77-4afd80b65405)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0fa14995-9fce-4c8a-bc8d-9312f228f01f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eaacc1c8-3bd1-466a-86a1-69c8c10d46d6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e72553c2-fab5-4ff1-b439-185a55536341)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 382c7d7a-45e1-4aba-ad44-3fa144673a8f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f77b8590-3056-4efd-a87a-17ee6c934882)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7264444-c88f-48b2-8d89-67c820a3e479)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 5757c9f7-54d8-48f3-9a66-4f2c0ed3efa0)) + (net 4 "+3V3") (pintype "passive") (tstamp ea2dc7f4-9bb6-4d3a-b7e2-45a408133014)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 395 "Net-(IC2-VDD)") (pintype "passive") (tstamp 8f09da9e-f6fd-4cdb-98ee-aaba8bbc8632)) + (net 306 "Net-(IC2-EN)") (pintype "passive") (tstamp c9a40fb7-c065-4ad9-aa57-9528aa8f3578)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -19064,44 +19155,44 @@ (attr smd) (fp_text reference "R66" (at 3.564 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3d043bbe-07a5-4d9d-bbba-cf63ede57b7e) + (tstamp 6c57ce97-d51f-421c-96c0-081aca6eed06) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ed0fc307-a88d-4fad-8f5f-6be57a72e45f) + (tstamp 235132f8-b005-4691-9c9a-7a7c4a702a42) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 305a2282-75d3-49be-966b-e2120e3c10e8) + (tstamp 502e30a4-7184-4bf2-94ec-3ff944460d1e) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c4a68ef-04d6-4ac7-a2a4-2931dca4ef89)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95dc21f3-2589-406e-8150-cbe2ebe636cc)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f7764dd-fc04-448e-b29f-a2b98e1fb5d7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b29d8e2-07c4-439c-8be5-e960873fbf73)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d585a6b-8d3c-420b-a596-4695dbf6e969)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1b7144d-96f9-4619-a91a-4a747f230e48)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 532b1fde-1ee2-40c1-a55d-25d7ef7b51bd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25ca22fc-ab5f-4b0b-96a9-5354d9f8733d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 16b59311-3d66-4ffe-a781-10b871df8048)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ea844620-4a56-469f-8acc-95b806fb5fb6)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4859905a-adfe-465a-b93b-4bebbf16c370)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 250620de-b404-4a93-b34a-82ac289de397)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bdd81c03-1a54-402a-97b0-e2db7e469edf)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 10aced83-851d-4e77-aa9a-88e1cca63b70)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 857f87c0-2d92-46e5-a7c7-131d945cf2f9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bab11c58-89cf-4c85-8c1d-753c251379b4)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 96cec0c7-bbe5-468c-a409-7fa7847ca377)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a8c5cd6b-1d25-436d-b556-692597d731e3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 68f62a0c-bb47-4092-9bc4-0d254d70ae14)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 77d0660a-8a8c-4bd3-94c6-400fa1b961b1)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 29215120-7498-4714-b0df-10a13e5cd87e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a97443c5-b4fd-4226-ac19-ae528a1e5fa7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 910963e8-5e9f-4cba-b171-6bcecacc66dd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6854813d-fc6a-41a2-9f98-870585b0c4d5)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 126 "Net-(D64-PadA)") (pintype "passive") (tstamp 48b05a6e-f219-4ebd-8984-23303798f114)) + (net 123 "Net-(D64-PadA)") (pintype "passive") (tstamp c3949f42-6153-43fa-8fd3-332e92b6d2a9)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp d8abd301-e583-4487-b7a6-5eb914521b5c)) + (net 4 "+3V3") (pintype "passive") (tstamp a18f0d3a-4a24-43a9-ac69-315de57a4486)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -19126,44 +19217,44 @@ (attr smd) (fp_text reference "R60" (at -3.564 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6616f243-0ee4-4754-9679-37c6cbcfea43) + (tstamp ef074b93-d846-419e-9ff3-d88b7e3e14cc) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6104a26b-95ed-4849-9732-9bcdeea62061) + (tstamp 0e871cd7-1b7c-494d-90bd-5422f285faab) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 1fa658db-3d2c-47ad-a7c5-0bda890b2164) + (tstamp 61b88ec2-4775-4479-884f-800a0d84f9fb) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 198cc6c8-ae21-448d-b928-ede631fd1bad)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 222f53a0-f101-475c-bed5-2e5790e40738)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f07bdda3-b7b2-4ee9-bd78-fd5127c864b4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4ce1147-3171-4591-b94d-4deb96c37208)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 366afac3-4eeb-4dc8-8508-f7d085c228e5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06abbf7c-ea68-442a-9b1e-5374e820ea1a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02471f15-a300-4c64-af15-51e134e8924c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 617b0059-f9d7-45d8-ae98-80d486ff854e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dd5e7e6d-e24b-4266-a8be-cbe43f8e2386)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dbbde059-4c67-4a45-937e-2316855885fe)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9d5b973e-7121-489c-98fc-41f8fac3d85b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cfdd6221-708b-4b65-897d-a43b231901cf)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 49b1cb41-05f8-4fee-889b-232d95123ae0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ebc3508c-27d1-4fb0-9ce2-798fbee45e39)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dcb2e690-aede-44a3-ab40-6212d1437dce)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f57c9397-6dc7-45bf-ba61-de75061a268d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43d46768-1a97-4d2a-9f1b-401335b87fdd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 826cfe51-ce13-4774-89d9-5df178c94e6f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cc594aa8-8a73-429d-ba4b-bff82eee589a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 02f853f7-ae93-41b2-b6b8-433dbf3ea899)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b47bd136-da79-4b64-8ae7-562d593613f4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1d47f686-158f-4747-96c0-ff619f670868)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cbbac543-96b3-4da2-824d-c6496df5fcf4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b5459f5d-4d35-43aa-9702-d702edf4165e)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 48e7675a-6536-4f50-a646-ebc55d3a5b6a)) + (net 4 "+3V3") (pintype "passive") (tstamp b9364520-a333-49be-a760-c156fd96526f)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "Net-(D58-PadA)") (pintype "passive") (tstamp f800fd6a-d981-4ce4-a667-b6ab6cd42a6b)) + (net 111 "Net-(D58-PadA)") (pintype "passive") (tstamp 00e63a66-0965-4c02-854e-c58df0ef83a4)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -19173,7 +19264,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp c2cb44b6-5aff-40ad-b94e-21f8c4bf5104) - (at 69.18979 61.935526) + (at 69.203634 61.935526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -19188,44 +19279,44 @@ (attr smd) (fp_text reference "R45" (at -3.31 0.054011) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2728f638-2131-4e05-bc30-cc1ed5e8fa34) + (tstamp 61213c7c-54df-4e52-82d9-c4e22c85a33d) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dd50f735-d9ef-48f5-a4f0-0163df3466ec) + (tstamp b7d6d88a-c6fe-4f3f-a967-55aac3e54d03) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp dcd4f475-6e93-4c2d-9ff9-3154de1e3b9c) + (tstamp 5ffc7059-6d06-4fe3-beba-7c92ec1284ac) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1bd33ce2-be4c-453a-bc51-5c2824a5d044)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be70b017-03db-4a84-95f3-ed6018ab7ca4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52322a54-a468-40c9-b9ae-276f06eb8bd2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b4723b0-f276-4955-9ae7-28692d08e649)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43dbdc11-bdcc-429f-8d29-2ecc14830940)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp deab9079-4f96-45f5-85b1-7c3cf2e1ad0d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b02bbd37-e0d4-4a6d-af81-3060a0a237b1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 358c3551-4049-498f-a90d-9a053b972a78)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 267aa23d-4db4-4ec1-8c1c-f85da901ea87)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ca8a18a0-0377-4f0b-b37e-acf4c6b56eff)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 34228320-2717-4bce-bd61-103041a3a425)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d57e0e4f-020e-4494-8bfe-cc95e5e9d555)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9dda8140-ad67-4509-a412-422a0da04d55)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 457d7c30-f436-438c-8a58-e6d408d8c68e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bdd9ddc1-074b-4157-b033-0866793bf0cd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7492186c-5032-4264-8f66-e95808dff0af)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eef08931-8128-4844-ac98-55f8d871531e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8834fe14-9061-43ce-9fda-4a7c776113cf)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 194513e3-0669-4c12-aec2-401490ef30e8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 11c0e5b3-b828-4f78-aea9-99b821c8fe55)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cacceed0-bcc2-4295-8dac-acdcd5fde6c7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1ef23506-dac8-4a2c-b24f-5dc96d93ddb2)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8475ddc3-5fa3-4986-9e7b-617d1f1486e0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7c2b9cdd-5316-480d-b423-e6bc2eec9e64)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp e0d3cd5a-8eef-4c95-877d-31ef1a0bdc0d)) + (net 4 "+3V3") (pintype "passive") (tstamp c615f4f2-8e36-49a7-962b-560e657f0cb6)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 86 "Net-(D43-PadA)") (pintype "passive") (tstamp a7bc591e-85af-4b79-8112-f267f6cde197)) + (net 83 "Net-(D43-PadA)") (pintype "passive") (tstamp 66fb75cd-6058-466b-928f-6b2576094722)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -19233,70 +19324,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp c36e6483-d4c3-40ff-bd48-d195008ab36f) - (at 95.179074 148.747726) - (descr "LED 0402") + (at 95.250274 148.747726) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/07bb3e93-42f3-44c0-9d81-fc0fb007d232") (attr smd) - (fp_text reference "D141" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D141" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ab70847d-dd2d-44b3-b3a9-af224e65be46) + (tstamp cef5b168-9e97-4bbb-8575-7020b861a329) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d6461b55-3f7e-4683-a918-fcf5b0c19101) + (tstamp 9cc56344-1c84-4b13-a87e-d3d3340241b3) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 8461a4c3-a9cf-4f53-af01-27b425a3977c) + (tstamp 96fdecf1-8b38-4fe5-bcae-67c079dc0a91) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e21e4ad0-b96c-4bfe-a496-64b49e72561b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae5dcd3f-8687-4735-a768-9e90121035fe)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98ffd685-afd5-4ee9-866a-b1bc99d417db)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23a7ad88-3f0b-430d-bf7b-762eb3979728)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2a264ac1-2754-49f1-b982-1791ee23cd17)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 51321837-0194-48da-b690-d1b9f72f8bfb)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d34c1be7-5aab-46d3-8402-b31856e313be)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bab7a287-e9a6-45a6-8f72-ef1dc9c3ef17)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7188a5c-b398-4e04-9ba0-44167d66fdbd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ced0d021-6f33-40f4-b9b7-a27385719a06)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59153651-2609-4d5e-8a85-82aea000e2b2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 406a7d16-cad0-4668-b7c2-ffdf34d57fcf)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f9ccfcdc-a56f-4efc-8a36-4206d7422017)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b4301041-9992-4c6a-9de0-845a04876056)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1f85b4ee-8e77-4960-8296-2f43bb90d7cf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0fdaa94d-3797-478d-aa86-b9fcac26c377)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fabf34d7-9bab-4f23-a24f-433dcf8bdd9a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6663b7c3-d2fd-4aea-963d-9b1eed59b7a2)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 36dcc1ec-f679-4c17-bfa5-5e6892e2650f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5f09eb36-177d-40a3-acee-c6f6d764a4e4)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6eea99b1-b5e8-440d-8542-54d64359e55a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 47b92004-525d-46df-9335-0505464aa812)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1a3ff884-2c2d-4964-856e-255ddfce45e3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7b3af834-a3aa-444d-afb1-66f76632b03b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 616bb43b-11ad-450b-b42a-29827fce54bf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a9e1b70-df26-4be4-b74f-058f3f23db05)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 264 "GPIO150") (pinfunction "A") (pintype "passive") (tstamp 75ca8bf2-6d48-4f5f-9479-d76227a5519f)) + (net 257 "GPIO150") (pinfunction "A") (pintype "passive") (tstamp d57eec74-4c6b-4979-a63a-d6db9432b9d0)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 350 "Net-(D141-PadC)") (pinfunction "C") (pintype "passive") (tstamp 20f0f4d5-d0a1-4362-a3b8-a32d666f8ba7)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 343 "Net-(D141-PadC)") (pinfunction "C") (pintype "passive") (tstamp a15ecca9-97e0-4bfc-9ef0-770883c63f6b)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp c431a759-c760-4e2d-bb34-131594d9033b) - (at 85.876274 52.762223) + (at 85.826844 52.762223) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -19311,44 +19402,44 @@ (attr smd) (fp_text reference "R46" (at -3.319126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 736ccbaa-45c2-4a5a-831b-4a888fa9d9c1) + (tstamp af7f0e74-5b3b-4bd2-bcab-d7098bfdd86d) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f2e9c8a3-b486-4cc7-9e55-0a8f371fe4b3) + (tstamp af36429d-3e02-4f6d-a8e5-6bd5d88f97dc) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp e017bc7c-0d83-4896-8d60-b78c966e3620) + (tstamp 6cd20c76-b1a0-465e-bdf4-37d972c1d4ca) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 365eaf70-fadf-4472-8b41-d0eac58aa9b2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6606ceb-cb8e-4f63-92b1-735981d439fc)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97cd4b73-dce2-44e6-b8d2-de6e7e16d705)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2aa7e3d-f2aa-4fc0-90f2-81fa3798e0ce)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp df76d386-fc59-4fbc-ab00-6361aa1c25f0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 10b1a547-8279-499e-864e-d81c7a4df41d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4358cab1-00d1-47c9-9e8c-21bc87035ae7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40a1a13c-a142-40f9-a6cd-4fca18198edf)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 404615a0-00c5-44f9-953a-5329d8aa3dec)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8c4aac5d-9d28-4d67-8a1d-49772d728d94)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e6993d94-8427-40de-b51b-67f944b0ddf8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8a664e6f-8f43-4e7a-a552-d8b5a06b5ad9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4f5a0769-5f59-4a2d-aa1c-7fc5493100d7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1e1cb089-f257-44a4-923f-21c4cceafc0b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e13f7c21-881a-4f02-ae66-15360712c907)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d7c2fd03-dafd-4061-abce-5b86bc66cd6f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 92dcdbe3-a118-4f00-bcd3-854a5122b137)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 994022e4-c01e-49b4-aab8-684959eec7fc)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4b46ac26-a82c-4e13-b4f4-d545f28ca854)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d2752f9-1631-46f3-81ef-f8465f014520)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a76cfecf-3318-48ec-b1ad-3d0c87ac953e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3241cb39-df06-4aa3-a620-891ed7059dbf)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d330d7c0-ac14-499b-b090-a854f9da3f9e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp da90c7b6-1ec7-4cc5-96d5-e994b8fb0e92)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 0ae34941-caed-4ba9-b183-d28b6810a593)) + (net 4 "+3V3") (pintype "passive") (tstamp 95a3a13a-7d93-4553-906d-ba107c2e86ab)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "Net-(D44-PadA)") (pintype "passive") (tstamp 6f5f115f-7549-4606-bf9b-fa284e9897a5)) + (net 85 "Net-(D44-PadA)") (pintype "passive") (tstamp d21eb8b7-1666-4f78-a365-e7e1b6f7b6fc)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -19356,125 +19447,187 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp c434e21c-1d29-4bec-9e5d-38255315caa4) - (at 195.707274 136.484526) - (descr "LED 0402") + (at 197.739274 139.151526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/30c27941-13bf-42fc-8401-179dc1db394e") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/faefe365-3730-4c54-9b1d-39234c296260") (attr smd) - (fp_text reference "D23" (at -3.344409 0.02) (layer "F.SilkS") hide + (fp_text reference "D23" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 157e53d2-ff90-43c8-8ab8-f000406b57a8) + (tstamp 1d9b95e4-69e1-4164-ab93-9ee160496f4c) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 69dc9c4b-09fb-4b56-8509-d51c858d5cf0) + (tstamp 989664b0-0fe3-47f5-9046-f5cde08ac5f5) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 9066343f-5473-4639-81fa-6bebc6f5bb8a) + (tstamp 07192645-9a87-4e72-927d-03daeca999d0) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e9e0bb1a-ee90-40dc-a615-9c0b90e4a33a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4980069-6a8b-49d2-b9bc-96b121228ea6)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 534c4be3-d93d-408f-8420-38187327ebc3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59d1e1a0-d334-4715-9a29-e6469a46a7f1)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e3d6766a-4e14-4fdd-bb0d-3b640fe945c1)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp dd687118-d4d8-4172-9aa2-e76b63a65d6a)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fbb8112b-e723-4dd8-8126-4723806fbedb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a528be20-cd90-4118-b6fc-0631c3382257)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5efb3bbf-1ae8-443e-bae5-e9750f1ab4e0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 604002af-a3c8-478e-b9e0-fb0126f02005)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 861537c6-ca1b-4a7a-93c1-6d030ca27a93)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7c3e037-0fcf-4167-9ba9-756523af2c19)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 3173d2bc-5e43-4c08-89cb-b4ba232c4e6c)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c0a34015-a7f5-44d9-a272-bc9e0f92ea81)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 445b7bbc-eaf3-48cf-ae58-ec12744d2c06)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c17cdffe-9b6f-4344-bd33-5f36640deab8)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 46dec467-49b3-49e9-8799-1e512eacb220)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b697387e-3e0e-4afb-a1bb-3c1ff3abbe34)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2ee14b3e-dee5-4e2f-a959-694b2fb77ca7)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0f3ee187-f63c-4cf0-88ec-f85146c892fc)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dc4fb4bd-dd14-41ad-af07-045d9830504f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 89be08d8-da57-46d9-8b46-0dba2a09b11c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2ca7f84f-024b-4f00-ab98-c5ba73bef0b6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 69437fc5-5479-48b7-b07b-b95129bf222d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0bb9f0a7-931b-47ad-9bc8-322ca8be9e7c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9b63a052-6835-4e12-a798-976ab949091f)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 47 "Net-(D23-PadA)") (pinfunction "A") (pintype "passive") (tstamp c56a8892-a7d6-47c9-8f31-70ccaf4146f7)) + (net 44 "Net-(D23-PadA)") (pinfunction "A") (pintype "passive") (tstamp c9ab5a67-384f-40c1-b1b8-5864e4179c7f)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 48 "GPIO27") (pinfunction "C") (pintype "passive") (tstamp 9899a7f0-bd63-4a09-977a-2c0c383e9f7a)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 45 "GPIO27") (pinfunction "C") (pintype "passive") (tstamp 73764248-dc62-4110-a0ef-cbcfc515e94c)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp c458f4d2-9133-4c64-afd7-30b8f1281d57) + (at 179.237674 118.196526) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/95880c71-7272-46a9-91bc-3a7b4d049c35") + (attr smd) + (fp_text reference "R19" (at 0.6 -1.5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1b9ddc9f-306a-43f5-a7a7-5056adafa241) + ) + (fp_text value "680R" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e79fb3ed-e7d5-40a0-8edd-fc2dc59256ba) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp ad73b1b3-b371-4bc5-885d-73215fecf953) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 79078487-3420-4e09-9242-2f618908ae14)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0eec45f-7825-4179-8ad4-e53a4c4fec7c)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e6253dd0-297d-4df5-aa4c-69854269343e)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 10391024-88cc-4ff5-a045-95bae5acf34b)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2bdb9de7-eb01-4b9d-8cfe-4b7d39b1e53a)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5bdbf64e-8406-450f-a0cc-bc169575ce9a)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4ce22ecf-6aef-4897-ba77-1d92d3fa3ac0)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a2a0103a-f6ad-4490-9265-c6fab40cc467)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e532ace8-371a-40b9-9650-42f1e8a70832)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 00dd0921-a290-4554-8d3a-149a5c4b1374)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c23d2660-37cd-4c7e-a0bd-6da836358068)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab53a5f0-586b-41c0-a327-6f709a103cd4)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 178 "Net-(D17-PadC)") (pintype "passive") (tstamp df2edbe9-be67-4942-ab09-32b4ed2d2860)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp aa3fd69f-fa73-4963-a333-0a0779a46811)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp c472bf11-e0fa-499c-a877-f3e1653e7962) - (at 95.160674 141.132726) - (descr "LED 0402") + (at 95.250274 141.132726) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ea21e80d-7c29-41cf-b04d-697d850f953d") (attr smd) - (fp_text reference "D138" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D138" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 463e2bc9-b51a-4baa-b012-01b7c31c7e22) + (tstamp 0db2692f-5222-48c0-8a96-d15e1bcfd664) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 78a25075-d7f8-4d77-9a18-7ce626ae5936) + (tstamp 3d7e72f1-39e1-47e7-94e9-86bc741a5a3b) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 533d5fab-a4d9-49f4-88c0-5f137bb709ef) + (tstamp f267e3ef-58a4-45cd-8b33-a4f16b53d41e) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9f6ef2e-dea0-4bc2-8505-8b0b57193127)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be0df8b7-6137-4a82-a6e4-f313b4f44dca)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a1dcf5ae-d3e1-4789-b5fa-dd23a7247e1b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8f5ed3e-9c62-4ff6-b268-01dd94141fbf)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 40b658ee-4fa2-40ff-84bc-5cad82c8104f)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 775e3525-b45c-436b-8c8d-d56143c556e8)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d25457af-941f-4d6f-8efd-8ffa9863c39c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fea3942a-e5a9-49f9-a217-b584221e1947)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d36dceb3-9c42-4f62-9abb-615c35a9b2cd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0759f69-920d-4fe4-9cdb-d5af44ef5f3e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43e9a7fe-62d4-4ca5-8f14-743213c92c8b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d6788c4-dd93-4699-95b0-8611211373e4)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 69079702-50df-4ac9-9a83-46e3c9230494)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp a28b2e6a-6a14-4d66-94f6-a8548db6f88c)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e931c60b-24b2-4435-987b-ffbc0a2cd5f4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 88576beb-7627-44ea-b03e-02e03f719b74)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0ef83bf7-6bcc-4070-b500-ddc64994b677)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 18e42895-f943-4712-81aa-eedf9ad3d7e9)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bed0b375-c99c-4843-ae80-5265e631b122)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 14a6a792-a7b8-41ae-9667-134f8770bf93)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f0198071-46c7-45a7-b055-440eaa4cde10)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c94dcd54-5fe4-4be7-9d5a-30634706307c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 997c170c-958d-42c6-960d-5a7b60ef61af)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61600a26-9a66-4347-9124-3c2c503d95b7)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6613e382-8d0d-4b59-b2c9-0d50699e17fd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bb53bcd2-4ec2-43bb-adc9-dc967544aecb)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 247 "GPIO147") (pinfunction "A") (pintype "passive") (tstamp 8218eb0b-cdb8-4fc1-bd82-17b3536a8e12)) + (net 240 "GPIO147") (pinfunction "A") (pintype "passive") (tstamp ccea23f6-fe8a-4147-a4c8-3dddb0a318ff)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 328 "Net-(D138-PadC)") (pinfunction "C") (pintype "passive") (tstamp 982d8ecb-f6f4-4219-913c-862426f01434)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 321 "Net-(D138-PadC)") (pinfunction "C") (pintype "passive") (tstamp c2a7dfa3-f9d4-4290-b412-47efda2b0299)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -19495,44 +19648,44 @@ (attr smd) (fp_text reference "R132" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bd865906-84d1-4412-8a9a-bce5a1f6b032) + (tstamp 868b9508-57b5-4381-9258-793f87af2ff5) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3e0a44a2-f2a5-4144-af6f-be6fe7627ad3) + (tstamp 8a64c877-e0b7-4b0c-9124-592a86d0b9d4) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 2a1b0ead-c4be-4ea8-b324-964260465ea2) + (tstamp 075a1e89-839d-4b85-8e74-7ac4a35c5b88) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19bb6d07-f505-4b08-a56d-889e790b8799)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8b4c994a-1b22-4d44-bd42-1545acd3f61f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 492c5e7d-a27e-4397-b144-04e1945f7d38)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f35dbbbf-e269-44ae-9dfc-8ab21d4651cd)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 90cf5243-e37e-4c80-aa20-7be9ec8d53fa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1d9f577c-b8bb-4f78-b030-93a183e2f7bc)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eebb804d-7107-474d-bb3f-8e6bbcb37549)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 493267a1-640a-4aa4-b19e-cb9c4e22e758)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 05ad17a4-ee2c-4c51-aaf2-ecb936703f2f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 57b28188-49f6-4081-a5de-dc47b5e3d0f4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4bc47342-7fd9-46cc-9cbf-7e6838ac8134)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 05b9a045-e483-4ad7-a327-78727e684cac)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3cbc628a-0831-4332-8b83-c36e2e927056)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0891fac8-b227-451c-8153-d5b893aaa0eb)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp afe4fa3d-1f21-4f4b-a41e-47d9765f501f)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ce548654-0f24-495d-8faf-804b0d341db7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8757878-44e9-4c52-9339-9da601c551a7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba254480-2bf5-453a-80f2-72420499e73c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 41dfa9d8-ca30-43cf-9e00-4435daf5dddd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e3cdb1d9-0c11-42d1-80c2-e4f475b84c52)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a05d4f9-8dd2-41f6-89fc-7a0815b18280)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ebd2010c-a38c-4acd-92b1-78c28f458c43)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3ccdaa2-0270-4d93-8caf-8822cf2857ca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 431c9680-b819-4a3b-98f6-6b17aa181723)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 320 "Net-(D130-PadC)") (pintype "passive") (tstamp 28b4cb2c-5c73-46a6-99f8-16d86a592136)) + (net 313 "Net-(D130-PadC)") (pintype "passive") (tstamp f17e0020-1f0b-4c1b-a1a4-49410cced5dc)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 78d5c01a-c96c-41ab-bcf4-1cba472236d8)) + (net 2 "GND") (pintype "passive") (tstamp 4389a03d-2a6f-4fc1-a190-c17e56863c49)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -19542,7 +19695,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp c5081e50-8a88-4818-b9a5-498cf46a4b82) - (at 69.18979 69.555526 180) + (at 69.203634 69.555526 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -19557,44 +19710,44 @@ (attr smd) (fp_text reference "R51" (at -3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8259bc81-cba4-4b2c-b698-2d5dd2a9abf1) + (tstamp 98745270-f3a4-41b2-bfeb-1ae3285f593e) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 14f05687-ff5f-412c-b376-e18b35ea98a6) + (tstamp 7d037989-5c13-476c-a152-30dab5f6ccd8) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 8de5ad2b-f3dc-40d1-a0d6-09ef5876822c) + (tstamp 97bd0e71-82a3-42f3-8a50-f90af3673a00) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 04bdebfa-fb2e-4609-93ec-b656bd9b210b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a531036-29bd-432f-9490-0bf07e0a21af)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a95877d-0cad-4857-ba9e-3e12dd71c73c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f937fdd4-f46d-4b30-8bcb-7cbf53caa247)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7c64830-d874-47d9-b492-645ca59583a4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5614b8e7-dc24-419a-8609-074057de7960)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f9bb5704-7808-456f-8b32-46f79051c0f5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3de09fd3-7607-4edc-b086-c4775cda523e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8f977934-fc27-4762-87a4-8f32bb3d8ab3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ca49b19b-59e2-48c2-84ec-d89c272824a9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ad64b22f-f87d-4ca0-a5a7-1fd8af3ef83c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 26608c19-6563-4b21-a6ff-b34ffaa52de9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 599935e9-aaaa-4c9a-87ab-dfa81a9567dd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a569dcfd-855f-4b58-b770-8585d2361d9b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fc6ad0f6-e8b6-4c29-a8a4-2d6f985e9e67)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 901f54c9-a13f-43fb-bd75-87e5f3f35626)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dd8ad65c-2154-4939-9f3f-bafe79604d4d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8464f4f1-f9ae-4d33-833f-eef5998c13ea)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fd1bad81-06aa-4a9b-9f0d-99d39de1a80c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5458deb6-61d3-42de-a312-ced6a68c3b8d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e1c115fb-fa32-468b-a194-2836848b614c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aca4d13b-b6ec-4024-9299-107e213637fc)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 575607c8-5b8b-4270-8839-548778f6c02d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39f35ffd-820c-4996-ba2e-4f1bf9bb0606)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 357 "Net-(D49-PadA)") (pintype "passive") (tstamp 58efe9f4-bc77-444f-9ad0-dad190cbcf72)) + (net 350 "Net-(D49-PadA)") (pintype "passive") (tstamp 474356b8-6423-477a-9ffe-bffc0f2ac3fa)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 4b517711-f268-491e-a100-a9bedca92ef5)) + (net 4 "+3V3") (pintype "passive") (tstamp db00afe2-f548-4f95-aa60-a49777cef53f)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -19604,7 +19757,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp c7c6a142-99e5-425c-9e4d-be84be15535f) - (at 203.059874 151.615863) + (at 205.413674 154.282863) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -19613,50 +19766,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/41c957f0-d6fb-4e00-b077-e82cc5c0530a") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/39c8e7f3-12d5-4d23-bd66-68038a5cf0f2") (attr smd) (fp_text reference "R10" (at 3.36621 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp dae676c8-f1ab-4151-8c37-f5c504e9ed6a) + (tstamp ae924120-4965-4e44-80c8-9fdaeed91230) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1b92508d-8b8f-4ca6-a682-578bf7c24c4f) + (tstamp 4ff27d0b-e524-473c-bd77-a2d99bf24dd6) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0c4f814d-c2b7-49c3-9193-ae2d83106772) + (tstamp 2321fa93-df41-4f4f-8013-bd87ec314868) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 212e4787-ca44-401b-8c7f-177be4c31318)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebf8a28f-8085-4d40-9ca7-bcac3cbe042d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 909e9696-8b53-42ee-acbe-aa995aa037cc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9fd9781e-34db-4cec-847d-860d57348933)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86b9841d-d665-463f-90e2-99fccc09fb30)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e04a019-47f0-404e-9a06-4a276ac6115d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa101ef7-708a-4467-a6bd-a2ad48b9f29f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a5bae3f9-68c4-4f7c-b321-eeffc448cb2b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f93d9bfc-dcf6-467b-ae69-7edc1caf1f54)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1cebb0d3-3ccf-4b88-bab9-5ba2fe4795ef)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f75e673e-1dc7-46bd-bd39-f21620650933)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 52891b80-ba60-4ed5-aec6-b9ce85c0fa74)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1feb57f9-f26b-42b8-b792-d3b762263b53)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 255d6755-8345-493c-9e2f-ea9f1bfbd490)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ff657b27-ced8-424c-9d83-d92ccf676ea5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e8e6749e-7455-4b3c-bcc3-31967578b176)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 326cac3e-5b86-4182-986d-d0dd34ab1bff)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 746580ad-d580-415a-bc37-e712df3b8edb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a501ad28-a83e-4dbd-b702-d24eb4392004)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e44dbeee-afa0-4ffb-91c1-9ca458573956)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 68f18a61-8ca4-4f81-a03d-68e17d6a3315)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fd1996fd-6352-4246-84e8-c43d383a1f40)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a130bf3a-63d3-4cfb-892d-72fcf2ceb241)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 405478ec-7221-424b-acd2-c754e53fe4d4)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 17 "Net-(D8-PadA)") (pintype "passive") (tstamp 09225e22-e6fd-449e-9c86-fe366c7364f6)) + (net 17 "Net-(D8-PadA)") (pintype "passive") (tstamp eb85dee1-2d79-4a2b-a57c-321ce3e1093f)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 4ae86cc2-7b7d-441d-ab43-b8b92c131de4)) + (net 4 "+3V3") (pintype "passive") (tstamp 264bdbca-aca9-4e73-96b4-e359d1beb898)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -19664,247 +19817,247 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp c7f23268-8838-473e-b543-d83c88ccbe53) (at 76.454274 130.014526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8e713d40-abd2-4990-a4e3-78f18f66d2ed") (attr smd) - (fp_text reference "D90" (at -3.523238 0) (layer "F.SilkS") hide + (fp_text reference "D90" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 88022b9b-22bb-4568-8215-f00b47a23b39) + (tstamp 0fe7c6b8-74e6-4abb-8128-3217a1f22d83) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp af70e0dc-8542-4998-b51f-42d4449aea28) + (tstamp 4deb8e9c-747c-494a-9ef8-6ffd5a49876f) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp c2b8c0dd-9fed-4140-a0c8-53e4da36bf80) + (tstamp 287f2bee-91e8-4a8d-8dd7-1fc9c5d259e2) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 807ef44a-a53d-411c-aafe-840684f88aac)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69cad238-db3c-468b-b11c-a4e9cd598ab1)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84af2f62-59cb-44ab-86d8-bd4d7929bccf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 539a408c-a763-4133-9afb-8db27bb0c528)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e36a84a6-f3ef-44c1-8c40-be197726e8a7)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ce7c9d2d-5df3-487d-a747-6de90dc2434e)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d225fa2e-2576-4666-8846-688a741387ee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c1a5472-0a6d-419a-a820-fab1198f3862)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b0370bbc-f20a-494e-be36-40aeea0accb6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c103a7f-35be-4347-a8df-21290e53f487)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 560bd47c-aca4-4592-b909-f5e0e627bcb6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0736d99-843c-47fc-a1f1-dd02f747e1fd)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8b8d5880-af32-41e5-b84e-d265fdeabd81)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b11bc5f8-b625-4627-9ace-05786e5325bb)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 41a84c40-6762-4620-a100-fe3b2b0c81be)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f02810a-df38-434f-a46b-ab518d4bd467)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3407baf8-cce2-4157-9ab1-3bc129f6d526)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f5700e27-c9ae-4ced-890d-4af43aff7aa8)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e992c248-cf92-409f-a07c-b3d6f572d014)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1eb43c65-0089-4d87-adf9-d6f60ebfd536)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ea0da5ea-bc59-4f66-a77a-fd801fd8fe88)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 70541f57-856b-4955-9f18-dcfcf32fa8ff)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f8464003-52e1-4149-b223-50e52e00358e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 137d4ba3-0315-479f-984d-b653ba439a69)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp edc684e7-e00e-4f88-9996-04023f35cb3a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6a0ad934-bea7-4fe8-ba07-21126900da2d)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 178 "Net-(D90-PadA)") (pinfunction "A") (pintype "passive") (tstamp 6efbfdd7-9fe4-4ddc-b109-6eba4dfe01b4)) + (net 174 "Net-(D90-PadA)") (pinfunction "A") (pintype "passive") (tstamp 73e49157-8491-453d-bcda-9b1464af7f94)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 159 "GPIO89") (pinfunction "C") (pintype "passive") (tstamp a81d18a7-1595-4b24-b432-b043a414e1f5)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 156 "GPIO89") (pinfunction "C") (pintype "passive") (tstamp e64dfe67-d242-4802-ae57-e3943ebdb3d2)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp ca05b41a-385d-4283-8284-ec923a58913e) - (at 154.342674 146.111126 180) - (descr "LED 0402") + (at 154.355074 146.111126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/db55d1a7-b2f2-466d-bc2b-8f15bf43ee17") (attr smd) - (fp_text reference "D124" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D124" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0b3712ab-f3bf-48c1-9b87-69ae33738d95) + (tstamp c9c809aa-44f9-43e7-85b1-da0ac0716b25) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7c90ad5f-4106-483f-b04f-5f3efa88640c) + (tstamp 732c7af5-251c-4540-8de1-7a2404e7ef1b) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 71d1b326-147c-4deb-9f70-6cc5968ea14d) + (tstamp 3dbff4ed-199c-4393-ad52-2dfa34d4cb53) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 48078cb3-695f-4a2a-bc17-220f842f6256)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0ea34cd-2868-4143-98a7-cd7c314a5f9e)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1b896f5-bf2a-401c-adb6-cd84d573a5de)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22e03010-fd6d-4260-a1e8-7366d8d068d2)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1a7d53e9-7e99-4472-a145-4b94adacb841)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 71ba8399-76d9-4334-900f-cb0c66e6e4f5)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e5279e3-a077-47d7-9557-cc5595dc45aa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7fede717-51bc-49d6-92fb-f70ad0d9a492)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2bdc0e36-b891-4067-ac72-34bc93a17397)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d564660-a677-4b81-a095-80fcfd6e987f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd50b4d7-83a2-4e57-9656-047338e1c48f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 502e6578-4316-4fc1-8370-d46b5fba9f65)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f397e90e-3c8d-452f-81a2-c7071365d633)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp eef7c41e-fc5a-40f4-b309-a21a003d5b67)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4c302b1-cf19-46f1-8a20-5000ed1ee7c1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b393c786-1642-462f-95dc-3176df357825)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c47c74b0-1dec-4b32-aff4-c23bb92066ab)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5575163b-f3df-425a-b498-2841af089565)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8bbf2e5a-6102-4349-93cd-b16c602c08e5)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 338f0b51-f8ae-42fb-9e0c-18c566468ce0)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3684fd29-bf0f-4535-aead-669da41119a6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0a1d8c6-b6b4-4484-9ad5-69284a209e91)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 08a75dd7-df4a-4bea-bc98-3daefb7789aa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 69af3a1b-e4e6-4a29-8daa-becd9d3a9fdc)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d58827f1-cefe-4b4a-880f-13dd30a54a8c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 41196520-51bf-4c33-8945-12c4018ebcc2)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 233 "GPIO141") (pinfunction "A") (pintype "passive") (tstamp de5102e6-04e2-4343-9ebf-dfecd49f9ee2)) + (net 226 "GPIO141") (pinfunction "A") (pintype "passive") (tstamp 01c31964-4f7d-42c8-a780-f1a5e42c6738)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 314 "Net-(D124-PadC)") (pinfunction "C") (pintype "passive") (tstamp 5fceb123-64db-4683-9c9a-671c969a96b2)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 307 "Net-(D124-PadC)") (pinfunction "C") (pintype "passive") (tstamp dbf9f47e-4acf-4af5-99c6-7a7c1d45ac01)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp ca0b42b8-2176-4a97-9bb7-23ea1cdbf7d7) - (at 76.331558 35.987126 180) - (descr "LED 0402") + (at 76.454274 35.987126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/735398fc-bd7e-4e0b-ac0d-e2fbb83665f4") (attr smd) - (fp_text reference "D31" (at -3.992947 0) (layer "F.SilkS") hide + (fp_text reference "D31" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp edf8f497-8329-4d70-a1dc-6c7da107a1a2) + (tstamp 1da21953-959f-4d20-a064-ebb6ef8e85dc) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f442a5dd-9ac4-44f4-bfb8-fb30f21906ff) + (tstamp 1a43da41-092e-44af-8b8e-4d82af05ec53) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 8ed4ac2f-7314-4d4a-a709-1ef62c327480) + (tstamp 12625f11-ea4c-46c3-a966-fcfb9aa4af76) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17f7814f-12bb-4e88-a30d-62e4a8d4a4da)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e51d2131-125d-40f8-9278-f7d8a8e1f3ba)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 262fb4d6-d4db-4443-8c00-103ee6a73af3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 319695ff-6ffe-441d-b1e8-d70ddf29959e)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7b38b06f-0143-4ad9-87ba-64743191bd1f)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d317e548-ebec-4916-872d-7d1dc0d86894)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb6aeadb-43af-4982-8bd6-e646b8f79627)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d582f7c-1b24-437c-88e9-5cdd70ba6251)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52539c0e-c811-4ced-8f97-ee8fcbff813b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1f936bc-b26f-4f34-b448-398864b1b982)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fcf8904-b6c7-452c-8ed1-e65dcdb0d2e6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a81a693e-8721-4abd-beb8-0bc12a3be747)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 67e995b3-e907-4857-bd77-42bb63c348b6)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp fc74854d-7d9d-417a-95db-40df16dc51a5)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9bf12d6d-696a-4519-ad8c-9e01af10e727)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp abde2738-deb6-40c8-b1ba-e2b860a689d4)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a8e63f33-0652-4795-bc1e-8d076b7e276b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 484a8bb9-d772-47f1-9d36-cf784f26628f)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b3918e8d-564b-4b17-8980-2a64eb95750f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 99f45ce1-504f-4d87-be47-04e954433be0)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 264c39d7-448c-4a8f-a353-75b88cae086e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a28020f1-76b3-4054-a240-2376ca0be0fc)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 09a755e4-5e46-4adf-a09f-a6e8dbb3bdcf)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 406de92b-22ea-4caa-ba4a-a116829e62b8)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5f28e448-03c1-4802-8628-2ed60ee22ab7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a07d9e80-454c-4a9c-a11d-9a92ce1cc028)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 63 "Net-(D31-PadA)") (pinfunction "A") (pintype "passive") (tstamp e9812f85-bdbb-43b1-850f-0897a79910e3)) + (net 60 "Net-(D31-PadA)") (pinfunction "A") (pintype "passive") (tstamp 10979943-e108-4707-b3fe-7a115ad4f68b)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 64 "GPIO37") (pinfunction "C") (pintype "passive") (tstamp 242788e9-04ac-4099-8250-41d7704dcff6)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 61 "GPIO37") (pinfunction "C") (pintype "passive") (tstamp 8a335220-7d9e-4723-a1e8-0f87d13c32e9)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp caa202de-82f6-462e-b04c-3ef907c5d869) - (at 95.160674 143.672726) - (descr "LED 0402") + (at 95.250274 143.672726) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/76f54014-20ce-48ee-b9b9-bcd3e8dba21e") (attr smd) - (fp_text reference "D139" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D139" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ef6c42c7-0f99-497d-8cc1-14723f7a9da4) + (tstamp fe61d114-d354-454b-acc3-27b5bf091797) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 84526401-3676-43f0-9bd5-f88e178c1b4f) + (tstamp a32c3b78-17d8-4758-a63b-e9fb6b915478) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp dfa57af5-da43-43f7-a53e-545be50fff70) + (tstamp 2af73584-e192-4eeb-91f5-bf1d0033fa35) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa13b62c-fda6-4390-bc62-b20753e132e7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f22273bd-a387-49f7-a4fe-0e7f04e65e81)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae60580c-3486-453a-bdcb-0c40d4831f77)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c9ead8d-334c-434b-8cd7-522b4fb46a80)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 00293a4c-3d46-4264-b2b0-44b97a33c110)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a897f1d0-7898-429b-b343-6cceb80187e2)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 875854d0-d933-4bcb-baa3-194a92f59b6f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ac5f93e-31d9-433c-a570-78a0ec0903ca)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4bed421d-ea5c-4065-a0b9-20ab916ba2a0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc6cde9a-661d-4bf1-9baa-5d07a669c681)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5e004bff-19ba-4cb2-afc6-39cad90b25c9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c81d6ed-3d05-42c0-8cd9-844b5694ef1f)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6c48469a-f000-4f81-a80c-7e4095b6e332)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 3f71402e-39fa-42cf-9c76-b23fd770670a)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6a7a273-f4aa-40e5-8f7b-5d0ee114c580)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0804fb6a-dab7-4675-a7a8-629bad60e007)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e3af5016-4443-4326-9f54-7f6419b9f4fb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 12c38896-5930-4083-8f9b-5030b1d066f4)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e5bdf22-2fef-4d43-8745-39275eb9a40c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eb7b3a3d-5985-46ec-91e1-d7bb763a943b)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 66c0e68a-3709-4afe-a83b-fdd30e6e225f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 606241c0-3bf6-4e9c-b6e1-7956b205b69f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 298f018b-abd6-4764-a476-9f84781490ac)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32a7d7af-f301-4f56-9519-d3efb6edd391)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c3a42363-de22-4aa2-be1b-f6cc19721c62)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 94b97c4e-a4dc-4a77-9110-6204d7e4986b)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 248 "GPIO148") (pinfunction "A") (pintype "passive") (tstamp cd50efeb-2682-4a65-87cb-dfaad6306107)) + (net 241 "GPIO148") (pinfunction "A") (pintype "passive") (tstamp 859423cc-87dd-4e1a-a991-8c8769771163)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 348 "Net-(D139-PadC)") (pinfunction "C") (pintype "passive") (tstamp 7e2b4aaa-ab7d-470c-b957-b851eb3edee5)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 341 "Net-(D139-PadC)") (pinfunction "C") (pintype "passive") (tstamp daa30747-064a-44ca-bfd5-565500f38c3f)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -19913,80 +20066,81 @@ (at 170.649474 67.904526 -90) (descr "M20-9980946") (tags "Connector") + (property "Manufacturer" "Harwin") (property "Manufacturer_Name" "Harwin") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "2.54mm (0.1\") Pitch DIL Vertical Throughboard Pin Header, 6.1mm mating pin height, tin, 9+9 contacts") (property "manf#" "M20-9980946") (property "mouser#" "855-M20-9980946") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ec3ac7e6-f340-4679-a92c-83f27116c180") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/745d7f88-bc4d-48b9-bb88-bdea5cd1e2e3") (attr through_hole) (fp_text reference "J3" (at 12.8524 0) (layer "F.SilkS") - (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp e096d11c-d7fe-4e2d-aca2-763bcf5fb897) + (effects (font (size 1.27 1.27) (thickness 0.15875))) + (tstamp c30675b5-bd49-4e6f-b90d-6635a6e0b789) ) (fp_text value "Conn_02x09_Male" (at 0.03 6.98 90) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 99102465-1e7c-4504-b34f-47cbf3377d3e) + (tstamp 04ccf3ce-1302-429e-b496-620b719d8e30) ) (fp_text user "${REFERENCE}" (at 0.03 4.38 90) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp ace10a22-f9b8-4509-9de3-f19df6949564) + (tstamp 7d423661-12d2-4ef1-9561-da18052ef598) ) (fp_rect (start -11.6 -2.66) (end 11.6 2.66) - (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp 75e00ab2-ff00-444a-b369-67c25328c568)) + (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp 310f8d3e-c6a5-44eb-b3ee-cb687a1e7961)) (fp_line (start -11.855 -2.915) (end 11.855 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de739abe-6bde-4cce-87c5-6aab7a69a74d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca3de2fd-2358-4e11-9be9-d6ac2cbd04b3)) (fp_line (start -11.855 2.915) (end -11.855 -2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55bd749c-52e2-439b-a363-f9e0c1efd243)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2f66599-d714-4f8a-95b5-ca7bf4312570)) (fp_line (start 11.855 -2.915) (end 11.855 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5496ec14-4609-4565-b36b-c2102f5c04bb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc5b9b13-7dbf-47f9-bae1-d83a58e7f10c)) (fp_line (start 11.855 2.915) (end -11.855 2.915) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5d468f31-c9a6-4b86-aa7a-ab9ba27469fa)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7da95d95-db53-443f-be2b-42a5aad88d39)) (fp_line (start -11.605 -2.665) (end 11.605 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d883f776-45d7-4e77-a9dd-deaea2abe265)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd62000a-1b0d-4f4a-8c15-500c6465c717)) (fp_line (start -11.605 2.665) (end -11.605 -2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b327d986-450a-4a61-a465-5bd18c10683f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81c0898f-d85a-4b91-82c5-5a1e48a384ae)) (fp_line (start 11.605 -2.665) (end 11.605 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cee29435-80e1-43db-917f-552adc91be57)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 234c1959-f6de-40f6-ae41-781f688ddb4b)) (fp_line (start 11.605 2.665) (end -11.605 2.665) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84b05ee6-959d-45bc-8aaf-76525ea4ce08)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fcb13207-c1a6-4a99-9283-bfe098e9e506)) (pad "1" thru_hole rect (at -10.16 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 98 "GPIO55") (pinfunction "1") (pintype "passive") (tstamp baa902e5-312d-4b76-9e55-278552d8473b)) + (net 95 "GPIO55") (pinfunction "1") (pintype "passive") (tstamp 830d7056-1f59-4c28-adc4-91f60f4e5e4b)) (pad "2" thru_hole circle (at -10.16 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 332 "Mux3_A0") (pinfunction "2") (pintype "passive") (tstamp 20116638-3449-4113-9927-e04cf0d26a2b)) + (net 325 "Mux3_A0") (pinfunction "2") (pintype "passive") (tstamp c953e598-ce5d-4fd4-aece-a22bf5307ad1)) (pad "3" thru_hole circle (at -7.62 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 101 "GPIO57") (pinfunction "3") (pintype "passive") (tstamp 7bc0c738-fb53-43ac-88da-ddaa7ee96c5a)) + (net 98 "GPIO57") (pinfunction "3") (pintype "passive") (tstamp 42b221dd-8b1a-46fc-b7d4-23b196945305)) (pad "4" thru_hole circle (at -7.62 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 334 "Mux3_A1") (pinfunction "4") (pintype "passive") (tstamp e706e306-bb08-4263-8cb9-5334f117c39d)) + (net 327 "Mux3_A1") (pinfunction "4") (pintype "passive") (tstamp 61687d86-74fc-4c2e-8aa1-85105d0b6cc6)) (pad "5" thru_hole circle (at -5.08 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 77 "GPIO44") (pinfunction "5") (pintype "passive") (tstamp 0042e1b5-9770-4493-a10f-7b6ab657e470)) + (net 74 "GPIO44") (pinfunction "5") (pintype "passive") (tstamp bc553daf-74bc-415e-9cf8-988374a116f4)) (pad "6" thru_hole circle (at -5.08 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 333 "Mux3_A2") (pinfunction "6") (pintype "passive") (tstamp 6458e640-10e9-4dd2-b077-9fb306387ac7)) + (net 326 "Mux3_A2") (pinfunction "6") (pintype "passive") (tstamp c17be81b-e01a-421c-8080-63125a2b613b)) (pad "7" thru_hole circle (at -2.54 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 224 "GPIO130") (pinfunction "7") (pintype "passive") (tstamp c21102a7-6978-46aa-891d-4fb2d1287472)) + (net 217 "GPIO130") (pinfunction "7") (pintype "passive") (tstamp c7dc6963-acbf-4451-9609-cb171271914e)) (pad "8" thru_hole circle (at -2.54 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 335 "Mux2_A0") (pinfunction "8") (pintype "passive") (tstamp 583782ff-d7bb-4d8e-9185-4b43e16498a7)) + (net 328 "Mux2_A0") (pinfunction "8") (pintype "passive") (tstamp 96f685e0-330a-4bea-8013-001b890ab8a1)) (pad "9" thru_hole circle (at 0 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 222 "GPIO128") (pinfunction "9") (pintype "passive") (tstamp 80b3e4dc-65d5-419b-8da3-6691043bedb9)) + (net 215 "GPIO128") (pinfunction "9") (pintype "passive") (tstamp 3aba1ee6-6675-4856-a514-e9bb2b8af583)) (pad "10" thru_hole circle (at 0 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 337 "Mux2_A1") (pinfunction "10") (pintype "passive") (tstamp 7af3b2f1-4a92-4694-a2db-56ce2c94259e)) + (net 330 "Mux2_A1") (pinfunction "10") (pintype "passive") (tstamp 12804d39-fa48-4d52-9b4b-6f785f95f661)) (pad "11" thru_hole circle (at 2.54 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 220 "GPIO126") (pinfunction "11") (pintype "passive") (tstamp a2a1ec1d-9707-4876-9d06-18894cfaa6ce)) + (net 213 "GPIO126") (pinfunction "11") (pintype "passive") (tstamp 21197daf-da88-40ab-98d0-a30973ae5b0e)) (pad "12" thru_hole circle (at 2.54 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 336 "Mux2_A2") (pinfunction "12") (pintype "passive") (tstamp 3c862a1d-23a9-40c5-b499-e1a927d0d836)) + (net 329 "Mux2_A2") (pinfunction "12") (pintype "passive") (tstamp eb3fcfa7-6670-4251-9872-aa6d458b685e)) (pad "13" thru_hole circle (at 5.08 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 225 "GPIO131") (pinfunction "13") (pintype "passive") (tstamp 3a8167d6-a287-4092-8153-4a18da557307)) + (net 218 "GPIO131") (pinfunction "13") (pintype "passive") (tstamp 2e9e8a2a-3809-404e-aec0-d82c06a16317)) (pad "14" thru_hole circle (at 5.08 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 329 "Mux1_A0") (pinfunction "14") (pintype "passive") (tstamp fab24b5b-7b14-42aa-ae84-01588e60c2ff)) + (net 322 "Mux1_A0") (pinfunction "14") (pintype "passive") (tstamp 57511b4d-0020-488f-bdf5-7f35451bf67f)) (pad "15" thru_hole circle (at 7.62 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 226 "GPIO132") (pinfunction "15") (pintype "passive") (tstamp b8b2500b-5561-4a56-a944-29ab526d20a0)) + (net 219 "GPIO132") (pinfunction "15") (pintype "passive") (tstamp 260ef4ba-cc14-4b87-8d16-31e78bc6abf9)) (pad "16" thru_hole circle (at 7.62 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 331 "Mux1_A1") (pinfunction "16") (pintype "passive") (tstamp 4128adb7-2f74-4470-b3fd-a81dd7925362)) + (net 324 "Mux1_A1") (pinfunction "16") (pintype "passive") (tstamp 8cdd6111-fecb-40d6-9758-36367b43b4f1)) (pad "17" thru_hole circle (at 10.16 1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 228 "GPIO136") (pinfunction "17") (pintype "passive") (tstamp bf78e279-46a4-4627-ab67-5c292e8f784f)) + (net 221 "GPIO136") (pinfunction "17") (pintype "passive") (tstamp 870eedf9-d833-461b-81b9-5a6b2e1dd7d9)) (pad "18" thru_hole circle (at 10.16 -1.27 270) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 330 "Mux1_A2") (pinfunction "18") (pintype "passive") (tstamp f5124a85-a453-48e1-b0e6-f03a52848ed7)) + (net 323 "Mux1_A2") (pinfunction "18") (pintype "passive") (tstamp 3a2ab3f4-9d35-4468-a83f-676d2a1f7728)) (model "${MODEL_3D}/M20-9980946.stp" (offset (xyz -10.2 -1.25 0)) (scale (xyz 1 1 1)) @@ -19994,183 +20148,183 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp cc0b3aaf-be4b-4321-a9ce-6bcc45d28843) - (at 76.331558 33.558726 180) - (descr "LED 0402") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp cade4b50-38ac-48ff-8502-dae061af7c02) + (at 173.125274 123.276526 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/673cabe7-6c99-4347-b283-85ead9874951") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/59f1e1ad-cb2a-42a5-b9b8-743d6d5ace33") (attr smd) - (fp_text reference "D27" (at -3.980947 0) (layer "F.SilkS") hide + (fp_text reference "D16" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 62a2a53e-eb51-4630-824a-b71351ccc86f) + (tstamp 21fa4e9b-5588-4290-ac31-6429dbe97e78) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d4d02a60-5c53-479c-bad9-0486a08638b1) + (tstamp f6efc93b-1b64-4358-bf78-bc4153cb75b2) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 3a52c440-5a37-4e17-b491-db17e2093c28) + (tstamp 1c173c53-842d-425f-bb98-2c1c751b0ec9) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c804dfa-f000-4b79-9187-f10ce422c899)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f5c74c1-4d59-4dd4-97d9-248fffc6164f)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e0e13e5-ce6f-4c6c-95a2-0066081a3835)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74c1b660-2eaa-421a-8083-d6db99bc6021)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9ce270d4-84d8-47e9-93f4-bbabdcb74c06)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 869889fe-d1af-4903-9df6-30adcaa5075f)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40e891be-e60e-4b6c-bae0-73815c6d780d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31e95e8f-9dbf-4257-8bc2-aa74d0aaee11)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9d6e11f-f121-4847-8747-9e2d2e97bab8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5bb75035-ce47-441d-9656-26b398a1fc28)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e4427e5-262a-4d27-b16c-5d3324197a2a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc067989-926d-4d06-9d05-cf95e0b2a991)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp afe8c2c4-7259-4157-b7d5-91f981dd75ab)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4f190cab-24ae-4d72-ba91-8f5d680b6b9e)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5340f828-7cdf-498e-ac36-5b4ce291d069)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07e5e8a6-206c-46f0-aac6-07d98a177852)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 124a22c0-5fb9-41b6-adc7-f7ab3211761f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8f313567-48d3-4296-b4aa-cafa6c3b7da9)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d66869ac-6ef3-4b0e-bd4f-ba7bfb400d3b)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5cc92bc5-d868-4654-b3d3-b82232493852)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6323d303-50f6-450b-a827-b1c22ba9ac30)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1e87d208-fbe4-46d7-9f4b-7df5ef9176e4)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3032c594-47e8-49ce-8521-fd3658fb06db)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a11325e-e8c9-46a3-9999-a70e8cd035e1)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7c3d820-d150-4f8a-a3a0-e20c9172bf90)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5f1d0c91-fd08-4b3d-ad6e-f0e253b3ecdf)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 55 "Net-(D27-PadA)") (pinfunction "A") (pintype "passive") (tstamp ddca2007-710b-4b00-ad0f-62880a3d21bb)) + (net 162 "GPIO96") (pinfunction "A") (pintype "passive") (tstamp 3ce1b628-3ab0-43a2-97eb-2ea71ae7f13c)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 56 "GPIO32") (pinfunction "C") (pintype "passive") (tstamp 81e72799-5ff1-4ef6-824e-82dd54ece569)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 157 "Net-(D16-PadC)") (pinfunction "C") (pintype "passive") (tstamp a86e0ebf-44fd-4c33-ab50-a243c3179ca7)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp cd26ef14-b7d0-4de7-8d0e-cd1468bc14ed) - (at 95.179074 151.287726) - (descr "LED 0402") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp cc0b3aaf-be4b-4321-a9ce-6bcc45d28843) + (at 76.454274 33.558726 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/b6d95ffd-e3e3-43da-ab44-a6d7f3cbadb7") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/673cabe7-6c99-4347-b283-85ead9874951") (attr smd) - (fp_text reference "D142" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D27" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp aaa12f70-744d-4b52-8455-1f52f1747c95) + (tstamp f5f1b971-45db-4bae-90c0-0078424545f7) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ed42f139-dc93-430b-995f-6a85ddb6a07a) + (tstamp 58857c8b-3d95-49a4-9b4d-329843fe6354) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 922602e2-f285-473c-bf50-cc30dc7ae993) + (tstamp 542c5f05-37c2-4797-a82f-9c7bf720cba8) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6fbef657-2e63-4c2a-a6ac-2342aad82f2d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86b7e75a-dc30-4e42-9b85-8cc279c9b9d5)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0bdd97a2-bec8-4966-9f01-72e080ddcabe)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fe837b9-0971-44f0-b7c2-a324bb8f3ea8)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b957a28c-56ca-4724-ab00-a261ea2eb7f1)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b75188d7-4873-4308-8dd4-caacf3f9fe7d)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f9c7f2b1-0f6a-4413-aeb0-56ba1951b95e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35ab85b5-c39c-40e6-83e6-672f9dd6aa12)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7363394-c235-4ffa-96dd-a7cc6ba4cb8c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b67f2373-223c-4c98-b0e7-037f229a6c2d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5bc291f5-4371-45d0-ada7-2f7c84735a8c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55727070-133c-402f-a0df-26619c315433)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e9902e48-626f-445a-b61a-65b4dea6f025)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b2c8c489-0733-493f-bdbf-32cb8bc45fa9)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 07a20b12-29f3-4233-af46-1540732f6b11)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8229e20f-a932-4afa-b114-969cc5d8da7d)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5b5142a4-4c9f-43f5-a521-5e49883c3356)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cc08dc82-d920-4c71-bbf9-d3a9f6812a04)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 794ae17e-6972-40a6-930b-645c66220540)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6976d7dd-0c6e-4c79-8946-e34d901b103c)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be7effde-3daf-45be-872f-f4f17ca7b26b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d6282b09-54d0-415a-a8eb-c6e83cb03f12)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a56a8c27-6b6f-4f10-b0dd-cd26e58508e2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61db389a-33d1-483a-8f51-6ef705e9012a)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1b618cb9-ac21-45ce-bcc6-685ef6253342)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 238 "GPIO151") (pinfunction "A") (pintype "passive") (tstamp 4479448e-89c2-483e-a8c4-5ba60fbcb0e8)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 354 "Net-(D142-PadC)") (pinfunction "C") (pintype "passive") (tstamp 4b6ebba0-bbd4-4cc2-a388-a8ac9ffb6c77)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a33d54bd-0d34-48f0-a5a9-d909e32eecba)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "Net-(D27-PadA)") (pinfunction "A") (pintype "passive") (tstamp 4c2ba1c2-e94d-4eec-ba1f-0696586df753)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "GPIO32") (pinfunction "C") (pintype "passive") (tstamp bb38f380-f9c1-4b15-9e6d-5bf75d095ba5)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp cd2db41f-e0b7-46a1-99bf-c1554a183247) - (at 69.18979 72.078571 180) + (tstamp cd036822-ea1e-4647-a21a-893a88ab3b2b) + (at 185.813274 55.7672 -90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/f1a26296-a184-4133-a413-92ed8e293dbb") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/ae114153-6447-4c6f-9d99-80911185655d") (attr smd) - (fp_text reference "R53" (at -3.31 0) (layer "F.SilkS") hide + (fp_text reference "R177" (at 3.314 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0f8377eb-e3a7-493b-8753-fc594166c523) + (tstamp 54294d94-0108-429e-b61c-7528b2618d8a) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f01e6845-6b04-43b8-b806-7e14aada345a) + (tstamp 9e5435e5-199f-4ba4-a778-4186a884c344) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d83f83bf-c791-4fd9-af94-c52b215dcec7) + (tstamp 2616721a-e8b7-4af0-8b28-2bea739ff754) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0547dc9e-7958-4f60-82d0-010e59c7291a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c59de8c4-4684-4f45-9e75-985793c2af25)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c71b5aa-feac-4fb3-940c-6b9ee626a30e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eefaa389-8cee-420a-93ec-f55d2e5458bc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 10f7a85e-2c51-41a4-ac6f-ce2970140032)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87432363-9988-4b0b-b571-179b98276a69)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ac44a9d-154b-4170-ad30-49450144d4a1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc8336fa-7c52-409c-af65-58ed5c13fe1e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cf451b71-6728-446c-ba3e-15775178944b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d9ef5d63-a529-460f-aac0-3dafce87d235)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 67705f58-584e-4262-9127-8d5ba84639df)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 29fba3ac-c45f-455c-90db-210ce7c8959c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5c89ec1a-73ff-4fba-a191-1839eb854ee3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9c671f18-3ed2-4e4d-b78e-51990b82f01a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp deaebdd8-deff-4596-b6d4-8fce5ae8881b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 12b9bb49-0d75-45d8-930f-23d1c6e5629d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6e371ed3-0740-4efa-b1ec-a9491d4a4a7d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9f906956-362c-4675-bd10-869d403789b3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 958c8174-47b8-495e-8f46-3b3a9e9be93b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 694a281a-b866-4ef8-a650-c569dce449d3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b708b083-5ac8-4754-8234-4d02b598682e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9bdcc5fd-ea4d-4be5-8acb-09ae6c027f7f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a375a106-986b-4abd-a245-1ce16c55024d)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 358 "Net-(D51-PadA)") (pintype "passive") (tstamp 1fd7be60-7fc7-4e70-a245-1de16fb15b4b)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 325ea05d-8a82-4f82-9987-3266a152e402)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d4dd117e-6f7a-422c-9ca4-bc826b4c3cb2)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 382 "Net-(IC3-S8)") (pintype "passive") (tstamp ddb18044-983b-448d-9662-7e43a16f0b69)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 20c9449a-5e43-444f-a3b8-71ae77953b2c)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -20178,71 +20332,70 @@ ) ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp cd5cc404-8040-44b9-a377-2605bef72c2b) - (at 184.517874 136.536526) - (descr "C 0402") - (property "Manufacturer" "Vishay") - (property "Mfr. No" "") - (property "Mouser No" "") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp cd26ef14-b7d0-4de7-8d0e-cd1468bc14ed) + (at 95.250274 151.287726) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "Resistor 0603") - (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/08c00e73-9f79-4da9-9e88-ddc6096fe007") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") + (property "manf#" "APHD1608LVBC/D") + (property "mouser#" "604-APHD1608LVBCD") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/b6d95ffd-e3e3-43da-ab44-a6d7f3cbadb7") (attr smd) - (fp_text reference "R12" (at 3.41681 0) (layer "F.SilkS") hide + (fp_text reference "D142" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5b62956d-d8d4-4b04-9cb5-13bc56db2dda) + (tstamp b4658a1b-a8c0-4e4a-b882-4d42afad4e52) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ffd64a1a-36b8-4d1f-9ae3-05932ef713b3) + (tstamp c064c354-2267-491f-b3b5-f2a3e3abeb85) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 9a9533d7-6630-4852-a0a8-8b582035c670) + (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 7d815e21-ca3f-416c-804b-e894a825f629) ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 934843ce-da90-4c70-9173-80b20959abbe)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4be9d514-00f9-4c6c-91e0-085657be163c)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6481d221-812b-4d98-b28b-60f1231ea740)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fd790274-3d3c-4508-9d03-1ca7184c6fc4)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e62a3532-43d8-4643-b89d-dca27b445e66)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dc81a06e-8249-4e37-ab62-165029381343)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 105977dd-ce2e-4baa-8185-ddb4b20c888e)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 73ff0cd9-c680-4406-9497-fe6d12f88c82)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c2be72b6-7bc4-4203-9ec0-2613900eefa4)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2dac8597-735a-4d5c-bf55-6b88fc79cc84)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp accb8114-68ce-4ad3-bb8e-032436a9b129)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d58e31c8-c872-4b95-90fc-7ef7fb6bb41f)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 21 "Net-(D10-PadA)") (pintype "passive") (tstamp 5afc3234-1f60-4ec8-aa80-3f814cc2ca93)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp c697d0a9-6abd-4cee-a6b7-cafa3c240450)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2f69f43-85c4-4c52-8470-6f5a44778fc9)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e54873bc-5ca2-4e19-9a03-8efe907e4b39)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f273460d-c563-4b69-8295-d4ebcc23578b)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9a1e97d-f0df-478e-b0c8-9bfbf1cebf36)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e6e0efdd-eb17-4405-8dc3-64b8fbddd365)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b1b79f3-94c3-46bc-ab66-1bd0617b5060)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp bdd5ca49-b4b0-48ca-b361-7206f11b6219)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e74c7071-d734-4d02-ad84-fbe8bef59721)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 59fc66dd-cc07-4d6f-9a4c-5bb992d06f6d)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b1c0bf06-1c3a-4b6d-b56a-c2ba34c4df1f)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cef13cd0-53e2-412b-b7a3-cceacb5d126b)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7e20913-c3b3-41f0-8b55-325287743be7)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9969d7da-166e-4f44-8dd0-4c255c42fbc7)) + (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 231 "GPIO151") (pinfunction "A") (pintype "passive") (tstamp b389b07d-8dc7-4665-b794-171de26041eb)) + (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 347 "Net-(D142-PadC)") (pinfunction "C") (pintype "passive") (tstamp fd299a68-1c97-4d2b-98ff-f08d63cbd3a8)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp cd9ee2b1-b153-4386-b144-7fe053780457) - (at 184.517874 121.219126) + (tstamp cd2db41f-e0b7-46a1-99bf-c1554a183247) + (at 69.203634 72.078571 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -20253,48 +20406,48 @@ (property "ki_keywords" "R resistor") (property "manf#" "CRCW0603100RFKEAC") (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/9f663f27-85ca-4b56-ac31-e4b4458981f4") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/f1a26296-a184-4133-a413-92ed8e293dbb") (attr smd) - (fp_text reference "R80" (at 3.41001 0) (layer "F.SilkS") hide + (fp_text reference "R53" (at -3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 495cbdcf-b1fb-4bd1-9d90-1c17b955425e) + (tstamp 133dfa89-5166-4d3f-aa5c-e4b0314d0617) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 05d3342e-03ba-443c-af04-0e8af73c4641) + (tstamp 0347bc54-b69b-427f-8b7d-d1dfdfb965da) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 5538c844-1bd5-46f0-8a52-61869acaa6bf) + (tstamp e979dd78-6689-4ef3-b3b9-eb0636c1b961) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c62814e-de7e-40f1-bc69-aee94249fae3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f9430b1-d744-41ad-b05f-1a6e9cdd15be)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f0f384a-3b59-4633-bdfc-548a4d42c1e7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ced3fb38-c106-47a0-8b95-68cf5d388b9e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00ad0b82-5493-47d5-bb9a-551c2438bd30)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2caa51f-9604-436d-942b-a15952893074)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aff9dcd6-357e-490e-882f-5ed9798eaa4f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84ec7c85-0b7c-4d64-b347-e2664bf3a74b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c1772424-7276-461b-b76d-794480fc8acf)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 705e9e0f-02d0-4671-a101-f43459950def)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 850fcbd1-50af-4acf-af83-7f4cd83ed8c1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 059171e5-96c4-4903-89b3-9d14033cdcbf)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 044ad416-acb6-47b0-b734-e9292cfbce3c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9f216916-c89d-4dc8-98d7-5c1e4c96c27a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 87930231-627e-4931-8093-d74a21393d19)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d42032ed-9729-4ead-ae07-eed491952d89)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d5effc46-be7b-431e-a9a4-2a04ba7b943c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0d1fcecc-71f9-4ad8-9e73-ea455c620f0a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 91f25852-5bf2-4b5c-b91a-80cb9943543c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10fe89a8-0a32-4465-bf97-7da684f47a1c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 41c9885b-eda3-43ff-a744-5e2dc3917c79)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d89e2f3d-e7a2-4e0b-9105-594a7f5b1e21)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9afae33d-6e5e-46fc-98d7-9c7e9bf85a76)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 154 "Net-(D78-PadA)") (pintype "passive") (tstamp b9d7e1ff-9566-424c-bbfd-6671378b6983)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 8a4f6207-445e-4115-929e-4e6e4048df38)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d9ff9dab-3c0a-4816-9858-4c7a8cfb6946)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 351 "Net-(D51-PadA)") (pintype "passive") (tstamp b6a0e50c-40ac-4bf6-9e66-32930d340b8f)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 6090cdea-13f6-4a74-92b3-8326cd1959fd)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -20303,8 +20456,8 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp cda2390a-f895-42af-a4eb-34d4b52857df) - (at 69.203634 95.971526) + (tstamp cd9ee2b1-b153-4386-b144-7fe053780457) + (at 205.413674 123.891126) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -20313,50 +20466,112 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/dbe90957-17a0-4679-9a25-28b0d66e069f") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/38c3233e-8c34-4ae0-8ac9-35696a83d83b") (attr smd) - (fp_text reference "R56" (at -3.552 -0.007845) (layer "F.SilkS") hide + (fp_text reference "R80" (at 3.41001 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3ae035da-1bf8-4724-bc6d-0ca3900fc95b) + (tstamp ff2399cc-5b66-423e-a047-cb3adc1c7b45) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6c6a2613-4a0e-4b91-b6fd-618558c2dd73) + (tstamp 808935a0-394f-4c4d-8296-b03e1f25261d) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp a59a0593-9ef6-479b-bf05-b340a687c728) + (tstamp 04e49252-8a89-40d0-9c89-5bf183362a99) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d107ca46-c25b-4121-921d-847b54fef3b0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8640ee9d-5eb8-40dd-8c30-8b651194765e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d135d35b-7bae-4ed8-a284-a47e795df560)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e22a4597-5eda-4427-8f24-9acf71c2b61f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e7c31a2-bac6-498c-9048-191536b2c6f9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 338505b4-f311-402b-97a4-76e01a074045)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3446d3d5-dacf-43fc-b1e1-21db6de2465d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp de2d2a54-8367-46f4-b6e9-41e866db2811)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 51e419d0-7da8-438f-944d-5565aaf4a480)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 95ea280b-ba2b-45e2-ae32-2e52059bfa8e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1f94c573-1b3f-4956-99da-53f7efda5b29)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e3b93ce8-bb9a-411a-b58c-cdd272f7b353)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a8f2178f-aac4-4d2e-9c36-4ccb40503f83)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c1e9ee49-a350-43fe-9fab-b8d3b3b23c61)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 22f5eb1b-679c-4631-bdaa-fb746e4f503c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 68a8cd0b-c470-42f0-af1e-e8cd4c82b54b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc9b69eb-a159-4e07-83b4-06e7dc8e4857)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab11bbd3-34fa-4fd4-94f3-dc218b195945)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 28702646-17e0-4ace-abcf-44cf3988d54d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35ac6da2-bd16-4d83-b73c-b5e23d111eb6)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 00392a4a-bd87-42dd-9901-5861cf8fc0c3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85ec2766-1dd9-4334-a634-5f2d74b9777d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 695e313a-6565-439b-8601-8c09d739f2ce)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 87c799aa-a5d6-49f0-9132-5dea0630d2be)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 0c99707f-0e68-4b1e-a81a-b1d3c1ed4d5c)) + (net 151 "Net-(D78-PadA)") (pintype "passive") (tstamp 126e256d-6203-4435-9c11-bbe1c44cd2c6)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 106 "Net-(D54-PadA)") (pintype "passive") (tstamp a7b39f50-fcc4-4f8d-b301-2198b6ac37f4)) + (net 4 "+3V3") (pintype "passive") (tstamp 6bacd5bc-a3e6-4b88-b5b0-380fc8147955)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp cda2390a-f895-42af-a4eb-34d4b52857df) + (at 69.203634 95.971526) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/dbe90957-17a0-4679-9a25-28b0d66e069f") + (attr smd) + (fp_text reference "R56" (at -3.552 -0.007845) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 508c6412-fdc3-4d7e-a403-7482e03a0ee0) + ) + (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ac81e260-fb85-44cf-bb9c-3999ddbb0a11) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp c8f43f21-c323-4d10-bc40-bcbf2f530ca9) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebbb38ee-90a5-455d-bc13-44f45c82f8e2)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d82faa0-261a-4a9d-a313-8ecb40844fb2)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0f5e457-b54b-4a49-9dd9-6b907d1ec048)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d47aa755-2af2-4737-b491-27a1ddc39f05)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aed6dc17-c079-43f4-acb4-c68545ef5182)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f2719f81-8845-46fa-97bc-e499ab396eca)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 59b79516-19be-4358-9ef8-a0d3c2b26688)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e9336549-b356-47df-b1e2-cc23379bb7b3)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7de28db1-8d69-4c7b-96fe-db2b2e9da2a1)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 292a2006-36dd-4814-af22-6d03b3e56d36)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 22c3dca3-bc98-4036-abc8-3550f8e460c1)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ce39b245-3000-4b4a-9981-e23eb3aa58d8)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp bcc924df-254b-4e3f-b93b-53f50f927f84)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "Net-(D54-PadA)") (pintype "passive") (tstamp 458d2597-0ba2-4335-bade-c57ee60391da)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -20366,7 +20581,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp ce36aed8-56e0-485f-97c7-f5bce75bda17) - (at 203.073274 107.864926) + (at 205.413674 99.072526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -20381,44 +20596,44 @@ (attr smd) (fp_text reference "R24" (at 3.159449 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 90716290-774d-4409-b668-354296063cfe) + (tstamp b8f8d513-34a2-4f95-9c5c-7815d3c90538) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 028c5c73-7039-4a4c-8509-d6329f7a1b43) + (tstamp c1db8bde-2cc2-45d0-bad0-29262f7164ab) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp c3c9384f-36cb-4ac3-bfdc-cb82e280e270) + (tstamp 052acd5b-f911-49c9-bd90-fedf07816bbe) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b68110c-643d-4ca4-8ee3-6fc3997c45b3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35f6a327-e257-42fb-8a24-f4bab98df8f0)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2175164d-ebaa-4efd-9a1f-ff2380eada15)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09a5fcbc-bdcd-417e-97c9-ce89f1783e69)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 94d2cceb-acfd-49e9-b913-538a5631a6bf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e4b89296-f39b-47c0-b5a8-9829def02eef)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb9f2e18-7aaf-4477-bb8c-afa4643b9133)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81f444c4-39ba-464f-bda7-9e2a4dc4e5b1)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp eb0d95ca-b964-4683-bdd3-f8bf89dcacfb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e75c902e-d7dd-41a0-98ec-2dc7fa16b778)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 77b83103-bda1-4adb-9b20-93daa76c4fbd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6e0bda57-bc03-48c0-8ed9-d1eeacb4e406)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 96cc39d6-e63c-4bbf-bf4f-be876c001b08)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 35065de3-49bb-4598-a592-04ecf9d5bb1c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0690c2c1-3aec-45de-b61e-9a35fa870698)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0ec8ff3b-3ec6-42aa-8a7c-8aa93930c4c6)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7b5ba0d-954f-4f8b-998e-161d345e7a8d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ef1c662-b6a7-4e76-b1ad-0a3b7394a4ee)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 52d6752b-ef0e-4ecb-bf58-cb9b2360b025)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e12408a-be30-4f54-bf39-adfd731d695e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 057f7a60-a608-425a-a722-e0421887dc5f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fa0a5311-1d18-47d5-ade1-c50a868da696)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 325241c3-541b-4181-a9e2-4342f79bd683)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5b78b26c-2ba2-41ee-8213-32dd5fc54273)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 45 "Net-(D22-PadA)") (pintype "passive") (tstamp ee67377a-4e9b-4c85-9a0f-ec0d1d33e550)) + (net 42 "Net-(D22-PadA)") (pintype "passive") (tstamp c75f448c-e93c-4c21-8401-0a1836b76f58)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 87036814-5c9d-48e8-87bd-9502b57817ae)) + (net 4 "+3V3") (pintype "passive") (tstamp 618dab7c-1c62-4687-b0ba-454620b0eab2)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -20428,7 +20643,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp cf3a8251-3fb5-498b-b8b3-5bd24aa90e8f) - (at 184.402874 95.396526 180) + (at 183.690274 89.935526 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -20443,44 +20658,44 @@ (attr smd) (fp_text reference "R103" (at -3.857839 -0.0466) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d7600345-e8b2-4d43-8f13-c5090a475dc5) + (tstamp abc371c6-68ec-400d-ae56-bfdfaa5cdf24) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0e9ee62d-a432-41f6-866e-818e4cc8b159) + (tstamp a6eca193-19b1-4e72-b6c6-6e402cc517be) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0a310de0-0e84-45c8-88da-2710b80b4f99) + (tstamp 481ea98e-3784-4dee-8939-9a632b08904f) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7056050-b7d9-4e45-9d5a-19aa220f077f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c81d42f-cf1e-4938-a4f7-2df7d3af2f02)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6168e7c1-7406-43d3-96bf-b07c9ea32b43)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8055b8dc-9312-4def-b2c7-18ebd762b6b7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3ab656f3-8536-427c-9b68-a264743e18c6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 26f49ce8-f5d8-4258-bbd8-853c0ac3857a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1fa16951-e7b2-4741-ab2b-082478759996)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9986d18-b42f-42de-9944-7e1b321b27d9)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6d0d29e5-d9b9-4f04-9196-54bbd1d2edc0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7945fc5b-86de-4c4b-9992-881a11ed5404)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 09d61f1e-84f3-4245-bf48-b1e8d7929fa9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 44666095-c51b-42d7-bb6f-234b1ee58f3e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 469fdce6-e839-4275-8a92-f745220a74f0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 06acded8-3e56-469f-8d30-4aa72a6b05bc)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 78ad252a-9365-42cb-9b88-6afe1160e333)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 794b6c3c-44e2-41ed-b3d0-3143c4da39d2)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8dd66dee-4a72-4597-967c-b6cf4269ed00)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c335cb13-8eb4-4248-8e26-707a68fca644)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7c805eb-fab5-462e-b28e-6355df65fcca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c491090d-697a-49d7-bfef-86922c658727)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0207b3e6-dd1c-43fb-97d5-387f65c3b51e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 60541af0-d6fd-4be4-8ee5-a5c4d95957b9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b728001a-3b52-4894-9573-31a0544353b6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fd04b647-9edc-4e3e-8215-a92e8697e49e)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp f81329c9-9784-45a5-bbed-0e94e25dd386)) + (net 4 "+3V3") (pintype "passive") (tstamp dc9d539e-d4fa-4f83-981d-b413ec34cb49)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 200 "Net-(D101-PadA)") (pintype "passive") (tstamp 63aa49c9-a589-4daf-916f-48664914e56f)) + (net 195 "Net-(D101-PadA)") (pintype "passive") (tstamp 5b09ba67-875c-4b69-a6d7-4c22b518a630)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -20488,131 +20703,193 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp cf85bf91-0f59-49ac-8bf1-68c814922dab) (at 95.250274 136.052726) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/801b1f7d-900f-4270-9afc-b134b7ae73d8") (attr smd) - (fp_text reference "D136" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D136" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 12e9a903-68b0-4efa-9ff9-8bd5c3ce5714) + (tstamp d72bb564-7a84-434e-b310-b43dbcd798c7) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1ec9074e-e1be-410b-a958-4e7f6d562f6d) + (tstamp 96c44e8d-ddc9-4637-9e45-a1ff2bddb751) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 2a64ced4-21dd-496a-8910-9a71c98e0a26) + (tstamp 1ae5693b-846b-4b5e-b09c-62ee3fbbfd2e) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b72664fa-aa83-40f2-854e-fb68b57287bb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp efbca19c-6551-435e-bc91-4450a941c22e)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5bf1b11f-c6f2-486e-bd2e-eda041e2df5e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b74c3005-4b68-4baa-999d-69fa58a04839)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 267ceea3-5630-4c19-987c-040ab0b14f36)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1dcbe73d-365b-433b-9139-f1fe44d8d81e)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0024f230-3519-43ad-b782-1a25bfd467aa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 671b204f-3545-4042-8726-b59839b4903c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 852524d3-0801-48f7-b852-01733e49adfd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ea7aacdf-255e-45ad-b89f-b8f58b808cab)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 89eb5d5c-7e2f-4ba9-9a08-2bdd9bbe03e4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87349794-70ec-4633-b7b0-1318417d647e)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b808eb89-40ae-4373-b2ee-aae6d42602d8)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ba9e1447-cce4-4be8-97d0-4f1b63c013b8)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8f1158e8-5d06-44ba-a455-f1a32eaecc5b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f49213c4-8a6b-48dc-a331-ff0a0ca6511b)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a838424b-0603-4c3e-925a-b125972df998)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2337670c-3fe0-4246-8ef6-a2e38db8f1cd)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp db220e25-9734-466e-9acb-18b5b13fc3b0)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp edb6cac1-bcee-4782-9d36-168ed11a2c06)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9d877aae-68c4-4710-afaf-afec79f01e87)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3ee5ee5-876d-4816-b2f4-b5af639abaaa)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp de42d158-edf1-4157-8b06-326dfcdaede5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1c2783d1-f138-4acf-840e-84a2454d3a8a)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bed4e086-93c7-4d71-9826-ac6db8808595)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c2b6476c-6ce6-473d-8c02-896f9608518c)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 237 "GPIO145") (pinfunction "A") (pintype "passive") (tstamp 3098abab-9518-4250-85b5-9bdbdda9546d)) + (net 230 "GPIO145") (pinfunction "A") (pintype "passive") (tstamp ca60a628-87a2-4471-80e6-d9428533960b)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 326 "Net-(D136-PadC)") (pinfunction "C") (pintype "passive") (tstamp 0d9b01c8-44bc-47bf-8d38-a21c4bc0b98f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 319 "Net-(D136-PadC)") (pinfunction "C") (pintype "passive") (tstamp 172bfcdc-4a4d-4b02-9028-328a4f8999ae)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp d0ec283c-6a82-4cf5-8a9d-c184d274df18) + (at 211.213274 54.696526 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06030000Z0EAC") + (property "mouser#" "71-CRCW06030000Z0EAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/c57d84b7-bdc8-4fb7-a117-4d696c20e1e7") + (attr smd) + (fp_text reference "R178" (at 0 1.524) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ff09048b-259a-442c-be15-8261ff44f1b6) + ) + (fp_text value "0R0" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0bd358a9-9b85-4f87-b70a-95e5f7a0d920) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp ac2af68e-5a0f-49e5-9742-fe8f3c8d09fe) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b199e650-41b0-43c3-bb63-7d7c53c0a06d)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c6bc3ae-f0f8-4bfd-b980-b89f415676f6)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c68d4d4f-6360-4a21-9dc9-349d8bfed6a4)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d4e3bf6e-6b78-4202-9636-bfe6047159b5)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9ca456ea-c652-4af4-9464-2f16442cef65)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 512be9e0-7ebc-49d7-bf61-762d57742628)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c06af181-9c6b-41d3-ae1c-a8bd8b2c7b83)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d57a8227-1cf3-4e18-9555-f897365dc354)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9fdf613c-7fb5-456c-b301-d4e6ea07d90e)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ae4f9bc-8c8a-47b7-9dbb-6f50502aa5c8)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c36a44e2-75ce-4519-91ce-fbd708967339)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7483c00-6c03-4801-aff6-339de8b75af1)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 2e9f044c-e95c-416a-a95e-f2afb7b4ca08)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "Net-(IC4E-V+)") (pintype "passive") (tstamp 9e13a960-c548-4c86-a18b-33daaf25d163)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp d2a6ed08-0045-465d-9ea6-387dc3728860) - (at 154.342674 151.191126 180) - (descr "LED 0402") + (at 154.355074 151.191126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/24de3775-1ea5-4dba-af11-d74660aa8fe0") (attr smd) - (fp_text reference "D126" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D126" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 373b6648-edf0-446a-bfbc-33b39b8e698b) + (tstamp 800a6d20-9dd5-4272-80b5-277fe2f99411) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bedc1bf5-ffa1-4684-8d6b-b7904a915233) + (tstamp 3a162bff-d93f-461b-a792-ea26c2a6fb71) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp bca802fb-2c0b-46f9-8937-044b63679eb2) + (tstamp fe2ab888-74fa-4291-9c8b-dc0d64dc77cb) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 757b0faa-cf32-48b5-a49d-0aa7ea1695f8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69dcfde3-0edc-4958-8904-70c513896224)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed6559f6-7848-4845-a52a-699304d05a38)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7bb38feb-1fb4-41d8-8918-48881f5157dd)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 21edea35-b76c-4245-a184-06ea02efae22)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e791c998-9e48-4758-9f1c-4d75549e5817)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54165935-72ce-4287-a748-40400bde28fa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0b7774a-c930-439a-9e26-a124a0143330)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 60767466-9516-4f75-a264-7b667f14711d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3a981d5-0000-4fc3-bc69-716945dfe627)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b89c2bb-3a0c-4bf3-a776-edeba43f1ecf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp df7ea9fa-3740-4448-b4b4-5037a8616195)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 16986716-a33e-412e-916e-49e0c786d4c7)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp bd9dc4f7-a9e1-4b6f-ab9e-b6b0d19c6cf6)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a70c1c65-3437-4d13-adfa-5c67da5ef6f6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2ba5d7ad-465e-4872-a0c0-c18b93af9134)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c5b214ae-cb3b-458c-bd8f-496c1058823b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e6ff96f4-65d0-454f-95c8-b0b22476e208)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e330582c-f151-494a-9739-1e0b641eaefb)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fd26e2b8-c00d-4efa-9dfe-6f9535cb5b80)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba4e967c-8778-4af0-adf2-27d72fbb733e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7c0b629-762d-46c8-a0e4-89202774e039)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8319d0ae-5e29-466f-9d9d-2e97d8004669)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d463f3f-c09c-4605-983f-137021cafdd0)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 922127c9-18a6-4ba3-bb5e-169080c88e69)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ed0c22ff-a6b9-464a-8578-37ac1629bcf2)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 235 "GPIO143") (pinfunction "A") (pintype "passive") (tstamp b76bb211-83d6-42aa-be18-69f31d5f34e0)) + (net 228 "GPIO143") (pinfunction "A") (pintype "passive") (tstamp d4d17f81-919d-4414-a987-844c1f29e043)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 316 "Net-(D126-PadC)") (pinfunction "C") (pintype "passive") (tstamp 0fa77030-2f31-446b-b657-3afc28df2e69)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 309 "Net-(D126-PadC)") (pinfunction "C") (pintype "passive") (tstamp 13fd8439-4a2e-47f1-a9b4-071ffe4e77b7)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp d2dac805-e563-4034-be7a-c836ff33a1db) - (at 69.112274 48.601126 180) + (at 69.203634 48.601126 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -20627,44 +20904,44 @@ (attr smd) (fp_text reference "R68" (at 3.2766 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c0c01854-cf91-46e5-a61b-27d88ba9e4b9) + (tstamp 2f06e0fe-3226-4d8e-ad47-9d34f62b0128) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a26ba18c-177c-4418-b70a-537aa0141210) + (tstamp dd599162-6b1d-4480-87af-71ae380498ea) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 793ea717-7faf-4ba7-8941-f940ccb1106d) + (tstamp e3d20597-cc8f-4c16-950e-5229c7ba1bb6) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0cb343c5-ba5b-4eaf-9f2f-c0a9dbc080ea)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6369c4bf-5cc5-4b7d-bcb8-c4c8e839315d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02750548-7fc2-4449-a687-b2b80ef51cda)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5e1be60c-5de3-4740-8253-3fd766175e46)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7403840-11d8-462b-943c-18addd4979f5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a1bd3749-c081-40c3-967e-8acc41dc4a3c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b53fd129-070d-4b07-b084-84e56b110cb4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 498c45f0-969e-4f9a-81ca-e4bdf7e9a087)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f788b20c-1a9c-4168-8323-4abaf35036e9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d0338ab9-a2ff-429c-88d6-d8eedb882f83)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8ed03a25-8b33-4c03-ad77-2cca7673db9b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 00694988-3a33-4aed-91b2-33dd2018cd04)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e0035351-db06-4c32-a215-d491707035b2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 00fffbee-39e3-41ba-8683-ef41ed962c68)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d4591924-7aad-410a-831e-1c6fcf4915d3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8d2e0b6d-67b2-4450-a8e2-5cfbe7f83f8c)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bd27dbc9-0b61-4c91-81b7-d600d002cd3a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 703f1082-0f75-4547-bea1-83b59fabb64e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ce967986-bea7-4862-a57e-b2bb45dcbd9f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3d979813-fad1-404e-a3ff-4cc233249ebf)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2998f706-5116-4d8a-8ac8-f9e2ccf8b7b5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 19559277-429d-4234-8644-29cea40c92d1)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8feffc29-5ff7-48ad-b69e-9149ba88a048)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1ce9bbc7-e4e2-49aa-9e32-acfa3dca24f9)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "Net-(D66-PadA)") (pintype "passive") (tstamp 39c915dd-5a14-4923-aea9-fd0e97f35ca5)) + (net 127 "Net-(D66-PadA)") (pintype "passive") (tstamp f76af62c-f0b3-45cf-b172-3af09e1ae213)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 20f0d1d7-f90b-46cb-bed7-11a16f459d5d)) + (net 4 "+3V3") (pintype "passive") (tstamp afc2caeb-6da2-44bb-9158-8ec9952af269)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -20672,125 +20949,125 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp d49ad4f5-0b57-40d3-9afc-a384b6ceda39) - (at 195.409474 120.264926) - (descr "LED 0402") + (at 197.739274 111.472526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/426c75d9-9de8-4ccc-9ce2-2b85b06fdd01") (attr smd) - (fp_text reference "D13" (at -3.822 0) (layer "F.SilkS") hide + (fp_text reference "D13" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 736f7707-9844-41a0-8509-792b6d667ed5) + (tstamp b9196879-3a75-4e86-a550-4e956392209c) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 728d5733-391e-4cfe-9a12-9d72ead9d13c) + (tstamp f9af661d-15fd-4afc-a677-534046e433cd) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp b74656ca-a1d3-48c8-af5b-596bba5192ec) + (tstamp b7e2a3a8-6de8-4cd8-b04d-550813a969ef) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22a6195f-f9c9-4088-934e-00a3528ab101)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4143095d-b47a-47d7-9227-320b146057f2)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86f9c94a-608b-4b68-83c9-4f608db8aaba)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b65eea0-f2ff-4e3c-82e6-4a6888494de0)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d8ec5236-502c-4985-aff2-6ac053069021)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e80f47ae-9a8b-41d9-a676-78be45940fd3)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1bc542b5-ea71-43ec-b2a2-7238dd05a8da)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2905adb-1921-4cb4-ae0a-3b86317aa13c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e0ce98b-cd30-4adb-a9db-3c4368b16982)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0ce8c2ec-645e-45dc-b6b3-756c06e37637)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b227660-8562-4a2e-bbc3-12ee9c2ab1f4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58d81c99-66d3-4db5-84f4-3a27036bfe1a)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e771291a-1024-4ae9-a303-5a09cab6979b)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c31eb644-84d0-4fa7-9979-320a811af992)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35aea0ee-bd3b-48b3-acdb-238341eaf689)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 394ea693-65e8-4523-bed3-397e208eb72d)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp de6a6d39-eff1-4ad3-bd23-eabe03d02265)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 644dc6b5-22e6-42cb-a6df-e118b571b5cf)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 83956aea-2446-4351-86f7-e6100d8ee191)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1c6c31d5-f09f-4ea0-901d-179655f88385)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d63789a-d09a-4d5d-89bc-f7ac2be9926e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d44a050a-9714-4b75-8b9f-d377ec2c8f31)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bf68baf3-6118-4b19-92c5-846ecd78eaa9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cf112675-81d1-4f58-9b23-5ceabb517fee)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp af7e4088-10a4-489a-8aba-79260e3c54d4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp edbaca1c-484d-4469-97da-71d0072981ea)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 27 "Net-(D13-PadA)") (pinfunction "A") (pintype "passive") (tstamp 92453ae1-d05f-4333-9bb6-9011c2a2e196)) + (net 26 "Net-(D13-PadA)") (pinfunction "A") (pintype "passive") (tstamp b5423d1c-ca5f-46ab-b412-307dde99334f)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 28 "GPIO17") (pinfunction "C") (pintype "passive") (tstamp 895c238e-f33c-4e8c-8e2a-d5a03c89de67)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 27 "GPIO17") (pinfunction "C") (pintype "passive") (tstamp c607a644-3eeb-4421-b9b5-4cca71c3f77c)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp d4cdcfd4-885a-4ece-8eba-debbd71c0050) (at 154.355074 138.491126 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8fca3e25-2fc7-4d3c-8350-198a57868237") (attr smd) - (fp_text reference "D121" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D121" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 01716aea-9b02-4daa-9b7d-43c50c274f6b) + (tstamp 83e84628-3933-41af-84a1-fb3ee92d535d) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp fd7fadeb-46f0-446b-b448-1917558f7d08) + (tstamp 0be4caad-4ad3-4645-8f9c-5cc51fd216eb) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp aa89f34f-e9d3-418c-9886-5f6182aa1e34) + (tstamp 9d3ea504-166e-4400-a44a-9b43a898156e) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c48be7e-4ce6-4c89-8de9-8987bbf106b9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fe6b013-5971-4d4e-bc80-0b27e871f0da)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3595ef66-1c25-452b-8be4-0b3b566f1a9d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 850cd949-5224-4f00-9c0d-ae12bb15abd9)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5aa469af-5bf4-4fff-b952-f11d50ff8dfe)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f2f9df1d-d098-445b-944d-1357ee2a106b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0b3303a-fb91-460e-be9e-2f657a670412)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6360c718-e24f-494d-8888-a3d2f782a184)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5459e979-2915-4c3e-af56-074d893dc544)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 960ade29-196c-4278-8dbe-18767827feae)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 410de09a-8b17-44c7-951b-eb2ec9126bac)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 836bb2eb-25e2-476f-a477-2367b6791b62)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 1be5fd1e-9213-4ce2-86e6-cde065926a82)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 25f6898a-d03c-45a5-b708-27b57fb017de)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2d45fe71-4f26-43e9-bcd0-518d9e3b77d0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a8d1f4c1-305b-4c13-978c-acffc83d0e30)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10a901a1-b0d8-47b8-b1f8-527678ac5a55)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 91f9b323-254d-487e-9e8f-fa442f49a0e7)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b79f9a9a-65d4-4a7c-8b3e-8e7657327962)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4d59192e-6d61-4ef2-9cd5-f6641cc57843)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a8f27ab-c2e6-4c04-95f6-c9117384019a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6555c110-fd97-4461-b75e-92228f5c6b56)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8b7c36b1-9736-425b-8e21-9577f9aa595a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 80a7db2a-0657-41ad-8f0a-e96369336683)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6b1f342f-fe42-45cd-80da-45ba1e1d37a3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 22b09816-b36c-4799-805f-d0618632581f)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 230 "GPIO138") (pinfunction "A") (pintype "passive") (tstamp a2719236-3122-49fc-b973-d934d5800139)) + (net 223 "GPIO138") (pinfunction "A") (pintype "passive") (tstamp 79d0c66d-8f26-4eb6-8f4d-dca091bff4eb)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 368 "Net-(D121-PadC)") (pinfunction "C") (pintype "passive") (tstamp d867ce70-b584-4534-b924-c6015c9a180d)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 361 "Net-(D121-PadC)") (pinfunction "C") (pintype "passive") (tstamp 98b85cca-16a0-4d58-8d0d-49089a029666)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) @@ -20809,54 +21086,54 @@ (attr smd) (fp_text reference "J1" (at 7.77 1.69 180) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9ff56e67-a283-467f-8486-c50e19b86dea) + (tstamp ff35a94d-b905-47ab-bca4-f157d3c4974f) ) (fp_text value "USBC_GTC_USB4110-GF-A" (at 0 8.8) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 22e8bf74-bb1a-460f-afb4-97cdaf6bcc99) + (tstamp 9b9f49d0-f097-4e24-bc93-ca82408122b6) ) (fp_text user "${REFERENCE}" (at 0.02 2.4) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 21f5aa02-8867-4cd0-9e7c-3b488db21cb3) + (tstamp 3836ebee-a222-4772-b663-f3a7ec3bad99) ) (fp_rect (start -6.5 -0.9) (end 6.5 7.4) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d7c64baa-ea33-4c54-a691-b715470cfa55)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp af1105e9-4fd3-41cd-b123-4141e26bcbb5)) (fp_rect (start 6.5 7.4) (end -6.5 -0.9) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.Fab") (tstamp 08511e6a-7e15-4fde-8ee6-ccd211e2c3c3)) - (pad "" np_thru_hole circle (at -2.89 1.075 180) (size 0.65 0.65) (drill 0.65) (layers "F&B.Cu" "*.Mask") (tstamp 4161bb8a-9eb0-403b-b693-d8e739a9d4c5)) - (pad "" np_thru_hole circle (at 2.89 1.075 270) (size 0.65 0.65) (drill 0.65) (layers "F&B.Cu" "*.Mask") (tstamp 07ed4fe1-bd93-4d1c-94fd-a22f4b7f0d41)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.Fab") (tstamp afe4758b-56b1-43ca-b68d-4b5f26ac8d8b)) + (pad "" np_thru_hole circle (at -2.89 1.075 180) (size 0.65 0.65) (drill 0.65) (layers "F&B.Cu" "*.Mask") (tstamp 090e286b-0906-47fc-8feb-d466c77a4289)) + (pad "" np_thru_hole circle (at 2.89 1.075 270) (size 0.65 0.65) (drill 0.65) (layers "F&B.Cu" "*.Mask") (tstamp ba47160d-5258-404f-9406-380924e1d4ed)) (pad "A1B12" smd roundrect (at -3.2 0 180) (size 0.6 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp 516a8846-7419-46cb-ae2a-12a799e7bb8e)) + (net 2 "GND") (pinfunction "GND") (pintype "passive") (tstamp 01a8b5cd-7f71-457d-9edf-182af664d7bb)) (pad "A4B9" smd roundrect (at -2.4 0 180) (size 0.6 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "Net-(D1-PadA)") (pinfunction "VBUS") (pintype "passive") (tstamp c921148a-be51-4de0-966c-2bc78f046b24)) + (net 5 "Net-(D1-PadA)") (pinfunction "VBUS") (pintype "passive") (tstamp f79c314a-3078-4cc3-806c-72a4d4b8c6b2)) (pad "A5" smd roundrect (at -1.25 0 180) (size 0.3 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 251 "Net-(J1-CC1)") (pinfunction "CC1") (pintype "passive") (tstamp 7137885d-48be-41f0-a5ec-3a334f0ad28b)) + (net 244 "Net-(J1-CC1)") (pinfunction "CC1") (pintype "passive") (tstamp 74aa6dc3-ca77-478b-8585-140f405bcda5)) (pad "A6" smd roundrect (at -0.25 0 180) (size 0.3 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 252 "unconnected-(J1-Dp1-PadA6)") (pinfunction "Dp1") (pintype "bidirectional+no_connect") (tstamp 22fcd01c-03d8-4326-9827-9f02ba6d450a)) + (net 245 "unconnected-(J1-Dp1-PadA6)") (pinfunction "Dp1") (pintype "bidirectional+no_connect") (tstamp 584b0e40-b157-44ad-a22a-a715d12355b9)) (pad "A7" smd roundrect (at 0.25 0 180) (size 0.3 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 253 "unconnected-(J1-Dn1-PadA7)") (pinfunction "Dn1") (pintype "bidirectional+no_connect") (tstamp a78b82f2-1f35-43a0-92ac-dc0747d64524)) + (net 246 "unconnected-(J1-Dn1-PadA7)") (pinfunction "Dn1") (pintype "bidirectional+no_connect") (tstamp 11843f9f-e41c-4f21-aa01-d61581535e59)) (pad "A8" smd roundrect (at 1.25 0 180) (size 0.3 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 254 "unconnected-(J1-SBU1-PadA8)") (pinfunction "SBU1") (pintype "bidirectional+no_connect") (tstamp b9b6a738-0e32-4e4f-9198-667641cd8888)) + (net 247 "unconnected-(J1-SBU1-PadA8)") (pinfunction "SBU1") (pintype "bidirectional+no_connect") (tstamp ce54ec0c-bcbb-4a33-b53b-5d816c3daec9)) (pad "B1A12" smd roundrect (at 3.2 0 180) (size 0.6 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 037feb84-1f22-448f-ab97-371a70f75867)) + (net 2 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 8badcc81-a76d-42aa-8aae-8596ea32e6d9)) (pad "B4A9" smd roundrect (at 2.4 0 180) (size 0.6 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "Net-(D1-PadA)") (pinfunction "VBUS") (pintype "power_out") (tstamp e6705e55-c778-4896-abab-0d63c3b28c55)) + (net 5 "Net-(D1-PadA)") (pinfunction "VBUS") (pintype "power_out") (tstamp d0182ce9-d6da-49ef-a68f-329d986823fa)) (pad "B5" smd roundrect (at 1.75 0 180) (size 0.3 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 255 "Net-(J1-CC2)") (pinfunction "CC2") (pintype "passive") (tstamp d0093bea-ae3f-4c69-80f5-7eb4768046c6)) + (net 248 "Net-(J1-CC2)") (pinfunction "CC2") (pintype "passive") (tstamp de191404-e998-4211-869a-ccfb3cd7c59b)) (pad "B6" smd roundrect (at 0.75 0 180) (size 0.3 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 256 "unconnected-(J1-Dp2-PadB6)") (pinfunction "Dp2") (pintype "bidirectional+no_connect") (tstamp 1adfadac-778f-4734-8648-27c2f5181501)) + (net 249 "unconnected-(J1-Dp2-PadB6)") (pinfunction "Dp2") (pintype "bidirectional+no_connect") (tstamp 5a7e9dba-16c2-4573-aa27-8a0fa2251c10)) (pad "B7" smd roundrect (at -0.75 0 180) (size 0.3 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 257 "unconnected-(J1-Dn2-PadB7)") (pinfunction "Dn2") (pintype "bidirectional+no_connect") (tstamp 6c5f2995-1237-4fbb-9578-2be23960d755)) + (net 250 "unconnected-(J1-Dn2-PadB7)") (pinfunction "Dn2") (pintype "bidirectional+no_connect") (tstamp 91030659-58b0-4804-90ff-61a3773964e8)) (pad "B8" smd roundrect (at -1.75 0 180) (size 0.3 1.15) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 258 "unconnected-(J1-SBU2-PadB8)") (pinfunction "SBU2") (pintype "bidirectional+no_connect") (tstamp a8264657-4bcc-47ac-ab8c-eeb0931ce5b4)) + (net 251 "unconnected-(J1-SBU2-PadB8)") (pinfunction "SBU2") (pintype "bidirectional+no_connect") (tstamp 28359151-f8f2-4f35-a5db-fb084792a574)) (pad "S" smd roundrect (at -5.11 0.575 180) (size 2.18 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 259 "Net-(J1-Shield)") (pinfunction "Shield") (pintype "passive") (tstamp a2b6b500-030b-464c-9a00-b064162c2e0e)) + (net 252 "Net-(J1-Shield)") (pinfunction "Shield") (pintype "passive") (tstamp 93641e05-1382-4164-a73f-dfbd93faa260)) (pad "S" smd roundrect (at -5.11 4.505 180) (size 2.18 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 259 "Net-(J1-Shield)") (pinfunction "Shield") (pintype "passive") (tstamp 23623766-0083-47f5-99f8-0adcfd69cf53)) + (net 252 "Net-(J1-Shield)") (pinfunction "Shield") (pintype "passive") (tstamp 924a6b66-a3c2-4893-b87a-ba3eab0b8215)) (pad "S" smd roundrect (at 5.11 0.575 180) (size 2.18 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 259 "Net-(J1-Shield)") (pinfunction "Shield") (pintype "passive") (tstamp 00a9c924-39a0-4220-b12a-3f1797dce243)) + (net 252 "Net-(J1-Shield)") (pinfunction "Shield") (pintype "passive") (tstamp 770baeb3-6898-45af-9e63-e3dc95f84a8e)) (pad "S" smd roundrect (at 5.11 4.505 180) (size 2.18 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 259 "Net-(J1-Shield)") (pinfunction "Shield") (pintype "passive") (tstamp 7daa20ae-c43b-4bc5-af1e-4b6f9a0ad356)) + (net 252 "Net-(J1-Shield)") (pinfunction "Shield") (pintype "passive") (tstamp 286e78c6-57e2-4f8c-ac08-6a096d40f996)) (model "${MODEL_3D}/USB4110.stp" (offset (xyz 0 -7.4 0)) (scale (xyz 1 1 1)) @@ -20864,67 +21141,6 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp d5bf3b33-dfc8-4ff8-9ff7-416ddf5f920c) - (at 177.165274 141.564526) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/9fcd1c0c-28a5-4f16-94b2-68ab04f7d544") - (attr smd) - (fp_text reference "D17" (at -3.344409 0.02) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f68dc348-d126-4c2c-9ea1-463ff00572bc) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c4b4dd06-e5f4-44c5-bb76-fd2bdbc04e16) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp a40684a4-2101-487b-930c-4c47ce641aa6) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebcece52-3c75-4ba8-88e7-079e4be29337)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2504ade-0a30-461f-91f4-70cbcf66a73d)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0820a256-a56f-4f8e-85bc-5942554de3f8)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97e4e9b5-7340-4daa-9260-f94135f3f8be)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a9b485e5-b6f8-4aad-864b-8b334050b1ba)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b352f3bb-f531-4ad6-a14f-b9f9bd9cdcf5)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2756130c-5a54-44ad-a5c4-dd910ea75ea1)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d78c7af0-a22f-4049-af8b-ccf3f44da784)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 42ac4815-3c69-492a-a721-51f26bcf0071)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 39c39f7e-1ed8-4c8a-8dcf-91e8bc0db379)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f7399bbd-550a-4a49-8d98-71320321ea2e)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b93afac4-c8e3-4c67-a916-d0de02a270d0)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 49bd047e-3605-49eb-bedf-7c77f342405e)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 35 "Net-(D17-PadA)") (pinfunction "A") (pintype "passive") (tstamp 748c84ef-d1af-4ed3-ab3c-64ff80a94bc0)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 36 "GPIO21") (pinfunction "C") (pintype "passive") (tstamp 060eeca6-d2d8-4a96-ba29-e253c99cf8f8)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp d61574d0-70dd-47b3-bda3-2bba65473fcf) (at 69.203634 112.236971 180) @@ -20942,44 +21158,44 @@ (attr smd) (fp_text reference "R67" (at 3.564 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cdf2c552-e108-4166-8cdf-0a858c14514c) + (tstamp 87728f16-4e30-4675-af7f-b06f9dfe032c) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3cd5525e-3c94-45dc-a687-91235897bcfb) + (tstamp 203f1b2b-9659-4009-8f43-3b86e412b743) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp be17ca4f-8a31-42c3-8c60-523ca993fd75) + (tstamp 179c1c24-5137-4cfa-86bb-7a3d830dc549) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a66bb63f-6f50-4eed-a7e5-eac13f88461a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9032f58-134b-474d-be1f-e43adef9a78d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83cc55d3-cb7a-4042-a883-3b697e3efade)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db8ccd71-40a8-40b2-89ea-de540c4086cd)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 566f98b0-aac2-4b48-8e6f-b82d1ecd84b7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fdf22218-e785-4223-ab5e-7765401a0580)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03386208-b32e-46ea-bed9-aee7604377a7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 53c8313f-dd99-4c30-89d9-c6d7729e810b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 60a32325-265a-46dd-bc22-0bacd72d3b99)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ea4b09cb-245a-4ee9-9132-f3ea136bc1e8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8695ef17-8745-41fe-a560-4ffa1e307b28)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bc703a10-2f1a-4c3d-9dc1-4a5293255680)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8d822237-9fc0-46d5-a331-5adec4a8fa60)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e9553046-f062-43c1-a866-31840356e314)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp db3dd168-ecec-4bb5-9ef9-115d16772855)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c3de2ae3-b563-47a7-8dbe-01766459ad6d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8848edd2-ebb3-400b-8f2a-858c6fbe0fff)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 187a3625-3fd0-4474-a52b-cabb1d647952)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fcabb265-993d-4576-901d-7d44e26f5e56)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b8fafe7f-8a48-4110-aad9-c041a67a61a1)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e00be77b-8a6a-4d6d-b4c5-f62c74362c80)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a62d95e-2051-4c41-bb9f-3afab9b86395)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8f2ce7bc-5b00-4c9f-8a26-9e6f1d420ea5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5a3c5712-337d-473b-97f5-02d65383fc1f)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "Net-(D65-PadA)") (pintype "passive") (tstamp e00e7a8e-a100-4266-a824-53d3ceb8d163)) + (net 125 "Net-(D65-PadA)") (pintype "passive") (tstamp bdb13d60-e5ca-454d-bfc6-e7b7136ea094)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp ba428913-2a96-44ea-aeba-ac734efa8325)) + (net 4 "+3V3") (pintype "passive") (tstamp ff3d2493-5551-4e69-b35a-6fa2a43b2784)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -20987,71 +21203,9 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp d619cb9a-46e2-431f-b392-e0ee6c45b0fb) - (at 207.744874 78.674726 90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/73114095-3886-47a7-a39e-8a748c1d1bca") - (attr smd) - (fp_text reference "C19" (at -3.048 0 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8cbcb446-f8c0-40b9-9d0f-46a4811389f5) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3c2b7f5c-3c8d-41ee-8607-1832a28c79a0) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp fdd63f08-9bf3-44c6-bb20-0ff61d4caf9b) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6a01ab3-9f83-4c90-9efc-130aac36f8d3)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b86e415-df06-4774-960c-114543ca990e)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c261aef-f7dd-4e3c-9ea3-fc44b0029d59)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c236d766-dc5c-4266-b5e2-bed6c1156d9b)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f1ed3316-90c1-4a27-a36b-fa1230924319)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2101ac43-9a6d-4ce9-8cc4-bdab813b6c3d)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 320532ec-a726-4a72-8efe-3ed1ec6efe06)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fd13c3d5-3b40-4c3e-ab81-3100dfd934c1)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 41001552-a0f7-40a1-b1db-7a93433c2105)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c845739c-4807-4d35-af81-0c375259b65c)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8d3d7095-140c-4728-9cee-68b4c53c8b46)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 096353bf-954b-4be8-8c86-22ec7583c60f)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 40a20a5f-52fa-4c00-9c3d-dcf79131469e)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 379 "Net-(IC1-S7)") (pinfunction "2") (pintype "passive") (tstamp f1b8c291-40c4-4765-b389-01f10ebc0067)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp d652ce42-924b-42e6-8353-30aa1079269d) - (at 184.517874 133.964311) + (at 205.413674 134.096311) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -21060,50 +21214,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e3948706-4a24-4a90-ac55-d1a5841ee4bd") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/a7edb125-be78-4b42-b2b9-bd2bba71ff69") (attr smd) (fp_text reference "R30" (at 3.422026 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1b49306c-c1d1-43ad-890b-85804673b638) + (tstamp feac5113-5b49-450a-b40f-b217b4051217) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 459d675a-794f-4332-b84d-4a9e9b525759) + (tstamp 4ea4765e-d8c0-4048-ba0c-bfc42645954a) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 81c35ee1-7e85-48f7-85cd-b629d6f0a1bd) + (tstamp 865e4f26-cddc-4086-9685-4c35e936b757) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8b851b30-3c43-4ae8-b681-3cc140a27735)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d0bc932-f7ac-4260-80f8-5674ca4f461d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c862508-81f2-4125-ab9c-0d428794fc79)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65326c75-2598-4d98-b4f4-3ccddfe1c1f4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00a334aa-e31b-4802-8e38-907f17b9e902)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 71fe21d9-c458-4361-96c6-d136858ba975)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 90b00193-6cfc-41ea-a38c-359ba9edc6ae)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0313d389-4bb4-4b1a-8599-0d3ad5ae1a02)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8e70fbfb-0a0a-4b55-9dc3-563d2dc74384)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1932c381-76c7-4295-bd0f-ac97be1f2575)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d2efbef9-d7c7-40a0-a70e-f436fd373627)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aa1f2c22-6c32-4fd5-95d1-b52307ab4855)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 54dd5f0b-f30f-410b-a78e-85a69706ea01)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cb563633-203e-484d-b516-4819a97d2adf)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e8f28025-7679-498d-9cd1-f9327953d43c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 91cfa159-883f-4123-8275-e88de77cbf88)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ac9ca9b4-eadf-4038-9c16-89d2d95775a3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bb02292a-436f-4555-b59a-0961d40a2bee)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f8ab7617-f859-4e4f-b92f-903fb68387df)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4b0538b-cf62-4bd7-8480-57537c9542d2)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5899edbd-aeff-4b55-9060-05e32259dbfd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a9eced0e-3cb1-44fe-86c8-1d1bbdeeb957)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4f5d27fa-e7aa-401f-aebc-af970c6856c7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b29acb16-9fcb-4a93-8521-e8d12d9a595e)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "Net-(D28-PadA)") (pintype "passive") (tstamp 14423a73-81a8-467e-823a-474e5f7cdb93)) + (net 54 "Net-(D28-PadA)") (pintype "passive") (tstamp 24fa06ea-7608-424a-a0dc-2c9f60f6947d)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 5617d625-d410-4ab6-8215-fa40afeb9742)) + (net 4 "+3V3") (pintype "passive") (tstamp 5004dc71-33ab-4459-8d2e-e034e0e30a9f)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -21113,7 +21267,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp d6a68303-88bb-4cfa-a9e3-aca374003a9a) - (at 85.876274 60.382223) + (at 85.826844 60.382223) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -21128,44 +21282,44 @@ (attr smd) (fp_text reference "R50" (at -3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d935d50b-bd31-4c15-9e74-b2d18065d5a3) + (tstamp 88b94ae0-a5b3-40de-a365-f2eb253d19da) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c42faf14-3ff4-4e0a-bf7c-1d8a68365c62) + (tstamp dba9f5d1-7287-4862-b8c3-c63766016483) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 3b49a34a-1af4-4d9f-92c1-acaab43a348d) + (tstamp b4325637-5c16-4da8-9ab9-3fb25d1fe6ce) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7688572e-884e-4854-bef3-ecba0b1a6744)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b37cd175-68d7-4e3a-9b0d-cb4ea8c6f2e3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b8a7ade-2d34-496d-a15e-d699ba838ec7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c6e7967-e81c-4f99-a2f3-5342e06f340b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 63bbe770-54f8-4cb1-868b-2afad59cfbb3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac40eeaf-43f1-41be-b5c0-fab2c41e90dd)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05aed48f-2003-4476-9572-0676b781c423)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 385535e6-bae3-421a-bdc2-efb704910eb5)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2dc843bc-15fe-4a52-86d5-d902dfc32039)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0fd4729c-2f13-45cc-82a7-e8601e48418d)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 72ba7917-b7e1-4111-a9d3-29a3bcfacbd6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7c4874ed-3f05-4051-84e4-eceef5932611)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4d2fec1b-44b1-42e6-8eac-3ad00c6d03e9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4c8f275b-a97b-4e48-887e-337b4c3a903f)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a3a60893-8a3e-47dd-853e-f8d9bae9a277)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3ae024ae-d6ee-4438-be23-9935159ebbe1)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d4bd044d-1d80-4907-8a63-e79f9a5cb377)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a70b2b67-316a-4c6a-8f6c-7136035b68b5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3ae9f02f-41a3-44b9-b81a-834c56fd0403)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63634f1e-e851-45c5-9a76-132c90047f54)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7726490f-a8cd-4c69-8195-4e1fb3fad8c1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2221b914-e679-4335-aed2-1a531cbd9996)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 194e7c2b-b77d-42ea-bca6-0f555572c55a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 20cefb08-1bfe-4e57-b60d-0bb8a66a6a00)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 9e3c2a9d-94a1-490d-891b-17e367c06fa1)) + (net 4 "+3V3") (pintype "passive") (tstamp 52aa2159-55a5-4ce5-9fc5-c98f67e95e97)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "Net-(D48-PadA)") (pintype "passive") (tstamp 398f4214-4837-4e25-b873-02ff6fa803fc)) + (net 93 "Net-(D48-PadA)") (pintype "passive") (tstamp 0c03a3d3-67ae-4227-aea2-c3aed8111fea)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -21173,122 +21327,122 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp d7c7eb43-e35c-4145-ba3a-fb3e77ade2de) (at 154.355074 143.571126 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/ff381a50-ea0f-4d1e-a0f1-d35010e2fc2c") (attr smd) - (fp_text reference "D123" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D123" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ac0114fb-6b91-46c7-82b9-3cee2c4e3661) + (tstamp 6a5c577d-7c67-4656-8289-0698174bb3e3) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 79cb2bdc-d514-438c-82fd-038131b17554) + (tstamp d90a6754-053b-4365-a0fd-f62897699cdf) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 144b9f13-70a7-4e54-8b01-42ae1d708d8e) + (tstamp fe82ff32-38e1-480d-a67b-deaaad28e7f6) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e4b202a3-a6cc-49c7-bb75-1d78e61494da)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec9533d7-d40f-4285-ba19-7df7904c7275)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b79aecd-7606-4e04-b08f-017b075400ff)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 683ed574-1001-4cac-a2ce-bcfbdcdf9df7)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp abb90ac7-e2cc-4b4d-b7d2-ffdcddd9bd7c)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 176ce979-ed10-4ce7-8ace-581151589ea3)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e198b902-d117-47d3-84ff-ed1caa1846aa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce234a82-724c-460f-a087-21b59c49bf35)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80b043f8-d85b-40bb-9d1b-a1bf74213ea0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f11d217c-b517-4946-addf-218e5c7a0a7b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1ff08e3-4e57-4d79-9fcd-805f2fd6e6a7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01b3b628-456f-4adb-b225-f38830662000)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 3dec4b38-2278-45b3-b0ff-521940f29485)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8d240f8e-c033-4eca-8db4-a4f2f05a09d1)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62aa0969-8486-4b81-8173-24e31c6be99d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8c1a5d75-a4f6-43e0-bfbd-3861218a3b95)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 82528d89-92c2-47a0-bb03-c76091412940)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb094b2c-4db6-4f9a-9b41-4418dc3e9c60)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a8be631f-ac17-4f75-9b9c-22c81f660046)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f1770fe0-0ce1-4618-9be7-b9821bcf8634)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5abaf6be-e2e7-4341-ad1c-9085b26f11c3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e184bd99-b257-46ff-a0e2-6a49295125ae)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 11200edf-4271-4b98-8b31-f8e86152dca7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 34eb9982-ef49-442d-8457-2ab9229e92ca)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b200d105-beeb-4b58-98a3-708595256e79)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 41df8b91-6937-4ac3-aa81-e6c5ab0c4280)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 232 "GPIO140") (pinfunction "A") (pintype "passive") (tstamp ecb1c4da-9a4b-4410-9be0-5e8ea3189acb)) + (net 225 "GPIO140") (pinfunction "A") (pintype "passive") (tstamp 694926ca-9064-46cc-9d0f-5fd959ad911d)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 366 "Net-(D123-PadC)") (pinfunction "C") (pintype "passive") (tstamp add612eb-20fd-4058-ba23-06994208edb3)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 359 "Net-(D123-PadC)") (pinfunction "C") (pintype "passive") (tstamp cb12fb01-3195-4f31-b88c-4a7ee2c99c2f)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp d7e1c5ed-a181-4b0f-9424-f3153f37874e) - (at 177.165274 131.404526) - (descr "LED 0402") + (at 197.739274 131.536526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/d927f716-a65e-45be-af7a-b57c70057faf") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/a2ccb6f6-9302-4d6a-9778-0083357f577c") (attr smd) - (fp_text reference "D100" (at -3.8086 0.02) (layer "F.SilkS") hide + (fp_text reference "D100" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp c1670a38-aaef-44ba-b80b-bd406d45c8e5) + (tstamp 31c8663e-af92-4615-a873-f22fdd2fddae) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3c6e0dcd-033b-4652-ab37-8155e62c90c0) + (tstamp 6a81b410-b258-459c-9d59-0aff7bd1836b) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp de8696f8-8385-4ebe-ab73-56800b771020) + (tstamp 699403a3-9bbe-4e85-949a-b4433b231ee5) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8e423159-8cf6-4986-91ed-f2f9dc3a9b7a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b965ecb5-aee6-40c3-9d2d-946ecada26ca)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86612ec4-7b3c-416f-a72f-914f043292e9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab8b246a-30e8-4e50-b942-af185a6cf091)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 85d823ff-0b99-415b-ac4c-2e78e7d7c05f)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2f25869e-301b-482a-9eae-64cd188e01f5)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c36abbd-10e4-40b3-b225-114a3839fced)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d6930935-d962-4346-aebf-ad3d708a66c9)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c8242f2a-2c24-421d-8d08-08a1eb3e67d9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d436258a-4c22-44e5-a0aa-691e0e0d4d06)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56a65cbe-e205-4bf5-a92b-58ca986e271d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1da2587-e661-4565-9023-c28c37b37936)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f54c07ef-2a89-4caf-ad75-9909d68de4eb)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 447d076c-5414-4c70-8e9c-673df669b0e9)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d7fee18e-1705-49a0-81b6-11846f38e4b2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fdf4d6b8-f5ca-4dae-b8cb-3651460a3cf3)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp eac30998-a607-49f2-aacf-14637f090b86)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 24fc82ec-7f92-49e7-bafa-d23ca6ecdd7c)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 876f62b6-9c90-41df-b998-6abd62461897)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 54987661-e4b7-40a0-ba2c-effc6c3dc2c8)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e622ff2c-3c41-4fe0-8c2e-d3f5a7021eff)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c18a4f70-5c76-40f6-8616-ac55eac332d1)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f8079242-e32a-4ace-8849-9e97751c4036)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10b50bba-33f6-4b01-848d-1786a25f49be)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp df462c26-4800-4d59-8c92-a492273c661b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0fa6991-653e-4cb4-974f-33950ae55033)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 198 "Net-(D100-PadA)") (pinfunction "A") (pintype "passive") (tstamp 5491cad5-4bed-4c8f-a59b-b805d5fdb3e2)) + (net 193 "Net-(D100-PadA)") (pinfunction "A") (pintype "passive") (tstamp c2058081-4227-47a1-8075-67de8256ddc4)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 179 "GPIO105") (pinfunction "C") (pintype "passive") (tstamp d0803606-e942-49ae-9d57-61cde0b10934)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 175 "GPIO105") (pinfunction "C") (pintype "passive") (tstamp 89c92c54-05ab-43f1-ac11-cb2e78640d2b)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -21297,7 +21451,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp d85c581c-3afa-4e58-b79d-7e99c7a26962) - (at 203.073274 110.414926) + (at 205.413674 101.622526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -21312,44 +21466,44 @@ (attr smd) (fp_text reference "R23" (at 3.166449 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 88334fbf-46ca-4efd-a2b1-5c8b7605adea) + (tstamp 27b65bbf-6138-4818-bc0e-425db1def130) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0fcfe065-07b6-469b-86d2-942ca523657a) + (tstamp 223c1a27-702a-4ede-8172-50e877de0456) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp e868c4c4-242e-4dba-8624-0bdb22386129) + (tstamp cabe461f-6c37-47cb-8cec-ed76f3c8fe30) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e93b8cdf-8341-4247-8a46-08e3c87e8d48)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eea600ee-65b2-4d86-8494-451c38f10052)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b15e6b03-0710-4c9d-bd7a-9f55fe0943ac)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0ffb01e-4c57-44be-916e-0535ce0dfeca)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59b78ae6-2431-4ab7-821b-21e64278d869)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98439d59-31eb-485b-af1d-28376e965702)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ecf62bc3-b652-4de1-ac46-572af1030fc8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c44dc74-b002-43a9-b883-b52b954a5809)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8d86e3fc-7065-4e86-8ea3-1f08f1aa29ca)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b59fb95f-225a-4839-8bfd-2e6a52c2958f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8cc66796-5e81-4257-a8b1-7e90806c24cf)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 34de3752-ed65-4011-b438-48eda3d1176a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f3122d30-40e6-47eb-8d83-6a0529209043)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bc09f573-cf24-4e26-b382-f09255cfdd04)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 24106b80-4a8f-46ef-a567-0987f3bf9c49)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3a2dc6fd-4727-4245-b88f-8cf947479b91)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d5063de0-8fab-4b4e-95ea-2d96931f1ec7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e054dbfb-7c78-4dc4-a6ce-f20601c143a5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 87a6a451-05cb-436f-b56c-7fab624b6805)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 23c1332c-7ff0-43e8-92fb-c420798a98ed)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7c198ac1-ae23-4a93-9c88-f4ea3115d8e1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e98c8974-f13f-4799-a26d-010b762dca98)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 35039538-0c1c-45c5-bbc7-4d17e9f7f0ab)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7db1d892-2f98-406b-848f-7c7e9bdd7f1f)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 43 "Net-(D21-PadA)") (pintype "passive") (tstamp b7e4f059-56ea-44c3-b763-6b61e8036e0e)) + (net 40 "Net-(D21-PadA)") (pintype "passive") (tstamp 54aa0f75-f200-4645-ac29-806b235cf065)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp c95302f5-d84a-491c-a808-721cd5b79a96)) + (net 4 "+3V3") (pintype "passive") (tstamp 866299bd-243c-4a0b-94d8-67de2a8d0a45)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -21357,139 +21511,9 @@ ) ) - (footprint "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") - (tstamp d8cbf3b9-d73e-48e8-98a4-e56891068e54) - (at 196.100274 51.272526 180) - (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") - (tags "Through hole pin header THT 1x02 2.54mm single row") - (property "Manufacturer" "Harwin") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "Sim.Enable" "0") - (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") - (property "ki_keywords" "connector") - (property "manf#" "M20-9990245") - (property "mouser#" "855-M20-9990245") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/66839a88-8896-4e99-8017-401dbb1a980f") - (attr through_hole) - (fp_text reference "J11" (at 0 -2.33) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp dc9710e8-17e2-4ac3-824d-2c4195d5856c) - ) - (fp_text value "01x02" (at 0 4.87) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0ec0b4b7-ac2f-489a-986d-77a83697e608) - ) - (fp_text user "${REFERENCE}" (at 0 1.27 90) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c570442c-b409-4edd-9031-48143f043c57) - ) - (fp_line (start -1.33 -1.33) (end 0 -1.33) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dec4566b-b47c-44f5-a3ee-97e08b840300)) - (fp_line (start -1.33 0) (end -1.33 -1.33) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1cd70919-5fff-4742-b523-40f45c066467)) - (fp_line (start -1.33 1.27) (end -1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp abe07d30-96b2-4898-9eec-b2f5aa7a356f)) - (fp_line (start -1.33 1.27) (end 1.33 1.27) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c283357-3ec3-4fd7-a53a-8ddba0c3bb0e)) - (fp_line (start -1.33 3.87) (end 1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 007316e9-906f-407d-84b9-85541a0d5c7e)) - (fp_line (start 1.33 1.27) (end 1.33 3.87) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e39378be-4c11-4a64-82ed-aa449016500e)) - (fp_line (start -1.8 -1.8) (end -1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c54f225f-1084-4ccf-855a-bb63a839779a)) - (fp_line (start -1.8 4.35) (end 1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 872c5529-c6c4-453f-af9c-e4ef9bd8f1ef)) - (fp_line (start 1.8 -1.8) (end -1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9bf82d94-46b2-45c7-bb2b-38a3d9a695ae)) - (fp_line (start 1.8 4.35) (end 1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 140eab81-fc72-4c3b-9e23-b6a573c00b41)) - (fp_line (start -1.27 -0.635) (end -0.635 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 686df7c3-82b2-4c57-b92c-4dbb970c1b2f)) - (fp_line (start -1.27 3.81) (end -1.27 -0.635) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b4552deb-1456-475f-9f69-90049227d97e)) - (fp_line (start -0.635 -1.27) (end 1.27 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da0b4319-66b9-4018-b574-70146aa9ccb1)) - (fp_line (start 1.27 -1.27) (end 1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb0c7bc7-b9a5-4e85-b66f-5e0f55872c89)) - (fp_line (start 1.27 3.81) (end -1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d2b1ad27-507d-4501-a381-60fc565b3a34)) - (pad "1" thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 396 "Net-(IC3-VDD)") (pinfunction "Pin_1") (pintype "passive") (tstamp 64ba7eb3-711d-468b-8230-45132ea19efb)) - (pad "2" thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 338 "Net-(IC3-EN)") (pinfunction "Pin_2") (pintype "passive") (tstamp 54cdd6d7-688f-434c-bfa7-7522d3c6c326)) - (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp d8eebd5a-3086-4e93-b485-14973f976e0f) - (at 209.522874 78.674726 90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/4bca76b9-a1d8-4122-bc47-434e6c1f77f4") - (attr smd) - (fp_text reference "C17" (at -3.048 -0.014 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 829eee70-672f-4065-ba49-a014aa17b9c9) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp c342ec35-c6e4-4cba-8c53-a7a92608b65b) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 71fe3153-4271-4e1e-af76-f134243ad4fe) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 13b1db4f-c0bf-44ae-9d37-73c980509b6a)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9de51e5a-6cb5-4cc7-bcc5-27ea71c5e6de)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 60d68cd2-154a-4497-bcf9-5b899a2fdd34)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9d0f276-02ca-4b33-9e59-0c7078b3d221)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 57af9419-2116-48fb-889d-f71b652019c6)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3f238faf-5825-4888-80f4-71df20a755c9)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1d66810b-9b50-4b76-8031-f5c1122dfae6)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e4af75c8-8cf2-457b-98e9-6ed9dbdcb405)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f4fa3737-5ea6-4790-8032-db10fbc27b21)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fb032f1d-62f8-491d-97fa-0253a020690e)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fac92024-8d07-49f6-90c5-2d9326733bdd)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3f58c75d-1541-4bbc-9700-87a325b5b986)) - (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp a639d1d9-a84a-4135-a2ff-e3c621ee26ab)) - (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 377 "Net-(IC1-S6)") (pinfunction "2") (pintype "passive") (tstamp 2e05a72d-023a-4b7c-8bc3-b148cf60a82c)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp d9a5fa11-35bd-4392-8eaf-4c85b1ba8124) - (at 184.517874 128.864526) + (at 205.413674 121.376526) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -21498,50 +21522,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/3b41403d-d7c8-464c-acc0-49d5847d3ad2") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/b77b7cc7-ff1b-4bdc-9a69-1031a2088514") (attr smd) (fp_text reference "R79" (at 3.41701 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ab137411-0f57-44b5-8770-4291edf9a5e3) + (tstamp d4285bec-ca33-414c-b81d-fc77b140e2be) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d75c021e-cca9-4524-a7e3-390b9b188185) + (tstamp 0078e118-614d-41d4-9d79-fc6958d18256) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 39e57883-18b5-41a0-a2eb-b9551e6fb517) + (tstamp 4b677f01-71fe-4006-9756-d3d7f0e3f667) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f190fa4-87b2-4dd6-bf64-0283763a329d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 156708d4-e87e-4eb6-b257-8077f79392d8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 15745f4b-346e-4cca-b84a-42b2bfa936f4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c3b25cc-655d-4b8a-b7a6-712b382a649c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e9c081bc-4f41-4030-882e-bf8f1ffe43a5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ac89152-2621-4505-ae56-b731bcaac580)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5aa0f76e-b5fa-4610-8e4a-fc65770b760f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf34faec-8d83-4b30-9276-c828124caebf)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 76e634b9-a3dd-4f08-b982-128319f44e36)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 13a94b0b-644e-43ca-b7bf-810ffc762058)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a8ebe293-1b2b-40a7-ae86-c61028f0aa10)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f1fe538d-a9c1-48c5-b940-af4bbce87fb7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dfabb30c-2e55-452f-b6a6-d853a25ba710)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 51a443bd-8ba7-4182-b07f-51b7202b853d)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 48df53d8-81af-4728-8c3b-51ca69da7a78)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7ce9098b-ba11-4129-8527-b6f3c2d87e7b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c61a40e-ce33-448e-a8a6-1879627542f0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 80de647c-4247-4ad9-8617-3de27e526849)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 787c1e0e-6695-4272-b4dd-2ae5777caf12)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e11f979d-3cd6-47d0-9a9c-e113cce6f36c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 21810ce7-0e79-4928-b403-18fafd3ee21c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cb3d8008-4a44-4be2-b4a7-9dc255fbfa1b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85b1316b-288b-49bf-a937-f59b385e26c3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 59c6951c-e574-468a-b279-9935eface24e)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "Net-(D77-PadA)") (pintype "passive") (tstamp 498be0e0-510f-4db2-84de-f6d93f83d602)) + (net 149 "Net-(D77-PadA)") (pintype "passive") (tstamp cef8b23c-f271-4335-a683-1ead1a2027ec)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp c1f4b94b-6394-4e32-81d4-99e6af8c0b63)) + (net 4 "+3V3") (pintype "passive") (tstamp 869981ca-7e94-4524-8889-88d0d4dcc655)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -21549,61 +21573,123 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp d9bcef0a-b2d6-4b94-8b95-b921962ea7b4) - (at 195.409474 112.899926) - (descr "LED 0402") + (at 197.739274 104.107526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/908637c0-c367-4e55-be6f-0ef38567f16a") (attr smd) - (fp_text reference "D94" (at -3.831849 0) (layer "F.SilkS") hide + (fp_text reference "D94" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d1e1dff1-fdb7-4370-aed8-cd471de946e7) + (tstamp fc69b95a-6683-4884-82f8-d4b94cd18094) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d4db9e0b-f27e-4d40-9dd1-2ec9f6a75675) + (tstamp 51f591a1-b361-472f-aaca-bc77e660c5e7) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 4ee5c7cb-7665-448e-b3d1-31280d54a4cc) + (tstamp 4324627b-5f1e-4200-892a-27452d213fe2) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a36c8d7d-0090-482e-92d5-bbc0957a1192)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f403207e-efa2-44ae-846e-9631c6a21e70)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db2d828b-8a7e-4234-bf89-b0ba7dd00cdc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 222abe19-138b-4670-8ee6-fff2c15770a3)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 63ed73f8-c6ed-4412-83cd-2b6aeee255e9)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 316bff4a-16a3-4d19-9891-6c162136dd7b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c4e7973a-2e4d-48b8-ba7f-1a27b19b412e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cbe07f53-fff2-4d02-b7b1-f8fe395ee89f)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4dc11f2b-e5ee-469f-a65f-83b688c5e6f7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c5c5263-3306-4a78-af64-80f31b303bd4)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4c08420-68c1-46ae-8fb8-627c9d01cd0c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75cbe21d-d3c8-4a77-a660-872f315d0453)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 05ad1e02-a639-4ea8-8772-6115e29acd3b)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f180c70c-3652-4385-9315-1b86126b4f67)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 86de5139-63bd-4482-b1b0-fcbf6d823467)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c411eae8-df99-4016-8223-a2c207602fdb)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3a97e88c-fcf7-4f58-8b2a-cb35fe4abd62)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5d392ddd-f085-43bc-807d-9bc2c890b09f)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 070f7c18-f8f9-4084-9c20-0656d3d1af8d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6cba2289-9f7b-4921-b1e3-75c8e3087750)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ce21693-207c-4001-b4f2-5edd6a0377ef)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83c2ee34-df44-474f-9ee2-e377b743799a)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f2372a7-2fb2-4274-8c49-28bb24188189)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 727f56cb-e073-44c5-9b09-66ce542fc0e2)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f1c06da8-f894-47b4-a491-ad3963ca731a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f3d0e97-10f2-4fc3-ad8b-4fa9ec5dbd3a)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 186 "Net-(D94-PadA)") (pinfunction "A") (pintype "passive") (tstamp 1c0e9e33-b5d0-4a87-98e8-52b28bb56ed0)) + (net 182 "Net-(D94-PadA)") (pinfunction "A") (pintype "passive") (tstamp 05847f87-e2cd-44ed-bb24-6a606793126d)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 173 "GPIO102") (pinfunction "C") (pintype "passive") (tstamp 8d0ff7b1-3130-4fad-bc39-ed321aa6b163)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 170 "GPIO102") (pinfunction "C") (pintype "passive") (tstamp aacc0dd3-3ad8-4ab0-a107-b04a7bcf7bae)) + (model "${MODEL_3D}/LED_Red_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp d9c9a3fe-6e35-413a-9faa-973d72432551) + (at 209.435274 78.699526 -90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/6606358c-fead-421d-a419-75ad554eea79") + (attr smd) + (fp_text reference "R160" (at 3.302 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2fdf210c-afc3-4285-a13d-685cd54cfef8) + ) + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 925f48bf-a4e5-47be-95d6-e4236ffe09d9) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp f3287136-afcd-417a-98bd-2484d5bc79c9) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8b6637b-f182-40ab-bd15-5d9df317b148)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43dc5bc0-f7df-4451-84fe-f7719b829ae8)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba4099a1-cbd4-4060-8d4d-ad3bdd50ab53)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6732074b-9dff-4202-a1f5-2596e652e284)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3b1c38b0-170a-472d-941f-3b99a356fa2f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 34f2b5c0-c7f4-43cd-a401-dfcf8e598d7b)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a97e13a4-daf9-4bae-9575-470af440b7dd)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bdf7da03-4d1c-4476-a42e-79f2482fb380)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 28331a91-d5d7-46ad-80c3-b81115dce1c5)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e1b0a0d2-8fe0-42b7-aacc-9d7ee10716a3)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7a68a295-fa2e-4796-ab7a-e52dc5b01016)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c1e93846-200f-483e-b8ec-2efec87bc05e)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 370 "Net-(IC1-S6)") (pintype "passive") (tstamp 1d986c0b-7c19-4e29-b8fb-ab7af655a3c0)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp a9ac2e3f-a0b3-462c-8ff8-78ec7720ccde)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -21615,6 +21701,7 @@ (at 200.662274 76.660526 90) (descr "10129381-910002BLF") (tags "Connector") + (property "Manufacturer" "Amphenol") (property "Manufacturer_Name" "Amphenol") (property "Sheetfile" "ADCINs.kicad_sch") (property "Sheetname" "ADC MUX - Page 5") @@ -21624,55 +21711,55 @@ (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/91993552-e204-4130-893f-b8ff9ed22d38") (attr through_hole) (fp_text reference "J6" (at 7.867 0.01) (layer "F.SilkS") - (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp bcafc538-7e53-45b5-be23-ee73b619777d) + (effects (font (size 1.27 1.27) (thickness 0.15875))) + (tstamp 009acde0-fd8b-47f8-a18e-2b795de16eb8) ) (fp_text value "10129381-910002BLF" (at -0.01 6.8 90) (layer "F.SilkS") hide (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 559b5ca0-12ea-4fde-a2a7-35d33e7fc74d) + (tstamp 06e3e641-4210-445f-bafe-70baa02816b6) ) (fp_text user "${REFERENCE}" (at 0.03 4.45 90) (layer "F.Fab") (effects (font (size 1.27 1.27) (thickness 0.254))) - (tstamp 9b782538-caa1-4096-87ff-6a062b849667) + (tstamp 5049ed93-4bb5-46da-8dde-ee082155249e) ) (fp_rect (start -6.33 -2.5) (end 6.57 2.52) - (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp 702baaa3-1171-4cbb-b678-bd01ee7287cf)) + (stroke (width 0.1) (type default)) (fill none) (layer "F.SilkS") (tstamp c7932277-d41f-472b-b947-730a25bc01f5)) (fp_line (start -6.58 -2.755) (end 6.82 -2.755) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1329dd48-7d0a-4c2c-bca8-a8ec18e7fd8b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 64b140cf-a2ef-4797-813a-50d9fcee6f10)) (fp_line (start -6.58 2.775) (end -6.58 -2.755) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1096e489-2760-4393-ab1c-f9bc2ff0f633)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7f94c26d-de22-403a-b3e6-a6eac286f878)) (fp_line (start 6.82 -2.755) (end 6.82 2.775) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 442371d7-09ed-401d-87d7-4af304abf682)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c7aa4277-3ddc-4ed3-861e-934b0140d9ad)) (fp_line (start 6.82 2.775) (end -6.58 2.775) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 870cf173-6586-4005-a215-189cc4703485)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8c3cca01-86ad-42fd-bd83-7c42aaa11229)) (fp_line (start -6.33 -2.505) (end 6.57 -2.505) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c3f8f0e-1a02-449e-b0ed-95232eefa1f2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5d5750d5-e411-49dc-9837-3f416f05078d)) (fp_line (start -6.33 2.525) (end -6.33 -2.505) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dd3f5369-61c6-42dc-a8e7-da1301dece99)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 91a4a747-d145-4e57-82db-c12a0b94c319)) (fp_line (start 6.57 -2.505) (end 6.57 2.525) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf77a1fd-1d63-41fa-9ba9-4fea3ed99d5c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ab0c7995-a263-4f26-954c-dd65b26a3a28)) (fp_line (start 6.57 2.525) (end -6.33 2.525) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 31b6f3b0-48f6-4cc6-8677-259ff17dd9e3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d36591b8-f86e-498c-8980-11db50a9547c)) (pad "1" thru_hole rect (at -4.96 1.28 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 379 "Net-(IC1-S7)") (pinfunction "1") (pintype "passive") (tstamp 5a4b9ddc-87ed-4acb-8db6-3589e0a8c6bf)) + (net 372 "Net-(IC1-S7)") (pinfunction "1") (pintype "passive") (tstamp cc8311ea-c60e-4113-bcaf-cb1bd0970c7f)) (pad "2" thru_hole circle (at -4.96 -1.26 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 289 "ADCIN14") (pinfunction "2") (pintype "passive") (tstamp e4229b4e-bf6d-4a17-bae0-4fb0273cadc0)) + (net 282 "ADCIN14") (pinfunction "2") (pintype "passive") (tstamp e3ce5c64-f406-444d-9b73-4467dc8f6557)) (pad "3" thru_hole circle (at -2.42 1.28 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 377 "Net-(IC1-S6)") (pinfunction "3") (pintype "passive") (tstamp 19fe67e0-7eea-4a74-856e-20e5185c943f)) + (net 370 "Net-(IC1-S6)") (pinfunction "3") (pintype "passive") (tstamp b7fb69f8-aa5e-4018-b764-a90d963da954)) (pad "4" thru_hole circle (at -2.42 -1.26 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 290 "ADCIN15") (pinfunction "4") (pintype "passive") (tstamp f5e7c402-5fd9-4096-85b8-fe18404b1185)) + (net 283 "ADCIN15") (pinfunction "4") (pintype "passive") (tstamp 1ea0ccfa-03e6-4a15-96de-3ee2b05ad0af)) (pad "5" thru_hole circle (at 0.12 1.28 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 375 "Net-(IC1-S5)") (pinfunction "5") (pintype "passive") (tstamp ff4d9ae4-72c6-4384-a666-476e58fe41f9)) + (net 368 "Net-(IC1-S5)") (pinfunction "5") (pintype "passive") (tstamp 8830637f-cc7e-4524-88a8-b626e42dd189)) (pad "6" thru_hole circle (at 0.12 -1.26 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 291 "ADCINA3") (pinfunction "6") (pintype "passive") (tstamp 3ac3a0c6-fdc4-4290-81db-a8d4e23b3b28)) + (net 284 "ADCINA3") (pinfunction "6") (pintype "passive") (tstamp 886a9d99-1a3f-46a5-90e4-d71016c99234)) (pad "7" thru_hole circle (at 2.66 1.28 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 373 "Net-(IC1-S4)") (pinfunction "7") (pintype "passive") (tstamp e3bd7cdc-eccb-47ed-909b-97577e466da4)) + (net 366 "Net-(IC1-S4)") (pinfunction "7") (pintype "passive") (tstamp 3ad69163-bc7c-49a5-bf41-61eaaa670b5f)) (pad "8" thru_hole circle (at 2.66 -1.26 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 293 "ADCINA2") (pinfunction "8") (pintype "passive") (tstamp 3210915f-4779-4ba9-bcbc-52c7943b0a3f)) + (net 286 "ADCINA2") (pinfunction "8") (pintype "passive") (tstamp a2ac9b25-75fe-452f-9649-a15bd1f46943)) (pad "9" thru_hole circle (at 5.2 1.28 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 371 "Net-(IC1-S3)") (pinfunction "9") (pintype "passive") (tstamp d7a72d0c-1239-40a2-aefd-e2241713a3d5)) + (net 364 "Net-(IC1-S3)") (pinfunction "9") (pintype "passive") (tstamp 4746436d-7418-48f3-8dde-4fe5b0fef1cc)) (pad "10" thru_hole circle (at 5.2 -1.26 90) (size 1.725 1.725) (drill 1.15) (layers "*.Cu" "*.Mask") - (net 296 "ADCINB0") (pinfunction "10") (pintype "passive") (tstamp e36ced1c-a3b7-4aec-9bb2-05941dd0cd75)) + (net 289 "ADCINB0") (pinfunction "10") (pintype "passive") (tstamp c6b0d9ed-611b-4398-8540-90b94c2b5044)) (model "${MODEL_3D}/10129381-910002BLF.stp" (offset (xyz -5 -1.25 0)) (scale (xyz 1 1 1)) @@ -21697,44 +21784,44 @@ (attr smd) (fp_text reference "R90" (at 3.552 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp f6b6ddce-e3bd-4b01-a0d5-866d78273764) + (tstamp 6ef14d0d-b1b8-4c50-b47f-a475a2e06db7) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6971aef3-6bce-45eb-a778-4cae2e361aca) + (tstamp fb7f70ef-63fa-47d7-a67b-2794996d770e) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 380d1c31-27b5-4607-8e15-1e03cf3bb836) + (tstamp a2596c3b-c1aa-4b86-b8d6-7954a6dd58de) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 668810d7-3db9-494b-bcc4-87e14f034117)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 522803c7-92be-4c16-ba91-7752c1c297ef)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2c91bf2-8778-48b6-aae6-2b95afd76e86)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 549cc328-3588-421d-9fad-29b899df3ec8)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8950cf3d-3d8d-4146-b401-534ee1b04d7f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55ceabfc-25fa-4172-aad8-e483a155a8f1)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c24ea42a-b7a6-478c-a4bc-f7bdcbaf4d14)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6d952bd-aa8c-45da-8c40-582359c560d4)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2cb38a61-88f6-4088-99ef-a2803a0e6fe7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 05e9a8d4-1c4f-4cb5-9428-a873cc444db5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1e4c2e81-d94b-4383-9ae6-9b57ae0eaf1d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2a8cd00f-a79b-4a28-be00-f5f8f8ab3682)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4fc8a4ff-41f0-4248-943d-2d3fdc65cffc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2eab2aac-3e2e-4149-a4d0-652ed4c0088e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e857d199-4fa4-4e43-9c41-29981b709051)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7ea91c99-f351-42af-bcca-614d585ddf20)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5ec87be5-010d-4538-8175-f84396820899)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 927f4314-b4e0-4316-b576-e896c76f13ba)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b610df75-0c37-4105-9f36-41ed38d37831)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5171f762-1459-4bbf-a47f-83db8cc4aa8d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43b15d91-da1c-45fc-bee0-b6c551860f3d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 23e27f0a-f501-4db2-b481-ec8a71e4a545)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 78d8bfbc-cd69-42e9-a880-943f61e99b11)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b9b6b467-c9d1-43f9-ae1b-b5b51fb3e248)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 174 "Net-(D88-PadA)") (pintype "passive") (tstamp c0a2773f-8502-4848-aeaa-65d8b0d29a19)) + (net 171 "Net-(D88-PadA)") (pintype "passive") (tstamp 6e7faa96-2054-4e7b-b172-99ef1bc9202c)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp cd4fabdc-eeea-4dda-ae06-0eb5d16fde42)) + (net 4 "+3V3") (pintype "passive") (tstamp a7822de1-1ed7-4a5f-912c-5d57c726dcec)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -21744,7 +21831,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp dd47fbf7-3b58-47c8-b3c6-491010ecaa95) - (at 85.862518 94.231087 180) + (at 85.826844 94.231087 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -21759,44 +21846,44 @@ (attr smd) (fp_text reference "R72" (at 3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3f557332-330e-4392-81aa-f88fe734c16a) + (tstamp 65b41921-6e82-463b-b9d1-a455e3e74e45) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a8c4e6fb-37ac-40be-b681-b7f5efdade68) + (tstamp afb187ee-e7c8-440f-b6da-dee6dace7337) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0d524776-89e8-4fc2-bc71-aad23b62569d) + (tstamp 704d62cf-e8ad-4a74-9cc8-bbfa1cb521e9) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18790da5-381c-4007-b108-511c7aed7096)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 192f441b-80e3-4d01-bf3c-ab7f639213d6)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebe13919-4b72-4214-a446-0e5ac244bc59)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5e9d4d63-b77a-4664-9c93-ae8cfc6783da)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 901f15a6-58f3-4108-a8e5-75547719a0a0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e046ce61-1b6c-4845-9e60-c4cba222522a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d65f29f8-7313-4c5c-a6cd-65a6091e20ed)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8e421f7b-06db-447a-86f0-98ea1a92cc55)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 52a126c6-035c-4582-b271-dba9456ec2f9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a69c7dd8-a57c-47ee-a4b9-c68f0d6d4c98)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9b879b2c-4313-497d-9caa-9ba9105cd717)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 66a70a07-017d-49f5-9cbe-7771858e9475)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b7516d65-ea9a-48e5-ad90-f787feb79075)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d69605c9-024e-4628-98c7-095f64730c59)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 46982a9c-85ca-454e-83df-f6cad5efba7c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7d34e204-5fc3-4f43-8cb1-8a3e6061aa25)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0fa0949-913b-4985-889e-9f164c589002)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 744dbaba-30b4-4590-b2da-8b0e4085a0a6)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 21753125-6f1c-4a91-9c58-c59ccbbf24ef)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 431b4af3-feb3-4d1b-b237-cdaf1ec7b671)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp adc6dec2-945c-49f2-ac1d-9165d7c5e3bd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp be343e90-1509-48bc-af2b-f2310fe88b34)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9aea2c83-c039-4d42-935b-5de7ad502b71)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72c4c175-e6f6-4cd9-97ce-7ed3730a8261)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 138 "Net-(D70-PadA)") (pintype "passive") (tstamp 2a9a5fd5-3511-4c83-9cc3-61cbedb5f030)) + (net 135 "Net-(D70-PadA)") (pintype "passive") (tstamp 1fcc2b5c-f228-4001-a1ed-0e11f70c8901)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp dbb63fb9-8389-4711-98b1-1ac295ca61bd)) + (net 4 "+3V3") (pintype "passive") (tstamp cafcc13c-2ef6-4705-b846-f80cb4adf382)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -21806,7 +21893,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp dde2ab0a-a4f6-4416-b495-0b01837bcaf4) - (at 69.112274 46.061126 180) + (at 69.203634 46.061126 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -21821,44 +21908,44 @@ (attr smd) (fp_text reference "R85" (at 3.368249 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4e287707-d5e6-4424-8567-4721f0bfd277) + (tstamp b590a3c0-28e4-47a2-b1e0-265debedfb6b) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 94ca7b3c-d808-460e-88d4-4b73bc956957) + (tstamp 553364a5-5f47-4800-8200-3dcc09909981) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp bd7cfeea-9c67-4012-bbc4-0fee242de690) + (tstamp afc2426a-d66f-4611-998f-8f64cf0b1ba6) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f502eb56-a389-46a2-a872-11d83c668cfa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp abc60faa-81cd-45a7-8c7b-de63122f612c)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d178d35-7536-48c3-ab27-cc6e1d5d81d5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06e1057c-637c-4f2c-a162-6529ff3a7593)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab672dcc-eadf-4193-bd56-e72a09d70626)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f108fa94-ccf6-46fc-b71f-e5212f2e67a4)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 747a65a2-c902-4f7a-a445-8e90f23c8e4e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5bc950e7-ad23-4aad-a2e2-8680bc90e539)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c6bcb78c-d911-4385-91c5-0c94d69b57a9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 64876766-e6f3-464f-ad4f-8ac418921408)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7b23868d-93c8-4511-90de-f9b55d067335)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp db14cc75-7e94-484d-bd08-69c19f60799c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6baa2ccf-8b93-4f50-9652-5b837ccb4419)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3b691cab-40fd-4e36-9952-cbfebb92f1b7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c7339539-d182-4e9d-8d9f-1768fd6a9a79)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c9bc5d99-f2de-44fb-a4ca-ddad873387ab)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c4471e9e-41f5-4d8d-a2a4-c8d34b5758da)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e694dfd6-1b33-43bb-bed4-6b39d2a9a005)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp baa97acb-416c-4db5-b165-ca91e0817346)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e39273e-a5c6-433e-b3e8-b56456a7565c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 05e2ae6d-ae8e-4d54-8458-9dd83d929009)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 13c75157-5496-4197-be88-b6779051c5f7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bdf7bf76-0fed-4c23-97cb-e07d6916cd28)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8e37cce-516b-4c7c-b40f-8fbe042d3cf8)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 164 "Net-(D83-PadA)") (pintype "passive") (tstamp 073f3522-831b-4c53-98df-688b69bf978d)) + (net 161 "Net-(D83-PadA)") (pintype "passive") (tstamp 322468d7-66b5-4651-a8b1-9e27da9b4987)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 2d4f1a5a-abfa-4976-ab25-601a4a14e6b3)) + (net 4 "+3V3") (pintype "passive") (tstamp c149f28b-b448-47cd-8989-03778453109a)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -21883,44 +21970,44 @@ (attr smd) (fp_text reference "R62" (at 3.564 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 47d3a7cd-81a0-4bc9-bda8-26a56ac39ca6) + (tstamp a10a63bc-296d-4301-9c1b-0dd3ae14c6f3) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 92fe28de-b822-4945-ab4c-0bb7617c2f19) + (tstamp 8a77b33a-3a06-4587-aee0-d98af3689518) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp e8137f1d-e8de-4a36-a9d6-184906ded559) + (tstamp 4e609cc4-1053-491c-a9c3-2c7d0eb2a868) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9dd17c18-62cc-4586-86f0-2f2eee4ad361)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee6bc531-4846-465d-8b49-bf344303e70b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17d386fd-8526-4ec8-86ae-4af862a51c31)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce30d92a-daf9-43e1-b1a5-05afa36bf308)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7575faa4-480d-48e6-8738-458859bfa024)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e4e1f74-e12c-4f44-81fe-2de4bbeaf208)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8b0610a-487f-4b2a-8003-b0b897c20bfb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c4217cd-2f65-4aa6-89eb-76a632079082)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6fa8a61d-733d-4789-8b17-21d9fd53280a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a0516004-5805-49aa-8f21-71bfb9dda768)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 130bf27b-40fc-4dce-9841-772c3abc3dac)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ab11272b-28d7-4a2c-a62e-1027e5258c5a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5f578779-9b04-40a5-a27c-50d1640be259)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8900a153-f1c0-468b-8248-4e6ccdaf55b7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fccbd1fe-aa98-45d7-84fe-04b511ea406c)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f00c8155-bd1d-492e-9f5c-a7a1fd790365)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 57c685db-7909-4037-85b8-d15cfd45b793)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e4e5f668-4633-4cde-82b0-565f09360cf5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bcdb7616-ad04-4141-b06c-3b541638e0aa)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f50daeac-f3b8-4cbf-b33b-2afbcaf7caa9)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6956a950-3c9a-4878-b916-193e7d152043)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 01ac5266-4dc9-4030-8271-54a5870eaf43)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c2e0f6eb-b503-4251-9d6b-aa82579e4768)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5facfdc5-7ada-4c5f-9dff-c8b7e70fc69c)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "Net-(D60-PadA)") (pintype "passive") (tstamp eac8308d-b74b-4dc4-8afa-0d07dd5052fe)) + (net 115 "Net-(D60-PadA)") (pintype "passive") (tstamp 07cecc63-ddf1-46f6-a5c3-478b626545f2)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp a98dc9aa-2e79-442e-8aed-1e6963828e6f)) + (net 4 "+3V3") (pintype "passive") (tstamp 499e9325-b5d7-4311-9f5d-735a81a634c1)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -21945,44 +22032,44 @@ (attr smd) (fp_text reference "R148" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ab45fe5b-eaef-477a-8887-82df7b1a7f2e) + (tstamp ef51165f-980a-4ec8-9d6e-025189eff0e1) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cbf979a8-fac5-44ae-bcf4-fafe68293fb7) + (tstamp 10447bdb-e322-4896-905f-a71d14b712d7) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp ed5f0eb5-20b1-4283-bfe1-100292820f50) + (tstamp abefa7ee-75ab-4f8b-9a31-4be44e8682cd) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b71b371f-c48c-4db2-b828-a84083372143)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0e073d27-dbe5-4185-9d88-beb099c4d27a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a6733a2-4bf2-44d0-8b7a-b0c58970f6a7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 512a17aa-7ca7-4709-84dc-d196046e2451)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06dc0f85-d2fb-4b59-a6c3-922db37f9c5b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9962b843-b82f-4932-8d29-916efe06ad7c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f2e7819-ec84-4d5f-b2ea-71275d8b2add)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f9396026-34fa-4a5b-9a74-ad26c6b40617)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fcb5bd6b-83a6-4a59-b93e-96cb6f6de782)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 92260e7f-aac8-43c0-8751-d56b813893f3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b288790b-a85c-46ad-815a-ce9d35cb23bf)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4a4ca1f4-9b1d-4586-b909-96d8181ef5f2)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9baedcb6-1377-41f5-b3a0-b36e860c4a60)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 59e7d25b-4cd8-436f-a2d0-3e958558ce16)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f63a9646-52b2-4e50-a5b7-b87822ab83ef)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 27f93701-9dad-4fbd-a2d5-ffa833b92867)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 93b57833-0119-4275-b9d4-4d04d1922d6d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8d58bdf7-116f-4889-bc1d-e10f95c7d53f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3c11e686-1ef1-4547-ac58-3301dedc0f4c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f64e5289-2dda-426e-9d11-eaf742a4ad1a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a15ab28c-1c9e-466c-9629-ddf1099a53dc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 392915ae-98e2-4a60-9094-8fb6309c2f70)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d73c9762-400a-4141-8610-a9b62d9a7bb1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8c5ad9a6-88fd-42f2-8eae-5497db450abc)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 352 "Net-(D146-PadC)") (pintype "passive") (tstamp 4d897a53-7236-432f-95b4-436ecf91bd99)) + (net 345 "Net-(D146-PadC)") (pintype "passive") (tstamp b5877ae9-429f-4168-9ff1-366ada71f484)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 2d8ad6b3-d7d4-441b-b3fd-32267cb18182)) + (net 2 "GND") (pintype "passive") (tstamp fd3e244e-2706-4d66-8b91-8a43b852c4bf)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -21990,70 +22077,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp dfb570d7-891f-47e0-95eb-7b7391c6916f) - (at 154.342674 148.651126 180) - (descr "LED 0402") + (at 154.355074 148.651126 180) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/f7791c38-edf7-4e37-8f93-3ed5455213af") (attr smd) - (fp_text reference "D125" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D125" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4683936e-c263-4cbd-a868-037ad6c9aadc) + (tstamp dccb8b49-fb5e-4123-9fcb-698f65c56eb9) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ad41d958-70ab-4f8c-b2b1-3c676926d6c4) + (tstamp c2b3a2d5-8540-46fe-976d-84055454a6be) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 7bddb776-7e72-40cb-a17d-ae62857edc1f) + (tstamp c6d79ce8-58d6-42e9-a246-5a9450ce1984) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cadab45a-fce8-4679-8231-50ceb46aec3c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 313f3a36-f960-4d16-b953-79a8e6c17e08)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d50d8dcc-44a8-4700-abf5-71bcc0b6b0d9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d3f5d7e-bfd6-4100-8468-65b5ec0781f3)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9a25279c-1f8a-4c88-b29c-bbb523ce8a4d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9017435d-a178-4116-8bc2-39516e58c72d)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1574f394-3f05-48e1-8abd-4cb18bdc950c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3699e1a4-da5f-44d1-b85b-22ba9f5630d3)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d15ca7e0-4906-4c4e-bbc7-c41324cfd729)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp adee4abc-6158-4cb0-9996-32a5ae7e3258)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0d6f794-02db-48bd-9695-3e42e7333055)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 064d4507-4ebd-4b35-99f9-8f0fb869a7e7)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp b22f61f1-cf5e-4b77-8715-e0af9fe722fe)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d6683d95-95dc-4584-9670-729cebf5ad1c)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 22690a20-cdb2-4a39-8a06-a6e52e2e8241)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 55db3c90-ab5f-4a20-b0c2-167ecd69b893)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1f5d0523-91e7-45b1-9592-96a33d3bc48c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp af0e1de7-0aaf-439c-bd05-f1dce972c6b9)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7fdbbd46-dd36-4d71-acf9-511396a2eaa9)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ab59ffb8-e730-4d57-9a51-809def9c6c28)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9a1111eb-9799-4625-b23b-6c15ec4b45e5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d80861e-4949-4ce5-9512-7da55c1768e3)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ccd0117c-45ab-4cc4-a0c3-26114fef0e9e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7c4e4eb3-8087-4dc1-9a92-c8ccacf48f7f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ebd3d3bb-8647-46be-bc7c-834a750dd5ce)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3bb1e24-aa39-4440-8803-906e4b277241)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 234 "GPIO142") (pinfunction "A") (pintype "passive") (tstamp 317490ca-49a9-44fe-a0a0-8ed5140cd4a4)) + (net 227 "GPIO142") (pinfunction "A") (pintype "passive") (tstamp 45772301-c3bd-4460-a4f2-a180afe2149a)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 315 "Net-(D125-PadC)") (pinfunction "C") (pintype "passive") (tstamp cef164c7-01ed-4b1c-8781-de7bbb96a746)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 308 "Net-(D125-PadC)") (pinfunction "C") (pintype "passive") (tstamp e63b94c0-19ea-48df-a99d-8b078786e767)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp e13524b5-84b5-4551-9bca-dffdf073b219) - (at 161.869074 153.728726) + (at 161.881474 153.728726) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -22068,44 +22155,44 @@ (attr smd) (fp_text reference "R129" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b968c198-8993-41c8-9937-8a608535c20b) + (tstamp fbd10a77-9879-406c-9f28-b965bc2adae4) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ea8f2a91-1434-436d-a8e4-bcbc00a91f5e) + (tstamp 7c0be995-3ec6-4415-8aac-5ad45e29d611) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0a9f83c0-295f-4df1-91a5-5f506e50ceef) + (tstamp 20b4f6e7-c85e-4736-85d0-115b44377cbb) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae84494e-f3c9-4ee6-880d-e04a12cc71f1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01764696-5d60-4788-8bb4-7fa12eae3e28)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22a01fa4-7912-4cbc-8506-2164914218b6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 76de8e24-be65-4292-884b-824aae519cf4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 26d6b545-accc-47c3-956d-71f489fd0d76)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8e5693d-7837-45e4-9983-a8cdcc532ef6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2f1df62-c8ec-450e-a227-9396ff1e565c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6ca6023c-7149-4552-8e5d-e20b7cd1aabe)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e3f0478f-e400-45dc-807c-40a6140baec7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ccbf78ef-5410-4771-bc2f-d9f6edf40f97)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dc6a0878-110f-4212-986d-14d24c4091e6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8386490f-81e2-4569-941e-da3000443e8a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 60e6dc25-f71a-4940-9834-1daac346ca0e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a699878c-a647-458d-a1f9-4eab35283ec5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3c6123ad-ee13-4627-b7a5-b3167c7b6d2a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3abd8906-a8c4-49b0-88df-cbd91be79e21)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9472633a-0e87-4d25-a98e-ec852aee3c5e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7dc7b4cc-c92d-43b0-b0bb-d9308e902e21)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 58098937-de2e-45d4-b9cc-c3d31e824779)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61d76534-df15-436c-9420-e5ad051ff55c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ff0263a7-36e1-4add-b9ba-585b041a6c3a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39aac7db-6673-4d51-b3a7-861df260bb2a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 20a4b6ff-8224-4266-a396-dd7ede02917e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b21f9ca6-8df0-4701-b740-7962ad3777b2)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 317 "Net-(D127-PadC)") (pintype "passive") (tstamp d7ae9e25-7f80-45d7-a0e6-a76f6359ade0)) + (net 310 "Net-(D127-PadC)") (pintype "passive") (tstamp 34d30f4a-ffcd-4ef3-ae8a-135cbac9be69)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp b9ae975d-5fc8-475e-bc8e-be22b96006c3)) + (net 2 "GND") (pintype "passive") (tstamp 121e0e59-6908-4cb5-856f-43521b71933e)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -22115,7 +22202,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp e214767d-c772-4a7a-b75d-42ec3990cbfa) - (at 87.525451 148.705318 180) + (at 87.540674 148.705318 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -22130,44 +22217,44 @@ (attr smd) (fp_text reference "R143" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6d73213e-1132-43c4-8912-7e6989935851) + (tstamp ea053e69-26df-4949-92a6-6228df2dce41) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4745d071-04da-4010-a59c-645f7563626c) + (tstamp 283a22ed-2712-4cfd-90c2-268fa1903b72) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d36da5fc-ce75-445d-86ae-a48e47771f57) + (tstamp 0a8dda30-1086-490f-8843-5332c2ccc672) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e2ddab5-401b-4b7e-9557-9eeb54ec087b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7fc759ee-a2bb-4270-80a1-a7da1f1c0270)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c138c3d4-4249-40d8-a6e7-a640833c6027)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23a3d349-54a7-4324-b704-5a83e9f31cb5)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e347f15-7397-42ac-a90b-a6fbe08f7300)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 402f98ff-872e-4bd9-a90a-ab8469b130bf)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 48431e67-bb31-45db-8f2c-260eacb0c37e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06f197a4-6d36-4f2c-a920-02d2b4cba249)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f444e34d-b4ab-423e-a8e7-aeae2516b443)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cb1d5c08-3299-4ba2-9e3e-ac39427e5dbb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4a7d3cf9-f2b9-49c3-844c-683a8599a42a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4f59f380-b96d-4b1d-9dbf-758e4af42e44)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6ebdfd76-48df-4a97-af8b-c2343b675a57)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 498ffcc2-06a9-42ee-b2fd-2092a9b60d81)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0f5313d0-b4fd-4962-a42f-546cfbd47ff6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1314d337-15bf-4162-82f6-b8cd01f6ceba)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 889dc148-417a-4510-8a2e-3360dcde404d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9aceb915-2e16-4457-b0f1-ec8f62fee535)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bb533227-53bd-4180-a0b1-12619d41cf81)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6963c20a-20b3-4942-9a05-ed0ab71a93a7)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a7319ab0-ab6a-4c47-81a2-fa2d987cc352)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2a9f7092-c305-49ff-9457-2b040a75c94a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1f9a0b5e-3a2f-4979-8107-0e6c40171a03)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c9a4e73-0f9c-4a3a-9632-c73d2190b19e)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 350 "Net-(D141-PadC)") (pintype "passive") (tstamp 558a327f-8694-4d5f-b88a-278637a540ba)) + (net 343 "Net-(D141-PadC)") (pintype "passive") (tstamp c9e36d78-ccd6-4fc2-a9e2-d654c8755d6e)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 54c43589-f06d-4b4c-a21f-45c8e68fc0be)) + (net 2 "GND") (pintype "passive") (tstamp 0dee7ca7-0c4b-420d-869e-b3c0b04ddf78)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -22192,44 +22279,44 @@ (attr smd) (fp_text reference "R59" (at -3.564 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 838c2d01-5756-4bc3-b8d6-97dde17f5710) + (tstamp 548cf6fe-8ebd-40b2-9060-44561fd88e6d) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e5461ebf-694d-47cb-aa02-704bae8ae559) + (tstamp b98c6e33-de67-487d-8896-3e2052bda019) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 98457438-7ff4-4aca-9ffa-545b729e7e22) + (tstamp ca0b0d9f-c2ce-4567-ac60-e1ba3aa6505e) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f8430f68-cece-497e-9ec8-55c584798845)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 15303fef-ec6a-4029-bae6-2fe0f864a2f4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ae7cc2a-ce74-40d3-b4cb-678cbfdbc99d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c33ffee6-698d-4edb-ad15-6d7b72a79d1e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6cc8b0ff-4c8a-4ea5-86e4-8da6e7849e12)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a4a103a-d85c-4a21-8d51-633f14de26cf)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f5575300-ce62-4c0b-8ec4-39f98f680f2f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33661232-e6c1-451b-9e47-fdb978d3904b)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1050a7f3-0fb6-4ee1-b1b6-beb746ac2175)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e0256b95-ed88-452f-9b7a-5fa5f9dcce5f)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e887f948-fc18-453f-99c0-0e5ac5a94e2b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp be7074fa-6894-45d4-9ef4-07702e78854c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8f6c0f90-7684-4cfa-9fab-c9dd81770989)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp eea20f11-3e0d-499c-afe0-0923a721ac8e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fb854c4e-1756-4b82-8c1b-ff537dfe9246)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 77c98b8e-6d3a-421e-9714-27f56fb1c198)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dfc88b8b-87f9-463b-bc39-178ebe9406d4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4ccbc50a-c0f2-46e1-971b-78114d970b27)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85f36f70-7bba-47d0-8abd-e0f7989da6ca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9164504c-7649-461e-9fcd-b5d0e0c7c237)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62f48946-f207-4822-a23d-f3b1f515c983)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cef997f8-d98f-4bb9-a097-a64ac04684e7)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9563ae09-fefc-46b7-bbda-d13c229f3973)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4fa2a447-d942-44f1-a001-c1d806b243e3)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 319e1719-9bbd-4d97-9851-004133f6e7ed)) + (net 4 "+3V3") (pintype "passive") (tstamp 6ac6bf7a-1c66-49c4-b68a-503569a6565b)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "Net-(D57-PadA)") (pintype "passive") (tstamp fb89039d-3ed7-491f-95c8-b4cec5da6481)) + (net 109 "Net-(D57-PadA)") (pintype "passive") (tstamp 01789ad7-378d-4c55-802f-bb500a110446)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -22254,44 +22341,44 @@ (attr smd) (fp_text reference "R64" (at 3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 711fed8c-2637-49f5-b734-7238774c1467) + (tstamp 15c9d605-aac9-424c-b686-24ff02dab5ed) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 79182e9c-f3db-45d5-8095-fafc413bbb64) + (tstamp f81fcc94-125c-4eea-9483-db667d992cd6) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 5d067237-a48c-46c1-877d-908f2badb24b) + (tstamp 973aec52-dace-4305-a227-5e8d1efcbaa7) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40dbaf34-8bc0-4aa2-b8e3-9ecc880747be)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91a90c19-e33f-4c10-bfdd-8bf1df0bbeb2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ece3c56-1613-4046-9323-0c29eadfc03e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 079dc1a9-acb1-4900-af6a-ab4bfce05cad)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 634280ed-d518-4a60-9667-2acc514cd470)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56683b63-6508-4931-8fa7-fe2e7e3caa21)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1fbd4c0f-0739-4a14-a6e6-b4e3d41f2f1d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c818475-127d-48ec-b392-802ab7c09c2d)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3ae36309-abc2-4674-9610-9bf663d63f3b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d2bf376d-dd4a-4bcc-92fc-257f8c7cf40a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 56f0a3e3-185d-4b38-8925-1becf4ecb985)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 524118d7-825e-492c-a96c-1d8813302fcd)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 518ebece-2660-4bbe-ad3b-2a10bfd68c83)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aec05746-0cd9-40ed-9007-37c19524bb45)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 31c3751e-cdf7-46d0-b2a4-eab2eed106f1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8fdc15c5-dd1e-4d54-9b5f-2f7d09fe6c92)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b2851776-4c3e-4a94-914b-9a531d553b47)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b867eade-1eb0-4c93-84e0-c55236b4583a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e1fa5847-d331-4482-8eb5-5afe4b01d376)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4011caa5-8676-49ce-b969-3a5a3c704a78)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f85d25d-f56e-4f88-ad1d-e8f994c0ce77)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1e74e9c0-f9d3-4944-9ee3-2076b6232474)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ce4ed94e-f613-4f7f-95b5-aabe14b5b0a6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 47cca68d-4b7e-44bf-9214-2b6274da0815)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "Net-(D62-PadA)") (pintype "passive") (tstamp 37dffac8-ffea-4330-875e-02ffcb11f40a)) + (net 119 "Net-(D62-PadA)") (pintype "passive") (tstamp 9c73883c-b958-485e-adf1-78a265af4625)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 342619a0-4a0e-4c72-9b47-e116b5a0417d)) + (net 4 "+3V3") (pintype "passive") (tstamp b4578859-35ec-4e64-b884-cde1d361a369)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -22299,71 +22386,9 @@ ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp e443b10e-ba8c-47f2-b00f-67eda44614b4) - (at 186.2836 66.6912 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") - (property "Mfr. No" "") - (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "CGA3E2X7R1H103K") - (property "mouser#" "810-CGA3E2X7R1H103K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/f5998375-77e5-4153-98f5-1df238c9b3e3") - (attr smd) - (fp_text reference "C14" (at -3.048 0 90) (layer "F.SilkS") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 4ab2958a-97ea-4714-b025-1555bf6e9818) - ) - (fp_text value "10n" (at 0 -2.75 90) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7f82763e-5d6f-4656-a845-f4c22db95977) - ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") - (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 6ce30490-533f-4424-9088-02f60b12754a) - ) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b761b343-b663-4123-96e8-33d6d8b3ab40)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f904ed72-63af-4f6e-9662-2097d5f2baca)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4fa42a6b-3122-4821-b594-84e003fea93a)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f96df96e-1d4d-458f-9f7d-18b153bc671b)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 123ecb75-4900-400f-83b1-bff95b732ba9)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 658bc1db-6003-4e59-b400-f13c32edceab)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8614d145-5c52-449c-bf2d-e632f5c0d0d4)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp af460315-9cb8-44f9-a0f8-6a09debfe42b)) - (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b42ec50e-24a9-47e1-94c1-c998072ed6a9)) - (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ef2a526-3151-4390-8206-14a44786236a)) - (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 85b8fed0-9d58-4969-a255-a874aa3f6fb4)) - (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83d788ec-0a1c-495f-8511-9039df2415c4)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "1") (pintype "passive") (tstamp 5a794fea-d1d1-446e-9888-6a4f5615d807)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 374 "Net-(IC2-S4)") (pinfunction "2") (pintype "passive") (tstamp ba4859bf-6174-4338-b17b-fd6e97c583af)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp e8ab10e2-7df4-4b71-8f48-3585a09d13c1) - (at 69.18979 82.238571 180) + (at 69.203634 82.238571 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -22378,44 +22403,44 @@ (attr smd) (fp_text reference "R119" (at 3.774191 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 17da4049-2045-4143-ac26-190c69e17d27) + (tstamp 1c1d33c9-3136-4530-9b7c-1f296398c8c3) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2d29b1d7-a1e8-4d94-9126-1effcb257641) + (tstamp 67376d53-82df-4178-9fe6-4e4c27ff10c7) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 35bb8c32-13cc-4ae9-a3c8-51bb6044f503) + (tstamp 1551a788-da02-4049-b2ff-ff511cfdf1fe) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7effb427-112b-46d2-a3dc-eb54286625fe)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca7dfaf8-708c-4664-b620-b8c5ef5d6f11)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92782b8f-3df0-4f77-b1a5-b61ddb9a44ff)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 53679a31-fde4-47c6-8657-de9e63a3793a)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 590bffcf-ab70-4262-b46a-7493b34255df)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55e4272b-8e48-48a5-b899-dfb6ca40b411)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f19588c7-2a64-40b7-9974-d97f7916257b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 516635d9-ea82-44e1-8b9c-cd105a709e27)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c0214d37-5342-419e-ab5b-b32701bbee05)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9b0cfd2f-7109-4a24-8849-b582df0661a5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 67196f63-abf3-44a6-8548-2b7d9d063cf4)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8fe51795-a176-4574-9845-845d5c1ea5fc)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d7ea41ea-349c-44da-9a7a-67e5b779fc70)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7db204bb-2f89-4376-9b73-89b5294b3876)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fc0c7ab4-cd24-41c5-80c4-566434913617)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 44d68269-2fc1-4d54-a31f-f000542825ed)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a4ee49ea-441a-4872-b8e6-5ce89fd00126)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bd29da15-8e59-4825-99dc-190f13829704)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8329fe0a-870c-42d6-bfb4-7f760bc159fb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 09fd0cf3-df8f-4cc5-a137-d1779435798c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bb7632fd-ff3e-47c3-8df7-9f0e0319e681)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a546ac1c-b227-4f17-bb1b-ac750c446edf)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 076cdf5b-f77f-48da-94a9-4671ef2d9d21)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 690b2bee-5c19-4fd8-a529-5b55e0a14c44)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 345 "Net-(D117-PadA)") (pintype "passive") (tstamp 83bd6958-f507-4b06-8de9-e478b939582d)) + (net 338 "Net-(D117-PadA)") (pintype "passive") (tstamp 5be7776d-357a-4b9b-b11f-85a827ef1c35)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 9aab6613-e799-472a-87bd-f02a2971fb60)) + (net 4 "+3V3") (pintype "passive") (tstamp fa6603f3-1d29-4f23-8bbe-3c0aee03feb3)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -22423,131 +22448,131 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp e90489ac-d960-47da-a4af-fabf96c9356d) (at 154.355074 141.031126 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/fb3104a0-5bc8-4419-b3fe-3914463ff419") (attr smd) - (fp_text reference "D122" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "D122" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e8956aa2-3362-4f93-a70f-299b2381173c) + (tstamp 2049b632-1108-4694-9d84-a7fceaea3a64) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8f86000e-9aa2-4e65-8353-daefb54c308b) + (tstamp f8bfa4dd-d66e-48c2-afd2-c2673aa92249) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 29bb48be-28c6-4f08-b913-9cefb4bfd5f9) + (tstamp 5b5f6697-8992-4325-b96c-48ef043fc890) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 321b7bdf-37de-42b8-b4a0-194041f37772)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b9c305d-a33b-448c-96c1-c37afe81d94b)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a17e8d96-22b1-4567-9a4b-f42585353a03)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b843b472-13f3-41b6-8e0a-aecc2625712e)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1bd0ae61-be03-41eb-868a-20d962e5a444)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f5a6dd85-c6cb-4ef5-97c4-f7e4dfcf0499)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1381332b-2620-4d17-9bb5-cee8e31ccc6a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e792be9-4e9c-4291-81ce-dc0f0f72abae)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp da962edb-f853-4690-a68b-091e3c0f1f51)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce62712a-7932-4dc9-9fe3-67dfd074de7e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a42dcf0f-7d0c-4f06-be0b-0ddbb4184b06)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf61a38f-4839-4da3-887d-8ff7b720727c)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp ed9d725a-27f4-4d86-ad97-733f0c4c1717)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0bda4a42-9c6e-40b1-8ad0-ef7cbf2c631f)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e035e5bd-9564-43bd-9bed-6cdca88c5fe1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 54acc1e8-c192-41bd-8e81-cbf04cda8baf)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 172fa5fc-aa9a-4bd7-88e1-d7e616db3b35)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1e19b078-d045-4697-810c-883918f67438)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d5041fc5-17e0-4a84-8c01-594cc0c1d90b)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6ee9be3f-97c5-4c6a-8f87-9ab56d822ec6)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c00a91ed-07e1-4233-bf5d-023f72af27ef)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bcc72fc7-08fd-4073-910d-5145b96bc090)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1efb6807-d673-48de-86e4-80b2ed381a23)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6f1f9fa4-d0ce-41c5-92f1-c80b2ac41471)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cab2da2f-452c-4d30-84cb-47da78d9d9c2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 939702c8-3c97-4f7e-92d5-3dc80ee2e3bd)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 231 "GPIO139") (pinfunction "A") (pintype "passive") (tstamp 5f4342e1-5e0a-4c00-92b1-a32aeb29de97)) + (net 224 "GPIO139") (pinfunction "A") (pintype "passive") (tstamp 2598ade7-51fa-4294-87b6-f55055e19d7b)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 367 "Net-(D122-PadC)") (pinfunction "C") (pintype "passive") (tstamp a562a5eb-c7df-4fe7-8e94-10e2b7a43888)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 360 "Net-(D122-PadC)") (pinfunction "C") (pintype "passive") (tstamp a8f5dd47-7f21-46cc-af8e-74855d28e642)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp ea280538-f5bd-4e2f-a4f1-295b4a88ee49) (at 141.642674 146.111126) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8342a30e-2ed2-4ec4-a79e-9516047bde2d") (attr smd) - (fp_text reference "D148" (at -3.987428 0) (layer "F.SilkS") hide + (fp_text reference "D148" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7e7a18d3-aaf9-4f1d-9a99-9d8fdd3b8124) + (tstamp e89a18f6-2cab-4138-b3f4-b3b461f67cc9) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a06c0e95-edbe-4150-a8e5-df899d3e6f60) + (tstamp 8a71ca9c-fdf3-461d-80e4-e68b257aecc2) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 5e86275c-3c33-47f3-b37b-59eb70765496) + (tstamp ba85aa4b-113f-447e-8938-83950dd2ed06) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b66af2bf-c586-4b07-837f-5ccf1fe2925b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22a41758-9515-42de-bc5b-75c230195eed)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3080d036-2be8-4343-8fa4-d5321ff82681)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cdf78b7d-f913-4bf0-9e25-c5f5f1267907)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9559bd3b-f4fe-4cd2-b89a-c769a9fa05b3)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a32e6052-e6a5-4044-86cc-b32ee87cad2a)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1708337-7c0b-41f2-94ec-5dab4c12deb1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5bcfb1bc-b384-4683-8e3f-562cd1cbe0cf)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c141ce53-bce7-4760-84cd-af083a522865)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73e7fa06-c786-4791-a4b5-99f17d89acb7)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20a5ecf0-fd50-4152-a3ad-f8642b2bc3ea)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f5e73cf5-e3f7-4102-8282-9c1970d2772d)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp a19d78dc-ddf2-441e-8cd6-4ae4781c5b5b)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp acc081be-4c83-4480-9ba9-207b6b0636c2)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2cf530b4-4bb0-4d52-aa92-47940d6efc01)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f27e2f60-fa92-4375-a201-a807f177a4dc)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c87245d6-bbc2-4eac-adcf-826dda34ba02)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 912643ec-34a7-4984-9310-40d00fd689de)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1a05f217-1855-4655-9870-0e99b8ca4052)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3c5304d7-5970-49ad-9739-9959e3ba5d50)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3c00bfb3-6996-4837-a27f-e47f25234e27)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2018f1fa-f98f-4e38-96e2-e3be9e0bd514)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 430feac1-f5e4-44be-9e9a-b30ccc420687)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ea33989b-7ea3-4e45-b0cb-07c54ecdd59b)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3ab998c1-9886-48cc-98fb-4fb77f45af7b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 16234401-0d33-4acf-a47f-bb963cc94250)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 270 "GPIO165") (pinfunction "A") (pintype "passive") (tstamp c4931731-f7ac-4f9d-84e6-85343d75c284)) + (net 263 "GPIO165") (pinfunction "A") (pintype "passive") (tstamp aa64d486-e815-4f0a-8566-ad6287e885be)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 362 "Net-(D148-PadC)") (pinfunction "C") (pintype "passive") (tstamp 9929c6ef-4d1d-4da9-81a1-557fcf4caf33)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 355 "Net-(D148-PadC)") (pinfunction "C") (pintype "passive") (tstamp c56b1890-3437-41c1-b217-fc457bac8dba)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp ea566b11-2d6b-47fd-80ea-6e61ebe523c5) - (at 69.100274 38.441126) + (at 69.203634 38.441126) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -22562,44 +22587,44 @@ (attr smd) (fp_text reference "R107" (at -3.857839 -0.0104) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1bfe00b4-604f-4758-a4a6-9a048a25cd1c) + (tstamp 747f703d-27af-45b6-a959-74a59f460738) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp efd0475a-93ef-41cc-b894-60fbc4f7955f) + (tstamp 74140b5a-a3c7-4875-88b4-400773a619f5) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 7f4d4e27-34cd-4952-b914-4ee20be7a0ff) + (tstamp 3c7354a0-f9c3-4aed-ad88-b210cf813380) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24e38a3c-166a-4d3c-81a3-e9166f601c3e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0317f957-d054-46c6-9b9b-7ec28e199798)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 561a8bda-23f8-4042-b619-791de80b3d22)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa65a017-8065-45b8-aa0c-79a158327217)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad7d150a-2289-4bcc-9f28-b8cba00b374c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b881137-e979-49ba-985a-be681955d1e5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c73002be-37d2-459e-a88b-169448e50a1a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e9dc684-e6b5-4053-9cd7-fbc0efb07bce)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 863dda19-d88a-47f3-8df7-13f4a7ad517d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 70087ac4-694d-4823-9abb-9c27caad55ae)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e0c784b-22fe-412f-8651-f457da43b0db)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 898a95d7-8d60-4dbc-9a11-a73e16aef2e0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f9dcafa4-8743-4408-9529-56e562c99c41)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 51ea0450-394a-4c48-8e75-ff8855796bad)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2cc7c51f-9701-4d73-9e2e-a97ed8a30cf3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8aa60aa4-8f66-456f-9657-16457923197a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 009f54e9-dbbe-48ce-8c20-c2b191ffbc6d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7ab2f690-1daa-43b9-b54b-1b29232eaff1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 924aa030-acfa-43f4-be32-a99ed69a3a8c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e12488a-bdcf-4d2c-ae56-a1fb23e24b08)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 509b7fc7-96c6-4d4c-b46f-7d1472b53a3b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9feaabae-0cec-44ca-ab7f-21036e69d93a)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e60bd112-753c-45b9-9537-7163938216d4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1b9c0a39-650e-453a-a01e-fa00294848e6)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 854a2f8c-d7ee-4301-a686-d38c61bb0921)) + (net 4 "+3V3") (pintype "passive") (tstamp 5c714abf-5759-4d9a-b8d4-e5fbc6795153)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 208 "Net-(D105-PadA)") (pintype "passive") (tstamp ee1f9e56-89b1-4fe6-8fe0-925565e66c80)) + (net 201 "Net-(D105-PadA)") (pintype "passive") (tstamp e87cbd81-dde2-49d1-98f4-bbc8f70da498)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -22607,122 +22632,122 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp eafca77f-a36d-4eb2-87de-12d832d3b3ef) - (at 177.127874 118.069526) - (descr "LED 0402") + (at 176.300274 112.608526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/26ec866c-0e1a-4c1e-a07b-1dc61666e44d") (attr smd) - (fp_text reference "D80" (at -3.307009 0.02) (layer "F.SilkS") hide + (fp_text reference "D80" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d043343e-47a5-4142-92f9-e7f593755168) + (tstamp 37e872c7-8bbe-417a-8093-954db58ba864) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5f9c67aa-b2cf-433f-8a19-e3015597c193) + (tstamp c53ebac4-97eb-423d-bc65-4b7983877a07) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp f4a0b4ae-cb1c-4d27-ab67-1b005c9583cc) + (tstamp 658c1c56-6f70-45d4-a545-4eeb7a667eaa) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b5516d4b-319b-4a63-b4ed-0bc5ba1beac1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cec6e831-5ec1-4b3e-bc21-945bdf192cc3)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70326dd8-4b38-4870-acdf-61594d0a952c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59c9627d-1c04-4e29-b83b-e01abe0771ab)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 909e976d-618a-4bfd-8343-fc04341f2cd0)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9f4da38f-ec4a-4281-b9de-7c73603244e8)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d1aec5d-098d-4792-889b-e48429222cfc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c2682ced-a041-4c53-8818-e0fc67939c66)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5e56dfa-33f7-4c13-8800-b4c3b99bdd79)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 724e8942-2d15-441d-b17f-03439bd3dd57)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4dd6c18b-1cd5-4b6f-b1e0-f6a56e9bd709)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c3a5c67-15a0-48bf-b11e-a88b8c8f3096)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 37d35f57-1293-458b-9f9f-1f6de437e3bf)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 68d9257e-e11d-41b6-8ba0-188924fbb9b5)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d3705e3b-dab4-4c0d-9edc-9d5465351875)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c09b86c0-fcff-4c84-ac84-e1a07b49ffe5)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7c93250b-e004-4fca-bb58-347156df3b79)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83c2b199-ba16-46e7-b806-d18747e97386)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 77bc7ec4-ea5b-4d62-81b7-083d78814689)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3e223f51-bf4a-42a8-9ddd-9501c4e122b3)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ebdaeaad-d5db-4699-93be-5443e8a398c9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39698c71-52bc-48fb-8a7d-0d164fbe99ea)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43643150-e265-4d4f-85af-66ed7184a5eb)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e9672e4c-f03b-44ff-a141-2d9150eb266c)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d610a8d5-8310-4010-93d9-3aab872580e4)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dad6374b-ef93-43ad-8949-0e63db5ffedc)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 158 "Net-(D80-PadA)") (pinfunction "A") (pintype "passive") (tstamp 594264a4-4a23-461b-8d1e-855b51beeb93)) + (net 155 "Net-(D80-PadA)") (pinfunction "A") (pintype "passive") (tstamp b0715e14-eddf-46b5-bdd9-ac6279316c42)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 191 "GPIO111") (pinfunction "C") (pintype "passive") (tstamp 174c63e7-6122-4799-a142-91c5830c19ab)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 187 "GPIO111") (pinfunction "C") (pintype "passive") (tstamp 5d5c13bb-4e0b-4614-ab33-359645bc31d4)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp eb0c18d9-1377-4361-bdd8-b366ea8b108d) - (at 184.480474 112.969126 180) + (tstamp eaff94a5-0574-46e0-9047-0fc2f805a788) + (at 188.226274 43.520526 90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e5bc49f1-39f2-45b3-af9a-6de24de1b394") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/5a1288b1-f2d8-4612-a207-f27ae53ee1fd") (attr smd) - (fp_text reference "R105" (at -3.8728 -0.0762) (layer "F.SilkS") hide + (fp_text reference "R172" (at 3.295 -0.012 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8b375af2-2d8f-4464-9c8f-41dc02918e33) + (tstamp af4437e2-60dd-4033-b825-d2eeca472de7) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp a1f1e8aa-dcdf-449a-aa9a-4efaf9353197) + (tstamp 2a5b68d0-8abb-40fe-a966-c7a8633a68fa) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d6cc333e-61a5-4917-94f7-4c0ea75a742a) + (tstamp 33c7976d-abcd-4417-a57c-1fe0c59c8747) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4dbc3670-01d0-4407-817f-301ca63e443b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce90ad71-e3a1-4297-8ff3-edefcb03e1d3)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a76a6768-dd21-45ba-a3da-9aaefc17f823)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81a4fd66-a91d-4619-bce9-db4032b1c605)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5068438d-c1b5-47e8-9171-d39d390c69c6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a00565a-caf0-4e32-b961-b44477ffa2e6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f65a4888-a904-4858-a09c-e62b186ee424)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09d823a5-4efd-43e3-98ae-e6a4f4954d8f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c27b33a5-ced9-465f-8e52-8eb103dd32d1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e26998a1-e2d8-4bb5-8a50-b42ac9cb05e9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3b676c49-d7db-4ce5-86ef-20773d8507c6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 66683ebd-8334-447a-bf19-c12c52c26b2b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 83dee937-d9e7-4ba3-92a2-435bc29b1d9b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 78d74dae-45e7-4fcc-b62d-088c3284e9c0)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7f0ace89-9111-4d47-bde6-d5b4f6196074)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4189a411-0688-4eae-9639-b3b49d2e7b80)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8655912d-5387-4171-8c81-4df631b84355)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 15824d4e-da2d-4bf8-9fc3-8dd486f38da1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 36a2fa37-5aa2-41a2-9231-6d8f5cae768e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cf19bb3e-f74f-4b30-b102-d22a4b7a5e00)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc01b4e1-c1fc-4a1b-accf-77d4b03f2a16)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 66b5b557-416f-4031-91c3-b246cbf7b643)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ea34dbfb-8da4-4cd8-b632-6699c2cf1e01)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 19037ac0-1217-4441-8a8d-1496c6cd9cad)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 204 "Net-(D103-PadA)") (pintype "passive") (tstamp 1af856f3-18ff-4f1f-ad8a-051c6feb38ac)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6ad11a95-cfdd-405e-b924-fe9953f41414)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 377 "Net-(IC3-S3)") (pintype "passive") (tstamp 0a554a9e-266d-43bd-856a-18007d157a60)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp c7d06079-e6b8-4d9d-a7d0-d894c97952c1)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -22730,61 +22755,61 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp eb1665cd-2e75-4b20-ad07-4e739edd91fc) - (at 177.165274 128.864526) - (descr "LED 0402") + (at 197.739274 121.376526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/5ae60373-7d27-4e65-94f0-8020391582fe") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/049d21b5-7e1b-499b-82e9-5cc638c183bb") (attr smd) - (fp_text reference "D77" (at -3.332409 0.02) (layer "F.SilkS") hide + (fp_text reference "D77" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9a0fcc86-6473-40f2-a617-a23349aeaeb0) + (tstamp 30fabcbe-a7bb-441e-ac35-d5071dd7ee0a) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8b913a06-b0f5-4ea8-8daf-a75c19519efd) + (tstamp db93227e-8176-4e35-925b-060dfe4aec60) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 05877b63-2024-4226-8b7a-e83ad7550911) + (tstamp 282a3853-0c6c-43f0-87f7-6a6b56faa307) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f821daf7-7365-41b1-9224-db0b1884884f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d52f3456-2946-4b55-a386-5d9b08ab883c)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2b77a1c-ad02-4f2d-98f5-57bf34a61e6a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be74cd86-d25b-4c32-8388-1d2e7b2f1554)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6541b66c-1899-4d14-af9f-d3481b121cd5)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a32385ce-7844-48ba-9132-af1b36852c9b)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3886334c-90ed-4511-9bfb-939bdd7b9ef4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 520e240e-ecf3-4c09-97b0-b41337498df6)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77d1f650-74a1-4181-b134-8707b46bec8f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c36fbee-01de-47d2-b863-aa3731148b74)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc0d5a89-2753-4380-92e8-100ca3a38956)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad16a935-357d-428d-9b87-33b94d65cc87)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp db185ff4-62cf-4848-bc1b-ad232536adb3)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp dcea6940-2e09-4a5f-ab0a-62ceabd4ecdc)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2f4f6138-2b2a-4618-8290-3baef813c263)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9d7074a6-c224-4bcf-8079-bce24f475560)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6686b73b-0d13-401b-aba5-5e479bd7aa48)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d3f12ecd-e04f-4f8b-92e3-948f4b5ee10c)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca7a52bf-977b-45d2-b2bf-b8fe05f5695b)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b5658c02-b803-4014-a2d9-3721a881cb4d)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 32a21e66-9745-47fd-94ee-8350f2308183)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 204cfea6-4ef5-401e-abcc-2e1c9a7004e2)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a466a203-f220-4490-9b5b-2243218b011b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0d79e2bb-6e74-4f00-9f88-8b227aa0a5ff)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 306d0eb6-30f4-4e0f-9feb-1cd23f84f096)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aa333456-a322-459c-ac69-a02e10cf3f2f)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "Net-(D77-PadA)") (pinfunction "A") (pintype "passive") (tstamp 69d7c266-9d9e-4dec-b059-be471553fe45)) + (net 149 "Net-(D77-PadA)") (pinfunction "A") (pintype "passive") (tstamp 7abbf8fd-02b6-4c07-97e2-5c4f7b2d689a)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 185 "GPIO108") (pinfunction "C") (pintype "passive") (tstamp b583dbc3-1204-4412-a98c-81e22a3c3578)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 183 "GPIO109") (pinfunction "C") (pintype "passive") (tstamp d3ece2b4-d423-4f86-a0d1-8dd21ddb293f)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -22792,60 +22817,60 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp edbd3bc8-d044-4155-aa38-614639368648) - (at 115.048874 148.752726) + (tstamp eb19909e-a72f-4842-88c9-c9473c4b0454) + (at 202.825274 55.921526 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e7d48cd5-9f01-498e-b237-31957d004b0d") + (property "manf#" "CRCW06033K90FKEAC") + (property "mouser#" "71-CRCW06033K90FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/5665b16f-e54b-49d0-b001-70b5c3102581") (attr smd) - (fp_text reference "R135" (at 3.31 0) (layer "F.SilkS") hide + (fp_text reference "R180" (at 3.55 0) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp c18343f1-6010-4b11-93d0-488e03fd7afb) + (tstamp b2a3a3b4-3d33-447b-a103-1e032d820ac5) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "3k9" (at 0 -2.75) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b1afed13-2a0a-4931-a58c-8e22625ceb7a) + (tstamp 8024fd97-3e48-48a5-87eb-a7cb57d57c2d) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 80ab7c46-87a8-41d6-afa7-e158bf016f84) + (tstamp 82754763-8de5-4b47-8793-0817e3317799) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5378c021-948e-4ab2-b488-0fd0ff91a26f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1413d2ae-5bd8-4e4c-8081-29c6454d566a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4490386f-48d9-4caf-847a-f4159543d8e6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3aa8edee-11d4-47b8-a734-3bdfd9d0b7f4)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 403bba52-51b3-439e-a84b-de1f6edcc5af)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75bafc62-79ce-448d-81c8-b95984f13857)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp abfbcba3-8e1f-48be-a53f-479bc1b31599)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8889e08f-bd2e-49f0-83f4-7ce07fadf3a5)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 196b7e96-e5f2-41ab-8427-7a26d01f31a0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d519ca62-dc56-4659-a146-c209f37ab182)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f83e62b6-9747-4bb6-906c-634f8f8d095a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 52186995-8e61-4e1c-8eac-ecaf8d534b77)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ae416394-8dd8-470a-acd6-1774eed70f8e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e0898a8b-521f-4bef-a800-0b6d465ac2ac)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 96653601-2b07-4908-932e-fc5a710e29dc)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8fd17425-aa02-4a2b-b527-b72aaf4621c8)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 231e559e-e9a3-44c6-85f0-06ca512ddd09)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 62566ad1-9698-48d7-a853-18dfe3a0048b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b1497319-1608-4a04-9306-84b0568b9f35)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1a72a27b-4892-4ad5-a60c-a6fc75ccf8d3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b5ca0241-438c-4967-9f59-f357a337c7f2)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4fddcb57-0815-4420-b171-a71ed4104976)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 188a2e3e-35f4-4768-9731-5046e6c7b576)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 323 "Net-(D133-PadC)") (pintype "passive") (tstamp 1c6d301b-ac75-4378-abcf-7af6ee32cb01)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 3feaa0d3-fb88-47d8-ab96-5403a320691e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 83c2fd51-97b2-446e-b2a2-14ac8a9696c0)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 254 "Net-(IC4A--)") (pintype "passive") (tstamp 20991905-0529-4267-a91e-5bcf64c38ec9)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 191 "Net-(IC3-D)") (pintype "passive") (tstamp 66ada2ee-3a53-4b09-826f-762b540a25eb)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -22854,60 +22879,60 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp eee7014d-0a80-436b-b480-6b6808402f81) - (at 115.048874 143.721811) + (tstamp eb5fd60a-a221-4d67-8fff-91b612db0346) + (at 185.813274 79.068526 -90) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603100RFKEAC") - (property "mouser#" "71-CRCW0603100RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/cf0147ea-473b-47db-8135-596e6b0b974c") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/6d9ad5c1-479c-4c77-bd82-273c4ad5498e") (attr smd) - (fp_text reference "R133" (at 3.31 0) (layer "F.SilkS") hide + (fp_text reference "R166" (at 3.302 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp f0408f1d-2cb5-48a7-9bfb-ceee99704b69) + (tstamp ec048c97-87ca-4fa6-ad95-f780951093bf) ) - (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bc994ecb-24a2-4f0d-87d8-213f4d280203) + (tstamp 59b3b94c-611c-4fc3-b1c6-a0972fa03e81) ) - (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 9f834f8d-59ce-43eb-bd8c-b6a71987c53d) + (tstamp 4c10db28-eed6-473d-b8da-d491e990d632) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6378d68d-7722-4635-b6e5-ef4259963610)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 288b1e14-69cf-42e0-850b-736e79ac21f6)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5f3fe577-3a0f-4860-a4ed-e6cc3470d2f1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1338de69-51f0-44f5-b67b-afc40200a2a3)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e08c60f-ebc9-4b56-bdfe-6c0435064bf5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c981995b-7c5c-49f7-86ff-ef070180c1ae)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8749b4d-97c6-4578-834c-3e8756275431)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 21b13013-3f1d-49c1-8bdc-6faa249e014f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3ab8de8c-26a8-41bd-b365-fb215e08dc02)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7f40f8ac-ced5-45e2-b3e7-68f13eb5ac44)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 90214cd7-e0fe-4e31-8245-da7dff8229b5)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 486808d9-e04d-41f5-81ae-1abcf9d0ee07)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6c9a7ada-f448-4872-9bf4-f8dc72d62bad)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp db6833d8-e58f-484a-ab10-6e53cc197705)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4b383a77-1e71-4214-9154-8310ccb0bfa9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c06f7288-2d1a-4bc1-ae87-002359ed92ff)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2fcc48da-1b42-48a2-a1ae-1f5c0e3c62ac)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 705b529a-c87e-484e-9eab-b3a43b19d3ee)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7d05c0aa-5ab5-474e-a37b-341ceaab2c76)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 79278873-1b38-40db-a308-38c60d491374)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f3fdb0c1-d963-4791-9b05-4cf3d337c582)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 10ac11dd-4852-421b-92e6-465992194dce)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 29a7d2b1-03d4-4703-9939-f421c193cfce)) - (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 321 "Net-(D131-PadC)") (pintype "passive") (tstamp 259b5b3c-282e-46fd-99c2-7387f454adfc)) - (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 575be31f-dfe6-4b79-858c-4c07475f146b)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7ff135ca-39ed-4bd2-ac6f-6820959d0b56)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 374 "Net-(IC2-S8)") (pintype "passive") (tstamp 13a3510d-8e4b-4833-bc63-66b58bd052ca)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 9a6644e6-04bb-4206-8bd1-52a3fcf155e4)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -22915,184 +22940,124 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp efb4072e-d1c6-45bb-993e-8b186cc33cb7) - (at 141.642674 138.491126) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8acb49c6-c9b7-44ea-bf32-411c9c10c440") - (attr smd) - (fp_text reference "D145" (at 3.42 0.02) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp ad3d623f-c2f5-4866-8603-633d38d99d6d) - ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp f6b55494-ffac-42b3-9c74-0ecd8b43e782) - ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 59c52648-05f7-4815-8089-b765a77b0a8a) - ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77bb4efe-9802-4ef9-af71-77b4a6202f54)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca671df6-7983-4489-b94b-b4b5159ff846)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9848273a-5a31-4a3f-a019-37ebf15d867a)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 490628a7-b92c-4fa6-9175-eb1dd0f27783)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0cdf6c6c-47dc-4383-8f79-50b4d4a98602)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8181aede-f42e-42f3-980e-c55b13306a30)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp fbe64a29-76bf-4f43-aa28-d6c73a667ab2)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d2228964-365e-48c9-9cd6-f1a33e511cc4)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dbbf764b-2249-430d-be8c-37c77eb86000)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 73499085-03b8-42a7-89b6-4bcf0fc62afc)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 864cc690-7db1-45e3-a72f-88abceb228dc)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c8b8789b-8859-4b22-9d7b-1234ea5096cc)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 027520ab-7370-41af-93fe-9f214be94568)) - (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 267 "GPIO162") (pinfunction "A") (pintype "passive") (tstamp 374d0179-7c4e-4caa-99b4-2f872bb5e78b)) - (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 351 "Net-(D145-PadC)") (pinfunction "C") (pintype "passive") (tstamp beb102d2-1218-4470-b9da-3a2a33eeb431)) - (model "${MODEL_3D}/LED_Green_0603.STEP" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp f03795a3-b7a6-4147-9130-ae853c2202a6) - (at 154.342674 153.731126 180) - (descr "LED 0402") - (property "Manufacturer" "Kingbright") - (property "Sheetfile" "LED.kicad_sch") - (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/4a66c9b6-9fbc-4fa9-a65f-6ab77b0e0ec1") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp ec262281-b820-45d4-a1c6-4d13f8c88840) + (at 187.591274 55.7672 -90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/e51e3027-ec75-45a6-912d-b096c77fc2d8") (attr smd) - (fp_text reference "D127" (at 3.42 0.02) (layer "F.SilkS") hide + (fp_text reference "R176" (at 3.314 0 90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 379ff289-c7b8-47a4-852d-64a95a2a5ebc) + (tstamp 4ab23b50-d501-4d6c-a548-2cc82b630645) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1de757d1-314f-4702-bffd-abcf44801e77) + (tstamp 69e151b7-7079-42f6-9366-394aca6e8ad3) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") - (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp f45066ff-3e32-4693-b329-7ef6341d850c) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 4d4afc3d-fcbc-4580-a1ea-18efa98e659e) ) - (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e63b623-9e06-4c28-946a-5354212307b6)) - (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b44cd94a-b585-4fc9-977a-d4080ef42db2)) - (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a0f176a8-91df-4a90-a80a-c9003276d557)) - (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17751cf3-4646-4e71-b92a-90aca9273757)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5fbaff9a-cea1-4181-9c50-62b4cf02c217)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f205057-f421-4eac-83ef-0ca08c8e8946)) - (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2ee4cf4b-42f2-463d-87eb-2962bd7690f8)) - (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9ed0ce72-ac10-4de2-8f2d-61eb7bda6c84)) - (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8fadff41-c30b-4527-ba3e-2a702425b01d)) - (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8949b3d4-1eb7-46e9-9ca8-4402a239b209)) - (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9bbea4b5-4bca-46c7-808c-0e01c889bba5)) - (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2ee9f942-4d53-477b-9846-16deca40bb36)) - (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b6c30dc4-6aad-43f1-9892-d041f61a4857)) - (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 236 "GPIO144") (pinfunction "A") (pintype "passive") (tstamp 075f50f5-cd4c-4043-83c7-15286a749438)) - (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 317 "Net-(D127-PadC)") (pinfunction "C") (pintype "passive") (tstamp 66f6d8ff-3d96-48e0-937f-a37c95fb050c)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 27a569c9-ec21-4f1f-bf9a-b8575218121e)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aae9088e-b4cb-49e3-85eb-4d238fddd48c)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0e6f85c3-7b03-46df-87cc-c07fad41f525)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd7e618b-8609-460b-a367-f75dd3380aa1)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp aedd902e-cbf2-4d03-ad30-87b22a91f89a)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 85016f16-eb2d-40f4-94d0-fb57e98041b6)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f424ce8c-52bb-4662-a05a-f95dec0dfb97)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 24ed8085-e535-4a48-a998-9cfaedad4a8c)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f1a9534d-56ed-41d0-a72b-636924028b48)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e9fdb982-4317-4d6d-8c34-6b6d3a834019)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0a6a329c-a86a-4875-a5b0-50cd22631c6a)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72f89a0a-3c06-4cf2-ade5-ad2b254fe6f0)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 381 "Net-(IC3-S7)") (pintype "passive") (tstamp 9178659b-afed-4085-a87f-2b9c9deca5b5)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 003e89ad-ffeb-49ad-98c2-876a4b3010a4)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") - (tstamp f0aef7fc-46a6-4180-9a2f-f3c24ce34535) - (at 213.078874 78.674726 -90) - (descr "C 0603") - (property "Manufacturer" "TDK") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp edbd3bc8-d044-4155-aa38-614639368648) + (at 115.048874 148.752726) + (descr "C 0402") + (property "Manufacturer" "Vishay") (property "Mfr. No" "") (property "Mouser No" "") - (property "Sheetfile" "ADCINs.kicad_sch") - (property "Sheetname" "ADC MUX - Page 5") - (property "ki_description" "Capacitor 0603") - (property "ki_keywords" "C capacitor") - (property "manf#" "C1608X5R1H104K") - (property "mouser#" "810-C1608X5R1H104K") - (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/18b7ecf7-e345-481b-9f2e-04bd14e1e6fa") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/e7d48cd5-9f01-498e-b237-31957d004b0d") (attr smd) - (fp_text reference "C5" (at 2.54 0 90) (layer "F.SilkS") + (fp_text reference "R135" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 187655ab-d35f-4a8e-a2f5-fb4fd87be7eb) + (tstamp 08aa31c3-004f-4789-ae56-fc38e1ab0a7a) ) - (fp_text value "100n" (at 0 -2.75 90) (layer "F.Fab") hide + (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp fb09448f-b483-4329-bdcd-bae83bfa978d) + (tstamp 4fb15f97-3a8a-422d-bfb5-1202fddf8523) ) - (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 0d391ac5-9dc6-403a-9577-ca66016169d5) + (tstamp f6f8182a-1444-4c10-89db-efa599da66a4) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4542c230-2076-435a-b041-ea0161c17684)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8785aa04-841e-401f-8c15-004383eacfc0)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a7d21b3-5e84-4369-a3f3-53b1589514a9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e07e5547-d5b9-4dd1-a4c5-b1857df7c5f2)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb4ee46a-e228-4d83-b26b-872560408f0f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3eeea688-9194-43ae-9b71-9a5edc219d7c)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ae391bb-515d-489b-b733-e2113b190a02)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 144a95b7-77cf-47b7-9b7b-4173e92cc9d7)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 164cd920-b830-4f10-a475-8dd4baf4f8e8)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5e2d9304-ab0e-4567-8fb5-fe4da3e8a7d1)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c9d89d81-f830-41de-9662-2880086f0362)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b3b9157d-c363-4378-a06a-d6e636d304ed)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 83255222-968b-4d53-a336-d909c134ec3b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 00c0d8c2-4edd-4a51-b52b-f03c2820ece5)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d185346b-59a5-4de8-8682-f7f9b5e6d38b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 01daaf6d-5803-4505-b413-7ef6468b5749)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ac6b1323-d9de-4b20-86dc-226b6dde17c5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4f72b14c-f641-4043-87e5-0b36fc312bd4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 90394ad2-5533-4a02-8471-b112c9ad68e1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e6841c23-356e-4798-b745-c693fde52aec)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dd50d1bf-1906-42e4-8278-063e72ef448e)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8baa0de2-6d6a-4506-b080-d1c42b4b3927)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e138a9a7-0e69-4db6-8b54-675c4daea2b6)) - (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 394 "Net-(IC1-VDD)") (pinfunction "1") (pintype "passive") (tstamp d66b9881-7065-4a21-b5eb-98451cba00d4)) - (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 1a316a37-1b36-4928-8252-7cb76f878489)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e3ff4ab5-0076-4490-890b-90c51d38a1b1)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 316 "Net-(D133-PadC)") (pintype "passive") (tstamp a142ea42-b9fd-4cc0-ad4d-6f4e36ea6d34)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp fadbf8f3-152e-4779-8939-07cc0940d6c5)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -23100,8 +23065,8 @@ ) (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") - (tstamp f106de80-f505-46b8-a852-48cefcb07a46) - (at 203.073274 125.266726) + (tstamp eee7014d-0a80-436b-b480-6b6808402f81) + (at 115.048874 143.721811) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -23110,50 +23075,50 @@ (property "Sheetname" "GPIO LEDs - Page 4") (property "ki_description" "Resistor 0603") (property "ki_keywords" "R resistor") - (property "manf#" "CRCW0603680RFKEAC") - (property "mouser#" "71-CRCW0603680RFKEAC") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/56297cce-81d5-4d15-bc30-9a764e874271") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/cf0147ea-473b-47db-8135-596e6b0b974c") (attr smd) - (fp_text reference "R5" (at 2.662009 0) (layer "F.SilkS") hide + (fp_text reference "R133" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp cc6f4957-0c21-4e0b-b4c4-d212ec729dcc) + (tstamp f44a139a-6540-480b-929f-fb8d9a821771) ) - (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide + (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2d810179-93a6-4d60-908d-eb0805899349) + (tstamp 3b8f6079-a7de-47fb-b71c-7a7f7ae091d6) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 58b4047d-2204-44aa-9230-3434e27ae470) + (tstamp 08d6fab6-7f3e-466f-8223-d11c8805efdf) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 29962692-f1b1-4f01-985e-86b401ebd35e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3e90b56-518c-4321-b220-d95cc686e477)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 479a4940-d15a-42ca-8e9b-3e985bfa9303)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0ae121fd-d220-4dda-922b-e87f7fdb975c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b19fd1f0-c6b6-4d08-a17b-daae18254aa6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58c7588e-40d5-4d34-a315-02f361e25ad1)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a1e8cad-606d-441e-935d-8f9dea32d62e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d27afa0f-4a11-40ca-a269-87663283f5dc)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f01be680-9674-4133-80ff-7d5aef6c8323)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 17f5edff-f59c-4194-adf5-55299a0bf5c5)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5f35dbe1-8fdc-4644-b5dc-294b9a3be3b7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ed08b929-0d03-4818-9783-1b79bfdd22d1)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a54fc56b-6511-440a-a32e-25fbaee366c3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f894239c-3097-42b6-8804-ca3f682cd397)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 6fe477b4-2b54-4e19-acfb-870f933a55eb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 61cb8457-5fa5-4b27-8ccc-234a1484b313)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e2be83b-0bcc-4d94-af13-53b63053e009)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 826a09c1-a0c5-431d-9746-56adcd79f83e)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 740c1046-90a5-43bc-a112-58acd4a97eec)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ccfbe0e2-6315-425f-8c5d-9ecba4d92235)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6a135aa4-5693-40c8-8e88-f66acfbe7735)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e55e6d0f-a859-4f99-ba18-8d860dd61be6)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b57f8c4c-e189-4625-a5a5-6c0a21b2f7f7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a629f33f-3c34-4785-8cd2-91ab46020a2a)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 7 "Net-(D3-PadA)") (pintype "passive") (tstamp 0dd5e1e9-cde6-4baf-91a9-07e2437f7fb0)) + (net 314 "Net-(D131-PadC)") (pintype "passive") (tstamp a8d175bd-5e6c-4f24-81ec-9bc1aeef2b59)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 0938d1d0-20c6-411b-b64d-a47bf2027d8f)) + (net 2 "GND") (pintype "passive") (tstamp 88266142-bc4d-4c4e-af0d-10e68b726219)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -23161,122 +23126,492 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp f2d62cc8-373b-46f1-8c9a-6d73a303d40a) - (at 177.165274 121.244526) - (descr "LED 0402") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp ef24e72d-c9fa-406a-a0b8-54cbd413f0d9) + (at 183.690274 94.955526 180) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603100RFKEAC") + (property "mouser#" "71-CRCW0603100RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/acf48d1c-b040-4c20-9d55-67c689c5e648") + (attr smd) + (fp_text reference "R78" (at 0.6 -1.5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6ad8393f-6a14-4dbb-87a3-d956720c4ef7) + ) + (fp_text value "100R" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 052ac702-1fe5-4f4c-b95b-7cd561ca77d6) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp ae3ffea8-03fe-48c1-a686-d21d15593b31) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84c871da-1928-448c-840d-41967d5b6d82)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 892110ef-bded-4106-8ef9-395e4c3efe9a)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77177cc9-fe05-40c6-8b9f-71b1ce0161be)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 470b3df0-a3dd-486e-a523-13aab06e7829)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 998d0a2e-885e-48e8-9572-4b35fa4b927e)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 84e1e012-e2eb-48eb-b661-4cb06b82aa75)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2cc384d1-1bae-4291-8629-41ac8cc68b7a)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c2ad3a47-a663-41ef-a972-b18840fc27d3)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 98d2bc2f-87e2-4d4d-80dc-76a6b017fc7e)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8f65afee-1c01-462c-b39e-5acd41f2a8ef)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0e6a9dfe-3299-4491-b3a1-998913fb1b94)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 03e36f50-4fa2-4566-b9ef-c0a26b739470)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp 4fe065aa-3109-425b-843a-386b743d0681)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 391 "Net-(D76-PadA)") (pintype "passive") (tstamp 40901968-15ff-40c4-a1f1-b7fa472d84ca)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp efa2549c-b486-4797-949a-3d55db42ca3b) + (at 187.591274 79.068526 -90) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW06031M00FKEAC") + (property "mouser#" "71-CRCW06031M00FKEAC") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/a9cb9ee8-443c-4740-a9b2-27b2354b4b52") + (attr smd) + (fp_text reference "R165" (at 3.302 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 282111db-76b5-4904-89fb-5258585c1233) + ) + (fp_text value "1M0" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dfb657a1-76aa-4a2d-87b6-0d006e8b02af) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp ee52f2ef-cae1-4947-9276-01172059a724) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5faf0317-bcb7-4650-b28a-5f96c308db8f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf456c91-39c6-494c-849d-d033f0032f94)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6719b4a8-8b42-4aef-b713-9c87747edf3f)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 108c5392-d4ba-4615-8dc9-f7459888fe28)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0c7ae5c2-0d6e-43cc-b85b-a3e7b5462e28)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fbfdbbd6-ebe8-4f27-b28c-abd4a53914e1)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f6bfeb9d-d41d-4119-bb5c-6765d83988f9)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2b3c9879-64e2-42af-a8cd-6727ec64e9fa)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 532f32a2-398e-483c-abb2-38a1ac13dd31)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 90e1f49c-3ec9-4d43-8a0a-427260654e74)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8b650579-a946-487d-97c4-a8d7ebfb1c95)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4fb5b6cc-bc93-46f1-b0b9-17706639f66c)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 373 "Net-(IC2-S7)") (pintype "passive") (tstamp 7ee5204a-e605-48c6-93e9-e82b2345b933)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 4fc4fe26-e5f8-4366-b6b1-97491da5be0c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp efb4072e-d1c6-45bb-993e-8b186cc33cb7) + (at 141.642674 138.491126) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/71d77a34-2450-4e2b-b375-f062ce351ecf") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/8acb49c6-c9b7-44ea-bf32-411c9c10c440") (attr smd) - (fp_text reference "D78" (at -3.344409 0.02) (layer "F.SilkS") hide + (fp_text reference "D145" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7105c36b-f042-4ffb-b317-166c106f600a) + (tstamp a78bad64-6ea9-46b4-a991-7ca362bf3d88) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 3db4da34-852a-4106-b3ad-5a7810faf51d) + (tstamp 4b080419-2e51-466c-844c-eb69312c998b) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 36b973a7-63ac-40bf-86e0-099964531854) + (tstamp 8cfa3352-2191-40b0-bb93-256812f70ee0) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5a5a7b87-9ce5-45ef-a5c2-c34651e2d8e6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6426af21-e382-43a3-870d-d5a36630f744)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36a28ac1-8177-4f0c-8009-4061c45a741f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7684c4d1-f0f5-4373-a6db-8a3d4f85812c)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp d0c4c262-f960-4f62-a41b-d2715f06f60d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8a02111b-7469-4b81-8efa-5a98f8371b3f)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ef986bb2-bd3b-4585-812d-110bceb3dd40)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e918e3af-96c3-424f-b9c2-90c1b9327fa1)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7561b5f-ecfc-4e22-ab5b-c320067c3385)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8693c75c-2790-4ac2-8dba-566b17bb19c5)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67d45837-5ac4-4ec6-9d1d-0e8da6d2cbe0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3a653d1-1116-40a7-8fc2-812d69ba55b2)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 7926baf7-80a7-45c1-8fe6-5b950ab7d84d)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e31999de-e81b-4fc4-911c-f5c5723ec452)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d21c5ea0-f58c-4b59-8f50-b0a7f06e2cc3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 753c2492-94b2-4c29-b578-a4437edbce00)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c9dcc3b7-3b84-4182-89aa-d34b84356709)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43e5a40a-d8f7-4f13-8f20-1fd28746fe4a)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b7280255-be14-49ca-8b19-f40683001534)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 106574c1-0f5f-41de-a03d-c6c87170b80f)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0c6cb13-3739-40b2-9a1e-2c43909e27ca)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 209f1405-a174-4597-aa1c-3e99b5a205eb)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3308e1dc-ea10-451a-b83a-13f3d70a688c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 63a3ae46-570b-422d-a1b8-b65e590c3502)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d751f985-bc7f-49d0-8076-759a011402f5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6bbe6bdc-4bd3-47bc-8a2f-d4ba64ec4dfc)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 154 "Net-(D78-PadA)") (pinfunction "A") (pintype "passive") (tstamp dc473096-fe43-4879-a8e2-40b17c17666c)) + (net 260 "GPIO162") (pinfunction "A") (pintype "passive") (tstamp a72308ac-deda-432d-8a94-3fbbe4f4763e)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 187 "GPIO109") (pinfunction "C") (pintype "passive") (tstamp ddb2d1b6-f744-44b5-b737-c38cc89308c2)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 344 "Net-(D145-PadC)") (pinfunction "C") (pintype "passive") (tstamp 884788e7-dddb-492b-976a-ab545ceacf0c)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") + (tstamp f03795a3-b7a6-4147-9130-ae853c2202a6) + (at 154.355074 153.731126 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") + (property "manf#" "APHD1608LVBC/D") + (property "mouser#" "604-APHD1608LVBCD") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/4a66c9b6-9fbc-4fa9-a65f-6ab77b0e0ec1") + (attr smd) + (fp_text reference "D127" (at 0.3 -1.39 180) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3c7deb9f-b471-41cb-b796-4ab0d89cd7cc) + ) + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e578df1f-5788-494b-864d-19a69791697d) + ) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp df55cfde-c28f-4b58-9115-9a6724a77dd8) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05a6427a-4605-4b65-90c9-955fa814f8fd)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 61072ae1-e3dd-43db-b78e-4cad271c2418)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cc9e02db-604e-4105-8c04-fdc8966df56e)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01bba456-0748-4bf0-bbbc-5c6bf9e4e989)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a980693d-b9f4-4d06-97b7-884e27c6f9fc)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 41cf9c05-c10b-4c2b-b1d1-43cc8c811027)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 2c432d9f-ebc7-4035-8f72-ebf9ea86b09f)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4ceda06-2203-490f-9232-0fd0a2e429e8)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 18af0d6b-25e9-42d3-8929-410b3a596bac)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b51d2dda-6919-42e5-84f1-d298b94d39c3)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 346bed67-6e6b-4e3e-9b56-ac8e3266cd8e)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d1e0e465-4316-40dc-9080-ab53c29658c6)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 42b1de7a-71c5-4657-9c19-e78e6b8245fc)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 229 "GPIO144") (pinfunction "A") (pintype "passive") (tstamp 578e9773-87c3-4740-8e25-0b955ca3f014)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 310 "Net-(D127-PadC)") (pinfunction "C") (pintype "passive") (tstamp fa2757f3-3e91-4e7d-97a7-d4d4ea4bab2f)) + (model "${MODEL_3D}/LED_Blue_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -180)) + ) + ) + + (footprint "LEA_FootprintLibrary:C_0603" (layer "F.Cu") + (tstamp f0aef7fc-46a6-4180-9a2f-f3c24ce34535) + (at 213.078874 78.699526 -90) + (descr "C 0603") + (property "Manufacturer" "TDK") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "ADCINs.kicad_sch") + (property "Sheetname" "ADC MUX - Page 5") + (property "ki_description" "Capacitor 0603") + (property "ki_keywords" "C capacitor") + (property "manf#" "C1608X5R1H104K") + (property "mouser#" "810-C1608X5R1H104K") + (path "/604d32ea-fca4-4e87-93e5-d9e7a50027d2/18b7ecf7-e345-481b-9f2e-04bd14e1e6fa") + (attr smd) + (fp_text reference "C5" (at 2.349619 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2f0c23ae-1e75-42b7-8fd6-2d58bd43a17d) + ) + (fp_text value "100n" (at 0 -2.75 90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b242419c-6509-42db-a611-a58533def153) + ) + (fp_text user "${REFERENCE}" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 39fae355-ce74-4542-a715-ad052aa51795) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb3e03c5-e9ff-48f7-98db-a20364cfbcd0)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 591a9050-d0b0-4794-a6da-2a38a5958571)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2eef407a-0245-45f7-87fb-de365809ab88)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aca33ff3-bce4-4d13-a0bb-1aac9a599d04)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 23534146-f6ec-4fc1-afa1-0e695914292f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c26a134f-6db4-4d36-b2c5-7cd54cd9def8)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e74887de-8133-4e61-9a2c-93710389a965)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e30a37f2-d58a-40e7-87d3-a8e6963a2390)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c9199303-ce18-42a6-a25e-50b178960240)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8023be64-2082-4059-9f37-f26ddafeeaea)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1733cf28-9514-4780-bec7-58b120ce192c)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 16fc48ec-b7ab-4ce4-a0c4-499a6a85e070)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 305 "Net-(IC1-EN)") (pinfunction "1") (pintype "passive") (tstamp 38ddda1c-1da6-43e7-8bca-8ac8e660312c)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 7bcd197b-2d2b-4ef5-aa0e-101fbef2b2da)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") - (tstamp f2f8cbba-6f99-402c-920c-ba8b3eaa4100) - (at 195.409474 102.779926) - (descr "LED 0402") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp f106de80-f505-46b8-a852-48cefcb07a46) + (at 205.413674 116.474326) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/56297cce-81d5-4d15-bc30-9a764e874271") + (attr smd) + (fp_text reference "R5" (at 2.662009 0) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ef5327d0-b0f6-47cd-b523-e2998f9086b1) + ) + (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8b45ba60-d720-4b18-900d-8157742154ce) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp d5f5e1ad-099d-44e8-ba6e-5d073a58a5a2) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ed3c753-e700-4fa3-99ee-a2d75565f65a)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb1a725e-3b83-4663-b1dc-8c4eeb0de346)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b947c71-1d5b-499f-8e4a-3d013583e985)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93763896-0a6d-4694-bd70-8895594116f6)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c8f7ce79-f33b-4fb4-a436-99c2e01b4e4f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 98ab0744-d596-455b-ba93-02ce0e5baf1f)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d86d336b-d453-4915-93b4-ec5d1b17815f)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3874c6b4-b8c1-454d-a0e3-7fe4b5e7c6a1)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cd159f14-284c-4fe5-be93-e7ac055eba6f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c167852e-0421-404f-b696-f734d7e4bf35)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7dfd08b7-bfba-4874-8b17-1e5ffc07a209)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b8ac32cf-b103-4abe-9afc-cd7307fc2cd8)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "Net-(D3-PadA)") (pintype "passive") (tstamp 88847c2f-37e3-439b-afe9-0a11c56a1bbc)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "+3V3") (pintype "passive") (tstamp b8a120f9-aa51-45ad-b22f-5f41077d9454)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp f2d62cc8-373b-46f1-8c9a-6d73a303d40a) + (at 197.739274 123.916526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/4944c795-492c-427a-b852-44cb82d40c05") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6d0fb3e3-af35-4245-acb9-b82f714f976d") (attr smd) - (fp_text reference "D11" (at -3.831849 0) (layer "F.SilkS") hide + (fp_text reference "D78" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e67dfb18-27cf-493b-bbc2-a2ecc90a288d) + (tstamp 58db7ed3-086e-4125-97a2-a68b18602fd8) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d86e597c-ae90-4509-809e-3c7362ab936a) + (tstamp 2f91f74b-e1f8-44c8-bdb3-9526011fe3af) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 4ec09d28-a140-4a2d-b5d3-9a447a0dfa9a) + (tstamp b62440e7-fd22-4a90-9b29-46cc2cd6b84c) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b86e5d43-8cb9-4035-a199-9454379c53de)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 083f2105-e71e-4d94-8262-e25fa1052bdf)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 185d38b1-aa26-4d51-bdf7-099ff3dff66e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ceaac386-ced1-4b4e-9cd9-213a3894c94d)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e0171279-582c-4300-8a81-572233a93240)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 69ca2584-62d8-45a5-aa67-225e6e4f3aed)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 28c51ab4-d3a1-4c19-bb71-b0b339ac015b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ea9e757-b3bd-4f51-a416-edca55810041)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 032a655b-c9d6-4e05-aff8-eaf18f1c4c59)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d08a426-f85a-4277-ba73-bc98f93ebb6f)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 386c8ffc-279e-4cdd-883b-0e44707f5cb2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 41f6b122-0626-4ef4-9884-92fe02daa7dc)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 939c2601-061a-4bf6-b441-c8cbe70b3aca)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 0bd7d596-f50e-440a-b52d-ba3af0234df7)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ebbba95e-e68e-4ec0-9caf-458e291423e3)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ff0a2051-1fff-4788-8a7f-7644f4a13897)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a3456928-bef2-4dd7-95d3-f412ef41cd12)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a654f5cd-ac90-4591-be63-d6fe89867dd3)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9842cccb-69f6-4056-adb4-12a2e890546d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 32e9aa2e-4158-4369-8fbc-348a9fbe78a0)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 761f57e9-6418-44fd-a428-96bb42db3b1a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp fc83c8e8-a96b-4ed9-b996-b5f9155975b5)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 201b60c4-a71a-4265-a8ca-14ea0c5e73e7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7122803f-47fc-40ff-b73c-c7f7c7c0f8e3)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 31f304b0-814d-4329-93e1-fedab3e639f6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ec0f23ae-e563-4a7d-9c59-ce8a8a633b9e)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 23 "Net-(D11-PadA)") (pinfunction "A") (pintype "passive") (tstamp 21b966ca-7e8e-4f50-b4fa-4929a00f211a)) + (net 151 "Net-(D78-PadA)") (pinfunction "A") (pintype "passive") (tstamp 773200a2-6a5c-40bb-88b0-dbb1ee504a3d)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "GPIO15") (pinfunction "C") (pintype "passive") (tstamp 8b9288df-9d9f-4538-bdfc-135e8d8d02ad)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 185 "GPIO110") (pinfunction "C") (pintype "passive") (tstamp 292d36f8-e7aa-4e69-8f66-a4968123138c)) + (model "${MODEL_3D}/LED_Red_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") + (tstamp f2f8cbba-6f99-402c-920c-ba8b3eaa4100) + (at 173.125274 120.736526 180) + (descr "LED 0603") + (property "Manufacturer" "Kingbright") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/fb74b604-9cc8-4349-9461-f37b6f6f9e02") + (attr smd) + (fp_text reference "D11" (at 0.3 -1.39 180) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8252d730-f4df-4908-9d5c-0950a7440e3c) + ) + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6f26bd02-841b-441f-80ff-2f1cb8c50c71) + ) + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp eada9818-a3f5-4299-8e8e-303b03db1e1b) + ) + (fp_line (start -1.59 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0ec7a80-010b-4287-b3bb-9a4aed3b66bb)) + (fp_line (start -1.59 -0.65) (end 1.34 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp edf3d0b7-07f1-4f29-b284-ab0e66736cf8)) + (fp_line (start -1.47 -0.58) (end -1.47 0.58) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp dad7de05-b522-4ebc-a10f-eeaa1d25dcae)) + (fp_line (start -1.35 -0.6) (end -1.35 0.6) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c3611ac-dc89-4bca-80e5-155f3b571619)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 150cbbdf-4a85-40ef-bf10-0584b6f53ec6)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03da6e19-e10d-4a95-ba58-3ac7e9c4b054)) + (fp_rect (start 1.35 -0.65) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp d05da45f-65ae-4e09-b635-c2f5b4b56301)) + (fp_line (start -1.59 -0.65) (end 1.35 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9b70f7f1-2c0e-431a-8c01-d7b1ce2a2a50)) + (fp_line (start -1.59 0.66) (end -1.59 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 00f325c0-b3dc-421e-bb11-840e20596ab3)) + (fp_line (start -1.47 0.6) (end -1.47 -0.6) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fda01bf1-afb4-45bf-b505-674f32999871)) + (fp_line (start -1.35 0.6) (end -1.35 -0.6) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7039066d-7c3c-4daf-82d8-2f791bd6caa6)) + (fp_line (start 1.35 -0.65) (end 1.35 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1e7346c1-8f7b-455f-8aa3-11964571016b)) + (fp_line (start 1.35 0.66) (end -1.59 0.66) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 431b54ee-a5d2-485d-b343-bd4165f30946)) + (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "GPIO15") (pinfunction "A") (pintype "passive") (tstamp 9213add8-790a-4b5f-bd30-4139a1097ec0)) + (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 147 "Net-(D11-PadC)") (pinfunction "C") (pintype "passive") (tstamp f55ba839-f34d-4b8d-88b7-c4ae6f44400e)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -23300,44 +23635,44 @@ (attr smd) (fp_text reference "R140" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 77c9abea-b639-4836-a6a6-246a07880a2c) + (tstamp b7af90c0-4c1c-4493-836b-ce48d1dba084) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp feff5f49-0002-4099-9595-99ae612bfb9f) + (tstamp 79c27033-3667-4a87-aa0e-4569a7f56136) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp a401924c-8c73-41f0-8bb4-3af0113fc4aa) + (tstamp bdb4e41b-3f0a-4eb7-bd1f-30b778fbec7b) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d4209bcf-312d-4116-9216-d0f789ae14d1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86b6f696-e528-473c-a8b5-6721c53bb928)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 90eda1d8-45d3-477b-8ef8-2765384345f5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c440070-27dc-4b8a-8130-d2c06fcf3177)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d36275b-b12e-4307-b2b3-1799ac83b3ad)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 549815e6-5cab-4fed-aa72-ad422d9faff3)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3dd89a1a-60c5-4b13-bfb9-5223547a108c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f79b924e-7556-4ab1-92f9-48014ae97de0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp fb589b44-5371-4c38-b59b-f06d18dca812)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b4f50fd8-598b-4ce0-a24c-03afceba1fa9)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d3dfa7e6-22e8-4782-b489-530233c12015)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 66131f81-7866-4c58-a7ce-f87078ba8ee0)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp d597669b-c606-4deb-a9e9-f4d0a6c8b6d1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 696c9a80-0ebf-4993-abb5-dcb229e3fc14)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f2740519-1df6-4c7e-8ee2-b2168fd2e8c7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp de10d303-c950-4c40-9946-9b6fc4c620f5)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d0e0806c-c8f2-43c7-bc18-dfa03090c9d7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f875f333-4736-4312-aacb-6f57bf3af9eb)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 03870e23-419c-437e-b905-fcfebe896322)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8530dfb0-b724-4fe4-8bfd-c062cebeed90)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c6900128-5208-4ec5-bc35-dab5b934a463)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 41c2affc-0794-436d-a5f1-d60430f9850e)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2e5689f1-9657-4d05-839f-d9fe5154bab0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp dc23295a-e0a7-4ada-b584-80642d5bf763)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 328 "Net-(D138-PadC)") (pintype "passive") (tstamp a7a4a814-ee86-47a1-8d17-58f754c3ae86)) + (net 321 "Net-(D138-PadC)") (pintype "passive") (tstamp 4126f59b-a5b8-4792-a8ce-c6df434f228f)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp e9a808b2-3d2f-47bc-ae9a-d5149a2a8785)) + (net 2 "GND") (pintype "passive") (tstamp 0d9403b8-8e17-4c4a-8e6f-5d88b55b203d)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -23345,183 +23680,245 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp f6306fa0-051f-4e82-9d62-0bb2fd585f4d) - (at 195.409474 92.614926) - (descr "LED 0402") + (at 197.739274 93.910126) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") (property "manf#" "APHD1608LSURCK") (property "mouser#" "604-APHD1608LSURCK") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/cdf7f240-9003-42c0-a872-93c38558798c") (attr smd) - (fp_text reference "D29" (at -3.831849 0) (layer "F.SilkS") hide + (fp_text reference "D29" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b61db735-1756-496a-a00b-1522e9f57d11) + (tstamp c3df2d17-3699-4b76-961b-5df6c4f7041d) ) - (fp_text value "LED_0603_RED" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp ae4f5e3a-3669-4d6f-a424-84c136bddae8) + (tstamp ab7e55e4-89e1-4947-9f84-ab390df2e6bd) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 4106091e-5954-4381-adda-ba9ab0322aeb) + (tstamp 464fb67d-6716-458b-9e8b-443b4a297589) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf25bb29-5add-451c-9055-042f6ad22ff4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1367a37-411e-49c0-97d4-51d26f954fdc)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be0faf3a-2d7b-409d-a8e9-697f007b9a0f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab63d9c0-d565-460c-9dbb-e93d1b81bb52)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 80547a7e-df29-48c7-a4c9-356c9cc088d0)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 48936a56-2f93-4ddf-b5df-aa168be107ac)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb6f03df-213d-4354-8b95-34f42f09369c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30b2dba2-afd9-4cc2-a270-f773136bd7d3)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3bb3f814-dcdb-4d72-aadf-179fe039b76e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 49938047-3d26-45a7-a0a1-9291bf63beb8)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d0cf4c6-371f-4164-a0a5-783178114069)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0738cd41-3704-4f8e-a9b4-e8e7e8d660af)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8597fa49-7262-4928-b8f0-023887209e98)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 639520d3-85cf-4845-888e-6ca0a69f4ea8)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 20502f39-dc44-4ed5-85e3-114bfeaa7b0a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 37e15570-508d-45f5-9dac-91c5a0a42471)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e9757e59-11b3-4604-9896-a513a4a5ded0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8a812d83-8ec3-4987-8b0f-df4f6198cffa)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 54747f8c-9764-4263-bf88-9079ede2adba)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e225ae33-b1c9-4401-a3d9-dbe74393a4ab)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9b820153-5dd5-4b4d-b61d-109e55253b39)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 94db5680-adf2-410e-a617-b139153dab4c)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a0103639-7aa0-4960-9ded-68d0bf40932d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 40313cc9-bd6d-44ee-81f8-c6b5243e4542)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b7291d70-8f2e-4216-9040-9198dca49370)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f425e2ef-8284-4035-8b9d-cd3a4b9dbf84)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "Net-(D29-PadA)") (pinfunction "A") (pintype "passive") (tstamp 1f4de96f-c282-457a-b778-c5d7c88066c4)) + (net 56 "Net-(D29-PadA)") (pinfunction "A") (pintype "passive") (tstamp c9997126-9b64-4ea0-a480-37bca372dbd8)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "GPIO34") (pinfunction "C") (pintype "passive") (tstamp 9677d130-d946-4d2a-a286-dcdcc995f289)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 57 "GPIO34") (pinfunction "C") (pintype "passive") (tstamp f8f1d3bc-7d2a-4a0e-952c-4eaf74459507)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp f650cf51-78c5-4455-a05a-1473f9d746f8) + (at 189.385674 123.266526) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/a3986a03-eeb8-40d9-a986-b64e6bc491d9") + (attr smd) + (fp_text reference "R99" (at 0.6 -1.5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 22836f7e-3c6b-4757-a6fe-624ba2a957b6) + ) + (fp_text value "680R" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f527fb4f-0ce4-4fff-8274-55f8ddbe16c0) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 75806273-bcaa-4ad6-9d48-9fbc4d8b0f58) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ccf69d22-ed61-4e37-adc1-98a409bcb082)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eaa4d207-a092-4d9d-b608-fc387730eba0)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f313851-7e64-47ed-b8c8-9c9271417b1d)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bfea2c53-794d-43c9-9a6c-ea6c4619ec62)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 15910021-814b-484b-9f30-1b0e59c86dd1)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f5bbc2c4-c8f8-4c4c-aca9-cd578eae620c)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 10f60130-24fd-4d83-ac22-82e24cf0bc4a)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7969947b-df7a-454e-b5dc-4ffa7f8e2fc8)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ce4517bc-3047-4a55-b046-e7c9b5b15846)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 61900628-03c6-4af2-8445-4bb58d802a8f)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e00756e8-3ef5-4df6-a3f0-ffd8b770e117)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 070d8cad-886d-4d75-a8cf-e032c31adc82)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 395 "Net-(D97-PadC)") (pintype "passive") (tstamp 64d9f1a5-69cf-44d6-bad9-e58061c6fbe3)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 1ae33546-1367-4566-a637-b0551a565442)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp f67fd62b-3a31-410b-9c03-6c88336e2f48) - (at 177.127874 110.449526) - (descr "LED 0402") + (at 176.300274 104.988526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/2bf5fc8c-1151-4cd2-9a85-2b458def806c") (attr smd) - (fp_text reference "D108" (at -3.8054 0.02) (layer "F.SilkS") hide + (fp_text reference "D108" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8982bc90-e05a-4c34-ab10-6bceeca59de3) + (tstamp 31619411-d38c-4f7f-b483-dc4457b529bf) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6309d5c3-bc14-4355-b4dc-d7eaafa943f7) + (tstamp 10cb687c-0bdf-4749-8c70-a42def376a62) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 5861fb1a-a70d-42aa-90e1-a1af2406f58c) + (tstamp 72697c30-d775-4741-8f2d-78bc6ab54767) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23ea6608-c7c7-4a29-b9e2-625482b0a90e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b21c32e0-ba58-499c-a71b-8652cc986e9d)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67120239-5e27-4c1a-ba69-74bed2031b2e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b1fa6de-316f-447e-a054-f6a5bdde6577)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 03f2f9d4-323b-4478-8eac-8c476a3bddcf)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b2a1a9b3-ca41-4494-9fe5-458d2b9067b0)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e30a35e6-6c0d-487d-850c-434baff9e8f0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aef9dc5e-43ba-4ee1-9652-20b62f3a9b1e)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25d19249-721d-40e1-af94-5eead248666d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c3f9bd2b-be14-4bee-bf48-ded08f6c6ad9)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70c58cd2-c68d-425f-ad50-86d5c1ea5d1d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7aa79bb4-7850-4cc5-83a6-26e71ad488d9)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp fb5d9643-764f-45da-91db-43d8725f2a02)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 503aa6d4-7654-48de-946d-7a17705a423e)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp de41888d-f300-448c-89fb-86cd3e2570ec)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f1349e4a-6fe5-490e-b934-c06787c8c7fc)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cda23634-0958-405a-adbe-046ecdaba3af)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9da53c97-c28d-47ed-bef7-39d503fe7173)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7960069c-ace5-436e-b495-990636bd445e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2327b86c-d327-4495-b3f7-08880da41c1e)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3ac3c421-ff6c-4514-8873-fca0db2259e0)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6f0cd13d-7fed-4ee9-bb84-21ddd9b78084)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f873a942-d02c-410e-9b15-100104597902)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4405478-bf36-470e-97ee-2b9692a5df22)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 784c29f1-f9d2-4953-bfd9-e9cb186cbe19)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 75dae174-bb65-47c0-96a0-90b46b24e28c)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 214 "Net-(D108-PadA)") (pinfunction "A") (pintype "passive") (tstamp 0b054c1d-b4cb-4cc8-b7a4-cb846340a43f)) + (net 207 "Net-(D108-PadA)") (pinfunction "A") (pintype "passive") (tstamp 72c89d46-5051-4710-b855-b204bbc29413)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 215 "GPIO123") (pinfunction "C") (pintype "passive") (tstamp e7a48339-8a7d-441a-8418-3f31bf94daf0)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 208 "GPIO123") (pinfunction "C") (pintype "passive") (tstamp e97d2917-d6e3-43e3-9f92-ad1f2f7362a8)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp f6a610ba-7a47-49c6-90fb-132283f9967f) - (at 195.707274 133.944526) - (descr "LED 0402") + (at 197.739274 136.611526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/0cf723c8-d67f-4b7c-9c55-142cd4ddd84e") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/09d41fee-6fd5-437f-a551-7e0bfd30ad2a") (attr smd) - (fp_text reference "D18" (at -3.332009 0.02) (layer "F.SilkS") hide + (fp_text reference "D18" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp b65be802-25a2-434b-a273-40c177e3865a) + (tstamp d2160b66-676c-47b2-bbc9-a1e3da137e72) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5b70a864-f739-4530-bdd8-361043806d21) + (tstamp a8284723-00e0-495d-9c8e-bfe560d10e5e) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp bb09d7fa-bf29-496b-ab57-a4cfddfffc70) + (tstamp 306428c4-44e1-432f-89a2-3bb8d577b1c3) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 26cd4293-cc1c-44d3-9c0e-1a4797f84082)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93a9cbce-b956-40e7-9e6a-931ed1b21a64)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 10cb453d-ab7f-40b6-afb7-e989a79a93fb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62fc3fed-1abd-480a-9e1b-a38b3ccc7568)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 14e01590-f42f-4fb0-914e-ffc28b120340)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6a338478-8ee7-4b47-be84-e027421e6ebb)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bbb2ac9e-291b-469e-b67c-e5829e05ef10)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20616d38-ceab-4418-9c7d-26a223ed4897)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ddb705a-f8f4-4f7d-86b8-190b563e3497)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 11662887-a6c0-4e0e-a84c-ef5dc617017d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72766a36-91fb-4d90-bbab-5f79c614a724)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3b0eb396-f59b-4235-9adb-a8696b4dbaf9)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp fa322314-49de-4ec5-9925-d18a362edbc6)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp dc68e035-933c-4d70-a6c4-6a92a12eea64)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp abda771c-109a-43b3-aec6-d58403e73da9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0f485399-060a-491e-8e13-2e94c7df6d8c)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c866fc0-e703-4640-a661-d49cfc1cd7f6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp abac66a1-61dc-4283-89c7-d37d3280647f)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c85dab6b-54c8-48f0-9c8f-d6b3ddf6ee0c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5da5ef56-d460-43c0-8bb0-02489b1a2920)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d2572fe0-6b24-4235-85c1-95178b959793)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aef9c1bb-0b51-4f24-ac3e-5e729a107705)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ef48e9eb-b4fe-470f-9480-cfc07d9788ef)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 48658716-7cd9-45ef-ae61-a7e117c7d03d)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 43e800f9-5193-4d44-a08f-259fbb5663a1)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5bb5182e-4e5a-4d3e-bd30-32fafd5cc824)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 37 "Net-(D18-PadA)") (pinfunction "A") (pintype "passive") (tstamp 2633f5a8-b126-4180-bec2-6e1fdbba843e)) + (net 34 "Net-(D18-PadA)") (pinfunction "A") (pintype "passive") (tstamp 4a673404-77c6-4df4-88b5-16081f852567)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 38 "GPIO22") (pinfunction "C") (pintype "passive") (tstamp d2b58707-974c-461c-b05e-9b238009585f)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 35 "GPIO22") (pinfunction "C") (pintype "passive") (tstamp 064990d4-2db3-426e-bf21-8f2305b9f9a8)) + (model "${MODEL_3D}/LED_Red_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -23530,7 +23927,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp f71f9706-63ec-437e-a1bc-d2c65f3dc90e) - (at 203.073274 90.029526) + (at 205.413674 91.324726) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -23545,44 +23942,44 @@ (attr smd) (fp_text reference "R43" (at -3.302 -0.0254) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp bdd5cdf2-b7e0-4afa-8280-b5f2cc0ec9ff) + (tstamp 092b5eb7-6a72-4f27-98dc-88a4d09949ab) ) (fp_text value "680R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp e2fe9f8c-39fe-4def-8679-e65d529b5a7f) + (tstamp d237550a-b44e-46cb-af9f-8926cc1d6455) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 8b4ba903-e4f5-4db4-ab4f-5c8977d267be) + (tstamp 23caf409-0d04-4459-b594-2d8db65aeba8) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30570126-a1eb-4709-84d3-97f1674bc529)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f868821f-7a8e-46d3-9827-da41e4d297e2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35233ab0-87fa-4882-aa80-34534c7608dd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d6c45e48-2ec5-4954-98d2-ca3692216e9b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a505c8c7-526d-488f-b559-5520febde2b7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa42286b-434a-4cc6-a51d-ec60c3435ab9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91dec650-834f-431a-9c39-069fba277b48)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8290f3ed-691e-4fba-b43a-4bcce50d0073)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 3c7bfc26-a167-4f7a-9053-d3b9256193b0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e4b56ffc-e8fd-4684-9544-79e06a69d0ab)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 98b0c021-1889-4f28-a848-93ed4aa35ffe)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9deae702-8fda-4f8c-b80a-4287afacf73d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e8d0f7fe-7c4a-4820-9a30-d861c48ff690)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5f0b6ae3-ef5d-4c95-97f5-a73c84f5d278)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 2f933851-9f6e-4946-86e8-977c2d83c19b)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 10997918-ba9d-465d-8cfc-205dafd4ec4a)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a96717ea-2e7b-429e-ae4a-acc9c5c2b474)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ca22a2df-1643-4c6c-a921-b0028b923647)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 79f33d9d-465e-447a-96c3-7585c9687fc5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6f17f2dd-c0e9-4514-bd9c-edda76e72c30)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b740127d-a78d-4580-abde-300f106ef8cc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7da24916-612c-4198-a5a6-17c5d037f851)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp a37bca35-a42a-4db9-927c-8a4e20752893)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 13145608-5242-4be4-a627-746c27577eaa)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 82 "Net-(D41-PadA)") (pintype "passive") (tstamp 731f211c-04a4-4c67-af97-98862d813d24)) + (net 79 "Net-(D41-PadA)") (pintype "passive") (tstamp 77f49976-90e2-4f47-8f92-946c6d445acc)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp fe55cd6e-6b8b-4f2c-8680-771d861f2baf)) + (net 4 "+3V3") (pintype "passive") (tstamp e33e1484-5262-42e1-b33a-023eedecaca6)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -23592,7 +23989,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp f87d93b2-14a1-43c9-a24f-c79d3529958b) - (at 87.559999 151.268647 180) + (at 87.540674 151.268647 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -23607,44 +24004,44 @@ (attr smd) (fp_text reference "R144" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7b5f7b44-7688-4ffb-b246-a27f53658053) + (tstamp d17b91c7-58c9-4fff-8ddc-b548acf4f806) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 97b74817-9463-46b1-8962-cedce05e7010) + (tstamp 68c42bf0-749a-4d51-9e88-f026ac7d7568) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 4f682398-5afc-4473-bd61-934fa59d333e) + (tstamp bb90a6cc-f46e-4d53-b178-e2ab6a55aa4b) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7cc987de-ad7b-4417-8453-50c7a7846fce)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 96b30b88-c859-4fa9-ada8-42ad351aa8f4)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e675430c-21d4-4991-b76d-39a861d3e21f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8815961-e1e3-4984-98b1-b4366588d42e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf3b9f0f-18af-44d9-834c-085a7dec7971)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d4f7da57-3231-41e4-9717-2e89234b4920)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f10c1bd0-05fa-4679-a018-668869e447d0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5aac427c-8e67-4839-8131-b0524f65ec9e)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 0b6a960c-a3d2-44f7-8e75-41ea8d818542)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 46e7a010-1741-4104-b3ea-bbbc17cad1f2)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 68fabd6e-cba3-4a5f-950e-93c9a8a8bdaa)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 19735ae1-41a7-4fb2-a466-bbe05c717c1e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a20bbca8-9379-42b5-9935-dbc35124f4e2)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 1a9138c7-44c2-46d8-aea9-7ef49e04da12)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5a039915-00f5-4f73-9126-c917f84eb6c1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 18b670a7-02cd-435c-94f7-3bc1c63ff38f)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3b7cbe77-c92e-4fda-97ac-6122ba4511f7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 15504ce0-c7db-44e7-8bfa-b665d8ea019b)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 58e69b6e-be3c-4089-aba0-878600f2b6d6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e6bd03b1-5f7f-406c-b98c-f4d47ee4a982)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 3714ea98-2eaa-46e2-810f-1a30b916a1bd)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 555260fd-32f2-4e65-af9e-0d1b905f7f72)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b44dccb3-55c5-48ea-908d-aecb33135cdc)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ab21c29f-f3de-42f3-a73b-5764145179f6)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 354 "Net-(D142-PadC)") (pintype "passive") (tstamp cfe79801-1ee1-44f0-b946-5116dde2b607)) + (net 347 "Net-(D142-PadC)") (pintype "passive") (tstamp a0ec1c1d-a178-49b1-b6d9-a36dcb63e2cb)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp fa3e2a6c-9f4f-45e8-a3f3-0e2df4718132)) + (net 2 "GND") (pintype "passive") (tstamp a397f42e-34c1-42e5-8716-5828c878006e)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -23654,7 +24051,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp f9b4c0e4-c9b9-42ba-9bfe-bc4d39f369cb) - (at 85.862518 84.211087) + (at 85.826844 84.211087) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -23669,44 +24066,44 @@ (attr smd) (fp_text reference "R54" (at -3.331126 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 08bb81f2-14fb-4334-86bc-176b5cf2c8e1) + (tstamp 06cf50c7-4557-4b18-8c1c-799228e157ff) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 6f62fa3d-e2c9-4bde-b245-46fd9c80eef7) + (tstamp e8c5961d-7727-4824-a824-8ed8285e66d8) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp fa59deeb-6c53-4e30-8b13-9c3037c55786) + (tstamp d71b9838-7ba6-41cd-abb2-19d999bd7b17) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb7f7c9a-e56c-4b70-b44c-6e4067b81c5e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d2541b0-9dd7-4494-9be4-91384debd726)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d5128741-b94f-48ac-9fa0-c57b55cafdae)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 50c66558-84a5-448b-a62f-b2c29d01dd37)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b152cb66-8275-49f1-8d94-09d69b167e71)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5d92b24-2f01-4764-ba8d-538a357921b2)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 28324029-d801-4c40-835c-3c0f625c55e8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c549b05-efc4-4521-b04a-794601db4233)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp dc89f398-0890-4577-b9f3-bfc8ec4a00f0)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b63eea8d-c807-47a9-97f2-37b7118a1250)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9e49ac40-b796-41dc-aa0f-902803d2e115)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 04b1452e-3d5b-4e3a-a61e-15953e41004e)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 982a234d-f414-4879-aaf7-794ca507ad5a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 05d2d254-c659-40b8-a210-32e2d42cba73)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 68dd8ae9-5082-4458-9ecd-1f3f7c73a662)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cf837272-2d59-4f62-9796-8e0c7dbd7bc0)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 92cc8fbd-77ac-4e67-9b5c-c8e0189ef3f5)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp bb17fc55-e708-42a3-bc4f-932e4407ae05)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 781c7725-3ecc-4ff1-b917-8c9dcb9ba2ba)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b5507139-2f5b-4857-8ed5-1ad549f8214f)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5e3905be-8eae-4c00-9c01-e4ed8f371032)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 23ad8792-1d79-4804-abf9-50bd525255df)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e7a4991f-b0f9-4cd4-809d-808c1c6e1461)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d0b66de-69ea-4760-86eb-97efbd9f72b6)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp 901c6178-5084-4855-ade3-44a88723ecba)) + (net 4 "+3V3") (pintype "passive") (tstamp d46530cf-1c22-4523-bb36-5420a494de24)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "Net-(D52-PadA)") (pintype "passive") (tstamp 3b4a6c56-ab37-4ee5-a2f7-ee08ae7c3eea)) + (net 99 "Net-(D52-PadA)") (pintype "passive") (tstamp b8259265-4667-4d6a-bc2d-d45729f3a921)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -23714,70 +24111,70 @@ ) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_B" (layer "F.Cu") (tstamp fca74e62-8a00-4df9-9b8d-c0801dc2373b) (at 76.454274 91.001526 180) - (descr "LED 0402") + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V") (property "manf#" "APHD1608LVBC/D") (property "mouser#" "604-APHD1608LVBCD") (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/6f57be67-9b64-4d49-b755-5edc08e946b1") (attr smd) - (fp_text reference "D114" (at -4.073303 0) (layer "F.SilkS") hide + (fp_text reference "D114" (at 0.3 -1.39 180) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 398d8296-c944-45f1-9ed2-ebaaaff518d4) + (tstamp 20a4ef51-c2fa-449e-b251-d5588e7dd0d6) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48 180) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8fe5d562-504d-45d0-96fe-3c2e6f19ca06) + (tstamp b99cc67a-bdc4-4288-813b-20d159972ce1) ) - (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") + (fp_text user "${REFERENCE}" (at 0 0.02 180) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 29908cdf-8281-4c3a-abd6-1f3f831a6cf4) + (tstamp 5c3e66d1-d4d2-425c-8aa0-7cef7cf84d7f) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 13361cb6-f87e-4dc2-8b1e-9b98c6412427)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f1fdacd-a085-4fd0-bc3d-ce8c9666a494)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d6d5398e-18a5-4604-8b08-b9d32a2173d5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f9619d7-bf46-4151-bd3d-61f7730dec51)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c215a9d8-a3ee-4cd2-8ce9-fc562fbb8bf9)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 59a6566f-ead8-4fbc-bdd4-96089ae5f661)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4615ed9c-07c9-47a7-b64c-2bbf90327557)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7929b131-3fb1-454e-923f-f291b723e8d0)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b012b1ce-d547-46c9-8dd0-281abd8d84a0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 28c7cf65-7877-4820-adbb-42f2a40fa413)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 50297b9a-9ad7-4e0c-8bc7-2747561548fc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a1b64cec-4dc4-4852-9962-0d55f5f033ec)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f73c12d2-c61d-4af8-8cbf-0f2dba49d55c)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 66d4645f-d816-4d17-89ba-017730dfd7d0)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 963687c4-ae90-4462-a085-de00f6a7df81)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6d04ca96-ca8b-4658-8d9a-f0d23a75e93e)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 6097f9a1-b4de-41f9-99e2-bd2de7e8dd07)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c5dbb3fb-b7b6-4908-a984-776ece31f711)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ea90860f-1dd0-4e95-9896-0fd215f0b210)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 309f5459-bf2b-492a-97c7-6c1c59002c92)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 37c7f6e7-ac92-4e9f-8063-55e9d38c2699)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 357525e2-765d-4639-b805-bb9356ecedb7)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d67474eb-e620-4a71-a3d3-c1408645a01d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e8c13ac5-77a9-4144-80cc-6f1ccfed867e)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 55c09779-8b6b-40e5-ae9f-a8c498d19df6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp aaa0fae3-9adb-4f07-a9ce-3fd6f844678c)) (pad "A" smd roundrect (at 0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 342 "Net-(D114-PadA)") (pinfunction "A") (pintype "passive") (tstamp 5deaacd8-a886-4cb5-8222-a3049ab02331)) + (net 335 "Net-(D114-PadA)") (pinfunction "A") (pintype "passive") (tstamp 6fccc19b-4516-4c51-bcfb-73a94de2bc61)) (pad "C" smd roundrect (at -0.75 0 180) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 226 "GPIO132") (pinfunction "C") (pintype "passive") (tstamp eb287500-865d-48c5-9d9b-1dffd2deb313)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 219 "GPIO132") (pinfunction "C") (pintype "passive") (tstamp 942f1c6a-0a94-427c-8587-84fdf435795b)) + (model "${MODEL_3D}/LED_Blue_0603.stp" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -180)) ) ) (footprint "LEA_FootprintLibrary:Fiducials_medium" (layer "F.Cu") (tstamp fcd4871b-2d2b-49a0-8e2e-39dba1d21cb5) - (at 175.907274 155.534526 90) + (at 185.051274 134.579526 90) (property "Sheetfile" "LCB-CTB-01.kicad_sch") (property "Sheetname" "") (property "Sim.Enable" "0") @@ -23786,83 +24183,145 @@ (attr smd exclude_from_bom) (fp_text reference "O3" (at -3.3 -4.3 90 unlocked) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d6c6e682-acf9-42d1-934a-028d73085267) + (tstamp 1a0fab5b-af14-438d-afe7-b106414360c4) ) (fp_text value "Fiducial_medium" (at 1.4 -2.7 90 unlocked) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 7c994bd2-7101-47ef-a275-a0a5a7a3c461) + (tstamp 06807543-dccc-4699-939a-d86ac3732994) ) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp c0ebb0df-8703-4d83-b1d0-af71f1396fd6)) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 31a4a745-c1a0-4df5-8168-26a1c62cb7e9)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp b667bdbd-bb45-46d7-96b4-56b3592bb35f)) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.CrtYd") (tstamp 716b1769-fa18-4138-9b94-dbe41d476d53)) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 12770dfe-4aa7-43f5-b20e-0510f484f2ac)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e26ecb20-e01c-4549-ac1a-cc41a41ef007)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 21331e80-16fe-4e2f-9ef8-0d3a1b21a215)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp e12c29a0-3928-4478-8edd-389e05a9902a)) (fp_circle (center 0 0) (end 0.5 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp c0decf82-63da-4c95-a3d1-5b224d6380dd)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 58d00d38-a9b4-42bb-b178-0956602e557d)) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 12b3c139-c287-41bf-8f61-7960c57a3430)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 4434ee71-7470-4848-8a03-5ac41adf1982)) (pad "" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") - (solder_mask_margin 0.5) (tstamp 2aef05ac-d221-47f5-8270-ffe5315612d7)) + (solder_mask_margin 0.5) (tstamp 2dfc1d60-340a-4a13-ab9d-6922d99fdad8)) ) - (footprint "LEA_FootprintLibrary:LED_0603" (layer "F.Cu") + (footprint "LEA_FootprintLibrary:LED_0603_R" (layer "F.Cu") (tstamp fcde4e64-daff-4758-9890-f956464693a3) - (at 177.165274 126.324526) - (descr "LED 0402") + (at 197.739274 128.996526) + (descr "LED 0603") (property "Manufacturer" "Kingbright") (property "Sheetfile" "LED.kicad_sch") (property "Sheetname" "GPIO LEDs - Page 4") - (property "ki_description" "LED 0603") - (property "manf#" "APHD1608LVBC/D") - (property "mouser#" "604-APHD1608LVBCD") - (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/a09831f9-cf2f-4289-b385-9348852b7362") + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V") + (property "manf#" "APHD1608LSURCK") + (property "mouser#" "604-APHD1608LSURCK") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/fd0129fe-cfd8-4a6b-a044-13cf99905845") (attr smd) - (fp_text reference "D75" (at -3.344409 0.02) (layer "F.SilkS") hide + (fp_text reference "D75" (at 0.3 -1.39) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d725d96c-8019-4957-9665-129c48eb8183) + (tstamp ce4986e2-720c-4b1f-b1c0-f3f068054637) ) - (fp_text value "LED_0603_BLUE" (at -0.01 -1.48) (layer "F.Fab") hide + (fp_text value "2mA" (at -0.01 -1.48) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 60745daa-2223-4f2c-826a-da345328e3af) + (tstamp 13f74b26-62a4-4520-833b-2e312bc5fb1e) ) (fp_text user "${REFERENCE}" (at 0 0.02) (layer "F.Fab") (effects (font (size 0.6 0.6) (thickness 0.1))) - (tstamp 2950b20e-cbe8-4920-b51e-3227a9c11742) + (tstamp a0b51e1e-7ddb-40ec-af4c-082ef63c8538) ) (fp_line (start -1.59 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51fbe791-0534-4122-bccf-605518de2427)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae10f48d-f7c7-4d9c-bc5c-496a9ed81148)) (fp_line (start -1.59 -0.65) (end 1.34 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bfbcafd8-cc79-4771-9e8d-42fdcd445062)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ad12a91-4999-414b-a631-cffec3795ffb)) (fp_line (start -1.47 -0.58) (end -1.47 0.58) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e485d120-5786-4a35-9919-9594abd3981a)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 54039b5c-2591-4376-ab0b-3d8e4905907e)) (fp_line (start -1.35 -0.6) (end -1.35 0.6) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20fa0607-116a-459d-be95-f2957969630c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fb8545e-202b-4cc5-9912-4bd8a55eb233)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 61ff80bd-73f6-4426-86b3-2fea6b87357d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 967b5ea1-9166-4cec-b8f9-1af2054c4f99)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 96fbc580-4caa-4b97-8c9a-85fb8cfb1d74)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8549399-2379-4498-a499-269d19ef9c7c)) (fp_rect (start 1.35 -0.65) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4d03e2e3-08c9-4fd2-8046-5bc433a001e1)) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 599275bd-029b-4b35-a844-2d28a83cc310)) (fp_line (start -1.59 -0.65) (end 1.35 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 72b9c49b-7222-45f8-90b9-cc5bc5bd652a)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 705340f8-b29b-45dc-a4de-e4384ac0f194)) (fp_line (start -1.59 0.66) (end -1.59 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 516e8980-16f6-4104-8114-6c9924c00fa7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 7db0fb87-7bfb-4f4d-9de0-17806015b5fe)) (fp_line (start -1.47 0.6) (end -1.47 -0.6) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 21a5d9d7-7d9b-4966-867a-891828bd7acb)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4e0cdc36-0c2f-4caf-a3a2-37e22ca1674f)) (fp_line (start -1.35 0.6) (end -1.35 -0.6) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp faff4916-d7f1-4205-8a89-1525de701c8d)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b4da84fc-f727-4b0d-84d7-77e227db3d34)) (fp_line (start 1.35 -0.65) (end 1.35 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f5c9de15-09f1-4948-8552-5dccd85e1e23)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0f45ffaa-dac4-499e-b0f0-708f6d3f3750)) (fp_line (start 1.35 0.66) (end -1.59 0.66) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 67fd24e0-8ac6-4897-8386-3eb21456f343)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c0b77d95-c2a0-4280-881d-9bb7399607ca)) (pad "A" smd roundrect (at 0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 148 "Net-(D75-PadA)") (pinfunction "A") (pintype "passive") (tstamp d21c6474-e20a-4044-80be-5df5e1b42699)) + (net 145 "Net-(D75-PadA)") (pinfunction "A") (pintype "passive") (tstamp 53816f48-0644-4dd5-87df-3fa00bbde6b0)) (pad "C" smd roundrect (at -0.75 0) (size 0.8 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 181 "GPIO106") (pinfunction "C") (pintype "passive") (tstamp de3fdbc0-e35d-4128-8d7e-848baa3f98b7)) - (model "${MODEL_3D}/LED_Green_0603.STEP" + (net 181 "GPIO108") (pinfunction "C") (pintype "passive") (tstamp 0847aa45-dc40-4971-a508-f8d8f0b84d6d)) + (model "${MODEL_3D}/LED_Red_0603.stp" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") + (tstamp fdca25f3-6ddf-4de4-a515-07a159a331a8) + (at 189.385674 118.191526) + (descr "C 0402") + (property "Manufacturer" "Vishay") + (property "Mfr. No" "") + (property "Mouser No" "") + (property "Sheetfile" "LED.kicad_sch") + (property "Sheetname" "GPIO LEDs - Page 4") + (property "ki_description" "Resistor 0603") + (property "ki_keywords" "R resistor") + (property "manf#" "CRCW0603680RFKEAC") + (property "mouser#" "71-CRCW0603680RFKEAC") + (path "/4c16c2b1-51bd-4393-b426-fd62e379692e/1fee161c-5558-4e85-85f0-5fe5cc40eadd") + (attr smd) + (fp_text reference "R105" (at 0.6 -1.5) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f5948ea1-18ea-400d-b9ca-e02d5b418752) + ) + (fp_text value "680R" (at 0 -2.75) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 88e95aa1-2b19-4e47-afc2-223f3fba0ef0) + ) + (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.6 0.55) (thickness 0.1))) + (tstamp 37b8a680-843f-407c-a84c-c32522342507) + ) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6efcfbe7-b23d-4e41-b4e7-df61177f275f)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e741549-6788-46cf-a899-ceb1bb4f92a2)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff6760a1-597e-4cf1-b2b4-cf6397652cf3)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd3ad7c2-d10c-4491-a0b8-ad7b860e9c2f)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7ac429e0-2c8b-4026-a405-111e4d53573e)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp ba2ab3d0-83e5-4bac-bd66-ec2e56231dba)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 9278d11f-2f3a-4284-9b0f-2693d02722c1)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 35003086-b0c2-42ee-a3f6-46fbdbd84c04)) + (fp_line (start -1.25 -0.65) (end -1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9e336b66-b487-4282-af66-a983b168b1ed)) + (fp_line (start -1.25 0.65) (end 1.25 0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ee648839-a5a8-4fb8-95cf-b0f8eaff92c2)) + (fp_line (start 1.25 -0.65) (end -1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 60e91a01-dbe8-4b50-8f03-f805f49bf8a8)) + (fp_line (start 1.25 0.65) (end 1.25 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp c52afcda-fcbc-41bc-95bf-3180c7c29c2c)) + (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 400 "Net-(D103-PadC)") (pintype "passive") (tstamp 1e0e022f-cb79-41d5-aa17-c45aa150d345)) + (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp f2ae2554-467d-49df-af49-0f718b1daca2)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -23886,44 +24345,44 @@ (attr smd) (fp_text reference "R151" (at 3.553317 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp be843449-f1e8-43d8-a65d-12ac3d8ee61b) + (tstamp 35eb93ac-ed1a-44ce-813d-af7598e39e21) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp eaf865c8-3b11-4d40-96bc-8eb74f695402) + (tstamp 71f74a36-e9fa-4fcf-9e30-50f40a091747) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 994ad0e7-ade7-453e-92e0-da31c2abe2e4) + (tstamp 277d199c-b627-4ed3-b5ac-080915770829) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e22c162-7397-460a-babc-332db900dc5e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97c80b30-757c-4db8-b107-3c7c13474832)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1cf6175d-719a-4167-b1d4-d492430e2230)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dea110d6-f9d4-4c22-a51a-0da1aaaf7659)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3907defe-2ac9-4bbf-b0bd-4e46c4e73d0e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d1a5e59-447d-4579-8a0b-7323cffd49ef)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ddc766f-5e2c-415a-af4e-7f5c5dc154ee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7461ece-5ad1-4646-ad72-3c5783bc7f79)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 402289f8-4f69-4ecf-bbce-699b8dd2d53d)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bfb30cec-4fbf-4734-9ec4-91e62243e015)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 7eabb95a-98ec-4fcf-879f-4fe4f7ffe69a)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 932541fa-08f8-493b-9e0f-df42cc9a3fbf)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 232560e0-4fdd-476b-b4e9-622f7f1122d3)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp e6150d71-5cf7-4074-83a2-7316537ee57b)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 624910b7-4720-4d9c-ba23-0c19d4b75ff1)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 62c0ad3f-ff3d-4e9c-a9b1-1b3232c42e47)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp d93757bd-f205-440f-bb8f-6ab3e67d0034)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp e6c8f6de-b60d-4dd3-8e01-f176b72a506a)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp cc20185b-8633-4f62-bf07-f07e8c8e2d50)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 5b6ef7d1-c071-4356-b7e0-60fa77c4c03b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp b21decb4-0550-460c-b214-3d4c6101d614)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 39a0c1b9-ba43-49f3-bbc6-7de22f575aa9)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp edc906f4-6b0a-4a47-8b24-b143bf9d72b7)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1ddc06cc-6e74-44c6-aa23-2c9b591853da)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 363 "Net-(D149-PadC)") (pintype "passive") (tstamp ca7aecc1-a1a5-4fbb-94c0-7d356bc87f30)) + (net 356 "Net-(D149-PadC)") (pintype "passive") (tstamp c17b6688-b98d-48c5-8c35-c063b23d2e87)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp cf6a7d90-660a-4f01-b6a4-4dd45b8119a1)) + (net 2 "GND") (pintype "passive") (tstamp cc5e32d6-8bc6-447b-b2e3-758e8d8995b7)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -23933,7 +24392,7 @@ (footprint "LEA_FootprintLibrary:R_0603" (layer "F.Cu") (tstamp ffa12117-61eb-4c37-9945-26613d31f669) - (at 87.552674 146.189126 180) + (at 87.540674 146.189126 180) (descr "C 0402") (property "Manufacturer" "Vishay") (property "Mfr. No" "") @@ -23948,44 +24407,44 @@ (attr smd) (fp_text reference "R142" (at 3.31 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 52c102e9-1427-42cb-95fd-df65a8962bc0) + (tstamp 45aa6d3d-5204-4377-82ab-babf451217ec) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 8cfc280e-3f00-4cd0-b676-33408682cba1) + (tstamp 9d3f5091-85e4-4403-8dc5-51197eb56d37) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp d30c32c1-47a3-427d-8da5-3031829efc8a) + (tstamp 972d0404-f93c-46fc-869c-c2c55a6fdd18) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e52b683-44d0-4fa2-9b54-0b394d69ec41)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0a7af716-e20b-409a-8140-1384da5e4fd8)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46f2eccf-ffee-4348-93b3-99c0e6f10471)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7359c50c-3e61-44e5-bb94-8c870201e30c)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 905bb27c-5df4-456a-9220-8eeb3f854e77)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b9e6232-7166-44f3-95ac-18a92be82db3)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2afc0f0d-13bc-468b-89ab-ffeb7eadd5fc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d898a068-e91b-4ff3-b1bc-a971a3060654)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 441eae5b-3540-4dee-bade-8911490048d7)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp cd074477-141f-4a1a-8cbb-57cec67e7a25)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 501c5853-da0e-40fa-8b5c-1d45248a7eca)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 29d1d3cc-7213-47d6-bb91-ff09a49a9a70)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp a99973da-8e37-4a18-bb7f-828be1cf132e)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp b40c3b8f-7eab-4a68-bd2f-ebc760917372)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 5a5a7a01-9e72-4ab6-8290-ed0082b867eb)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 78575efb-bef0-4e59-9af1-7539d5eb46ef)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 01b31bab-b2db-4ab6-b484-53a61e02be5f)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp ba3ccbbe-c43b-41ec-8bae-5455e249d241)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 2ea6e231-635a-4b6d-bd01-164b882153c8)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1916872c-232f-4310-8bea-8ddd27b1ea5d)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 9da025a1-a602-4495-86cb-dd370295dc79)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 80b92b27-7f03-49a6-ae3b-73d468208d82)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 77b0dd80-1b6d-40dd-82c2-38d077daf054)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp f8c74a6b-ceb6-4500-979f-a6c66d607aee)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 349 "Net-(D140-PadC)") (pintype "passive") (tstamp 1a7a945d-abc1-4d25-b682-60ff5379a63c)) + (net 342 "Net-(D140-PadC)") (pintype "passive") (tstamp 80d26f6e-3624-40a4-9bff-70450e3c2cdd)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 093c6ee9-a5db-4be3-8f41-a16030f6d6c9)) + (net 2 "GND") (pintype "passive") (tstamp 7a76506f-de21-45bd-b1be-e4f9351b85d7)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -24010,44 +24469,44 @@ (attr smd) (fp_text reference "R58" (at -3.564 0) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp d9bffbc7-e0a0-41f5-afaa-a77941515265) + (tstamp 027ef059-a1ff-4edc-a9d0-9eb04dd41544) ) (fp_text value "100R" (at 0.58 -1.63) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 2cb83dc7-507a-4556-85ae-453f372a70ee) + (tstamp d7698b22-cac5-4a36-a8f8-97fb07fc191c) ) (fp_text user "${REFERENCE}" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.6 0.55) (thickness 0.1))) - (tstamp 1d2c6d61-c8c0-46b5-b1e0-1824b1368944) + (tstamp dc132754-f8f4-4e13-8d01-470c765db140) ) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f01ba03-bc35-4b06-9770-6eb253ff2d3a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1e833af-53d5-4a92-8b44-032ca6f6e357)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eef6bcd9-f5d9-42a5-8ff7-5b0e499988b3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2136f82c-a372-4f89-a5c9-09fd86005e8b)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa951d3d-9647-4f46-9959-951956c34d4e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 82d77103-1552-4aed-bb21-602876c64ee8)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4dfef5e1-b04c-4a17-974f-d87760e2c1f1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b5a81596-1504-4e4e-a083-1080134c3a03)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 4dc7426f-4caf-41ab-b401-a6faedaa24fd)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 029d2808-ce15-4d74-97f4-df440deda064)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp bfc768c6-b5c7-49a5-832d-ca4dd55c7384)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 8b2cef0f-2936-43b1-b659-041029e19e08)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 44f81e60-776d-42da-9c68-86904c246ef9)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp c913b628-287c-4554-8e65-74670d17beef)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp f15fa69e-1ea8-4b88-9819-a6336e03d3c6)) + (stroke (width 0.12) (type solid)) (layer "F.CrtYd") (tstamp 53d015c8-5eca-452c-83f9-adae052528b4)) (fp_line (start -1.25 -0.65) (end -1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0102d109-c404-44b1-bf6a-b0de4ad9ba33)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 8380b436-e96b-491b-b398-e76c8c192577)) (fp_line (start -1.25 0.65) (end 1.25 0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 124bd611-491f-4c15-bae1-63d9c3c296f6)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 4463e729-d2f5-4739-8a58-0cf7e05e6e61)) (fp_line (start 1.25 -0.65) (end -1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 1e6a2916-b6e4-4e03-a84c-174eb9cdfbb9)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0f745772-624b-4073-822f-132a1bfcc562)) (fp_line (start 1.25 0.65) (end 1.25 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 0c119768-61fa-4212-bacd-77f216258f7c)) + (stroke (width 0.12) (type solid)) (layer "F.Fab") (tstamp 808111a7-9624-4247-b98a-892cab0226f8)) (pad "1" smd roundrect (at -0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "+3V3") (pintype "passive") (tstamp ad913d3e-fddc-4188-95e2-46282c9a16f9)) + (net 4 "+3V3") (pintype "passive") (tstamp 844cc9aa-da7f-4e32-9c1d-4c3925e5a16e)) (pad "2" smd roundrect (at 0.75 0) (size 0.6 0.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "Net-(D56-PadA)") (pintype "passive") (tstamp cb215a91-80bc-4eda-973d-46d516f5e85e)) + (net 107 "Net-(D56-PadA)") (pintype "passive") (tstamp 3a618e78-d0c1-43bf-bee0-daa869b850c6)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.step" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -24055,7 +24514,7 @@ ) ) - (gr_rect (start 175.107874 120.188126) (end 186.156874 145.252526) + (gr_rect (start 163.548881 114.633004) (end 191.915281 130.254004) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp 016cb670-6540-4673-80e2-ff1054612467)) (gr_rect (start 67.37193 58.1978) (end 78.42093 83.5162) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp 3ee675e0-c668-40f2-a29a-2c0fc215bbf1)) @@ -24065,10 +24524,8 @@ (stroke (width 0.15) (type default)) (layer "F.SilkS") (tstamp 4878ee80-f83b-442c-876e-34aad301efb4)) (gr_rect (start 84.314204 49.187252) (end 95.363204 74.124652) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp 5d1e02bf-2d1d-4413-b051-7506e33fabf7)) - (gr_rect (start 191.567348 86.075452) (end 209.982348 131.947852) + (gr_rect (start 194.956174 87.573911) (end 218.451174 160.751311) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp 63035186-b4f9-41fd-b098-81bdb9dc3e0e)) - (gr_rect (start 193.649874 132.786526) (end 204.698874 157.801463) - (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp 808c8280-dd62-4cef-b7af-e18ab39e4c57)) (gr_rect (start 67.385774 110.9908) (end 78.434774 136.4362) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp 853abab0-cdf2-466f-ae6f-469e83663b2c)) (gr_line (start 165.366274 57.109526) (end 165.366274 63.459526) @@ -24077,12 +24534,14 @@ (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp 93714c79-62ab-4256-9cb5-c5599b62dd6f)) (gr_rect (start 67.385774 84.3498) (end 78.434774 110.1762) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp a21149a0-42a1-47ba-953c-54e0afce1e16)) - (gr_rect (start 175.133974 94.026126) (end 186.182974 119.217526) + (gr_rect (start 174.306374 88.565126) (end 185.355374 113.756526) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp b3e65b10-c8a1-42d2-9f5c-0badd106ca33)) - (gr_rect (start 78.879274 132.420526) (end 169.735074 158.658726) + (gr_rect (start 78.879274 132.420526) (end 168.211074 158.658726) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp dc0eae09-73c2-44c5-9fa4-f9d93141c6ce)) (gr_rect (start 84.264774 102.022126) (end 95.313774 127.086526) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp ee684185-1f65-4d40-9d8b-a6204cbce60b)) + (gr_line (start 195.973274 140.421526) (end 217.309274 140.421526) + (stroke (width 0.15) (type default)) (layer "F.SilkS") (tstamp f39cf9b0-7ba1-46ba-8051-ad55ed5e8c78)) (gr_rect (start 84.300448 75.247116) (end 95.349448 100.692516) (stroke (width 0.15) (type default)) (fill none) (layer "F.SilkS") (tstamp fad14324-6baa-477f-b096-102bac11613e)) (gr_line (start 222 33) (end 222 159) @@ -24104,17 +24563,20 @@ (gr_text "160" (at 110.070474 153.850405) (layer "F.SilkS") (tstamp 00c164fe-846b-4437-9bf8-64e23ac96ecb) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "Group-C\n\n\n" (at 175.575274 135.834526 90) (layer "F.SilkS") (tstamp 0133111d-84c0-412b-bbbd-01a06b025fa9) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) + (gr_text "Hardware Error Detection" (at 171.589274 128.483526) (layer "F.SilkS") (tstamp 0133111d-84c0-412b-bbbd-01a06b025fa9) + (effects (font (size 1 1) (thickness 0.2) bold) (justify left)) ) - (gr_text "118" (at 179.385474 112.975526) (layer "F.SilkS") (tstamp 02f15cf2-da90-4e71-bc52-435f08534a86) + (gr_text "118" (at 178.557874 107.514526) (layer "F.SilkS") (tstamp 02f15cf2-da90-4e71-bc52-435f08534a86) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text " B4" (at 181.241274 79.207526) (layer "F.SilkS") (tstamp 035fd89f-2435-4de5-ab18-b0374e6f2ca3) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "Error LEDs" (at 199.275274 130.144926) (layer "F.SilkS") (tstamp 04af095f-25c6-4825-bd2f-840750a7d479) - (effects (font (size 1.27 1.27) (thickness 0.254))) + (gr_text "-" (at 185.73002 115.599971) (layer "F.SilkS") (tstamp 041def8b-7d41-4889-8001-9c164de3f0d2) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "Error LEDs" (at 193.687274 150.835526 90) (layer "F.SilkS") (tstamp 04af095f-25c6-4825-bd2f-840750a7d479) + (effects (font (size 1.27 1.27) (thickness 0.254) bold)) ) (gr_text "GPIO" (at 156.349274 133.817526) (layer "F.SilkS") (tstamp 05ae1ebc-9665-4ccf-801c-04a7fad259dc) (effects (font (size 1 1) (thickness 0.15)) (justify left)) @@ -24131,7 +24593,7 @@ (gr_text "5B/C2" (at 119.916847 138.611052) (layer "F.SilkS") (tstamp 09c1ff68-b994-4561-854d-27da5894cd58) (effects (font (size 1 1) (thickness 0.125))) ) - (gr_text "124" (at 179.385474 105.355526) (layer "F.SilkS") (tstamp 0a58b969-2c27-41e5-8f07-1dc661936371) + (gr_text "124" (at 178.557874 99.894526) (layer "F.SilkS") (tstamp 0a58b969-2c27-41e5-8f07-1dc661936371) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "12A/-" (at 129.681007 151.343526) (layer "F.SilkS") (tstamp 0c7ff6a1-29f7-466a-9dbd-ac743515a131) @@ -24152,10 +24614,10 @@ (gr_text "6A/C3" (at 119.845419 141.15419) (layer "F.SilkS") (tstamp 11263ad8-7eca-4305-869d-1fb1acebdee2) (effects (font (size 1 1) (thickness 0.125))) ) - (gr_text "15" (at 198.107274 102.817926) (layer "F.SilkS") (tstamp 11578372-0ba9-48ad-989d-e67a42395da5) + (gr_text "15" (at 175.145274 120.731526) (layer "F.SilkS") (tstamp 11578372-0ba9-48ad-989d-e67a42395da5) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "115" (at 179.385474 107.895526) (layer "F.SilkS") (tstamp 12472109-6140-4296-a8b8-f9f5625508f7) + (gr_text "115" (at 178.557874 102.434526) (layer "F.SilkS") (tstamp 12472109-6140-4296-a8b8-f9f5625508f7) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "83" (at 88.243318 110.692097) (layer "F.SilkS") (tstamp 12ac3519-8b85-4989-a819-6948b14de477) @@ -24164,7 +24626,7 @@ (gr_text "155" (at 110.070474 141.169647) (layer "F.SilkS") (tstamp 12b92a92-466c-466c-9277-6e14060eee8f) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_17\n" (at 204.169323 127.776726) (layer "F.SilkS") (tstamp 12f0d61d-7ea8-435c-8957-399d7674ef7f) + (gr_text " Er_17\n" (at 206.663674 129.016526) (layer "F.SilkS") (tstamp 12f0d61d-7ea8-435c-8957-399d7674ef7f) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "57" (at 71.86879 72.142571) (layer "F.SilkS") (tstamp 13590dea-abd0-4e7a-836f-beb50a7ffe79) @@ -24173,9 +24635,6 @@ (gr_text "87" (at 71.642634 117.340771) (layer "F.SilkS") (tstamp 13994ffb-6210-4d1f-8dea-a3a002f694e6) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "Group-A\n\n\n" (at 194.117274 148.383463 90) (layer "F.SilkS") (tstamp 14930ca8-de31-44b2-a1a9-2ae22c3a613c) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) - ) (gr_text "132" (at 71.166444 90.993971) (layer "F.SilkS") (tstamp 15a34e72-85be-4ac2-9f6e-3c73b9a232b1) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) @@ -24185,10 +24644,13 @@ (gr_text "A3" (at 203.339274 76.413526) (layer "F.SilkS") (tstamp 15dddc4e-28c5-4e38-8f5e-bdaf2f74ac16) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "19" (at 198.441064 146.479463) (layer "F.SilkS") (tstamp 16de541f-98a6-4304-ade8-1921325399d0) + (gr_text "19" (at 200.513464 149.160463) (layer "F.SilkS") (tstamp 16de541f-98a6-4304-ade8-1921325399d0) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "Group-D\n\n\n" (at 84.626274 65.462223 90) (layer "F.SilkS") (tstamp 17351b8f-4dac-4980-8989-4d8b674974dc) + (gr_text "D3" (at 213.499274 129.618276) (layer "F.SilkS") (tstamp 17100ad6-3fc5-47bf-8785-76107b771e51) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "Group-B\n\n\n" (at 84.626274 65.462223 90) (layer "F.SilkS") (tstamp 17351b8f-4dac-4980-8989-4d8b674974dc) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "31" (at 71.884308 53.799252) (layer "F.SilkS") (tstamp 1a0cbbbe-486e-43c9-8da4-a5841c0d1535) @@ -24197,10 +24659,13 @@ (gr_text "Mux2" (at 164.477274 69.555526 90) (layer "F.SilkS") (tstamp 1a7762b8-933c-4b05-8381-0d8512ad0a53) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "D0D1_P" (at 164.69956 125.943526) (layer "F.SilkS") (tstamp 1ab2ef10-b383-439d-8bf6-03b2f5ddf78d) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text "151" (at 89.725074 151.277726) (layer "F.SilkS") (tstamp 1ad2355d-5f91-458d-8a3e-00a5f8be7bd5) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "105" (at 179.422874 131.390526) (layer "F.SilkS") (tstamp 1c4ca50a-2364-413e-bd20-0ee588da34ee) + (gr_text "105" (at 200.037274 131.541526) (layer "F.SilkS") (tstamp 1c4ca50a-2364-413e-bd20-0ee588da34ee) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "A5" (at 182.146036 66.507526) (layer "F.SilkS") (tstamp 1c9457ab-f33f-48fe-bad1-cfe8925a4c4d) @@ -24215,7 +24680,7 @@ (gr_text "129" (at 87.816558 70.398223) (layer "F.SilkS") (tstamp 1e71ddb1-f227-4439-acd8-9ef4d042b7d0) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "106" (at 179.422874 126.310526) (layer "F.SilkS") (tstamp 210f738c-b8ce-4a3f-abc0-1689f00b508f) + (gr_text "106" (at 200.037274 126.461526) (layer "F.SilkS") (tstamp 210f738c-b8ce-4a3f-abc0-1689f00b508f) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "59" (at 88.278992 86.877087) (layer "F.SilkS") (tstamp 212c025d-8f37-4bc6-a2e2-f4a25e1d31f2) @@ -24224,13 +24689,16 @@ (gr_text "D4" (at 181.892036 40.853526) (layer "F.SilkS") (tstamp 21601c67-6942-43cb-9eb1-cc8adbef4ceb) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "GND\n" (at 208.893274 52.062126) (layer "F.SilkS") (tstamp 216fa171-eb2e-4a5e-b0c8-b04ef3074791) + (gr_text "GND\n" (at 210.324274 42.504526) (layer "F.SilkS") (tstamp 216fa171-eb2e-4a5e-b0c8-b04ef3074791) (effects (font (size 1 1) (thickness 0.15))) ) - (gr_text "1" (at 198.917255 154.099463) (layer "F.SilkS") (tstamp 21e1ff48-8046-474d-b44b-32d77250b420) + (gr_text "1" (at 200.989655 156.780463) (layer "F.SilkS") (tstamp 21e1ff48-8046-474d-b44b-32d77250b420) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text " Er_24\n" (at 206.663674 146.645863) (layer "F.SilkS") (tstamp 22037653-6619-41ec-9850-5dff56e06490) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "95" (at 198.107274 100.327926) (layer "F.SilkS") (tstamp 230a9425-6df0-4c08-949b-2d9bc40ac178) + (gr_text "95" (at 175.145274 125.811526) (layer "F.SilkS") (tstamp 230a9425-6df0-4c08-949b-2d9bc40ac178) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "A2" (at 165.747274 78.064526) (layer "F.SilkS") (tstamp 234b434e-4ceb-49b9-bcd9-712701047ccf) @@ -24245,46 +24713,55 @@ (gr_text "166" (at 136.054674 148.651126) (layer "F.SilkS") (tstamp 25e9335b-99b2-49d5-adee-015758a3341d) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "21" (at 179.899064 141.550526) (layer "F.SilkS") (tstamp 262f7337-91de-4129-b03c-1053bb269f88) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) - ) (gr_text "16A" (at 165.239274 151.186126) (layer "F.SilkS") (tstamp 27476369-08a2-4134-a991-25ee0d540836) (effects (font (size 1 1) (thickness 0.125))) ) (gr_text "140" (at 156.709474 144.002926) (layer "F.SilkS") (tstamp 279a3cc6-8d25-48d2-b1ad-8733e196098f) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "Group-E\n\n\n" (at 84.612518 91.761087 90) (layer "F.SilkS") (tstamp 27e1dfa0-041f-4e53-bccb-6c0304b3df46) + (gr_text "Group-E\n\n\n" (at 67.953634 47.951126 90) (layer "F.SilkS") (tstamp 27e1dfa0-041f-4e53-bccb-6c0304b3df46) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "86" (at 71.642634 119.880771) (layer "F.SilkS") (tstamp 281a7d4d-1bef-4494-96a4-d091d58f05f0) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "73" (at 198.107274 90.087926) (layer "F.SilkS") (tstamp 28c937fa-658b-402e-81e7-4d3e5f21041c) + (gr_text "73" (at 200.513464 91.350126) (layer "F.SilkS") (tstamp 28c937fa-658b-402e-81e7-4d3e5f21041c) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "139" (at 156.709474 141.477926) (layer "F.SilkS") (tstamp 29262c8d-a1b2-42f6-acc2-52740296513e) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "Group-F\n\n\n" (at 84.576844 117.708097 90) (layer "F.SilkS") (tstamp 2a158b0b-84a3-44fd-bb9a-0c9499fd5ffa) + (gr_text "Group-F\n\n\n" (at 67.953634 73.968571 90) (layer "F.SilkS") (tstamp 2a158b0b-84a3-44fd-bb9a-0c9499fd5ffa) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "Group-H\n\n\n" (at 67.93979 73.968571 90) (layer "F.SilkS") (tstamp 2a9245c6-c240-4b3b-b09f-24e6652a612d) + (gr_text "Group-H\n\n\n" (at 67.953634 126.826771 90) (layer "F.SilkS") (tstamp 2a9245c6-c240-4b3b-b09f-24e6652a612d) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "96" (at 198.107274 95.267926) (layer "F.SilkS") (tstamp 2ad76937-1de8-480d-821b-5a1c21bacfd1) + (gr_text "96" (at 175.145274 123.271526) (layer "F.SilkS") (tstamp 2ad76937-1de8-480d-821b-5a1c21bacfd1) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "Q" (at 175.399274 116.545526) (layer "F.SilkS") (tstamp 2b8c704c-ce27-41df-ab24-f64fb0ace2cc) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "C3" (at 213.499274 114.600076) (layer "F.SilkS") (tstamp 2c23121a-f64b-4a65-b7ce-83d001f98b1d) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text "Power LED \n3,3 V" (at 165.516674 34.252926) (layer "F.SilkS") (tstamp 2c86fa9a-026c-4571-8d03-1976de597d89) (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) ) - (gr_text "116" (at 179.238674 95.321526) (layer "F.SilkS") (tstamp 2d03afd2-7dbc-4cc0-861e-7f8dfb9cb5ef) + (gr_text "116" (at 178.411074 89.860526) (layer "F.SilkS") (tstamp 2d03afd2-7dbc-4cc0-861e-7f8dfb9cb5ef) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "A" (at 166.382274 49.870526) (layer "F.SilkS") (tstamp 2d75fb8a-34bf-4c17-a34b-b69c0782eaf0) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "100" (at 197.964874 143.939463) (layer "F.SilkS") (tstamp 310b0fc8-8e26-4c19-a2bb-f9408ffafa8e) + (gr_text " Er_18\n" (at 206.663674 131.566926) (layer "F.SilkS") (tstamp 2fc9431c-2c8b-40ed-8e22-56cfb90d1eba) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "A2" (at 213.499274 88.855126) (layer "F.SilkS") (tstamp 2fd5c226-d431-4945-84f3-089675fff7b9) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "100" (at 200.037274 146.620463) (layer "F.SilkS") (tstamp 310b0fc8-8e26-4c19-a2bb-f9408ffafa8e) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "53" (at 88.292748 57.896223) (layer "F.SilkS") (tstamp 34559032-609c-4bd3-82f2-e3eeeca60a5c) @@ -24299,7 +24776,7 @@ (gr_text "12B/-" (at 129.609579 153.817743) (layer "F.SilkS") (tstamp 35ddb06c-ea45-43b3-8aeb-6c5179a4fc0c) (effects (font (size 1 1) (thickness 0.125))) ) - (gr_text "24" (at 198.441064 138.859463) (layer "F.SilkS") (tstamp 3634d1ed-465f-4aec-a326-15ac3cb01d34) + (gr_text "24" (at 200.513464 141.540463) (layer "F.SilkS") (tstamp 3634d1ed-465f-4aec-a326-15ac3cb01d34) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "13B" (at 165.167846 138.4678) (layer "F.SilkS") (tstamp 3701ee06-08ae-4368-8a3a-7c4c74435269) @@ -24311,21 +24788,24 @@ (gr_text "146" (at 89.725074 138.568726) (layer "F.SilkS") (tstamp 3928cfbd-7784-44c7-87b4-f5cd60c1fec2) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_8\n" (at 204.169323 105.224926) (layer "F.SilkS") (tstamp 392a1c5e-309f-41ef-b303-c39b729a6d3c) + (gr_text " Er_8\n" (at 206.663674 106.492926) (layer "F.SilkS") (tstamp 392a1c5e-309f-41ef-b303-c39b729a6d3c) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "48" (at 179.468674 102.867526) (layer "F.SilkS") (tstamp 39aa97b3-e19a-4fbd-ab2b-0c281f701263) + (gr_text "48" (at 178.641074 97.406526) (layer "F.SilkS") (tstamp 39aa97b3-e19a-4fbd-ab2b-0c281f701263) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "PWM/ADC" (at 116.166474 133.817526) (layer "F.SilkS") (tstamp 3a084978-154d-4be7-bc43-be10d787ba4c) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_11\n" (at 204.169323 112.595926) (layer "F.SilkS") (tstamp 3a604ae2-1299-4242-bc8f-de74cfbd8587) + (gr_text " Er_11\n" (at 206.663674 113.998326) (layer "F.SilkS") (tstamp 3a604ae2-1299-4242-bc8f-de74cfbd8587) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "150" (at 89.725074 148.798526) (layer "F.SilkS") (tstamp 3c123182-de79-4524-a29c-0207efb82d6d) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text " Er_29" (at 206.687674 159.222526) (layer "F.SilkS") (tstamp 3e1a2ada-33cc-441d-a73d-ff5c825687d6) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text "137" (at 156.709474 135.991526) (layer "F.SilkS") (tstamp 3e4f6509-fae9-4d4d-b5a0-535d9fca5cc2) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) @@ -24335,9 +24815,6 @@ (gr_text "66" (at 71.642634 100.981621) (layer "F.SilkS") (tstamp 4072eeac-758b-49e0-bc48-990402eae39d) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "104" (at 179.422874 139.010526) (layer "F.SilkS") (tstamp 410879d3-56e3-4630-9fc8-8b229b1fed24) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) - ) (gr_text "41" (at 88.292748 65.516223) (layer "F.SilkS") (tstamp 416c1542-1b83-4420-a3bc-1830a4f7837a) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) @@ -24347,7 +24824,13 @@ (gr_text "46" (at 88.243318 118.412097) (layer "F.SilkS") (tstamp 42873785-5012-40cd-991f-4caf1c3e6241) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "27" (at 198.441064 136.470526) (layer "F.SilkS") (tstamp 44e2a476-9cf0-4d64-8044-a58796fdf167) + (gr_text "C5" (at 213.499274 119.586076) (layer "F.SilkS") (tstamp 42fe86dc-6fbf-416c-92ad-defc7889e93e) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "B5" (at 213.499274 109.470076) (layer "F.SilkS") (tstamp 446d5ad7-6efa-4486-a142-794161beb57d) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "27" (at 200.513464 139.151526) (layer "F.SilkS") (tstamp 44e2a476-9cf0-4d64-8044-a58796fdf167) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "89" (at 71.642634 130.133261) (layer "F.SilkS") (tstamp 453df842-f27b-4dca-9437-acf6f8c59f30) @@ -24356,13 +24839,13 @@ (gr_text "159" (at 110.070474 151.302726) (layer "F.SilkS") (tstamp 47d6b342-24c0-4e71-9865-f72991243fa2) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "Group-I\n\n\n" (at 67.953634 100.271526 90) (layer "F.SilkS") (tstamp 480edbac-44e2-4a73-afa4-fdb33f4063f5) + (gr_text "C4C5_P" (at 164.69956 123.403526) (layer "F.SilkS") (tstamp 4a874426-23b8-4528-9015-5ff0c45f0fcd) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "B3" (at 181.892036 58.506526) (layer "F.SilkS") (tstamp 4aba2d30-4cf0-400b-a1e0-6d589af4d298) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "25" (at 198.107274 110.437926) (layer "F.SilkS") (tstamp 4b08eeb2-a083-4ee8-8fc0-bf7b9654cd91) + (gr_text "25" (at 200.513464 101.612526) (layer "F.SilkS") (tstamp 4b08eeb2-a083-4ee8-8fc0-bf7b9654cd91) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "80" (at 71.642634 122.400971) (layer "F.SilkS") (tstamp 4b0a8560-bb47-406f-b041-470587df2c52) @@ -24374,6 +24857,9 @@ (gr_text "164" (at 136.054674 143.571126) (layer "F.SilkS") (tstamp 4c88fedf-7c6c-4944-84ff-effe404f6c2d) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text " Er_19\n" (at 206.663674 134.096311) (layer "F.SilkS") (tstamp 4d9c216b-77f8-4a1d-8c01-eb7113a58648) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text "162" (at 136.054674 138.491126) (layer "F.SilkS") (tstamp 4dca973b-9892-4c4a-9753-b6b4161f8949) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) @@ -24386,7 +24872,7 @@ (gr_text "4A/B3" (at 83.252846 151.347412) (layer "F.SilkS") (tstamp 52d52833-d588-4a6a-863e-fa7e9124a166) (effects (font (size 1 1) (thickness 0.125))) ) - (gr_text "Group-G\n\n\n" (at 67.862274 47.951126 90) (layer "F.SilkS") (tstamp 52eff441-eb1f-456a-9bb3-e78a6cb3a8a7) + (gr_text "Group-G\n\n\n" (at 67.953634 100.271526 90) (layer "F.SilkS") (tstamp 52eff441-eb1f-456a-9bb3-e78a6cb3a8a7) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "65" (at 88.278992 89.365087) (layer "F.SilkS") (tstamp 54f5a963-69b0-4c7b-8e37-4dcc6dc0dd6e) @@ -24395,13 +24881,19 @@ (gr_text "148" (at 89.725074 143.653888) (layer "F.SilkS") (tstamp 550153ea-f81f-4cc6-9b69-dc21cfbccec5) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "14" (at 185.496892 123.266526) (layer "F.SilkS") (tstamp 555d0731-2488-4d13-9c83-95994526be2a) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text "153" (at 110.070474 136.001926) (layer "F.SilkS") (tstamp 55a97319-0fa3-4b5a-ae08-7ff1f2991564) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "8A/D1" (at 119.845419 151.349852) (layer "F.SilkS") (tstamp 563f214d-9ec6-4cf7-a2ed-e0d491242fa9) (effects (font (size 1 1) (thickness 0.125))) ) - (gr_text "102" (at 197.631084 112.937926) (layer "F.SilkS") (tstamp 56f1a4d7-fc4e-4426-b4e0-7b5cc556be24) + (gr_text "B0" (at 213.499274 99.674276) (layer "F.SilkS") (tstamp 5692434e-4199-4e3f-a536-7db008d9e5e0) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "102" (at 200.037274 104.112526) (layer "F.SilkS") (tstamp 56f1a4d7-fc4e-4426-b4e0-7b5cc556be24) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "8" (at 72.118825 135.232645) (layer "F.SilkS") (tstamp 582ec763-eb93-4858-9c30-9f96d846f0d1) @@ -24410,7 +24902,10 @@ (gr_text "76" (at 88.278992 97.055087) (layer "F.SilkS") (tstamp 5971abda-bee6-4fe1-b16a-01f80cbb7770) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_2" (at 204.169323 89.984926) (layer "F.SilkS") (tstamp 59e551e4-1905-4193-8d0b-3028b707477c) + (gr_text " Er_2" (at 206.663674 91.324726) (layer "F.SilkS") (tstamp 59e551e4-1905-4193-8d0b-3028b707477c) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text " Er_25" (at 206.663674 149.177463) (layer "F.SilkS") (tstamp 5b73ab98-e4ed-44d7-b31f-848b078b8d5a) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "29" (at 88.278992 76.335087) (layer "F.SilkS") (tstamp 5bdba133-d7a5-4811-852a-6a4ee940d9a1) @@ -24422,24 +24917,36 @@ (gr_text "GND\n" (at 70.624274 147.787526) (layer "F.SilkS") (tstamp 5d377caf-a613-4f4e-a9f0-95dafedc460e) (effects (font (size 1 1) (thickness 0.15))) ) - (gr_text " Er_14\n" (at 204.169323 120.205926) (layer "F.SilkS") (tstamp 5d3802bf-47bf-4953-817e-8c85d38c193f) + (gr_text " Er_14\n" (at 206.663674 121.376526) (layer "F.SilkS") (tstamp 5d3802bf-47bf-4953-817e-8c85d38c193f) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "GND\n" (at 183.093583 157.947526) (layer "F.SilkS") (tstamp 5d6cfb06-39b1-489e-b975-f133f3dc9871) + (effects (font (size 1 1) (thickness 0.15))) + ) (gr_text " C5" (at 181.241274 76.667526) (layer "F.SilkS") (tstamp 5df83b45-2dd3-4103-8f41-df156025851a) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "21" (at 185.496892 125.806526) (layer "F.SilkS") (tstamp 5e9c3d34-f53f-491f-968d-7916d64d6381) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text "GPIO" (at 87.515274 48.092526) (layer "F.SilkS") (tstamp 614a0a61-b3c6-45d5-8898-0855c4975915) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "Mux1" (at 164.477274 77.175526 90) (layer "F.SilkS") (tstamp 62d62976-6387-4344-b375-7f4843533ed8) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_12\n" (at 204.169323 115.135926) (layer "F.SilkS") (tstamp 636839b0-b424-4165-9ca8-d53a0953a3f1) + (gr_text " Er_26\n" (at 206.663674 151.692063) (layer "F.SilkS") (tstamp 636613d3-23f4-4110-9393-abd38ff601e3) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text " Er_12\n" (at 206.663674 116.474326) (layer "F.SilkS") (tstamp 636839b0-b424-4165-9ca8-d53a0953a3f1) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "6B/C4" (at 119.916847 143.647662) (layer "F.SilkS") (tstamp 641eae86-966f-40bd-83f7-eba5ff60c731) (effects (font (size 1 1) (thickness 0.125))) ) + (gr_text "C2" (at 213.499274 112.020076) (layer "F.SilkS") (tstamp 64349d03-3b00-421a-b0f9-5aaa95ac2fa8) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text "45" (at 88.292748 68.056223) (layer "F.SilkS") (tstamp 64952534-1bc8-4d9a-8b63-cc2367826d18) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) @@ -24449,34 +24956,37 @@ (gr_text "72" (at 71.642634 112.300971) (layer "F.SilkS") (tstamp 6784b7e3-fa48-4f1b-9dff-2c2a32039cb3) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "Group-B\n\n\n" (at 175.537874 110.454526 90) (layer "F.SilkS") (tstamp 68565e65-0d68-433e-86a8-64e1b3a4f977) + (gr_text "Group-A\n\n\n" (at 174.710274 104.993526 90) (layer "F.SilkS") (tstamp 68565e65-0d68-433e-86a8-64e1b3a4f977) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "4" (at 198.583465 127.788926) (layer "F.SilkS") (tstamp 69e70c49-371b-4807-b502-d6ad08e1c1d7) + (gr_text "4" (at 200.989655 118.963526) (layer "F.SilkS") (tstamp 69e70c49-371b-4807-b502-d6ad08e1c1d7) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "111" (at 179.385474 118.055526) (layer "F.SilkS") (tstamp 6a29d186-4dbd-4018-a3fe-834a92795d53) + (gr_text "111" (at 178.557874 112.594526) (layer "F.SilkS") (tstamp 6a29d186-4dbd-4018-a3fe-834a92795d53) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "B0" (at 203.339274 71.333526) (layer "F.SilkS") (tstamp 6a732c27-1a71-4bfb-93e7-a6d33cf50d96) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "108" (at 179.422874 128.850526) (layer "F.SilkS") (tstamp 6ac8cde2-74fe-46db-8c94-bcf2e113b0fc) + (gr_text "108" (at 200.037274 129.001526) (layer "F.SilkS") (tstamp 6ac8cde2-74fe-46db-8c94-bcf2e113b0fc) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "10B/IN14" (at 128.514341 143.59196) (layer "F.SilkS") (tstamp 6cf6645d-57d6-4508-905b-5fa7ab85d80a) (effects (font (size 1 1) (thickness 0.125))) ) - (gr_text "14" (at 179.899064 136.470526) (layer "F.SilkS") (tstamp 6d8afaf4-2085-47fa-82fe-af0895bdae6f) + (gr_text "0" (at 200.989655 116.433526) (layer "F.SilkS") (tstamp 6db2fe19-2a32-436e-86cc-a85d9de8c0e0) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "0" (at 198.583465 125.258926) (layer "F.SilkS") (tstamp 6db2fe19-2a32-436e-86cc-a85d9de8c0e0) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) + (gr_text "B4" (at 213.499274 107.094676) (layer "F.SilkS") (tstamp 6e5408bf-c794-4a74-8d09-a54a64a4c789) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "C4" (at 213.499274 117.076076) (layer "F.SilkS") (tstamp 6e779263-520a-482a-b0a8-7232622683c2) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) ) (gr_text "A0" (at 165.747274 72.857526) (layer "F.SilkS") (tstamp 6ec57e42-a40f-4ead-a14f-964e030a4cae) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "3,3 V" (at 217.055274 90.515526 90) (layer "F.SilkS") (tstamp 6f75ef50-58f5-449e-9ebd-b4895116e6cb) + (gr_text "3,3 V" (at 183.532274 142.199526) (layer "F.SilkS") (tstamp 6f75ef50-58f5-449e-9ebd-b4895116e6cb) (effects (font (size 1 1) (thickness 0.125))) ) (gr_text "IN15" (at 203.339274 78.826526) (layer "F.SilkS") (tstamp 6fca036a-5b43-4675-a5dc-01a60d16735c) @@ -24494,7 +25004,10 @@ (gr_text "GPIO" (at 135.648274 133.817526) (layer "F.SilkS") (tstamp 71cbf261-a7b2-448c-af4e-93688b17b6ba) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "109" (at 179.422874 121.230526) (layer "F.SilkS") (tstamp 72d7498b-17ee-4a95-9275-ce62955acfc1) + (gr_text "109" (at 200.037274 121.381526) (layer "F.SilkS") (tstamp 72d7498b-17ee-4a95-9275-ce62955acfc1) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text " Er_23\n" (at 206.663674 144.085463) (layer "F.SilkS") (tstamp 72f6cdfe-0c25-45fc-8d38-dbfc5e5bebe2) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "64" (at 71.642634 98.613971) (layer "F.SilkS") (tstamp 734e6c8d-4152-48df-a34a-d92009f36a61) @@ -24506,10 +25019,10 @@ (gr_text "9" (at 88.743183 126.261526) (layer "F.SilkS") (tstamp 74530d17-56b2-421e-92c5-dacfb5eceae1) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_6\n" (at 204.169323 100.144926) (layer "F.SilkS") (tstamp 7462f0e7-e6c6-48c8-ba65-77d9932e2398) + (gr_text " Er_6\n" (at 206.663674 101.622526) (layer "F.SilkS") (tstamp 7462f0e7-e6c6-48c8-ba65-77d9932e2398) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "12" (at 198.441064 151.559463) (layer "F.SilkS") (tstamp 7563f480-fe16-473e-a172-df974d4ebef4) + (gr_text "12" (at 200.513464 154.240463) (layer "F.SilkS") (tstamp 7563f480-fe16-473e-a172-df974d4ebef4) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text " D3" (at 181.241274 71.587526) (layer "F.SilkS") (tstamp 7a1600f5-9773-4411-99c2-f27ab5416cb1) @@ -24518,19 +25031,16 @@ (gr_text "A1" (at 165.747274 67.777526) (layer "F.SilkS") (tstamp 7bcb4d1b-3b09-4ac8-9016-97921f29e7b1) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "GPIO" (at 197.243274 85.176526) (layer "F.SilkS") (tstamp 7c52d0e5-49ba-4b1c-8aa6-746b824b9da4) + (gr_text "GPIO" (at 200.037274 86.573526) (layer "F.SilkS") (tstamp 7c52d0e5-49ba-4b1c-8aa6-746b824b9da4) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "113" (at 179.385474 115.515526) (layer "F.SilkS") (tstamp 7ca55b31-7ad3-4415-9db6-88ae971b87e3) + (gr_text "113" (at 178.557874 110.054526) (layer "F.SilkS") (tstamp 7ca55b31-7ad3-4415-9db6-88ae971b87e3) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "7A/C5" (at 119.845419 146.26279) (layer "F.SilkS") (tstamp 7f031605-b5ec-41ea-9d5c-f8cd6f4aff24) (effects (font (size 1 1) (thickness 0.125))) ) - (gr_text "Group-J\n\n\n" (at 67.953634 126.826771 90) (layer "F.SilkS") (tstamp 809478aa-223b-473e-b970-1d28075e179f) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) - ) - (gr_text "26" (at 198.107274 107.897926) (layer "F.SilkS") (tstamp 810f516d-d5b3-4380-aec6-9b36f6b11b74) + (gr_text "26" (at 200.513464 99.072526) (layer "F.SilkS") (tstamp 810f516d-d5b3-4380-aec6-9b36f6b11b74) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "Mux3" (at 164.477274 62.062526 90) (layer "F.SilkS") (tstamp 8134e4f3-3820-42dc-bc17-e4c57270b5e2) @@ -24545,7 +25055,10 @@ (gr_text "IN14" (at 203.339274 81.493526) (layer "F.SilkS") (tstamp 83be8abf-5ecd-4583-bdeb-9d710b3c6657) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "GPIO" (at 178.828274 92.923526) (layer "F.SilkS") (tstamp 84b4f9f0-0b63-4c02-bde9-83c2bb6ccd1e) + (gr_text "GPIO" (at 178.000674 87.462526) (layer "F.SilkS") (tstamp 84b4f9f0-0b63-4c02-bde9-83c2bb6ccd1e) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text " Er_28" (at 206.663674 156.862863) (layer "F.SilkS") (tstamp 872e5526-838a-43d7-aa8b-650fa3dbbe39) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "141" (at 156.697074 146.121126) (layer "F.SilkS") (tstamp 877461cf-4b86-4da8-88d2-b4cb3390ad41) @@ -24554,19 +25067,19 @@ (gr_text "163" (at 136.054674 141.031126) (layer "F.SilkS") (tstamp 87eb6b71-9530-41bf-8c2d-313397b21af3) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_4\n" (at 204.169323 95.064926) (layer "F.SilkS") (tstamp 89fbd38a-785c-4f92-9cd8-c8d25a51f114) + (gr_text " Er_4\n" (at 206.663674 96.532526) (layer "F.SilkS") (tstamp 89fbd38a-785c-4f92-9cd8-c8d25a51f114) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "123" (at 179.385474 110.435526) (layer "F.SilkS") (tstamp 8aa5d78b-3dc6-44de-8f8f-81cce238b6be) + (gr_text "123" (at 178.557874 104.974526) (layer "F.SilkS") (tstamp 8aa5d78b-3dc6-44de-8f8f-81cce238b6be) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "101" (at 197.631084 115.297926) (layer "F.SilkS") (tstamp 8c231e0b-cc58-4655-ad06-4e876959d51f) + (gr_text "101" (at 200.037274 106.472526) (layer "F.SilkS") (tstamp 8c231e0b-cc58-4655-ad06-4e876959d51f) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_15\n" (at 204.169323 122.755926) (layer "F.SilkS") (tstamp 8c546943-d17c-4aec-ab37-48564ee1a4c0) + (gr_text " Er_15\n" (at 206.663674 123.891126) (layer "F.SilkS") (tstamp 8c546943-d17c-4aec-ab37-48564ee1a4c0) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_13\n" (at 204.169323 117.685926) (layer "F.SilkS") (tstamp 914ed2b0-6e41-438e-927e-fe40408fb80a) + (gr_text " Er_13\n" (at 206.663674 118.984326) (layer "F.SilkS") (tstamp 914ed2b0-6e41-438e-927e-fe40408fb80a) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "52" (at 71.870464 67.079526) (layer "F.SilkS") (tstamp 9559a50d-08a0-4978-bce9-07ca01955d78) @@ -24575,7 +25088,13 @@ (gr_text "51" (at 71.974274 64.483526) (layer "F.SilkS") (tstamp 960ee68e-bbd5-4b13-a6e3-311c89043206) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "99" (at 198.107274 97.797926) (layer "F.SilkS") (tstamp 97bfcc1e-9daa-4645-9138-e834c6375dc3) + (gr_text " Er_20\n" (at 206.663674 136.601726) (layer "F.SilkS") (tstamp 96e9e506-1c7a-47e4-a8fb-9572c538a053) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "A5" (at 213.499274 97.134276) (layer "F.SilkS") (tstamp 975c8d84-96c5-4242-b685-36bd768c7114) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "99" (at 175.145274 118.196526) (layer "F.SilkS") (tstamp 97bfcc1e-9daa-4645-9138-e834c6375dc3) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "A1" (at 165.747274 60.284526) (layer "F.SilkS") (tstamp 988b4764-8594-4753-887c-746535852b5e) @@ -24584,24 +25103,36 @@ (gr_text "GPIO" (at 89.547274 133.817526) (layer "F.SilkS") (tstamp 995c9a51-d471-4ab0-a4b8-6e570b80fe5f) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "D0" (at 213.499274 121.978276) (layer "F.SilkS") (tstamp 9a0383a8-4eaf-4908-8bfc-b032a03e4f87) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text "136" (at 71.166444 93.533971) (layer "F.SilkS") (tstamp 9b2d2bef-d62e-439d-815a-92ea173069b7) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "78" (at 71.642634 109.027971) (layer "F.SilkS") (tstamp 9bcf9fa5-a7f9-4f37-b95b-9013008a6407) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "18" (at 198.107274 117.762926) (layer "F.SilkS") (tstamp 9c6026c2-cb90-4cfa-8f92-16723001bb3c) + (gr_text "18" (at 200.513464 108.937526) (layer "F.SilkS") (tstamp 9c6026c2-cb90-4cfa-8f92-16723001bb3c) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "144" (at 156.697074 153.687726) (layer "F.SilkS") (tstamp 9ddcf8af-e7c0-4544-93ed-0f51a77a98b8) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "117" (at 179.240074 100.351526) (layer "F.SilkS") (tstamp 9ef9360e-cd4d-433a-870b-ba2afc3bab16) + (gr_text "117" (at 178.412474 94.890526) (layer "F.SilkS") (tstamp 9ef9360e-cd4d-433a-870b-ba2afc3bab16) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "A3" (at 213.499274 91.926476) (layer "F.SilkS") (tstamp 9f693f67-61e6-4f66-907b-1adff941109c) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text "10A/D5" (at 129.323864 141.092343) (layer "F.SilkS") (tstamp 9fdea596-c52e-4153-b088-2b5183cfe0fc) (effects (font (size 1 1) (thickness 0.125))) ) + (gr_text "IN14" (at 213.499274 137.203476) (layer "F.SilkS") (tstamp 9fe3eb1e-47bf-4ba0-88db-742c1364e6fc) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text " Er_21\n" (at 206.663674 139.170326) (layer "F.SilkS") (tstamp a04f0cf3-cfb4-4245-85e0-5fcf018893e0) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text "11A/IN15" (at 128.585769 146.0928) (layer "F.SilkS") (tstamp a0b06e64-d063-496d-a03e-e8e461731be6) (effects (font (size 1 1) (thickness 0.125))) ) @@ -24617,7 +25148,7 @@ (gr_text "147" (at 89.725074 141.161805) (layer "F.SilkS") (tstamp a3616c4a-3329-439f-b151-4d3925b8fe5a) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "47" (at 198.107274 87.567926) (layer "F.SilkS") (tstamp a3f2f2fa-3756-4c3d-af0e-fc4ddd4a0cdc) + (gr_text "47" (at 200.513464 88.830126) (layer "F.SilkS") (tstamp a3f2f2fa-3756-4c3d-af0e-fc4ddd4a0cdc) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "143" (at 156.697074 151.201126) (layer "F.SilkS") (tstamp a41ef902-01f2-4dc5-98f6-88c9b571638c) @@ -24632,7 +25163,13 @@ (gr_text "40" (at 88.292748 55.356223) (layer "F.SilkS") (tstamp a5ddbcd9-d399-461c-ab1e-2b5867be917b) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "110" (at 179.422874 123.770526) (layer "F.SilkS") (tstamp a6aa2dd9-36eb-4b60-93c2-346e293a24ed) + (gr_text "J4, J5: Measurement only: Never use Jumper shunts!" (at 104.025274 156.677526) (layer "F.SilkS") (tstamp a5f6cc81-aaf7-4c70-bb7b-87860ab50ce9) + (effects (font (size 1 1) (thickness 0.2) bold) (justify left)) + ) + (gr_text "D1" (at 213.499274 124.492876) (layer "F.SilkS") (tstamp a633c9ff-1bb2-4c3e-9ca0-ffa18cf0bd0f) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "110" (at 200.037274 123.921526) (layer "F.SilkS") (tstamp a6aa2dd9-36eb-4b60-93c2-346e293a24ed) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "A1" (at 165.747274 75.397526) (layer "F.SilkS") (tstamp a7145e94-b3e7-4a62-b72a-970fc4d03214) @@ -24644,13 +25181,10 @@ (gr_text "A4" (at 182.146036 63.967526) (layer "F.SilkS") (tstamp a82cd50a-4195-4cf9-b715-ece43002da09) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "20" (at 179.899064 144.090526) (layer "F.SilkS") (tstamp a8510c85-58bc-40d9-a03b-2339800948fa) - (effects (font (size 1 1) (thickness 0.15)) (justify left)) - ) (gr_text "38" (at 88.292748 50.276223) (layer "F.SilkS") (tstamp a856fbc9-4181-4865-b4c4-82bea5920221) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "121" (at 179.238674 97.851526) (layer "F.SilkS") (tstamp a891d5c2-75a0-42b0-bf4c-11fd68b510ae) + (gr_text "121" (at 178.411074 92.390526) (layer "F.SilkS") (tstamp a891d5c2-75a0-42b0-bf4c-11fd68b510ae) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "49" (at 71.870464 61.999526) (layer "F.SilkS") (tstamp a89c3631-383d-44cc-97a6-4d175b79e2b7) @@ -24662,7 +25196,7 @@ (gr_text "82" (at 71.642634 124.960971) (layer "F.SilkS") (tstamp ab0ec13e-e6ee-4170-b163-502c1a6127a8) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_5\n" (at 204.169323 97.604926) (layer "F.SilkS") (tstamp abdd3f23-7369-4d6e-b6d8-a3225a41d858) + (gr_text " Er_5\n" (at 206.663674 99.072526) (layer "F.SilkS") (tstamp abdd3f23-7369-4d6e-b6d8-a3225a41d858) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "2A/A4" (at 83.181417 141.061588) (layer "F.SilkS") (tstamp ac00804d-996b-403d-85c1-2b8855819c4b) @@ -24677,10 +25211,16 @@ (gr_text "145" (at 89.725074 136.061805) (layer "F.SilkS") (tstamp af2ec4b4-f7e0-4da6-817d-579ae7fc8304) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "D2" (at 213.499274 127.083676) (layer "F.SilkS") (tstamp aff5903e-828a-4267-9f60-a971477d2a5e) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text "14B" (at 165.167846 143.5478) (layer "F.SilkS") (tstamp b0b8319d-1fe0-4536-ac06-88e4515d696e) (effects (font (size 1 1) (thickness 0.125))) ) - (gr_text "70" (at 198.441064 156.639463) (layer "F.SilkS") (tstamp b20ce719-8c16-4b8a-b584-172b282e9f23) + (gr_text "Group-C\n\n\n" (at 84.576844 91.111087 90) (layer "F.SilkS") (tstamp b132d4c4-80cd-4b39-8f77-4b96921c1c3b) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "70" (at 200.513464 159.217526) (layer "F.SilkS") (tstamp b20ce719-8c16-4b8a-b584-172b282e9f23) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "1B/A3" (at 83.252846 138.626719) (layer "F.SilkS") (tstamp b28be607-6bb8-4a95-879d-630f4366e088) @@ -24701,7 +25241,7 @@ (gr_text "PWM/ADC" (at 125.742274 133.817526) (layer "F.SilkS") (tstamp b4374a40-6566-49f1-918f-ec74db75d848) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_1" (at 204.169323 87.559926) (layer "F.SilkS") (tstamp b52eac52-22ef-4afd-9127-781f434a55ac) + (gr_text " Er_1" (at 206.663674 88.855126) (layer "F.SilkS") (tstamp b52eac52-22ef-4afd-9127-781f434a55ac) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "127" (at 87.816558 73.068223) (layer "F.SilkS") (tstamp b599b027-57fb-42bc-b253-17a8aa29775a) @@ -24716,6 +25256,9 @@ (gr_text "114" (at 71.291948 46.041126) (layer "F.SilkS") (tstamp bb25d4ed-c5f7-4552-a627-9a6f43d0c571) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "D5" (at 213.499274 134.698061) (layer "F.SilkS") (tstamp bb7cb111-4d97-4f97-baab-4825a25116f6) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text " D2" (at 181.241274 69.047526) (layer "F.SilkS") (tstamp bd20a233-5fd1-468c-9849-8968cfb8345a) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) @@ -24728,57 +25271,81 @@ (gr_text "60" (at 71.642634 96.073971) (layer "F.SilkS") (tstamp c0a7cd75-6bc8-486a-8748-5770068a00b4) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_7\n" (at 204.169323 102.684926) (layer "F.SilkS") (tstamp c281e24f-4708-44ad-b5d0-6a9e429aa5f0) + (gr_text " Er_7\n" (at 206.663674 104.122926) (layer "F.SilkS") (tstamp c281e24f-4708-44ad-b5d0-6a9e429aa5f0) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_3\n" (at 204.169323 92.524926) (layer "F.SilkS") (tstamp c29ba0d6-ec5a-4d09-9259-e4b94d0ebd98) + (gr_text " Er_3\n" (at 206.663674 93.915126) (layer "F.SilkS") (tstamp c29ba0d6-ec5a-4d09-9259-e4b94d0ebd98) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "PWM LEDs" (at 125.615274 156.550526) (layer "F.SilkS") (tstamp c4838d6e-8e4c-4fea-9865-363a5e28ab33) - (effects (font (size 1.27 1.27) (thickness 0.254))) + (gr_text "PWM LEDs" (at 169.303274 153.883526 90) (layer "F.SilkS") (tstamp c4838d6e-8e4c-4fea-9865-363a5e28ab33) + (effects (font (size 1.27 1.27) (thickness 0.254) bold)) + ) + (gr_text "B2" (at 213.499274 102.224276) (layer "F.SilkS") (tstamp c4b1192d-5d30-4b89-8f24-51d05280f726) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (gr_text "B3" (at 213.499274 104.724676) (layer "F.SilkS") (tstamp c4ba5d99-6f62-4d6d-bf84-24b0467d2b45) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) ) (gr_text "77" (at 88.243318 108.062097) (layer "F.SilkS") (tstamp c5b74e76-ccaf-4c52-9828-2639d42b457b) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "GND\n" (at 208.693274 39.911526) (layer "F.SilkS") (tstamp c63171ff-5764-4ea7-b9c0-b7e92b778c14) + (gr_text "GND\n" (at 210.324274 35.646526) (layer "F.SilkS") (tstamp c63171ff-5764-4ea7-b9c0-b7e92b778c14) (effects (font (size 1 1) (thickness 0.15))) ) (gr_text "GPIO" (at 70.878274 31.455526) (layer "F.SilkS") (tstamp c6662b60-b4f4-45c8-850d-422968a64607) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "16" (at 198.441064 149.019463) (layer "F.SilkS") (tstamp c806bb7b-7dcd-4653-b566-3e07a37c6af9) + (gr_text "16" (at 200.513464 151.700463) (layer "F.SilkS") (tstamp c806bb7b-7dcd-4653-b566-3e07a37c6af9) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "63" (at 71.642634 103.788117) (layer "F.SilkS") (tstamp c8231b36-f135-4536-af56-087ac5633683) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "33" (at 179.899064 133.930526) (layer "F.SilkS") (tstamp c856d9a9-3778-4fbd-8858-c745a194ac5e) + (gr_text "33" (at 200.513464 134.081526) (layer "F.SilkS") (tstamp c856d9a9-3778-4fbd-8858-c745a194ac5e) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "157" (at 110.070474 146.212726) (layer "F.SilkS") (tstamp c8cb1cf8-7f93-4978-ba62-ecfae2a6eb3d) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text " Er_27" (at 206.663674 154.282863) (layer "F.SilkS") (tstamp ca43e58f-9273-4694-a47b-ce12144208ee) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text "37" (at 71.528748 36.081526) (layer "F.SilkS") (tstamp cefd0a07-dd82-43ce-a620-f415f830e943) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_16\n" (at 204.169323 125.226726) (layer "F.SilkS") (tstamp cf71ed21-73ed-466f-9679-f9be02dca171) + (gr_text " Er_16\n" (at 206.663674 126.481926) (layer "F.SilkS") (tstamp cf71ed21-73ed-466f-9679-f9be02dca171) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "ADC" (at 212.991274 86.573526) (layer "F.SilkS") (tstamp d0eae1d7-4ee2-4c12-9ba0-67ba2eddc246) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "128" (at 71.3926 79.762571) (layer "F.SilkS") (tstamp d36f42cd-808e-4687-912e-c6bcd9609e69) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "Code" (at 207.149274 86.573526) (layer "F.SilkS") (tstamp d3c9b9b6-6a13-418b-a437-6c4884c06879) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text "D0" (at 181.892036 45.933526) (layer "F.SilkS") (tstamp d4926d70-3c16-428c-b15b-638da64db354) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "17" (at 198.107274 120.302926) (layer "F.SilkS") (tstamp d4ae004a-a46d-4f79-b746-fc957596d77f) + (gr_text "17" (at 200.513464 111.477526) (layer "F.SilkS") (tstamp d4ae004a-a46d-4f79-b746-fc957596d77f) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "20" (at 185.496892 120.726526) (layer "F.SilkS") (tstamp d4c967f5-6ba3-4810-ab42-76279b71b015) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "22" (at 198.441064 133.930526) (layer "F.SilkS") (tstamp d65aa927-40f2-4b48-9db5-949cbe27b551) + (gr_text "22" (at 200.513464 136.611526) (layer "F.SilkS") (tstamp d65aa927-40f2-4b48-9db5-949cbe27b551) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "A2A3_P" (at 164.985274 118.069526) (layer "F.SilkS") (tstamp d6a118d2-5152-44fb-91a1-5fffd433dc04) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "165" (at 136.054674 146.221126) (layer "F.SilkS") (tstamp d7006db7-0342-44bc-8649-84e992839537) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "D4" (at 213.499274 132.168676) (layer "F.SilkS") (tstamp d8665324-aa92-4239-8b6d-5a179bffffcf) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text "9B/D4" (at 129.728626 138.425343) (layer "F.SilkS") (tstamp da724944-f3c6-477e-9392-4bf67800299f) (effects (font (size 1 1) (thickness 0.125))) ) @@ -24797,7 +25364,7 @@ (gr_text "126" (at 71.3926 82.302571) (layer "F.SilkS") (tstamp dbeb3936-8e74-49ac-912b-870a1725d681) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "13" (at 198.107274 122.842926) (layer "F.SilkS") (tstamp dcb45712-a08e-4bbb-8b19-ff5018827507) + (gr_text "13" (at 200.513464 114.017526) (layer "F.SilkS") (tstamp dcb45712-a08e-4bbb-8b19-ff5018827507) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "68" (at 88.278992 99.705087) (layer "F.SilkS") (tstamp dd7ad2e0-2175-4c97-b3e5-ed74121c6f8c) @@ -24809,9 +25376,15 @@ (gr_text "PWM" (at 163.172013 133.817526) (layer "F.SilkS") (tstamp def66e63-3ac0-463c-8e2a-42f6a6d93d54) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "Group-D\n\n\n" (at 84.576844 117.708097 90) (layer "F.SilkS") (tstamp df7179cc-8405-4138-9d4c-eaf2892c63d7) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text "DACOUT" (at 167.779274 42.631526) (layer "F.SilkS") (tstamp e065e0cb-4bf6-4fdb-9aaa-45f72eb019d4) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "IN15" (at 213.499274 139.772076) (layer "F.SilkS") (tstamp e078a546-35ba-4ff7-8eff-3abbe334a04b) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text "125" (at 71.406444 85.639971) (layer "F.SilkS") (tstamp e0ffcc87-1e23-4b4a-9184-c85369ed6d38) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) @@ -24827,12 +25400,15 @@ (gr_text "122" (at 71.279948 43.501126) (layer "F.SilkS") (tstamp e74cd241-7937-4928-9c20-206bde2f4439) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "103" (at 197.964874 141.399463) (layer "F.SilkS") (tstamp e7af1b4e-5d07-420a-ab51-0042366d450f) + (gr_text "103" (at 200.037274 144.080463) (layer "F.SilkS") (tstamp e7af1b4e-5d07-420a-ab51-0042366d450f) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "131" (at 71.406444 88.179971) (layer "F.SilkS") (tstamp e7fb1074-78f8-4566-8dda-229065d86d3c) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "B2B3_P" (at 164.69956 120.863526) (layer "F.SilkS") (tstamp e95154cd-cb77-439f-95be-852d8bc6336c) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) (gr_text " B5" (at 181.241274 81.747526) (layer "F.SilkS") (tstamp e9a12263-a99a-4831-a4ae-dd5b3eeabe68) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) @@ -24854,10 +25430,13 @@ (gr_text "44" (at 71.86879 74.682571) (layer "F.SilkS") (tstamp f1058009-56f5-4319-8ecd-056a059935e6) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) + (gr_text "Q" (at 185.813274 116.545526) (layer "F.SilkS") (tstamp f12ea0f9-8c4f-4f76-8817-20b8eb2c3b82) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text "112" (at 71.049084 48.561126) (layer "F.SilkS") (tstamp f2a4ddfb-bf33-4204-97e8-3fbc46a655bd) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "LCB-CTB-01\n1.0.0\nMarch 2024" (at 98.056274 36.027526) (layer "F.SilkS") (tstamp f2b09041-2f5c-439a-aba3-18a7736a43fe) + (gr_text "LCB-CTB-01\n1.1.0\nApril 2024" (at 98.056274 36.027526) (layer "F.SilkS") (tstamp f2b09041-2f5c-439a-aba3-18a7736a43fe) (effects (font (size 1.5 1.5) (thickness 0.3))) ) (gr_text "8B/D2" (at 119.916847 153.857122) (layer "F.SilkS") (tstamp f2b93176-1178-4279-99b8-ec930de598e0) @@ -24866,7 +25445,10 @@ (gr_text "15A" (at 165.239274 146.106126) (layer "F.SilkS") (tstamp f7be811d-534a-4ba8-94ca-a1cbbdab03de) (effects (font (size 1 1) (thickness 0.125))) ) - (gr_text "34" (at 198.107274 92.652926) (layer "F.SilkS") (tstamp f89ab186-b424-43ef-b37d-195d4a056e97) + (gr_text " Er_22\n" (at 206.663674 141.546863) (layer "F.SilkS") (tstamp f8866749-e6b5-49eb-af0c-b7086769263c) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "34" (at 200.513464 93.915126) (layer "F.SilkS") (tstamp f89ab186-b424-43ef-b37d-195d4a056e97) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "56" (at 88.292748 62.976223) (layer "F.SilkS") (tstamp f8ba1480-f232-4882-ab51-5fb64ee5c15e) @@ -24875,19 +25457,25 @@ (gr_text "14A" (at 165.239274 141.0078) (layer "F.SilkS") (tstamp fa246750-6088-4f1f-b5ff-15af0f9d3eb8) (effects (font (size 1 1) (thickness 0.125))) ) + (gr_text "A4" (at 213.499274 94.516876) (layer "F.SilkS") (tstamp fa3325ba-35a9-4310-9341-6960a620c52b) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) (gr_text "167" (at 136.054674 151.191126) (layer "F.SilkS") (tstamp fa341e25-a0ae-4442-8bd0-90ad0f1bde33) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text "23" (at 198.107274 105.357926) (layer "F.SilkS") (tstamp fbbe0e81-6c7b-46fd-afc9-1952a828a66b) + (gr_text "104" (at 185.020702 118.191526) (layer "F.SilkS") (tstamp fa81619c-1e86-4189-a825-aa1224da79f2) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "23" (at 200.513464 96.532526) (layer "F.SilkS") (tstamp fbbe0e81-6c7b-46fd-afc9-1952a828a66b) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "42" (at 71.642634 132.593261) (layer "F.SilkS") (tstamp fc9c3530-695b-4142-beea-b32ac4faaf5b) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_9\n" (at 204.169323 107.764926) (layer "F.SilkS") (tstamp fca73e0d-5ea7-458e-9fc7-c52bf123a3da) + (gr_text " Er_9\n" (at 206.663674 108.868326) (layer "F.SilkS") (tstamp fca73e0d-5ea7-458e-9fc7-c52bf123a3da) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) - (gr_text " Er_10\n" (at 204.169323 110.132126) (layer "F.SilkS") (tstamp fd2df298-a1e7-4bc1-91cc-b0ffb3fc20c9) + (gr_text " Er_10\n" (at 206.663674 111.418326) (layer "F.SilkS") (tstamp fd2df298-a1e7-4bc1-91cc-b0ffb3fc20c9) (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (gr_text "61" (at 88.278992 91.815087) (layer "F.SilkS") (tstamp fda0e8ab-1a20-4790-96d9-afc415942ddf) @@ -24900,18 +25488,18 @@ (effects (font (size 1 1) (thickness 0.15)) (justify left)) ) (dimension (type aligned) (layer "F.Fab") (tstamp 04902e2b-2b01-455b-be2a-3b502b6af7f1) - (pts (xy 63.0817 34.79566) (xy 216.6247 34.832339)) + (pts (xy 64 33) (xy 222 33)) (height -5.938092) - (gr_text "153,5430 mm" (at 139.854894 27.725908 359.9863126) (layer "F.Fab") (tstamp 04902e2b-2b01-455b-be2a-3b502b6af7f1) + (gr_text "158.0000 mm" (at 143 25.911908) (layer "F.Fab") (tstamp 04902e2b-2b01-455b-be2a-3b502b6af7f1) (effects (font (size 1 1) (thickness 0.15))) ) (format (prefix "") (suffix "") (units 3) (units_format 1) (precision 4)) (style (thickness 0.1) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0.5) keep_text_aligned) ) (dimension (type aligned) (layer "F.Fab") (tstamp 3a81b630-7f8a-4d5f-9279-3b2eb9fe9acf) - (pts (xy 66.1416 157.9372) (xy 66.0817 31.79566)) + (pts (xy 67 162) (xy 67 30)) (height -6.033951) - (gr_text "126,1416 mm" (at 58.940274 94.726926 -89.97279233) (layer "F.Fab") (tstamp 3a81b630-7f8a-4d5f-9279-3b2eb9fe9acf) + (gr_text "132.0000 mm" (at 58.940274 94.726926 90) (layer "F.Fab") (tstamp 3a81b630-7f8a-4d5f-9279-3b2eb9fe9acf) (effects (font (size 1 1) (thickness 0.15))) ) (format (prefix "") (suffix "") (units 3) (units_format 1) (precision 4)) @@ -24928,7 +25516,6 @@ (segment (start 158.058274 42.784526) (end 159.016274 41.826526) (width 0.2) (layer "F.Cu") (net 1) (tstamp bddb875e-fd97-4eca-8275-26bc522fc7bf)) (segment (start 152.918274 36.537526) (end 153.428274 36.027526) (width 0.2) (layer "F.Cu") (net 1) (tstamp d8c75a03-3218-420e-a3fc-5e8ecfc11dda)) (segment (start 153.428274 36.027526) (end 157.111274 36.027526) (width 0.2) (layer "F.Cu") (net 1) (tstamp e5d8ece9-40c7-45d7-8e0f-a16bc245b610)) - (segment (start 212.483274 67.062726) (end 212.458874 67.038326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0172ba05-a398-4f3e-9dd5-3032f8258b6d)) (segment (start 159.458274 90.053326) (end 158.6956 90.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0196cea9-dc73-4b9d-88db-85f74618eea9)) (segment (start 114.885 119.5554) (end 115.6456 120.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 02185a67-13d1-4581-a2b7-823afe4ac524)) (segment (start 159.625874 87.818126) (end 159.727474 87.818126) (width 0.2) (layer "F.Cu") (net 2) (tstamp 02e10a88-1652-4906-931c-700c91b09bdc)) @@ -24942,6 +25529,7 @@ (segment (start 115.798874 146.241326) (end 115.798874 147.520126) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0709df47-ee55-47a9-a5f0-4a86aff01a58)) (segment (start 160.6956 119.316) (end 159.6094 118.2298) (width 0.2) (layer "F.Cu") (net 2) (tstamp 070cee96-23d8-41b4-a9a3-dfa80dc9fe27)) (segment (start 115.785474 152.486526) (end 115.849674 152.550726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0817f343-ab84-4b34-9a45-67a83a76d561)) + (segment (start 192.544274 82.876526) (end 191.1096 81.441852) (width 0.2) (layer "F.Cu") (net 2) (tstamp 08a385b1-e2e5-4d84-9179-203662824894)) (segment (start 159.667857 104.823886) (end 159.667857 104.838257) (width 0.2) (layer "F.Cu") (net 2) (tstamp 08d88c82-f3bc-4a3f-aca3-370d3250a512)) (segment (start 160.1956 89.316) (end 159.473474 90.038126) (width 0.2) (layer "F.Cu") (net 2) (tstamp 091416c3-16ae-49b7-8574-16e3f159a865)) (segment (start 113.6956 111.316) (end 112.6956 111.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 09a8dc68-1881-41bb-82cc-de38f32b8f3e)) @@ -24954,7 +25542,6 @@ (segment (start 159.727474 87.716526) (end 159.826948 87.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0e2226d3-f548-41e1-8aa6-932784b187da)) (segment (start 114.6198 101.7402) (end 114.631 101.7402) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0ebff4e7-8b54-4150-9904-a065636c87a8)) (segment (start 159.843 112.0272) (end 159.8568 112.0272) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0fcc682c-8353-4921-a66c-fbb008dc3792)) - (segment (start 186.2836 65.9412) (end 188.0616 65.9412) (width 0.2) (layer "F.Cu") (net 2) (tstamp 0fdbbaf3-6b66-410c-a62b-596c235de829)) (segment (start 154.788274 40.734526) (end 154.788274 42.764526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 12346737-4e57-4628-862a-f31ae5053b5c)) (segment (start 114.1456 102.316) (end 115.6456 100.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 128b4aaa-f9fa-4a6d-8688-7af9086a2fab)) (segment (start 112.6956 93.316) (end 114.1456 93.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 12b92e7a-4858-4f4a-8a44-99e8176754c4)) @@ -24983,8 +25570,8 @@ (segment (start 192.6864 66.4176) (end 192.21 65.9412) (width 0.2) (layer "F.Cu") (net 2) (tstamp 206e1726-8497-420d-90f5-cfbdc7769597)) (segment (start 159.902571 102.072971) (end 160.6456 102.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 215fb30f-5c42-4fc9-9376-fccaf276574e)) (segment (start 86.829474 137.424326) (end 86.880274 137.373526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 21dfeb27-7ff8-4b87-a5c0-7f2cd709cd9c)) - (segment (start 189.369274 41.426526) (end 190.0956 42.152852) (width 0.2) (layer "F.Cu") (net 2) (tstamp 22e3b7a3-77f5-4c09-9c2d-1d6f290672d0)) (segment (start 145.528274 39.557526) (end 145.528274 37.639526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 231437d5-a805-4fd8-bf95-6a48af6c3682)) + (segment (start 215.912274 58.431526) (end 215.894274 58.449526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 240b5b48-44d4-4dc7-b3e4-5c4656bb3f3c)) (segment (start 154.788274 40.734526) (end 156.558274 40.734526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 24355085-3ab7-4f08-bf96-fbfef785e699)) (segment (start 112.6956 84.816) (end 114.5978 84.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 24480bed-3b73-4022-9185-ea699bb06e35)) (segment (start 114.1456 118.816) (end 114.8498 119.5202) (width 0.2) (layer "F.Cu") (net 2) (tstamp 2501e4d6-1c54-4b59-9d22-325721cc3c2c)) @@ -25008,10 +25595,11 @@ (segment (start 115.6456 114.316) (end 116.6456 114.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 2e2f0cd0-ac35-4cd8-b2b9-3d4b9b93ca11)) (segment (start 160.1956 118.816) (end 161.6456 118.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 2e943f2b-6ca9-4fc3-b5e1-df274ce97632)) (segment (start 159.716 107.7956) (end 160.1956 107.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 2ecd0806-b5dd-4cdd-80b3-a0b8697b96d7)) - (segment (start 191.1096 81.417326) (end 191.1096 79.8752) (width 0.2) (layer "F.Cu") (net 2) (tstamp 31444221-33a9-430b-9fe8-e2723acdfec7)) (segment (start 157.6956 119.316) (end 158.5232 119.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 31c8046a-6bc5-476d-b3b9-ce452788f54d)) (segment (start 157.6956 105.816) (end 158.6956 105.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 321ca28a-1467-4f05-8ef9-2269d9f1a63d)) (segment (start 86.829474 142.504326) (end 86.880274 142.453526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 32367352-e799-4bdc-bb3f-2138db3f37dd)) + (segment (start 190.135674 125.806526) (end 190.135674 118.191526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 32507114-b9f3-4cab-ae48-daf143ea5cdf)) + (segment (start 185.751 59.5848) (end 185.751 56.5318) (width 0.2) (layer "F.Cu") (net 2) (tstamp 327f1541-42c5-477c-93c3-9683eea503ad)) (segment (start 145.554274 39.583526) (end 145.528274 39.557526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 32bc7249-2e8d-47e6-a060-ae3a9a5bb434)) (segment (start 159.667857 104.838257) (end 160.6456 105.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3323153b-a8ad-4ecb-a47e-efe7fa8e8155)) (segment (start 155.834774 31.455526) (end 155.458274 31.832026) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3325d51b-23bd-4187-b81b-0e0db556fca0)) @@ -25027,36 +25615,41 @@ (segment (start 86.880274 147.406526) (end 86.829474 147.355726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 394c2972-c9ed-455d-8ec6-71d735048aa6)) (segment (start 160.6456 93.816) (end 161.6456 93.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 39dda9bb-ead1-4948-bb84-c8eafba4a9b4)) (segment (start 114.885 116.5992) (end 114.885 116.5766) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3aba16e9-b527-4dc2-93d7-7e2d52a7b650)) + (segment (start 196.780274 59.858526) (end 202.075274 59.858526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3b7e55ff-5bc1-41b0-b3e1-9c5fdc685ef0)) (segment (start 114.8654 92.5962) (end 114.885 92.5962) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3c3d7505-e190-4f9d-becc-5c5ce56d55af)) (segment (start 192.736 66.8152) (end 192.6864 66.7656) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3c729a75-bec1-4a8f-80ca-f4b454991c68)) (segment (start 114.885 92.5766) (end 115.6456 91.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3d076d8d-c8dd-449b-9559-d019b34bd005)) - (segment (start 189.343874 64.958126) (end 189.343874 65.110526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3f62edbd-632e-4d53-a5d3-102e495094b4)) (segment (start 115.6456 115.816) (end 116.6456 115.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 3fa1e043-57cb-46f5-8489-a2d41c0623dd)) (segment (start 159.473474 90.053326) (end 159.458274 90.053326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 407cc023-94df-40b5-aa7a-82570bbf7016)) + (segment (start 213.118274 84.541526) (end 213.118274 79.385326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 41504f3b-a791-4d96-9e41-3a5954d56014)) (segment (start 115.6456 85.816) (end 116.6456 85.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 427e28a8-9c0f-4ad7-8b29-fad33c4c5028)) (segment (start 114.6642 84.816) (end 116.6456 84.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 42cf0d52-0c92-421a-aa15-7c17347d784c)) (segment (start 154.788274 42.764526) (end 154.778274 42.774526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 43eddca5-37ef-4656-8e16-ade9ae3efbde)) (segment (start 114.6212 121.816) (end 114.631 121.8062) (width 0.2) (layer "F.Cu") (net 2) (tstamp 44fe515b-85c7-481f-9be1-8e5206cb2cd7)) (segment (start 114.1456 103.816) (end 114.8638 104.5342) (width 0.2) (layer "F.Cu") (net 2) (tstamp 467e9f9a-12fd-434b-a0b8-d97e4bbae56c)) (segment (start 162.631474 137.187326) (end 162.572274 137.246526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 46a53849-b298-47a7-8830-2a3ec223f376)) + (segment (start 212.458874 66.403326) (end 211.534274 65.478726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 46f54b92-2ab6-447f-88d1-48bf7818c8a5)) (segment (start 112.6956 115.816) (end 114.1456 115.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4885829a-bbca-4104-b62c-c4f86833b701)) (segment (start 133.108274 142.326526) (end 133.145674 142.363926) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4993a63e-d3ac-4595-8459-0093625dd7da)) (segment (start 159.826948 87.816) (end 161.6456 87.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 49aeb1ed-25d1-4deb-840c-60e91e75385c)) (segment (start 162.631474 147.347326) (end 162.572274 147.406526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 49e3d602-803e-49d9-82e5-dd42b3ee624f)) + (segment (start 186.0346 79.8752) (end 192.8876 79.8752) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4aa407e7-cdd9-4f7c-a3b6-237539b63e49)) (segment (start 114.1456 91.816) (end 115.6456 93.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4b2a4e45-3a50-4bc8-8d23-cb759b9e84df)) (segment (start 114.4634 89.5482) (end 113.6956 90.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4b57594a-b9f0-4601-9a89-10d556638fa4)) (segment (start 159.7318 118.2298) (end 159.6094 118.2298) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4bda971b-8c6a-49b1-b232-a227a1803bbd)) (segment (start 159.1456 112.816) (end 160.6456 111.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4bfb2ca7-d83b-4425-9a28-46a769c7c9bb)) - (segment (start 212.458874 67.038326) (end 212.458874 66.403326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4c107e6e-f4fd-4cc3-a1eb-c09f6e0c6d37)) + (segment (start 189.8396 65.326852) (end 189.8396 65.9412) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4c58410f-0a63-4dd9-b03e-375ecadbf925)) (segment (start 191.982774 50.505526) (end 190.5626 51.9257) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4cc6fa4c-7938-41d6-a6ac-e3ab6103d307)) + (segment (start 179.987674 118.196526) (end 179.987674 125.811526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4cf02c3b-eea1-40ea-b663-5cf580332612)) + (segment (start 211.534274 65.478726) (end 209.268874 65.478726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4d59d0f3-f848-4ea1-ab8b-529be2792138)) (segment (start 86.880274 142.453526) (end 86.829474 142.402726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4df0fcd3-466f-4e5e-9fd6-fedcbeba6a77)) (segment (start 86.829474 152.537326) (end 86.880274 152.486526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4f868d35-206f-40ef-b7ea-828ce0288553)) (segment (start 114.1456 108.316) (end 114.6254 107.8362) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5024a12e-1e1d-4967-99df-742f145186ef)) (segment (start 114.1456 93.316) (end 114.8654 92.5962) (width 0.2) (layer "F.Cu") (net 2) (tstamp 510397d6-0693-4fd8-94da-14e793718852)) - (segment (start 189.394674 64.907326) (end 189.343874 64.958126) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5161a133-f96c-4166-a093-f3362c0fd474)) (segment (start 158.6956 117.316) (end 157.6956 117.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 51ee9d33-bc31-413f-8b0b-954918f1b105)) (segment (start 133.145674 152.576126) (end 133.108274 152.613526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 52e77a7e-79a5-40be-ba82-a2a823d7cfc0)) (segment (start 114.631 101.7402) (end 114.631 101.7514) (width 0.2) (layer "F.Cu") (net 2) (tstamp 53357563-a32c-4a73-b1ca-2e9f77fd31af)) + (segment (start 212.458874 67.904526) (end 212.458874 66.403326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 53bfd3d5-8952-4f92-90ec-f517cb5cc3be)) (segment (start 112.6956 91.816) (end 114.1456 91.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5453219d-7bb6-4441-b688-2639cebb7691)) (segment (start 140.907674 40.925526) (end 142.407274 40.925526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 551bea77-e7e9-4a77-9e23-d51bb74f26f3)) (segment (start 115.6456 93.316) (end 116.6456 93.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 55b2e29c-10db-4d1d-94f0-e8b933c1a8f9)) @@ -25067,6 +25660,7 @@ (segment (start 114.1456 97.816) (end 114.631 98.3014) (width 0.2) (layer "F.Cu") (net 2) (tstamp 58b278b9-580e-4074-9bff-d8afdcb49088)) (segment (start 114.1456 114.316) (end 112.6956 114.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5983af61-4c09-4eb2-bf3b-37591984fe24)) (segment (start 114.1456 96.316) (end 115.6456 94.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 59b2eca3-c7de-410c-897f-54217ab990a9)) + (segment (start 196.735274 59.903526) (end 196.780274 59.858526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5a67bd61-3b1c-4425-80d9-28c2eddd5dcc)) (segment (start 159.716 96.316) (end 161.6456 96.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5b19d5a9-5202-4dfa-9ccc-eda562883ac4)) (segment (start 114.631 98.3014) (end 114.631 98.3112) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5b4e22f0-5aed-44f5-bac2-abcf6e4d133f)) (segment (start 112.6956 102.316) (end 114.1456 102.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 5bd51f00-5503-4860-b641-ef767cc1c69d)) @@ -25079,14 +25673,15 @@ (segment (start 156.603274 41.742526) (end 156.558274 41.787526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 614c4d30-2a12-4931-af8f-f52285d3b23c)) (segment (start 114.8498 119.5202) (end 114.885 119.5202) (width 0.2) (layer "F.Cu") (net 2) (tstamp 615bc091-b085-4724-8d1a-9e40a062340d)) (segment (start 114.885 116.5766) (end 115.6456 115.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6178227f-e511-4b19-86eb-7601cd93805c)) - (segment (start 211.534274 65.478726) (end 209.268874 65.478726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 61e78f9a-783a-4c9d-9e3c-5e12c0119182)) (segment (start 156.558274 41.697526) (end 156.603274 41.742526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 62ebba9b-df8d-4254-ad8a-6c3dc32da988)) + (segment (start 188.861274 64.348526) (end 189.8396 65.326852) (width 0.2) (layer "F.Cu") (net 2) (tstamp 63600e78-751a-44b6-9dd0-0e4bda46b181)) (segment (start 114.631 101.7514) (end 115.1956 102.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 63c1d0ed-7ca8-412c-b787-1285d94edd40)) (segment (start 160.6456 108.816) (end 161.6456 108.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 645b9663-e8c7-4e2e-a9de-f5a2a84f93f5)) (segment (start 159.473474 90.038126) (end 159.473474 90.053326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 64b28ff6-48a3-409a-94f8-1247dca36620)) (segment (start 162.631474 135.976126) (end 162.631474 137.187326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 64b93d82-aef7-4de9-a35b-80e148106df5)) (segment (start 161.6456 89.316) (end 160.1956 89.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 65145bf3-a36f-4654-b1fd-a1a34e314614)) (segment (start 114.8624 116.5992) (end 114.885 116.5992) (width 0.2) (layer "F.Cu") (net 2) (tstamp 653e8129-4bcb-46cf-a5fa-3234866f9b74)) + (segment (start 215.912274 57.363526) (end 215.912274 58.431526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 658fb627-d7aa-4c51-8d98-ee3a732c0af1)) (segment (start 112.6956 112.816) (end 114.1456 112.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 65c4a4ea-27bb-46b2-b903-0543c491543a)) (segment (start 160.6456 84.816) (end 159.727474 85.734126) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6b1015f5-0c35-4424-8727-98c9c60eddd5)) (segment (start 159.727474 87.818126) (end 159.727474 87.716526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6bec96f5-6d89-42ab-b5ff-142ace7fe989)) @@ -25094,17 +25689,16 @@ (segment (start 158.6956 105.816) (end 160.1956 104.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6c52bfbc-f09a-4e13-8cd4-f5474d8f9829)) (segment (start 113.6956 106.816) (end 115.1956 108.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6db63261-3246-4923-aeed-6ad253e8d49d)) (segment (start 159.1456 101.316) (end 157.6956 101.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6e677b1d-a65b-4c88-b949-04562f93ef52)) - (segment (start 185.7756 81.518926) (end 185.7756 79.8752) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6ee5acbf-0556-47ab-ba31-703c25841a4f)) (segment (start 115.1956 88.816) (end 114.504 89.5076) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6ef8618f-011f-4ab5-8519-e1ff5abb78d8)) (segment (start 159.880126 93.050526) (end 160.6456 93.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6f0ab350-7036-4ac9-8408-536e7e5a4ebf)) (segment (start 193.4992 43.3036) (end 193.0208 42.8252) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6f322922-4505-4481-b2ad-aa13eccd8b0d)) - (segment (start 185.178274 65.974126) (end 185.2112 65.9412) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6f5e53fb-802c-4007-a057-1143fb1ff01c)) (segment (start 114.885 95.5172) (end 114.885 95.5554) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6fb62360-5759-43a8-9a1f-94fcc9b90844)) (segment (start 115.849674 152.550726) (end 115.849674 153.781926) (width 0.2) (layer "F.Cu") (net 2) (tstamp 6fdd2af1-22e7-4366-814c-83df2057f826)) (segment (start 192.6864 66.7656) (end 192.6864 66.4176) (width 0.2) (layer "F.Cu") (net 2) (tstamp 707530cf-c2fe-4a8a-a411-c9d3023cc798)) (segment (start 157.6956 96.316) (end 159.716 96.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 70d5c617-614d-4ef5-89e4-316900994319)) (segment (start 112.6956 117.316) (end 114.1456 117.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 712764c2-0e25-43cb-a505-8d49f5a1c1cd)) (segment (start 159.1456 107.316) (end 160.6456 108.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 715d3b27-6e9e-405f-8122-7c160c8bbf92)) + (segment (start 212.458874 67.904526) (end 212.458874 69.386726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 71991fd2-0ce7-493e-9d49-b6ba79787dfc)) (segment (start 157.6956 111.316) (end 159.1456 111.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 722a2293-91e1-4e76-ad28-f18b0c0c0bea)) (segment (start 157.6956 114.316) (end 159.1456 114.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 722a9bc7-685c-4abd-8f39-02743fb7778d)) (segment (start 114.631 110.2492) (end 114.631 110.3014) (width 0.2) (layer "F.Cu") (net 2) (tstamp 728e2650-7486-441b-9444-24d1f7ca7ba2)) @@ -25115,18 +25709,22 @@ (segment (start 115.849674 153.781926) (end 115.798874 153.832726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7581a134-73ff-49c2-823b-3cfaaf36ed61)) (segment (start 157.6956 104.316) (end 159.1456 104.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 75855387-541f-43ae-94fb-b4d5e02e6d4b)) (segment (start 159.1456 93.816) (end 157.6956 93.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 76907930-c7e3-4a03-ad92-143dc689bf51)) - (segment (start 185.2112 65.9412) (end 186.2836 65.9412) (width 0.2) (layer "F.Cu") (net 2) (tstamp 76a3b277-9146-4238-93c3-8ca5a96eebac)) (segment (start 159.727474 86.344926) (end 159.727474 87.716526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 77727f1a-f21c-4895-995c-dace1c26ed28)) (segment (start 159.653486 104.823886) (end 159.667857 104.823886) (width 0.2) (layer "F.Cu") (net 2) (tstamp 78215231-7209-4b56-925f-e77684bce6a0)) + (segment (start 184.826348 65.9412) (end 188.0616 65.9412) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7837c63a-13bf-44f5-ad03-04f0142f49ec)) + (segment (start 215.894274 58.449526) (end 215.894274 58.588526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7868b1b2-a12b-47f9-8c6c-80029836f35d)) (segment (start 162.631474 147.465726) (end 162.631474 152.427326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 797b181e-5e69-41ca-ab9d-73db01fa40c4)) (segment (start 191.0615 68.3988) (end 192.1784 68.3988) (width 0.2) (layer "F.Cu") (net 2) (tstamp 79e94b62-89df-44b5-a800-f5e363cfa9b6)) (segment (start 114.1456 105.316) (end 112.6956 105.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7aaf3b4c-0a37-4cf4-8368-1e8a1e52aa53)) + (segment (start 196.735274 57.871526) (end 196.907274 57.699526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7aeb6330-1767-4b58-9eb1-987c038287a6)) + (segment (start 184.797274 65.912126) (end 184.826348 65.9412) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7b3f807b-162e-44b1-9863-b2aecee65991)) (segment (start 116.6456 88.816) (end 115.1956 88.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7b43e4c6-b0e5-4392-b4d1-f5593f6c78ee)) (segment (start 161.6456 117.316) (end 160.6456 117.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7b4f6f08-663c-41f8-84eb-0d4c6d7e8003)) (segment (start 161.6456 119.316) (end 160.6956 119.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7b882e69-eee2-4312-b4e3-df3ccfb760fc)) (segment (start 70.522674 154.340726) (end 70.522674 156.626726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7bac1c63-5bd3-4afb-9306-843162a9647d)) (segment (start 190.5626 46.9872) (end 190.5626 46.0497) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7bfd0b2a-fa92-48c5-b463-2ec9fc1c6231)) (segment (start 115.6456 120.316) (end 116.6456 120.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7df28a7b-8fb3-4792-8ef3-dd6b91e3a38b)) + (segment (start 207.542274 54.581526) (end 206.514274 53.553526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7ed9f416-8807-487c-b7c0-06e855e99eb3)) (segment (start 160.6456 111.316) (end 161.6456 111.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7efb79f8-1c26-4b33-ac33-63886b91284f)) (segment (start 114.6338 86.7542) (end 115.1956 87.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7f91216c-761c-4fe9-a5f3-da937489a048)) (segment (start 114.6254 107.8362) (end 114.631 107.8362) (width 0.2) (layer "F.Cu") (net 2) (tstamp 7fe06bfb-88d6-420d-b166-bf77dff2263a)) @@ -25135,12 +25733,12 @@ (segment (start 192.21 65.9412) (end 191.6176 65.9412) (width 0.2) (layer "F.Cu") (net 2) (tstamp 80f2ec68-bc6a-4c2f-a6d0-c69f218dc318)) (segment (start 160.1956 107.316) (end 161.6456 107.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 811f764a-1638-496b-bf96-e56d9403c348)) (segment (start 192.9912 45.6912) (end 193.4992 45.1832) (width 0.2) (layer "F.Cu") (net 2) (tstamp 81a095b3-9296-4d64-bf31-ffcd2bd20b89)) - (segment (start 189.394674 65.496274) (end 189.8396 65.9412) (width 0.2) (layer "F.Cu") (net 2) (tstamp 81e9b760-0866-413f-ba62-569f76d8da38)) (segment (start 114.631 107.8362) (end 114.631 107.8306) (width 0.2) (layer "F.Cu") (net 2) (tstamp 81eae19a-2137-4dd0-8130-e097d38a5039)) (segment (start 115.798874 137.386926) (end 115.798874 138.587326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 82a6d6de-7149-454e-8520-92dc7896c9b9)) (segment (start 156.558274 40.734526) (end 156.558274 41.697526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 832cd612-b026-4cb5-ac4a-10f5f2ddd864)) (segment (start 159.0232 118.816) (end 159.6094 118.2298) (width 0.2) (layer "F.Cu") (net 2) (tstamp 834ce15c-ea14-4caf-8359-d401ab52b491)) (segment (start 116.6456 97.816) (end 115.1956 97.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 83bcca78-87ca-4617-8275-4ee29865768f)) + (segment (start 189.128299 41.094501) (end 190.0956 42.061802) (width 0.2) (layer "F.Cu") (net 2) (tstamp 83df7e18-43d4-46a2-b8b2-5c518c710aff)) (segment (start 185.116 41.9232) (end 185.6376 41.9232) (width 0.2) (layer "F.Cu") (net 2) (tstamp 84a895d9-824d-4a07-8260-9346eb5b7ad8)) (segment (start 159.1456 104.316) (end 159.653486 104.823886) (width 0.2) (layer "F.Cu") (net 2) (tstamp 84d4f7a9-8571-4808-aec0-3a1d3b23224f)) (segment (start 156.558274 42.784526) (end 154.788274 42.784526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 84e0d2cb-e25c-4a60-9eea-9d035250c52b)) @@ -25151,7 +25749,7 @@ (segment (start 159.6094 118.2298) (end 158.6956 117.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8a1f685f-6f2b-4eec-8162-98d817bd1421)) (segment (start 162.631474 142.385726) (end 162.631474 147.347326) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8b7039d9-6108-445e-b7ab-d355366e0b3e)) (segment (start 160.6456 101.316) (end 161.6456 101.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8b8bf6c3-9850-4649-8fd4-5f0a45a7c82f)) - (segment (start 212.893274 39.811526) (end 215.993274 39.811526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8ba6cad4-b401-425f-a363-bbf6316ecea4)) + (segment (start 210.353274 33.233526) (end 213.453274 33.233526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8ba6cad4-b401-425f-a363-bbf6316ecea4)) (segment (start 159.8568 112.0272) (end 160.6456 112.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8bd30899-932b-4d2f-97b9-85b819636634)) (segment (start 133.145674 142.289126) (end 133.108274 142.326526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8c84766b-4995-46e6-8d75-1024f98e5c0f)) (segment (start 86.829474 136.103526) (end 86.880274 136.052726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 8cc450ce-87b5-4102-9d43-94798f682d10)) @@ -25166,6 +25764,7 @@ (segment (start 159.7564 86.316) (end 159.727474 86.344926) (width 0.2) (layer "F.Cu") (net 2) (tstamp 95edb0b1-9ddf-4521-8fd8-b92a78c3c08d)) (segment (start 133.145674 142.363926) (end 133.145674 147.369126) (width 0.2) (layer "F.Cu") (net 2) (tstamp 96ba9e70-6dfe-49f9-bd86-e37852d3d781)) (segment (start 159.589 98.0572) (end 160.3478 98.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 97837b6f-45f9-462e-9622-90bbbe2454a9)) + (segment (start 192.671274 61.173526) (end 191.085 59.587252) (width 0.2) (layer "F.Cu") (net 2) (tstamp 97db822c-fd92-434c-9db0-5e65e22c536c)) (segment (start 112.6956 97.816) (end 114.1456 97.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 98378f0a-55a1-4760-8be1-def1b89b1391)) (segment (start 155.961774 32.335526) (end 155.458274 31.832026) (width 0.2) (layer "F.Cu") (net 2) (tstamp 98af8987-0749-4ad9-9638-8c7b3d7c98ff)) (segment (start 157.6956 98.816) (end 158.8302 98.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 992d0f50-b4b4-42f2-83e3-1a754354f176)) @@ -25176,8 +25775,9 @@ (segment (start 162.572274 142.326526) (end 162.631474 142.385726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9b978f08-f16f-4f8d-9dd5-4cd9b15a97c3)) (segment (start 133.145674 152.650926) (end 133.145674 153.858126) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9be88a8d-0fe0-4bc0-9362-5e9a3f991e4a)) (segment (start 112.6956 109.816) (end 114.1456 109.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9f81adf9-ca3c-4c14-9069-2817a83efa20)) - (segment (start 216.035074 51.953326) (end 216.093274 52.011526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9fab7d87-c2c8-4ad9-831f-58ad7179e917)) + (segment (start 213.566074 44.663526) (end 213.624274 44.721726) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9fab7d87-c2c8-4ad9-831f-58ad7179e917)) (segment (start 160.6456 117.316) (end 159.7318 118.2298) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9fb2cad3-9af5-4965-8b96-4debb6f7d52c)) + (segment (start 211.975274 58.192526) (end 211.975274 58.842526) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9fb2e930-07b4-43ac-b6af-5466a600f501)) (segment (start 192.8896 56.5172) (end 185.7776 56.5172) (width 0.2) (layer "F.Cu") (net 2) (tstamp 9fe116f0-dc16-46af-8c24-98c62d3e7c91)) (segment (start 114.631 98.3112) (end 114.6408 98.3112) (width 0.2) (layer "F.Cu") (net 2) (tstamp a18756b1-4fa0-49e8-85d0-13751a467fd2)) (segment (start 162.572274 147.406526) (end 162.631474 147.465726) (width 0.2) (layer "F.Cu") (net 2) (tstamp a3081de6-77b6-4537-b4d0-0bdc01d4d1af)) @@ -25186,14 +25786,13 @@ (segment (start 191.8736 42.8252) (end 193.0208 42.8252) (width 0.2) (layer "F.Cu") (net 2) (tstamp a4dea8b1-3ba2-4e2d-af3e-f3429ef52409)) (segment (start 112.6956 121.816) (end 114.6212 121.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp a6229f2e-ebdd-4969-a766-b4494dd397f7)) (segment (start 154.981774 32.308526) (end 155.458274 31.832026) (width 0.2) (layer "F.Cu") (net 2) (tstamp a741020f-56f4-40ca-8623-f776263950a1)) - (segment (start 212.793274 51.953326) (end 216.035074 51.953326) (width 0.2) (layer "F.Cu") (net 2) (tstamp a97ed2cb-aa76-43f4-a8c5-a9e0a1ce158d)) + (segment (start 210.324274 44.663526) (end 213.566074 44.663526) (width 0.2) (layer "F.Cu") (net 2) (tstamp a97ed2cb-aa76-43f4-a8c5-a9e0a1ce158d)) (segment (start 158.8302 98.816) (end 160.3302 97.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp a9ba0939-7890-426a-868e-9c4da0dffe9a)) - (segment (start 213.118274 83.572726) (end 213.118274 79.385326) (width 0.2) (layer "F.Cu") (net 2) (tstamp aaf05eaf-1e1b-43e3-9a98-6abff8e39398)) (segment (start 115.6456 111.316) (end 116.6456 111.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp aaf59d85-8a07-4ee5-9e67-35f69c29dfab)) + (segment (start 211.975274 58.842526) (end 210.242274 58.842526) (width 0.2) (layer "F.Cu") (net 2) (tstamp ac2cbb61-96d6-4269-b65a-823431d24851)) (segment (start 160.3478 98.816) (end 161.6456 98.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp acfd5f1e-cd7a-4e1f-a2cf-d24d0b2ee208)) (segment (start 159.902571 102.072971) (end 159.1456 101.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp ad0da243-54d2-4cec-bc82-65633fa7c2c8)) (segment (start 133.145674 137.283926) (end 133.145674 142.289126) (width 0.2) (layer "F.Cu") (net 2) (tstamp ad346c60-2eb7-4aaf-88a9-f17123ed40ac)) - (segment (start 207.784274 83.572726) (end 207.784274 79.385326) (width 0.2) (layer "F.Cu") (net 2) (tstamp ad5c6aec-45ae-4e5c-ab7b-2c3ed319f81b)) (segment (start 114.741272 110.359472) (end 114.741272 113.407472) (width 0.2) (layer "F.Cu") (net 2) (tstamp af4ef2c5-85b9-435f-9d7c-ea19ffacfe26)) (segment (start 114.6408 98.3112) (end 115.6456 99.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp af73ef24-fc8b-4d4c-ab0b-0d4233c5c370)) (segment (start 137.859674 41.413926) (end 138.569274 42.123526) (width 0.2) (layer "F.Cu") (net 2) (tstamp afcca723-9bc1-45b1-9377-92e4e4558c2c)) @@ -25209,25 +25808,24 @@ (segment (start 159.1456 102.816) (end 160.6456 101.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp b649467b-ab2d-4a91-82c9-3af35dd055e1)) (segment (start 157.6956 102.816) (end 159.1456 102.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp b6c44911-135d-4fc2-9d2b-a54ce087427a)) (segment (start 86.829474 153.781926) (end 86.829474 152.537326) (width 0.2) (layer "F.Cu") (net 2) (tstamp b72d24f2-a0e9-4518-977d-3c2426961650)) + (segment (start 184.543274 81.366526) (end 186.0346 79.8752) (width 0.2) (layer "F.Cu") (net 2) (tstamp b8d68415-2ef8-4c7a-87ea-6f0ba5704aa3)) (segment (start 115.798874 136.001926) (end 115.798874 137.360126) (width 0.2) (layer "F.Cu") (net 2) (tstamp b9471b6c-b347-40aa-9062-f1ee77bf3f32)) (segment (start 159.1456 92.316) (end 159.879874 93.050274) (width 0.2) (layer "F.Cu") (net 2) (tstamp b998a489-3538-4b92-8dfb-6c3e3cb3bf0e)) (segment (start 193.4992 44.2104) (end 193.498 44.2092) (width 0.2) (layer "F.Cu") (net 2) (tstamp bc48026e-50f9-4cc0-89e5-94cf66bfd360)) (segment (start 212.661074 74.123026) (end 212.458874 74.325226) (width 0.2) (layer "F.Cu") (net 2) (tstamp bc5d90b5-b7ac-4b13-90a0-95b28eff1734)) (segment (start 192.1784 68.3988) (end 192.6864 67.8908) (width 0.2) (layer "F.Cu") (net 2) (tstamp bdf78f20-b286-4095-b3a4-5cef0680f7b3)) (segment (start 116.6456 103.816) (end 115.6456 103.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp be52f7b3-7152-4663-8238-c9f3bb5c3e54)) - (segment (start 212.458874 67.087126) (end 212.483274 67.062726) (width 0.2) (layer "F.Cu") (net 2) (tstamp becc7807-dd08-4e5c-b27d-690144d91bf8)) (segment (start 112.6956 103.816) (end 114.1456 103.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp bfa5c407-97e9-4e95-ad4d-6ee09ea7bd1a)) (segment (start 115.1956 97.816) (end 113.6956 99.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp bff49641-62e4-4a63-8fdc-b24e700f65e8)) (segment (start 114.1456 109.816) (end 114.5788 110.2492) (width 0.2) (layer "F.Cu") (net 2) (tstamp c2ef871f-173f-4ce6-b8ff-f4855b2530b7)) (segment (start 162.488274 32.254526) (end 158.315274 32.254526) (width 0.2) (layer "F.Cu") (net 2) (tstamp c303e398-6e83-4010-8173-3cc8e0038cd4)) (segment (start 159.6754 107.8362) (end 159.716 107.8362) (width 0.2) (layer "F.Cu") (net 2) (tstamp c534bafd-46a6-43b7-a19d-5c48ee35bdc1)) (segment (start 133.108274 137.246526) (end 133.145674 137.283926) (width 0.2) (layer "F.Cu") (net 2) (tstamp c565a3f3-7bdb-4f28-b04d-83e08f1d4d7c)) - (segment (start 212.458874 69.386726) (end 212.458874 67.087126) (width 0.2) (layer "F.Cu") (net 2) (tstamp c623278c-93a1-4490-9d0e-ec9d4ce79dc2)) + (segment (start 210.242274 58.842526) (end 210.197274 58.887526) (width 0.2) (layer "F.Cu") (net 2) (tstamp c58348fb-c66b-4b0b-b3ca-f575adb4cadb)) (segment (start 159.698548 86.316) (end 159.727474 86.344926) (width 0.2) (layer "F.Cu") (net 2) (tstamp c7e5341b-8ef0-4401-a07e-2b894d13eeb7)) (segment (start 115.798874 147.520126) (end 115.785474 147.533526) (width 0.2) (layer "F.Cu") (net 2) (tstamp c8692927-dc23-45a0-bc7a-957966ea4410)) - (segment (start 185.751 60.7192) (end 185.751 56.5318) (width 0.2) (layer "F.Cu") (net 2) (tstamp c8787156-5bb8-4e85-afd8-0b28d701dfc7)) (segment (start 154.788274 42.784526) (end 154.778274 42.774526) (width 0.2) (layer "F.Cu") (net 2) (tstamp c8a396aa-c4b1-41ee-baf6-41ec85fc138e)) - (segment (start 191.085 60.7192) (end 191.085 56.5318) (width 0.2) (layer "F.Cu") (net 2) (tstamp ca224cad-2829-42bb-8f8e-05b0e82868f4)) + (segment (start 207.784274 84.668526) (end 207.784274 79.385326) (width 0.2) (layer "F.Cu") (net 2) (tstamp c8a99f46-cbf3-4b05-b2e4-3560246696d1)) (segment (start 115.6456 91.816) (end 116.6456 91.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp ca341f1f-0b09-4c22-ba5e-bd217978c271)) (segment (start 112.6956 94.816) (end 114.1456 94.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp cb306a7e-50cc-40e3-bacf-357300b503e0)) (segment (start 116.6456 109.816) (end 115.1956 109.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp cdc3e706-1e2a-44b3-8fee-a4bfa2eae0bd)) @@ -25235,7 +25833,9 @@ (segment (start 114.631 107.8306) (end 115.6456 106.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp cee80fa0-3038-44cc-b156-419af0b9a54e)) (segment (start 160.6456 115.816) (end 161.6456 115.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp cfc942e9-0acb-47c3-a21a-3b1eaf341ec1)) (segment (start 114.885 113.5554) (end 115.6456 114.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp d0914f54-8887-4cce-bb71-5a3ad140d0c0)) + (segment (start 191.1096 81.441852) (end 191.1096 79.8752) (width 0.2) (layer "F.Cu") (net 2) (tstamp d225c27c-61ee-40fa-8abf-22733b33a9c5)) (segment (start 157.6956 108.816) (end 158.6956 108.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp d23b6caa-44a5-4855-8d8f-40090409c477)) + (segment (start 190.0956 42.061802) (end 190.0956 42.8252) (width 0.2) (layer "F.Cu") (net 2) (tstamp d2a9826b-7d3e-4007-b1c3-9d36fc399e65)) (segment (start 139.108274 39.676926) (end 139.108274 39.404526) (width 0.2) (layer "F.Cu") (net 2) (tstamp d3712316-9d4e-429a-9ad2-d8f1ac93e049)) (segment (start 159.1456 114.316) (end 160.6456 115.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp d3894261-bb9e-46cf-83a0-4e4ed33a5964)) (segment (start 162.631474 153.716326) (end 162.619074 153.728726) (width 0.2) (layer "F.Cu") (net 2) (tstamp d478d37c-ef40-4f11-bf31-c5bea25cf8c4)) @@ -25251,13 +25851,14 @@ (segment (start 114.631 86.7542) (end 114.6338 86.7542) (width 0.2) (layer "F.Cu") (net 2) (tstamp da314a72-07c1-4ca9-96ff-fd916e72f1de)) (segment (start 114.885 104.5342) (end 114.885 104.5554) (width 0.2) (layer "F.Cu") (net 2) (tstamp db3972c4-406a-48c3-9135-d78b22695063)) (segment (start 113.6956 85.816) (end 114.631 86.7514) (width 0.2) (layer "F.Cu") (net 2) (tstamp db8ba30c-bfc7-43db-aa1e-5498d5f07754)) - (segment (start 212.458874 66.403326) (end 211.534274 65.478726) (width 0.2) (layer "F.Cu") (net 2) (tstamp dd0839e3-2368-4d88-9e5d-9f50901ce510)) (segment (start 114.631 110.2492) (end 114.741272 110.359472) (width 0.2) (layer "F.Cu") (net 2) (tstamp dd385ea0-1906-4605-b4d6-943be27b17e4)) (segment (start 138.569274 42.123526) (end 140.220274 42.123526) (width 0.2) (layer "F.Cu") (net 2) (tstamp dd4403e8-09d4-494e-8321-f6d5c91e69d4)) + (segment (start 196.907274 57.699526) (end 202.075274 57.699526) (width 0.2) (layer "F.Cu") (net 2) (tstamp de1fcea7-4346-4392-b045-12dfbd887f9e)) (segment (start 193.4992 45.1832) (end 193.4992 44.2104) (width 0.2) (layer "F.Cu") (net 2) (tstamp dee99cf5-3660-43f7-83f5-6a4ba6f94066)) (segment (start 157.6956 118.816) (end 159.0232 118.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp e042c943-e5f9-4c79-856f-69287968dfd7)) (segment (start 115.6456 103.816) (end 114.1456 105.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp e12cb5b4-28b1-405b-888d-102a1c69023a)) (segment (start 157.6956 86.316) (end 159.698548 86.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp e18d7dd2-f00c-467b-bfa2-fccde8a378fd)) + (segment (start 184.289274 61.046526) (end 185.751 59.5848) (width 0.2) (layer "F.Cu") (net 2) (tstamp e1dae47f-0941-4b58-9451-f1375ffa7eb4)) (segment (start 112.6956 100.816) (end 113.6956 100.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp e1f20f7b-9f87-42a8-b97a-c7f597f0eb82)) (segment (start 115.6456 94.816) (end 116.6456 94.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp e28431cd-b417-4538-9256-acb6ea37d6b7)) (segment (start 156.558274 41.787526) (end 156.558274 42.784526) (width 0.2) (layer "F.Cu") (net 2) (tstamp e2ee326b-97d1-400c-9d01-f41ced0e6998)) @@ -25268,7 +25869,6 @@ (segment (start 157.6956 84.816) (end 158.6956 84.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp e68e635d-6dd7-4cf3-9f5a-9ed7f06c1b52)) (segment (start 133.145674 147.369126) (end 133.108274 147.406526) (width 0.2) (layer "F.Cu") (net 2) (tstamp e7b0eebf-5be1-4924-81ec-9de3af5bb272)) (segment (start 114.1456 120.316) (end 115.6456 118.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp e7c5778c-659c-4efd-b3c0-44de1cf405d7)) - (segment (start 189.394674 64.907326) (end 189.394674 65.496274) (width 0.2) (layer "F.Cu") (net 2) (tstamp e89c349f-99a7-471f-a57a-94d226e8b6f5)) (segment (start 158.6956 108.816) (end 159.6754 107.8362) (width 0.2) (layer "F.Cu") (net 2) (tstamp e911b76b-abff-40ec-8471-75b65cdd4cfb)) (segment (start 115.6456 100.816) (end 116.6456 100.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp e9636514-8ff6-45a8-9ad7-98b62fc63bcf)) (segment (start 193.498 44.2092) (end 193.4992 44.208) (width 0.2) (layer "F.Cu") (net 2) (tstamp eace93c9-5827-4bdd-91df-535d53f8cca8)) @@ -25282,7 +25882,6 @@ (segment (start 137.859674 40.925526) (end 137.859674 41.413926) (width 0.2) (layer "F.Cu") (net 2) (tstamp eee01047-46c7-400b-baf3-c75cd98126d1)) (segment (start 155.458274 38.132026) (end 155.458274 40.064526) (width 0.2) (layer "F.Cu") (net 2) (tstamp ef3c9540-03dd-4f27-b5aa-314cbb975fb3)) (segment (start 114.5978 84.816) (end 114.631 84.8492) (width 0.2) (layer "F.Cu") (net 2) (tstamp ef3c9a4d-dd01-416a-8d7f-e3f582bbcaad)) - (segment (start 190.0956 42.152852) (end 190.0956 42.8252) (width 0.2) (layer "F.Cu") (net 2) (tstamp f1c7f500-de92-4168-aa3f-17b48a8f5442)) (segment (start 139.108274 39.404526) (end 139.108274 37.659526) (width 0.2) (layer "F.Cu") (net 2) (tstamp f2655784-3316-4e83-a3a2-9ceeeb7223c9)) (segment (start 161.6456 84.816) (end 160.6456 84.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp f3d8b02f-e06d-4630-99ea-92615d346391)) (segment (start 212.661074 73.086126) (end 212.661074 74.123026) (width 0.2) (layer "F.Cu") (net 2) (tstamp f4499d33-f270-4abf-bec4-f27443cd770c)) @@ -25293,12 +25892,13 @@ (segment (start 114.631 110.3014) (end 115.6456 111.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp f73f762e-80fd-4820-a76d-3083a77b9751)) (segment (start 157.6956 92.316) (end 159.1456 92.316) (width 0.2) (layer "F.Cu") (net 2) (tstamp f7716863-cf78-4ae0-82cd-81babcd7822c)) (segment (start 114.8808 113.5512) (end 114.885 113.5512) (width 0.2) (layer "F.Cu") (net 2) (tstamp f7b22dd1-ec03-45ef-9ff8-eb886c77faf1)) + (segment (start 207.542274 54.696526) (end 207.542274 54.581526) (width 0.2) (layer "F.Cu") (net 2) (tstamp f8d752c8-f184-4c53-b1a4-8d3510ba5e2c)) (segment (start 154.954774 31.328526) (end 155.458274 31.832026) (width 0.2) (layer "F.Cu") (net 2) (tstamp fa284c97-733b-47b9-b513-5f7ed7a5bd04)) + (segment (start 191.085 59.587252) (end 191.085 56.5318) (width 0.2) (layer "F.Cu") (net 2) (tstamp faabceb1-ec42-43c7-8b0e-dfdf6e3e4c02)) (segment (start 140.907674 41.436126) (end 140.907674 40.925526) (width 0.2) (layer "F.Cu") (net 2) (tstamp fac5611c-5af1-44ae-bf32-58817b597896)) (segment (start 158.6956 84.816) (end 159.727474 85.847874) (width 0.2) (layer "F.Cu") (net 2) (tstamp fb587888-9ce7-4108-ad19-2222116ed851)) (segment (start 160.6456 105.816) (end 161.6456 105.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp fb66f39c-1fdf-4df7-baec-3ba096ba4a42)) (segment (start 86.880274 152.486526) (end 86.829474 152.435726) (width 0.2) (layer "F.Cu") (net 2) (tstamp fcdc5f90-b37f-4d0b-96b5-ee5905de864d)) - (segment (start 192.8876 79.8752) (end 185.7756 79.8752) (width 0.2) (layer "F.Cu") (net 2) (tstamp fde15a30-270e-427a-aeb9-27431250215a)) (segment (start 142.407274 40.925526) (end 142.408274 40.924526) (width 0.2) (layer "F.Cu") (net 2) (tstamp fde6d638-3721-4139-85ff-c54a11a7532b)) (segment (start 160.6456 112.816) (end 161.6456 112.816) (width 0.2) (layer "F.Cu") (net 2) (tstamp ff11e453-f2f4-47b0-8f1e-91e355e6e46e)) (segment (start 114.631 86.7514) (end 114.631 86.7542) (width 0.2) (layer "F.Cu") (net 2) (tstamp ff2b5098-077c-4556-a004-53c9f60fe333)) @@ -25307,15 +25907,17 @@ (via (at 159.716 96.0252) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 013e45ef-cb8e-4959-8780-46ba386780e8)) (via (at 114.631 98.3112) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 05de5c9d-efba-4ef7-a44a-73ddce5cd245)) (via (at 114.885 104.5342) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 09a75b75-cff6-4af5-9354-976a87840fd7)) + (via (at 210.197274 58.887526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0b0f9584-8bde-4f01-a6cf-a89f8873730c)) (via (at 159.879874 93.050526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0b5dc409-9b42-4bf0-b626-adaf655b92b7)) - (via (at 185.178274 65.974126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0d95bcad-a4e4-46d3-8eef-fdf07a9cb6a9)) + (via (at 184.797274 65.912126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0d95bcad-a4e4-46d3-8eef-fdf07a9cb6a9)) (via (at 133.108274 137.246526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0f37f93a-d23f-474d-bd8b-8743b850e5be)) (via (at 114.885 116.5992) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 10c4bbb6-8d2f-4977-90f1-72f1fa96d7fa)) (via (at 70.624274 143.063126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 159ec085-050c-417e-8e96-19a7f5580b1f)) - (via (at 191.1096 81.417326) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1b7c3941-9a4f-4b97-a5c3-19207b2f9686)) + (via (at 192.544274 82.876526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1b7c3941-9a4f-4b97-a5c3-19207b2f9686)) (via (at 115.785474 147.533526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1c2f720d-3e80-486e-82e6-928e2f7c25c1)) (via (at 212.661074 73.086126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1c7e2cca-542f-4ebc-b1bf-d637df6d7107)) (via (at 114.631 107.8362) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1fe13c01-f182-43c6-80ac-7e27c1d353f1)) + (via (at 196.735274 59.903526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 288cef3b-084b-4b45-aac7-b687c9fcf0db)) (via (at 159.589 118.2502) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2a738c62-13ed-4488-8e5c-3c077c1b5b98)) (via (at 162.572274 152.486526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 308f25d3-c247-45e0-a79e-1a480b7c0afc)) (via (at 114.885 92.5962) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 33891f6c-eb2a-4fb0-8dd7-63814d3e9b15)) @@ -25328,13 +25930,15 @@ (via (at 158.254274 31.455526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 44347145-1830-4cec-acfd-fbfe2c2a9b35)) (via (at 114.631 110.2492) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 44c698dd-0c26-460a-afa0-bd220359b790)) (via (at 114.631 101.7402) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4768c2b4-981f-429c-adf9-5e1e877ec9d4)) - (via (at 215.993274 39.811526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4af999cb-e9f4-4f7e-a564-1b0bdad0b101)) + (via (at 213.453274 33.233526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4af999cb-e9f4-4f7e-a564-1b0bdad0b101)) (via (at 145.554274 39.583526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4d07b706-f20f-480c-aa83-c244cbab9c53)) - (via (at 185.7756 81.518926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 560d4e96-530b-47af-9420-3307583aa8a1)) + (via (at 184.543274 81.366526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 560d4e96-530b-47af-9420-3307583aa8a1)) (via (at 152.539274 32.308526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5e95c597-1079-485d-9de5-dab94fac7040)) (via (at 159.843 112.0272) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 60ab93dd-dc05-447d-a485-124a3ea13845)) (via (at 159.6904 114.8212) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 616b3d7a-ddc7-4a6e-8f1f-ed80121dc748)) + (via (at 190.128321 124.508913) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 64a7f51f-a0af-4224-b70b-ba846abaf33a)) (via (at 114.631 84.8492) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6777a8a4-65e4-4fb1-849d-60b9ddaaf9c8)) + (via (at 179.985277 119.433207) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6a866831-00ac-488d-a115-196689fb1275)) (via (at 139.108274 39.404526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6d1c054a-e19f-46d9-9e34-4ace0b04f478)) (via (at 114.885 113.5512) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 70111117-0463-433e-af8b-256c323f6bda)) (via (at 86.880274 147.406526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 70a71b5c-f9d6-4809-9249-d0807ad4b102)) @@ -25347,250 +25951,279 @@ (via (at 114.631 86.7542) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7eada64e-bb8c-4138-925f-68b761c0aedd)) (via (at 159.667857 104.823886) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 809197ed-eb1d-4d5e-acc8-97ff9f0e8096)) (via (at 159.473474 90.053326) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 83d8dc32-ff13-41af-86ff-5fe324131d8f)) + (via (at 179.993843 124.558445) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 86b12d8b-df63-4d78-b050-f819c8318b8f)) (via (at 192.736 66.8152) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 893662dc-3243-4652-b531-114b56c6646a)) - (via (at 185.7264 60.7438) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8abe9a4e-f375-4989-aadd-01b93b32d964)) + (via (at 184.289274 61.046526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8abe9a4e-f375-4989-aadd-01b93b32d964)) (via (at 114.885 119.5202) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8b0004d3-a2c6-4f82-91bc-05c34d56e27f)) - (via (at 191.0604 60.7438) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 900a5178-63de-4f2c-aa6c-c952e026db60)) - (via (at 212.483274 67.062726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 911ee3a6-6302-4b40-a9e4-f9cb3fdeefd8)) + (via (at 192.671274 61.173526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 900a5178-63de-4f2c-aa6c-c952e026db60)) + (via (at 190.144524 119.45338) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 90e7565e-82c3-4bdf-aa39-8f6dfa49c04b)) + (via (at 212.458874 67.904526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 911ee3a6-6302-4b40-a9e4-f9cb3fdeefd8)) (via (at 159.716 107.8362) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 987b6402-cb46-4b4b-9554-0cadb752b920)) (via (at 114.631 121.8062) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9a2028c5-851a-4c3a-936e-e9dc8f369880)) (via (at 162.572274 137.246526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9be14b9f-5c7c-4906-ad70-51f8043a1407)) - (via (at 189.369274 41.426526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9c5dab0e-a466-456f-92d5-cbfc14ca31f9)) - (via (at 216.093274 52.011526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9d2918fa-d9ac-4c84-a9c3-cfdaeef3a50c)) + (via (at 189.128299 41.094501) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9c5dab0e-a466-456f-92d5-cbfc14ca31f9)) + (via (at 213.624274 44.721726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9d2918fa-d9ac-4c84-a9c3-cfdaeef3a50c)) + (via (at 206.514274 53.553526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a596cea9-9bad-4a7d-8894-d825e5ec7aa3)) (via (at 159.902571 102.072971) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a9dbe7fd-53f1-4433-b912-ac669d828836)) (via (at 193.394641 73.995379) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp b04559f9-7fd2-42f4-95d1-a7db18a9e791)) + (via (at 215.912274 57.363526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp b6f13236-a15b-4fc6-bcaf-a78d7a9bafcf)) (via (at 185.116 41.9232) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp c6ca2f9d-2a95-40d9-a8fa-7fc478af9f2e)) (via (at 115.785474 152.486526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp cd3ff8bd-eb71-4969-94d7-4ee07d3846b6)) (via (at 158.234274 32.335526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp ce879586-43cf-4632-9db0-0a64742f6fd9)) - (via (at 207.759674 83.597326) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp d2858cde-f844-401d-a888-7155e5e4800c)) - (via (at 213.093674 83.597326) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp d42a7ee3-cdb1-4e4e-ad65-4a42da5af921)) - (via (at 189.394674 64.907326) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e07a9cb4-67bd-4e22-a4ac-29add621d9b0)) + (via (at 207.784274 84.668526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp d2858cde-f844-401d-a888-7155e5e4800c)) + (via (at 213.118274 84.541526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp d42a7ee3-cdb1-4e4e-ad65-4a42da5af921)) + (via (at 188.861274 64.348526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e07a9cb4-67bd-4e22-a4ac-29add621d9b0)) (via (at 162.572274 147.406526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e16fca5f-a708-4771-b9f9-353aa39a49d1)) (via (at 70.522674 154.340726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e4fd30df-f952-43d8-b561-5cda6bca43df)) (via (at 115.785474 142.453526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e8760d13-6eb3-4dbf-85ee-cbd2972e0ecc)) (via (at 152.549274 31.328526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp eadfd247-3ae4-4de4-8651-6c6b209fdda8)) (via (at 156.603274 41.742526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp ee49952c-00c9-492c-8d1f-33ad11aefc42)) (via (at 159.589 98.0572) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp ef1f0a70-f245-4d11-871c-d57352d98678)) + (via (at 196.735274 57.871526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp f5293c0c-f0ce-4884-8140-ba49a0a8d8d0)) (via (at 133.108274 147.406526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp fa11b68d-f366-4ac1-8942-50723d210e55)) (segment (start 156.728274 39.404526) (end 158.058274 40.734526) (width 0.2) (layer "F.Cu") (net 3) (tstamp 1137e04a-8681-4aca-b31f-90e9f68efa93)) (segment (start 156.728274 38.132026) (end 156.728274 39.404526) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6c7ff572-557a-43c7-b320-43b32a20faeb)) (segment (start 68.350274 70.961042) (end 68.38619 70.925126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 01aafaed-50a9-4772-bc5c-ee219e19693a)) (segment (start 153.278274 41.719526) (end 153.278274 40.744526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 021e27e8-4386-42f1-90b8-9cd1321059a9)) + (segment (start 206.133274 137.947181) (end 206.163674 137.977581) (width 0.2) (layer "F.Cu") (net 4) (tstamp 02948607-9e8f-4ca3-b65b-c95fc90c5222)) (segment (start 214.794674 84.566926) (end 214.793874 84.566126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 02ff2ed0-b2ce-42cc-839f-4322b4f20e25)) + (segment (start 206.163674 130.231126) (end 206.133274 130.261526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 04f4250b-298a-4457-b416-4ad407c4ab9b)) (segment (start 159.716 120.1552) (end 159.716 121.4252) (width 0.2) (layer "F.Cu") (net 4) (tstamp 05ee301a-247a-4520-a7af-3983e49ec008)) (segment (start 85.126274 126.14577) (end 85.100518 126.171526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 07a96c33-53a5-40b2-85e7-df0571666458)) (segment (start 85.112518 95.621034) (end 85.115674 95.62419) (width 0.2) (layer "F.Cu") (net 4) (tstamp 09efaa43-cc9e-46b9-a915-6c85b77bfb96)) - (segment (start 203.854874 142.704526) (end 203.803074 142.756326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0b53effb-2689-40a9-b67e-e80c1baefd9a)) - (segment (start 185.261074 135.286726) (end 185.312874 135.338526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0c10cae6-f6e5-448c-bd38-7194af94763d)) + (segment (start 206.163674 147.822781) (end 206.133274 147.853181) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0b476f4b-3485-4f92-8a21-d6a140aae25a)) + (segment (start 184.440812 96.165254) (end 184.440274 96.165792) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0ba9edd5-2d1c-48a4-825d-c974c06a49a5)) + (segment (start 206.133274 130.261526) (end 206.163674 130.291926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0c5d3041-ce75-428b-8e19-9add7c556630)) (segment (start 68.388444 123.648116) (end 68.400034 123.636526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0e1fbd7a-538e-4714-9a9c-5ff029d540bb)) + (segment (start 206.163674 137.916781) (end 206.133274 137.947181) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0f0a9bef-e50b-4427-ae9d-cf1f89e4ee64)) (segment (start 85.737274 128.483526) (end 85.130802 127.877054) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0f792db5-91e6-44ab-bf31-20881c38cb5b)) (segment (start 68.362274 51.141126) (end 68.362274 33.546575) (width 0.2) (layer "F.Cu") (net 4) (tstamp 0ff65035-519f-402e-897c-7b383a81c8ec)) (segment (start 85.047128 114.492328) (end 85.047128 119.638072) (width 0.2) (layer "F.Cu") (net 4) (tstamp 118ee9fd-d4ef-424e-a472-9a825550d657)) - (segment (start 185.312874 135.338526) (end 185.261074 135.390326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 118fba74-5bab-4deb-a188-7705d78d7693)) + (segment (start 206.163674 125.151126) (end 206.133274 125.181526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 1559e34c-ec7f-4770-8b50-b09fbf793ad1)) (segment (start 68.388444 133.814526) (end 68.388444 133.72961) (width 0.2) (layer "F.Cu") (net 4) (tstamp 177f003e-c28d-4adf-ae45-968d7442643c)) (segment (start 85.047128 119.673928) (end 85.047128 123.456097) (width 0.2) (layer "F.Cu") (net 4) (tstamp 178dff51-ac39-4bd3-b041-b667d3202b8a)) (segment (start 68.388444 107.651936) (end 68.388444 102.341116) (width 0.2) (layer "F.Cu") (net 4) (tstamp 17ca96bf-12d9-4afe-b951-6e342115eed4)) (segment (start 157.6956 121.316) (end 161.6456 121.316) (width 0.2) (layer "F.Cu") (net 4) (tstamp 1b1ce4f1-ee2b-4dd6-a002-e40e39cd5ddb)) + (segment (start 206.163674 105.399926) (end 206.163674 110.165126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 1def5b03-caea-4deb-8833-ea4561d12537)) (segment (start 68.3746 80.946536) (end 68.3746 75.889716) (width 0.2) (layer "F.Cu") (net 4) (tstamp 1f22b861-8969-42a2-9f2f-607ab5862b91)) (segment (start 85.0292 114.4744) (end 85.047128 114.492328) (width 0.2) (layer "F.Cu") (net 4) (tstamp 20b4cd23-4122-4952-b1b8-ef4c4d99321b)) - (segment (start 185.312874 140.291526) (end 185.261074 140.343326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 214e6e27-347d-488f-a8e4-57cbadaec33f)) (segment (start 68.400034 92.296526) (end 68.388444 92.284936) (width 0.2) (layer "F.Cu") (net 4) (tstamp 22da968e-554d-455d-89ad-4627d8e58cfc)) - (segment (start 203.854874 147.784526) (end 203.803074 147.836326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2312f8b2-2324-4fab-86d0-2a1c1d262237)) + (segment (start 206.163674 95.179126) (end 206.133274 95.209526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 25295ece-61a5-4f25-95ca-1b71c6bc1ec3)) (segment (start 195.911 79.8752) (end 194.6656 79.8752) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2660895a-4e52-4cc3-be9b-cebea013f9bb)) (segment (start 68.38619 80.958126) (end 68.3746 80.946536) (width 0.2) (layer "F.Cu") (net 4) (tstamp 27694e91-bfde-48f9-a437-59d22d812301)) - (segment (start 185.261074 135.390326) (end 185.261074 140.239726) (width 0.2) (layer "F.Cu") (net 4) (tstamp 27883aed-0585-49a9-9298-a966529365ad)) + (segment (start 184.440274 96.164716) (end 184.440812 96.165254) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2778b214-272f-49c7-a77d-af05b9aa40e9)) (segment (start 85.047128 123.456097) (end 85.059128 123.468097) (width 0.2) (layer "F.Cu") (net 4) (tstamp 280a019a-3767-4d2c-a51b-b0bba577283c)) (segment (start 159.716 119.816) (end 157.6956 119.816) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2a1c5c6a-4b2e-4e70-917f-83108206a9f5)) + (segment (start 206.133274 110.195526) (end 206.163674 110.225926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2a27999c-16d8-459b-8990-1647cc3109f6)) (segment (start 68.388444 118.544936) (end 68.388444 113.615116) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2a6f7e3d-349c-4997-9624-a57ddb645d51)) + (segment (start 206.163674 152.963581) (end 206.163674 156.862863) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2d1bec30-d2b2-4d54-9f02-fa7cba3f09d6)) (segment (start 154.188274 38.132026) (end 154.188274 39.834526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2d223179-0cac-466c-837a-8fc1146d0f36)) + (segment (start 206.163674 100.259126) (end 206.133274 100.289526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2d84228f-0761-4adc-abe8-043e26e92306)) + (segment (start 184.440274 101.17153) (end 184.440812 101.172068) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2dd1f903-aeb5-4bc4-ae05-7b4d9d62710c)) (segment (start 85.115674 95.62419) (end 85.112518 95.627346) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2e1c592f-caf7-43d0-8ff3-03f13d8cacbf)) - (segment (start 203.803074 152.812726) (end 203.854874 152.864526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 304446c1-a14c-4efe-967c-13db858c6ceb)) - (segment (start 185.312874 145.371526) (end 185.261074 145.423326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 307b5d13-c029-49a9-96da-679c7d83ae8b)) (segment (start 68.350274 70.88921) (end 68.350274 65.754042) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3098759f-97f3-4fc6-9bcb-4e07803cffbe)) - (segment (start 185.261074 145.319726) (end 185.312874 145.371526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 30cad095-8c07-4850-8407-14e671a7b2a0)) (segment (start 85.12943 71.672526) (end 85.126274 71.675682) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3113b78b-a3cb-4228-8569-584f7a170e28)) (segment (start 68.400034 113.603526) (end 68.388444 113.591936) (width 0.2) (layer "F.Cu") (net 4) (tstamp 32587a82-52a6-4352-bae1-d9fc16d6655e)) (segment (start 157.6956 120.316) (end 161.6456 120.316) (width 0.2) (layer "F.Cu") (net 4) (tstamp 32f4649d-aec1-4f14-bb57-d35da44a6450)) - (segment (start 185.261074 130.079726) (end 185.312874 130.131526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 34024032-4e18-403e-b44b-e096c7487c93)) (segment (start 68.362274 34.767073) (end 68.36543 34.770229) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3546258c-7c2c-45c0-89f3-4b542b810665)) (segment (start 162.488274 37.024526) (end 162.488274 38.270526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 35c6f7bf-b897-4a8f-b504-e3314a4192d2)) - (segment (start 185.152874 143.951926) (end 185.267874 144.066926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 36837ecb-a634-490a-b256-18ce47792a7f)) + (segment (start 206.133274 135.341526) (end 206.133274 136.571326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3982a007-40ff-4ed3-8c76-d97467cb486d)) + (segment (start 206.163674 156.862863) (end 206.163674 159.198526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3993feef-d99e-4963-aea6-fafd9a62b486)) (segment (start 85.115674 80.23179) (end 85.112518 80.234946) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3a0c253d-9f9b-456b-b795-af3f1a9fd443)) (segment (start 159.716 119.816) (end 159.716 120.1552) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3a5529be-6583-41e1-8667-47f3815d7646)) (segment (start 68.38619 65.718126) (end 68.350274 65.68221) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3a75ef52-faa8-4f0e-b40c-cc2c71d06b11)) + (segment (start 212.975274 57.542526) (end 213.245274 57.272526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3bdfe6ba-479a-426d-bc6c-33957a42935b)) (segment (start 68.400034 107.663526) (end 68.388444 107.651936) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3c791759-c9e2-4767-8498-7f7a8dad3f50)) + (segment (start 206.133274 135.341526) (end 206.163674 135.371926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3dfe67c4-4107-47ab-ba34-16efd532afae)) (segment (start 85.126274 56.58177) (end 85.12943 56.584926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 3e50834d-50f8-4e3e-ae26-fdad86ee4247)) - (segment (start 185.281274 104.100863) (end 185.223674 104.158463) (width 0.2) (layer "F.Cu") (net 4) (tstamp 412d7fad-8bb2-41fd-bae2-0beffc013239)) + (segment (start 206.163674 152.902781) (end 206.133274 152.933181) (width 0.2) (layer "F.Cu") (net 4) (tstamp 42a95893-7619-438f-b49a-ee1b2545bcaa)) (segment (start 68.350274 65.754042) (end 68.38619 65.718126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 43410c81-0329-4554-992a-a992bbbba97f)) (segment (start 162.488274 38.270526) (end 162.445274 38.313526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 451c696a-70cd-4c2a-b989-542c221ab904)) (segment (start 68.453634 44.628504) (end 68.462308 44.637178) (width 0.2) (layer "F.Cu") (net 4) (tstamp 45deb449-1369-4b12-82cc-fed997fee617)) + (segment (start 206.163674 115.305926) (end 206.163674 120.071126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 47a6b926-a8b8-4501-95ad-c6741e3efb6d)) + (segment (start 184.440274 96.165792) (end 184.440274 101.17153) (width 0.2) (layer "F.Cu") (net 4) (tstamp 49e96679-5c2e-496f-8e49-b003f82c9033)) (segment (start 68.38619 60.638126) (end 68.350274 60.60221) (width 0.2) (layer "F.Cu") (net 4) (tstamp 4cf8c904-1b1a-4420-886a-e90ab09a388e)) (segment (start 85.047128 119.638072) (end 85.0292 119.656) (width 0.2) (layer "F.Cu") (net 4) (tstamp 4e06f648-4f7c-45f6-b623-133e016fda60)) (segment (start 85.126274 51.45097) (end 85.12943 51.454126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 4e78b2ff-7915-489b-9c8d-b48aacd3ab1c)) - (segment (start 185.152874 103.972463) (end 185.281274 104.100863) (width 0.2) (layer "F.Cu") (net 4) (tstamp 4f423fa1-87ed-43c1-97f5-e537649609b7)) + (segment (start 206.133274 115.275526) (end 206.163674 115.305926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 4fd071a8-0d57-4ea2-906a-19e2af47260c)) (segment (start 161.6456 119.816) (end 159.716 119.816) (width 0.2) (layer "F.Cu") (net 4) (tstamp 53384398-b610-4897-9357-1fe857af5d4e)) - (segment (start 185.261074 130.183326) (end 185.261074 135.286726) (width 0.2) (layer "F.Cu") (net 4) (tstamp 53b695b2-7beb-4d08-874d-8680567e6a92)) + (segment (start 206.163674 137.977581) (end 206.163674 142.742781) (width 0.2) (layer "F.Cu") (net 4) (tstamp 55b4e0d1-2904-4b04-b1a5-eb14282f46fd)) (segment (start 68.3746 75.889716) (end 68.38619 75.878126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 55f20321-b998-4012-adbe-345f0950657c)) (segment (start 85.126274 71.66937) (end 85.12943 71.672526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 57c3d590-fbe3-4d4f-8433-bfd1541e7280)) (segment (start 68.400034 97.249526) (end 68.388444 97.237936) (width 0.2) (layer "F.Cu") (net 4) (tstamp 591a72cb-aaf8-4184-bb6d-0783b82bc6c9)) - (segment (start 203.803074 142.756326) (end 203.803074 147.732726) (width 0.2) (layer "F.Cu") (net 4) (tstamp 5d18e2fe-0cfa-4d1e-9084-f6aad9458d66)) (segment (start 68.388444 118.568116) (end 68.400034 118.556526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 5e8b1354-838b-452b-931e-d72b5e96f2e8)) (segment (start 68.3746 75.866536) (end 68.3746 72.462019) (width 0.2) (layer "F.Cu") (net 4) (tstamp 5ea851a9-a066-40f6-bc2d-026448053a04)) (segment (start 68.388444 97.237936) (end 68.388444 92.308116) (width 0.2) (layer "F.Cu") (net 4) (tstamp 5ee518a1-ccb8-4144-b00b-62dbe220d8cf)) - (segment (start 185.275474 109.176526) (end 185.223674 109.228326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 5ef8c848-bdec-40b6-93ad-71a0e09529aa)) (segment (start 68.388444 102.317936) (end 68.388444 97.261116) (width 0.2) (layer "F.Cu") (net 4) (tstamp 5f52dd86-c34e-4c9a-b18d-b46590b25cce)) (segment (start 159.716 121.816) (end 161.6456 121.816) (width 0.2) (layer "F.Cu") (net 4) (tstamp 60c8b557-49e7-4e36-8185-ea77b518e6b7)) + (segment (start 206.163674 120.071126) (end 206.133274 120.101526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 61139f3c-0939-4a45-9d24-3919b2269f15)) (segment (start 153.278274 41.765526) (end 153.301274 41.742526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 640ce3bc-bbed-4bc0-980f-aa3aeeeeb442)) - (segment (start 185.312874 125.051526) (end 185.261074 125.103326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 65f3aa47-c543-4017-bb4c-05b7fabea8ff)) + (segment (start 184.440274 106.240552) (end 184.433925 106.246901) (width 0.2) (layer "F.Cu") (net 4) (tstamp 68ec1b54-59bf-406e-896f-a3d741966e2d)) (segment (start 68.400034 123.636526) (end 68.388444 123.624936) (width 0.2) (layer "F.Cu") (net 4) (tstamp 692d4f10-2b88-4cd2-a08d-d081031abee1)) (segment (start 162.445274 38.313526) (end 162.488274 38.356526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 6d5fa612-ef73-488d-a3a3-070f5c59584d)) (segment (start 85.112518 80.228634) (end 85.115674 80.23179) (width 0.2) (layer "F.Cu") (net 4) (tstamp 6dbd0347-54ff-40e6-98bc-31b35e023968)) (segment (start 85.112518 80.234946) (end 85.112518 88.102634) (width 0.2) (layer "F.Cu") (net 4) (tstamp 6f25729c-61bc-4096-82ec-d5a4383b683b)) + (segment (start 206.133274 120.101526) (end 206.163674 120.131926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 6fdd0e2c-8f80-4d9c-bc5f-0db75a80f003)) (segment (start 68.362274 33.546575) (end 68.362274 34.767073) (width 0.2) (layer "F.Cu") (net 4) (tstamp 6fe84927-26f4-4ce5-b6a5-a38e6c72a699)) + (segment (start 184.433925 106.246901) (end 184.440274 106.25325) (width 0.2) (layer "F.Cu") (net 4) (tstamp 702a0838-c89c-4e45-af43-7246ce5d293a)) (segment (start 68.38619 75.878126) (end 68.3746 75.866536) (width 0.2) (layer "F.Cu") (net 4) (tstamp 70bef68a-dcf4-4054-8e66-0e0c38fd5445)) (segment (start 85.126274 64.20177) (end 85.12943 64.204926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 7119563b-27f5-45d1-81e7-3866daf048c5)) (segment (start 68.350274 60.674042) (end 68.38619 60.638126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 71722742-68c7-4a38-9267-95c7eeb8463f)) + (segment (start 206.163674 100.319926) (end 206.163674 105.339126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 72bba412-f79c-4b10-b65d-a4b2b6b90046)) (segment (start 68.364118 55.013768) (end 68.400034 54.977852) (width 0.2) (layer "F.Cu") (net 4) (tstamp 72e18253-b742-4d20-bf29-efc686f6f406)) (segment (start 68.3746 86.523536) (end 68.3746 81.466716) (width 0.2) (layer "F.Cu") (net 4) (tstamp 7669c746-7d2a-4f20-ac97-d9f68772214a)) (segment (start 68.364118 54.941936) (end 68.364118 53.735252) (width 0.2) (layer "F.Cu") (net 4) (tstamp 79b8a625-688f-4a96-b747-fdfd02a10c3d)) - (segment (start 185.223674 105.399926) (end 185.223674 109.124726) (width 0.2) (layer "F.Cu") (net 4) (tstamp 7eafd287-c638-4b35-8cce-ad66a786e709)) + (segment (start 206.133274 147.853181) (end 206.163674 147.883581) (width 0.2) (layer "F.Cu") (net 4) (tstamp 7cdd4e3c-3ac3-493a-a690-f108d753f334)) (segment (start 85.126274 51.457282) (end 85.126274 56.58177) (width 0.2) (layer "F.Cu") (net 4) (tstamp 7f010a96-4257-4294-9bc5-e8ac2fbd05a5)) + (segment (start 206.163674 90.159926) (end 206.163674 95.179126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 80ba39da-2707-47fd-86b3-c268dfb46be2)) + (segment (start 206.133274 142.773181) (end 206.163674 142.803581) (width 0.2) (layer "F.Cu") (net 4) (tstamp 823c43cf-f42b-498c-a83d-f7ead91e7286)) + (segment (start 184.440274 101.172606) (end 184.440274 106.240552) (width 0.2) (layer "F.Cu") (net 4) (tstamp 854affc5-d459-4492-b26a-cc42cace2626)) (segment (start 68.388444 133.657936) (end 68.388444 128.728116) (width 0.2) (layer "F.Cu") (net 4) (tstamp 85e32e32-2445-4e6f-92eb-0a4d4a669466)) (segment (start 85.126274 56.588082) (end 85.126274 64.20177) (width 0.2) (layer "F.Cu") (net 4) (tstamp 86f38747-0bbd-4914-9ce9-bca0a11f7604)) - (segment (start 203.854874 137.751526) (end 203.803074 137.803326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 870b3f1d-77ea-45d3-b5fe-4cf5b7eef7fb)) (segment (start 68.453634 135.168645) (end 68.453634 33.637935) (width 0.2) (layer "F.Cu") (net 4) (tstamp 876cd7d5-4be8-42e1-8faa-239fee6293e6)) + (segment (start 206.133274 125.181526) (end 206.163674 125.211926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 878fc5b0-ea2b-4ffb-b745-458eb569ecd4)) (segment (start 68.388444 113.615116) (end 68.400034 113.603526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 87d43700-c386-40d1-be1e-143ebe173c13)) (segment (start 85.0292 119.656) (end 85.047128 119.673928) (width 0.2) (layer "F.Cu") (net 4) (tstamp 87e5b31c-de30-4ca7-80c7-f83ce4cf8923)) (segment (start 85.126274 50.222223) (end 85.126274 51.45097) (width 0.2) (layer "F.Cu") (net 4) (tstamp 8b19ce8f-bb56-40d8-a28d-75c3d20985ec)) + (segment (start 184.440274 89.935526) (end 184.440274 96.164716) (width 0.2) (layer "F.Cu") (net 4) (tstamp 8eed1007-a5bb-4e1f-b831-251067e95afc)) (segment (start 68.400034 128.716526) (end 68.388444 128.704936) (width 0.2) (layer "F.Cu") (net 4) (tstamp 8ff50c35-9484-4a5a-b4f3-85dfda470d74)) - (segment (start 203.823274 127.776726) (end 203.823274 87.559926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9069c94b-581e-436f-a5a1-e886c473510b)) + (segment (start 206.163674 110.225926) (end 206.163674 115.245126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 901c01a1-5487-489a-a023-a212a052a668)) + (segment (start 206.133274 136.632126) (end 206.163674 136.601726) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9071e005-74d6-4215-bc97-184b3e59d547)) + (segment (start 206.133274 137.947181) (end 206.133274 136.632126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 90bb3b77-89d7-47c7-a0a9-6cdc32b7634d)) + (segment (start 206.163674 120.131926) (end 206.163674 125.151126) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9286b23c-c37c-4a86-b438-34562c143d32)) + (segment (start 213.245274 55.077526) (end 212.864274 54.696526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 93382386-c350-47ec-81d1-c8e2b7548267)) (segment (start 68.462308 44.637178) (end 68.453634 44.645852) (width 0.2) (layer "F.Cu") (net 4) (tstamp 93c25884-0ea1-4550-ad93-79d72d9dc2ad)) (segment (start 68.388444 97.261116) (end 68.400034 97.249526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 953adbc5-7183-4546-aa77-a5ede027944a)) - (segment (start 203.803074 147.732726) (end 203.854874 147.784526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 96376818-7499-4925-95d5-03543c734d60)) + (segment (start 213.245274 57.272526) (end 213.245274 55.077526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 969cef2b-34e6-4a37-9d29-13252ed65b31)) (segment (start 85.12943 56.584926) (end 85.126274 56.588082) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9722dc1c-6157-4e21-9b1f-5731465e6602)) (segment (start 85.047128 110.638097) (end 85.047128 114.456472) (width 0.2) (layer "F.Cu") (net 4) (tstamp 98348b57-9e81-4312-bb03-f3e310b3fa2f)) (segment (start 68.388444 133.681116) (end 68.400034 133.669526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9ac882cb-e321-4bb7-92ff-342c0ad65992)) (segment (start 68.36543 34.770229) (end 68.339592 34.796067) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9b049a8f-211a-4d9d-9a65-0f3b5069610c)) + (segment (start 206.133274 90.129526) (end 206.163674 90.159926) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9b88d2ef-2577-4c53-894c-effd98c6e9b3)) (segment (start 68.400034 54.977852) (end 68.364118 54.941936) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9bdd6859-915a-4fe6-aa27-52e6b8b2d43b)) - (segment (start 203.854874 152.864526) (end 203.803074 152.916326) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9cb0e477-9c03-43e0-ba1c-88f124fbed1a)) (segment (start 85.130802 127.877054) (end 85.130802 126.171526) (width 0.2) (layer "F.Cu") (net 4) (tstamp 9dcd5d53-99d1-4b59-8986-eb55a4e923f1)) + (segment (start 184.440812 101.172068) (end 184.440274 101.172606) (width 0.2) (layer "F.Cu") (net 4) (tstamp a42798da-3b39-4b45-82f8-aadd4042a8eb)) (segment (start 214.793874 84.566126) (end 214.793874 79.381726) (width 0.2) (layer "F.Cu") (net 4) (tstamp a5d5d28d-2132-4af8-955b-348b25f0380f)) + (segment (start 211.975274 57.542526) (end 212.975274 57.542526) (width 0.2) (layer "F.Cu") (net 4) (tstamp a65b883f-2c6f-49f8-b2bf-71d47c109f4f)) + (segment (start 206.133274 136.571326) (end 206.163674 136.601726) (width 0.2) (layer "F.Cu") (net 4) (tstamp a778dfe8-d6ca-4e10-aa92-8ed6db27c543)) (segment (start 68.388444 86.847116) (end 68.400034 86.835526) (width 0.2) (layer "F.Cu") (net 4) (tstamp a7afe6c4-ff2b-4b60-9a59-48058ec10dcb)) + (segment (start 206.133274 95.209526) (end 206.163674 95.239926) (width 0.2) (layer "F.Cu") (net 4) (tstamp a8941375-380a-45d5-bbdb-d3b69b7a2ce7)) + (segment (start 206.163674 159.198526) (end 206.187674 159.222526) (width 0.2) (layer "F.Cu") (net 4) (tstamp aaf582b1-c137-4061-ba7f-293864bb5fcc)) + (segment (start 206.163674 88.855126) (end 206.163674 90.099126) (width 0.2) (layer "F.Cu") (net 4) (tstamp ab0a4fc5-4e8b-40eb-92bb-71abb61ea81f)) (segment (start 85.126274 64.208082) (end 85.126274 71.66937) (width 0.2) (layer "F.Cu") (net 4) (tstamp ab71328d-4087-49e6-bb05-f66cf20d2ba6)) (segment (start 68.388444 128.728116) (end 68.400034 128.716526) (width 0.2) (layer "F.Cu") (net 4) (tstamp ab73f426-118f-4059-9147-6022a351e792)) - (segment (start 203.803074 137.699726) (end 203.854874 137.751526) (width 0.2) (layer "F.Cu") (net 4) (tstamp ad5a5912-389d-4482-b832-9de60b178c9a)) - (segment (start 185.223674 109.124726) (end 185.275474 109.176526) (width 0.2) (layer "F.Cu") (net 4) (tstamp ad7812e5-7ffb-4005-8b49-af5a9d03cc9e)) - (segment (start 185.312874 130.131526) (end 185.261074 130.183326) (width 0.2) (layer "F.Cu") (net 4) (tstamp adbea2de-2cce-436f-831c-347b09f64520)) (segment (start 68.388444 128.704936) (end 68.388444 123.648116) (width 0.2) (layer "F.Cu") (net 4) (tstamp afa271b0-8362-4edc-8013-697f9703cc3e)) (segment (start 157.6956 121.816) (end 159.716 121.816) (width 0.2) (layer "F.Cu") (net 4) (tstamp b0754713-2762-435f-8fa1-c4b273642b56)) (segment (start 85.08 104.416) (end 85.076844 104.419156) (width 0.2) (layer "F.Cu") (net 4) (tstamp b082548c-ad1a-48b7-9e2f-788b8107e3e2)) - (segment (start 203.803074 147.836326) (end 203.803074 152.812726) (width 0.2) (layer "F.Cu") (net 4) (tstamp b45e82e5-cee6-45a6-9da7-861438df168a)) + (segment (start 206.163674 147.883581) (end 206.163674 152.902781) (width 0.2) (layer "F.Cu") (net 4) (tstamp b4114053-5bf7-4be3-bc98-2b63192deb1e)) + (segment (start 206.133274 100.289526) (end 206.163674 100.319926) (width 0.2) (layer "F.Cu") (net 4) (tstamp b41bac24-b489-40fd-826b-0ff1d3391bbb)) + (segment (start 206.163674 90.099126) (end 206.133274 90.129526) (width 0.2) (layer "F.Cu") (net 4) (tstamp b430dc0e-e280-4425-b1ed-a34df370d78f)) (segment (start 68.462308 49.844178) (end 68.453634 49.852852) (width 0.2) (layer "F.Cu") (net 4) (tstamp b4997158-b7a6-4e42-9f0c-a6a04b5f7414)) - (segment (start 203.803074 142.652726) (end 203.854874 142.704526) (width 0.2) (layer "F.Cu") (net 4) (tstamp b6027fc9-0fdd-4710-ba5f-47f2c068b4c5)) (segment (start 153.278274 40.744526) (end 153.288274 40.734526) (width 0.2) (layer "F.Cu") (net 4) (tstamp b8ede753-b746-4972-b792-dd2df9b2ddc0)) + (segment (start 206.163674 130.291926) (end 206.163674 135.311126) (width 0.2) (layer "F.Cu") (net 4) (tstamp b91610df-1e50-40de-b035-c0768ba9c311)) (segment (start 68.388444 107.675116) (end 68.400034 107.663526) (width 0.2) (layer "F.Cu") (net 4) (tstamp bccfc4e8-8f55-45b1-941e-587f6ae04073)) (segment (start 68.3746 80.969716) (end 68.38619 80.958126) (width 0.2) (layer "F.Cu") (net 4) (tstamp bd19b9f4-2357-43f3-bac2-d6b58d3d15d6)) (segment (start 159.716 121.4252) (end 159.716 121.816) (width 0.2) (layer "F.Cu") (net 4) (tstamp bf7b23f9-c7cd-4db6-8ec3-168befbcf631)) (segment (start 68.350274 60.60221) (end 68.350274 55.594042) (width 0.2) (layer "F.Cu") (net 4) (tstamp bf7f1662-dfb6-4279-8a3d-6b90b4f53475)) (segment (start 161.6456 120.816) (end 157.6956 120.816) (width 0.2) (layer "F.Cu") (net 4) (tstamp bf9458fe-0672-4232-aba5-9b153a4aefff)) - (segment (start 203.803074 137.803326) (end 203.803074 142.652726) (width 0.2) (layer "F.Cu") (net 4) (tstamp c0aa11ae-e43a-4037-94b7-375233d9598c)) - (segment (start 203.809874 133.934726) (end 203.809874 156.678863) (width 0.2) (layer "F.Cu") (net 4) (tstamp c232ca29-905a-4530-9a6b-0de0d2706051)) + (segment (start 206.163674 105.339126) (end 206.133274 105.369526) (width 0.2) (layer "F.Cu") (net 4) (tstamp c20cf89e-7332-4413-b636-7de08d7d080e)) (segment (start 153.301274 41.742526) (end 153.278274 41.719526) (width 0.2) (layer "F.Cu") (net 4) (tstamp c4ea83c9-bdf1-41d2-bca5-10810a4a6120)) (segment (start 153.278274 42.774526) (end 153.278274 41.765526) (width 0.2) (layer "F.Cu") (net 4) (tstamp c4edf0e4-792c-4934-a8d4-1bf11824f976)) (segment (start 85.076844 104.419156) (end 85.076844 107.998381) (width 0.2) (layer "F.Cu") (net 4) (tstamp c5534d32-6a87-4401-ae92-0bf70193a937)) - (segment (start 203.803074 152.916326) (end 203.803074 156.636263) (width 0.2) (layer "F.Cu") (net 4) (tstamp c6501918-be87-46a4-831e-34d04c9b5f95)) (segment (start 68.388444 92.308116) (end 68.400034 92.296526) (width 0.2) (layer "F.Cu") (net 4) (tstamp c6e5e56d-2c61-4dae-95c9-78acf244b890)) (segment (start 85.112518 88.102634) (end 85.115674 88.10579) (width 0.2) (layer "F.Cu") (net 4) (tstamp c7a9d745-99c6-41a9-8818-ffd67f948dd8)) - (segment (start 185.261074 140.343326) (end 185.261074 145.319726) (width 0.2) (layer "F.Cu") (net 4) (tstamp c96e10a3-a760-444c-9120-b4a1f5689dce)) + (segment (start 206.163674 115.245126) (end 206.133274 115.275526) (width 0.2) (layer "F.Cu") (net 4) (tstamp c9675d62-d333-42e0-8a06-018232c83a20)) (segment (start 68.350274 65.68221) (end 68.350274 60.674042) (width 0.2) (layer "F.Cu") (net 4) (tstamp c99087ef-961b-441a-b85c-c42e160878c8)) (segment (start 85.12943 51.454126) (end 85.126274 51.457282) (width 0.2) (layer "F.Cu") (net 4) (tstamp caa78a37-b2c8-4dce-b094-418f891901e8)) (segment (start 68.400034 133.669526) (end 68.388444 133.657936) (width 0.2) (layer "F.Cu") (net 4) (tstamp cc8ed3bb-2a1c-46b0-bfac-cb50a3f52ca7)) (segment (start 85.112518 88.108946) (end 85.112518 95.621034) (width 0.2) (layer "F.Cu") (net 4) (tstamp cd0828f6-5c6a-4910-84e2-e10699eef641)) (segment (start 68.400034 118.556526) (end 68.388444 118.544936) (width 0.2) (layer "F.Cu") (net 4) (tstamp ce328711-c09a-4f0a-8ea6-ac34c48f3ff9)) - (segment (start 185.261074 140.239726) (end 185.312874 140.291526) (width 0.2) (layer "F.Cu") (net 4) (tstamp ce3bbf25-d699-45ad-b06d-5187273f8837)) + (segment (start 206.133274 152.933181) (end 206.163674 152.963581) (width 0.2) (layer "F.Cu") (net 4) (tstamp ce54a77d-b3e6-48b9-bb8b-2437b4ef563e)) (segment (start 68.453634 54.924252) (end 68.400034 54.977852) (width 0.2) (layer "F.Cu") (net 4) (tstamp ceff1628-d72c-483b-89d2-953b85b7f305)) (segment (start 85.12943 64.204926) (end 85.126274 64.208082) (width 0.2) (layer "F.Cu") (net 4) (tstamp cf555f38-5225-4dc1-90af-a5553cb1abfd)) (segment (start 68.388444 123.624936) (end 68.388444 118.568116) (width 0.2) (layer "F.Cu") (net 4) (tstamp cfbec2b8-0ac7-414e-8120-e9abc407051d)) (segment (start 68.453634 33.637935) (end 68.362274 33.546575) (width 0.2) (layer "F.Cu") (net 4) (tstamp d02ec0dd-a0ab-4be8-a418-416581a190fe)) - (segment (start 185.223674 104.158463) (end 185.223674 105.399926) (width 0.2) (layer "F.Cu") (net 4) (tstamp d2b97e67-91d1-43e8-8567-bcdcad6dd634)) (segment (start 68.453634 49.835504) (end 68.462308 49.844178) (width 0.2) (layer "F.Cu") (net 4) (tstamp d77085f2-b1d3-4dfa-b020-70acd8d2deff)) (segment (start 68.400034 102.329526) (end 68.388444 102.317936) (width 0.2) (layer "F.Cu") (net 4) (tstamp d8ade7e2-bd71-43e4-97b9-3a70834d6b4e)) - (segment (start 203.809874 133.934726) (end 203.809874 136.503326) (width 0.2) (layer "F.Cu") (net 4) (tstamp db8cc489-3b41-43d4-914a-ceeddc6de85f)) - (segment (start 185.261074 124.999726) (end 185.312874 125.051526) (width 0.2) (layer "F.Cu") (net 4) (tstamp dddb7f5b-63be-4d12-b6a1-dcb87aa1a3a8)) + (segment (start 206.163674 142.803581) (end 206.163674 147.822781) (width 0.2) (layer "F.Cu") (net 4) (tstamp d8d206ac-cd2f-4687-ba6b-4b9f686e6508)) + (segment (start 206.163674 135.311126) (end 206.133274 135.341526) (width 0.2) (layer "F.Cu") (net 4) (tstamp db2dfdd5-797e-40d0-a9db-d4bfcd7b070a)) (segment (start 195.911 56.5282) (end 194.6656 56.5282) (width 0.2) (layer "F.Cu") (net 4) (tstamp de63f1e5-0d34-4356-bebc-9ae0a17d4b29)) + (segment (start 206.163674 95.239926) (end 206.163674 100.259126) (width 0.2) (layer "F.Cu") (net 4) (tstamp df2932ae-c1f3-4757-8ec0-f55ed8ede8ce)) (segment (start 68.350274 72.437693) (end 68.350274 70.961042) (width 0.2) (layer "F.Cu") (net 4) (tstamp dfb3a5ec-354f-4ca7-9282-c213dec80b34)) + (segment (start 206.163674 110.165126) (end 206.133274 110.195526) (width 0.2) (layer "F.Cu") (net 4) (tstamp e0a95673-6c92-49c0-a21b-1175de80b30e)) (segment (start 85.076844 104.412844) (end 85.08 104.416) (width 0.2) (layer "F.Cu") (net 4) (tstamp e1fe5afb-8dee-4e56-960d-cd57fc518671)) (segment (start 68.400034 86.835526) (end 68.388444 86.823936) (width 0.2) (layer "F.Cu") (net 4) (tstamp e5a4b26f-d53b-43e2-962d-31734f1b14f6)) (segment (start 68.388444 133.814526) (end 68.388444 135.168645) (width 0.2) (layer "F.Cu") (net 4) (tstamp e73579fd-f52c-4633-a421-1c741f2cd2ee)) + (segment (start 206.163674 125.211926) (end 206.163674 130.231126) (width 0.2) (layer "F.Cu") (net 4) (tstamp e7b2837b-caed-430b-ae05-d374a364e926)) (segment (start 68.388444 102.341116) (end 68.400034 102.329526) (width 0.2) (layer "F.Cu") (net 4) (tstamp e80e83ad-fe41-483c-9d0f-5b682dc31bd5)) (segment (start 85.076844 107.998381) (end 85.067128 108.008097) (width 0.2) (layer "F.Cu") (net 4) (tstamp e812f432-31a8-42c3-aa59-3bd4e650d0fb)) (segment (start 85.047128 114.456472) (end 85.0292 114.4744) (width 0.2) (layer "F.Cu") (net 4) (tstamp e9e654c5-1896-4310-bb96-a9365a68e8cc)) (segment (start 85.115674 88.10579) (end 85.112518 88.108946) (width 0.2) (layer "F.Cu") (net 4) (tstamp ea6184e5-c32f-4b20-a2ae-cb8d9b050e61)) - (segment (start 185.152874 95.396526) (end 185.152874 143.951926) (width 0.2) (layer "F.Cu") (net 4) (tstamp ec783e97-71e1-4a96-ae81-df58639676c7)) + (segment (start 206.133274 105.369526) (end 206.163674 105.399926) (width 0.2) (layer "F.Cu") (net 4) (tstamp f0be44ee-fc62-411d-aac3-a27db5ac4132)) (segment (start 68.3746 72.462019) (end 68.350274 72.437693) (width 0.2) (layer "F.Cu") (net 4) (tstamp f12cc550-afe0-439c-895f-92dfbd5a5416)) (segment (start 85.126274 50.222223) (end 85.126274 126.14577) (width 0.2) (layer "F.Cu") (net 4) (tstamp f1a75337-c6f0-4db9-9f53-33d7385ead7a)) + (segment (start 212.078274 54.696526) (end 212.864274 54.696526) (width 0.2) (layer "F.Cu") (net 4) (tstamp f39a2c52-43b3-4dbd-8d6e-220f954e6d1d)) (segment (start 68.388444 92.284936) (end 68.388444 86.847116) (width 0.2) (layer "F.Cu") (net 4) (tstamp f4705464-c06a-43a9-9c57-02cd0d6f2749)) (segment (start 68.38619 70.925126) (end 68.350274 70.88921) (width 0.2) (layer "F.Cu") (net 4) (tstamp f7d195c2-295c-4f78-a2fc-887ac32a585e)) - (segment (start 185.261074 125.103326) (end 185.261074 130.079726) (width 0.2) (layer "F.Cu") (net 4) (tstamp fa57c7c1-a746-4816-8e06-fadf4373228f)) + (segment (start 184.440274 106.25325) (end 184.440274 112.613526) (width 0.2) (layer "F.Cu") (net 4) (tstamp fa09325d-2c82-40a0-9c3c-06224c09b39e)) + (segment (start 206.163674 142.742781) (end 206.133274 142.773181) (width 0.2) (layer "F.Cu") (net 4) (tstamp fd2d0b63-8115-4b6b-8730-9dddfee943ff)) (segment (start 154.188274 39.834526) (end 153.288274 40.734526) (width 0.2) (layer "F.Cu") (net 4) (tstamp ff77f223-c0f5-4641-a4d7-57825b15a057)) - (via (at 185.168274 96.668926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 02ea886a-23ce-4c99-a906-dbf48a5150ea)) + (via (at 184.340674 91.207926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 02ea886a-23ce-4c99-a906-dbf48a5150ea)) + (via (at 206.133274 147.853181) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 0bf12a3d-1d2c-47e3-8152-dd7efe8bb3e2)) (via (at 68.400034 128.716526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 0c0348c5-2e82-4682-8b25-d572b6336d61)) (via (at 68.400034 107.663526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 0cbf139a-de7a-477d-bc2f-b0b9f417f704)) - (via (at 203.857923 88.813126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 131a0c76-ad2d-4694-9244-603273721c8d)) - (via (at 203.854874 142.704526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 14e04346-06f7-4a56-9d0f-cd3099f184c5)) - (via (at 203.857923 93.994726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 1777359b-d226-4cca-bfba-5f12e9d1eed8)) (via (at 68.400034 92.296526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 1c3a0098-05f7-4485-b7dc-1e838a137c11)) + (via (at 206.133274 125.181526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 2261639c-5702-4e4d-a185-ec9a7580152c)) + (via (at 206.133274 115.275526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 2c8d7e0e-45ee-42ce-a165-b61fbf8043ee)) (via (at 162.445274 38.313526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 2d93e4dc-2e3d-4364-8a6e-62f1eeb001d8)) (via (at 68.462308 49.844178) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 2ec94184-4874-4994-84e0-eb9d5bc93ccf)) (via (at 68.400034 102.329526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 3387313b-f103-4581-9200-fbdfbc5f2356)) (via (at 68.38619 60.638126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 34c11e6d-22b8-4148-b869-e5ee56a4ee7d)) + (via (at 184.440812 96.165254) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 37f0ac61-18e8-49e7-b363-01d54b2150c1)) (via (at 153.301274 41.742526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 38563730-0373-439f-af56-81d480c40ac8)) + (via (at 206.133274 100.289526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 390a426f-fbc3-4ded-88f6-d9f37fbd98f7)) (via (at 68.400034 113.603526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 3a23610b-292e-40e4-b32d-2429f42e9527)) (via (at 159.716 120.1552) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 3ec48a73-5dad-46ed-b813-55ff898ff218)) - (via (at 203.854874 147.784526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 4781e619-acb0-4b60-b4a1-a5d48ce8e67f)) + (via (at 206.133274 135.341526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 4ddab4a6-b1bf-4401-9f7b-75ec66df5c91)) (via (at 85.115674 95.62419) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 54a93065-e973-4951-8a38-a9c4839fa151)) - (via (at 185.275474 109.176526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 55145225-86ca-464c-bc4e-29da7fbb757e)) - (via (at 203.857923 99.023926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 582041c4-6898-474b-817b-930af6679c9d)) + (via (at 212.864274 54.696526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 56c38acb-377e-48cf-9590-33e9fc665ddd)) (via (at 85.115674 88.10579) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 5cb4922f-0749-4916-9fbe-fcf1263a013c)) - (via (at 203.854874 137.751526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 61f462cf-c7bb-4230-aa9a-b061d779a04f)) (via (at 85.0292 114.4744) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 640364e8-9073-4bcc-8338-2b7fb71702ff)) (via (at 68.38619 80.958126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 653151e1-052e-407f-9134-44245d4f5a2e)) (via (at 68.36543 34.770229) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 66d04b7f-b93f-4e0d-a5fc-0a26e54d9a68)) - (via (at 203.857923 109.133126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 69ab33c1-9622-4b43-8e64-4a3a3b0354d5)) (via (at 85.115674 80.23179) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 6a257677-db84-4ef9-a174-9f00420c9053)) - (via (at 185.312874 140.291526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 6a7bd7d2-13a2-465a-9a91-fc2d4642110b)) - (via (at 203.857923 104.103926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 6dad661a-707d-4c15-86fa-1fe48945aaa5)) - (via (at 185.312874 135.338526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 75e7a85c-eace-4a6f-972b-88a29da8aa8e)) - (via (at 203.857923 118.937526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 776912a2-7090-4084-a647-f72e992c6bcf)) - (via (at 185.312874 130.131526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 7d564631-f54b-4e3b-9771-244eff87b0c1)) + (via (at 206.133274 120.101526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 769385a9-0599-4929-973a-37d8d0887f48)) (via (at 68.400034 86.835526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 7e4efca3-2e36-429a-beee-b87759049ef4)) - (via (at 203.854874 152.864526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 8901dc07-1124-4b6d-a558-3f296e72a985)) - (via (at 185.312874 125.051526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 89041033-e70b-4f2c-9d72-9dc5b6a14bb8)) - (via (at 185.281274 104.100863) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 8e07b2a7-4580-4338-b39d-1992a691608d)) + (via (at 184.433925 106.246901) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 895484a1-98b6-4d8c-a9be-679d2b5b7460)) (via (at 85.0292 119.656) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 8e8286be-3867-4084-b134-ca8448b4a135)) + (via (at 206.133274 142.773181) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 91f30675-a56e-4b20-98b3-d5eef41f6de8)) + (via (at 206.133274 130.261526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 9bab4336-b784-446f-bec4-6af2b741fc19)) (via (at 68.462308 44.637178) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp a3469073-2b6c-4b48-8c95-664eeae4547b)) + (via (at 206.133274 105.369526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp a588a914-89cd-4e99-9c71-e995db384d1b)) (via (at 68.388444 133.814526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp a9af00c2-8106-4557-8cf3-54323ed4310e)) (via (at 195.911 79.8752) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp a9e3ce23-59bf-4192-bb6d-f78b713fec44)) (via (at 85.12943 51.454126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp be83779d-313a-4700-8d3b-518b0e754314)) (via (at 85.12943 71.672526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp bfef301c-cdd8-4af3-ba51-a51172479c10)) (via (at 85.08 104.416) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp c1b922e3-7fc3-40c1-b7b8-d558a836353e)) - (via (at 203.857923 124.017526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp c24520ac-8b12-4a8e-8eeb-af7ceff15399)) (via (at 214.794674 84.566926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp c3fe8e8b-d935-43bf-87fd-d75ab4df863f)) + (via (at 206.133274 137.947181) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp c4ede243-4fe4-46cb-8120-53bf8a3adad9)) (via (at 85.12943 64.204926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp d073caef-ea4a-4ebb-a1ee-a79e06437fe3)) + (via (at 206.133274 110.195526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp d76a24c2-1970-467c-93c4-161b398c2001)) (via (at 195.911 56.5282) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp d87cd749-b1c3-48f2-bef5-1fede67fd3ff)) - (via (at 203.857923 126.506726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp de14952a-0cd3-4e7b-8bc9-956e34ffad89)) (via (at 68.400034 118.556526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp df040e7a-6da7-4fea-b210-b1f959536117)) + (via (at 206.133274 95.209526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp df22dded-0b0f-4686-b448-310993ff16ce)) (via (at 68.400034 54.977852) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp e75e0594-a922-41bc-b51a-1bfdc8c85ae7)) (via (at 68.400034 97.249526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp ea75c9ca-5261-46cf-816c-bcbaa27d4cf2)) (via (at 68.38619 70.925126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp ebffc8e6-17f6-45c2-a931-44cf4eac7430)) + (via (at 184.440812 101.172068) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp f1484cde-b3b1-4504-b6c9-fb30c7a63a06)) (via (at 68.38619 75.878126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp f198dc57-60df-47a7-8571-17b5541931c8)) (via (at 159.716 121.4252) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp f1c0580c-6979-494c-b47b-6ecb55ce9421)) (via (at 68.400034 123.636526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp f20f9b30-35fd-4dce-b3c6-46b5544c5dcc)) + (via (at 206.133274 90.129526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp f4b8d0c7-cf82-467d-8368-bd431b282498)) + (via (at 206.133274 152.933181) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp f5ac11be-6f02-47c9-8205-6f0db0c9e79e)) (via (at 68.38619 65.718126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp f5d8ba42-55b9-4efa-b275-237dfac288e1)) (via (at 85.737274 128.483526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp f69edb03-0778-4897-a2dc-6994d24a54f7)) (via (at 85.12943 56.584926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp f867328f-8321-4ab7-8321-6d7ee519f937)) - (via (at 203.857923 114.060726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp fb0c0403-4a6a-4aa8-b465-0e5637135d19)) - (via (at 185.312874 145.371526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp ffe0b9b6-b826-44d0-8781-2b60546476c4)) (segment (start 144.728274 37.02912) (end 144.728274 37.639526) (width 0.2) (layer "F.Cu") (net 5) (tstamp 090baca0-c574-443a-b597-5d82b1314fc6)) (segment (start 144.10768 36.408526) (end 144.728274 37.02912) (width 0.2) (layer "F.Cu") (net 5) (tstamp 1ab1f64a-7d66-4582-9d39-4ceb9626ef8d)) (segment (start 145.511674 41.054526) (end 144.728274 40.271126) (width 0.2) (layer "F.Cu") (net 5) (tstamp 4cb0175c-4793-4400-b7e5-b0f2e62c299c)) @@ -25599,39 +26232,40 @@ (segment (start 139.928274 37.639526) (end 139.928274 37.064527) (width 0.2) (layer "F.Cu") (net 5) (tstamp a08d3bc2-3740-413f-8b84-84ba351391f0)) (segment (start 140.584275 36.408526) (end 144.10768 36.408526) (width 0.2) (layer "F.Cu") (net 5) (tstamp c265933a-b4db-49af-ad9b-a79b13fb5069)) (segment (start 162.488274 33.754526) (end 162.488274 35.524526) (width 0.2) (layer "F.Cu") (net 6) (tstamp e0fd37cf-2e32-4d94-a22e-cf6124109af9)) - (segment (start 196.159474 125.220926) (end 202.277474 125.220926) (width 0.2) (layer "F.Cu") (net 7) (tstamp d5560b4a-b7e1-4f80-ab40-8bdcb71c4c50)) - (segment (start 202.277474 125.220926) (end 202.323274 125.266726) (width 0.2) (layer "F.Cu") (net 7) (tstamp dce3d3ca-dbe8-486b-a79b-22dac4f7d233)) - (segment (start 154.7896 117.016) (end 154.9896 116.816) (width 0.2) (layer "F.Cu") (net 8) (tstamp 50025092-fed2-4e96-9c37-3216f1bb81ee)) - (segment (start 154.9896 116.816) (end 157.6956 116.816) (width 0.2) (layer "F.Cu") (net 8) (tstamp 529fd891-640f-44d7-af64-60c849f42530)) - (segment (start 194.659474 125.220926) (end 192.506123 125.220926) (width 0.2) (layer "F.Cu") (net 8) (tstamp ab7ed05d-8ab1-4fc9-b4ef-d7f6e9096f94)) - (segment (start 192.506123 125.220926) (end 192.429123 125.143926) (width 0.2) (layer "F.Cu") (net 8) (tstamp b6739517-c8d5-4f6e-8e8e-d73b32468a6e)) - (via (at 154.7896 117.016) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp aa444187-d368-488a-9b3d-20e122ac40c4)) - (via (at 192.429123 125.143926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp f17129ec-b4b9-4cb1-93b9-be76de979532)) - (segment (start 172.032274 125.751526) (end 163.296748 117.016) (width 0.2) (layer "B.Cu") (net 8) (tstamp 05119039-5705-4568-8f16-3e2c9379f825)) - (segment (start 163.296748 117.016) (end 154.7896 117.016) (width 0.2) (layer "B.Cu") (net 8) (tstamp a0c4cd19-3efa-4894-b389-31888651abcb)) - (segment (start 191.821523 125.751526) (end 172.032274 125.751526) (width 0.2) (layer "B.Cu") (net 8) (tstamp c99d864e-da29-427a-88ac-0095fce4fce3)) - (segment (start 192.429123 125.143926) (end 191.821523 125.751526) (width 0.2) (layer "B.Cu") (net 8) (tstamp f031574d-6a36-4708-8a7d-3c0097b29c48)) - (segment (start 196.484874 154.113463) (end 202.228874 154.113463) (width 0.2) (layer "F.Cu") (net 9) (tstamp 1ff7f887-6723-451c-b869-f1ad65adac4a)) - (segment (start 202.228874 154.113463) (end 202.311274 154.195863) (width 0.2) (layer "F.Cu") (net 9) (tstamp e2478f66-60ab-4f35-9fc6-52e598fcb1ad)) - (segment (start 162.900433 116.816) (end 165.258274 119.173841) (width 0.2) (layer "F.Cu") (net 10) (tstamp 09b93aca-4826-4719-ba12-5d3292987036)) - (segment (start 190.780211 149.833463) (end 194.957274 154.010526) (width 0.2) (layer "F.Cu") (net 10) (tstamp 0f479896-bc47-47ed-93aa-92144b483a1b)) - (segment (start 165.258274 119.173841) (end 165.258274 141.063898) (width 0.2) (layer "F.Cu") (net 10) (tstamp 1d6e8c11-9143-43aa-8dc7-b940265f8d75)) - (segment (start 194.957274 154.010526) (end 194.957274 154.113463) (width 0.2) (layer "F.Cu") (net 10) (tstamp 703a3d93-a5cf-44e8-bc83-ac5488cc2674)) - (segment (start 174.027839 149.833463) (end 190.780211 149.833463) (width 0.2) (layer "F.Cu") (net 10) (tstamp a568d9fb-40b4-4f8c-a4f6-9729f04c21dd)) - (segment (start 165.258274 141.063898) (end 174.027839 149.833463) (width 0.2) (layer "F.Cu") (net 10) (tstamp be65e34f-b24c-4d04-933e-05e5e4789b2a)) - (segment (start 161.6456 116.816) (end 162.900433 116.816) (width 0.2) (layer "F.Cu") (net 10) (tstamp dc72cfbc-67cf-4c9e-8e47-116b38a0aa32)) - (segment (start 196.185274 127.776726) (end 196.159474 127.750926) (width 0.2) (layer "F.Cu") (net 11) (tstamp 8482788f-6260-4523-a509-2622d3befc0a)) - (segment (start 202.323274 127.776726) (end 196.185274 127.776726) (width 0.2) (layer "F.Cu") (net 11) (tstamp a75acee2-aca4-4c50-8027-b169452487f4)) - (segment (start 155.6786 117.816) (end 157.6956 117.816) (width 0.2) (layer "F.Cu") (net 12) (tstamp 184abcc6-a1dd-4348-b336-63038f5c5c50)) - (segment (start 192.496123 127.750926) (end 194.659474 127.750926) (width 0.2) (layer "F.Cu") (net 12) (tstamp 93a738ee-8d68-42aa-8de8-eec8eafd7802)) - (segment (start 192.429123 127.683926) (end 192.496123 127.750926) (width 0.2) (layer "F.Cu") (net 12) (tstamp a1bf9b49-48f1-49af-b1f0-493b4b968932)) - (via (at 192.429123 127.683926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 12) (tstamp 09161b74-fa20-44d8-9f34-1d7256aca18c)) - (via (at 155.6786 117.816) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 12) (tstamp 8125273a-c845-45b1-82c3-e9a835d92961)) - (segment (start 164.719948 119.4552) (end 158.9576 119.4552) (width 0.2) (layer "B.Cu") (net 12) (tstamp 9c8f52f6-a734-409a-8857-a6b1d67b3751)) - (segment (start 192.429123 127.683926) (end 172.948674 127.683926) (width 0.2) (layer "B.Cu") (net 12) (tstamp a4026ee6-bda2-45ee-b88f-4625d9b1f37b)) - (segment (start 157.3184 117.816) (end 155.6786 117.816) (width 0.2) (layer "B.Cu") (net 12) (tstamp a8b70c4f-677e-4f84-9687-feff17813003)) - (segment (start 172.948674 127.683926) (end 164.719948 119.4552) (width 0.2) (layer "B.Cu") (net 12) (tstamp ce291241-2500-4640-8742-026e5d372456)) - (segment (start 158.9576 119.4552) (end 157.3184 117.816) (width 0.2) (layer "B.Cu") (net 12) (tstamp e697d441-1c61-445f-ad7d-9b4b6f84655b)) + (segment (start 204.639674 116.474326) (end 198.535074 116.474326) (width 0.2) (layer "F.Cu") (net 7) (tstamp 6a5b4f3c-d4c9-4eeb-9ea5-db0167207a74)) + (segment (start 198.535074 116.474326) (end 198.489274 116.428526) (width 0.2) (layer "F.Cu") (net 7) (tstamp ef5f8114-9748-4d91-8128-c0333b41f41b)) + (segment (start 156.6956 116.816) (end 154.698274 118.813326) (width 0.2) (layer "F.Cu") (net 8) (tstamp 2947b60c-a882-4560-a0e9-dda9e6696e32)) + (segment (start 154.698274 118.813326) (end 154.698274 118.831526) (width 0.2) (layer "F.Cu") (net 8) (tstamp 4229ab4b-5bd3-40ff-bd3a-3d01ce97485e)) + (segment (start 157.6956 116.816) (end 156.6956 116.816) (width 0.2) (layer "F.Cu") (net 8) (tstamp 73091ae8-333a-4aa5-a101-e91e8e70c517)) + (segment (start 194.068274 116.428526) (end 196.989274 116.428526) (width 0.2) (layer "F.Cu") (net 8) (tstamp 893fe0a5-451f-4f4a-a771-a3f61892ee8b)) + (via (at 194.068274 116.428526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp 3aca2959-32a5-4396-9b5b-d134e15a1046)) + (via (at 154.698274 118.831526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp c7ea51d4-ef32-48aa-a77d-49dbac01da30)) + (segment (start 154.698274 118.823376) (end 159.770124 113.751526) (width 0.2) (layer "B.Cu") (net 8) (tstamp 149ce788-da21-4271-9561-9dbe89383800)) + (segment (start 159.770124 113.751526) (end 191.391274 113.751526) (width 0.2) (layer "B.Cu") (net 8) (tstamp 2f5fb352-2661-4620-aedf-e236d576bb4c)) + (segment (start 154.698274 118.831526) (end 154.698274 118.823376) (width 0.2) (layer "B.Cu") (net 8) (tstamp b1a17987-dbfd-42fb-a657-1938771cc678)) + (segment (start 191.391274 113.751526) (end 194.068274 116.428526) (width 0.2) (layer "B.Cu") (net 8) (tstamp cd578ad9-16a2-4274-aa90-d8fbdda16611)) + (segment (start 198.523087 156.862863) (end 198.440687 156.780463) (width 0.2) (layer "F.Cu") (net 9) (tstamp 995ddb35-8a74-48fd-a842-ee5986d69c29)) + (segment (start 204.591087 156.862863) (end 198.523087 156.862863) (width 0.2) (layer "F.Cu") (net 9) (tstamp ab26137f-5971-4432-b52d-90fa5803c7ed)) + (segment (start 164.223274 126.659006) (end 164.223274 118.393674) (width 0.2) (layer "F.Cu") (net 10) (tstamp 336ce6a5-94e1-4aec-8dd0-c8bd86c823bd)) + (segment (start 162.6456 116.816) (end 161.6456 116.816) (width 0.2) (layer "F.Cu") (net 10) (tstamp 483fc3bc-0063-486c-ba7e-ded18f2c91d0)) + (segment (start 196.989274 156.780463) (end 193.465274 153.256463) (width 0.2) (layer "F.Cu") (net 10) (tstamp 607021b7-0ce3-4600-9ce4-2e841e60c52b)) + (segment (start 177.375786 139.811526) (end 164.223274 126.659006) (width 0.2) (layer "F.Cu") (net 10) (tstamp 6b6572c5-dade-4f80-8843-97f53f3206cd)) + (segment (start 193.465274 142.323642) (end 190.953158 139.811526) (width 0.2) (layer "F.Cu") (net 10) (tstamp 70b9ba0e-4d68-446e-ac63-a16e62c1f355)) + (segment (start 193.465274 153.256463) (end 193.465274 142.323642) (width 0.2) (layer "F.Cu") (net 10) (tstamp 8f280b7f-67e4-44dc-85f0-0eba79037580)) + (segment (start 164.223274 118.393674) (end 162.6456 116.816) (width 0.2) (layer "F.Cu") (net 10) (tstamp b141857d-c5f1-47e9-a3b6-5cbf74e603b3)) + (segment (start 190.953158 139.811526) (end 177.375786 139.811526) (width 0.2) (layer "F.Cu") (net 10) (tstamp c4ec888d-fc56-45ec-8a18-1ee977981c16)) + (segment (start 204.613874 118.958526) (end 204.639674 118.984326) (width 0.2) (layer "F.Cu") (net 11) (tstamp 3f03bfae-a57a-4112-a593-226629619941)) + (segment (start 198.489274 118.958526) (end 204.613874 118.958526) (width 0.2) (layer "F.Cu") (net 11) (tstamp f943df08-f4eb-4845-b8cd-8de6d5f5330f)) + (segment (start 155.968274 118.450526) (end 155.968274 120.101526) (width 0.2) (layer "F.Cu") (net 12) (tstamp 1f96b8d5-fce2-4629-9897-46f5ecd8765d)) + (segment (start 195.465274 118.958526) (end 196.989274 118.958526) (width 0.2) (layer "F.Cu") (net 12) (tstamp 9dc5d504-f0c2-44e7-8cbb-a7dd95dfb612)) + (segment (start 157.6956 117.816) (end 156.6028 117.816) (width 0.2) (layer "F.Cu") (net 12) (tstamp ae12ae22-3fa0-4a17-afa8-dc32eb999e6d)) + (segment (start 156.6028 117.816) (end 155.968274 118.450526) (width 0.2) (layer "F.Cu") (net 12) (tstamp cf177542-2e7f-4f87-93fa-f739e22a4760)) + (segment (start 155.968274 120.101526) (end 155.333274 120.736526) (width 0.2) (layer "F.Cu") (net 12) (tstamp e567d842-8961-4711-9601-c20ef6e41339)) + (via (at 155.333274 120.736526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 12) (tstamp 7536120f-a575-469b-96b9-eda128c1691a)) + (via (at 195.465274 118.958526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 12) (tstamp e1c208df-d551-42a6-b9c9-15a59eb21d7c)) + (segment (start 161.748274 114.321526) (end 155.333274 120.736526) (width 0.2) (layer "B.Cu") (net 12) (tstamp 0d779862-1c64-4b1a-924e-1d6128b08522)) + (segment (start 195.465274 118.958526) (end 190.828274 114.321526) (width 0.2) (layer "B.Cu") (net 12) (tstamp 4d034e21-95a4-4d16-afbd-dc85ee641bd3)) + (segment (start 190.828274 114.321526) (end 161.748274 114.321526) (width 0.2) (layer "B.Cu") (net 12) (tstamp 66bde846-4ef7-4cd9-8f69-d8de48f58778)) (segment (start 69.890889 135.1662) (end 69.888444 135.168645) (width 0.2) (layer "F.Cu") (net 13) (tstamp d5ae6c7c-a276-4ee5-9bca-4a3dbadc2ae3)) (segment (start 75.573634 135.1662) (end 69.890889 135.1662) (width 0.2) (layer "F.Cu") (net 13) (tstamp e04e6644-0b6c-4e6f-9ea2-f22b532e39a0)) (segment (start 79.430308 135.1662) (end 77.073634 135.1662) (width 0.2) (layer "F.Cu") (net 14) (tstamp ad71cae4-790d-4aa8-9275-c2b44b95e1b8)) @@ -25648,2046 +26282,2080 @@ (segment (start 104.2868 118.316) (end 96.405274 126.197526) (width 0.2) (layer "F.Cu") (net 16) (tstamp 1fc34b49-d878-43d9-bff1-8a42cd7a3c79)) (segment (start 112.6956 118.316) (end 104.2868 118.316) (width 0.2) (layer "F.Cu") (net 16) (tstamp 2e35b88a-39cf-4e72-9a40-443cc898e76b)) (segment (start 96.405274 126.197526) (end 94.095274 126.197526) (width 0.2) (layer "F.Cu") (net 16) (tstamp d030ad9e-bbb3-4f03-bd80-42ccae38d7e8)) - (segment (start 202.311274 151.615863) (end 196.514474 151.615863) (width 0.2) (layer "F.Cu") (net 17) (tstamp 33739f27-2b87-4a8f-b3e0-affbc92b309a)) - (segment (start 196.514474 151.615863) (end 196.472074 151.573463) (width 0.2) (layer "F.Cu") (net 17) (tstamp 9f37f9ef-70a4-46e0-9fc2-8c25c1fe46bd)) - (segment (start 194.171211 151.573463) (end 192.031211 149.433463) (width 0.2) (layer "F.Cu") (net 18) (tstamp 19f8e00e-bdab-4bad-b51b-143460c52ee0)) - (segment (start 194.957274 151.573463) (end 194.171211 151.573463) (width 0.2) (layer "F.Cu") (net 18) (tstamp 46e932b0-9659-4b69-9463-b2da1a4b7cbf)) - (segment (start 192.031211 149.433463) (end 174.193525 149.433463) (width 0.2) (layer "F.Cu") (net 18) (tstamp 7365b45a-a27d-4e74-855e-e96f6a80eacd)) - (segment (start 165.766274 141.006211) (end 165.766274 119.116155) (width 0.2) (layer "F.Cu") (net 18) (tstamp a2ebeec0-5971-4642-9c28-af5f4f53c199)) - (segment (start 174.193525 149.433463) (end 165.766274 141.006211) (width 0.2) (layer "F.Cu") (net 18) (tstamp c2780720-36fa-49c6-8e45-2cec79696557)) - (segment (start 165.766274 119.116155) (end 162.966119 116.316) (width 0.2) (layer "F.Cu") (net 18) (tstamp d268a507-2479-4515-8a5e-885b3d41060f)) - (segment (start 162.966119 116.316) (end 161.6456 116.316) (width 0.2) (layer "F.Cu") (net 18) (tstamp ec1b07db-1b2e-43a3-8119-5fd6b5341dfa)) - (segment (start 202.337074 122.790726) (end 196.187474 122.790726) (width 0.2) (layer "F.Cu") (net 19) (tstamp 1fa9ad47-b27d-4dda-862d-04253faa6be8)) - (segment (start 196.187474 122.790726) (end 196.173274 122.804926) (width 0.2) (layer "F.Cu") (net 19) (tstamp a6723a91-1226-43a8-9044-7bdfd8ffc1f8)) - (segment (start 155.8056 116.116) (end 156.0056 116.316) (width 0.2) (layer "F.Cu") (net 20) (tstamp 30e9e681-73a2-4936-a56a-2169bcc5820b)) - (segment (start 156.0056 116.316) (end 157.6956 116.316) (width 0.2) (layer "F.Cu") (net 20) (tstamp 6be14751-bb1b-4392-b1e5-b375a93b6954)) - (segment (start 192.429123 123.111926) (end 192.736123 122.804926) (width 0.2) (layer "F.Cu") (net 20) (tstamp b4dcf198-0eab-4219-b5f7-47a9f8fd2c3e)) - (segment (start 192.736123 122.804926) (end 194.673274 122.804926) (width 0.2) (layer "F.Cu") (net 20) (tstamp bba326d4-c433-44cb-a6c6-1fab83bebbfd)) - (via (at 192.429123 123.111926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp 51631c5a-4772-4e3c-bd57-d213bfd2c339)) - (via (at 155.8056 116.116) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp bae1fff1-9a99-45e8-87e9-1dbe0df1430d)) - (segment (start 170.528788 123.111926) (end 163.457831 116.040969) (width 0.2) (layer "B.Cu") (net 20) (tstamp 00a37207-0902-4df4-9c27-5f6114954700)) - (segment (start 163.457831 116.040969) (end 163.3828 116.116) (width 0.2) (layer "B.Cu") (net 20) (tstamp 0d395d18-4b29-455a-b427-056ad83b72de)) - (segment (start 192.429123 123.111926) (end 170.528788 123.111926) (width 0.2) (layer "B.Cu") (net 20) (tstamp 2fa50bf1-27af-40f6-b8d4-7dea8ead4deb)) - (segment (start 163.3828 116.116) (end 155.8056 116.116) (width 0.2) (layer "B.Cu") (net 20) (tstamp d08c0795-1807-49ad-9fc1-0507576c1b76)) - (segment (start 183.666674 136.484526) (end 183.718674 136.536526) (width 0.2) (layer "F.Cu") (net 21) (tstamp 7c6558cc-2b9c-4e19-b47c-6f6acb4397d5)) - (segment (start 177.928674 136.484526) (end 183.666674 136.484526) (width 0.2) (layer "F.Cu") (net 21) (tstamp f512cd98-dcc5-420d-9850-7de6e4585eeb)) - (segment (start 170.166274 115.836674) (end 162.6456 108.316) (width 0.2) (layer "F.Cu") (net 22) (tstamp 3b68012b-a9f4-48bd-b4c6-67a3b3382603)) - (segment (start 170.166274 134.452526) (end 170.166274 115.836674) (width 0.2) (layer "F.Cu") (net 22) (tstamp 405d52ab-48e9-4102-90d5-bdac5275c90e)) - (segment (start 176.415274 136.484526) (end 172.198274 136.484526) (width 0.2) (layer "F.Cu") (net 22) (tstamp 62da8821-c92a-49ce-a4b9-bd07a1c3920f)) - (segment (start 172.198274 136.484526) (end 170.166274 134.452526) (width 0.2) (layer "F.Cu") (net 22) (tstamp 645653e3-9681-484d-a569-8571e805fca0)) - (segment (start 162.6456 108.316) (end 161.6456 108.316) (width 0.2) (layer "F.Cu") (net 22) (tstamp 85607844-e99f-4128-94de-76b5cc783228)) - (segment (start 196.236172 102.861126) (end 196.154972 102.779926) (width 0.2) (layer "F.Cu") (net 23) (tstamp 12c8cd23-7dfe-45ab-a7bb-cb8417b18642)) - (segment (start 202.231172 102.861126) (end 196.236172 102.861126) (width 0.2) (layer "F.Cu") (net 23) (tstamp 9258e583-108a-413b-97a4-6cce620af9b8)) - (segment (start 152.858 110.287302) (end 154.299702 110.287302) (width 0.2) (layer "F.Cu") (net 24) (tstamp 01805210-ca3a-430a-b4cd-7c69d593a4f9)) - (segment (start 154.3284 110.316) (end 157.6956 110.316) (width 0.2) (layer "F.Cu") (net 24) (tstamp 2bd597be-cc05-4d60-8853-95f8ef4250e0)) - (segment (start 192.441123 102.779926) (end 194.654972 102.779926) (width 0.2) (layer "F.Cu") (net 24) (tstamp 83a15786-fab2-483c-99b1-7bb090685cd6)) - (segment (start 154.299702 110.287302) (end 154.3284 110.316) (width 0.2) (layer "F.Cu") (net 24) (tstamp bb79c9ca-6dcd-4424-919a-fd3cfa9287b3)) - (via (at 152.858 110.287302) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 24) (tstamp d42deeda-e7ff-4699-9900-56e403e9b830)) - (via (at 192.429123 102.791926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 24) (tstamp fceb49bf-99df-48bf-b16b-1baff1982e0d)) - (segment (start 157.748315 110.1272) (end 157.588212 110.287302) (width 0.2) (layer "B.Cu") (net 24) (tstamp 47ddae9e-2949-4939-862e-1a50865be9e1)) - (segment (start 167.477606 110.1272) (end 157.748315 110.1272) (width 0.2) (layer "B.Cu") (net 24) (tstamp 4ea358d2-52c0-42e4-931c-f8f41b90523c)) - (segment (start 157.588212 110.287302) (end 152.858 110.287302) (width 0.2) (layer "B.Cu") (net 24) (tstamp 50bb74ed-ad4c-4eed-a25f-7b0d15bfd47e)) - (segment (start 192.429123 102.791926) (end 192.339723 102.702526) (width 0.2) (layer "B.Cu") (net 24) (tstamp 552b5a27-c386-4fbd-a228-eddd858cba7d)) - (segment (start 189.102274 104.144461) (end 189.102274 107.599212) (width 0.2) (layer "B.Cu") (net 24) (tstamp 7c6b6409-ad2c-4b5f-8303-332095bbf49c)) - (segment (start 192.339723 102.702526) (end 190.544209 102.702526) (width 0.2) (layer "B.Cu") (net 24) (tstamp a04332ee-79d2-496b-b834-c4644a7dfde0)) - (segment (start 186.024959 110.676526) (end 168.026932 110.676526) (width 0.2) (layer "B.Cu") (net 24) (tstamp d5bbb7a7-b9da-479f-be61-9b0a594e07fc)) - (segment (start 189.102274 107.599212) (end 186.024959 110.676526) (width 0.2) (layer "B.Cu") (net 24) (tstamp ecbc7f05-455b-47f0-abce-c0a1d4ae18d7)) - (segment (start 190.544209 102.702526) (end 189.102274 104.144461) (width 0.2) (layer "B.Cu") (net 24) (tstamp f4450abf-bf5e-4c44-be10-b67c69806cbf)) - (segment (start 168.026932 110.676526) (end 167.477606 110.1272) (width 0.2) (layer "B.Cu") (net 24) (tstamp f45d958c-759d-47bc-9620-9e30cb67b0ab)) - (segment (start 196.457274 149.033463) (end 202.275274 149.033463) (width 0.2) (layer "F.Cu") (net 25) (tstamp 4a54e69b-d6be-4892-9b34-6639c04a3234)) - (segment (start 202.275274 149.033463) (end 202.283674 149.025063) (width 0.2) (layer "F.Cu") (net 25) (tstamp 9ac07be9-30ca-462e-94e7-fb8b8cb870ea)) - (segment (start 166.166274 118.886674) (end 166.166274 140.840526) (width 0.2) (layer "F.Cu") (net 26) (tstamp 42cf2e25-17b8-42ff-bcbc-811c6de625ef)) - (segment (start 162.5956 115.316) (end 166.166274 118.886674) (width 0.2) (layer "F.Cu") (net 26) (tstamp 5fd9e773-9dd0-42d1-b23e-95d586ce3891)) - (segment (start 161.6456 115.316) (end 162.5956 115.316) (width 0.2) (layer "F.Cu") (net 26) (tstamp 8b697159-cb9a-4b2a-90eb-a5f825e376e4)) - (segment (start 166.166274 140.840526) (end 174.359211 149.033463) (width 0.2) (layer "F.Cu") (net 26) (tstamp b03a0fb7-2a59-4666-b75a-b0910c03f259)) - (segment (start 174.359211 149.033463) (end 194.957274 149.033463) (width 0.2) (layer "F.Cu") (net 26) (tstamp daa265c5-6cf8-48b7-a3f9-5e2784b89683)) - (segment (start 196.173274 120.264926) (end 202.282874 120.264926) (width 0.2) (layer "F.Cu") (net 27) (tstamp 72fc3690-a0b2-4ac3-ac64-02dd69beb794)) - (segment (start 202.282874 120.264926) (end 202.337074 120.210726) (width 0.2) (layer "F.Cu") (net 27) (tstamp 88dc7f5c-acd6-4fad-a668-11a0bc57463b)) - (segment (start 192.736123 120.264926) (end 192.429123 120.571926) (width 0.2) (layer "F.Cu") (net 28) (tstamp 03cfd677-a9d3-46bc-bcc3-1c52b5890808)) - (segment (start 154.9896 115.316) (end 157.6956 115.316) (width 0.2) (layer "F.Cu") (net 28) (tstamp 21b6baeb-f58a-417a-832b-23966b4e9104)) - (segment (start 194.673274 120.264926) (end 192.736123 120.264926) (width 0.2) (layer "F.Cu") (net 28) (tstamp adef8960-cbeb-4852-82b6-6482b238c7ff)) - (segment (start 154.7896 115.516) (end 154.9896 115.316) (width 0.2) (layer "F.Cu") (net 28) (tstamp cd5af9be-f16c-42bd-96ff-d33b1c9d3f24)) - (via (at 154.7896 115.516) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 28) (tstamp 194f30fd-19bf-4032-9b27-1aca899838cd)) - (via (at 192.429123 120.571926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 28) (tstamp d8b9d0a5-106d-4344-b178-d0021f02d45e)) - (segment (start 154.8896 115.416) (end 159.2948 115.416) (width 0.2) (layer "B.Cu") (net 28) (tstamp 4f428683-0314-4d07-9bae-b81c608254a6)) - (segment (start 154.7896 115.516) (end 154.8896 115.416) (width 0.2) (layer "B.Cu") (net 28) (tstamp 7afe45f0-bf20-4638-8130-5a46243a60c7)) - (segment (start 159.4 115.5212) (end 163.503748 115.5212) (width 0.2) (layer "B.Cu") (net 28) (tstamp 816a60e9-ec4b-4ba8-8fdd-6137c892c349)) - (segment (start 192.429123 120.571926) (end 168.554474 120.571926) (width 0.2) (layer "B.Cu") (net 28) (tstamp 9a9283b5-363b-4d7d-896c-b76f8cc48ca4)) - (segment (start 168.554474 120.571926) (end 163.503748 115.5212) (width 0.2) (layer "B.Cu") (net 28) (tstamp cc52ffbd-6d8b-4467-b31f-144d2b043333)) - (segment (start 159.2948 115.416) (end 159.4 115.5212) (width 0.2) (layer "B.Cu") (net 28) (tstamp d4f02312-9d86-482b-9cc8-c212504fe022)) - (segment (start 202.358923 117.660726) (end 196.259323 117.660726) (width 0.2) (layer "F.Cu") (net 29) (tstamp 3199f095-1fb8-463b-baf8-2de88d80d399)) - (segment (start 196.259323 117.660726) (end 196.195123 117.724926) (width 0.2) (layer "F.Cu") (net 29) (tstamp 803f6f85-767e-406d-bf91-41a5f1dfa648)) - (segment (start 192.429123 118.031926) (end 192.736123 117.724926) (width 0.2) (layer "F.Cu") (net 30) (tstamp 5ffb72e3-b1ae-451e-ac6e-d72be376b335)) - (segment (start 155.8056 114.616) (end 156.0056 114.816) (width 0.2) (layer "F.Cu") (net 30) (tstamp 6743d63e-0b6f-4961-ac04-445051b86204)) - (segment (start 192.736123 117.724926) (end 194.695123 117.724926) (width 0.2) (layer "F.Cu") (net 30) (tstamp 6d36cf30-87a9-47b9-b3fe-9ed4058cde6f)) - (segment (start 156.0056 114.816) (end 157.6956 114.816) (width 0.2) (layer "F.Cu") (net 30) (tstamp dcadf26e-4c45-410b-83ac-3656a2c251ef)) - (via (at 192.429123 118.031926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30) (tstamp ac856b1f-d22f-4feb-8f12-1440b22eca8a)) - (via (at 155.8056 114.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30) (tstamp cfd2817a-fe99-4954-b483-a953bf52b6b9)) - (segment (start 166.618062 114.1212) (end 170.528788 118.031926) (width 0.2) (layer "B.Cu") (net 30) (tstamp 273ce137-44ba-45eb-b4b2-a3c9c23589b3)) - (segment (start 155.8056 114.616) (end 156.3004 114.1212) (width 0.2) (layer "B.Cu") (net 30) (tstamp 694c6e70-5e09-4195-9023-d89a9a2d0de6)) - (segment (start 156.3004 114.1212) (end 166.618062 114.1212) (width 0.2) (layer "B.Cu") (net 30) (tstamp 96dcbd9a-5c1e-4d21-9c52-6c7900bb4ba7)) - (segment (start 170.528788 118.031926) (end 192.429123 118.031926) (width 0.2) (layer "B.Cu") (net 30) (tstamp f10ff309-5e21-47eb-835c-a8c86ae963d2)) - (segment (start 202.283674 146.510463) (end 196.474274 146.510463) (width 0.2) (layer "F.Cu") (net 31) (tstamp 54051149-ce20-4c19-a078-a6921a9a4144)) - (segment (start 196.474274 146.510463) (end 196.457274 146.493463) (width 0.2) (layer "F.Cu") (net 31) (tstamp 8ce11dd9-6026-45e4-8daa-7c19b687fbad)) - (segment (start 174.524897 148.633463) (end 166.566274 140.67484) (width 0.2) (layer "F.Cu") (net 32) (tstamp 39c98aec-a66f-4b81-ae13-a24151e99d55)) - (segment (start 166.566274 140.67484) (end 166.566274 118.720988) (width 0.2) (layer "F.Cu") (net 32) (tstamp 3bbb6db6-d73b-460f-9abb-bf1d3d965190)) - (segment (start 191.190337 148.633463) (end 174.524897 148.633463) (width 0.2) (layer "F.Cu") (net 32) (tstamp 471a7767-b0e2-4215-b399-2014eda7c668)) - (segment (start 166.566274 118.720988) (end 162.661286 114.816) (width 0.2) (layer "F.Cu") (net 32) (tstamp 4c4a7ece-0d7f-45f6-9283-bd598e7a9481)) - (segment (start 193.330337 146.493463) (end 191.190337 148.633463) (width 0.2) (layer "F.Cu") (net 32) (tstamp 88f34929-8398-4c2c-af7c-425a1fccf23c)) - (segment (start 194.957274 146.493463) (end 193.330337 146.493463) (width 0.2) (layer "F.Cu") (net 32) (tstamp 94a1a379-03dd-453a-be73-897f52c2e936)) - (segment (start 162.661286 114.816) (end 161.6456 114.816) (width 0.2) (layer "F.Cu") (net 32) (tstamp b87d933d-152e-425a-a8ea-79927a4d9975)) - (segment (start 177.966274 144.066926) (end 177.928674 144.104526) (width 0.2) (layer "F.Cu") (net 33) (tstamp 851d5980-0bcd-4a4b-9985-6f96acd4f542)) - (segment (start 183.755074 144.066926) (end 177.966274 144.066926) (width 0.2) (layer "F.Cu") (net 33) (tstamp c0975aa0-3630-4737-bbb1-84cf0a02afe6)) - (segment (start 173.471018 144.104526) (end 168.966274 139.599782) (width 0.2) (layer "F.Cu") (net 34) (tstamp 059fd357-91a0-4c6e-9909-52b1052b9d6d)) - (segment (start 168.966274 139.599782) (end 168.966274 116.570988) (width 0.2) (layer "F.Cu") (net 34) (tstamp 295f83a8-6c09-4c7f-ba80-9254baff4e8a)) - (segment (start 176.415274 144.104526) (end 173.471018 144.104526) (width 0.2) (layer "F.Cu") (net 34) (tstamp 2f592b21-4bed-4e82-b30e-68ab9648d833)) - (segment (start 162.711286 110.316) (end 161.6456 110.316) (width 0.2) (layer "F.Cu") (net 34) (tstamp 608c8515-60bf-4f6d-9176-5dbce9b8f71a)) - (segment (start 168.966274 116.570988) (end 162.711286 110.316) (width 0.2) (layer "F.Cu") (net 34) (tstamp 6bc2071b-619b-482d-af7e-f484a7f44a20)) - (segment (start 183.707474 141.564526) (end 183.755074 141.516926) (width 0.2) (layer "F.Cu") (net 35) (tstamp 2e764e08-4428-4469-ba4b-632bf2665d48)) - (segment (start 177.928674 141.564526) (end 183.707474 141.564526) (width 0.2) (layer "F.Cu") (net 35) (tstamp f5ea0f80-0ded-4a84-8844-9f97c3700d88)) - (segment (start 169.366274 116.405302) (end 162.776971 109.816) (width 0.2) (layer "F.Cu") (net 36) (tstamp 0a57efbc-b337-472d-9008-1281982858c7)) - (segment (start 176.415274 141.564526) (end 171.496704 141.564526) (width 0.2) (layer "F.Cu") (net 36) (tstamp 26e70a0e-3013-4dbb-818d-e24f2520e3a8)) - (segment (start 162.776971 109.816) (end 161.6456 109.816) (width 0.2) (layer "F.Cu") (net 36) (tstamp 4818ce72-c7c0-4aac-99cc-0ebea97e9f5e)) - (segment (start 171.496704 141.564526) (end 169.366274 139.434096) (width 0.2) (layer "F.Cu") (net 36) (tstamp 70bab169-7900-4d8c-b14b-6965090398b7)) - (segment (start 169.366274 139.434096) (end 169.366274 116.405302) (width 0.2) (layer "F.Cu") (net 36) (tstamp 8e0e553e-644c-4614-b19f-cd4b4bb6e89b)) - (segment (start 202.271074 133.944526) (end 202.280874 133.934726) (width 0.2) (layer "F.Cu") (net 37) (tstamp 327e049a-69e6-4d25-927c-f7778e03e2f7)) - (segment (start 196.458274 133.944526) (end 202.271074 133.944526) (width 0.2) (layer "F.Cu") (net 37) (tstamp 3fb0f584-4875-4233-9342-82c04fa4af60)) - (segment (start 194.957274 133.944526) (end 192.379274 136.522526) (width 0.2) (layer "F.Cu") (net 38) (tstamp 16e2e7b1-fa43-432e-9191-8f4f7ece49d9)) - (segment (start 162.6456 110.816) (end 161.6456 110.816) (width 0.2) (layer "F.Cu") (net 38) (tstamp 19d32b43-35f9-4011-bde2-573f00b58132)) - (segment (start 192.379274 136.522526) (end 192.379274 142.630154) (width 0.2) (layer "F.Cu") (net 38) (tstamp 2d0c43bc-e760-4616-9e68-df4f0bdd898b)) - (segment (start 188.567902 146.441526) (end 175.242332 146.441526) (width 0.2) (layer "F.Cu") (net 38) (tstamp 5406f972-bbba-4966-8f17-6d7726a0ac0f)) - (segment (start 175.242332 146.441526) (end 168.566274 139.765468) (width 0.2) (layer "F.Cu") (net 38) (tstamp 820dce1a-9e15-4249-8fd5-6e36a6e9dc0e)) - (segment (start 192.379274 142.630154) (end 188.567902 146.441526) (width 0.2) (layer "F.Cu") (net 38) (tstamp c4b611c2-ea91-4e9b-996c-70b720675ebd)) - (segment (start 168.566274 116.736674) (end 162.6456 110.816) (width 0.2) (layer "F.Cu") (net 38) (tstamp e905be59-e5ad-4a7d-9eb3-bb72d6f3939d)) - (segment (start 168.566274 139.765468) (end 168.566274 116.736674) (width 0.2) (layer "F.Cu") (net 38) (tstamp fba118ae-a2d1-457f-8253-5ccb9ef4cee4)) - (segment (start 202.263572 105.319926) (end 202.268572 105.324926) (width 0.2) (layer "F.Cu") (net 39) (tstamp 3e21cda5-8364-4a41-8cdd-d7eb03929694)) - (segment (start 196.166972 105.319926) (end 202.263572 105.319926) (width 0.2) (layer "F.Cu") (net 39) (tstamp ca30f40e-48f3-4d3f-bc9a-83e4b0236c8c)) - (segment (start 154.255 111.1382) (end 154.5772 110.816) (width 0.2) (layer "F.Cu") (net 40) (tstamp 4c16c537-ceda-4b0f-b6b4-4b5d1608a0f8)) - (segment (start 154.5772 110.816) (end 157.6956 110.816) (width 0.2) (layer "F.Cu") (net 40) (tstamp 972a7369-4e1c-4199-b0cd-aa80b4942c95)) - (segment (start 194.666972 105.319926) (end 192.441123 105.319926) (width 0.2) (layer "F.Cu") (net 40) (tstamp e1cea31c-b53e-455c-bf4a-457a80995762)) - (via (at 154.255 111.1382) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 40) (tstamp 3436df22-420b-4cca-925a-a01f1d2a67c5)) - (via (at 192.429123 105.331926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 40) (tstamp bf928ba0-c2a3-4c6d-b723-f698039de670)) - (segment (start 157.5252 110.916) (end 157.914 110.5272) (width 0.2) (layer "B.Cu") (net 40) (tstamp 0c2e6370-aa9d-40fa-b449-5b1ddc0ca03f)) - (segment (start 167.861246 111.076526) (end 186.684523 111.076526) (width 0.2) (layer "B.Cu") (net 40) (tstamp 135b3c8e-73f1-44c0-92c6-d98909b1188e)) - (segment (start 154.4772 110.916) (end 157.5252 110.916) (width 0.2) (layer "B.Cu") (net 40) (tstamp 6dd70712-ce56-4e05-b037-d5feb0acc05a)) - (segment (start 154.255 111.1382) (end 154.4772 110.916) (width 0.2) (layer "B.Cu") (net 40) (tstamp 6df6eb12-a66f-4174-93a9-61c57db58f8a)) - (segment (start 186.684523 111.076526) (end 192.429123 105.331926) (width 0.2) (layer "B.Cu") (net 40) (tstamp 71dd16dd-b6fb-4c8e-b99f-1b09904e4a6d)) - (segment (start 157.914 110.5272) (end 167.31192 110.5272) (width 0.2) (layer "B.Cu") (net 40) (tstamp 877c5edc-3b25-44f0-a93a-1ad0bc25c394)) - (segment (start 167.31192 110.5272) (end 167.861246 111.076526) (width 0.2) (layer "B.Cu") (net 40) (tstamp 979d93c3-23a0-48a5-9d26-7bae1164ddb6)) - (segment (start 202.260274 138.873463) (end 202.266674 138.879863) (width 0.2) (layer "F.Cu") (net 41) (tstamp 1134d241-8ca6-4f26-98ca-226a013cb55d)) - (segment (start 196.433274 138.873463) (end 202.260274 138.873463) (width 0.2) (layer "F.Cu") (net 41) (tstamp e92108cb-cf01-4b1a-9908-3aa194b706b0)) - (segment (start 167.766274 140.09684) (end 167.766274 117.436674) (width 0.2) (layer "F.Cu") (net 42) (tstamp 0df31945-af32-4783-82dc-74fcdc60dcd4)) - (segment (start 189.076588 147.241526) (end 174.91096 147.241526) (width 0.2) (layer "F.Cu") (net 42) (tstamp 0e87ccde-5201-437f-91dd-97fff200f3e6)) - (segment (start 167.766274 117.436674) (end 162.6456 112.316) (width 0.2) (layer "F.Cu") (net 42) (tstamp 10424021-a452-4935-818e-392d617e6c08)) - (segment (start 162.6456 112.316) (end 161.6456 112.316) (width 0.2) (layer "F.Cu") (net 42) (tstamp 2888ed53-f75a-468f-9ead-89b431923ac4)) - (segment (start 174.91096 147.241526) (end 167.766274 140.09684) (width 0.2) (layer "F.Cu") (net 42) (tstamp 3a091d91-96ec-4573-898f-881a268b0146)) - (segment (start 193.179274 140.651463) (end 193.179274 143.13884) (width 0.2) (layer "F.Cu") (net 42) (tstamp 96923d6a-cd79-47af-92fb-ff57b723fad4)) - (segment (start 194.957274 138.873463) (end 193.179274 140.651463) (width 0.2) (layer "F.Cu") (net 42) (tstamp b131b2de-3f16-41a8-98ca-14a7519cbe6b)) - (segment (start 193.179274 143.13884) (end 189.076588 147.241526) (width 0.2) (layer "F.Cu") (net 42) (tstamp b31de366-b9b5-4ae3-89bb-06c330ed01fa)) - (segment (start 202.275523 110.399926) (end 202.290523 110.414926) (width 0.2) (layer "F.Cu") (net 43) (tstamp 58ac61fe-2282-46fd-aceb-12d1e1e4520c)) - (segment (start 196.145123 110.399926) (end 202.275523 110.399926) (width 0.2) (layer "F.Cu") (net 43) (tstamp ffb3c218-8bc9-4b51-a568-8f5d734b1aa7)) - (segment (start 153.4008 112.316) (end 157.6956 112.316) (width 0.2) (layer "F.Cu") (net 44) (tstamp 12cf4f87-e973-4b33-a224-1c75e774ec9f)) - (segment (start 194.645123 110.399926) (end 192.441123 110.399926) (width 0.2) (layer "F.Cu") (net 44) (tstamp 432fc328-cc2c-4c06-846c-c5a04915e110)) - (segment (start 153.366 112.2812) (end 153.4008 112.316) (width 0.2) (layer "F.Cu") (net 44) (tstamp f9014215-655f-4631-8183-6b881b522b4b)) - (via (at 153.366 112.2812) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 44) (tstamp 275d8053-1b90-402d-830f-d90442daeaad)) - (via (at 192.429123 110.411926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 44) (tstamp 39d4a1d5-b467-4538-806e-1c03f9fd23c9)) - (segment (start 166.980548 111.3272) (end 167.529874 111.876526) (width 0.2) (layer "B.Cu") (net 44) (tstamp 0f3645de-78c9-4abf-9910-3f5cadce8c6d)) - (segment (start 190.964523 111.876526) (end 192.429123 110.411926) (width 0.2) (layer "B.Cu") (net 44) (tstamp 33fd92dd-a70a-40ab-b918-24ab114c011c)) - (segment (start 167.529874 111.876526) (end 190.964523 111.876526) (width 0.2) (layer "B.Cu") (net 44) (tstamp 3401445c-ed64-4039-8c39-bf477e1aaf23)) - (segment (start 158.384 111.3272) (end 166.980548 111.3272) (width 0.2) (layer "B.Cu") (net 44) (tstamp 45f8e9db-3fd2-48f3-b792-735dcfd8ee5c)) - (segment (start 153.366 112.2812) (end 153.4008 112.316) (width 0.2) (layer "B.Cu") (net 44) (tstamp ba5b9352-2616-49c1-a3b7-a084f15482ed)) - (segment (start 157.3952 112.316) (end 158.384 111.3272) (width 0.2) (layer "B.Cu") (net 44) (tstamp d59b869f-0e19-4f47-b948-4a0e263a258e)) - (segment (start 153.4008 112.316) (end 157.3952 112.316) (width 0.2) (layer "B.Cu") (net 44) (tstamp fc09e39e-a07c-44b7-a428-86590516b63f)) - (segment (start 202.297523 107.864926) (end 196.150123 107.864926) (width 0.2) (layer "F.Cu") (net 45) (tstamp 1b5bc789-2974-4cc3-ab6f-d7ce35cadf4b)) - (segment (start 196.150123 107.864926) (end 196.145123 107.859926) (width 0.2) (layer "F.Cu") (net 45) (tstamp 20d2a5eb-b99c-476e-b432-836532a8139b)) - (segment (start 192.441123 107.859926) (end 194.645123 107.859926) (width 0.2) (layer "F.Cu") (net 46) (tstamp 16f57a78-1f5c-43be-b69c-6b9c29392e37)) - (segment (start 155.398 111.616) (end 155.598 111.816) (width 0.2) (layer "F.Cu") (net 46) (tstamp 80e7c16d-a78a-4ffb-8b8d-8b60409682b7)) - (segment (start 155.598 111.816) (end 157.6956 111.816) (width 0.2) (layer "F.Cu") (net 46) (tstamp f75e222c-06e9-4800-9596-f658b1a95974)) - (via (at 192.429123 107.871926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 46) (tstamp 8446f6a4-12bf-4fe0-a209-e4e0df0d3852)) - (via (at 155.398 111.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 46) (tstamp 8d59eac9-ccb1-45f1-a713-cd75d495bb76)) - (segment (start 155.398 111.616) (end 157.529514 111.616) (width 0.2) (layer "B.Cu") (net 46) (tstamp 054977de-2248-4675-9dac-9726d06a908f)) - (segment (start 167.146234 110.9272) (end 167.69556 111.476526) (width 0.2) (layer "B.Cu") (net 46) (tstamp 23586f4d-d5ae-4c52-803b-4afca0bd1159)) - (segment (start 188.824523 111.476526) (end 192.429123 107.871926) (width 0.2) (layer "B.Cu") (net 46) (tstamp 3dbd82c8-2d5b-4d92-96fd-3feb0592f131)) - (segment (start 158.218315 110.9272) (end 167.146234 110.9272) (width 0.2) (layer "B.Cu") (net 46) (tstamp 552e9c9f-abb0-4e81-b2af-f12efc9e8405)) - (segment (start 167.69556 111.476526) (end 188.824523 111.476526) (width 0.2) (layer "B.Cu") (net 46) (tstamp 8b228d01-65f4-47ce-be45-5d2ede6c57ef)) - (segment (start 157.529514 111.616) (end 158.218315 110.9272) (width 0.2) (layer "B.Cu") (net 46) (tstamp a8eaf2ee-3950-4088-b3a2-4e5b202160ee)) - (segment (start 202.280874 136.503326) (end 196.489474 136.503326) (width 0.2) (layer "F.Cu") (net 47) (tstamp 885b74a6-480f-41be-88b2-13068478a11a)) - (segment (start 196.489474 136.503326) (end 196.470674 136.484526) (width 0.2) (layer "F.Cu") (net 47) (tstamp fc752c32-2f6a-496c-983e-e5381d79eab1)) - (segment (start 192.779274 142.79584) (end 192.779274 138.662526) (width 0.2) (layer "F.Cu") (net 48) (tstamp 16076b7f-1f9f-453d-ab66-995f2f1693ae)) - (segment (start 175.076646 146.841526) (end 188.733588 146.841526) (width 0.2) (layer "F.Cu") (net 48) (tstamp 16f1b713-8a97-46c4-bce6-9482f9758a8d)) - (segment (start 161.6456 111.816) (end 162.711286 111.816) (width 0.2) (layer "F.Cu") (net 48) (tstamp 1dc6472a-ec13-4a51-a51c-c179dda3913d)) - (segment (start 168.166274 117.270988) (end 168.166274 139.931154) (width 0.2) (layer "F.Cu") (net 48) (tstamp 309b2925-d654-4549-9405-5805fdb9bc19)) - (segment (start 192.779274 138.662526) (end 194.957274 136.484526) (width 0.2) (layer "F.Cu") (net 48) (tstamp 60102b83-c29a-4065-9014-100607be172b)) - (segment (start 168.166274 139.931154) (end 175.076646 146.841526) (width 0.2) (layer "F.Cu") (net 48) (tstamp 614a21d5-f3b6-4b02-9395-4f82d46c5ecb)) - (segment (start 162.711286 111.816) (end 168.166274 117.270988) (width 0.2) (layer "F.Cu") (net 48) (tstamp d9a7fefe-f787-44a1-a3dc-dc6b9b697bee)) - (segment (start 188.733588 146.841526) (end 192.779274 142.79584) (width 0.2) (layer "F.Cu") (net 48) (tstamp defd2311-e2b9-445c-b16f-d3e8de75eab2)) - (segment (start 86.602802 76.281087) (end 92.585822 76.281087) (width 0.2) (layer "F.Cu") (net 49) (tstamp 2caa1116-71b4-439c-a49c-ff33aa40b9ec)) - (segment (start 92.585822 76.281087) (end 92.603422 76.298687) (width 0.2) (layer "F.Cu") (net 49) (tstamp fb77362e-eae7-454a-9aa5-2c65228da026)) - (segment (start 95.735087 76.298687) (end 105.8376 86.4012) (width 0.2) (layer "F.Cu") (net 50) (tstamp 08cd93a7-f140-4495-a367-73abfa39b1c0)) - (segment (start 111.6956 95.316) (end 112.6956 95.316) (width 0.2) (layer "F.Cu") (net 50) (tstamp 3173b791-5516-4712-9402-85050b122681)) - (segment (start 94.103422 76.298687) (end 95.735087 76.298687) (width 0.2) (layer "F.Cu") (net 50) (tstamp 56e4041d-ac3c-425d-bf72-6295aa4df2e9)) - (segment (start 105.8376 89.458) (end 111.6956 95.316) (width 0.2) (layer "F.Cu") (net 50) (tstamp 5ae3ab19-cd00-4f5b-9f36-7274cd1db531)) - (segment (start 105.8376 86.4012) (end 105.8376 89.458) (width 0.2) (layer "F.Cu") (net 50) (tstamp 80a9f59b-51e3-4a94-aba6-4570bfa29e88)) - (segment (start 86.620402 78.848687) (end 86.602802 78.831087) (width 0.2) (layer "F.Cu") (net 51) (tstamp 86f300fa-fbf9-404d-b436-ed70ae117c86)) - (segment (start 92.591422 78.848687) (end 86.620402 78.848687) (width 0.2) (layer "F.Cu") (net 51) (tstamp e87f91aa-1215-45a5-b9b6-d064c6cd92e4)) - (segment (start 94.091422 78.848687) (end 95.618087 78.848687) (width 0.2) (layer "F.Cu") (net 52) (tstamp 6b4d5398-5b97-44b9-988a-0f1dd652ceb7)) - (segment (start 105.4376 89.623686) (end 111.629914 95.816) (width 0.2) (layer "F.Cu") (net 52) (tstamp 815d27d4-15c7-43f4-a8af-9fda6e48c2d7)) - (segment (start 111.629914 95.816) (end 112.6956 95.816) (width 0.2) (layer "F.Cu") (net 52) (tstamp b25a7060-e801-44cf-ad70-576a845e39dd)) - (segment (start 95.618087 78.848687) (end 105.4376 88.6682) (width 0.2) (layer "F.Cu") (net 52) (tstamp f13554c2-1fcd-44d9-b8e7-a7c02fdc3e69)) - (segment (start 105.4376 88.6682) (end 105.4376 89.623686) (width 0.2) (layer "F.Cu") (net 52) (tstamp f31a6e3a-c0f4-4e96-b66c-dfa59c8ba441)) - (segment (start 69.864118 53.735252) (end 75.615605 53.735252) (width 0.2) (layer "F.Cu") (net 53) (tstamp 0e90e249-c2b5-4c37-8620-93ed480ad33b)) - (segment (start 75.615605 53.735252) (end 75.706005 53.825652) (width 0.2) (layer "F.Cu") (net 53) (tstamp 5fb7666f-a032-4e5c-a0a2-bc4714099b41)) - (segment (start 77.206005 53.825652) (end 79.442834 53.825652) (width 0.2) (layer "F.Cu") (net 54) (tstamp 16debcf5-b2a4-4416-a599-c13bd9c286a4)) - (segment (start 119.7376 86.3312) (end 119.7224 86.316) (width 0.2) (layer "F.Cu") (net 54) (tstamp 238221fd-b7d4-468f-82a6-19c070c0f814)) - (segment (start 79.442834 53.825652) (end 79.475634 53.792852) (width 0.2) (layer "F.Cu") (net 54) (tstamp 44da0c46-201e-4e83-8618-da792c89127f)) - (segment (start 119.7224 86.316) (end 116.6456 86.316) (width 0.2) (layer "F.Cu") (net 54) (tstamp 82bf4257-47c4-4080-bde2-642db4bca293)) - (via (at 79.475634 53.792852) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 54) (tstamp 238a0aaa-eafd-4654-a52c-8598afbbb958)) - (via (at 119.7376 86.3312) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 54) (tstamp 8d570f4f-3de2-4541-b334-5e5b2427d711)) - (segment (start 79.475634 53.792852) (end 88.682376 53.792852) (width 0.2) (layer "B.Cu") (net 54) (tstamp 24409a71-7933-408f-8bde-3ec0667c5033)) - (segment (start 119.7376 84.848076) (end 119.7376 85.430526) (width 0.2) (layer "B.Cu") (net 54) (tstamp 7a60de7c-a6bb-4f3e-bf22-ab8c7aa1a51f)) - (segment (start 88.682376 53.792852) (end 119.7376 84.848076) (width 0.2) (layer "B.Cu") (net 54) (tstamp 945dbbda-a58e-4f54-8f5d-af1a0156c008)) - (segment (start 119.7376 85.430526) (end 119.7376 86.3312) (width 0.2) (layer "B.Cu") (net 54) (tstamp a3c9d90b-3f05-4eba-8f12-2bb7303d5cc1)) - (segment (start 75.569407 33.546575) (end 75.581558 33.558726) (width 0.2) (layer "F.Cu") (net 55) (tstamp 55f8adea-b19c-45da-bda7-70c9702dbc5e)) - (segment (start 69.862274 33.546575) (end 75.569407 33.546575) (width 0.2) (layer "F.Cu") (net 55) (tstamp b9bffaf7-5de6-40e1-a3ce-6ed43a4070fc)) - (segment (start 111.6956 86.316) (end 112.6956 86.316) (width 0.2) (layer "F.Cu") (net 56) (tstamp 0c9c122b-733f-4f0e-9b07-99a7470a39ef)) - (segment (start 77.081558 33.558726) (end 83.475126 33.558726) (width 0.2) (layer "F.Cu") (net 56) (tstamp bb046514-1922-4197-9f66-32803fd1d6d2)) - (segment (start 110.9746 61.0582) (end 110.9746 85.595) (width 0.2) (layer "F.Cu") (net 56) (tstamp c2e2cf4b-5d1c-45e0-a9cc-d18e46b88a9c)) - (segment (start 110.9746 85.595) (end 111.6956 86.316) (width 0.2) (layer "F.Cu") (net 56) (tstamp e1457540-52c5-4c43-8bee-1514e0dfa1bb)) - (segment (start 83.475126 33.558726) (end 110.9746 61.0582) (width 0.2) (layer "F.Cu") (net 56) (tstamp f6c2a07b-e786-410c-b571-e11c88db80f2)) - (segment (start 177.942413 133.964311) (end 177.922628 133.944526) (width 0.2) (layer "F.Cu") (net 57) (tstamp 51ebbdd0-1b74-4ea2-868d-303231c83bd1)) - (segment (start 183.713458 133.964311) (end 177.942413 133.964311) (width 0.2) (layer "F.Cu") (net 57) (tstamp b65af926-e6ed-42ba-adcc-21e074929141)) - (segment (start 170.566274 115.670988) (end 162.711286 107.816) (width 0.2) (layer "F.Cu") (net 58) (tstamp 24190a07-9bfc-4546-a57a-bc4163c1e335)) - (segment (start 170.566274 131.651526) (end 170.566274 115.670988) (width 0.2) (layer "F.Cu") (net 58) (tstamp 2ad4f6a4-2b98-4596-bde9-ed9610819373)) - (segment (start 162.711286 107.816) (end 161.6456 107.816) (width 0.2) (layer "F.Cu") (net 58) (tstamp 44ae2cc1-284f-4616-b93e-aaa5b1ed16b9)) - (segment (start 172.859274 133.944526) (end 170.566274 131.651526) (width 0.2) (layer "F.Cu") (net 58) (tstamp 94e0367b-499e-40a8-9108-8a355f9e4343)) - (segment (start 176.415274 133.944526) (end 172.859274 133.944526) (width 0.2) (layer "F.Cu") (net 58) (tstamp 9f18b5cf-f32b-47a5-b153-0552e6febffa)) - (segment (start 202.189772 92.619926) (end 196.143972 92.619926) (width 0.2) (layer "F.Cu") (net 59) (tstamp 965e7ae8-2e92-4f46-9b49-8d023b35ba6d)) - (segment (start 196.143972 92.619926) (end 196.138972 92.614926) (width 0.2) (layer "F.Cu") (net 59) (tstamp d88b9bd3-66b8-45b2-b37d-5d35dc2b345c)) - (segment (start 153.7672 107.816) (end 157.6956 107.816) (width 0.2) (layer "F.Cu") (net 60) (tstamp 0e69a3e9-4de9-46da-a052-2b81a96a248b)) - (segment (start 192.509202 92.614926) (end 194.638972 92.614926) (width 0.2) (layer "F.Cu") (net 60) (tstamp 513d3fe0-0daa-4a17-b0c8-66b502326855)) - (segment (start 153.366 108.2172) (end 153.7672 107.816) (width 0.2) (layer "F.Cu") (net 60) (tstamp 70235fc1-b0ca-47f1-84fb-9e3d7e1feacc)) - (segment (start 192.476601 92.582325) (end 192.509202 92.614926) (width 0.2) (layer "F.Cu") (net 60) (tstamp ea490c9a-b000-47fc-b2cb-890003974b47)) - (via (at 192.476601 92.582325) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 60) (tstamp 5f4b6f55-2758-45de-8fff-303adb911a46)) - (via (at 153.366 108.2172) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 60) (tstamp 7f70d758-a23d-4c89-85f0-538905cd1efa)) - (segment (start 153.640399 107.942801) (end 153.366 108.2172) (width 0.2) (layer "B.Cu") (net 60) (tstamp 169b9860-6fcf-4c54-b676-a6f6f4c86d3a)) - (segment (start 186.291972 107.1362) (end 158.003 107.1362) (width 0.2) (layer "B.Cu") (net 60) (tstamp 2c2ab605-35e7-4c4a-bcc5-5e6487498ae3)) - (segment (start 192.476601 92.582325) (end 188.95436 92.582325) (width 0.2) (layer "B.Cu") (net 60) (tstamp 36675e99-290e-4e59-a14f-a4e06c9c3933)) - (segment (start 188.95436 92.582325) (end 187.502274 94.034411) (width 0.2) (layer "B.Cu") (net 60) (tstamp 37b4376a-cb79-418a-9284-4cc5b74a78fd)) - (segment (start 157.196399 107.942801) (end 153.640399 107.942801) (width 0.2) (layer "B.Cu") (net 60) (tstamp 50bbde1e-90ec-4270-a6d4-4c6d6c8d2bda)) - (segment (start 158.003 107.1362) (end 157.196399 107.942801) (width 0.2) (layer "B.Cu") (net 60) (tstamp 5702ac80-2757-43e0-ba35-533a163b3752)) - (segment (start 187.502274 94.034411) (end 187.502274 105.925898) (width 0.2) (layer "B.Cu") (net 60) (tstamp 7778f3ac-0c67-4ecd-94c0-3598985880ec)) - (segment (start 187.502274 105.925898) (end 186.291972 107.1362) (width 0.2) (layer "B.Cu") (net 60) (tstamp e85395ed-94f9-4047-8f6f-356644711567)) - (segment (start 69.914518 56.325652) (end 69.864118 56.275252) (width 0.2) (layer "F.Cu") (net 61) (tstamp 06822ccf-33b2-44c2-8160-d9947b2cbb8c)) - (segment (start 75.697356 56.325652) (end 69.914518 56.325652) (width 0.2) (layer "F.Cu") (net 61) (tstamp 830e198d-2186-4c7c-a363-682d6be4895b)) - (segment (start 79.341434 56.325652) (end 77.197356 56.325652) (width 0.2) (layer "F.Cu") (net 62) (tstamp 049aec4f-356d-4b8e-aeb2-9dce391b50ce)) - (segment (start 118.8486 87.0932) (end 118.5714 86.816) (width 0.2) (layer "F.Cu") (net 62) (tstamp 863b9c2a-d67d-4669-8e55-f7adf8970875)) - (segment (start 79.475634 56.459852) (end 79.341434 56.325652) (width 0.2) (layer "F.Cu") (net 62) (tstamp 8728bf67-d2ff-4a61-ba7d-42dda37669f4)) - (segment (start 118.5714 86.816) (end 116.6456 86.816) (width 0.2) (layer "F.Cu") (net 62) (tstamp ad4bf6fa-3475-45a7-8da8-4c47b56cf9c6)) - (via (at 79.475634 56.459852) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 62) (tstamp a7550673-0951-4f7e-b6e9-208f5e666e6d)) - (via (at 118.8486 87.0932) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 62) (tstamp c3e764b7-5dc9-44a4-9f40-18ecc2e0ff68)) - (segment (start 113.239074 81.483675) (end 113.239074 81.901638) (width 0.2) (layer "B.Cu") (net 62) (tstamp 10c9de46-bd1d-46a2-8e4b-38c58a0be194)) - (segment (start 115.731 84.393564) (end 115.731 84.399864) (width 0.2) (layer "B.Cu") (net 62) (tstamp 3da9ec3a-f867-4bcc-8af4-25d4d2c945a7)) - (segment (start 87.594925 55.839526) (end 113.239074 81.483675) (width 0.2) (layer "B.Cu") (net 62) (tstamp 853bd6b4-9c5b-406a-ac8a-4148b6104530)) - (segment (start 79.475634 56.459852) (end 80.09596 55.839526) (width 0.2) (layer "B.Cu") (net 62) (tstamp c2c74d3e-a52a-4d67-be92-68985bff0eda)) - (segment (start 118.424336 87.0932) (end 118.8486 87.0932) (width 0.2) (layer "B.Cu") (net 62) (tstamp c620bae8-2be0-451e-bfa9-3d89a8218046)) - (segment (start 113.239074 81.901638) (end 115.731 84.393564) (width 0.2) (layer "B.Cu") (net 62) (tstamp c87e05f3-2c01-4c95-a931-0669b1f72c93)) - (segment (start 80.09596 55.839526) (end 87.594925 55.839526) (width 0.2) (layer "B.Cu") (net 62) (tstamp f1fb2f2c-98eb-442b-8195-cafce3f3710c)) - (segment (start 115.731 84.399864) (end 118.424336 87.0932) (width 0.2) (layer "B.Cu") (net 62) (tstamp fde55dc5-52ba-4684-b6b2-b6be8aaa30bf)) - (segment (start 69.894958 35.987126) (end 69.854558 36.027526) (width 0.2) (layer "F.Cu") (net 63) (tstamp 9f3746cb-f22a-47b3-b8c4-a24f6241bcfa)) - (segment (start 75.845777 35.987126) (end 69.894958 35.987126) (width 0.2) (layer "F.Cu") (net 63) (tstamp c5b35dfe-e962-47fb-973d-00f26292879c)) - (segment (start 110.5746 63.0712) (end 110.5746 85.760686) (width 0.2) (layer "F.Cu") (net 64) (tstamp 16bc1725-62c0-4c6b-bc11-82d793f010e5)) - (segment (start 110.5746 85.760686) (end 111.629914 86.816) (width 0.2) (layer "F.Cu") (net 64) (tstamp 525943d8-7025-407b-886d-45adac370964)) - (segment (start 77.081558 35.987126) (end 83.490526 35.987126) (width 0.2) (layer "F.Cu") (net 64) (tstamp 57a48156-f112-4e7e-88f2-715824a4a156)) - (segment (start 111.629914 86.816) (end 112.6956 86.816) (width 0.2) (layer "F.Cu") (net 64) (tstamp 71b32f3a-a4bb-45e8-b648-5a62e2948f7f)) - (segment (start 83.490526 35.987126) (end 110.5746 63.0712) (width 0.2) (layer "F.Cu") (net 64) (tstamp b7bdb1d5-ef7f-4357-a320-be14be19067d)) - (segment (start 86.606558 50.222223) (end 92.567377 50.222223) (width 0.2) (layer "F.Cu") (net 65) (tstamp f6132b8f-d3b2-4819-81fb-a227f00a2888)) - (segment (start 92.567377 50.222223) (end 92.597777 50.191823) (width 0.2) (layer "F.Cu") (net 65) (tstamp fbf41a8c-8dd0-4ad4-acd9-992393db094f)) - (segment (start 110.1746 65.3382) (end 110.1746 86.295) (width 0.2) (layer "F.Cu") (net 66) (tstamp 11c726d9-f119-41e5-9877-ea4b9ceec56a)) - (segment (start 110.1746 86.295) (end 111.6956 87.816) (width 0.2) (layer "F.Cu") (net 66) (tstamp 1e143d7d-3998-49f2-8bad-e99d1680f87b)) - (segment (start 94.097777 50.191823) (end 95.028223 50.191823) (width 0.2) (layer "F.Cu") (net 66) (tstamp 52751694-b1c4-4641-a392-f52d53539a21)) - (segment (start 95.028223 50.191823) (end 110.1746 65.3382) (width 0.2) (layer "F.Cu") (net 66) (tstamp a59bf4d8-395d-42a8-8ccc-79648c8db20c)) - (segment (start 111.6956 87.816) (end 112.6956 87.816) (width 0.2) (layer "F.Cu") (net 66) (tstamp fd1e9399-9008-4cba-a7a1-a01e721ca12c)) - (segment (start 75.66503 59.395526) (end 75.69043 59.370126) (width 0.2) (layer "F.Cu") (net 67) (tstamp 0a3dffc1-ff5f-486c-aae7-c4c97351c731)) - (segment (start 69.850274 59.395526) (end 75.66503 59.395526) (width 0.2) (layer "F.Cu") (net 67) (tstamp dcc6d93e-50ea-4016-a313-d66c474c28aa)) - (segment (start 119.7376 87.8552) (end 119.6984 87.816) (width 0.2) (layer "F.Cu") (net 68) (tstamp 10d15db6-e032-4885-a363-57d755d4904b)) - (segment (start 119.6984 87.816) (end 116.6456 87.816) (width 0.2) (layer "F.Cu") (net 68) (tstamp 27c9fc3d-e369-4ed9-9a1c-70fb9f16dc90)) - (segment (start 77.19043 59.370126) (end 79.25179 59.370126) (width 0.2) (layer "F.Cu") (net 68) (tstamp b5f6519a-b4ae-4267-85d6-8ae6bfed411d)) - (segment (start 79.25179 59.370126) (end 79.46179 59.580126) (width 0.2) (layer "F.Cu") (net 68) (tstamp dbb99040-efb3-406c-8e78-a8f437c7e651)) - (via (at 79.46179 59.580126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 68) (tstamp 279c9a16-3d3c-4783-a445-b2b1fb9f9cd8)) - (via (at 119.7376 87.8552) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 68) (tstamp 3ed1fe1d-ff48-46b7-9a49-ed3598451af3)) - (segment (start 115.331 84.55925) (end 112.839074 82.067324) (width 0.2) (layer "B.Cu") (net 68) (tstamp 1be3f5fa-7da6-49e3-b5d8-d85f6e227205)) - (segment (start 90.189566 58.999852) (end 80.042064 58.999852) (width 0.2) (layer "B.Cu") (net 68) (tstamp 20964a84-02a0-42dc-9056-ef9a02052f83)) - (segment (start 119.7376 87.8552) (end 118.62065 87.8552) (width 0.2) (layer "B.Cu") (net 68) (tstamp 2209a6bb-0c0c-40ab-878a-775721270f00)) - (segment (start 112.839074 82.067324) (end 112.839074 81.64936) (width 0.2) (layer "B.Cu") (net 68) (tstamp 74171fbd-a795-412c-8df9-6ef9e3f10ce3)) - (segment (start 80.042064 58.999852) (end 79.46179 59.580126) (width 0.2) (layer "B.Cu") (net 68) (tstamp 96b4f5c7-f886-48e5-b509-59e01a3ffdc1)) - (segment (start 115.331 84.56555) (end 115.331 84.55925) (width 0.2) (layer "B.Cu") (net 68) (tstamp 9c05cc01-a2d6-4764-a473-ece5b59ef1fb)) - (segment (start 118.62065 87.8552) (end 115.331 84.56555) (width 0.2) (layer "B.Cu") (net 68) (tstamp c3ba692a-c6dc-4690-a80d-0bb1a4fb451d)) - (segment (start 112.839074 81.64936) (end 90.189566 58.999852) (width 0.2) (layer "B.Cu") (net 68) (tstamp fe0ac5f7-7251-496a-87fb-8bb413ed7c3e)) - (segment (start 92.594777 55.302223) (end 92.630177 55.337623) (width 0.2) (layer "F.Cu") (net 69) (tstamp 8e2791c8-19e3-4d96-926f-575d358aece7)) - (segment (start 86.630558 55.302223) (end 92.594777 55.302223) (width 0.2) (layer "F.Cu") (net 69) (tstamp e192034d-57ef-4af3-aec1-ebb09aff33db)) - (segment (start 95.214926 55.458526) (end 109.3746 69.6182) (width 0.2) (layer "F.Cu") (net 70) (tstamp 151fc6fe-1688-4cb8-9ef2-9bd03d52e961)) - (segment (start 94.25108 55.458526) (end 95.214926 55.458526) (width 0.2) (layer "F.Cu") (net 70) (tstamp 20331d48-d8b3-4248-83e6-c71fbf80a9c8)) - (segment (start 111.6956 89.316) (end 112.6956 89.316) (width 0.2) (layer "F.Cu") (net 70) (tstamp 716b8402-bdd1-4713-8793-55c8dda74f11)) - (segment (start 109.3746 69.6182) (end 109.3746 86.995) (width 0.2) (layer "F.Cu") (net 70) (tstamp adf625e3-6590-4155-829b-590ef8742e9e)) - (segment (start 94.130177 55.337623) (end 94.25108 55.458526) (width 0.2) (layer "F.Cu") (net 70) (tstamp c1532d62-2274-432b-9564-2f28cdffac81)) - (segment (start 109.3746 86.995) (end 111.6956 89.316) (width 0.2) (layer "F.Cu") (net 70) (tstamp d4f49bb0-7b79-4420-a73c-fbbf23d78b73)) - (segment (start 92.572777 65.462223) (end 92.618177 65.507623) (width 0.2) (layer "F.Cu") (net 71) (tstamp 37f669ff-56f7-4768-8f5d-a03e4fba0e77)) - (segment (start 86.618558 65.462223) (end 92.572777 65.462223) (width 0.2) (layer "F.Cu") (net 71) (tstamp 6277be90-1c17-45f7-bb19-853c60129472)) - (segment (start 94.22908 65.618526) (end 95.087926 65.618526) (width 0.2) (layer "F.Cu") (net 72) (tstamp 04ac83c7-0bb9-4f7a-aafc-199bfb8e5856)) - (segment (start 111.6956 92.316) (end 112.6956 92.316) (width 0.2) (layer "F.Cu") (net 72) (tstamp 619f01c1-fa4b-4cc8-b342-21914c82f6b4)) - (segment (start 107.7746 78.3052) (end 107.7746 88.395) (width 0.2) (layer "F.Cu") (net 72) (tstamp 61ee61ad-74af-42e1-bcc5-f2ab48c50620)) - (segment (start 107.7746 88.395) (end 111.6956 92.316) (width 0.2) (layer "F.Cu") (net 72) (tstamp b55d1810-aed7-4f03-9c99-1dbea8aa995c)) - (segment (start 94.118177 65.507623) (end 94.22908 65.618526) (width 0.2) (layer "F.Cu") (net 72) (tstamp d15f0255-43f6-408a-90f4-9232cf16f1ff)) - (segment (start 95.087926 65.618526) (end 107.7746 78.3052) (width 0.2) (layer "F.Cu") (net 72) (tstamp f45935c4-8bca-4352-b982-66055b7c1efa)) - (segment (start 69.953634 132.544526) (end 75.551634 132.544526) (width 0.2) (layer "F.Cu") (net 73) (tstamp 81a0eeed-ea78-4a2c-bab2-2a472e160cb8)) - (segment (start 75.551634 132.544526) (end 75.561634 132.554526) (width 0.2) (layer "F.Cu") (net 73) (tstamp 96f2234b-8fbe-408b-a3bd-6ccdf070d25d)) - (segment (start 79.291634 132.554526) (end 77.061634 132.554526) (width 0.2) (layer "F.Cu") (net 74) (tstamp 2dafe855-6fd3-4383-8410-6ae27978e454)) - (segment (start 118.45468 109.516) (end 118.25468 109.316) (width 0.2) (layer "F.Cu") (net 74) (tstamp 8620b240-bb92-4617-be67-759a367ee519)) - (segment (start 118.25468 109.316) (end 116.6456 109.316) (width 0.2) (layer "F.Cu") (net 74) (tstamp f2066220-08dc-4711-891e-56784c800e5e)) - (segment (start 79.475634 132.738526) (end 79.291634 132.554526) (width 0.2) (layer "F.Cu") (net 74) (tstamp f4d78d56-a7be-4ad4-a9ca-8603ebdd0590)) - (via (at 118.45468 109.516) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 74) (tstamp b5bc0dac-89a4-4c8b-843c-4e38f16052d6)) - (via (at 79.475634 132.738526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 74) (tstamp d7f7f587-3ae7-43cd-9b3b-37023092db04)) - (segment (start 118.422451 109.548229) (end 118.45468 109.516) (width 0.2) (layer "B.Cu") (net 74) (tstamp 0788e3e1-26a5-440b-afce-3abf4539dbdf)) - (segment (start 79.475634 132.738526) (end 79.475634 132.078166) (width 0.2) (layer "B.Cu") (net 74) (tstamp 9929f8a5-28da-4ea4-839e-de68ede41e2a)) - (segment (start 102.005571 109.548229) (end 118.422451 109.548229) (width 0.2) (layer "B.Cu") (net 74) (tstamp a6f6044c-455f-4146-af69-b6db72fd3ad3)) - (segment (start 79.475634 132.078166) (end 102.005571 109.548229) (width 0.2) (layer "B.Cu") (net 74) (tstamp e84fa305-d70b-446e-a795-35e01781995d)) - (segment (start 86.559128 123.468097) (end 92.636159 123.468097) (width 0.2) (layer "F.Cu") (net 75) (tstamp 48d2f472-5d02-42b7-bfcb-7c709d0b6ee4)) - (segment (start 92.636159 123.468097) (end 92.658991 123.490929) (width 0.2) (layer "F.Cu") (net 75) (tstamp cf8e2615-e04f-40a6-9689-4f1fe819a645)) - (segment (start 94.311921 123.490929) (end 108.48685 109.316) (width 0.2) (layer "F.Cu") (net 76) (tstamp 670a85e7-7565-4b52-8a95-b02c46f08afb)) - (segment (start 108.48685 109.316) (end 112.6956 109.316) (width 0.2) (layer "F.Cu") (net 76) (tstamp 7ceaef40-d1ef-421e-88d8-6dfddf1522e1)) - (segment (start 94.0716 123.490929) (end 94.311921 123.490929) (width 0.2) (layer "F.Cu") (net 76) (tstamp 984925ca-db15-477b-a527-ea80b93c367f)) - (segment (start 125.160742 92.316) (end 119.711 92.316) (width 0.2) (layer "F.Cu") (net 77) (tstamp 0622d370-fec2-4d3a-85c1-dd23693e8bec)) - (segment (start 154.652216 62.824526) (end 125.160742 92.316) (width 0.2) (layer "F.Cu") (net 77) (tstamp 67b2f64c-9872-4709-9f72-4ea4b0f9247d)) - (segment (start 169.379474 62.824526) (end 154.652216 62.824526) (width 0.2) (layer "F.Cu") (net 77) (tstamp 6a465b33-342e-434b-b0d7-a6525c802929)) - (segment (start 79.46179 74.820126) (end 79.25579 74.614126) (width 0.2) (layer "F.Cu") (net 77) (tstamp 74e640fe-7699-4632-ae70-599eab895ab1)) - (segment (start 79.25579 74.614126) (end 77.08979 74.614126) (width 0.2) (layer "F.Cu") (net 77) (tstamp aa42f83a-7309-4f78-b38f-e33bbc72c950)) - (segment (start 119.711 92.316) (end 116.6456 92.316) (width 0.2) (layer "F.Cu") (net 77) (tstamp f5b1fa4e-d6f8-4068-b38d-c6da89aa88cb)) - (via (at 119.711 92.316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 77) (tstamp 00f3f523-db13-4f07-afa5-8f98e3194f94)) - (via (at 79.46179 74.820126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 77) (tstamp 942ab79b-431c-4d63-b9f7-fdd6fbe40906)) - (segment (start 119.2912 91.8962) (end 119.711 92.316) (width 0.2) (layer "B.Cu") (net 77) (tstamp 38f9d056-87a2-4f23-8a04-a9c24671e4a0)) - (segment (start 80.042064 74.239852) (end 86.539502 74.239852) (width 0.2) (layer "B.Cu") (net 77) (tstamp 4501b85d-7c79-4308-a6dd-4f4b2b42de1e)) - (segment (start 86.539502 74.239852) (end 104.19585 91.8962) (width 0.2) (layer "B.Cu") (net 77) (tstamp 50181e3f-e59b-47ab-8643-1846184e9891)) - (segment (start 79.46179 74.820126) (end 80.042064 74.239852) (width 0.2) (layer "B.Cu") (net 77) (tstamp 5226183b-2493-4658-a31f-fdc55fc07640)) - (segment (start 104.19585 91.8962) (end 119.2912 91.8962) (width 0.2) (layer "B.Cu") (net 77) (tstamp 962441a5-8ee7-468a-bce9-1754fff7071e)) - (segment (start 86.703958 68.087623) (end 86.618558 68.002223) (width 0.2) (layer "F.Cu") (net 78) (tstamp 11bd3f74-32b5-43c5-9d92-9f3f1633440d)) - (segment (start 92.618177 68.087623) (end 86.703958 68.087623) (width 0.2) (layer "F.Cu") (net 78) (tstamp 3c6944d8-8bb3-4356-ac06-13144898b001)) - (segment (start 111.629914 92.816) (end 112.6956 92.816) (width 0.2) (layer "F.Cu") (net 79) (tstamp 0e61b281-f070-444f-aa1a-4a3898c84455)) - (segment (start 94.118177 68.087623) (end 94.18908 68.158526) (width 0.2) (layer "F.Cu") (net 79) (tstamp 45c3fe4e-4821-4e1b-99b3-6fe34e357cbb)) - (segment (start 107.0376 88.223686) (end 111.629914 92.816) (width 0.2) (layer "F.Cu") (net 79) (tstamp 793862ae-3f95-48a7-853b-8999bb384d0f)) - (segment (start 94.18908 68.158526) (end 95.214926 68.158526) (width 0.2) (layer "F.Cu") (net 79) (tstamp cfbde411-2c57-4795-b1ed-b9b70bd51f91)) - (segment (start 107.0376 79.9812) (end 107.0376 88.223686) (width 0.2) (layer "F.Cu") (net 79) (tstamp da051fe4-492f-4f4e-b260-b648769519c6)) - (segment (start 95.214926 68.158526) (end 107.0376 79.9812) (width 0.2) (layer "F.Cu") (net 79) (tstamp f6dbb3b5-5838-4e66-bc7e-35c1684ae617)) - (segment (start 86.547128 118.358097) (end 92.625605 118.358097) (width 0.2) (layer "F.Cu") (net 80) (tstamp 3bffc561-4eb5-491b-8465-cb5b9f205b65)) - (segment (start 92.625605 118.358097) (end 92.636733 118.369225) (width 0.2) (layer "F.Cu") (net 80) (tstamp c6b1e9ce-3d90-4753-a463-19b748ba8682)) - (segment (start 94.0716 118.369225) (end 94.107261 118.369225) (width 0.2) (layer "F.Cu") (net 81) (tstamp 16e99911-bdce-4bd9-a1bb-6271595a178d)) - (segment (start 104.660486 107.816) (end 112.6956 107.816) (width 0.2) (layer "F.Cu") (net 81) (tstamp 6e99d959-293c-4e95-8351-9297f3f4a36c)) - (segment (start 94.107261 118.369225) (end 104.660486 107.816) (width 0.2) (layer "F.Cu") (net 81) (tstamp d77b1ae4-71ae-44e7-a222-ffdcecc08656)) - (segment (start 196.155123 90.049926) (end 202.134723 90.049926) (width 0.2) (layer "F.Cu") (net 82) (tstamp 7a23a2fb-bbd2-4543-b6cb-2b21e34e8b43)) - (segment (start 202.134723 90.049926) (end 202.155123 90.029526) (width 0.2) (layer "F.Cu") (net 82) (tstamp d9b2fbe5-79ae-4a8b-8ccd-9939403f0e0e)) - (segment (start 157.6956 106.316) (end 155.4688 106.316) (width 0.2) (layer "F.Cu") (net 83) (tstamp 20683446-60c5-4a20-aafd-77115e70530d)) - (segment (start 192.451123 87.529926) (end 194.575274 87.529926) (width 0.2) (layer "F.Cu") (net 83) (tstamp 983b4d90-915d-45bf-bf1a-ee93507c4d10)) - (segment (start 155.4688 106.316) (end 155.4536 106.3312) (width 0.2) (layer "F.Cu") (net 83) (tstamp b30bfc56-61ca-43e3-b8a9-6ef01ca0f206)) - (segment (start 155.4384 106.316) (end 155.3584 106.316) (width 0.2) (layer "F.Cu") (net 83) (tstamp e255d7cd-1e32-4d4e-bc16-b4863462d438)) - (segment (start 155.4536 106.3312) (end 155.4384 106.316) (width 0.2) (layer "F.Cu") (net 83) (tstamp e3f1f4ab-f0ab-41de-87a6-83aaa5386185)) - (segment (start 155.3584 106.316) (end 155.3536 106.3112) (width 0.2) (layer "F.Cu") (net 83) (tstamp e66f1c1c-10b5-4018-b2b3-644a7bbeecfd)) - (via (at 155.4536 106.3312) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 83) (tstamp 62b2ecc4-9f79-47a1-8d94-22577bcc7492)) - (via (at 192.429123 87.551926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 83) (tstamp d451cf38-ee78-44e2-9903-d5173cb848bc)) - (segment (start 186.702274 93.278775) (end 186.702274 105.594526) (width 0.2) (layer "B.Cu") (net 83) (tstamp 1562dd71-2b9c-427f-b3fa-025db316788e)) - (segment (start 186.702274 105.594526) (end 185.9656 106.3312) (width 0.2) (layer "B.Cu") (net 83) (tstamp 17451b49-df8f-4a60-ba1e-6d7b9d575cc2)) - (segment (start 185.9656 106.3312) (end 155.4536 106.3312) (width 0.2) (layer "B.Cu") (net 83) (tstamp d048ed4d-ac57-4c7e-b6fe-52f669f4e83d)) - (segment (start 192.429123 87.551926) (end 186.702274 93.278775) (width 0.2) (layer "B.Cu") (net 83) (tstamp e1af3b1b-560b-45c8-800b-75c6dbe42938)) - (segment (start 75.589274 51.140526) (end 69.862874 51.140526) (width 0.2) (layer "F.Cu") (net 84) (tstamp 4538dfff-5aa2-461b-8c7e-e87c269ce8a2)) - (segment (start 69.862874 51.140526) (end 69.862274 51.141126) (width 0.2) (layer "F.Cu") (net 84) (tstamp 6d8b9270-4cac-4e85-afb9-b87bfa1b4ef0)) - (segment (start 70.041825 51.140526) (end 70.041225 51.141126) (width 0.2) (layer "F.Cu") (net 84) (tstamp d63aa545-92da-496a-8b7d-63d6cb38dcb0)) - (segment (start 155.92875 103.816) (end 157.6956 103.816) (width 0.2) (layer "F.Cu") (net 85) (tstamp 22ae6dfd-6ab4-4762-98fb-24d5c4a216e6)) - (segment (start 153.418 104.1012) (end 155.64355 104.1012) (width 0.2) (layer "F.Cu") (net 85) (tstamp 7df82141-7fde-4536-b5a3-a115e4338d56)) - (segment (start 153.239 104.2802) (end 153.418 104.1012) (width 0.2) (layer "F.Cu") (net 85) (tstamp 87c67b68-2254-49b3-a2c6-d98acd64368d)) - (segment (start 176.300274 102.829526) (end 173.748274 102.829526) (width 0.2) (layer "F.Cu") (net 85) (tstamp 9978234b-95ae-478e-a825-7f0220d332ae)) - (segment (start 155.64355 104.1012) (end 155.92875 103.816) (width 0.2) (layer "F.Cu") (net 85) (tstamp 9e704ee8-a531-45e7-ad63-3cb8f63d66fb)) - (via (at 153.239 104.2802) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 85) (tstamp 46d0b553-9507-427d-99c7-b6b94a350cbc)) - (via (at 173.748274 102.829526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 85) (tstamp 5d69ca14-30e8-4502-b0e0-f1ea1ac2231f)) - (segment (start 153.418 104.1012) (end 153.239 104.2802) (width 0.2) (layer "B.Cu") (net 85) (tstamp 341a6ab4-b46b-429e-81d8-9110f8564904)) - (segment (start 172.4766 104.1012) (end 153.418 104.1012) (width 0.2) (layer "B.Cu") (net 85) (tstamp 70abd7bb-1a58-49c3-bd79-b94b6c390a0c)) - (segment (start 173.748274 102.829526) (end 172.4766 104.1012) (width 0.2) (layer "B.Cu") (net 85) (tstamp c1abf1e9-eafc-4b35-a52a-34cf0ce5e495)) - (segment (start 75.687712 61.943326) (end 69.858074 61.943326) (width 0.2) (layer "F.Cu") (net 86) (tstamp 056930ef-0dfa-432c-b88d-e764014546e0)) - (segment (start 69.858074 61.943326) (end 69.850274 61.935526) (width 0.2) (layer "F.Cu") (net 86) (tstamp 957a8fae-d7c9-483b-bd71-a5db3ab84a42)) - (segment (start 118.8486 88.616) (end 118.5486 88.316) (width 0.2) (layer "F.Cu") (net 87) (tstamp 37c349d4-3a4f-4934-a489-5f03a997783f)) - (segment (start 79.28499 61.943326) (end 77.187712 61.943326) (width 0.2) (layer "F.Cu") (net 87) (tstamp 7b9fb3f6-32b1-43ae-a42f-7b9ff01c5331)) - (segment (start 79.46179 62.120126) (end 79.28499 61.943326) (width 0.2) (layer "F.Cu") (net 87) (tstamp f58c0169-784d-42ca-8543-405488d10e5c)) - (segment (start 118.5486 88.316) (end 116.6456 88.316) (width 0.2) (layer "F.Cu") (net 87) (tstamp fea11394-5c6b-4407-96b4-fcf14181763c)) - (via (at 118.8486 88.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 87) (tstamp a79edc2a-84fb-495f-ac38-da6b32366830)) - (via (at 79.46179 62.120126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 87) (tstamp bbd252e3-fbd7-485b-b95e-bc2c64fa7379)) - (segment (start 118.815764 88.616) (end 118.8486 88.616) (width 0.2) (layer "B.Cu") (net 87) (tstamp 054ccf27-9d29-490d-9847-74b8117ed279)) - (segment (start 117.842964 87.6432) (end 118.815764 88.616) (width 0.2) (layer "B.Cu") (net 87) (tstamp 069a9386-3406-42a2-a9a5-c6797f655538)) - (segment (start 79.46179 62.120126) (end 84.03458 62.120126) (width 0.2) (layer "B.Cu") (net 87) (tstamp 3302b787-8931-4e96-b98e-7714294bae8d)) - (segment (start 84.03458 62.120126) (end 109.557654 87.6432) (width 0.2) (layer "B.Cu") (net 87) (tstamp 6e9140eb-ea34-4b25-afa0-72dbded62ba0)) - (segment (start 109.557654 87.6432) (end 117.842964 87.6432) (width 0.2) (layer "B.Cu") (net 87) (tstamp 88cf1669-b5ba-426c-8d6c-3313fcd0c64d)) - (segment (start 92.606177 52.771423) (end 86.615758 52.771423) (width 0.2) (layer "F.Cu") (net 88) (tstamp 6ed377ad-15e5-4718-8f4b-7eca8b5fe9af)) - (segment (start 86.615758 52.771423) (end 86.606558 52.762223) (width 0.2) (layer "F.Cu") (net 88) (tstamp afa1e8f1-84ab-4e0c-b19c-f750c23d6efe)) - (segment (start 94.106177 52.771423) (end 94.25328 52.918526) (width 0.2) (layer "F.Cu") (net 89) (tstamp 281e2e35-7429-43fc-849b-30a5b623ae5d)) - (segment (start 111.629914 88.316) (end 112.6956 88.316) (width 0.2) (layer "F.Cu") (net 89) (tstamp 3fd8be4e-9aee-463a-86fc-95eae4492886)) - (segment (start 95.214926 52.918526) (end 109.7746 67.4782) (width 0.2) (layer "F.Cu") (net 89) (tstamp 603b15ee-a04f-4b02-9c51-8ea8b8977fd3)) - (segment (start 94.25328 52.918526) (end 95.214926 52.918526) (width 0.2) (layer "F.Cu") (net 89) (tstamp 9fed2a88-ba36-49e5-b6ed-80cbce029554)) - (segment (start 109.7746 86.460686) (end 111.629914 88.316) (width 0.2) (layer "F.Cu") (net 89) (tstamp cb274e68-c382-4d28-bcf5-7513c8bf180d)) - (segment (start 109.7746 67.4782) (end 109.7746 86.460686) (width 0.2) (layer "F.Cu") (net 89) (tstamp f5c41c3c-a645-4b1e-9bdb-6eb1e4e7d1c3)) - (segment (start 69.862274 64.475526) (end 75.733812 64.475526) (width 0.2) (layer "F.Cu") (net 90) (tstamp 19620a1c-ebc5-4c8e-9f96-4d8be4fc8216)) - (segment (start 75.733812 64.475526) (end 75.734612 64.474726) (width 0.2) (layer "F.Cu") (net 90) (tstamp c9660f6f-84a8-4172-a48a-16885f3ee43d)) - (segment (start 119.7376 89.3792) (end 119.6744 89.316) (width 0.2) (layer "F.Cu") (net 91) (tstamp 4575c903-f72d-4914-9ed3-6526cce29a65)) - (segment (start 77.234612 64.474726) (end 79.27639 64.474726) (width 0.2) (layer "F.Cu") (net 91) (tstamp 991d4d71-d2bc-45b3-a0c9-3b645c46c008)) - (segment (start 119.6744 89.316) (end 116.6456 89.316) (width 0.2) (layer "F.Cu") (net 91) (tstamp d31d8c9a-70b1-4256-8884-694f49795f21)) - (segment (start 79.27639 64.474726) (end 79.46179 64.660126) (width 0.2) (layer "F.Cu") (net 91) (tstamp ec04930f-cba1-4a91-9059-3e9c048c4f51)) - (via (at 79.46179 64.660126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 91) (tstamp 0f92a15c-1abc-48da-bb4f-e3143a58c22a)) - (via (at 119.7376 89.3792) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 91) (tstamp bb572e3f-714e-4121-ad84-5b2606b8a965)) - (segment (start 80.042064 64.079852) (end 83.0556 64.079852) (width 0.2) (layer "B.Cu") (net 91) (tstamp 2b35ba5e-462f-4a67-8b50-4462779f3e76)) - (segment (start 79.46179 64.660126) (end 80.042064 64.079852) (width 0.2) (layer "B.Cu") (net 91) (tstamp 455871a7-f31a-4f5c-8e60-9ba137e6881a)) - (segment (start 107.126948 88.1512) (end 117.323031 88.1512) (width 0.2) (layer "B.Cu") (net 91) (tstamp 81057126-e838-4df4-aa03-ccd7c6331193)) - (segment (start 117.323031 88.1512) (end 118.487831 89.316) (width 0.2) (layer "B.Cu") (net 91) (tstamp 93296dcc-90d9-418f-a9f9-b18371f28698)) - (segment (start 119.6744 89.316) (end 119.7376 89.3792) (width 0.2) (layer "B.Cu") (net 91) (tstamp 96fd1b6f-9250-4923-abfb-764605081b3d)) - (segment (start 83.0556 64.079852) (end 107.126948 88.1512) (width 0.2) (layer "B.Cu") (net 91) (tstamp a3b55d2b-32a7-4af0-8c45-812a454317d5)) - (segment (start 118.487831 89.316) (end 119.6744 89.316) (width 0.2) (layer "B.Cu") (net 91) (tstamp f55e040b-d7f7-4dbc-81ed-5cac3bdb3a31)) - (segment (start 75.737575 67.023126) (end 69.857874 67.023126) (width 0.2) (layer "F.Cu") (net 92) (tstamp 68ecf1d8-8f76-4d86-b335-1764a91fbfc8)) - (segment (start 69.857874 67.023126) (end 69.850274 67.015526) (width 0.2) (layer "F.Cu") (net 92) (tstamp 9d501a90-ccf3-4c7a-a3b8-70cb7d668f06)) - (segment (start 118.777781 90.016) (end 118.577781 89.816) (width 0.2) (layer "F.Cu") (net 93) (tstamp 3ed5b981-b44f-4f25-a920-6e8d671354ef)) - (segment (start 118.577781 89.816) (end 116.6456 89.816) (width 0.2) (layer "F.Cu") (net 93) (tstamp 5c37f805-aed5-4521-ba47-2c367a934b4f)) - (segment (start 79.46179 67.200126) (end 79.28479 67.023126) (width 0.2) (layer "F.Cu") (net 93) (tstamp baf442d9-89cb-4287-a60f-4b352bfd2ca5)) - (segment (start 79.28479 67.023126) (end 77.237575 67.023126) (width 0.2) (layer "F.Cu") (net 93) (tstamp daf0a431-8760-492e-b5db-6b319dfeb478)) - (via (at 118.777781 90.016) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 93) (tstamp 28982de5-67ec-4010-944a-41ef35239d17)) - (via (at 79.46179 67.200126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 93) (tstamp 59f2374e-fabb-4691-83d8-6fe4f7b54a29)) - (segment (start 107.196263 88.7862) (end 117.392345 88.7862) (width 0.2) (layer "B.Cu") (net 93) (tstamp 229cb1d4-127e-4b8c-b823-205af57e963a)) - (segment (start 80.042064 66.619852) (end 85.029914 66.619852) (width 0.2) (layer "B.Cu") (net 93) (tstamp 584eb8ce-005f-45c9-9fcb-f62c72f3370c)) - (segment (start 79.46179 67.200126) (end 80.042064 66.619852) (width 0.2) (layer "B.Cu") (net 93) (tstamp 5967a96e-0516-4b59-8cb5-e22399f32399)) - (segment (start 85.029914 66.619852) (end 107.196263 88.7862) (width 0.2) (layer "B.Cu") (net 93) (tstamp b09b4aab-366e-47cb-952e-c269559b95a7)) - (segment (start 118.322145 89.716) (end 118.477781 89.716) (width 0.2) (layer "B.Cu") (net 93) (tstamp cf2cf23c-c35b-45a6-a82b-2e86957c339f)) - (segment (start 118.477781 89.716) (end 118.777781 90.016) (width 0.2) (layer "B.Cu") (net 93) (tstamp da080286-eb7b-4676-885b-475b979bb365)) - (segment (start 117.392345 88.7862) (end 118.322145 89.716) (width 0.2) (layer "B.Cu") (net 93) (tstamp e2ae9bac-65ff-4fb3-b0aa-6c872c1289ec)) - (segment (start 86.668358 57.804423) (end 86.630558 57.842223) (width 0.2) (layer "F.Cu") (net 94) (tstamp 651bc430-ca95-4b17-a371-46cab8730849)) - (segment (start 92.618177 57.804423) (end 86.668358 57.804423) (width 0.2) (layer "F.Cu") (net 94) (tstamp ac090014-22d1-4c15-a981-1bb955288100)) - (segment (start 95.087926 57.871526) (end 108.9746 71.7582) (width 0.2) (layer "F.Cu") (net 95) (tstamp 33239c8f-fb84-4c09-8786-ef1135bf0be7)) - (segment (start 108.9746 87.160686) (end 111.629914 89.816) (width 0.2) (layer "F.Cu") (net 95) (tstamp 777c5ee7-4ad9-4a38-82bb-c12dd1775082)) - (segment (start 94.18528 57.871526) (end 95.087926 57.871526) (width 0.2) (layer "F.Cu") (net 95) (tstamp 7b488fa2-7bd4-45a5-8029-2634eda053e1)) - (segment (start 111.629914 89.816) (end 112.6956 89.816) (width 0.2) (layer "F.Cu") (net 95) (tstamp 95e0faf3-5893-4438-a18e-603e69d877af)) - (segment (start 108.9746 71.7582) (end 108.9746 87.160686) (width 0.2) (layer "F.Cu") (net 95) (tstamp 9d434433-774a-498d-8ce0-ab019fd3ce4e)) - (segment (start 94.118177 57.804423) (end 94.18528 57.871526) (width 0.2) (layer "F.Cu") (net 95) (tstamp d11d865d-4fe1-42eb-bfb2-0cc1994015f3)) - (segment (start 86.618558 60.382223) (end 92.580087 60.382223) (width 0.2) (layer "F.Cu") (net 96) (tstamp 479ee158-36ec-4a92-b720-1f5f17e4ba6d)) - (segment (start 92.580087 60.382223) (end 92.581857 60.383993) (width 0.2) (layer "F.Cu") (net 96) (tstamp 9452d0a8-4ee1-4db9-acfa-42f9c1ecd458)) - (segment (start 94.23639 60.538526) (end 95.087926 60.538526) (width 0.2) (layer "F.Cu") (net 97) (tstamp 19c90b51-f0e3-4ef3-84e6-75f76858c791)) - (segment (start 111.6956 90.816) (end 112.6956 90.816) (width 0.2) (layer "F.Cu") (net 97) (tstamp 3cf1c306-73af-4191-be1d-eedb3ac0ac10)) - (segment (start 95.087926 60.538526) (end 108.5746 74.0252) (width 0.2) (layer "F.Cu") (net 97) (tstamp 59888217-a852-43ab-bf95-c90951abfcb3)) - (segment (start 108.5746 87.695) (end 111.6956 90.816) (width 0.2) (layer "F.Cu") (net 97) (tstamp 607c535f-e8e4-4acc-a62c-4e2af04b1a97)) - (segment (start 108.5746 74.0252) (end 108.5746 87.695) (width 0.2) (layer "F.Cu") (net 97) (tstamp c9b8fbb4-2d7a-4694-80ec-1b9e42760bae)) - (segment (start 94.081857 60.383993) (end 94.23639 60.538526) (width 0.2) (layer "F.Cu") (net 97) (tstamp d2bb0f16-300c-419a-adbc-55b95475cad6)) - (segment (start 77.14979 69.554126) (end 79.27579 69.554126) (width 0.2) (layer "F.Cu") (net 98) (tstamp 0ed0cd57-5d03-4309-b1e4-a579c6231fb2)) - (segment (start 119.29075 90.816) (end 116.6456 90.816) (width 0.2) (layer "F.Cu") (net 98) (tstamp 0eff5e04-6247-4650-937b-04c84e224d87)) - (segment (start 79.27579 69.554126) (end 79.46179 69.740126) (width 0.2) (layer "F.Cu") (net 98) (tstamp 1c037b09-1161-42d6-91c6-7efa0e077681)) - (segment (start 125.72937 90.616) (end 158.600844 57.744526) (width 0.2) (layer "F.Cu") (net 98) (tstamp 1e2d5369-4e8b-4d52-9051-8c54a74e2c1a)) - (segment (start 119.711 90.616) (end 125.72937 90.616) (width 0.2) (layer "F.Cu") (net 98) (tstamp 4155443e-4af4-4825-bebd-eb3d4ef72243)) - (segment (start 119.49075 90.616) (end 119.29075 90.816) (width 0.2) (layer "F.Cu") (net 98) (tstamp 6f564880-fbd1-4657-9c1a-71dee0e184a3)) - (segment (start 119.711 90.616) (end 119.49075 90.616) (width 0.2) (layer "F.Cu") (net 98) (tstamp b51e3a2e-d152-4004-8d22-2f988cb4d070)) - (segment (start 158.600844 57.744526) (end 169.379474 57.744526) (width 0.2) (layer "F.Cu") (net 98) (tstamp ddabcf30-0142-4606-9179-8c40bca43340)) - (via (at 79.46179 69.740126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 98) (tstamp 80276732-667a-4db1-8a96-9abed95a433c)) - (via (at 119.711 90.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 98) (tstamp fe0d147c-ad57-4968-b397-f96314b9dcff)) - (segment (start 79.46179 69.740126) (end 80.042064 69.159852) (width 0.2) (layer "B.Cu") (net 98) (tstamp 3a595520-2637-4716-9e36-349a6839199b)) - (segment (start 87.004228 69.159852) (end 108.560376 90.716) (width 0.2) (layer "B.Cu") (net 98) (tstamp 4115c31c-4732-4ec4-9ae5-678ba8a74819)) - (segment (start 108.560376 90.716) (end 119.611 90.716) (width 0.2) (layer "B.Cu") (net 98) (tstamp 521c16e4-957e-4693-b8a5-9f0138d2254f)) - (segment (start 80.042064 69.159852) (end 87.004228 69.159852) (width 0.2) (layer "B.Cu") (net 98) (tstamp 61cffee3-b71f-4ec4-a29d-5e2bda8423e7)) - (segment (start 119.611 90.716) (end 119.711 90.616) (width 0.2) (layer "B.Cu") (net 98) (tstamp e6d9aec9-73d5-434f-8f4d-5e290213bd25)) - (segment (start 92.522591 62.977424) (end 86.673759 62.977424) (width 0.2) (layer "F.Cu") (net 99) (tstamp c7dfb4da-4fac-4896-a0d6-e3a7f2563f45)) - (segment (start 86.673759 62.977424) (end 86.618558 62.922223) (width 0.2) (layer "F.Cu") (net 99) (tstamp e7ec3fc2-a100-4f9c-b266-e2e446b8f4af)) - (segment (start 94.022591 62.977424) (end 94.123693 63.078526) (width 0.2) (layer "F.Cu") (net 100) (tstamp 4deeba2a-6c59-4f73-aab7-58cb94004af4)) - (segment (start 108.1746 87.860686) (end 111.629914 91.316) (width 0.2) (layer "F.Cu") (net 100) (tstamp 7e4f9981-c8e3-4dd5-a8f9-3ec4d4565393)) - (segment (start 111.629914 91.316) (end 112.6956 91.316) (width 0.2) (layer "F.Cu") (net 100) (tstamp 85c3a1aa-c9c1-4cd1-99ed-36a57b71ae25)) - (segment (start 94.123693 63.078526) (end 95.214926 63.078526) (width 0.2) (layer "F.Cu") (net 100) (tstamp 9d8b897f-a0a4-40ab-a600-9c07f9fd8d62)) - (segment (start 108.1746 76.0382) (end 108.1746 87.860686) (width 0.2) (layer "F.Cu") (net 100) (tstamp ac6deb82-f193-4ef0-95b4-776311a953f8)) - (segment (start 95.214926 63.078526) (end 108.1746 76.0382) (width 0.2) (layer "F.Cu") (net 100) (tstamp ba8d648e-995d-44c7-b8fc-04a140078a69)) - (segment (start 156.62653 60.284526) (end 169.379474 60.284526) (width 0.2) (layer "F.Cu") (net 101) (tstamp 284c7733-43a2-43c5-9c06-a142d82d5bad)) - (segment (start 120.6 91.4532) (end 125.457856 91.4532) (width 0.2) (layer "F.Cu") (net 101) (tstamp 66503e43-e5ca-4869-b0fe-97b4abb2caf1)) - (segment (start 79.46179 72.280126) (end 79.22579 72.044126) (width 0.2) (layer "F.Cu") (net 101) (tstamp 85995794-9617-4438-aeba-a4eddbe7eef2)) - (segment (start 120.6 91.4532) (end 120.4628 91.316) (width 0.2) (layer "F.Cu") (net 101) (tstamp a269f4f7-81f3-4a84-aa10-fb69c8be18e4)) - (segment (start 125.457856 91.4532) (end 156.62653 60.284526) (width 0.2) (layer "F.Cu") (net 101) (tstamp c3eb1cf8-db28-4392-9eeb-7b251377450c)) - (segment (start 120.4628 91.316) (end 116.6456 91.316) (width 0.2) (layer "F.Cu") (net 101) (tstamp d1d323ad-3d8c-4be4-bc6a-6c42b1ff651e)) - (segment (start 79.22579 72.044126) (end 77.08979 72.044126) (width 0.2) (layer "F.Cu") (net 101) (tstamp eaf44a51-6c9b-4d19-bb7e-dbf4bf3ecfe0)) - (via (at 79.46179 72.280126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 101) (tstamp 911411c3-c821-4e17-8d6f-b7c6aa645d03)) - (via (at 120.6 91.4532) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 101) (tstamp 97e123c0-b7c8-4776-a044-a49caa104838)) - (segment (start 79.55419 72.372526) (end 89.465241 72.372526) (width 0.2) (layer "B.Cu") (net 101) (tstamp 5f1209d1-5f55-4271-9954-fe4e0c202c61)) - (segment (start 89.465241 72.372526) (end 108.545915 91.4532) (width 0.2) (layer "B.Cu") (net 101) (tstamp a835711a-089c-4d0e-8a8e-f8e77f31fc65)) - (segment (start 79.46179 72.280126) (end 79.55419 72.372526) (width 0.2) (layer "B.Cu") (net 101) (tstamp b3090274-31d5-424f-9142-3c86fb248557)) - (segment (start 108.545915 91.4532) (end 120.6 91.4532) (width 0.2) (layer "B.Cu") (net 101) (tstamp ffcac79e-9677-4107-924e-358bff3e30ac)) - (segment (start 86.602802 84.211087) (end 92.544062 84.211087) (width 0.2) (layer "F.Cu") (net 102) (tstamp 2b6a603c-c153-4f90-89e5-2ce0e9e46cbc)) - (segment (start 92.544062 84.211087) (end 92.56729 84.234315) (width 0.2) (layer "F.Cu") (net 102) (tstamp f15fad65-ee3c-4e6a-97ea-5e67a67239c1)) - (segment (start 94.06729 84.234315) (end 95.796715 84.234315) (width 0.2) (layer "F.Cu") (net 103) (tstamp 133f9b97-dfab-4827-9a94-8f6c2d6b77cd)) - (segment (start 95.796715 84.234315) (end 108.8784 97.316) (width 0.2) (layer "F.Cu") (net 103) (tstamp 1c040c2c-a78c-4ae0-a3c3-0bc35779db59)) - (segment (start 108.8784 97.316) (end 112.6956 97.316) (width 0.2) (layer "F.Cu") (net 103) (tstamp fd0c451f-ef45-4252-9843-1db8318a256b)) - (segment (start 86.619478 86.837763) (end 86.604802 86.823087) (width 0.2) (layer "F.Cu") (net 104) (tstamp 4d488e66-fc2e-4391-9044-2e20be7b6291)) - (segment (start 92.540566 86.837763) (end 86.619478 86.837763) (width 0.2) (layer "F.Cu") (net 104) (tstamp c561c050-665e-4859-8d21-54379e0aa21f)) - (segment (start 107.0844 98.316) (end 112.6956 98.316) (width 0.2) (layer "F.Cu") (net 105) (tstamp 14923239-d84c-4ad9-800e-a8b1a6b6efb9)) - (segment (start 94.040566 86.837763) (end 95.606163 86.837763) (width 0.2) (layer "F.Cu") (net 105) (tstamp 59ffb009-5e53-4f7d-872e-51969c05c15f)) - (segment (start 95.606163 86.837763) (end 107.0844 98.316) (width 0.2) (layer "F.Cu") (net 105) (tstamp a835670e-1a7d-4f19-b8f3-57128d0c2721)) - (segment (start 70.033634 95.971526) (end 75.496079 95.971526) (width 0.2) (layer "F.Cu") (net 106) (tstamp 44f2f00d-08a2-426c-885b-f55812e767b4)) - (segment (start 75.496079 95.971526) (end 75.503634 95.963971) (width 0.2) (layer "F.Cu") (net 106) (tstamp 87a82809-81e7-4fe4-ae0d-091f0bf466e4)) - (segment (start 121.668 98.7264) (end 121.2576 98.316) (width 0.2) (layer "F.Cu") (net 107) (tstamp 4322ea50-b953-45f6-8565-f795c68338ce)) - (segment (start 121.2576 98.316) (end 116.6456 98.316) (width 0.2) (layer "F.Cu") (net 107) (tstamp 686ed60e-5ff8-4cfe-926a-d4fcaa22c8b6)) - (segment (start 79.449189 95.963971) (end 79.475634 95.937526) (width 0.2) (layer "F.Cu") (net 107) (tstamp 71a114c9-d5d3-4c24-8305-5ec889b9b1b5)) - (segment (start 77.003634 95.963971) (end 79.449189 95.963971) (width 0.2) (layer "F.Cu") (net 107) (tstamp 88d731b8-001f-4262-bc3c-323db1c04682)) - (via (at 79.475634 95.937526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 107) (tstamp 77ad2267-001c-4f00-ae0c-f6bd7d5492b5)) - (via (at 121.668 98.7264) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 107) (tstamp ba1eae4a-e472-4cda-9ac9-bc3c638d1eb6)) - (segment (start 86.818252 94.559852) (end 91.2696 99.0112) (width 0.2) (layer "B.Cu") (net 107) (tstamp 0dff00e1-afdf-41c6-b96e-cc038906134b)) - (segment (start 79.475634 95.937526) (end 80.853308 94.559852) (width 0.2) (layer "B.Cu") (net 107) (tstamp 2733d6d8-bc2c-437f-8cdc-27c47f3d800c)) - (segment (start 121.256796 98.315196) (end 121.668 98.7264) (width 0.2) (layer "B.Cu") (net 107) (tstamp 80ad233d-2f19-479d-9861-485a2f64e3db)) - (segment (start 115.836 99.0112) (end 116.532004 98.315196) (width 0.2) (layer "B.Cu") (net 107) (tstamp 9058d52d-8296-4177-ada4-ccdc38c7c697)) - (segment (start 116.532004 98.315196) (end 121.256796 98.315196) (width 0.2) (layer "B.Cu") (net 107) (tstamp b7da0c72-fd11-467b-8fdd-3e613b413fb5)) - (segment (start 80.853308 94.559852) (end 86.818252 94.559852) (width 0.2) (layer "B.Cu") (net 107) (tstamp c8a7408c-8662-45a2-9bb5-eb6f0cee596c)) - (segment (start 91.2696 99.0112) (end 115.836 99.0112) (width 0.2) (layer "B.Cu") (net 107) (tstamp e7775f0e-59bd-4d9d-9be3-7bcca8948152)) - (segment (start 86.612802 91.751087) (end 86.602802 91.761087) (width 0.2) (layer "F.Cu") (net 108) (tstamp 39793d79-b8de-4e96-8acc-8e9d49bddc85)) - (segment (start 92.602802 91.751087) (end 86.612802 91.751087) (width 0.2) (layer "F.Cu") (net 108) (tstamp 8ccef3f3-957f-405c-a791-5bd0b160c3fd)) - (segment (start 103.7584 99.816) (end 112.6956 99.816) (width 0.2) (layer "F.Cu") (net 109) (tstamp 1a00ed8b-f767-41a8-8f87-7347ab6829f5)) - (segment (start 94.102802 91.751087) (end 95.693487 91.751087) (width 0.2) (layer "F.Cu") (net 109) (tstamp 47645b17-f4f2-4a90-968a-decc9aea2dab)) - (segment (start 95.693487 91.751087) (end 103.7584 99.816) (width 0.2) (layer "F.Cu") (net 109) (tstamp 4f023cb8-f2a4-45fe-b2cb-2680cc1151dc)) - (segment (start 69.903634 106.431526) (end 75.549997 106.431526) (width 0.2) (layer "F.Cu") (net 110) (tstamp 06cb2e16-4920-41c4-8ecc-1fed2b988e27)) - (segment (start 75.549997 106.431526) (end 75.631397 106.350126) (width 0.2) (layer "F.Cu") (net 110) (tstamp eda7b69a-980b-422e-b915-db0b9c0d0ba9)) - (segment (start 77.131397 106.350126) (end 79.220234 106.350126) (width 0.2) (layer "F.Cu") (net 111) (tstamp 21318e46-7bb3-4089-8767-b1410aa39b3e)) - (segment (start 118.3418 101.316) (end 118.5946 101.0632) (width 0.2) (layer "F.Cu") (net 111) (tstamp 4f069db6-c110-4622-9527-7dde9649beda)) - (segment (start 116.6456 101.316) (end 118.3418 101.316) (width 0.2) (layer "F.Cu") (net 111) (tstamp a2af0a61-fdb1-4509-b14d-9e984a3462c0)) - (segment (start 79.220234 106.350126) (end 79.475634 106.605526) (width 0.2) (layer "F.Cu") (net 111) (tstamp d027e42a-d309-48c2-a557-31e4381c2ca9)) - (via (at 118.5946 101.0632) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 111) (tstamp 6b29bca7-b667-4f1d-90f9-5e4dcc5ed05c)) - (via (at 79.475634 106.605526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 111) (tstamp 992c1ca8-5cf9-44df-ac2b-d2654dad9397)) - (segment (start 83.663286 101.0402) (end 118.5716 101.0402) (width 0.2) (layer "B.Cu") (net 111) (tstamp 13fafda7-469e-4aa0-a369-4e77b7ec18e3)) - (segment (start 79.475634 105.227852) (end 83.663286 101.0402) (width 0.2) (layer "B.Cu") (net 111) (tstamp 56862185-523b-46de-99a5-90044aa86ae3)) - (segment (start 79.475634 106.605526) (end 79.475634 105.227852) (width 0.2) (layer "B.Cu") (net 111) (tstamp b9f5a0ef-632f-4802-84b3-72d3bcf03084)) - (segment (start 118.5716 101.0402) (end 118.5946 101.0632) (width 0.2) (layer "B.Cu") (net 111) (tstamp cc6f45c9-b954-4997-aa8e-317f306fcfeb)) - (segment (start 70.101384 103.640272) (end 70.013634 103.728022) (width 0.2) (layer "F.Cu") (net 112) (tstamp 030fead6-dd68-459a-8e11-2ef07473adeb)) - (segment (start 75.613359 103.640272) (end 70.101384 103.640272) (width 0.2) (layer "F.Cu") (net 112) (tstamp ef013cad-c5ce-493d-8cef-324b67135d94)) - (segment (start 119.258415 100.316) (end 116.6456 100.316) (width 0.2) (layer "F.Cu") (net 113) (tstamp 049d3985-31dc-42ad-be3d-1b59e050ba5b)) - (segment (start 79.392888 103.640272) (end 77.113359 103.640272) (width 0.2) (layer "F.Cu") (net 113) (tstamp 0c25c8be-1531-4b70-9ef3-933f30ea44ed)) - (segment (start 119.347663 100.405248) (end 119.258415 100.316) (width 0.2) (layer "F.Cu") (net 113) (tstamp 284e3da7-3f0d-419f-b164-a58184f2fd87)) - (segment (start 79.475634 103.557526) (end 79.392888 103.640272) (width 0.2) (layer "F.Cu") (net 113) (tstamp cf9dbcad-97f8-423b-b0ef-fbdf26a6b6a8)) - (via (at 119.347663 100.405248) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 113) (tstamp 29b20e73-82f0-49d9-9b48-2aa362673241)) - (via (at 79.475634 103.557526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 113) (tstamp 360cc7c9-e37d-4a9e-9ddd-49c2e0e50429)) - (segment (start 79.475634 103.557526) (end 82.66996 100.3632) (width 0.2) (layer "B.Cu") (net 113) (tstamp 06a9dec3-b325-44d3-a1ce-f78b54579b25)) - (segment (start 119.305615 100.3632) (end 119.347663 100.405248) (width 0.2) (layer "B.Cu") (net 113) (tstamp 4ae14514-717f-4daa-8b14-5a6a3f7a2bc3)) - (segment (start 82.66996 100.3632) (end 119.305615 100.3632) (width 0.2) (layer "B.Cu") (net 113) (tstamp aace3ede-b084-4862-b339-bc6b8045856f)) - (segment (start 75.503634 98.451526) (end 70.013634 98.451526) (width 0.2) (layer "F.Cu") (net 114) (tstamp e78accf9-44ff-4258-9980-7c6dcb98e775)) - (segment (start 77.003634 98.451526) (end 79.449634 98.451526) (width 0.2) (layer "F.Cu") (net 115) (tstamp 1f487a14-1607-4863-91e2-aa3394fa9bb6)) - (segment (start 79.449634 98.451526) (end 79.475634 98.477526) (width 0.2) (layer "F.Cu") (net 115) (tstamp 4f64e5fc-cc6e-4435-9dee-ed7d1093f3c6)) - (segment (start 120.092 99.3272) (end 119.5808 98.816) (width 0.2) (layer "F.Cu") (net 115) (tstamp c3a3ea6f-e68d-4cbc-b565-d40ae4665081)) - (segment (start 119.5808 98.816) (end 116.6456 98.816) (width 0.2) (layer "F.Cu") (net 115) (tstamp c3c60380-d3c0-47ad-b44b-85ee792a1021)) - (via (at 120.092 99.3272) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 115) (tstamp d2445fdf-075b-4062-90c6-2b4daeb77f8d)) - (via (at 79.475634 98.477526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 115) (tstamp fe61f0a6-f130-4818-88b3-b7d3373ac0e9)) - (segment (start 116.5662 98.916) (end 119.6808 98.916) (width 0.2) (layer "B.Cu") (net 115) (tstamp 0280dbb0-8ca0-4a22-b409-d504a38e0f54)) - (segment (start 86.234702 97.099852) (end 88.58905 99.4542) (width 0.2) (layer "B.Cu") (net 115) (tstamp 14412fc8-b540-47aa-b4cd-fab41f3fa563)) - (segment (start 88.58905 99.4542) (end 116.028 99.4542) (width 0.2) (layer "B.Cu") (net 115) (tstamp 310f451e-3b63-476b-a722-098793a0c0b5)) - (segment (start 79.475634 98.477526) (end 80.853308 97.099852) (width 0.2) (layer "B.Cu") (net 115) (tstamp 69e80bf3-9328-4ddc-a7a9-219aa6ff1cfa)) - (segment (start 119.6808 98.916) (end 120.092 99.3272) (width 0.2) (layer "B.Cu") (net 115) (tstamp 73ceece3-3a3e-4b54-8898-96bbdba14cf6)) - (segment (start 80.853308 97.099852) (end 86.234702 97.099852) (width 0.2) (layer "B.Cu") (net 115) (tstamp 84de61bd-d924-440e-8827-3dcaa48fec23)) - (segment (start 116.028 99.4542) (end 116.5662 98.916) (width 0.2) (layer "B.Cu") (net 115) (tstamp a5a8f4ff-32a6-4b77-a6f0-283803ab408c)) - (segment (start 92.493537 89.311087) (end 92.50048 89.304144) (width 0.2) (layer "F.Cu") (net 116) (tstamp aad83132-7818-4985-90aa-3e2c4c360164)) - (segment (start 86.602802 89.311087) (end 92.493537 89.311087) (width 0.2) (layer "F.Cu") (net 116) (tstamp dd620277-b384-4f6c-a7ee-748d94f1843e)) - (segment (start 94.00048 89.304144) (end 95.659544 89.304144) (width 0.2) (layer "F.Cu") (net 117) (tstamp 0c486fb8-e531-4922-8ed6-440e8ee8741e)) - (segment (start 95.659544 89.304144) (end 105.1714 98.816) (width 0.2) (layer "F.Cu") (net 117) (tstamp 6d625e6e-5c63-4039-b1af-fd0a79f96856)) - (segment (start 105.1714 98.816) (end 112.6956 98.816) (width 0.2) (layer "F.Cu") (net 117) (tstamp ab4ffd65-17d1-46e2-a600-ee8882be195a)) - (segment (start 70.013634 100.921526) (end 75.503634 100.921526) (width 0.2) (layer "F.Cu") (net 118) (tstamp dbad3886-175c-477f-957c-81eba2bd3abb)) - (segment (start 75.503634 100.921526) (end 75.513634 100.931526) (width 0.2) (layer "F.Cu") (net 118) (tstamp f72ec171-77f0-44d8-b77c-593216565f1b)) - (segment (start 79.389634 100.931526) (end 79.475634 101.017526) (width 0.2) (layer "F.Cu") (net 119) (tstamp 06725c63-6676-4035-b1c8-a376bc0c9dd1)) - (segment (start 118.630557 99.616) (end 118.430557 99.816) (width 0.2) (layer "F.Cu") (net 119) (tstamp 6b7fe885-48f8-45da-bc5b-230c534243a4)) - (segment (start 118.430557 99.816) (end 116.6456 99.816) (width 0.2) (layer "F.Cu") (net 119) (tstamp 85ae7042-1860-468a-a480-4fe6b9b5e238)) - (segment (start 77.013634 100.931526) (end 79.389634 100.931526) (width 0.2) (layer "F.Cu") (net 119) (tstamp e6da56b9-a0aa-47e5-8601-3d6a2ee1c22b)) - (via (at 118.630557 99.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 119) (tstamp b9e15d36-0d4c-4cae-af04-bd0d531556b4)) - (via (at 79.475634 101.017526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 119) (tstamp ced72846-73ec-450b-a0b3-4994a2dc124d)) - (segment (start 79.475634 101.017526) (end 80.63896 99.8542) (width 0.2) (layer "B.Cu") (net 119) (tstamp 21f4a076-0a36-47e3-b406-7da7dbb18e1d)) - (segment (start 118.392357 99.8542) (end 118.630557 99.616) (width 0.2) (layer "B.Cu") (net 119) (tstamp 485dcc96-5197-43d6-8f72-6bb8c8920525)) - (segment (start 80.63896 99.8542) (end 118.392357 99.8542) (width 0.2) (layer "B.Cu") (net 119) (tstamp 9cf3a904-4fc3-4938-8886-882c551ab8fa)) - (segment (start 92.581801 99.651087) (end 92.58524 99.654526) (width 0.2) (layer "F.Cu") (net 120) (tstamp 2209534f-67cc-4cd3-afb3-7a8a83ed48e4)) - (segment (start 86.602802 99.651087) (end 92.581801 99.651087) (width 0.2) (layer "F.Cu") (net 120) (tstamp efc675f7-401b-4e2d-a64a-074e4945b546)) - (segment (start 95.08961 99.654526) (end 97.251084 101.816) (width 0.2) (layer "F.Cu") (net 121) (tstamp 8edbfcdf-2ad3-40ea-b77a-0575498fb257)) - (segment (start 97.251084 101.816) (end 112.6956 101.816) (width 0.2) (layer "F.Cu") (net 121) (tstamp e0622bc2-35fa-4d53-8f08-c61adf3abd62)) - (segment (start 94.08524 99.654526) (end 95.08961 99.654526) (width 0.2) (layer "F.Cu") (net 121) (tstamp fa88f49e-885c-43d8-b557-ec970b424078)) - (segment (start 92.644039 105.724097) (end 92.646542 105.7266) (width 0.2) (layer "F.Cu") (net 122) (tstamp 0663fd72-eba7-429f-9a95-032fcfec45e6)) - (segment (start 86.569128 105.724097) (end 92.644039 105.724097) (width 0.2) (layer "F.Cu") (net 122) (tstamp 96da5450-4cd9-4d7a-99ba-87584b452a66)) - (segment (start 96.1512 105.7266) (end 98.5618 103.316) (width 0.2) (layer "F.Cu") (net 123) (tstamp 13126125-99c0-4a2d-8e7b-8eb5dfc1ad4e)) - (segment (start 98.5618 103.316) (end 112.6956 103.316) (width 0.2) (layer "F.Cu") (net 123) (tstamp 9b984e21-4e4b-4ae4-b621-c0ec753a9652)) - (segment (start 94.0716 105.7266) (end 96.1512 105.7266) (width 0.2) (layer "F.Cu") (net 123) (tstamp c01fc256-d7d3-4334-b9e9-abe8a63c98f5)) - (segment (start 202.260474 156.678863) (end 196.482674 156.678863) (width 0.2) (layer "F.Cu") (net 124) (tstamp 88625d50-b94f-4e36-80ab-d16fdd1870f8)) - (segment (start 164.858274 141.229583) (end 164.858274 119.339526) (width 0.2) (layer "F.Cu") (net 125) (tstamp 018ae60e-6362-43be-84b9-6bea393bffca)) - (segment (start 194.957274 156.653463) (end 188.537274 150.233463) (width 0.2) (layer "F.Cu") (net 125) (tstamp 0e6e95c2-bc27-4a03-851c-e010df7de45c)) - (segment (start 164.858274 119.339526) (end 163.334748 117.816) (width 0.2) (layer "F.Cu") (net 125) (tstamp 47860e01-faa5-4e59-a821-500df4b67db1)) - (segment (start 163.334748 117.816) (end 161.6456 117.816) (width 0.2) (layer "F.Cu") (net 125) (tstamp a81995d6-f7ac-4b37-bae1-fd98db345b3b)) - (segment (start 188.537274 150.233463) (end 173.862153 150.233463) (width 0.2) (layer "F.Cu") (net 125) (tstamp c927837e-4c34-4005-8d66-bc5869c167d0)) - (segment (start 173.862153 150.233463) (end 164.858274 141.229583) (width 0.2) (layer "F.Cu") (net 125) (tstamp e087eb5f-9570-4204-964b-9e959e044df8)) - (segment (start 75.618807 114.904526) (end 70.003999 114.904526) (width 0.2) (layer "F.Cu") (net 126) (tstamp 5eb6ff6a-5e70-408e-af9e-b8b147bd0d98)) - (segment (start 70.003999 114.904526) (end 69.876444 114.776971) (width 0.2) (layer "F.Cu") (net 126) (tstamp e045be67-f037-42da-8b8d-d5c5aaeedafa)) - (segment (start 118.7548 103.316) (end 116.6456 103.316) (width 0.2) (layer "F.Cu") (net 127) (tstamp 161af0b0-ccdb-447d-a8b7-1e33aeb02077)) - (segment (start 118.788 103.3492) (end 118.7548 103.316) (width 0.2) (layer "F.Cu") (net 127) (tstamp 9c4b5255-029c-4325-85bb-d53e51d25ff6)) - (segment (start 119.1026 103.3492) (end 118.788 103.3492) (width 0.2) (layer "F.Cu") (net 127) (tstamp e6d8695c-d68d-4f66-b892-ea15b09af0b4)) - (segment (start 79.421634 114.904526) (end 77.118807 114.904526) (width 0.2) (layer "F.Cu") (net 127) (tstamp e97afcfd-b917-499c-b818-50d4c132b074)) - (via (at 79.475634 114.958526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 127) (tstamp 3bb457f7-442f-47b7-805a-3328ff3ceeba)) - (via (at 119.1026 103.3492) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 127) (tstamp 476424e3-70cd-46b8-bbbb-0c45b5f6d332)) - (segment (start 81.586308 112.847852) (end 90.323948 112.847852) (width 0.2) (layer "B.Cu") (net 127) (tstamp 55f0b302-e3b8-4e32-84d4-1802ac7c6d78)) - (segment (start 79.475634 114.958526) (end 81.586308 112.847852) (width 0.2) (layer "B.Cu") (net 127) (tstamp 7ce688d0-aec2-49c0-aea2-9901ade9ddda)) - (segment (start 99.8226 103.3492) (end 119.1026 103.3492) (width 0.2) (layer "B.Cu") (net 127) (tstamp a78af65c-96e2-454b-8113-aa5a282092ba)) - (segment (start 90.323948 112.847852) (end 99.8226 103.3492) (width 0.2) (layer "B.Cu") (net 127) (tstamp b1d87740-8028-421d-8bca-2684f3840c8e)) - (segment (start 75.515846 112.236971) (end 75.633401 112.354526) (width 0.2) (layer "F.Cu") (net 128) (tstamp 40a8b63c-d923-4039-8447-d093cf398bc6)) - (segment (start 69.876444 112.236971) (end 75.515846 112.236971) (width 0.2) (layer "F.Cu") (net 128) (tstamp ce45b872-c5ae-4b0a-b265-dcb036ad3349)) - (segment (start 118.502598 102.549198) (end 118.235796 102.816) (width 0.2) (layer "F.Cu") (net 129) (tstamp 9a9ee0fc-f3ba-488e-b12c-0ed6661ed55a)) - (segment (start 118.502598 102.495198) (end 118.4676 102.4602) (width 0.2) (layer "F.Cu") (net 129) (tstamp b301b65b-9bc5-4fa7-b413-49852a09e786)) - (segment (start 77.133401 112.354526) (end 79.411634 112.354526) (width 0.2) (layer "F.Cu") (net 129) (tstamp c7a3ca98-c9c4-4604-9391-3c64d58e0be3)) - (segment (start 118.502598 102.549198) (end 118.502598 102.495198) (width 0.2) (layer "F.Cu") (net 129) (tstamp f5ebb0b1-a166-474e-888b-fdc5cd668bdc)) - (segment (start 118.235796 102.816) (end 116.6456 102.816) (width 0.2) (layer "F.Cu") (net 129) (tstamp fef41f22-7fe4-4ca1-986c-87e3550f546d)) - (via (at 79.475634 112.418526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 129) (tstamp cfc98a05-fad3-4a81-bd1b-74c4ce18aed8)) - (via (at 118.502598 102.549198) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 129) (tstamp eef827e0-df6c-44a4-a7a9-505e9431f095)) - (segment (start 90.187588 112.418526) (end 99.703914 102.9022) (width 0.2) (layer "B.Cu") (net 129) (tstamp 41ab12f2-6d84-47d6-a4f7-ee8bb9fdd2f3)) - (segment (start 118.149596 102.9022) (end 118.502598 102.549198) (width 0.2) (layer "B.Cu") (net 129) (tstamp 45092c4d-1eea-4d78-87b6-c2e26048d637)) - (segment (start 79.475634 112.418526) (end 90.187588 112.418526) (width 0.2) (layer "B.Cu") (net 129) (tstamp 807a2922-7071-4491-bc99-178e9641abeb)) - (segment (start 99.703914 102.9022) (end 118.149596 102.9022) (width 0.2) (layer "B.Cu") (net 129) (tstamp 860fb32a-e42b-4714-80d1-f64718d90ff0)) - (segment (start 69.862274 48.601126) (end 75.584274 48.601126) (width 0.2) (layer "F.Cu") (net 130) (tstamp 874942fe-c547-4d0c-8f9d-f45e78069b4e)) - (segment (start 75.584274 48.601126) (end 75.589274 48.606126) (width 0.2) (layer "F.Cu") (net 130) (tstamp bdb100a0-6c37-43a3-b0c8-02be66770934)) - (segment (start 155.72355 107.0512) (end 155.95875 106.816) (width 0.2) (layer "F.Cu") (net 131) (tstamp 16a703e3-3ffc-476f-a91c-93464b3edbb1)) - (segment (start 194.655123 90.049926) (end 192.471123 90.049926) (width 0.2) (layer "F.Cu") (net 131) (tstamp 2d138686-483c-4eb8-bcc2-a13aba6943a9)) - (segment (start 154.4936 107.0512) (end 154.5636 107.0512) (width 0.2) (layer "F.Cu") (net 131) (tstamp 33dc80c7-b9d3-4634-80c3-ab876e5b9a72)) - (segment (start 192.471123 90.049926) (end 192.429123 90.091926) (width 0.2) (layer "F.Cu") (net 131) (tstamp 84f58dd0-3da8-42ef-a2be-ab1ddb191db7)) - (segment (start 154.4736 107.0312) (end 154.4936 107.0512) (width 0.2) (layer "F.Cu") (net 131) (tstamp a1512522-c017-4c1f-992b-1ebedb193a75)) - (segment (start 154.4936 107.0512) (end 155.72355 107.0512) (width 0.2) (layer "F.Cu") (net 131) (tstamp dd19ef5a-784b-447b-9041-bbeae0163ef2)) - (segment (start 155.95875 106.816) (end 157.6956 106.816) (width 0.2) (layer "F.Cu") (net 131) (tstamp df019ea8-c3da-4d1b-b3d5-e6d1a6803edd)) - (via (at 192.429123 90.091926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 131) (tstamp 308be0d6-0a9d-4570-8a4e-f1b8ac5d9a87)) - (via (at 154.4736 107.0312) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 131) (tstamp 3eb4c1d9-d689-4cd7-8c31-f9c25b6d5729)) - (segment (start 156.03855 106.7362) (end 186.126286 106.7362) (width 0.2) (layer "B.Cu") (net 131) (tstamp 41a33b62-85d5-4f49-9acf-4c2813df89f0)) - (segment (start 186.126286 106.7362) (end 187.102274 105.760212) (width 0.2) (layer "B.Cu") (net 131) (tstamp 4c673d92-506e-4a4a-a985-8e2319a93232)) - (segment (start 187.102274 93.868725) (end 190.879073 90.091926) (width 0.2) (layer "B.Cu") (net 131) (tstamp 4d3ab2a9-ff2d-43dd-ba7b-47dcc33f093b)) - (segment (start 154.4736 107.0312) (end 155.74355 107.0312) (width 0.2) (layer "B.Cu") (net 131) (tstamp 66c6412c-1bed-41ce-b54d-0eb186067603)) - (segment (start 155.74355 107.0312) (end 156.03855 106.7362) (width 0.2) (layer "B.Cu") (net 131) (tstamp 68f657f4-d8ef-4375-bdb6-0681b3d8bd5f)) - (segment (start 190.879073 90.091926) (end 192.429123 90.091926) (width 0.2) (layer "B.Cu") (net 131) (tstamp a596f3e5-acd6-4785-aea4-1c182405e026)) - (segment (start 187.102274 105.760212) (end 187.102274 93.868725) (width 0.2) (layer "B.Cu") (net 131) (tstamp f20492a0-f200-4cb3-a643-c0246fe55ef6)) - (segment (start 92.595274 97.00819) (end 86.609905 97.00819) (width 0.2) (layer "F.Cu") (net 132) (tstamp 1ed5679d-3b62-404e-b445-9f6f28ed6c76)) - (segment (start 86.609905 97.00819) (end 86.602802 97.001087) (width 0.2) (layer "F.Cu") (net 132) (tstamp bfb70491-9a55-4e4b-a284-2ba454d1ca65)) - (segment (start 95.48959 97.00819) (end 99.7974 101.316) (width 0.2) (layer "F.Cu") (net 133) (tstamp 16d3fc84-6588-4f3b-8d2e-83f57ade9fb9)) - (segment (start 99.7974 101.316) (end 112.6956 101.316) (width 0.2) (layer "F.Cu") (net 133) (tstamp 5adbaef7-9050-4004-92cf-33bbff1f26df)) - (segment (start 94.095274 97.00819) (end 95.48959 97.00819) (width 0.2) (layer "F.Cu") (net 133) (tstamp 83fc5a1c-2174-4eff-9e7d-e5d23f36edfc)) - (segment (start 86.604231 108.0452) (end 86.567128 108.008097) (width 0.2) (layer "F.Cu") (net 134) (tstamp 01282beb-35bc-4c24-9efa-236cc50ff1ac)) - (segment (start 92.5596 108.0452) (end 86.604231 108.0452) (width 0.2) (layer "F.Cu") (net 134) (tstamp aeb47e5d-eb4b-414d-a9aa-cca26a8f3057)) - (segment (start 94.0716 108.0452) (end 96.2456 108.0452) (width 0.2) (layer "F.Cu") (net 135) (tstamp 542fe84f-697d-464f-a371-04e7833fd43d)) - (segment (start 99.9748 104.316) (end 112.6956 104.316) (width 0.2) (layer "F.Cu") (net 135) (tstamp 57db2db3-4416-4d17-86dd-ec81b6fcf076)) - (segment (start 96.2456 108.0452) (end 99.9748 104.316) (width 0.2) (layer "F.Cu") (net 135) (tstamp 8b7d253f-8996-492b-a91c-a0ee2a3335c6)) - (segment (start 69.953999 109.041526) (end 69.876444 108.963971) (width 0.2) (layer "F.Cu") (net 136) (tstamp 2a7ef600-054d-471b-96da-dea80c82a9a6)) - (segment (start 75.606061 109.041526) (end 69.953999 109.041526) (width 0.2) (layer "F.Cu") (net 136) (tstamp e6f9fc1f-6e66-4db7-ab63-4390e84d4659)) - (segment (start 119.214858 101.847601) (end 119.183257 101.816) (width 0.2) (layer "F.Cu") (net 137) (tstamp 211f45e0-e583-479f-a8cd-d4377ba0070e)) - (segment (start 119.183257 101.816) (end 116.6456 101.816) (width 0.2) (layer "F.Cu") (net 137) (tstamp 22f0d22c-bcde-45c5-85fa-53a7f5176e02)) - (segment (start 79.475634 109.145526) (end 79.371634 109.041526) (width 0.2) (layer "F.Cu") (net 137) (tstamp 30a26ef9-dc08-4aeb-846c-4c569dc472b9)) - (segment (start 79.371634 109.041526) (end 77.106061 109.041526) (width 0.2) (layer "F.Cu") (net 137) (tstamp 5ce9d20a-1bf7-4eb3-95b9-9a6cfc1bc4fe)) - (via (at 119.214858 101.847601) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 137) (tstamp 622f3350-79b8-4e46-91ac-96c57adbafca)) - (via (at 79.475634 109.145526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 137) (tstamp d52d447f-b752-433b-9692-122f0b0c36c2)) - (segment (start 99.600228 102.4402) (end 117.621646 102.4402) (width 0.2) (layer "B.Cu") (net 137) (tstamp 1b51f04a-a86b-411b-810b-b5a9a82f3d90)) - (segment (start 92.894902 109.145526) (end 99.600228 102.4402) (width 0.2) (layer "B.Cu") (net 137) (tstamp 652f813d-6800-479b-bd5b-1309c794934c)) - (segment (start 118.214245 101.847601) (end 119.214858 101.847601) (width 0.2) (layer "B.Cu") (net 137) (tstamp 6d2f41d9-d30b-4abd-bb69-1b07488dc17f)) - (segment (start 117.621646 102.4402) (end 118.214245 101.847601) (width 0.2) (layer "B.Cu") (net 137) (tstamp 70046e2d-207a-4c4c-b0b3-9aed27c0b214)) - (segment (start 79.475634 109.145526) (end 92.894902 109.145526) (width 0.2) (layer "B.Cu") (net 137) (tstamp c11906b2-2d7c-44c9-97c0-6368504bfa1c)) - (segment (start 86.602802 94.231087) (end 92.594171 94.231087) (width 0.2) (layer "F.Cu") (net 138) (tstamp 32c64069-1366-4951-bcf7-3ca3aad9b183)) - (segment (start 92.594171 94.231087) (end 92.607274 94.24419) (width 0.2) (layer "F.Cu") (net 138) (tstamp 4672fe41-762b-479b-9dd0-4b49948b4cb4)) - (segment (start 95.64659 94.24419) (end 101.7184 100.316) (width 0.2) (layer "F.Cu") (net 139) (tstamp 63d913de-0978-459b-aa01-efecc4510ce3)) - (segment (start 94.107274 94.24419) (end 95.64659 94.24419) (width 0.2) (layer "F.Cu") (net 139) (tstamp 8e938a2c-7f52-4a76-957c-dd5d1a9d3027)) - (segment (start 101.7184 100.316) (end 112.6956 100.316) (width 0.2) (layer "F.Cu") (net 139) (tstamp c2473e3b-e6cc-417a-bcf2-931b522949cc)) - (segment (start 75.516079 122.336971) (end 75.573634 122.394526) (width 0.2) (layer "F.Cu") (net 140) (tstamp 97060793-4feb-4094-b199-573e5b6f0641)) - (segment (start 69.829054 122.336971) (end 75.516079 122.336971) (width 0.2) (layer "F.Cu") (net 140) (tstamp cdbab5f8-c9bd-4b51-acd0-233dfeda6b25)) - (segment (start 118.462171 106.4529) (end 118.325271 106.316) (width 0.2) (layer "F.Cu") (net 141) (tstamp 1c073f8e-580c-411a-aa20-c9d2f2dfdbcd)) - (segment (start 118.325271 106.316) (end 116.6456 106.316) (width 0.2) (layer "F.Cu") (net 141) (tstamp 56949791-3894-4542-b8c5-40b899bf8833)) - (segment (start 79.475634 122.578526) (end 79.291634 122.394526) (width 0.2) (layer "F.Cu") (net 141) (tstamp 86fca837-920b-47b6-b986-875b42d72072)) - (segment (start 79.291634 122.394526) (end 77.073634 122.394526) (width 0.2) (layer "F.Cu") (net 141) (tstamp 9b8b9ff8-7c1f-4ea9-894e-be38b260e278)) - (via (at 79.475634 122.578526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 141) (tstamp c3386f69-c709-42dd-b4cf-e8fe7734f5cf)) - (via (at 118.462171 106.4529) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 141) (tstamp f2b74bbd-bebf-468f-8950-3466b02e6682)) - (segment (start 100.9099 106.4529) (end 118.462171 106.4529) (width 0.2) (layer "B.Cu") (net 141) (tstamp 2d9e16cf-c96c-4900-ad7e-7e7ae6225353)) - (segment (start 86.259948 120.467852) (end 93.4726 113.2552) (width 0.2) (layer "B.Cu") (net 141) (tstamp 44e14fd7-cba3-4c80-a27c-9bbd33177731)) - (segment (start 79.475634 122.578526) (end 79.919634 122.578526) (width 0.2) (layer "B.Cu") (net 141) (tstamp 5943b717-1e3d-4396-af98-7cc36b47fe08)) - (segment (start 82.030308 120.467852) (end 86.259948 120.467852) (width 0.2) (layer "B.Cu") (net 141) (tstamp 5d7a5ec1-eb4a-4784-8e8e-daf92b3034e1)) - (segment (start 93.4726 113.2552) (end 94.1076 113.2552) (width 0.2) (layer "B.Cu") (net 141) (tstamp 8b8649f7-fa43-4fb6-8611-6390b696a2a4)) - (segment (start 79.919634 122.578526) (end 82.030308 120.467852) (width 0.2) (layer "B.Cu") (net 141) (tstamp a73bfa6f-4b40-4a84-9e8f-dac846d7428d)) - (segment (start 94.1076 113.2552) (end 100.9099 106.4529) (width 0.2) (layer "B.Cu") (net 141) (tstamp e3ca03ca-4951-43a3-b4de-2c4b198ed4b9)) - (segment (start 86.567128 113.138097) (end 92.544497 113.138097) (width 0.2) (layer "F.Cu") (net 142) (tstamp 49d17c06-5f17-4f19-8f20-104ee55d94c3)) - (segment (start 92.544497 113.138097) (end 92.5716 113.1652) (width 0.2) (layer "F.Cu") (net 142) (tstamp cd06c866-73fa-4f8b-8b2b-2f7534870469)) - (segment (start 94.0716 113.1652) (end 95.2136 113.1652) (width 0.2) (layer "F.Cu") (net 143) (tstamp 295f9da6-2813-4414-a49d-cf72f92143d1)) - (segment (start 102.0628 106.316) (end 112.6956 106.316) (width 0.2) (layer "F.Cu") (net 143) (tstamp 8872cb18-864f-4473-978f-00c10fd83a14)) - (segment (start 95.2136 113.1652) (end 102.0628 106.316) (width 0.2) (layer "F.Cu") (net 143) (tstamp c724df5e-fab0-4a7d-a655-26ad7861b486)) - (segment (start 69.854978 124.934526) (end 69.817423 124.896971) (width 0.2) (layer "F.Cu") (net 144) (tstamp 8b640cfb-79a8-4b92-b7bc-1ffa4c34eac4)) - (segment (start 75.573634 124.934526) (end 69.854978 124.934526) (width 0.2) (layer "F.Cu") (net 144) (tstamp d637df94-a53d-486b-894a-26ff1d87cd65)) - (segment (start 118.9458 107.316) (end 116.6456 107.316) (width 0.2) (layer "F.Cu") (net 145) (tstamp 1a055fcc-8dce-41fd-b50e-b7d9879f5677)) - (segment (start 119.838 106.8456) (end 119.4162 106.8456) (width 0.2) (layer "F.Cu") (net 145) (tstamp 6600c0f5-ac6a-40c9-8a00-8e749298297b)) - (segment (start 77.073634 124.934526) (end 79.291634 124.934526) (width 0.2) (layer "F.Cu") (net 145) (tstamp 68c3d753-832a-4245-acc2-4d96d287768c)) - (segment (start 119.4162 106.8456) (end 118.9458 107.316) (width 0.2) (layer "F.Cu") (net 145) (tstamp cec3255a-7da8-473b-a0a2-922deed694d7)) - (segment (start 79.291634 124.934526) (end 79.475634 125.118526) (width 0.2) (layer "F.Cu") (net 145) (tstamp e56b605b-c7c2-4b5f-8ee8-8cf8b38285ca)) - (via (at 119.838 106.8456) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 145) (tstamp 593f738e-cc1a-4f0f-8e45-fcbbfcedca7a)) - (via (at 79.475634 125.118526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 145) (tstamp d7a90290-3e01-40f5-9e29-4a10ede1505b)) - (segment (start 118.122 107.1362) (end 118.1387 107.1529) (width 0.2) (layer "B.Cu") (net 145) (tstamp 1290ae4a-9cde-4f79-bc12-eff0a79404d8)) - (segment (start 81.586308 123.007852) (end 85.370948 123.007852) (width 0.2) (layer "B.Cu") (net 145) (tstamp 1575dbce-992f-4878-8253-edc2502970a4)) - (segment (start 79.475634 125.118526) (end 81.586308 123.007852) (width 0.2) (layer "B.Cu") (net 145) (tstamp 5749cc64-fe72-4b1a-b385-8cbcbb82370c)) - (segment (start 119.5307 107.1529) (end 119.838 106.8456) (width 0.2) (layer "B.Cu") (net 145) (tstamp 730223d9-678e-4f7f-9f20-df55eb7351e2)) - (segment (start 85.370948 123.007852) (end 101.2426 107.1362) (width 0.2) (layer "B.Cu") (net 145) (tstamp ce2b29a9-0cab-48d5-9ec1-1e9cb412a4b9)) - (segment (start 101.2426 107.1362) (end 118.122 107.1362) (width 0.2) (layer "B.Cu") (net 145) (tstamp ece9e0a3-7f0e-45b9-a8cb-979ac331359d)) - (segment (start 118.1387 107.1529) (end 119.5307 107.1529) (width 0.2) (layer "B.Cu") (net 145) (tstamp f5fab7df-6d89-49cd-8216-77d9dcb08419)) - (segment (start 86.554231 110.6452) (end 86.547128 110.638097) (width 0.2) (layer "F.Cu") (net 146) (tstamp 4120069b-7f0c-45c9-8188-7ae0313a7394)) - (segment (start 92.5716 110.6452) (end 86.554231 110.6452) (width 0.2) (layer "F.Cu") (net 146) (tstamp c771e8ea-ee89-49b4-b264-634ff8e3292c)) - (segment (start 94.0716 110.6452) (end 96.160645 110.6452) (width 0.2) (layer "F.Cu") (net 147) (tstamp 7334dc14-d2f7-4fac-a6fe-0278d38e380f)) - (segment (start 96.160645 110.6452) (end 100.989845 105.816) (width 0.2) (layer "F.Cu") (net 147) (tstamp 96be7203-2330-40fe-b957-a53d89cf8345)) - (segment (start 100.989845 105.816) (end 112.6956 105.816) (width 0.2) (layer "F.Cu") (net 147) (tstamp e23fd1ee-c404-42c5-8e28-b4ca033d8fcf)) - (segment (start 183.710474 126.324526) (end 183.730474 126.344526) (width 0.2) (layer "F.Cu") (net 148) (tstamp 24ec511c-dba1-4b8c-b420-08bb32fe61b5)) - (segment (start 177.928674 126.324526) (end 183.710474 126.324526) (width 0.2) (layer "F.Cu") (net 148) (tstamp e7de62be-b7b5-48e2-9f96-b87384218258)) - (segment (start 94.0716 115.823897) (end 96.086903 115.823897) (width 0.2) (layer "F.Cu") (net 149) (tstamp 9cc348f2-dc80-4fd9-9223-8e08784d1100)) - (segment (start 104.5948 107.316) (end 112.6956 107.316) (width 0.2) (layer "F.Cu") (net 149) (tstamp d38ce678-47dc-4e5f-96a6-d2de0da71fdf)) - (segment (start 96.086903 115.823897) (end 104.5948 107.316) (width 0.2) (layer "F.Cu") (net 149) (tstamp db1a42f3-ceb1-4bb4-9bb9-1b9cf82f1750)) - (segment (start 202.190123 100.289926) (end 202.205523 100.274526) (width 0.2) (layer "F.Cu") (net 150) (tstamp 343ceee5-b648-45d4-a6cb-a1702198cf8b)) - (segment (start 196.175123 100.289926) (end 202.190123 100.289926) (width 0.2) (layer "F.Cu") (net 150) (tstamp 360552e6-009d-48f0-8324-59681e6510dc)) - (segment (start 94.3256 102.8452) (end 112.6664 102.8452) (width 0.2) (layer "F.Cu") (net 151) (tstamp 1117107b-4232-4fc8-bd30-cf8f693403e4)) - (segment (start 94.0716 103.0992) (end 94.3256 102.8452) (width 0.2) (layer "F.Cu") (net 151) (tstamp 18fae011-2c91-41d0-9f16-90c2db192cd2)) - (segment (start 112.6664 102.8452) (end 112.6956 102.816) (width 0.2) (layer "F.Cu") (net 151) (tstamp f666ff1d-fd31-4d3c-a121-7a7772c0bcfa)) - (segment (start 183.718474 128.864526) (end 177.916674 128.864526) (width 0.2) (layer "F.Cu") (net 152) (tstamp 9af7268f-933e-40fa-9633-fb6f21d6d655)) - (segment (start 119.4836 104.7208) (end 119.250203 104.954197) (width 0.2) (layer "F.Cu") (net 153) (tstamp 2fff806a-2509-4c6d-93b2-df6b22037536)) - (segment (start 77.061634 119.854526) (end 79.291634 119.854526) (width 0.2) (layer "F.Cu") (net 153) (tstamp 86409eb7-eda9-41c4-9544-1eb18c1d16e7)) - (segment (start 118.039453 104.816) (end 116.6456 104.816) (width 0.2) (layer "F.Cu") (net 153) (tstamp 9d964faf-84e8-4ec0-b28a-104e67cb9b03)) - (segment (start 118.17765 104.954197) (end 118.039453 104.816) (width 0.2) (layer "F.Cu") (net 153) (tstamp aefac25f-7d10-456a-b6b3-e5f8cea66be8)) - (segment (start 119.250203 104.954197) (end 118.17765 104.954197) (width 0.2) (layer "F.Cu") (net 153) (tstamp b82f7b98-0904-4bc0-aa25-00a950c069a7)) - (segment (start 79.291634 119.854526) (end 79.475634 120.038526) (width 0.2) (layer "F.Cu") (net 153) (tstamp c4a14830-b6bc-481f-9cdf-b82f7f5b1d74)) - (via (at 119.4836 104.7208) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 153) (tstamp 5f74b00e-c000-4fb5-8fdf-c92bbfcb28b7)) - (via (at 79.475634 120.038526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 153) (tstamp 89824063-fb73-4701-845f-ffa9efa882eb)) - (segment (start 81.586308 117.927852) (end 88.037948 117.927852) (width 0.2) (layer "B.Cu") (net 153) (tstamp 29858560-77e1-4d4d-8e2f-3b4aabbb6858)) - (segment (start 118.453874 105.750526) (end 119.4836 104.7208) (width 0.2) (layer "B.Cu") (net 153) (tstamp 32860039-ed2c-4bae-bb66-6da22adb288e)) - (segment (start 88.037948 117.927852) (end 100.215274 105.750526) (width 0.2) (layer "B.Cu") (net 153) (tstamp 918a70d6-9f27-4c54-898c-1c9f0be3ff74)) - (segment (start 100.215274 105.750526) (end 118.453874 105.750526) (width 0.2) (layer "B.Cu") (net 153) (tstamp 95e9e6fe-4909-44d6-879a-3c0e62166c27)) - (segment (start 79.475634 120.038526) (end 81.586308 117.927852) (width 0.2) (layer "B.Cu") (net 153) (tstamp e6cba365-0055-4acb-83f9-9678b31c8f4d)) - (segment (start 177.928674 121.244526) (end 183.700074 121.244526) (width 0.2) (layer "F.Cu") (net 154) (tstamp 552e29c6-f895-45a4-97d9-3669b12a9c6e)) - (segment (start 183.700074 121.244526) (end 183.725474 121.219126) (width 0.2) (layer "F.Cu") (net 154) (tstamp 8e3583c6-b409-447e-833c-ab37474a08b6)) - (segment (start 118.405797 104.316) (end 116.6456 104.316) (width 0.2) (layer "F.Cu") (net 155) (tstamp 1fca11d8-ce43-46d3-b64c-f30669dc55ec)) - (segment (start 118.4676 104.254197) (end 118.405797 104.316) (width 0.2) (layer "F.Cu") (net 155) (tstamp 2da6ba55-5b42-400b-82dc-db07e073ff4a)) - (segment (start 77.087034 117.344726) (end 79.321834 117.344726) (width 0.2) (layer "F.Cu") (net 155) (tstamp 60fc9e39-64a6-437c-82b4-7ed07b978f2c)) - (segment (start 79.321834 117.344726) (end 79.475634 117.498526) (width 0.2) (layer "F.Cu") (net 155) (tstamp b6e4bbfd-f77f-46f6-8afd-d2154b8ca08e)) - (via (at 118.4676 104.254197) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 155) (tstamp 762dd7ae-6840-4d1e-b577-6d31f3391472)) - (via (at 79.475634 117.498526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 155) (tstamp bfb2a9de-2671-4a66-a944-c28b06316d02)) - (segment (start 117.962603 103.7492) (end 118.4676 104.254197) (width 0.2) (layer "B.Cu") (net 155) (tstamp 5b7d698d-db11-429f-be0b-8832c08fbef8)) - (segment (start 79.475634 117.498526) (end 81.586308 115.387852) (width 0.2) (layer "B.Cu") (net 155) (tstamp 736e1417-f1bc-4ee7-8ccb-f6d7bcddd91c)) - (segment (start 89.053948 115.387852) (end 100.6926 103.7492) (width 0.2) (layer "B.Cu") (net 155) (tstamp 82d03d04-c776-4c0e-9691-a7ac89544581)) - (segment (start 81.586308 115.387852) (end 89.053948 115.387852) (width 0.2) (layer "B.Cu") (net 155) (tstamp 859e18ce-9cc8-4696-b4eb-885511d640b6)) - (segment (start 100.6926 103.7492) (end 117.962603 103.7492) (width 0.2) (layer "B.Cu") (net 155) (tstamp b464b0c4-a720-4948-b33a-56542c39295a)) - (segment (start 177.889274 123.789526) (end 177.884274 123.784526) (width 0.2) (layer "F.Cu") (net 156) (tstamp d0baccde-d36a-41e9-b1a5-8d3c0aafdc0a)) - (segment (start 183.706474 123.789526) (end 177.889274 123.789526) (width 0.2) (layer "F.Cu") (net 156) (tstamp d76e1f22-7176-44b6-bf8f-9b7a4b22779e)) - (segment (start 94.0716 120.961577) (end 96.156223 120.961577) (width 0.2) (layer "F.Cu") (net 157) (tstamp b4dd4fcd-f573-4801-a14f-334d254b08f0)) - (segment (start 108.3018 108.816) (end 112.6956 108.816) (width 0.2) (layer "F.Cu") (net 157) (tstamp c6f1e195-eac0-4036-9248-02f3a0bbf4e5)) - (segment (start 96.156223 120.961577) (end 108.3018 108.816) (width 0.2) (layer "F.Cu") (net 157) (tstamp e64882cb-363a-442d-a8ba-aad64d45fd67)) - (segment (start 177.858874 118.074526) (end 177.853874 118.069526) (width 0.2) (layer "F.Cu") (net 158) (tstamp df42257f-3978-4f4f-8c48-ddee6678d261)) - (segment (start 183.701474 118.074526) (end 177.858874 118.074526) (width 0.2) (layer "F.Cu") (net 158) (tstamp f4a260d5-2248-408a-be9a-4cb4681e38d0)) - (segment (start 118.473134 108.516168) (end 118.173302 108.816) (width 0.2) (layer "F.Cu") (net 159) (tstamp 5deccbd5-1a81-4668-8e0c-99626cd7be7c)) - (segment (start 118.173302 108.816) (end 116.6456 108.816) (width 0.2) (layer "F.Cu") (net 159) (tstamp cb601dbd-b548-471e-88b3-46c33e3c9190)) - (segment (start 79.291634 130.014526) (end 79.475634 130.198526) (width 0.2) (layer "F.Cu") (net 159) (tstamp d9228162-d282-4e35-88c1-6f4c1f3df277)) - (segment (start 77.073634 130.014526) (end 79.291634 130.014526) (width 0.2) (layer "F.Cu") (net 159) (tstamp fb510bde-9292-4ba9-bec1-28bdcc89770d)) - (via (at 118.473134 108.516168) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 159) (tstamp 3323c50c-efe6-4894-b718-d29b1fe916f7)) - (via (at 79.475634 130.198526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 159) (tstamp ea2c63d0-dfe7-4aef-a4f6-43c1dbf209cf)) - (segment (start 101.770571 109.148229) (end 114.874607 109.148229) (width 0.2) (layer "B.Cu") (net 159) (tstamp 1d00ef21-7840-4c2b-98ef-e3c229ba5833)) - (segment (start 79.475634 130.198526) (end 81.586308 128.087852) (width 0.2) (layer "B.Cu") (net 159) (tstamp 3015ab5a-54a1-4368-9579-881c3ac882ac)) - (segment (start 81.586308 128.087852) (end 82.830948 128.087852) (width 0.2) (layer "B.Cu") (net 159) (tstamp 4d035141-3d9d-4722-b0b4-6a8a47b6426e)) - (segment (start 115.506668 108.516168) (end 118.473134 108.516168) (width 0.2) (layer "B.Cu") (net 159) (tstamp 5dac17e9-bc93-452a-baaa-fcd52e061183)) - (segment (start 114.874607 109.148229) (end 115.506668 108.516168) (width 0.2) (layer "B.Cu") (net 159) (tstamp c3e49b17-98b5-4199-b726-ef14fc7f28d3)) - (segment (start 82.830948 128.087852) (end 101.770571 109.148229) (width 0.2) (layer "B.Cu") (net 159) (tstamp e37085cd-f118-42d0-8ee5-d2cc7a4aa6b7)) - (segment (start 202.174723 95.229926) (end 202.195123 95.209526) (width 0.2) (layer "F.Cu") (net 160) (tstamp d129f882-3756-48a1-b482-0b72de52c75e)) - (segment (start 196.195123 95.229926) (end 202.174723 95.229926) (width 0.2) (layer "F.Cu") (net 160) (tstamp d7e748ef-5233-4553-b6c4-2da8dc6816e9)) - (segment (start 79.291634 127.474526) (end 77.073634 127.474526) (width 0.2) (layer "F.Cu") (net 161) (tstamp 0bc2440b-da98-439b-9c58-4f34dc3aa0a5)) - (segment (start 119.8888 108.0648) (end 119.64 107.816) (width 0.2) (layer "F.Cu") (net 161) (tstamp 0d05c28f-ef3a-4af9-ba7c-e4f0e99665df)) - (segment (start 79.475634 127.658526) (end 79.291634 127.474526) (width 0.2) (layer "F.Cu") (net 161) (tstamp 18d996b3-231f-4112-aa9f-d5aff6dc6cbf)) - (segment (start 119.64 107.816) (end 116.6456 107.816) (width 0.2) (layer "F.Cu") (net 161) (tstamp c3caf9a3-f33d-4e71-b143-d722cf099bb6)) - (via (at 119.8888 108.0648) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 161) (tstamp 4a2a457e-0090-419f-b269-a928e7bfbb64)) - (via (at 79.475634 127.658526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 161) (tstamp 5457636b-9790-4f5a-a309-e819ece926d6)) - (segment (start 119.640168 107.816168) (end 119.8888 108.0648) (width 0.2) (layer "B.Cu") (net 161) (tstamp 15461351-db25-41a0-9d20-aec0012fd146)) - (segment (start 81.586308 125.547852) (end 84.481948 125.547852) (width 0.2) (layer "B.Cu") (net 161) (tstamp 24d89b87-b012-4bd6-92c8-36ba1e0d4fa7)) - (segment (start 114.708921 108.748229) (end 115.640982 107.816168) (width 0.2) (layer "B.Cu") (net 161) (tstamp 3a57ecb5-d635-4cf7-b55c-79f2f4e449c3)) - (segment (start 84.481948 125.547852) (end 101.281571 108.748229) (width 0.2) (layer "B.Cu") (net 161) (tstamp 3bed0475-2cd3-4cc3-88d6-eb2b8bc2407b)) - (segment (start 79.475634 127.658526) (end 81.586308 125.547852) (width 0.2) (layer "B.Cu") (net 161) (tstamp 8c389d85-d715-4252-aecf-0296d7d80a80)) - (segment (start 115.640982 107.816168) (end 119.640168 107.816168) (width 0.2) (layer "B.Cu") (net 161) (tstamp d18c887e-a288-4c9b-b102-ce4ff447fd8c)) - (segment (start 101.281571 108.748229) (end 114.708921 108.748229) (width 0.2) (layer "B.Cu") (net 161) (tstamp e5c8e229-b53d-4d9a-90d2-8b6b5355604e)) - (segment (start 183.747274 115.529526) (end 183.752274 115.534526) (width 0.2) (layer "F.Cu") (net 162) (tstamp dbba1dc2-f65b-418d-b557-ff88b634262f)) - (segment (start 177.853874 115.529526) (end 183.747274 115.529526) (width 0.2) (layer "F.Cu") (net 162) (tstamp e4a67a87-3d96-4c69-958e-197f65a4f42c)) - (segment (start 192.467123 100.289926) (end 192.429123 100.251926) (width 0.2) (layer "F.Cu") (net 163) (tstamp 36a01cc0-a258-4a97-805c-99509e010f6a)) - (segment (start 154.253316 109.587302) (end 154.482014 109.816) (width 0.2) (layer "F.Cu") (net 163) (tstamp 47f413cb-8e48-461b-bd7e-46cf749a8e57)) - (segment (start 194.675123 100.289926) (end 192.467123 100.289926) (width 0.2) (layer "F.Cu") (net 163) (tstamp 8b766f3a-b1cf-4e02-94ba-3c359678ec73)) - (segment (start 154.482014 109.816) (end 157.6956 109.816) (width 0.2) (layer "F.Cu") (net 163) (tstamp bc9edb81-7072-4074-be53-09e8156a4d24)) - (via (at 192.429123 100.251926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 163) (tstamp 78133f79-4daa-475b-92c2-df4d567b00ef)) - (via (at 154.253316 109.587302) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 163) (tstamp 7d6dbc48-a715-4399-b773-dbba43a991db)) - (segment (start 188.702274 103.978775) (end 192.429123 100.251926) (width 0.2) (layer "B.Cu") (net 163) (tstamp 00c02456-25a4-4c9c-89e6-ed39a1483a03)) - (segment (start 154.253316 109.587302) (end 154.553316 109.887302) (width 0.2) (layer "B.Cu") (net 163) (tstamp 04718e31-b09c-422a-bc2e-1c31a522d3d6)) - (segment (start 154.553316 109.887302) (end 156.140898 109.887302) (width 0.2) (layer "B.Cu") (net 163) (tstamp 0dfaad0c-05c7-4386-8ee7-b75101a3fedb)) - (segment (start 156.140898 109.887302) (end 156.301 109.7272) (width 0.2) (layer "B.Cu") (net 163) (tstamp 2a74409c-5278-40bf-9655-87e6de34205b)) - (segment (start 185.859274 110.276526) (end 188.702274 107.433526) (width 0.2) (layer "B.Cu") (net 163) (tstamp 2c2a0d74-d620-4f09-a5d7-d177d9941b54)) - (segment (start 167.643292 109.7272) (end 168.192618 110.276526) (width 0.2) (layer "B.Cu") (net 163) (tstamp 325763d7-0cd9-45eb-9cdc-c69b4fc58429)) - (segment (start 188.702274 107.433526) (end 188.702274 103.978775) (width 0.2) (layer "B.Cu") (net 163) (tstamp 5d28dbc9-91e5-4244-a269-8a143bcbd469)) - (segment (start 156.301 109.7272) (end 167.643292 109.7272) (width 0.2) (layer "B.Cu") (net 163) (tstamp 837bf4be-c5b7-41f7-814c-ae4ef8fc606a)) - (segment (start 168.192618 110.276526) (end 185.859274 110.276526) (width 0.2) (layer "B.Cu") (net 163) (tstamp d74d1bf4-0116-4dba-86ec-2b3b2458372b)) - (segment (start 69.862274 46.061126) (end 75.612914 46.061126) (width 0.2) (layer "F.Cu") (net 164) (tstamp 4ef27459-03f0-4511-9882-ead4e28bc8d5)) - (segment (start 194.695123 95.229926) (end 192.487123 95.229926) (width 0.2) (layer "F.Cu") (net 165) (tstamp 3c922388-08be-4885-889c-d5ac49aebf66)) - (segment (start 154.581833 108.642801) (end 154.908634 108.316) (width 0.2) (layer "F.Cu") (net 165) (tstamp 7c88a3df-ee9a-48aa-9332-ab4f61578032)) - (segment (start 192.487123 95.229926) (end 192.429123 95.171926) (width 0.2) (layer "F.Cu") (net 165) (tstamp aafc40f3-271a-4950-8ad4-1ce53dd59415)) - (segment (start 154.908634 108.316) (end 157.6956 108.316) (width 0.2) (layer "F.Cu") (net 165) (tstamp ca538348-a7d5-4251-b1f3-063b0927ce1a)) - (via (at 192.429123 95.171926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 165) (tstamp 769a094b-cad0-4d34-8ecf-8becdd8c32ac)) - (via (at 154.581833 108.642801) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 165) (tstamp 9504df8f-4ca9-4313-a371-dc33eee7d1e9)) - (segment (start 187.902274 106.091584) (end 186.457657 107.5362) (width 0.2) (layer "B.Cu") (net 165) (tstamp 302f4481-2794-4c50-9c0f-5d254eb45762)) - (segment (start 192.429123 95.171926) (end 189.025874 95.171926) (width 0.2) (layer "B.Cu") (net 165) (tstamp 306413f4-556b-4b3b-8f01-4c7282d7d11f)) - (segment (start 154.808634 108.416) (end 154.581833 108.642801) (width 0.2) (layer "B.Cu") (net 165) (tstamp 3aa234c3-f444-4a63-896d-d17969825861)) - (segment (start 160.00595 108.5362) (end 156.26375 108.5362) (width 0.2) (layer "B.Cu") (net 165) (tstamp 691d5ea3-70ed-44dd-b7d3-b7ed1926f103)) - (segment (start 161.00595 107.5362) (end 160.00595 108.5362) (width 0.2) (layer "B.Cu") (net 165) (tstamp 89eed13f-6675-4649-a80b-cce2d368948e)) - (segment (start 156.26375 108.5362) (end 156.14355 108.416) (width 0.2) (layer "B.Cu") (net 165) (tstamp 8db2e919-b51a-4524-8b8a-162d8aaca05e)) - (segment (start 187.902274 96.295526) (end 187.902274 106.091584) (width 0.2) (layer "B.Cu") (net 165) (tstamp cd06122b-a5a8-40c4-8080-11a32131e2fc)) - (segment (start 186.457657 107.5362) (end 161.00595 107.5362) (width 0.2) (layer "B.Cu") (net 165) (tstamp d923d60c-2e11-4911-8189-7a13818bfc10)) - (segment (start 189.025874 95.171926) (end 187.902274 96.295526) (width 0.2) (layer "B.Cu") (net 165) (tstamp edaffb07-ba0e-443a-baa5-b37f87c69a6f)) - (segment (start 156.14355 108.416) (end 154.808634 108.416) (width 0.2) (layer "B.Cu") (net 165) (tstamp ff94bc68-dc65-452d-bb18-625fbd8cdd64)) - (segment (start 177.906474 107.914526) (end 177.901474 107.909526) (width 0.2) (layer "F.Cu") (net 166) (tstamp 720dfdd4-2478-4f0b-a7be-fa747b43f96a)) - (segment (start 183.723674 107.914526) (end 177.906474 107.914526) (width 0.2) (layer "F.Cu") (net 166) (tstamp f3caefb8-cd94-4b6a-8320-6aec877c8a88)) - (segment (start 156.0536 109.316) (end 157.6956 109.316) (width 0.2) (layer "F.Cu") (net 167) (tstamp 0cbcd138-69c2-499a-842c-fc6649a58fcd)) - (segment (start 192.477123 97.759926) (end 194.645123 97.759926) (width 0.2) (layer "F.Cu") (net 167) (tstamp 14e52b2d-32d4-453d-94ac-510e92e03c55)) - (segment (start 192.429123 97.711926) (end 192.477123 97.759926) (width 0.2) (layer "F.Cu") (net 167) (tstamp 56dc3882-9ddd-474f-9ce2-909031805a5a)) - (segment (start 155.8536 109.116) (end 156.0536 109.316) (width 0.2) (layer "F.Cu") (net 167) (tstamp 5efbfd10-b1ca-4873-a625-77ffd051986e)) - (via (at 192.429123 97.711926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 167) (tstamp 955cf9e8-e42f-463d-bdaf-374bc4b9fe9b)) - (via (at 155.8536 109.116) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 167) (tstamp dadd9213-6c88-4e59-9a76-d87ddcf13b90)) - (segment (start 188.302274 98.892526) (end 189.482874 97.711926) (width 0.2) (layer "B.Cu") (net 167) (tstamp 15917fef-0319-4926-b794-581ad72d70b3)) - (segment (start 187.533588 108.036526) (end 188.302274 107.26784) (width 0.2) (layer "B.Cu") (net 167) (tstamp 1f8a6377-2ade-4b6d-9b1c-ade848e36673)) - (segment (start 167.597778 109.116) (end 168.358304 109.876526) (width 0.2) (layer "B.Cu") (net 167) (tstamp 34054245-edc5-4524-9ffb-31fa8ac6a61c)) - (segment (start 189.482874 97.711926) (end 192.429123 97.711926) (width 0.2) (layer "B.Cu") (net 167) (tstamp 6a72b6fe-4ae0-47cb-b141-aae34c5cf7fe)) - (segment (start 168.358304 109.876526) (end 185.624274 109.876526) (width 0.2) (layer "B.Cu") (net 167) (tstamp 8ff912e3-8020-471b-8bd6-ec02af826c12)) - (segment (start 188.302274 107.26784) (end 188.302274 98.892526) (width 0.2) (layer "B.Cu") (net 167) (tstamp 92cb594c-c8fd-4ea3-83f2-07de27c77356)) - (segment (start 185.624274 109.876526) (end 187.464274 108.036526) (width 0.2) (layer "B.Cu") (net 167) (tstamp b13501f3-ad49-4e3d-befe-8390d89d6785)) - (segment (start 155.8536 109.116) (end 167.597778 109.116) (width 0.2) (layer "B.Cu") (net 167) (tstamp dfd82c0f-05e1-4f83-8a8e-f5f42d58318a)) - (segment (start 187.464274 108.036526) (end 187.533588 108.036526) (width 0.2) (layer "B.Cu") (net 167) (tstamp e3e8cd77-7a0d-43ed-81fd-326f939f8b76)) - (segment (start 86.582928 115.823897) (end 86.537128 115.778097) (width 0.2) (layer "F.Cu") (net 168) (tstamp 99589836-5909-41f2-a0b1-3f037a7b133b)) - (segment (start 92.73278 115.823897) (end 86.582928 115.823897) (width 0.2) (layer "F.Cu") (net 168) (tstamp a61d7778-bb0b-4d81-9bec-6dc788cd3425)) - (segment (start 166.966274 140.509154) (end 166.966274 118.136674) (width 0.2) (layer "F.Cu") (net 169) (tstamp 002445d0-5293-49a5-a577-2736181207de)) - (segment (start 194.957274 144.30084) (end 191.216589 148.041526) (width 0.2) (layer "F.Cu") (net 169) (tstamp 0dabae93-0e4f-4edd-988e-6945b02149a7)) - (segment (start 194.957274 143.953463) (end 194.957274 144.30084) (width 0.2) (layer "F.Cu") (net 169) (tstamp 5c3b106e-8bc7-4916-9b9e-42e4efce3163)) - (segment (start 174.498646 148.041526) (end 166.966274 140.509154) (width 0.2) (layer "F.Cu") (net 169) (tstamp 8ebfc441-ddec-4b2d-8c5b-7adcc94475bf)) - (segment (start 166.966274 118.136674) (end 162.6456 113.816) (width 0.2) (layer "F.Cu") (net 169) (tstamp a4bb7078-fe3b-45a9-9de7-c138f54bc15c)) - (segment (start 162.6456 113.816) (end 161.6456 113.816) (width 0.2) (layer "F.Cu") (net 169) (tstamp d1df1023-d412-4dab-bf68-30a7de079017)) - (segment (start 191.216589 148.041526) (end 174.498646 148.041526) (width 0.2) (layer "F.Cu") (net 169) (tstamp ee2eeaf7-e256-406c-9371-9c65b666f98a)) - (segment (start 92.5234 103.0992) (end 86.608231 103.0992) (width 0.2) (layer "F.Cu") (net 170) (tstamp 5e2deb4b-ed38-4d8a-a75c-b5533010cfff)) - (segment (start 86.608231 103.0992) (end 86.587128 103.078097) (width 0.2) (layer "F.Cu") (net 170) (tstamp e62a780c-6a9c-4fe7-92ed-57ff5bea342b)) - (segment (start 154.001 114.1862) (end 154.3712 113.816) (width 0.2) (layer "F.Cu") (net 171) (tstamp 142270f5-81bb-4631-aede-877d1f369751)) - (segment (start 154.3712 113.816) (end 157.6956 113.816) (width 0.2) (layer "F.Cu") (net 171) (tstamp 14bd9c26-5414-4202-b9ae-0d20ad9fc150)) - (segment (start 194.765123 115.259926) (end 192.661123 115.259926) (width 0.2) (layer "F.Cu") (net 171) (tstamp a78ec314-ab7a-4628-9db7-04b2d73fff39)) - (segment (start 192.661123 115.259926) (end 192.429123 115.491926) (width 0.2) (layer "F.Cu") (net 171) (tstamp ce834c39-1982-4f68-b508-ce1c64831062)) - (via (at 192.429123 115.491926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 171) (tstamp 4d1bf74c-6528-4f61-b293-232b015658ce)) - (via (at 154.001 114.1862) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 171) (tstamp a6e4ddc8-683b-4380-8752-2a80ff7008fd)) - (segment (start 154.001 114.1862) (end 154.2712 113.916) (width 0.2) (layer "B.Cu") (net 171) (tstamp 09145933-b3bb-4af4-be30-6b54ecc16ab0)) - (segment (start 154.2712 113.916) (end 155.939914 113.916) (width 0.2) (layer "B.Cu") (net 171) (tstamp 292d7442-12c4-4261-9f0c-81645bf8bcd3)) - (segment (start 156.177714 113.6782) (end 166.740748 113.6782) (width 0.2) (layer "B.Cu") (net 171) (tstamp 48fac788-4ad8-44df-b7ef-0349b4efaf6c)) - (segment (start 168.554474 115.491926) (end 166.740748 113.6782) (width 0.2) (layer "B.Cu") (net 171) (tstamp 4e0aae54-5014-43ca-b79f-2d17066bd521)) - (segment (start 155.939914 113.916) (end 156.177714 113.6782) (width 0.2) (layer "B.Cu") (net 171) (tstamp 4ff9acc8-34d9-476f-900f-e28125b509f3)) - (segment (start 192.429123 115.491926) (end 168.554474 115.491926) (width 0.2) (layer "B.Cu") (net 171) (tstamp a71cec55-d6f4-4b98-88b9-8a07f73ab84c)) - (segment (start 75.561634 119.854526) (end 69.876799 119.854526) (width 0.2) (layer "F.Cu") (net 172) (tstamp 08b1c0a9-83bc-4968-baa3-6fe457a6ef26)) - (segment (start 69.876799 119.854526) (end 69.839044 119.816771) (width 0.2) (layer "F.Cu") (net 172) (tstamp 5bb2866a-01a7-4200-8589-1fc434bbfe2b)) - (segment (start 192.429123 112.951926) (end 192.481123 112.899926) (width 0.2) (layer "F.Cu") (net 173) (tstamp 0b0101e4-0e21-4ab6-bde8-83ef51dbbadf)) - (segment (start 155.09 113.316) (end 157.6956 113.316) (width 0.2) (layer "F.Cu") (net 173) (tstamp 30091fb7-012b-4f4b-a774-ba0ec6777401)) - (segment (start 154.89 113.116) (end 155.09 113.316) (width 0.2) (layer "F.Cu") (net 173) (tstamp 79547eea-c9b2-4dfa-b0b3-1001f12aabeb)) - (segment (start 192.481123 112.899926) (end 194.775123 112.899926) (width 0.2) (layer "F.Cu") (net 173) (tstamp aaaa7b0d-aa7c-4ad8-8726-0fe7d1a5fb01)) - (via (at 154.89 113.116) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 173) (tstamp 93121663-1b5c-4c02-b939-6bb2c1d02f84)) - (via (at 192.429123 112.951926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 173) (tstamp acffce44-9c1e-4c52-bb53-28fb8e167158)) - (segment (start 154.89 113.116) (end 167.753348 113.116) (width 0.2) (layer "B.Cu") (net 173) (tstamp 84dc36ed-f8d8-4d3c-85e9-74b358fccfdd)) - (segment (start 192.429123 112.951926) (end 167.917422 112.951926) (width 0.2) (layer "B.Cu") (net 173) (tstamp 9919fd32-2592-4325-b267-a4e4e6362c62)) - (segment (start 167.917422 112.951926) (end 167.753348 113.116) (width 0.2) (layer "B.Cu") (net 173) (tstamp eb797190-3881-45b1-9619-8c5e6b846e9d)) - (segment (start 75.559279 117.316971) (end 75.587034 117.344726) (width 0.2) (layer "F.Cu") (net 174) (tstamp 14f2d27a-f9c3-418a-91d9-8702ce146089)) - (segment (start 69.864444 117.316971) (end 75.559279 117.316971) (width 0.2) (layer "F.Cu") (net 174) (tstamp 57beb6d0-5a82-465c-8c49-e57455e9b0ff)) - (segment (start 189.242274 147.641526) (end 174.745274 147.641526) (width 0.2) (layer "F.Cu") (net 175) (tstamp 1a4c7b79-8b96-4020-8366-9b013ff42979)) - (segment (start 167.366274 140.262526) (end 167.366274 117.970988) (width 0.2) (layer "F.Cu") (net 175) (tstamp 215a3663-c128-417c-86c2-9d098b210d52)) - (segment (start 167.366274 117.970988) (end 162.711286 113.316) (width 0.2) (layer "F.Cu") (net 175) (tstamp 26bf4a53-1d34-4ec4-8ecc-ed812204a2d6)) - (segment (start 194.957274 141.413463) (end 194.957274 141.926526) (width 0.2) (layer "F.Cu") (net 175) (tstamp 3d3de2ce-0d28-4074-9367-58e4d17edc93)) - (segment (start 194.957274 141.926526) (end 189.242274 147.641526) (width 0.2) (layer "F.Cu") (net 175) (tstamp 7f726b4b-5833-4778-97ab-21312c4d600e)) - (segment (start 174.745274 147.641526) (end 167.366274 140.262526) (width 0.2) (layer "F.Cu") (net 175) (tstamp 9eadc067-ab5a-490c-afd9-37025e70bf0d)) - (segment (start 162.711286 113.316) (end 161.6456 113.316) (width 0.2) (layer "F.Cu") (net 175) (tstamp baf90595-5451-4c20-8970-a060e261d462)) - (segment (start 86.580608 120.961577) (end 86.547128 120.928097) (width 0.2) (layer "F.Cu") (net 176) (tstamp 80bd1d5a-b616-409a-8d1f-894d87a26ee9)) - (segment (start 92.636733 120.961577) (end 86.580608 120.961577) (width 0.2) (layer "F.Cu") (net 176) (tstamp abbe9203-ef3c-4913-91e5-b50558bc434d)) - (segment (start 169.766274 116.239616) (end 162.842658 109.316) (width 0.2) (layer "F.Cu") (net 177) (tstamp 5d08e866-6d7a-45fd-be71-d3f5829a14a4)) - (segment (start 171.163274 139.024526) (end 169.766274 137.627526) (width 0.2) (layer "F.Cu") (net 177) (tstamp 98df13b2-a946-418c-b2d2-74cb592d60b5)) - (segment (start 169.766274 137.627526) (end 169.766274 116.239616) (width 0.2) (layer "F.Cu") (net 177) (tstamp 9ea20560-1ab0-438e-b443-6dbaca036ed2)) - (segment (start 176.415274 139.024526) (end 171.163274 139.024526) (width 0.2) (layer "F.Cu") (net 177) (tstamp bf885fd9-09a3-4363-9ab5-fb9ea4084a8e)) - (segment (start 162.842658 109.316) (end 161.6456 109.316) (width 0.2) (layer "F.Cu") (net 177) (tstamp f78ef5e0-ef0b-4288-8ed0-67d56c1fc323)) - (segment (start 69.858799 130.014526) (end 69.851044 130.006771) (width 0.2) (layer "F.Cu") (net 178) (tstamp 0e1eefc9-cd1c-4c49-8ff2-f8bf77f55968)) - (segment (start 75.573634 130.014526) (end 69.858799 130.014526) (width 0.2) (layer "F.Cu") (net 178) (tstamp 94736f5f-5ac4-4a97-b0b9-1fb34e87515e)) - (segment (start 170.966274 115.136674) (end 162.6456 106.816) (width 0.2) (layer "F.Cu") (net 179) (tstamp 069b0b09-44e5-4760-b25c-608f7894dd27)) - (segment (start 176.415274 131.404526) (end 174.014274 131.404526) (width 0.2) (layer "F.Cu") (net 179) (tstamp 3b8956b3-3794-4b28-a960-e7fbb8682da8)) - (segment (start 174.014274 131.404526) (end 170.966274 128.356526) (width 0.2) (layer "F.Cu") (net 179) (tstamp b39742f5-80e9-4b70-9145-861fef660331)) - (segment (start 162.6456 106.816) (end 161.6456 106.816) (width 0.2) (layer "F.Cu") (net 179) (tstamp d23d24cc-04ab-4ff2-b24a-10f67ea508a1)) - (segment (start 170.966274 128.356526) (end 170.966274 115.136674) (width 0.2) (layer "F.Cu") (net 179) (tstamp f5701866-903a-44ef-a1ca-741a15616aaf)) - (segment (start 75.571389 127.476771) (end 75.573634 127.474526) (width 0.2) (layer "F.Cu") (net 180) (tstamp 81cbaa84-80c5-4e84-9080-cd46fa9d4fa8)) - (segment (start 69.851044 127.476771) (end 75.571389 127.476771) (width 0.2) (layer "F.Cu") (net 180) (tstamp fd41669d-dece-44f2-952a-a301cb649ebb)) - (segment (start 173.798274 126.324526) (end 171.766274 124.292526) (width 0.2) (layer "F.Cu") (net 181) (tstamp 01e8e696-5036-46da-af31-6522295be4f8)) - (segment (start 162.6456 105.316) (end 161.6456 105.316) (width 0.2) (layer "F.Cu") (net 181) (tstamp 70d4b917-0f45-4c6f-a46d-c7ea4ded3709)) - (segment (start 176.415274 126.324526) (end 173.798274 126.324526) (width 0.2) (layer "F.Cu") (net 181) (tstamp 8326fe65-0677-4c9d-8ba0-caaf572b9322)) - (segment (start 171.766274 114.436674) (end 162.6456 105.316) (width 0.2) (layer "F.Cu") (net 181) (tstamp 9ba5e1af-938c-438c-a08e-f70d184e93ee)) - (segment (start 171.766274 124.292526) (end 171.766274 114.436674) (width 0.2) (layer "F.Cu") (net 181) (tstamp f0efdb7e-f5f6-48fd-8543-f75b3580299f)) - (segment (start 202.221323 97.759926) (end 196.145123 97.759926) (width 0.2) (layer "F.Cu") (net 182) (tstamp d6484292-f2a9-471d-821c-88a9aba745ff)) - (segment (start 154.6536 105.6012) (end 154.6236 105.5712) (width 0.2) (layer "F.Cu") (net 183) (tstamp 51b0df68-f6e1-4f82-a08d-12a339639b5f)) - (segment (start 155.91875 105.316) (end 157.6956 105.316) (width 0.2) (layer "F.Cu") (net 183) (tstamp 5ce268ae-2ee5-4e54-9234-cd4e435769eb)) - (segment (start 79.737425 51.140526) (end 77.490425 51.140526) (width 0.2) (layer "F.Cu") (net 183) (tstamp 62ea6b5b-fd58-4a3c-9266-0896541f77df)) - (segment (start 154.6536 105.6012) (end 154.6836 105.5712) (width 0.2) (layer "F.Cu") (net 183) (tstamp 89e4153b-1b2b-4bfe-bc17-bd08e33f97a5)) - (segment (start 154.6236 105.5712) (end 154.6136 105.5712) (width 0.2) (layer "F.Cu") (net 183) (tstamp 92319b16-9287-44e3-acd3-4f1e469e5729)) - (segment (start 154.6836 105.5712) (end 155.66355 105.5712) (width 0.2) (layer "F.Cu") (net 183) (tstamp b7ac7554-2b8e-4aa7-bd87-43bde633fe6c)) - (segment (start 155.66355 105.5712) (end 155.91875 105.316) (width 0.2) (layer "F.Cu") (net 183) (tstamp f445d51b-2317-4cb4-a27b-af1d2ff9b573)) - (via (at 154.6536 105.6012) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 183) (tstamp a165c1e3-760e-4bf3-9389-cb8d2e2c0438)) - (via (at 79.750425 51.153526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 183) (tstamp a51e6ce3-efcf-4f30-a3a7-a36203bc1481)) - (segment (start 154.6536 105.6012) (end 151.593518 105.6012) (width 0.2) (layer "B.Cu") (net 183) (tstamp 499aaee1-907a-4284-aefa-2340131c0d25)) - (segment (start 80.271425 50.632526) (end 79.750425 51.153526) (width 0.2) (layer "B.Cu") (net 183) (tstamp 4c6dceef-6c49-4b1f-82e5-938e75d6cd96)) - (segment (start 96.624844 50.632526) (end 80.271425 50.632526) (width 0.2) (layer "B.Cu") (net 183) (tstamp 8f8e5f8d-289f-4859-9b25-139a6904b0bc)) - (segment (start 151.593518 105.6012) (end 96.624844 50.632526) (width 0.2) (layer "B.Cu") (net 183) (tstamp 9e42035e-74c9-4c13-8d63-0fb246b366a8)) - (segment (start 202.258123 115.259926) (end 202.283523 115.285326) (width 0.2) (layer "F.Cu") (net 184) (tstamp 39109c39-8920-4324-afc1-6462f8e21d94)) - (segment (start 196.265123 115.259926) (end 202.258123 115.259926) (width 0.2) (layer "F.Cu") (net 184) (tstamp 7f7fb560-cad9-45f2-8e1c-cc1343027504)) - (segment (start 171.366274 114.970989) (end 162.711285 106.316) (width 0.2) (layer "F.Cu") (net 185) (tstamp 14cef1f2-2ab0-43d3-9e2c-cf1ab53f9c70)) - (segment (start 162.711285 106.316) (end 161.6456 106.316) (width 0.2) (layer "F.Cu") (net 185) (tstamp 26a88d27-05f6-45ee-900a-b8cac0e2b238)) - (segment (start 176.415274 128.864526) (end 173.779274 128.864526) (width 0.2) (layer "F.Cu") (net 185) (tstamp 30073593-5e03-4c47-984c-f5e268f91931)) - (segment (start 173.779274 128.864526) (end 171.366274 126.451526) (width 0.2) (layer "F.Cu") (net 185) (tstamp 68827a46-6e49-491b-a953-72211959032f)) - (segment (start 171.366274 126.451526) (end 171.366274 114.970989) (width 0.2) (layer "F.Cu") (net 185) (tstamp e6f4549b-9d3e-4a6e-ab79-76a296facc6b)) - (segment (start 196.290523 112.915326) (end 196.275123 112.899926) (width 0.2) (layer "F.Cu") (net 186) (tstamp 33428be1-0889-47cf-b721-b8123d32dcd4)) - (segment (start 202.293523 112.915326) (end 196.290523 112.915326) (width 0.2) (layer "F.Cu") (net 186) (tstamp a2792bc0-cbd7-459b-b56f-8e59675534fa)) - (segment (start 162.6456 103.816) (end 161.6456 103.816) (width 0.2) (layer "F.Cu") (net 187) (tstamp 4687cfe8-4c0c-4696-8c15-dada4440c678)) - (segment (start 172.566274 113.736674) (end 162.6456 103.816) (width 0.2) (layer "F.Cu") (net 187) (tstamp 61dab01b-1d7a-49bb-9f19-6d0eb1fcc106)) - (segment (start 172.566274 120.228526) (end 172.566274 113.736674) (width 0.2) (layer "F.Cu") (net 187) (tstamp 911854f3-0c8d-4562-8f00-6cc35c8c93f9)) - (segment (start 176.415274 121.244526) (end 173.582274 121.244526) (width 0.2) (layer "F.Cu") (net 187) (tstamp b8a8110f-89b5-4efa-99f0-1568a999be0f)) - (segment (start 173.582274 121.244526) (end 172.566274 120.228526) (width 0.2) (layer "F.Cu") (net 187) (tstamp beea6c88-8287-4dbe-a45d-bdccd4655337)) - (segment (start 202.280874 143.953463) (end 202.306274 143.978863) (width 0.2) (layer "F.Cu") (net 188) (tstamp 6f6698c6-5ecd-4f4a-b1fc-84e547a99302)) - (segment (start 196.479074 143.953463) (end 202.280874 143.953463) (width 0.2) (layer "F.Cu") (net 188) (tstamp c13eafcf-a05e-47df-b01c-6164d924a4f8)) - (segment (start 173.817274 123.784526) (end 172.166274 122.133526) (width 0.2) (layer "F.Cu") (net 189) (tstamp 0427d10e-cb08-484a-a10b-57c6a4335147)) - (segment (start 172.166274 122.133526) (end 172.166274 114.270988) (width 0.2) (layer "F.Cu") (net 189) (tstamp 6acff6db-a174-4ea1-99a9-c5fa552019d6)) - (segment (start 176.415274 123.784526) (end 173.817274 123.784526) (width 0.2) (layer "F.Cu") (net 189) (tstamp 95d2d3d6-cd9d-49e4-961a-7f08ff13b379)) - (segment (start 162.711286 104.816) (end 161.6456 104.816) (width 0.2) (layer "F.Cu") (net 189) (tstamp d6787e2a-15ec-426b-acb8-9768c4880b8c)) - (segment (start 172.166274 114.270988) (end 162.711286 104.816) (width 0.2) (layer "F.Cu") (net 189) (tstamp dce0b763-32f4-4f18-b403-374194752c22)) - (segment (start 196.105274 87.559926) (end 196.075274 87.529926) (width 0.2) (layer "F.Cu") (net 190) (tstamp 424d2456-6d70-4d83-b370-da3c5280d8f5)) - (segment (start 202.151074 87.559926) (end 196.105274 87.559926) (width 0.2) (layer "F.Cu") (net 190) (tstamp 5c3006ce-3c8b-405f-91aa-419c61f7125d)) - (segment (start 174.510274 118.069526) (end 172.966274 116.525526) (width 0.2) (layer "F.Cu") (net 191) (tstamp 44361b18-b04e-4118-b993-c996b082153b)) - (segment (start 176.377874 118.069526) (end 174.510274 118.069526) (width 0.2) (layer "F.Cu") (net 191) (tstamp 6ad62375-9d58-4fbb-9a0e-d9cfa793dadf)) - (segment (start 172.966274 116.525526) (end 172.966274 113.570988) (width 0.2) (layer "F.Cu") (net 191) (tstamp cbf7f37b-0e25-41af-993d-aa551c41ad94)) - (segment (start 162.711286 103.316) (end 161.6456 103.316) (width 0.2) (layer "F.Cu") (net 191) (tstamp e8858857-80c2-4d37-a0af-7f366ad1532b)) - (segment (start 172.966274 113.570988) (end 162.711286 103.316) (width 0.2) (layer "F.Cu") (net 191) (tstamp eb1d4f19-3ac1-4019-aaf9-ffdb8c427763)) - (segment (start 183.632874 102.829526) (end 183.652874 102.849526) (width 0.2) (layer "F.Cu") (net 192) (tstamp 346742a2-3439-4c34-8317-6c6b26e0c019)) - (segment (start 183.502323 102.829526) (end 183.522323 102.849526) (width 0.2) (layer "F.Cu") (net 192) (tstamp 693c7349-9eb2-49cb-a16a-9500ad7f0b0f)) - (segment (start 177.800274 102.829526) (end 183.632874 102.829526) (width 0.2) (layer "F.Cu") (net 192) (tstamp a28f8f2d-7844-4534-b544-4589b5959760)) - (segment (start 79.668425 48.606126) (end 77.560425 48.606126) (width 0.2) (layer "F.Cu") (net 193) (tstamp 5f377963-170b-4d07-8f71-f1396e699741)) - (segment (start 155.3588 104.816) (end 155.3536 104.8212) (width 0.2) (layer "F.Cu") (net 193) (tstamp 7da4a1cf-9279-4c20-8442-8c3df0574bb8)) - (segment (start 157.6956 104.816) (end 155.4384 104.816) (width 0.2) (layer "F.Cu") (net 193) (tstamp 8d361bdf-2e1a-478f-8a24-d7dd11ba33a9)) - (segment (start 155.4384 104.816) (end 155.4336 104.8112) (width 0.2) (layer "F.Cu") (net 193) (tstamp aac40dc1-1d0a-40cb-a566-200ffcb05b4b)) - (segment (start 155.4336 104.8112) (end 155.4288 104.816) (width 0.2) (layer "F.Cu") (net 193) (tstamp acd8452b-7938-455d-ac95-91f31cb658d0)) - (segment (start 155.4288 104.816) (end 155.3588 104.816) (width 0.2) (layer "F.Cu") (net 193) (tstamp afb265e1-9bed-4bfe-a447-70dc1cd9a805)) - (via (at 79.700425 48.574126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 193) (tstamp 3ded7441-f0c0-49d1-85f9-0f2c9c57d4f2)) - (via (at 155.4336 104.8112) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 193) (tstamp ba6e74af-f453-4f14-9633-212645e3015c)) - (segment (start 154.3256 104.9802) (end 154.4946 104.8112) (width 0.2) (layer "B.Cu") (net 193) (tstamp 37640994-7c13-46c4-a628-91efd161786a)) - (segment (start 154.4946 104.8112) (end 155.4336 104.8112) (width 0.2) (layer "B.Cu") (net 193) (tstamp 43333a4c-3a76-493b-9059-1265793cdcc0)) - (segment (start 95.13213 48.574126) (end 151.538204 104.9802) (width 0.2) (layer "B.Cu") (net 193) (tstamp 60f2c91f-65a2-4031-b8ea-814f5daf870c)) - (segment (start 79.700425 48.574126) (end 95.13213 48.574126) (width 0.2) (layer "B.Cu") (net 193) (tstamp 75184f42-c492-455f-a9b2-e49ad79efadc)) - (segment (start 151.538204 104.9802) (end 154.3256 104.9802) (width 0.2) (layer "B.Cu") (net 193) (tstamp 8016abc1-13ba-4de6-9b67-768890bde327)) - (segment (start 196.450274 141.418463) (end 196.445274 141.413463) (width 0.2) (layer "F.Cu") (net 194) (tstamp c82ea303-b149-4f7a-b768-2cb5a0fd0169)) - (segment (start 202.292874 141.418463) (end 196.450274 141.418463) (width 0.2) (layer "F.Cu") (net 194) (tstamp d7299a49-fe8e-4029-9395-9417b8ebb523)) - (segment (start 163.164348 102.316) (end 161.6456 102.316) (width 0.2) (layer "F.Cu") (net 195) (tstamp 4183bd6e-bafd-457e-985a-81e9f82e5314)) - (segment (start 176.377874 115.529526) (end 163.164348 102.316) (width 0.2) (layer "F.Cu") (net 195) (tstamp b1a504bf-8e1f-4914-b2f4-738b21246c81)) - (segment (start 183.776274 138.978726) (end 177.974474 138.978726) (width 0.2) (layer "F.Cu") (net 196) (tstamp 27c9995e-9755-4ae3-901f-9ef0a899e50a)) - (segment (start 177.974474 138.978726) (end 177.928674 139.024526) (width 0.2) (layer "F.Cu") (net 196) (tstamp de1a65c5-d434-4e4c-8742-437156d9d349)) - (segment (start 154.5942 103.316) (end 157.6956 103.316) (width 0.2) (layer "F.Cu") (net 197) (tstamp 8fa208ad-e2b8-4bda-9c9d-e71c687d3681)) - (segment (start 154.509 103.4012) (end 154.5942 103.316) (width 0.2) (layer "F.Cu") (net 197) (tstamp d8bb27d7-05fa-49d4-9fa9-6a5270ff9f15)) - (segment (start 79.419948 46.061126) (end 77.188148 46.061126) (width 0.2) (layer "F.Cu") (net 197) (tstamp fcf74411-624d-4189-9c64-3b3db52a50f0)) - (via (at 154.509 103.4012) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 197) (tstamp 38af446d-b1df-468e-a9da-4f2a56aa6a1a)) - (via (at 79.419948 46.061126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 197) (tstamp 528cf4ab-7efb-4995-a545-98a0ecda9aed)) - (segment (start 79.419948 46.061126) (end 93.184816 46.061126) (width 0.2) (layer "B.Cu") (net 197) (tstamp 02b6999e-e43d-4a85-a119-b1ef73cb47cc)) - (segment (start 150.52489 103.4012) (end 154.509 103.4012) (width 0.2) (layer "B.Cu") (net 197) (tstamp 940fae7c-85a9-4071-ab0c-e5ace610ac12)) - (segment (start 93.184816 46.061126) (end 150.52489 103.4012) (width 0.2) (layer "B.Cu") (net 197) (tstamp 943f7f35-33ec-45e4-8d72-19e90f3172e5)) - (segment (start 154.509 103.4012) (end 154.665 103.2452) (width 0.2) (layer "B.Cu") (net 197) (tstamp e746b4c8-83f0-4d4e-85e7-5b76427d982b)) - (segment (start 183.683074 131.404526) (end 183.713474 131.434926) (width 0.2) (layer "F.Cu") (net 198) (tstamp 1e8c24e5-10c7-43f3-a051-da9247c0638d)) - (segment (start 177.916674 131.404526) (end 183.683074 131.404526) (width 0.2) (layer "F.Cu") (net 198) (tstamp 8e3aface-e4c1-41e7-8b97-aabc8c7dde0b)) - (segment (start 176.377874 107.909526) (end 175.849588 107.909526) (width 0.2) (layer "F.Cu") (net 199) (tstamp 02fc403f-02f8-4174-9fcd-6059f8962557)) - (segment (start 168.256062 100.316) (end 161.6456 100.316) (width 0.2) (layer "F.Cu") (net 199) (tstamp 53ddc04c-c648-4bd1-931d-93f933a5f99a)) - (segment (start 175.849588 107.909526) (end 168.256062 100.316) (width 0.2) (layer "F.Cu") (net 199) (tstamp f4ad939c-5579-4ed4-8196-6a288cda6914)) - (segment (start 183.592874 95.336526) (end 183.652874 95.396526) (width 0.2) (layer "F.Cu") (net 200) (tstamp 2482f9a4-74ad-4db6-a484-62c34bb91ba8)) - (segment (start 177.698674 95.336526) (end 183.592874 95.336526) (width 0.2) (layer "F.Cu") (net 200) (tstamp a9a0aec0-0ee8-4d0d-958c-7a92fd13cade)) - (segment (start 155.398 98.8192) (end 155.8948 99.316) (width 0.2) (layer "F.Cu") (net 201) (tstamp 61f2aa56-f7c8-4e52-a526-d43ae39a3eb2)) - (segment (start 176.300274 95.336526) (end 173.621274 95.336526) (width 0.2) (layer "F.Cu") (net 201) (tstamp dcd2d424-7773-43e3-bd81-cdaab1a83258)) - (segment (start 155.8948 99.316) (end 157.6956 99.316) (width 0.2) (layer "F.Cu") (net 201) (tstamp effca85b-90fc-4e12-a0f2-6db7b167f7ad)) - (via (at 173.621274 95.336526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 201) (tstamp 2dee12d7-3a22-4992-9752-efca13c4feb7)) - (via (at 155.398 98.8192) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 201) (tstamp b18f68ae-daf3-4321-93ad-ae6bc3866351)) - (segment (start 170.1386 98.8192) (end 155.398 98.8192) (width 0.2) (layer "B.Cu") (net 201) (tstamp 2342e515-4140-44d0-95af-4cdb2dc71860)) - (segment (start 173.621274 95.336526) (end 170.1386 98.8192) (width 0.2) (layer "B.Cu") (net 201) (tstamp 9a9bd020-244a-4093-9203-19d5998b87ab)) - (segment (start 183.654274 100.416526) (end 177.750074 100.416526) (width 0.2) (layer "F.Cu") (net 202) (tstamp 763fef58-f3c3-4a66-aae7-52682dfea188)) - (segment (start 153.438448 100.316) (end 157.6956 100.316) (width 0.2) (layer "F.Cu") (net 203) (tstamp 2c462e5c-686a-46ed-8061-198aef3ef7cd)) - (segment (start 173.825274 100.366526) (end 173.748274 100.289526) (width 0.2) (layer "F.Cu") (net 203) (tstamp 3681c811-d742-4ba7-8f1b-e8fac595c4f2)) - (segment (start 153.239 100.116552) (end 153.438448 100.316) (width 0.2) (layer "F.Cu") (net 203) (tstamp a7df4f6b-f9b6-4630-880e-4ee84fcc2dd5)) - (segment (start 176.301674 100.366526) (end 173.825274 100.366526) (width 0.2) (layer "F.Cu") (net 203) (tstamp d851939a-e611-49eb-87cb-ccff1ada05bd)) - (via (at 173.748274 100.289526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 203) (tstamp 37891355-0b6b-416e-a001-e4d22fe7f218)) - (via (at 153.239 100.116552) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 203) (tstamp b961d22c-61bb-4f8b-8bac-ed837c7b9da0)) - (segment (start 173.5753 100.116552) (end 173.748274 100.289526) (width 0.2) (layer "B.Cu") (net 203) (tstamp 5cc0c2a9-b1d0-46f0-b693-c2ab8e1c1c90)) - (segment (start 153.239 100.116552) (end 173.5753 100.116552) (width 0.2) (layer "B.Cu") (net 203) (tstamp 884a3b6f-4770-47f3-8644-e30fb9466ce6)) - (segment (start 177.874274 112.969126) (end 177.853874 112.989526) (width 0.2) (layer "F.Cu") (net 204) (tstamp 16541a10-25af-4a35-8371-ea8f579fa74a)) - (segment (start 183.701474 112.969126) (end 177.874274 112.969126) (width 0.2) (layer "F.Cu") (net 204) (tstamp 94c054b7-862a-4ec6-b3a2-a0ac2b9d3000)) - (segment (start 176.377874 112.989526) (end 165.204348 101.816) (width 0.2) (layer "F.Cu") (net 205) (tstamp 0cad81e6-26cc-4392-894d-7066407eee97)) - (segment (start 165.204348 101.816) (end 161.6456 101.816) (width 0.2) (layer "F.Cu") (net 205) (tstamp d1eab02d-86aa-476b-bfd6-c77d51bbdcc4)) - (segment (start 75.582914 40.981126) (end 75.612914 41.011126) (width 0.2) (layer "F.Cu") (net 206) (tstamp 46c1a244-3bf2-4638-9898-eb15fe56b61f)) - (segment (start 69.862274 40.981126) (end 75.582914 40.981126) (width 0.2) (layer "F.Cu") (net 206) (tstamp a5e9206b-54e4-415b-a446-908b4b80327f)) - (segment (start 156.1336 101.816) (end 157.6956 101.816) (width 0.2) (layer "F.Cu") (net 207) (tstamp 1c7bfdb5-5dbd-48b7-9852-12e5d586a0bc)) - (segment (start 79.389948 41.011126) (end 77.092948 41.011126) (width 0.2) (layer "F.Cu") (net 207) (tstamp 63358611-1678-443b-b4b1-3f07219b3091)) - (segment (start 79.419948 40.981126) (end 79.389948 41.011126) (width 0.2) (layer "F.Cu") (net 207) (tstamp 6692d54b-40a2-45ca-902b-21008a3dd0b7)) - (segment (start 155.9336 101.616) (end 156.1336 101.816) (width 0.2) (layer "F.Cu") (net 207) (tstamp f874c103-bca2-4fbf-b6c2-1398629bfeb0)) - (via (at 155.9336 101.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 207) (tstamp c1e155be-5611-4cc5-a4a1-719c7837363f)) - (via (at 79.419948 40.981126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 207) (tstamp caa7dd0e-d00d-442c-a2c1-aa4124bf4f0a)) - (segment (start 153.846376 102.067526) (end 155.482074 102.067526) (width 0.2) (layer "B.Cu") (net 207) (tstamp 3a84aa78-14bf-4852-a0ce-68691001bc68)) - (segment (start 153.70805 101.9292) (end 153.846376 102.067526) (width 0.2) (layer "B.Cu") (net 207) (tstamp 4c93b739-560c-4326-80f0-7aa8b77e9ca7)) - (segment (start 155.482074 102.067526) (end 155.9336 101.616) (width 0.2) (layer "B.Cu") (net 207) (tstamp 6a574a66-30a5-4128-b0b3-4f16501f4275)) - (segment (start 79.419948 40.981126) (end 89.236188 40.981126) (width 0.2) (layer "B.Cu") (net 207) (tstamp 6b445ae8-8f07-482e-96ae-11de6620d6e4)) - (segment (start 89.236188 40.981126) (end 150.184262 101.9292) (width 0.2) (layer "B.Cu") (net 207) (tstamp d97873ac-3717-4a8c-afb8-e4b233e68edc)) - (segment (start 150.184262 101.9292) (end 153.70805 101.9292) (width 0.2) (layer "B.Cu") (net 207) (tstamp e39be559-ece3-4108-b27b-5a1f60a7d715)) - (segment (start 69.870274 38.461126) (end 69.850274 38.441126) (width 0.2) (layer "F.Cu") (net 208) (tstamp 6d64a147-93a5-4f51-863a-04df26888fcf)) - (segment (start 75.600914 38.461126) (end 69.870274 38.461126) (width 0.2) (layer "F.Cu") (net 208) (tstamp 8d07959f-aa40-41f3-b666-ed8fde1f5415)) - (segment (start 154.6712 100.816) (end 157.6956 100.816) (width 0.2) (layer "F.Cu") (net 209) (tstamp 43c5108b-b13b-420a-b222-f5500e9b0fb1)) - (segment (start 79.407948 38.441126) (end 79.387948 38.461126) (width 0.2) (layer "F.Cu") (net 209) (tstamp 7a6b2d6c-ae96-46ee-b317-88334bb61ce2)) - (segment (start 154.128 101.3592) (end 154.6712 100.816) (width 0.2) (layer "F.Cu") (net 209) (tstamp 995c3ae4-b006-4ae2-8b0c-d7ff1261fd20)) - (segment (start 79.387948 38.461126) (end 77.080948 38.461126) (width 0.2) (layer "F.Cu") (net 209) (tstamp f6ff75cd-05de-48a0-81dd-341ceb57a326)) - (via (at 154.128 101.3592) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 209) (tstamp 813d995c-ca20-479c-b1e7-977cc82d85c3)) - (via (at 79.407948 38.441126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 209) (tstamp aeee0ecc-3ae0-464b-8991-72fbdcd42bb0)) - (segment (start 150.179948 101.3592) (end 154.128 101.3592) (width 0.2) (layer "B.Cu") (net 209) (tstamp 066639d9-2731-4ae9-85b2-35d2f418094c)) - (segment (start 79.407948 38.441126) (end 87.261874 38.441126) (width 0.2) (layer "B.Cu") (net 209) (tstamp 1f69722e-614d-46d9-b758-c82df069888b)) - (segment (start 87.261874 38.441126) (end 150.179948 101.3592) (width 0.2) (layer "B.Cu") (net 209) (tstamp f26af1ff-1baa-47a7-988b-e8d0de6504e6)) - (segment (start 177.708674 97.876526) (end 177.698674 97.866526) (width 0.2) (layer "F.Cu") (net 210) (tstamp 1977d40c-965b-46d6-ac2b-e6a037d5c0e8)) - (segment (start 183.652874 97.876526) (end 177.708674 97.876526) (width 0.2) (layer "F.Cu") (net 210) (tstamp e0f1b7ce-1aae-4e2d-b63c-9100dba109f9)) - (segment (start 154.6168 99.816) (end 157.6956 99.816) (width 0.2) (layer "F.Cu") (net 211) (tstamp 56ea4b67-ebd4-4af6-8e70-abe4a438d535)) - (segment (start 173.631274 97.866526) (end 173.621274 97.876526) (width 0.2) (layer "F.Cu") (net 211) (tstamp 618feee7-5bdd-4b57-ba78-b025197513b4)) - (segment (start 154.001 99.2002) (end 154.6168 99.816) (width 0.2) (layer "F.Cu") (net 211) (tstamp 9120725f-fd0a-488f-a3ec-80dc21d47bd0)) - (segment (start 176.300274 97.866526) (end 173.631274 97.866526) (width 0.2) (layer "F.Cu") (net 211) (tstamp e37079eb-1011-4663-b8cc-f39580f3a95a)) - (via (at 154.001 99.2002) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 211) (tstamp 9c8e6cc9-9cb7-4257-b151-dbc87f609ed1)) - (via (at 173.621274 97.876526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 211) (tstamp fe9afb64-04cb-4dc8-9c8b-cba5c5dfb864)) - (segment (start 171.9786 99.5192) (end 173.621274 97.876526) (width 0.2) (layer "B.Cu") (net 211) (tstamp 07c04b57-e2b6-4422-bed0-8f772948a432)) - (segment (start 154.001 99.2002) (end 154.32 99.5192) (width 0.2) (layer "B.Cu") (net 211) (tstamp d455678b-aadf-4cc3-b292-2c602a26415f)) - (segment (start 154.32 99.5192) (end 171.9786 99.5192) (width 0.2) (layer "B.Cu") (net 211) (tstamp fb258a9b-60a5-4471-8f20-20d249e1596e)) - (segment (start 75.600914 43.521126) (end 69.850274 43.521126) (width 0.2) (layer "F.Cu") (net 212) (tstamp e10814b5-43ac-4125-af8b-0f847ee697ab)) - (segment (start 79.407948 43.481126) (end 79.367948 43.521126) (width 0.2) (layer "F.Cu") (net 213) (tstamp 51dfbcc2-2432-4c1a-a3b2-ac45b20a1c68)) - (segment (start 153.366 102.6292) (end 153.6792 102.316) (width 0.2) (layer "F.Cu") (net 213) (tstamp b17714bd-8e1e-4fd7-a1a9-dcc6355d9820)) - (segment (start 79.367948 43.521126) (end 77.080948 43.521126) (width 0.2) (layer "F.Cu") (net 213) (tstamp ceb9e5e7-f6ba-41fe-a077-82f4ee67bde7)) - (segment (start 153.6792 102.316) (end 157.6956 102.316) (width 0.2) (layer "F.Cu") (net 213) (tstamp f37be878-a0b5-4d11-8c56-70031ada7b38)) - (via (at 153.366 102.6292) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 213) (tstamp 2dfceff1-ee64-4b87-b0bf-14e8cb8948ad)) - (via (at 79.407948 43.481126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 213) (tstamp a3c91d08-798f-4abd-a1c0-d446b04c7221)) - (segment (start 150.318577 102.6292) (end 153.366 102.6292) (width 0.2) (layer "B.Cu") (net 213) (tstamp 81f18fd2-b29a-48f2-bccc-500c69e78da7)) - (segment (start 79.407948 43.481126) (end 91.170502 43.481126) (width 0.2) (layer "B.Cu") (net 213) (tstamp b400aa90-d762-47c4-b5cc-c7788c9aba92)) - (segment (start 91.170502 43.481126) (end 150.318577 102.6292) (width 0.2) (layer "B.Cu") (net 213) (tstamp f2a9b90f-fb71-4e97-94f8-f83d1176c823)) - (segment (start 183.718674 110.449526) (end 183.723674 110.454526) (width 0.2) (layer "F.Cu") (net 214) (tstamp 7e02e416-3efa-4d0c-905b-ac5ec1ab6f0a)) - (segment (start 177.876074 110.449526) (end 183.718674 110.449526) (width 0.2) (layer "F.Cu") (net 214) (tstamp 807b4ce1-9eb2-49e3-bab4-27d0ca93e894)) - (segment (start 176.377874 110.449526) (end 176.377874 109.777126) (width 0.2) (layer "F.Cu") (net 215) (tstamp 6fa9eefc-f24c-4460-bb45-bd2831a620c8)) - (segment (start 176.377874 109.777126) (end 167.416748 100.816) (width 0.2) (layer "F.Cu") (net 215) (tstamp a1a49b0f-6125-4cf5-8de1-365512ba1876)) - (segment (start 167.416748 100.816) (end 161.6456 100.816) (width 0.2) (layer "F.Cu") (net 215) (tstamp fd566da3-86ce-487d-9c19-a1fcef9fed33)) - (segment (start 177.901474 105.369526) (end 183.693274 105.369526) (width 0.2) (layer "F.Cu") (net 216) (tstamp 4b1e50b5-fb10-4d40-aa3e-e6e69a16683a)) - (segment (start 183.693274 105.369526) (end 183.723674 105.399926) (width 0.2) (layer "F.Cu") (net 216) (tstamp a97ae8fa-27cb-411c-9f6d-c06dbefa7916)) - (segment (start 173.875274 105.369526) (end 168.321748 99.816) (width 0.2) (layer "F.Cu") (net 217) (tstamp 0883fe51-939d-4819-a4ab-d5578424e883)) - (segment (start 176.377874 105.369526) (end 173.875274 105.369526) (width 0.2) (layer "F.Cu") (net 217) (tstamp 6b7d3c47-a57e-48ee-b25f-518d569b58a9)) - (segment (start 168.321748 99.816) (end 161.6456 99.816) (width 0.2) (layer "F.Cu") (net 217) (tstamp faf9e889-54a8-49f1-97c4-609eb6630307)) - (segment (start 69.850444 85.575971) (end 75.508079 85.575971) (width 0.2) (layer "F.Cu") (net 218) (tstamp 032f264c-46f3-432d-8ab4-1a8431ca1079)) - (segment (start 75.508079 85.575971) (end 75.603634 85.671526) (width 0.2) (layer "F.Cu") (net 218) (tstamp 2d7aa879-89ad-474d-92f4-6b7f68c83ef5)) - (segment (start 77.103634 85.671526) (end 79.369634 85.671526) (width 0.2) (layer "F.Cu") (net 219) (tstamp 7d0c6548-442b-42c3-82ce-c0702d872e75)) - (segment (start 121.6172 95.6276) (end 121.3056 95.316) (width 0.2) (layer "F.Cu") (net 219) (tstamp cd3ebdf5-741e-483d-a6e5-e9c48da5d015)) - (segment (start 121.3056 95.316) (end 116.6456 95.316) (width 0.2) (layer "F.Cu") (net 219) (tstamp d1b62fae-f2ec-4ef2-8001-59476360c5b5)) - (segment (start 79.369634 85.671526) (end 79.475634 85.777526) (width 0.2) (layer "F.Cu") (net 219) (tstamp d31314a5-c9ef-46a8-8ebd-e3219a06c0ed)) - (via (at 121.6172 95.6276) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 219) (tstamp 01e55788-9e98-4ad4-adca-8cb716cac7f4)) - (via (at 79.475634 85.777526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 219) (tstamp b388c0f4-5af6-4800-8e33-c5d6b1b2ec9d)) - (segment (start 121.21685 95.22725) (end 121.6172 95.6276) (width 0.2) (layer "B.Cu") (net 219) (tstamp 457c0300-42f1-4883-ae70-cf4c8032b3f8)) - (segment (start 116.1649 95.22725) (end 121.21685 95.22725) (width 0.2) (layer "B.Cu") (net 219) (tstamp 47286dc2-4f36-46e1-86b1-6a28e82edf1a)) - (segment (start 79.475634 85.777526) (end 80.853308 84.399852) (width 0.2) (layer "B.Cu") (net 219) (tstamp 4842d015-7074-46fd-99ea-86793007051c)) - (segment (start 115.17495 96.2172) (end 116.1649 95.22725) (width 0.2) (layer "B.Cu") (net 219) (tstamp 686f4bbf-8efd-4490-af11-192fc24e3627)) - (segment (start 86.818252 84.399852) (end 98.6356 96.2172) (width 0.2) (layer "B.Cu") (net 219) (tstamp c00c249b-5590-4951-a10f-88c871f36117)) - (segment (start 80.853308 84.399852) (end 86.818252 84.399852) (width 0.2) (layer "B.Cu") (net 219) (tstamp ce7913f8-df01-4f1e-a5f0-0506c6111e51)) - (segment (start 98.6356 96.2172) (end 115.17495 96.2172) (width 0.2) (layer "B.Cu") (net 219) (tstamp f1c91c84-0ec2-41bb-8f6d-a9c4beb8c595)) - (segment (start 79.46179 82.440126) (end 79.24579 82.224126) (width 0.2) (layer "F.Cu") (net 220) (tstamp 0be7ceb8-7afa-48ea-add2-ca683ab01e23)) - (segment (start 148.729274 70.444526) (end 169.379474 70.444526) (width 0.2) (layer "F.Cu") (net 220) (tstamp 2d684e8d-6480-4257-a49d-f955f9623b08)) - (segment (start 118.8568 94.6512) (end 124.5226 94.6512) (width 0.2) (layer "F.Cu") (net 220) (tstamp 6778172c-6995-4414-ba17-de68f924e421)) - (segment (start 118.7216 94.516) (end 118.5216 94.316) (width 0.2) (layer "F.Cu") (net 220) (tstamp 74603d1c-3fa5-484d-982e-107ac8087561)) - (segment (start 118.5216 94.316) (end 116.6456 94.316) (width 0.2) (layer "F.Cu") (net 220) (tstamp 8fed2865-f69b-48d1-83ff-641cfb1129cd)) - (segment (start 124.5226 94.6512) (end 148.729274 70.444526) (width 0.2) (layer "F.Cu") (net 220) (tstamp e101e523-ede3-490b-a85e-4d220622b170)) - (segment (start 118.7216 94.516) (end 118.8568 94.6512) (width 0.2) (layer "F.Cu") (net 220) (tstamp ea7a372d-e1dc-4038-be03-5a22e7a0c172)) - (segment (start 79.24579 82.224126) (end 77.06979 82.224126) (width 0.2) (layer "F.Cu") (net 220) (tstamp f5e45728-a820-4dc1-930a-96dc2013cb5b)) - (via (at 118.7216 94.516) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 220) (tstamp 00902eca-8894-4cdb-b4f4-d1464ec6eec8)) - (via (at 79.46179 82.440126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 220) (tstamp 048a721b-6b8c-4192-8cc0-b1ff3b76d57d)) - (segment (start 79.46179 82.440126) (end 89.372841 82.440126) (width 0.2) (layer "B.Cu") (net 220) (tstamp 1dddbd45-9183-404e-b8b9-930021fca9a2)) - (segment (start 89.372841 82.440126) (end 101.448715 94.516) (width 0.2) (layer "B.Cu") (net 220) (tstamp 37b5a834-1378-4606-8d62-2c3afc537812)) - (segment (start 101.448715 94.516) (end 118.7216 94.516) (width 0.2) (layer "B.Cu") (net 220) (tstamp ae70da05-0493-473f-b054-2ddcc9859aed)) - (segment (start 106.2376 88.923686) (end 111.629914 94.316) (width 0.2) (layer "F.Cu") (net 221) (tstamp 298d88e9-6171-4ebd-bb3c-8b50a1ce1656)) - (segment (start 106.2376 84.2612) (end 106.2376 88.923686) (width 0.2) (layer "F.Cu") (net 221) (tstamp 37708c19-d1a0-43f2-af6a-3f22edfd16c2)) - (segment (start 94.245681 73.111526) (end 95.087926 73.111526) (width 0.2) (layer "F.Cu") (net 221) (tstamp 509f65cb-a8eb-49fb-a4d6-c322c6696ff9)) - (segment (start 94.164378 73.030223) (end 94.245681 73.111526) (width 0.2) (layer "F.Cu") (net 221) (tstamp 55b4abec-2a64-44a6-adc3-f67821983c0d)) - (segment (start 95.087926 73.111526) (end 106.2376 84.2612) (width 0.2) (layer "F.Cu") (net 221) (tstamp 8bc2e2d4-a272-4d79-907c-d9c8726e6e2f)) - (segment (start 111.629914 94.316) (end 112.6956 94.316) (width 0.2) (layer "F.Cu") (net 221) (tstamp dc986d81-99af-4bb3-8107-5fb4ac75b7f2)) - (segment (start 124.656914 93.9512) (end 119.7376 93.9512) (width 0.2) (layer "F.Cu") (net 222) (tstamp 0bc19521-7966-4e2b-8027-4a5f72909812)) - (segment (start 169.379474 67.904526) (end 150.703588 67.904526) (width 0.2) (layer "F.Cu") (net 222) (tstamp 0ea01a27-8a81-4c52-916d-afdfa114e848)) - (segment (start 150.703588 67.904526) (end 124.656914 93.9512) (width 0.2) (layer "F.Cu") (net 222) (tstamp 1ac6f820-3914-4239-884b-784d87d5cacb)) - (segment (start 79.29579 79.734126) (end 79.46179 79.900126) (width 0.2) (layer "F.Cu") (net 222) (tstamp 225ee278-4ea0-4e7a-9fb2-c2f90f1d7f17)) - (segment (start 119.6024 93.816) (end 116.6456 93.816) (width 0.2) (layer "F.Cu") (net 222) (tstamp 3ea15c57-0c4e-44de-9779-5378a2e58a62)) - (segment (start 119.7376 93.9512) (end 119.6024 93.816) (width 0.2) (layer "F.Cu") (net 222) (tstamp 63a54fc6-c1c6-4fd9-ba9c-72fb0d4eb090)) - (segment (start 77.06979 79.734126) (end 79.29579 79.734126) (width 0.2) (layer "F.Cu") (net 222) (tstamp e7705e7b-9ba0-49b7-952d-d58e00f2588b)) - (via (at 79.46179 79.900126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 222) (tstamp 2702050a-05c6-4dc2-a280-4f2f82c92f7a)) - (via (at 119.7376 93.9512) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 222) (tstamp a3633136-2b9c-49e0-b4ad-76b54e6b09c9)) - (segment (start 86.818252 79.319852) (end 101.3144 93.816) (width 0.2) (layer "B.Cu") (net 222) (tstamp 03813883-7a39-40c3-8119-6b7a10c0e441)) - (segment (start 119.6024 93.816) (end 119.7376 93.9512) (width 0.2) (layer "B.Cu") (net 222) (tstamp 0cfd87b0-ea9b-4906-aaa6-5407aa3f8b4b)) - (segment (start 80.042064 79.319852) (end 86.818252 79.319852) (width 0.2) (layer "B.Cu") (net 222) (tstamp 2fe13b7d-6952-4d40-b2e8-8080e7ee8cb3)) - (segment (start 101.3144 93.816) (end 119.6024 93.816) (width 0.2) (layer "B.Cu") (net 222) (tstamp 9ab9ebc5-0d7f-4986-b916-6aea6e636d0d)) - (segment (start 79.46179 79.900126) (end 80.042064 79.319852) (width 0.2) (layer "B.Cu") (net 222) (tstamp e9c8ed80-37c2-4792-861b-751247333af8)) - (segment (start 111.6956 93.816) (end 112.6956 93.816) (width 0.2) (layer "F.Cu") (net 223) (tstamp 18ec691c-38d5-43bb-907c-303bee29c40d)) - (segment (start 95.087926 70.444526) (end 106.6376 81.9942) (width 0.2) (layer "F.Cu") (net 223) (tstamp 1938519c-aca2-4fa8-8a1c-d329318402d6)) - (segment (start 106.6376 88.758) (end 111.6956 93.816) (width 0.2) (layer "F.Cu") (net 223) (tstamp 59ad7847-7e5f-4dd8-bcf3-60978dd634f9)) - (segment (start 94.164378 70.378223) (end 94.230681 70.444526) (width 0.2) (layer "F.Cu") (net 223) (tstamp 5eff0384-18cf-432f-8807-12eb605e0b14)) - (segment (start 94.230681 70.444526) (end 95.087926 70.444526) (width 0.2) (layer "F.Cu") (net 223) (tstamp d63ca755-e8f5-40d4-98ba-59901df01975)) - (segment (start 106.6376 81.9942) (end 106.6376 88.758) (width 0.2) (layer "F.Cu") (net 223) (tstamp f2495c07-1f52-4441-a4d6-3799b24c7be5)) - (segment (start 152.677902 65.364526) (end 169.379474 65.364526) (width 0.2) (layer "F.Cu") (net 224) (tstamp 17fc4ce5-6de8-4dd8-838c-3cfb6c7f8162)) - (segment (start 77.08979 77.144126) (end 79.24579 77.144126) (width 0.2) (layer "F.Cu") (net 224) (tstamp 3299d7f5-c0b1-414b-ac1c-3cdf0166dcbb)) - (segment (start 125.026428 93.016) (end 152.677902 65.364526) (width 0.2) (layer "F.Cu") (net 224) (tstamp 4b3a5575-078b-4bb5-8d93-c93981713309)) - (segment (start 118.5216 92.816) (end 116.6456 92.816) (width 0.2) (layer "F.Cu") (net 224) (tstamp 7218a614-50d7-4311-adb8-6f4a03721608)) - (segment (start 118.7216 93.016) (end 118.5216 92.816) (width 0.2) (layer "F.Cu") (net 224) (tstamp 764b1368-01f1-40d1-9386-66636038168d)) - (segment (start 118.7216 93.016) (end 125.026428 93.016) (width 0.2) (layer "F.Cu") (net 224) (tstamp f076d1dd-451d-4c09-b1d2-f9adf6563b04)) - (segment (start 79.24579 77.144126) (end 79.46179 77.360126) (width 0.2) (layer "F.Cu") (net 224) (tstamp f1de9256-027f-491e-9326-ba36d4e73421)) - (via (at 79.46179 77.360126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 224) (tstamp 0c765ed2-9b56-4e9c-9645-d7c10c05d313)) - (via (at 118.7216 93.016) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 224) (tstamp 2b93646c-9655-47cc-b645-a8d64e3c1275)) - (segment (start 118.3794 93.3582) (end 118.7216 93.016) (width 0.2) (layer "B.Cu") (net 224) (tstamp 0bbd6f5a-0c06-4ba8-a08f-bdd17e8870ad)) - (segment (start 80.042064 76.779852) (end 86.818252 76.779852) (width 0.2) (layer "B.Cu") (net 224) (tstamp 65fd66b6-1036-444d-a4ae-809e82ac058c)) - (segment (start 103.3966 93.3582) (end 118.3794 93.3582) (width 0.2) (layer "B.Cu") (net 224) (tstamp 785ca5c6-51fb-4364-a336-3443c87267de)) - (segment (start 86.818252 76.779852) (end 103.3966 93.3582) (width 0.2) (layer "B.Cu") (net 224) (tstamp 8e8d75c1-3140-4698-8f72-e39feba4225a)) - (segment (start 79.46179 77.360126) (end 80.042064 76.779852) (width 0.2) (layer "B.Cu") (net 224) (tstamp c6e02d0c-193d-42bc-afe0-6d2adea22982)) - (segment (start 118.5216 95.816) (end 116.6456 95.816) (width 0.2) (layer "F.Cu") (net 225) (tstamp 0506988a-47e5-464d-ae86-8f7465614c6d)) - (segment (start 169.379474 72.984526) (end 146.824274 72.984526) (width 0.2) (layer "F.Cu") (net 225) (tstamp 1b3fff23-70be-40fe-9d4f-8df7a5c0b105)) - (segment (start 120.706748 96.016) (end 118.7216 96.016) (width 0.2) (layer "F.Cu") (net 225) (tstamp 40e9e114-7316-4235-a5cb-abb7e07f8ac6)) - (segment (start 121.018348 96.3276) (end 120.706748 96.016) (width 0.2) (layer "F.Cu") (net 225) (tstamp 72791cf5-e1a6-492f-824d-855b31ddbc1d)) - (segment (start 79.475634 88.317526) (end 79.259634 88.101526) (width 0.2) (layer "F.Cu") (net 225) (tstamp 7aa7276a-cc87-44d6-b1ef-fbee41fa20ba)) - (segment (start 146.824274 72.984526) (end 123.4812 96.3276) (width 0.2) (layer "F.Cu") (net 225) (tstamp 8ef1efd8-1c2f-4128-aeb8-5231c4cb128e)) - (segment (start 118.7216 96.016) (end 118.5216 95.816) (width 0.2) (layer "F.Cu") (net 225) (tstamp c2313ba4-87df-4fb1-924a-a2b3f44e7f9f)) - (segment (start 123.4812 96.3276) (end 121.018348 96.3276) (width 0.2) (layer "F.Cu") (net 225) (tstamp c8b794da-c26f-4bf3-90b9-1da8b38799fd)) - (segment (start 79.259634 88.101526) (end 77.183634 88.101526) (width 0.2) (layer "F.Cu") (net 225) (tstamp d112555c-590c-4716-9a3d-90f2bb3a1d27)) - (via (at 79.475634 88.317526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 225) (tstamp 7f088e6d-ec07-4cb3-9870-71fad74eef38)) - (via (at 118.7216 96.016) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 225) (tstamp c80d425f-e1d4-473d-a1ee-c01977deedca)) - (segment (start 96.4956 96.6172) (end 118.1204 96.6172) (width 0.2) (layer "B.Cu") (net 225) (tstamp a8da3a3b-d3df-4860-b712-734cebdf1135)) - (segment (start 80.853308 86.939852) (end 86.818252 86.939852) (width 0.2) (layer "B.Cu") (net 225) (tstamp b55bf81b-45a0-4173-b576-9fa537d19129)) - (segment (start 118.1204 96.6172) (end 118.7216 96.016) (width 0.2) (layer "B.Cu") (net 225) (tstamp c749b823-b3ee-481d-b205-42f288bccf77)) - (segment (start 79.475634 88.317526) (end 80.853308 86.939852) (width 0.2) (layer "B.Cu") (net 225) (tstamp d48bfba7-87f2-45dd-9e51-bab0aa6b5d76)) - (segment (start 86.818252 86.939852) (end 96.4956 96.6172) (width 0.2) (layer "B.Cu") (net 225) (tstamp f64dbc1f-b145-4e8a-8d90-c89d8e921454)) - (segment (start 144.84996 75.524526) (end 169.379474 75.524526) (width 0.2) (layer "F.Cu") (net 226) (tstamp 02c8b10a-7499-44cf-94cc-d14a2a19d222)) - (segment (start 79.331634 91.001526) (end 79.475634 90.857526) (width 0.2) (layer "F.Cu") (net 226) (tstamp 1d0086d9-e517-4789-94ea-691238848741)) - (segment (start 77.013634 91.001526) (end 79.331634 91.001526) (width 0.2) (layer "F.Cu") (net 226) (tstamp 37a3a0ff-55af-4242-98c6-894b99219d4b)) - (segment (start 120.4984 96.816) (end 116.6456 96.816) (width 0.2) (layer "F.Cu") (net 226) (tstamp 9bb4c613-77e1-4772-8031-ab749c3d1285)) - (segment (start 120.4984 96.816) (end 123.558486 96.816) (width 0.2) (layer "F.Cu") (net 226) (tstamp b7afb2d2-aebc-44a5-a52f-241a987b6319)) - (segment (start 123.558486 96.816) (end 144.84996 75.524526) (width 0.2) (layer "F.Cu") (net 226) (tstamp ffe5abeb-d700-48d7-b8be-8aebd474f439)) - (via (at 79.475634 90.857526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 226) (tstamp 042f7111-b186-4999-92a3-cdbe4da35fde)) - (via (at 120.4984 96.816) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 226) (tstamp 063090ab-cdf6-490b-8973-f51359743c12)) - (segment (start 79.475634 90.857526) (end 80.853308 89.479852) (width 0.2) (layer "B.Cu") (net 226) (tstamp 2ce7bcf3-aae8-435d-ae8c-999f51c95f1c)) - (segment (start 95.684 97.0172) (end 118.286085 97.0172) (width 0.2) (layer "B.Cu") (net 226) (tstamp 57e7fb29-af2c-4e8c-b91b-670542d28053)) - (segment (start 118.286085 97.0172) (end 118.487285 96.816) (width 0.2) (layer "B.Cu") (net 226) (tstamp b14a0f18-4e41-4a09-9b19-857748ed1854)) - (segment (start 88.146652 89.479852) (end 95.684 97.0172) (width 0.2) (layer "B.Cu") (net 226) (tstamp c718004f-f45b-4968-ac8b-142e579fa132)) - (segment (start 118.487285 96.816) (end 120.4984 96.816) (width 0.2) (layer "B.Cu") (net 226) (tstamp ea5a993f-d659-4aad-bd36-1ea9c7691e35)) - (segment (start 80.853308 89.479852) (end 88.146652 89.479852) (width 0.2) (layer "B.Cu") (net 226) (tstamp f5ea6345-5b70-4733-931a-442e3c4f212e)) - (segment (start 94.101513 81.549481) (end 95.651881 81.549481) (width 0.2) (layer "F.Cu") (net 227) (tstamp 9744bd5d-8ac9-4b6d-b7c7-8de7dc10fdd4)) - (segment (start 95.651881 81.549481) (end 110.9184 96.816) (width 0.2) (layer "F.Cu") (net 227) (tstamp bad5fd87-6d9e-42e9-99ce-8828ae56665a)) - (segment (start 110.9184 96.816) (end 112.6956 96.816) (width 0.2) (layer "F.Cu") (net 227) (tstamp f49d1086-b82e-4770-a8d0-2672d4a8815f)) - (segment (start 119.2284 97.516) (end 119.0284 97.316) (width 0.2) (layer "F.Cu") (net 228) (tstamp 206a4880-3278-486f-af1b-86c766024bc0)) - (segment (start 119.0284 97.316) (end 116.6456 97.316) (width 0.2) (layer "F.Cu") (net 228) (tstamp 28524f84-e301-46c9-9236-7bc3114cd07c)) - (segment (start 77.013634 93.431526) (end 79.441634 93.431526) (width 0.2) (layer "F.Cu") (net 228) (tstamp 532f4695-8e9b-4a87-baf1-1273820dc4fa)) - (segment (start 79.441634 93.431526) (end 79.475634 93.397526) (width 0.2) (layer "F.Cu") (net 228) (tstamp 5cbed463-3db7-4332-88f6-814ec1591546)) - (segment (start 169.379474 78.064526) (end 142.875646 78.064526) (width 0.2) (layer "F.Cu") (net 228) (tstamp 94417917-7e2d-49d0-b90c-cd8ecc8c5f94)) - (segment (start 142.875646 78.064526) (end 123.424172 97.516) (width 0.2) (layer "F.Cu") (net 228) (tstamp 999fa400-ec71-45c1-8683-59185c9fee29)) - (segment (start 123.424172 97.516) (end 119.2284 97.516) (width 0.2) (layer "F.Cu") (net 228) (tstamp aa17f933-c662-4bd7-8043-dad6fa74a3dc)) - (via (at 79.475634 93.397526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 228) (tstamp 21048282-dd26-4734-9b2c-c9da5bb1f8ae)) - (via (at 119.2284 97.516) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 228) (tstamp dd40dd38-85a0-4340-8f82-951b9e78541b)) - (segment (start 80.853308 92.019852) (end 86.818252 92.019852) (width 0.2) (layer "B.Cu") (net 228) (tstamp 1772a726-cb0f-4026-bde8-1ebdf11d9635)) - (segment (start 119.1296 97.4172) (end 119.2284 97.516) (width 0.2) (layer "B.Cu") (net 228) (tstamp 2ddf69f8-6f0a-4058-85cf-c055da1418c1)) - (segment (start 92.2156 97.4172) (end 119.1296 97.4172) (width 0.2) (layer "B.Cu") (net 228) (tstamp 982e78ff-9dad-41b9-a9f3-0a351c4e36a0)) - (segment (start 79.475634 93.397526) (end 80.853308 92.019852) (width 0.2) (layer "B.Cu") (net 228) (tstamp c7d0ef53-dfec-4b35-ac94-978e082dc1aa)) - (segment (start 86.818252 92.019852) (end 92.2156 97.4172) (width 0.2) (layer "B.Cu") (net 228) (tstamp f7d48c4b-2d4f-4b7a-83f8-adff379a3cb0)) - (segment (start 153.600074 135.976526) (end 153.605074 135.981526) (width 0.2) (layer "F.Cu") (net 229) (tstamp 1f90ab6f-2bcc-4566-830d-ff9fece525cc)) - (segment (start 121.2723 112.316) (end 116.6456 112.316) (width 0.2) (layer "F.Cu") (net 229) (tstamp 42fea227-24f0-4ff0-8e61-d5180295f8ac)) - (segment (start 148.856274 135.976526) (end 153.600074 135.976526) (width 0.2) (layer "F.Cu") (net 229) (tstamp 80b668c6-3ef2-4feb-b3b5-fcfd00dfd783)) - (segment (start 122.830274 111.598526) (end 121.989774 111.598526) (width 0.2) (layer "F.Cu") (net 229) (tstamp d8cb95fb-6a2e-4bb1-87ad-65808be73cc9)) - (segment (start 121.989774 111.598526) (end 121.2723 112.316) (width 0.2) (layer "F.Cu") (net 229) (tstamp dec10dfb-9725-4607-8f31-1e963c9fe9a8)) - (via (at 122.830274 111.598526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 229) (tstamp a7f73f6e-d3bf-4df0-a702-235151d7d858)) - (segment (start 122.830274 111.598526) (end 122.472324 111.598526) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 06d0c3ed-9d28-419e-9874-8360e4dbf2bc)) - (segment (start 122.472324 111.598526) (end 121.359274 110.485476) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 3447cf01-c2ce-4d15-a0ae-470e558057e1)) - (segment (start 121.359274 109.905576) (end 121.812324 109.452526) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 7a158cfd-4386-46cf-ab9b-9e63a26b7739)) - (segment (start 123.983274 109.452526) (end 148.856274 134.325526) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 91a2ea9d-482f-4233-bcb0-7758c744c929)) - (segment (start 121.812324 109.452526) (end 123.983274 109.452526) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 91f35c12-64d3-427a-a38d-9a8a39e93e71)) - (segment (start 121.359274 110.485476) (end 121.359274 109.905576) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 9e409d32-d8bf-4f3e-ae8b-59875d1b7c12)) - (segment (start 148.856274 134.325526) (end 148.856274 135.976526) (width 0.2) (layer "In1.Cu") (net 229) (tstamp a54b3fc3-19f8-4db5-ab36-9a058253f80b)) - (segment (start 106.946274 111.846526) (end 107.073274 111.846526) (width 0.2) (layer "F.Cu") (net 230) (tstamp 4bf24fd3-6a7f-48f1-b82a-66b57bf5d955)) - (segment (start 148.856274 138.516526) (end 153.579674 138.516526) (width 0.2) (layer "F.Cu") (net 230) (tstamp 69bdfad4-0cef-466d-b0e7-ded0a3727d06)) - (segment (start 153.579674 138.516526) (end 153.605074 138.491126) (width 0.2) (layer "F.Cu") (net 230) (tstamp 95ae0fe4-419e-44c5-af36-38956af1bf14)) - (segment (start 107.542748 112.316) (end 106.946274 111.846526) (width 0.2) (layer "F.Cu") (net 230) (tstamp 9e133122-4fe6-4d1a-8109-5fa73c580ac9)) - (segment (start 112.6956 112.316) (end 107.542748 112.316) (width 0.2) (layer "F.Cu") (net 230) (tstamp e8e8aaaa-3c6b-4372-965d-8a2e8551a2fa)) - (via (at 106.946274 111.846526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 230) (tstamp c569298a-c120-4970-94ed-9031ab39623e)) - (segment (start 137.553274 139.659526) (end 147.713274 139.659526) (width 0.2) (layer "In2.Cu") (net 230) (tstamp 026f0cbe-8332-4d4c-b9a9-5a4126e0009a)) - (segment (start 106.946274 111.846526) (end 113.919948 118.8202) (width 0.2) (layer "In2.Cu") (net 230) (tstamp 6bda47f4-de9c-45ce-b7fe-68230ce9463a)) - (segment (start 115.585 119.23025) (end 117.123998 119.23025) (width 0.2) (layer "In2.Cu") (net 230) (tstamp 8d6c541a-b65e-4c01-a316-3e52a80e3dfe)) - (segment (start 117.123998 119.23025) (end 137.553274 139.659526) (width 0.2) (layer "In2.Cu") (net 230) (tstamp a1652d49-5567-4e22-94c5-a1597ea38a72)) - (segment (start 113.919948 118.8202) (end 115.17495 118.8202) (width 0.2) (layer "In2.Cu") (net 230) (tstamp b9840a7a-8ed5-4f13-a3be-df4361abee67)) - (segment (start 115.17495 118.8202) (end 115.585 119.23025) (width 0.2) (layer "In2.Cu") (net 230) (tstamp c7c03068-adb8-43fe-a68c-0f073f8f665a)) - (segment (start 147.713274 139.659526) (end 148.856274 138.516526) (width 0.2) (layer "In2.Cu") (net 230) (tstamp e32b99b7-9d1d-4ea8-944e-ffc6d79e19fe)) - (segment (start 122.870274 110.298526) (end 122.337274 110.298526) (width 0.2) (layer "F.Cu") (net 231) (tstamp 0e0b7aaf-d7a0-4c68-bf9b-e5202e21c99a)) - (segment (start 122.337274 110.298526) (end 120.8198 111.816) (width 0.2) (layer "F.Cu") (net 231) (tstamp 3b3c254d-fc18-4547-a47b-82e78a5c0cd5)) - (segment (start 120.8198 111.816) (end 116.6456 111.816) (width 0.2) (layer "F.Cu") (net 231) (tstamp 8ffdd2c1-a1cb-4775-b843-9a63a860ff8b)) - (segment (start 153.579674 141.056526) (end 153.605074 141.031126) (width 0.2) (layer "F.Cu") (net 231) (tstamp ddd2a461-c305-4d84-94b0-aa9f18bb6d1e)) - (segment (start 148.856274 141.056526) (end 153.579674 141.056526) (width 0.2) (layer "F.Cu") (net 231) (tstamp fc45d65e-ad7b-4e93-b0c2-407974242fe5)) - (via (at 122.870274 110.298526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 231) (tstamp 9b43ef25-e3ab-4dc0-8dcd-a6a5acbf4835)) - (segment (start 122.870274 110.298526) (end 124.203579 110.298526) (width 0.2) (layer "In1.Cu") (net 231) (tstamp 032362d6-0173-4db7-9460-b07776ed8b00)) - (segment (start 147.731274 139.931526) (end 148.856274 141.056526) (width 0.2) (layer "In1.Cu") (net 231) (tstamp 2dde8962-dd07-4c59-b690-eefd6d557361)) - (segment (start 124.203579 110.298526) (end 147.731274 133.826221) (width 0.2) (layer "In1.Cu") (net 231) (tstamp ce81de6e-d561-4bef-b9f0-98c8dc154fbc)) - (segment (start 147.731274 133.826221) (end 147.731274 139.931526) (width 0.2) (layer "In1.Cu") (net 231) (tstamp dfc7f21c-3aa5-41d0-884f-b5e002fac0bd)) - (segment (start 108.185748 111.816) (end 107.962274 111.592526) (width 0.2) (layer "F.Cu") (net 232) (tstamp 1940e06a-7729-4df9-80d8-26d888875887)) - (segment (start 153.579674 143.596526) (end 153.605074 143.571126) (width 0.2) (layer "F.Cu") (net 232) (tstamp 338604fa-184b-4920-a6d9-c7f7fb3b7d52)) - (segment (start 148.856274 143.596526) (end 153.579674 143.596526) (width 0.2) (layer "F.Cu") (net 232) (tstamp 455df66e-edb8-4194-a833-26b1bb3bf83f)) - (segment (start 112.6956 111.816) (end 108.185748 111.816) (width 0.2) (layer "F.Cu") (net 232) (tstamp 9e0a50ef-35dc-4959-9a7c-64b30c10b228)) - (via (at 107.962274 111.592526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 232) (tstamp 19e476eb-2ec0-4e30-a8bc-40c8b16bbc3d)) - (segment (start 121.359274 122.830526) (end 140.982274 142.453526) (width 0.2) (layer "In1.Cu") (net 232) (tstamp 6ba71b07-fe06-40d2-b047-f4368dfe94fa)) - (segment (start 147.713274 142.453526) (end 148.856274 143.596526) (width 0.2) (layer "In1.Cu") (net 232) (tstamp 6c730904-6f20-443c-b82e-815b4c1dc90b)) - (segment (start 111.946276 109.622526) (end 121.359274 119.035524) (width 0.2) (layer "In1.Cu") (net 232) (tstamp 97534d20-263a-47e3-8e09-ee15383a08db)) - (segment (start 121.359274 119.035524) (end 121.359274 122.830526) (width 0.2) (layer "In1.Cu") (net 232) (tstamp abceaf7d-6e02-4072-b6bc-0ee8b86724b3)) - (segment (start 107.962274 111.592526) (end 107.962274 110.729576) (width 0.2) (layer "In1.Cu") (net 232) (tstamp b3e7bf2c-3673-438c-afdb-ff526f267d88)) - (segment (start 109.069324 109.622526) (end 111.946276 109.622526) (width 0.2) (layer "In1.Cu") (net 232) (tstamp d5f03ff3-5688-4f74-acdf-7296f417376d)) - (segment (start 140.982274 142.453526) (end 147.713274 142.453526) (width 0.2) (layer "In1.Cu") (net 232) (tstamp db2406f2-c2f1-48e6-843c-b14f287e4a87)) - (segment (start 107.962274 110.729576) (end 109.069324 109.622526) (width 0.2) (layer "In1.Cu") (net 232) (tstamp dd595176-9f1d-4d2c-9fa1-aac66112500a)) - (segment (start 120.44885 110.816) (end 116.6456 110.816) (width 0.2) (layer "F.Cu") (net 233) (tstamp 14aa830d-5657-48d1-a66c-07d63c5ba362)) - (segment (start 122.948274 108.544526) (end 122.720324 108.544526) (width 0.2) (layer "F.Cu") (net 233) (tstamp 16156fff-b242-4171-8767-e48fc0355a16)) - (segment (start 122.720324 108.544526) (end 120.44885 110.816) (width 0.2) (layer "F.Cu") (net 233) (tstamp 4e5ad844-a837-4431-b25a-eb7bf5622574)) - (segment (start 148.881674 146.111126) (end 148.856274 146.136526) (width 0.2) (layer "F.Cu") (net 233) (tstamp a257d0e1-a1f1-4abf-a618-af0de7242346)) - (segment (start 153.592674 146.111126) (end 148.881674 146.111126) (width 0.2) (layer "F.Cu") (net 233) (tstamp f79f836a-6905-40cc-8543-ac27ec2c8add)) - (via (at 122.948274 108.544526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 233) (tstamp b10b4ac4-bbff-4bf3-91b9-a473296d6309)) - (segment (start 149.999274 131.531526) (end 149.999274 144.993526) (width 0.2) (layer "In1.Cu") (net 233) (tstamp 364e676a-7386-4d54-a8fa-a5c8d9e67065)) - (segment (start 149.999274 144.993526) (end 148.856274 146.136526) (width 0.2) (layer "In1.Cu") (net 233) (tstamp 366ec6b0-2c13-460a-af5f-b0a0447996ab)) - (segment (start 122.948274 108.544526) (end 123.456274 109.052526) (width 0.2) (layer "In1.Cu") (net 233) (tstamp 38df3655-0d9e-4e72-bd1f-40350caddc1e)) - (segment (start 127.520274 109.052526) (end 149.999274 131.531526) (width 0.2) (layer "In1.Cu") (net 233) (tstamp ac91679d-9c17-41c7-91ea-4bb41145974e)) - (segment (start 123.456274 109.052526) (end 127.520274 109.052526) (width 0.2) (layer "In1.Cu") (net 233) (tstamp d8652032-fb1a-4333-97b0-f7033182160a)) - (segment (start 110.5168 110.816) (end 110.248274 111.084526) (width 0.2) (layer "F.Cu") (net 234) (tstamp 0db944c7-1dd7-4e76-bdd2-f7f63a5cfb7b)) - (segment (start 148.856274 148.676526) (end 153.567274 148.676526) (width 0.2) (layer "F.Cu") (net 234) (tstamp 0ff00baf-3b4f-4353-a6da-25d2d922a2bd)) - (segment (start 110.121274 111.211526) (end 110.121274 111.211526) (width 0.2) (layer "F.Cu") (net 234) (tstamp 780dde13-8f7b-4db4-9281-3175884556b5)) - (segment (start 153.567274 148.676526) (end 153.592674 148.651126) (width 0.2) (layer "F.Cu") (net 234) (tstamp 99d38a67-7cae-4d86-a517-2d3614c5055d)) - (segment (start 110.121274 111.084526) (end 110.121274 111.211526) (width 0.2) (layer "F.Cu") (net 234) (tstamp a01a08d4-1f41-4197-b855-49fd9b8a5a9d)) - (segment (start 112.6956 110.816) (end 110.5168 110.816) (width 0.2) (layer "F.Cu") (net 234) (tstamp ac7d2841-b42e-48c9-b5a1-e62bb3513642)) - (segment (start 110.248274 111.084526) (end 110.121274 111.084526) (width 0.2) (layer "F.Cu") (net 234) (tstamp b3ff180f-ea8f-4dd1-ac31-890da52171b7)) - (via (at 110.121274 111.084526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 234) (tstamp c2670db5-2fe5-4ff2-a61b-76b27e8d754c)) - (segment (start 110.121274 111.084526) (end 110.121274 112.825424) (width 0.2) (layer "In1.Cu") (net 234) (tstamp 024eab9a-143b-4aea-94eb-96cfae31b5a5)) - (segment (start 145.514588 147.551526) (end 147.731274 147.551526) (width 0.2) (layer "In1.Cu") (net 234) (tstamp 1ee87ac7-518b-455c-aa05-9a505ede9fe3)) - (segment (start 120.292062 122.996212) (end 120.959274 122.996212) (width 0.2) (layer "In1.Cu") (net 234) (tstamp 531f84ba-9c3a-4b0a-9aed-3aa308309f65)) - (segment (start 120.959274 122.996212) (end 145.514588 147.551526) (width 0.2) (layer "In1.Cu") (net 234) (tstamp a9eebeb9-fef9-4579-9cd1-06399bccd45e)) - (segment (start 147.731274 147.551526) (end 148.856274 148.676526) (width 0.2) (layer "In1.Cu") (net 234) (tstamp ddb25a42-d397-4490-82b5-ee7ea9a764fa)) - (segment (start 110.121274 112.825424) (end 120.292062 122.996212) (width 0.2) (layer "In1.Cu") (net 234) (tstamp e66450a5-c267-447c-872a-88a433c0bbfc)) - (segment (start 119.6528 110.316) (end 122.759274 107.209526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 0a014a87-37f2-4544-9c32-ab296a416487)) - (segment (start 122.759274 107.209526) (end 124.915274 107.209526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 1615f2c7-237e-4d3e-a0f3-8991a0a61475)) - (segment (start 147.731274 150.091526) (end 148.856274 151.216526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 2dc75daf-586b-470f-8cac-a2c6441bfd86)) - (segment (start 147.731274 130.025526) (end 147.731274 150.091526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 3a2de2ee-f85e-4d22-8cbf-f0bdaa03c743)) - (segment (start 148.881674 151.191126) (end 148.856274 151.216526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 75b2fca6-c631-4790-a454-5aabae30cb50)) - (segment (start 124.915274 107.209526) (end 147.731274 130.025526) (width 0.2) (layer "F.Cu") (net 235) (tstamp cf01cfdf-8ace-4e46-b883-d3e5b0184815)) - (segment (start 116.6456 110.316) (end 119.6528 110.316) (width 0.2) (layer "F.Cu") (net 235) (tstamp f0a739bf-8917-4f8b-83c1-a4ba0d5fbd36)) - (segment (start 153.592674 151.191126) (end 148.881674 151.191126) (width 0.2) (layer "F.Cu") (net 235) (tstamp f457e163-9006-46a2-9772-adebb54d9d07)) - (segment (start 109.359274 110.322526) (end 109.3658 110.316) (width 0.2) (layer "F.Cu") (net 236) (tstamp 24e0fed1-d611-4def-9d7c-83a234f1d4a0)) - (segment (start 153.567274 153.756526) (end 153.592674 153.731126) (width 0.2) (layer "F.Cu") (net 236) (tstamp 71da5703-ab35-44dd-a9e0-e260214bcda6)) - (segment (start 112.6956 110.316) (end 109.359274 110.322526) (width 0.2) (layer "F.Cu") (net 236) (tstamp abe8dfcf-076a-45e5-a47c-7d5d7bc6cd8b)) - (segment (start 148.856274 153.756526) (end 153.567274 153.756526) (width 0.2) (layer "F.Cu") (net 236) (tstamp c9f5bd7d-81c0-455b-ad05-ffef73799054)) - (via (at 109.359274 110.322526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 236) (tstamp 8c6c8365-2388-4b7a-9ecd-31cc9f9d80c5)) - (segment (start 145.191274 151.682517) (end 146.140283 152.631526) (width 0.2) (layer "In1.Cu") (net 236) (tstamp 34c68aa2-02ff-4a69-8032-0519a0a20d3c)) - (segment (start 109.359274 115.544524) (end 145.191274 151.376524) (width 0.2) (layer "In1.Cu") (net 236) (tstamp 59d27879-9891-4106-b1e8-e6ac9af12205)) - (segment (start 145.191274 151.376524) (end 145.191274 151.682517) (width 0.2) (layer "In1.Cu") (net 236) (tstamp 6f7e012a-21de-4a31-ba75-cfd72863bcd4)) - (segment (start 109.359274 110.322526) (end 109.359274 115.544524) (width 0.2) (layer "In1.Cu") (net 236) (tstamp 83d0d397-a4a4-4802-b252-1fe2e3e57c5e)) - (segment (start 147.731274 152.631526) (end 148.856274 153.756526) (width 0.2) (layer "In1.Cu") (net 236) (tstamp c5b91853-8841-4702-a303-4a3943920fb1)) - (segment (start 146.140283 152.631526) (end 147.731274 152.631526) (width 0.2) (layer "In1.Cu") (net 236) (tstamp df6409b7-31d9-433d-ac9a-13933a036383)) - (segment (start 119.265274 127.848526) (end 119.265274 122.935674) (width 0.2) (layer "F.Cu") (net 237) (tstamp 0a4d09d8-f4ac-4bd6-960e-e4cea77817a1)) - (segment (start 117.6456 121.316) (end 116.6456 121.316) (width 0.2) (layer "F.Cu") (net 237) (tstamp 4c3c97c5-e457-4f8d-a00c-895046ba11c5)) - (segment (start 119.265274 122.935674) (end 117.6456 121.316) (width 0.2) (layer "F.Cu") (net 237) (tstamp 81ecc8cb-7fcc-4601-a6a6-26917d89cd9d)) - (segment (start 96.000274 136.052726) (end 99.478674 136.052726) (width 0.2) (layer "F.Cu") (net 237) (tstamp ccb8314f-b0a3-4914-acba-9293243338a1)) - (via (at 119.265274 127.848526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 237) (tstamp 9e7f4c5d-a640-4dab-b9d6-e7aa972a900d)) - (segment (start 104.914274 144.866526) (end 98.564274 144.866526) (width 0.2) (layer "In2.Cu") (net 237) (tstamp 0f55fdf3-8d62-4f04-aef0-7ee9449bf3ed)) - (segment (start 119.265274 127.848526) (end 119.265274 130.515526) (width 0.2) (layer "In2.Cu") (net 237) (tstamp 3fc7a73e-5b93-4293-903a-e44451cff7bd)) - (segment (start 119.265274 130.515526) (end 104.914274 144.866526) (width 0.2) (layer "In2.Cu") (net 237) (tstamp 6246ef4e-cce7-450e-b1b4-d1b8f0c62d36)) - (segment (start 97.929274 137.602126) (end 99.478674 136.052726) (width 0.2) (layer "In2.Cu") (net 237) (tstamp 8a91e251-6ae8-45ac-8958-f296027bb268)) - (segment (start 97.929274 144.231526) (end 97.929274 137.602126) (width 0.2) (layer "In2.Cu") (net 237) (tstamp c8c2b8b8-e41d-474f-9847-183bf939e3b6)) - (segment (start 98.564274 144.866526) (end 97.929274 144.231526) (width 0.2) (layer "In2.Cu") (net 237) (tstamp f59e2330-52aa-47ec-8680-65c44d3f1b91)) - (segment (start 95.929074 151.287726) (end 99.473674 151.287726) (width 0.2) (layer "F.Cu") (net 238) (tstamp 6894d165-7d1c-4543-a21d-18825885316b)) - (segment (start 120.282332 119.316) (end 116.6456 119.316) (width 0.2) (layer "F.Cu") (net 238) (tstamp 87a57b07-46ba-45da-aa69-acff08c22fd6)) - (segment (start 122.694274 131.023526) (end 122.694274 121.727942) (width 0.2) (layer "F.Cu") (net 238) (tstamp a14dad2b-3941-4468-b979-f01eb2c99356)) - (segment (start 99.473674 151.287726) (end 99.478674 151.292726) (width 0.2) (layer "F.Cu") (net 238) (tstamp bd696c1f-7794-48f0-b637-5815ebdae851)) - (segment (start 122.694274 121.727942) (end 120.282332 119.316) (width 0.2) (layer "F.Cu") (net 238) (tstamp dda94499-4f78-487b-a915-2cc01b661799)) - (via (at 122.694274 131.023526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 238) (tstamp 80c12730-7a85-4926-8999-6ae79cbf97a4)) - (segment (start 103.459074 152.417726) (end 100.603674 152.417726) (width 0.2) (layer "In1.Cu") (net 238) (tstamp 24b08409-0c94-424d-8d55-605446b1a641)) - (segment (start 122.694274 133.182526) (end 103.459074 152.417726) (width 0.2) (layer "In1.Cu") (net 238) (tstamp 339d7d72-ea63-4e0c-a89e-712a06e2fca8)) - (segment (start 100.603674 152.417726) (end 99.478674 151.292726) (width 0.2) (layer "In1.Cu") (net 238) (tstamp d2d1931e-0748-4866-880d-de34ef52a685)) - (segment (start 122.694274 131.023526) (end 122.694274 133.182526) (width 0.2) (layer "In1.Cu") (net 238) (tstamp e76665ed-927f-4567-bbb0-306cdb6d7f82)) - (segment (start 96.125224 127.874576) (end 93.077224 127.874576) (width 0.2) (layer "F.Cu") (net 239) (tstamp 17b6638e-6761-4b3c-a03c-fb26f1cdbe30)) - (segment (start 86.118274 155.788526) (end 93.937674 155.788526) (width 0.2) (layer "F.Cu") (net 239) (tstamp 1c5d63bc-5074-4c6a-9aa0-303a329971f2)) - (segment (start 104.6838 119.316) (end 96.125224 127.874576) (width 0.2) (layer "F.Cu") (net 239) (tstamp 27b8d8c9-ce58-4fb8-ba29-aee7a637cc0c)) - (segment (start 99.478674 153.832726) (end 95.927874 153.832726) (width 0.2) (layer "F.Cu") (net 239) (tstamp 653a47e6-6da4-4e91-9b6a-4a1d43959ed2)) - (segment (start 93.077224 127.874576) (end 83.070274 137.881526) (width 0.2) (layer "F.Cu") (net 239) (tstamp 720767c2-2865-4c7d-b4e1-bafbb127c14e)) - (segment (start 83.070274 137.881526) (end 83.070274 152.740526) (width 0.2) (layer "F.Cu") (net 239) (tstamp 83be8405-387e-42ee-a7af-f72896f8e900)) - (segment (start 83.070274 152.740526) (end 86.118274 155.788526) (width 0.2) (layer "F.Cu") (net 239) (tstamp 8fa6b8e2-8103-47e2-a0ee-97446f51ea5e)) - (segment (start 95.927874 153.832726) (end 95.910674 153.815526) (width 0.2) (layer "F.Cu") (net 239) (tstamp dc02c92a-cefc-47b6-a9c0-c832af1e8b1b)) - (segment (start 93.937674 155.788526) (end 95.910674 153.815526) (width 0.2) (layer "F.Cu") (net 239) (tstamp e4558ada-19ab-4326-a345-736776c37bd9)) - (segment (start 112.6956 119.316) (end 104.6838 119.316) (width 0.2) (layer "F.Cu") (net 239) (tstamp ff7d7992-c5ed-4708-b3c5-b331da28f2fe)) - (segment (start 109.2128 104.816) (end 112.6956 104.816) (width 0.2) (layer "F.Cu") (net 240) (tstamp 8dc7ec48-ba61-4395-837f-4930c4a1e9d2)) - (segment (start 106.754474 136.052726) (end 102.018674 136.052726) (width 0.2) (layer "F.Cu") (net 240) (tstamp acda407d-5120-4c76-bfb3-a6c58655cb67)) - (segment (start 106.792874 136.014326) (end 106.754474 136.052726) (width 0.2) (layer "F.Cu") (net 240) (tstamp c88ceb88-e985-4391-b42a-42e5d80b4c79)) - (segment (start 108.978274 105.050526) (end 109.2128 104.816) (width 0.2) (layer "F.Cu") (net 240) (tstamp f507dd15-1b56-4589-84b6-ed6b945e5cba)) - (via (at 108.978274 105.050526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 240) (tstamp 277ed094-0b16-460a-bf35-f84829874ce5)) - (segment (start 102.628274 136.052726) (end 102.628274 111.400526) (width 0.2) (layer "In2.Cu") (net 240) (tstamp 0e2bb164-52b4-43e4-b08e-5c3852abaac7)) - (segment (start 102.628274 111.400526) (end 108.978274 105.050526) (width 0.2) (layer "In2.Cu") (net 240) (tstamp a3d27890-759e-47e2-b638-bb853e166873)) - (segment (start 118.504321 105.4208) (end 118.109121 105.816) (width 0.2) (layer "F.Cu") (net 241) (tstamp bac177d0-a1d3-4f45-a2f8-602b2d938ebb)) - (segment (start 122.059274 105.4208) (end 118.504321 105.4208) (width 0.2) (layer "F.Cu") (net 241) (tstamp c183bb75-4ec7-4c7e-9ca3-25174f131ab2)) - (segment (start 118.109121 105.816) (end 116.6456 105.816) (width 0.2) (layer "F.Cu") (net 241) (tstamp e4a56cd2-f171-43a7-bf4e-6e445202c80b)) - (segment (start 106.831274 138.592726) (end 102.018674 138.592726) (width 0.2) (layer "F.Cu") (net 241) (tstamp faf57d92-bb6a-4b6b-892f-c2a321e84078)) - (via (at 122.059274 105.4208) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 241) (tstamp 3168aa4e-be0e-4271-a53e-fc5a46554c1b)) - (segment (start 101.358274 111.592526) (end 101.358274 137.932326) (width 0.2) (layer "In2.Cu") (net 241) (tstamp 08d09650-66ec-4ac2-8980-36259801e6b9)) - (segment (start 101.358274 137.932326) (end 102.018674 138.592726) (width 0.2) (layer "In2.Cu") (net 241) (tstamp 255afa32-2674-49df-8fc7-05f31c208414)) - (segment (start 122.059274 105.4208) (end 110.338498 105.4208) (width 0.2) (layer "In2.Cu") (net 241) (tstamp 76423101-7a13-4d96-b453-9f385784e0ad)) - (segment (start 109.268224 104.350526) (end 108.600274 104.350526) (width 0.2) (layer "In2.Cu") (net 241) (tstamp 7ccb9492-8422-44ae-a47d-9afef954c87a)) - (segment (start 108.600274 104.350526) (end 101.358274 111.592526) (width 0.2) (layer "In2.Cu") (net 241) (tstamp 7eb62714-1508-43ee-a9e2-758dd1731689)) - (segment (start 110.338498 105.4208) (end 109.268224 104.350526) (width 0.2) (layer "In2.Cu") (net 241) (tstamp 898d7af8-31f9-4141-987c-5a4e57e64963)) - (segment (start 123.075274 139.913526) (end 108.062474 139.913526) (width 0.2) (layer "F.Cu") (net 242) (tstamp 0b12f2e6-1d3d-44d0-8561-a4127a0b85d2)) - (segment (start 106.843274 141.132726) (end 102.018674 141.132726) (width 0.2) (layer "F.Cu") (net 242) (tstamp 23abb374-83cf-4ee0-80c3-f79c4a7d2f84)) - (segment (start 123.075274 139.913526) (end 124.688274 138.300526) (width 0.2) (layer "F.Cu") (net 242) (tstamp 31da17d6-607c-4b66-9392-321d7fec4554)) - (segment (start 124.688274 121.333526) (end 120.916274 117.561526) (width 0.2) (layer "F.Cu") (net 242) (tstamp 69c153af-639b-40e8-af43-557c8ef78f35)) - (segment (start 124.688274 138.300526) (end 124.688274 121.333526) (width 0.2) (layer "F.Cu") (net 242) (tstamp 847d9ec7-49db-4f15-8421-600eca2a0028)) - (segment (start 120.916274 117.561526) (end 118.267798 117.561526) (width 0.2) (layer "F.Cu") (net 242) (tstamp 9b990a37-353d-4d2b-b968-fc2a68fabd0f)) - (segment (start 118.013324 117.816) (end 116.6456 117.816) (width 0.2) (layer "F.Cu") (net 242) (tstamp b9cc4d34-27c7-4abc-9102-fcf651733e8a)) - (segment (start 106.843274 141.132726) (end 108.062474 139.913526) (width 0.2) (layer "F.Cu") (net 242) (tstamp cff6c527-22a9-43d8-9923-e48e9995e1c5)) - (segment (start 118.267798 117.561526) (end 118.013324 117.816) (width 0.2) (layer "F.Cu") (net 242) (tstamp e36c08f6-0eb3-4052-938a-e260e8915a08)) - (segment (start 106.565274 117.616) (end 106.765274 117.816) (width 0.2) (layer "F.Cu") (net 243) (tstamp 36772ced-b486-4c47-97e4-6f9f4009bc7e)) - (segment (start 106.831274 143.672726) (end 102.018674 143.672726) (width 0.2) (layer "F.Cu") (net 243) (tstamp 71378b8a-ed93-4452-8806-e3a17fadf2e1)) - (segment (start 106.765274 117.816) (end 112.6956 117.816) (width 0.2) (layer "F.Cu") (net 243) (tstamp bfd23378-147b-4660-85a1-36df5625eb20)) - (via (at 106.565274 117.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 243) (tstamp ecf1c2cc-8679-46cf-90c2-0e83be5872bf)) - (segment (start 106.565274 117.616) (end 103.898274 120.283) (width 0.2) (layer "In2.Cu") (net 243) (tstamp 152e8fd2-523e-4ba8-b87c-540043d613e8)) - (segment (start 103.898274 141.793126) (end 102.018674 143.672726) (width 0.2) (layer "In2.Cu") (net 243) (tstamp 61d1071c-3406-4fb6-98ee-0092eeec74ae)) - (segment (start 103.898274 120.283) (end 103.898274 141.793126) (width 0.2) (layer "In2.Cu") (net 243) (tstamp 6d1de7f7-a739-44cf-b2b3-e5535b7ff123)) - (segment (start 102.023674 146.217726) (end 102.018674 146.212726) (width 0.2) (layer "F.Cu") (net 244) (tstamp 5410bda0-37cf-4463-b5a1-1a663dbfec8e)) - (segment (start 106.863674 146.217726) (end 102.023674 146.217726) (width 0.2) (layer "F.Cu") (net 244) (tstamp 584e7f87-0155-4c24-9428-f3d1d449e371)) - (segment (start 123.329274 144.866526) (end 125.088274 143.107526) (width 0.2) (layer "F.Cu") (net 244) (tstamp 6292c10c-4953-4b4e-ace0-f7b06a946b43)) - (segment (start 106.863674 146.217726) (end 108.214874 144.866526) (width 0.2) (layer "F.Cu") (net 244) (tstamp 710957a7-e383-4368-8f02-83035703fa1a)) - (segment (start 125.088274 120.717526) (end 121.186748 116.816) (width 0.2) (layer "F.Cu") (net 244) (tstamp 76e7eb62-94f0-4b4e-83ce-8de7e2f532a0)) - (segment (start 108.214874 144.866526) (end 123.329274 144.866526) (width 0.2) (layer "F.Cu") (net 244) (tstamp d5f9f86d-0b28-4fca-a36b-ecce5605d15d)) - (segment (start 125.088274 143.107526) (end 125.088274 120.717526) (width 0.2) (layer "F.Cu") (net 244) (tstamp d777d608-bd69-46df-9786-702c815fce0d)) - (segment (start 121.186748 116.816) (end 116.6456 116.816) (width 0.2) (layer "F.Cu") (net 244) (tstamp e28110fe-96d8-4ef7-8567-78146e37510a)) - (segment (start 112.6956 116.816) (end 111.756126 116.816) (width 0.2) (layer "F.Cu") (net 245) (tstamp 00d9e615-3b0f-463a-85db-dd7f16796a81)) - (segment (start 109.232274 117.180526) (end 109.232274 117.180526) (width 0.2) (layer "F.Cu") (net 245) (tstamp 1c35b532-ff0b-4112-90e4-90aba4b00f0e)) - (segment (start 109.359274 117.053526) (end 109.232274 117.053526) (width 0.2) (layer "F.Cu") (net 245) (tstamp 37d8a9a9-c2ad-4821-a668-47aa0a95e109)) - (segment (start 109.232274 117.053526) (end 109.232274 117.180526) (width 0.2) (layer "F.Cu") (net 245) (tstamp 49cea223-cfda-4dde-9bdd-6921f0990114)) - (segment (start 111.6456 116.926526) (end 109.486274 116.926526) (width 0.2) (layer "F.Cu") (net 245) (tstamp 54db889f-3486-46a5-afc5-9b5fc56778d7)) - (segment (start 106.843274 148.752726) (end 102.018674 148.752726) (width 0.2) (layer "F.Cu") (net 245) (tstamp 5ab1922e-8c72-42da-8210-c1fe73ed3361)) - (segment (start 111.756126 116.816) (end 111.6456 116.926526) (width 0.2) (layer "F.Cu") (net 245) (tstamp 850ef02f-3477-4ff0-b659-4de4ea802289)) - (segment (start 109.486274 116.926526) (end 109.359274 117.053526) (width 0.2) (layer "F.Cu") (net 245) (tstamp c12b7975-b97e-4c29-918c-db8aa8430568)) - (via (at 109.232274 117.053526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 245) (tstamp e7bacc89-5f8b-4d8c-ab7a-9da39475e186)) - (segment (start 109.232274 117.053526) (end 109.232274 144.485526) (width 0.2) (layer "B.Cu") (net 245) (tstamp 3f9a6845-0a77-440f-ba83-f53589d35d64)) - (segment (start 109.232274 144.485526) (end 104.965074 148.752726) (width 0.2) (layer "B.Cu") (net 245) (tstamp 76187f9c-563b-4b68-9b0f-67986948bb8d)) - (segment (start 104.965074 148.752726) (end 102.018674 148.752726) (width 0.2) (layer "B.Cu") (net 245) (tstamp b71b4072-05e0-4384-85e7-ba5991e5e1f1)) - (segment (start 95.961474 138.592726) (end 99.478674 138.592726) (width 0.2) (layer "F.Cu") (net 246) (tstamp 0b7ac396-4c1b-4648-9a3b-47d6070225e4)) - (segment (start 112.6456 121.366) (end 112.6956 121.316) (width 0.2) (layer "F.Cu") (net 246) (tstamp 26d3c2fb-7af6-45b9-832c-d76035c9cf25)) - (segment (start 107.832274 123.784526) (end 110.2508 121.366) (width 0.2) (layer "F.Cu") (net 246) (tstamp 7cef95cc-0864-44a9-b3c3-c53dc922a8e8)) - (segment (start 110.2508 121.366) (end 112.6456 121.366) (width 0.2) (layer "F.Cu") (net 246) (tstamp ca206835-da83-4324-bc7b-d91531579d7c)) - (via (at 107.832274 123.784526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 246) (tstamp 989b1d45-e4b7-491c-8371-446d6193c7fd)) - (segment (start 103.644274 137.373526) (end 101.104274 137.373526) (width 0.2) (layer "B.Cu") (net 246) (tstamp 36732ce4-dece-444d-9603-bf0ff479ce69)) - (segment (start 104.660274 126.956526) (end 104.660274 136.357526) (width 0.2) (layer "B.Cu") (net 246) (tstamp 48115690-ec75-44d2-bef1-1e4650bf0e4a)) - (segment (start 99.885074 138.592726) (end 99.478674 138.592726) (width 0.2) (layer "B.Cu") (net 246) (tstamp 5bde2ae9-a514-41ef-87a4-bcb4547d318b)) - (segment (start 104.660274 136.357526) (end 103.644274 137.373526) (width 0.2) (layer "B.Cu") (net 246) (tstamp 6bfb6ef9-8e32-495e-bd58-c2017d72515e)) - (segment (start 107.832274 123.784526) (end 104.660274 126.956526) (width 0.2) (layer "B.Cu") (net 246) (tstamp 8ca38987-4869-4715-9086-edc34fd301ca)) - (segment (start 101.104274 137.373526) (end 99.885074 138.592726) (width 0.2) (layer "B.Cu") (net 246) (tstamp b9d8673e-af27-45f4-b204-c9522a209daa)) - (segment (start 120.233748 120.816) (end 120.789274 121.371526) (width 0.2) (layer "F.Cu") (net 247) (tstamp 42a7449b-5966-479e-ac17-32e3338a6229)) - (segment (start 101.358274 133.817526) (end 101.358274 139.253126) (width 0.2) (layer "F.Cu") (net 247) (tstamp 5dac3df8-0c53-48e7-ade9-c54ae6b92df1)) - (segment (start 101.358274 139.253126) (end 99.478674 141.132726) (width 0.2) (layer "F.Cu") (net 247) (tstamp 880fe28c-52d8-4438-b7d5-65e8186c41d9)) - (segment (start 120.789274 129.372526) (end 116.344274 133.817526) (width 0.2) (layer "F.Cu") (net 247) (tstamp 8bd6c9eb-704c-43df-999e-fba7c333d189)) - (segment (start 116.344274 133.817526) (end 101.358274 133.817526) (width 0.2) (layer "F.Cu") (net 247) (tstamp 8f38dc41-23ae-4707-b6de-a031ad4ab93c)) - (segment (start 116.6456 120.816) (end 120.233748 120.816) (width 0.2) (layer "F.Cu") (net 247) (tstamp c08ff7b2-2eea-4827-aca1-57d9d4336d11)) - (segment (start 120.789274 121.371526) (end 120.789274 129.372526) (width 0.2) (layer "F.Cu") (net 247) (tstamp e949caf2-b89d-4ae6-8079-0cf50caaa8c2)) - (segment (start 99.478674 141.132726) (end 95.910674 141.132726) (width 0.2) (layer "F.Cu") (net 247) (tstamp f952d7c8-d6f5-47ba-9c90-3bd6451bcde8)) - (segment (start 112.6956 120.816) (end 109.1528 120.816) (width 0.2) (layer "F.Cu") (net 248) (tstamp b011b3ca-2833-4f42-b6d2-3c9438a4e75d)) - (segment (start 95.910674 143.672726) (end 99.478674 143.672726) (width 0.2) (layer "F.Cu") (net 248) (tstamp cfda416e-3013-472b-bafe-cbe2eea5a6da)) - (segment (start 109.1528 120.816) (end 108.343274 121.625526) (width 0.2) (layer "F.Cu") (net 248) (tstamp e6323b09-6cc4-4c1a-87a6-100aabb2e177)) - (via (at 108.343274 121.625526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 248) (tstamp 40882c1a-4ceb-4786-8ea2-9295c02d87e3)) - (segment (start 103.644274 142.453526) (end 100.697874 142.453526) (width 0.2) (layer "B.Cu") (net 248) (tstamp 61e21201-5325-49a5-a079-3b603779a6fb)) - (segment (start 108.343274 121.625526) (end 108.532274 121.814526) (width 0.2) (layer "B.Cu") (net 248) (tstamp 79adcd03-f8c3-4df5-9f8d-8a42bf9dd917)) - (segment (start 100.697874 142.453526) (end 99.478674 143.672726) (width 0.2) (layer "B.Cu") (net 248) (tstamp aaea6a42-35dd-4382-abcb-cd025f6ada55)) - (segment (start 108.532274 121.814526) (end 108.532274 137.565526) (width 0.2) (layer "B.Cu") (net 248) (tstamp b407fa9d-f103-41f9-99b7-e63688fec67c)) - (segment (start 108.532274 137.565526) (end 103.644274 142.453526) (width 0.2) (layer "B.Cu") (net 248) (tstamp e68d55c2-02c7-4751-b32f-2c98a2eec5ee)) - (segment (start 134.645674 135.931126) (end 140.872674 135.931126) (width 0.2) (layer "F.Cu") (net 249) (tstamp 99ed0158-00b3-46c0-8678-754412ce46c4)) - (segment (start 140.872674 135.931126) (end 140.892674 135.951126) (width 0.2) (layer "F.Cu") (net 249) (tstamp a9138f57-ec4a-44cd-ba96-79700b8ca4c2)) - (segment (start 191.3691 67.4412) (end 191.6176 67.4412) (width 0.2) (layer "F.Cu") (net 250) (tstamp 17fb8c36-feb2-4637-9044-68187d6470ad)) - (segment (start 180.352274 63.942126) (end 189.547074 63.942126) (width 0.2) (layer "F.Cu") (net 250) (tstamp 9355950b-45b2-460d-b209-e8dcf2012768)) - (segment (start 190.766274 65.161326) (end 190.766274 66.589874) (width 0.2) (layer "F.Cu") (net 250) (tstamp 96df375c-2ca7-4811-980a-9ee92f183753)) - (segment (start 189.9106 68.8997) (end 191.3691 67.4412) (width 0.2) (layer "F.Cu") (net 250) (tstamp 9e7387b4-3033-4793-ab11-055f7cd5d585)) - (segment (start 190.766274 66.589874) (end 191.6176 67.4412) (width 0.2) (layer "F.Cu") (net 250) (tstamp c284cb3f-61f8-4a88-8f0b-baf7027d5c5c)) - (segment (start 189.547074 63.942126) (end 190.766274 65.161326) (width 0.2) (layer "F.Cu") (net 250) (tstamp da5807fb-3361-472f-b73b-655fac494a86)) - (segment (start 189.9106 69.8372) (end 189.9106 68.8997) (width 0.2) (layer "F.Cu") (net 250) (tstamp e68a09a2-ad0a-49c7-ad09-85b5ac64b8f2)) - (segment (start 179.742674 64.094526) (end 179.895074 63.942126) (width 0.2) (layer "B.Cu") (net 250) (tstamp 7cfa4c31-4975-4cd4-a025-3ab741b02473)) - (segment (start 143.578274 40.594526) (end 143.578274 37.639526) (width 0.2) (layer "F.Cu") (net 251) (tstamp 05395343-1a91-477f-ada4-93542dd01051)) - (segment (start 143.908274 40.924526) (end 143.578274 40.594526) (width 0.2) (layer "F.Cu") (net 251) (tstamp 26bfe793-fec3-44f9-979a-e3aaa42e32a2)) - (segment (start 140.578274 39.754926) (end 140.578274 37.639526) (width 0.2) (layer "F.Cu") (net 255) (tstamp 5312319e-b4e6-4e68-a66e-a71cdb991728)) - (segment (start 139.407674 40.925526) (end 140.578274 39.754926) (width 0.2) (layer "F.Cu") (net 255) (tstamp 5356c904-9f1c-4d1a-b7b2-b401e585803f)) - (segment (start 137.218274 37.064526) (end 137.218274 33.134526) (width 0.25) (layer "F.Cu") (net 259) (tstamp 170a16fa-2681-47a5-bfc9-97202ecee53a)) - (segment (start 137.218274 37.064526) (end 137.218274 40.066926) (width 0.25) (layer "F.Cu") (net 259) (tstamp 31a6296a-1f2b-4236-a580-3682e8a843a3)) - (segment (start 147.438274 37.064526) (end 147.438274 33.134526) (width 0.25) (layer "F.Cu") (net 259) (tstamp 8bdec573-7a00-444f-8c60-f390f7487d40)) - (segment (start 137.218274 40.066926) (end 136.359674 40.925526) (width 0.25) (layer "F.Cu") (net 259) (tstamp c177595a-f099-4c11-8c3a-f4984e523049)) - (segment (start 147.438274 33.134526) (end 137.218274 33.134526) (width 0.25) (layer "F.Cu") (net 259) (tstamp fd89dc94-f776-4d84-9b88-e9c2257f2428)) - (segment (start 187.3126 46.9872) (end 187.3126 46.9722) (width 0.2) (layer "F.Cu") (net 260) (tstamp 90cbec81-a058-4a31-8893-2c555004dc0c)) - (segment (start 185.6506 45.4372) (end 185.93 45.5896) (width 0.2) (layer "F.Cu") (net 260) (tstamp b01850fc-b0bb-4ea0-bf87-4a14111acd15)) - (segment (start 187.3126 46.9722) (end 185.6506 45.4372) (width 0.2) (layer "F.Cu") (net 260) (tstamp b9ef83b9-668d-47d9-adba-6ecc6c9c36f8)) - (via (at 185.6506 45.4372) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 260) (tstamp efe25c1e-c474-49bf-95c5-5a02a3eb61d4)) - (segment (start 171.908674 49.982926) (end 171.574674 49.982926) (width 0.2) (layer "In2.Cu") (net 260) (tstamp 18f796c9-892b-4d24-ac62-def4bc14b5ea)) - (segment (start 184.749926 44.536526) (end 185.6506 45.4372) (width 0.2) (layer "In2.Cu") (net 260) (tstamp 2176c6d6-9165-43d1-81f2-db5b3916492c)) - (segment (start 171.574674 49.982926) (end 170.700274 49.108526) (width 0.2) (layer "In2.Cu") (net 260) (tstamp 41c3a743-a173-4815-b9ea-76ff72dc942d)) - (segment (start 174.383274 43.393526) (end 175.526274 44.536526) (width 0.2) (layer "In2.Cu") (net 260) (tstamp 586c7db1-b71b-4b6a-8978-4450c52decab)) - (segment (start 171.589274 43.393526) (end 174.383274 43.393526) (width 0.2) (layer "In2.Cu") (net 260) (tstamp 9464c70e-a482-47e2-bac6-00c9047d7b32)) - (segment (start 170.700274 49.108526) (end 170.700274 44.282526) (width 0.2) (layer "In2.Cu") (net 260) (tstamp 955f80d6-2c61-4331-83ad-05d93f95e1fa)) - (segment (start 170.700274 44.282526) (end 171.589274 43.393526) (width 0.2) (layer "In2.Cu") (net 260) (tstamp a8ef51e6-6533-4384-b455-53d726dcc889)) - (segment (start 175.526274 44.536526) (end 184.749926 44.536526) (width 0.2) (layer "In2.Cu") (net 260) (tstamp fb058e8f-860e-4abf-b554-4f1afdc1f19d)) - (segment (start 187.262074 69.885726) (end 187.3106 69.8372) (width 0.2) (layer "F.Cu") (net 261) (tstamp 54792ce3-1937-4ee4-9f4f-afe5ce3641b4)) - (segment (start 186.194274 69.885726) (end 187.262074 69.885726) (width 0.2) (layer "F.Cu") (net 261) (tstamp d13cdb6a-4472-4221-9c12-6890f0a2efa3)) - (via (at 186.194274 69.885726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 261) (tstamp a8b19af4-02de-4218-9f4b-e49bf11b5578)) - (segment (start 183.273274 49.616526) (end 184.924274 51.267526) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 312d0f3b-e528-4c7a-b768-156102745c02)) - (segment (start 171.908674 47.442926) (end 174.789674 47.442926) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 6516aeff-3682-417d-ac72-9ee37d80ff94)) - (segment (start 186.194274 66.000176) (end 186.194274 69.885726) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 684487b1-93fb-462d-ae43-6456561c90f5)) - (segment (start 176.963274 49.616526) (end 183.273274 49.616526) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 68fad6cb-bd6b-46f4-8406-8e8b068ab21b)) - (segment (start 184.924274 51.267526) (end 184.924274 64.730176) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 74b72dbd-a505-48af-b644-e82986002d2d)) - (segment (start 184.924274 64.730176) (end 186.194274 66.000176) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 769ff708-5aa6-4e96-a092-92a728391650)) - (segment (start 174.789674 47.442926) (end 176.963274 49.616526) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 9b6e26dc-8336-4a08-a68e-b8306e183c46)) - (segment (start 121.702748 116.316) (end 125.488274 120.101526) (width 0.2) (layer "F.Cu") (net 262) (tstamp 34102f75-eec4-4137-b177-a253daf75101)) - (segment (start 106.819274 151.292726) (end 102.018674 151.292726) (width 0.2) (layer "F.Cu") (net 262) (tstamp 598e6a26-7199-45f0-9124-069c25051161)) - (segment (start 125.488274 120.101526) (end 125.488274 147.533526) (width 0.2) (layer "F.Cu") (net 262) (tstamp 7ac3ded6-3d83-4c58-a0c7-544e08ea0e01)) - (segment (start 108.165474 149.946526) (end 106.819274 151.292726) (width 0.2) (layer "F.Cu") (net 262) (tstamp 815cdfe3-e174-4b44-8aea-a27b710efcf2)) - (segment (start 116.6456 116.316) (end 121.702748 116.316) (width 0.2) (layer "F.Cu") (net 262) (tstamp a06761cc-8fe1-435b-a664-1ed2429add2c)) - (segment (start 125.488274 147.533526) (end 123.075274 149.946526) (width 0.2) (layer "F.Cu") (net 262) (tstamp ce32e748-c67e-4b78-a7c7-4721ee2ff74e)) - (segment (start 123.075274 149.946526) (end 108.165474 149.946526) (width 0.2) (layer "F.Cu") (net 262) (tstamp ddc0da9f-d220-4874-9bc2-c1756e6f3c69)) - (segment (start 121.678274 121.277627) (end 120.216647 119.816) (width 0.2) (layer "F.Cu") (net 263) (tstamp 12838670-00d0-4a80-a4aa-2a1b0a48cabf)) - (segment (start 95.910674 146.212726) (end 99.478674 146.212726) (width 0.2) (layer "F.Cu") (net 263) (tstamp 260de559-4f56-43eb-92ee-136e42e15e77)) - (segment (start 121.678274 130.261526) (end 121.678274 121.277627) (width 0.2) (layer "F.Cu") (net 263) (tstamp 30178716-cf36-47bf-a52d-248ecf4cf8d9)) - (segment (start 120.216647 119.816) (end 116.6456 119.816) (width 0.2) (layer "F.Cu") (net 263) (tstamp 95139360-af94-4467-acab-6a523475d9b7)) - (via (at 121.678274 130.261526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 263) (tstamp 948c26ca-a255-4c8f-8521-1715a538c248)) - (segment (start 98.353674 147.337726) (end 99.478674 146.212726) (width 0.2) (layer "In1.Cu") (net 263) (tstamp 0a9e8258-c86f-43ad-9e8f-b91e8470d131)) - (segment (start 98.353674 149.218717) (end 98.353674 147.337726) (width 0.2) (layer "In1.Cu") (net 263) (tstamp 3775f87d-90a8-40d5-bbd2-a0cb95846953)) - (segment (start 99.208483 150.073526) (end 98.353674 149.218717) (width 0.2) (layer "In1.Cu") (net 263) (tstamp 84a2ed14-1c01-480e-8014-43a0577bc60a)) - (segment (start 105.237588 150.073526) (end 99.208483 150.073526) (width 0.2) (layer "In1.Cu") (net 263) (tstamp 9a30b597-3908-4b60-9a68-4925e2a72051)) - (segment (start 121.678274 133.63284) (end 105.237588 150.073526) (width 0.2) (layer "In1.Cu") (net 263) (tstamp a232a8d1-0828-440e-9f54-7a7f6d0a2575)) - (segment (start 121.678274 130.261526) (end 121.678274 133.63284) (width 0.2) (layer "In1.Cu") (net 263) (tstamp cd996117-a88c-4dbb-9642-a64a23829a82)) - (segment (start 95.929074 148.747726) (end 99.473674 148.747726) (width 0.2) (layer "F.Cu") (net 264) (tstamp 0429ee28-3c09-4e93-833c-1b511533c44c)) - (segment (start 107.9938 119.816) (end 107.454274 120.355526) (width 0.2) (layer "F.Cu") (net 264) (tstamp 29ca04c6-b6df-45bb-a0a5-79b47e217430)) - (segment (start 99.473674 148.747726) (end 99.478674 148.752726) (width 0.2) (layer "F.Cu") (net 264) (tstamp 804c8265-40d1-46a9-80e1-4bbbba5ace0d)) - (segment (start 112.6956 119.816) (end 107.9938 119.816) (width 0.2) (layer "F.Cu") (net 264) (tstamp 9b6e35ee-6223-4887-b7a3-9ffd9c49521d)) - (via (at 107.454274 120.355526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 264) (tstamp b1ddaa7f-bbd5-4ed6-b63f-f263971e5df6)) - (segment (start 104.279274 123.530526) (end 104.279274 146.152717) (width 0.2) (layer "In1.Cu") (net 264) (tstamp 1d44f61c-9fdd-48ec-978f-4518ea310ff9)) - (segment (start 104.279274 146.152717) (end 102.898465 147.533526) (width 0.2) (layer "In1.Cu") (net 264) (tstamp 7c9ababb-af1b-4df0-b4dd-096abbf81ac7)) - (segment (start 107.454274 120.355526) (end 104.279274 123.530526) (width 0.2) (layer "In1.Cu") (net 264) (tstamp b54ac9aa-68d8-4ca2-a543-24d6e3f8d0d7)) - (segment (start 100.697874 147.533526) (end 99.478674 148.752726) (width 0.2) (layer "In1.Cu") (net 264) (tstamp c2bd25d7-4fa0-475e-8792-e809378099a7)) - (segment (start 102.898465 147.533526) (end 100.697874 147.533526) (width 0.2) (layer "In1.Cu") (net 264) (tstamp ddba85f5-975e-4450-8edc-1c56c565bcab)) - (segment (start 108.5728 116.316) (end 108.089274 116.799526) (width 0.2) (layer "F.Cu") (net 265) (tstamp 22986f20-a4b0-48de-921c-6e5754287a29)) - (segment (start 106.780474 153.883526) (end 106.729674 153.832726) (width 0.2) (layer "F.Cu") (net 265) (tstamp 555c881e-0493-431b-b0d9-8be384978ca2)) - (segment (start 112.6956 116.316) (end 108.5728 116.316) (width 0.2) (layer "F.Cu") (net 265) (tstamp 594046f2-d376-4251-b6b4-b5750bf87240)) - (segment (start 106.729674 153.832726) (end 102.018674 153.832726) (width 0.2) (layer "F.Cu") (net 265) (tstamp 92a26195-8908-474d-bb4f-9bb01a86e5a3)) - (via (at 108.089274 116.799526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 265) (tstamp 511f9a4c-c5f0-47ca-a2ea-6d207b419154)) - (segment (start 108.089274 116.799526) (end 108.535274 116.353526) (width 0.2) (layer "B.Cu") (net 265) (tstamp 097ac8db-6e96-4b2d-a80f-56effa1ba438)) - (segment (start 108.535274 116.353526) (end 109.675274 116.353526) (width 0.2) (layer "B.Cu") (net 265) (tstamp 58f7464b-52c3-4a61-bc30-0a039b954553)) - (segment (start 109.675274 116.353526) (end 110.121274 116.799526) (width 0.2) (layer "B.Cu") (net 265) (tstamp 99c1453b-a237-40a8-807f-f9c2bbbc93eb)) - (segment (start 110.121274 145.730126) (end 102.018674 153.832726) (width 0.2) (layer "B.Cu") (net 265) (tstamp 9fb9ebb1-672c-4dda-a503-d4c2f683a9ce)) - (segment (start 110.121274 116.799526) (end 110.121274 145.730126) (width 0.2) (layer "B.Cu") (net 265) (tstamp e241f892-09e4-4b44-905a-80f47fc6691d)) - (segment (start 122.207748 115.316) (end 116.6456 115.316) (width 0.2) (layer "F.Cu") (net 266) (tstamp 1dec5e1d-d70a-47b0-bd99-03ec71701ec8)) - (segment (start 142.392674 135.951126) (end 146.290874 135.951126) (width 0.2) (layer "F.Cu") (net 266) (tstamp 8d1f63ec-ef6c-4aef-aa33-eeff491062f2)) - (segment (start 146.290874 135.951126) (end 146.316274 135.976526) (width 0.2) (layer "F.Cu") (net 266) (tstamp 9170ec7f-e1f7-45ad-bfee-99bea9fb5220)) - (segment (start 122.980274 116.088526) (end 122.207748 115.316) (width 0.2) (layer "F.Cu") (net 266) (tstamp b2bfb571-86fe-4156-afdb-a810af67c0e5)) - (via (at 122.980274 116.088526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 266) (tstamp 963799c4-89ca-4d18-ba65-e5ee06295d0f)) - (segment (start 123.285274 115.783526) (end 128.536274 115.783526) (width 0.2) (layer "In1.Cu") (net 266) (tstamp 2d9cae0f-daf7-4a4a-bd42-aba056deee6f)) - (segment (start 146.316274 133.563526) (end 146.316274 135.976526) (width 0.2) (layer "In1.Cu") (net 266) (tstamp 4eb97a92-8efd-403a-aa9f-986ea8006e1c)) - (segment (start 122.980274 116.088526) (end 123.285274 115.783526) (width 0.2) (layer "In1.Cu") (net 266) (tstamp 97c4cd2d-eb12-49d7-b514-fe02a03fab95)) - (segment (start 128.536274 115.783526) (end 146.316274 133.563526) (width 0.2) (layer "In1.Cu") (net 266) (tstamp d761cec6-04e8-4c3a-959a-9b075e108206)) - (segment (start 106.692274 115.783526) (end 106.692274 115.656526) (width 0.2) (layer "F.Cu") (net 267) (tstamp 02e48b8d-d2f1-40e0-a304-05fdbfd0af85)) - (segment (start 146.316274 138.516526) (end 142.418074 138.516526) (width 0.2) (layer "F.Cu") (net 267) (tstamp 119f6d0e-60bc-4a04-ab2c-36f49f77b227)) - (segment (start 107.0328 115.316) (end 106.692274 115.783526) (width 0.2) (layer "F.Cu") (net 267) (tstamp 944c9b91-1e40-4386-988b-9196478573d7)) - (segment (start 142.418074 138.516526) (end 142.392674 138.491126) (width 0.2) (layer "F.Cu") (net 267) (tstamp a1dcc7e7-6813-48ea-9361-a93cac204d96)) - (segment (start 112.6956 115.316) (end 107.0328 115.316) (width 0.2) (layer "F.Cu") (net 267) (tstamp c2d43824-1f2e-46cb-a4a9-0ec356322fd4)) - (via (at 106.692274 115.783526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 267) (tstamp e920a061-7b02-4ed7-8bd6-88058067697e)) - (segment (start 105.132324 114.321526) (end 111.617376 114.321526) (width 0.2) (layer "B.Cu") (net 267) (tstamp 075e5303-1551-4b1b-b204-b6f7c951cf2b)) - (segment (start 111.617376 114.321526) (end 135.812376 138.516526) (width 0.2) (layer "B.Cu") (net 267) (tstamp 7f2cad20-7c79-40c7-bf0f-4b9cc4e53fde)) - (segment (start 104.722274 115.311476) (end 104.722274 114.731576) (width 0.2) (layer "B.Cu") (net 267) (tstamp 86888a1d-5617-4468-947f-920542504608)) - (segment (start 104.722274 114.731576) (end 105.132324 114.321526) (width 0.2) (layer "B.Cu") (net 267) (tstamp 9319d981-5788-431b-9c39-d288e6113bd8)) - (segment (start 135.812376 138.516526) (end 146.316274 138.516526) (width 0.2) (layer "B.Cu") (net 267) (tstamp 9e052cd0-4f67-42e6-8447-b97398a8def1)) - (segment (start 106.692274 115.783526) (end 105.194324 115.783526) (width 0.2) (layer "B.Cu") (net 267) (tstamp ba177f47-4cbc-4fde-9eca-fce4b118a55e)) - (segment (start 105.194324 115.783526) (end 104.722274 115.311476) (width 0.2) (layer "B.Cu") (net 267) (tstamp cd7736d9-2a97-4b66-921c-7743598f0691)) - (segment (start 142.392674 141.031126) (end 146.290874 141.031126) (width 0.2) (layer "F.Cu") (net 268) (tstamp 4850600a-d5c0-4b4f-9c21-2ba1291e3e87)) - (segment (start 122.059274 114.616) (end 121.859274 114.816) (width 0.2) (layer "F.Cu") (net 268) (tstamp 82ecc08e-3b7a-491e-8efa-715b77396c4a)) - (segment (start 146.290874 141.031126) (end 146.316274 141.056526) (width 0.2) (layer "F.Cu") (net 268) (tstamp d9192a8f-a903-4d5d-8fc1-1d08e505795d)) - (segment (start 121.859274 114.816) (end 116.6456 114.816) (width 0.2) (layer "F.Cu") (net 268) (tstamp dd69ec8a-8836-4dca-97b8-189e3b6dcbf1)) - (via (at 122.059274 114.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 268) (tstamp a0489988-0219-4a39-98b9-abf9759c204e)) - (segment (start 112.662798 114.616) (end 108.939324 110.892526) (width 0.2) (layer "In2.Cu") (net 268) (tstamp 007db881-6783-49a8-9b08-b544aa2642fd)) - (segment (start 106.910324 110.892526) (end 106.246274 111.556576) (width 0.2) (layer "In2.Cu") (net 268) (tstamp 085fc259-219f-450d-a3b4-1798564d90d0)) - (segment (start 106.246274 112.136476) (end 135.166324 141.056526) (width 0.2) (layer "In2.Cu") (net 268) (tstamp 22647b7b-4633-47fe-91fd-4a68bcde8e57)) - (segment (start 122.059274 114.616) (end 112.662798 114.616) (width 0.2) (layer "In2.Cu") (net 268) (tstamp 7c5cbde9-05be-4d23-9c5d-e4bf493910a4)) - (segment (start 135.166324 141.056526) (end 146.316274 141.056526) (width 0.2) (layer "In2.Cu") (net 268) (tstamp ac131733-81b4-42ad-a813-a31e0b5a3edd)) - (segment (start 108.939324 110.892526) (end 106.910324 110.892526) (width 0.2) (layer "In2.Cu") (net 268) (tstamp d61c6f80-77aa-4161-880b-12be10ca259c)) - (segment (start 106.246274 111.556576) (end 106.246274 112.136476) (width 0.2) (layer "In2.Cu") (net 268) (tstamp ece19f2e-fc7b-4134-a406-9ac7906ac580)) - (segment (start 106.66985 114.816) (end 112.6956 114.816) (width 0.2) (layer "F.Cu") (net 269) (tstamp 34ff4825-1ee3-4280-ad7f-e25c5e1838eb)) - (segment (start 146.316274 143.596526) (end 142.418074 143.596526) (width 0.2) (layer "F.Cu") (net 269) (tstamp 3ce0d795-5852-4803-aba6-ad2e020b5526)) - (segment (start 105.422274 116.063576) (end 106.66985 114.816) (width 0.2) (layer "F.Cu") (net 269) (tstamp 4c084ac4-5375-45d8-b4da-98b752bd77bc)) - (segment (start 142.418074 143.596526) (end 142.392674 143.571126) (width 0.2) (layer "F.Cu") (net 269) (tstamp 537a7a97-72e3-41fd-a643-d8aea7b558db)) - (segment (start 105.422274 116.545526) (end 105.422274 116.063576) (width 0.2) (layer "F.Cu") (net 269) (tstamp 6409e23b-3fb3-4971-a323-e579941e09ee)) - (via (at 105.422274 116.545526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 269) (tstamp 6d6bcc61-75c8-4b4c-9bf8-04e64c1a883e)) - (segment (start 145.850283 154.881526) (end 146.782265 154.881526) (width 0.2) (layer "In2.Cu") (net 269) (tstamp 2a3bf97e-3178-4b19-b5a0-c2fe33fcb57a)) - (segment (start 106.718324 116.799526) (end 106.73875 116.799526) (width 0.2) (layer "In2.Cu") (net 269) (tstamp 3532cc55-9af3-448a-a408-50ce746dfe18)) - (segment (start 134.600639 141.622212) (end 134.600639 143.631882) (width 0.2) (layer "In2.Cu") (net 269) (tstamp 8a0f4e21-36fe-4726-bc29-3afa58e246d3)) - (segment (start 147.441274 154.222517) (end 147.441274 144.721526) (width 0.2) (layer "In2.Cu") (net 269) (tstamp 8a2d7f27-d914-40fa-b286-2c34db23e771)) - (segment (start 107.265274 117.684211) (end 115.416589 125.835526) (width 0.2) (layer "In2.Cu") (net 269) (tstamp 8c8ce4b8-2e14-494f-a569-3d2eb4172b03)) - (segment (start 147.441274 144.721526) (end 146.316274 143.596526) (width 0.2) (layer "In2.Cu") (net 269) (tstamp 9444c720-a52b-4cd8-94f2-d6a3b2f6c4a3)) - (segment (start 118.813953 125.835526) (end 134.600639 141.622212) (width 0.2) (layer "In2.Cu") (net 269) (tstamp 97721f1c-2a3c-4bdc-8833-fe2688eea9b6)) - (segment (start 107.265274 117.32605) (end 107.265274 117.684211) (width 0.2) (layer "In2.Cu") (net 269) (tstamp a9a23bf5-89ec-43a2-8070-57bb7694ae45)) - (segment (start 105.422274 116.545526) (end 106.464324 116.545526) (width 0.2) (layer "In2.Cu") (net 269) (tstamp c292d384-989d-480e-8b79-32af66d7641e)) - (segment (start 106.73875 116.799526) (end 107.265274 117.32605) (width 0.2) (layer "In2.Cu") (net 269) (tstamp c48f2b99-ad86-4b81-893f-72b0a4f4d4df)) - (segment (start 106.464324 116.545526) (end 106.718324 116.799526) (width 0.2) (layer "In2.Cu") (net 269) (tstamp c907bccc-0054-4b6d-870d-d7c9f76de2e8)) - (segment (start 115.416589 125.835526) (end 118.813953 125.835526) (width 0.2) (layer "In2.Cu") (net 269) (tstamp e4726a33-4765-4ff2-94d4-bc45d0c12e0d)) - (segment (start 134.600639 143.631882) (end 145.850283 154.881526) (width 0.2) (layer "In2.Cu") (net 269) (tstamp e964afad-39d9-4646-a08b-1259b71b6684)) - (segment (start 146.782265 154.881526) (end 147.441274 154.222517) (width 0.2) (layer "In2.Cu") (net 269) (tstamp f93ef20f-f9a9-448b-ad6a-7422bd15d27a)) - (segment (start 142.392674 146.111126) (end 146.290874 146.111126) (width 0.2) (layer "F.Cu") (net 270) (tstamp 269b78a3-0825-4feb-a859-213419fe53e4)) - (segment (start 122.053605 113.552045) (end 121.78965 113.816) (width 0.2) (layer "F.Cu") (net 270) (tstamp c22b0731-e214-438d-b13c-624c14bec708)) - (segment (start 121.78965 113.816) (end 116.6456 113.816) (width 0.2) (layer "F.Cu") (net 270) (tstamp cbe8f901-f6d1-4952-8f3e-49b893f84a97)) - (segment (start 146.290874 146.111126) (end 146.316274 146.136526) (width 0.2) (layer "F.Cu") (net 270) (tstamp f41dd0ac-ea5d-4c5b-aaf0-9610a6f21997)) - (via (at 122.059274 113.552045) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 270) (tstamp f6c472a0-ba14-4ddb-8154-c73b708e17f1)) - (segment (start 149.981274 141.522517) (end 149.032265 142.471526) (width 0.2) (layer "In2.Cu") (net 270) (tstamp 1f7762ed-2959-4b1a-96d9-5e86e4d61044)) - (segment (start 149.032265 137.101526) (end 149.981274 138.050535) (width 0.2) (layer "In2.Cu") (net 270) (tstamp 3b3be99b-cf95-4b37-b8d1-07ab9edcc42f)) - (segment (start 145.191274 136.684045) (end 145.191274 137.101526) (width 0.2) (layer "In2.Cu") (net 270) (tstamp 46223885-c5c8-4758-9a30-dc1832b104cc)) - (segment (start 149.981274 138.050535) (end 149.981274 141.522517) (width 0.2) (layer "In2.Cu") (net 270) (tstamp 67b971a0-c4c1-4e3e-8cac-9ab277b3e44d)) - (segment (start 145.191274 145.011526) (end 146.316274 146.136526) (width 0.2) (layer "In2.Cu") (net 270) (tstamp 6ad1192c-a48d-413b-8272-ca0788253656)) - (segment (start 145.191274 143.070526) (end 145.191274 145.011526) (width 0.2) (layer "In2.Cu") (net 270) (tstamp 7f5f8870-27e5-4947-8439-ec7979f97582)) - (segment (start 145.191274 137.101526) (end 149.032265 137.101526) (width 0.2) (layer "In2.Cu") (net 270) (tstamp 8cccd24f-ba8d-48d2-859a-65107a71db9b)) - (segment (start 149.032265 142.471526) (end 145.790274 142.471526) (width 0.2) (layer "In2.Cu") (net 270) (tstamp 9c732f51-d211-4602-a6b1-0a2c93aea706)) - (segment (start 122.059274 113.552045) (end 145.191274 136.684045) (width 0.2) (layer "In2.Cu") (net 270) (tstamp 9ecee284-ceaa-45bd-9e37-ff8e288e282c)) - (segment (start 145.790274 142.471526) (end 145.191274 143.070526) (width 0.2) (layer "In2.Cu") (net 270) (tstamp dfe04650-d5cc-4c41-be37-a59d9281f163)) - (segment (start 105.422274 115.021526) (end 106.6278 113.816) (width 0.2) (layer "F.Cu") (net 271) (tstamp 5dd9f8d5-b865-4741-89f9-48a31e58b484)) - (segment (start 106.6278 113.816) (end 112.6956 113.816) (width 0.2) (layer "F.Cu") (net 271) (tstamp a3f024e8-a034-4bd1-86ea-5e8f7905a0d2)) - (segment (start 142.418074 148.676526) (end 142.392674 148.651126) (width 0.2) (layer "F.Cu") (net 271) (tstamp bc100060-edab-4bc6-8950-61ba8b7d4a18)) - (segment (start 146.316274 148.676526) (end 142.418074 148.676526) (width 0.2) (layer "F.Cu") (net 271) (tstamp f0176f6a-a9d7-400a-95f2-9fc825b01d2a)) - (via (at 105.422274 115.021526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 271) (tstamp 49ac1283-5fe7-4062-b1e1-3ebba49ed044)) - (segment (start 143.051376 148.676526) (end 146.316274 148.676526) (width 0.2) (layer "B.Cu") (net 271) (tstamp 2cd41cf3-e184-4008-895d-77747e2cd313)) - (segment (start 109.396376 115.021526) (end 143.051376 148.676526) (width 0.2) (layer "B.Cu") (net 271) (tstamp 49e26f06-760f-4ce2-b408-4e833d96658b)) - (segment (start 105.422274 115.021526) (end 109.396376 115.021526) (width 0.2) (layer "B.Cu") (net 271) (tstamp cf612082-9fe0-4d42-8870-3e5c38a0caa6)) - (segment (start 142.392674 151.191126) (end 146.290874 151.191126) (width 0.2) (layer "F.Cu") (net 272) (tstamp 066c133f-b6f5-4bf8-b4d8-ec8326f69d44)) - (segment (start 121.305369 113.316) (end 116.6456 113.316) (width 0.2) (layer "F.Cu") (net 272) (tstamp 0e7d8648-2354-4dab-8bb2-a483f8a9e5d9)) - (segment (start 123.440274 112.568526) (end 122.052843 112.568526) (width 0.2) (layer "F.Cu") (net 272) (tstamp 27648f11-9d2c-4908-a7df-8b46ad353197)) - (segment (start 122.052843 112.568526) (end 121.305369 113.316) (width 0.2) (layer "F.Cu") (net 272) (tstamp 2cf25ef0-7349-4c1d-a0e4-45d59f6e8ddd)) - (segment (start 146.290874 151.191126) (end 146.316274 151.216526) (width 0.2) (layer "F.Cu") (net 272) (tstamp 43a508a1-88eb-40c6-a12a-32098c943fbd)) - (via (at 123.440274 112.568526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 272) (tstamp a84411c1-d88c-4e73-9334-01a7037bc7e9)) - (segment (start 147.586274 134.833526) (end 147.586274 149.946526) (width 0.2) (layer "B.Cu") (net 272) (tstamp 4502c7e6-6cf1-4dcc-812b-7fc4fbf91f96)) - (segment (start 123.440274 112.568526) (end 125.321274 112.568526) (width 0.2) (layer "B.Cu") (net 272) (tstamp 7766ea07-e9bf-4405-8c2f-0326e0957264)) - (segment (start 147.586274 149.946526) (end 146.316274 151.216526) (width 0.2) (layer "B.Cu") (net 272) (tstamp 8b9a16cf-fd6c-4896-bd82-e66d05bda830)) - (segment (start 125.321274 112.568526) (end 147.586274 134.833526) (width 0.2) (layer "B.Cu") (net 272) (tstamp a639241d-c07c-4c09-b8c0-2df42cc4e442)) - (segment (start 142.494274 153.756526) (end 142.392674 153.858126) (width 0.2) (layer "F.Cu") (net 273) (tstamp 502ff059-7535-4e65-830e-ee3a7d2b240b)) - (segment (start 146.316274 153.756526) (end 142.494274 153.756526) (width 0.2) (layer "F.Cu") (net 273) (tstamp 8b2e4f75-b366-41e8-bd54-b3483e3b0b11)) - (segment (start 105.716754 113.316) (end 112.6956 113.316) (width 0.2) (layer "F.Cu") (net 273) (tstamp 94559db1-5e68-4fc6-a344-1123be8e7217)) - (segment (start 105.676274 113.27552) (end 105.716754 113.316) (width 0.2) (layer "F.Cu") (net 273) (tstamp cad25d1a-6832-428a-86fa-0fa207076b7c)) - (via (at 105.676274 113.27552) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 273) (tstamp 9ea16952-0936-40db-ab4d-b12c350f7030)) - (segment (start 115.582274 125.435526) (end 118.979639 125.435526) (width 0.2) (layer "In2.Cu") (net 273) (tstamp 08a91ba4-1871-4d36-878b-d0af3f7636a7)) - (segment (start 118.979639 125.435526) (end 135.000639 141.456526) (width 0.2) (layer "In2.Cu") (net 273) (tstamp 2db0c33f-8896-4e98-9be8-6189b11eea28)) - (segment (start 135.000639 141.456526) (end 135.000639 142.440891) (width 0.2) (layer "In2.Cu") (net 273) (tstamp 324fb5cd-2d6b-433a-aab6-f508093ce116)) - (segment (start 109.932274 119.785526) (end 115.582274 125.435526) (width 0.2) (layer "In2.Cu") (net 273) (tstamp 6fcce848-5b15-4ecc-8cac-fded07a14cb5)) - (segment (start 105.676274 113.27552) (end 106.444218 113.27552) (width 0.2) (layer "In2.Cu") (net 273) (tstamp 784bfc68-8d56-4285-be92-824d5819be8e)) - (segment (start 109.932274 116.763576) (end 109.932274 119.785526) (width 0.2) (layer "In2.Cu") (net 273) (tstamp e897a6fd-f39d-41a2-845f-7c0b6891ee0a)) - (segment (start 135.000639 142.440891) (end 146.316274 153.756526) (width 0.2) (layer "In2.Cu") (net 273) (tstamp eb44e41c-f5b5-4f3c-9763-d977217d04ed)) - (segment (start 106.444218 113.27552) (end 109.932274 116.763576) (width 0.2) (layer "In2.Cu") (net 273) (tstamp eb682ad8-ebe8-4d0d-b507-271446381461)) - (segment (start 164.4416 94.9672) (end 162.7904 93.316) (width 0.2) (layer "F.Cu") (net 289) (tstamp 09153325-1d46-474a-ae8f-8584e66bde73)) - (segment (start 162.7904 93.316) (end 161.6456 93.316) (width 0.2) (layer "F.Cu") (net 289) (tstamp ca306ec5-e0de-47a4-83ff-51802d77fb96)) - (via (at 164.4416 94.9672) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 289) (tstamp e6a22e7d-14ef-49e4-a835-4b9ff2b9adb8)) - (segment (start 168.0054 88.586144) (end 171.720018 84.871526) (width 0.2) (layer "B.Cu") (net 289) (tstamp 00ac1cfa-cdcd-4cac-ac77-7b000f4694b9)) - (segment (start 198.435934 81.620526) (end 199.402274 81.620526) (width 0.2) (layer "B.Cu") (net 289) (tstamp 148097a3-e918-4c40-8c22-e27b10f48771)) - (segment (start 195.184934 84.871526) (end 198.435934 81.620526) (width 0.2) (layer "B.Cu") (net 289) (tstamp 628e871c-207a-4356-ab03-410e73e22a1b)) - (segment (start 168.0054 93.594035) (end 168.0054 88.586144) (width 0.2) (layer "B.Cu") (net 289) (tstamp b51a4bcc-08de-488b-94fd-df9765184112)) - (segment (start 164.7606 95.2862) (end 166.313235 95.2862) (width 0.2) (layer "B.Cu") (net 289) (tstamp cf581bab-3cf7-439c-be3f-29458dc63b55)) - (segment (start 171.720018 84.871526) (end 195.184934 84.871526) (width 0.2) (layer "B.Cu") (net 289) (tstamp d60ca1a7-5a3a-4e18-ad40-c27016ef5bca)) - (segment (start 166.313235 95.2862) (end 168.0054 93.594035) (width 0.2) (layer "B.Cu") (net 289) (tstamp dd6ac720-1fcb-4909-8968-b8c30568f34b)) - (segment (start 164.4416 94.9672) (end 164.7606 95.2862) (width 0.2) (layer "B.Cu") (net 289) (tstamp df22e7df-66f8-4a2e-9091-88cd54e18140)) - (segment (start 165.5846 94.5862) (end 163.8144 92.816) (width 0.2) (layer "F.Cu") (net 290) (tstamp 5761cbd3-4fd3-4ed0-b514-62a709f33423)) - (segment (start 163.8144 92.816) (end 161.6456 92.816) (width 0.2) (layer "F.Cu") (net 290) (tstamp 6616c0b4-616a-4a56-b9f6-0c9154561fc6)) - (via (at 165.5846 94.5862) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 290) (tstamp a4e32b37-956f-4611-ad22-7b6e5263a186)) - (segment (start 171.554332 84.471526) (end 195.019248 84.471526) (width 0.2) (layer "B.Cu") (net 290) (tstamp 2ccb2da9-c321-4d96-830a-c05dcc65e469)) - (segment (start 167.6054 93.42835) (end 167.6054 88.420458) (width 0.2) (layer "B.Cu") (net 290) (tstamp 57749dcd-8a7c-49c9-9d77-930a81085790)) - (segment (start 166.44755 94.5862) (end 167.6054 93.42835) (width 0.2) (layer "B.Cu") (net 290) (tstamp 5b21e8e2-2c0e-4284-8005-24ba052afddf)) - (segment (start 195.019248 84.471526) (end 198.189274 81.301502) (width 0.2) (layer "B.Cu") (net 290) (tstamp 5f3a1000-bddf-4719-96b0-da96b14b2c4b)) - (segment (start 198.189274 81.301502) (end 198.189274 80.293526) (width 0.2) (layer "B.Cu") (net 290) (tstamp 7c873bb9-415e-492b-bb85-c46c8a0ee7db)) - (segment (start 198.189274 80.293526) (end 199.402274 79.080526) (width 0.2) (layer "B.Cu") (net 290) (tstamp d67050cb-758e-4cbc-ad3e-8472ecbbaf5b)) - (segment (start 165.5846 94.5862) (end 166.44755 94.5862) (width 0.2) (layer "B.Cu") (net 290) (tstamp e2279290-7e11-4dff-b914-1dfd356184c5)) - (segment (start 167.6054 88.420458) (end 171.554332 84.471526) (width 0.2) (layer "B.Cu") (net 290) (tstamp f55ead58-3a3b-436e-b5e7-3c79b664fa18)) - (segment (start 166.4736 93.5702) (end 164.7194 91.816) (width 0.2) (layer "F.Cu") (net 291) (tstamp 03d8f97a-1d25-4746-93d1-b0bd1552d2c7)) - (segment (start 164.7194 91.816) (end 161.6456 91.816) (width 0.2) (layer "F.Cu") (net 291) (tstamp 2c2a4e78-7a1e-4178-b7ad-9368e5cf6735)) - (via (at 166.4736 93.5702) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 291) (tstamp 8f9ebc16-da15-463b-b422-cc1f3f09e60f)) - (segment (start 167.2054 88.254772) (end 167.2054 92.8384) (width 0.2) (layer "B.Cu") (net 291) (tstamp 31e9d5d7-cd35-4f2f-925e-8998697aec58)) - (segment (start 194.853562 84.071526) (end 171.388646 84.071526) (width 0.2) (layer "B.Cu") (net 291) (tstamp 6e27cae4-76a8-4bea-8849-4b1242e43abc)) - (segment (start 167.2054 92.8384) (end 166.4736 93.5702) (width 0.2) (layer "B.Cu") (net 291) (tstamp 8259ae14-a631-431a-a80b-9c0f160109d8)) - (segment (start 171.388646 84.071526) (end 167.2054 88.254772) (width 0.2) (layer "B.Cu") (net 291) (tstamp bc1c9e0a-f3e0-4739-8efe-b2f742f464a5)) - (segment (start 197.789274 81.135816) (end 194.853562 84.071526) (width 0.2) (layer "B.Cu") (net 291) (tstamp c8bb9038-7a08-4af5-b091-002e7ce1bf78)) - (segment (start 199.402274 76.540526) (end 197.789274 78.153526) (width 0.2) (layer "B.Cu") (net 291) (tstamp d64ed409-a38a-489a-990e-22761d177d32)) - (segment (start 197.789274 78.153526) (end 197.789274 81.135816) (width 0.2) (layer "B.Cu") (net 291) (tstamp fed74794-addd-4b9d-b4df-eec6af04e02a)) - (segment (start 148.3126 91.7922) (end 148.3364 91.816) (width 0.2) (layer "F.Cu") (net 292) (tstamp 1fe91bdd-b852-43f7-bcda-20082caa9180)) - (segment (start 148.3364 91.816) (end 157.6956 91.816) (width 0.2) (layer "F.Cu") (net 292) (tstamp 6243ec6e-bfd3-47ae-a2bb-4958042494b2)) - (via (at 148.3126 91.7922) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 292) (tstamp 1a99e910-15b7-4f41-ba56-0fb482183ebd)) - (segment (start 154.73965 91.816) (end 148.3364 91.816) (width 0.2) (layer "B.Cu") (net 292) (tstamp 2d97c239-03ae-494c-bf3d-b5264184c01c)) - (segment (start 177.804874 66.489526) (end 167.229169 66.489526) (width 0.2) (layer "B.Cu") (net 292) (tstamp 350aaaa5-01f1-45c7-8bb8-ac18174077fa)) - (segment (start 163.0636 83.49205) (end 154.73965 91.816) (width 0.2) (layer "B.Cu") (net 292) (tstamp 761c9c1a-85fb-4ba2-86c1-e30592744d61)) - (segment (start 163.0636 70.655095) (end 163.0636 83.49205) (width 0.2) (layer "B.Cu") (net 292) (tstamp a7539a1c-d441-414c-bdaf-7dc8a305e415)) - (segment (start 167.229169 66.489526) (end 163.0636 70.655095) (width 0.2) (layer "B.Cu") (net 292) (tstamp aed118f5-2044-4586-8e1c-07e43e7f61bf)) - (segment (start 148.3364 91.816) (end 148.3126 91.7922) (width 0.2) (layer "B.Cu") (net 292) (tstamp be30080f-1067-4a1f-8e3d-954c7253a44b)) - (segment (start 177.812274 66.482126) (end 177.804874 66.489526) (width 0.2) (layer "B.Cu") (net 292) (tstamp fa58a036-0079-4a97-afcd-8cb637e47e63)) - (segment (start 166.5054 91.316) (end 161.6456 91.316) (width 0.2) (layer "F.Cu") (net 293) (tstamp f2aaed66-aeec-4bf8-a642-c278a5c7a86f)) - (via (at 166.5054 91.316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 293) (tstamp cbca8086-e754-4556-b51d-b0eb112e8c04)) - (segment (start 199.402274 74.000526) (end 197.389274 76.013526) (width 0.2) (layer "B.Cu") (net 293) (tstamp 0486f984-3224-423f-ad87-7bf198699ffd)) - (segment (start 194.687877 83.671526) (end 171.22296 83.671526) (width 0.2) (layer "B.Cu") (net 293) (tstamp 2cb12917-efe3-4e57-b258-44ae419c3970)) - (segment (start 197.389274 76.013526) (end 197.389274 80.97013) (width 0.2) (layer "B.Cu") (net 293) (tstamp 4d720233-fb32-4fdb-906d-0d2b4f1bcc9c)) - (segment (start 197.389274 80.97013) (end 194.687877 83.671526) (width 0.2) (layer "B.Cu") (net 293) (tstamp 6aa00d0d-959b-4362-b858-dc9ad69c2b32)) - (segment (start 166.5054 88.389086) (end 166.5054 91.316) (width 0.2) (layer "B.Cu") (net 293) (tstamp 8b24cbfe-7946-460c-8346-146ee30567ed)) - (segment (start 171.22296 83.671526) (end 166.5054 88.389086) (width 0.2) (layer "B.Cu") (net 293) (tstamp 8f72bd59-99ab-44d3-99ef-ea08a4c50d30)) - (segment (start 149.7826 91.316) (end 157.6956 91.316) (width 0.2) (layer "F.Cu") (net 294) (tstamp 2e6e1e65-0e4d-4d89-b524-1330c1a2556a)) - (segment (start 149.5826 91.116) (end 149.7826 91.316) (width 0.2) (layer "F.Cu") (net 294) (tstamp c6ce359b-0500-4b42-8eae-fa9c1a14dee8)) - (via (at 149.5826 91.116) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 294) (tstamp 30f7c5d6-075f-430f-a7e5-c02fa85ac2af)) - (segment (start 162.6636 83.2056) (end 162.6636 68.3212) (width 0.2) (layer "B.Cu") (net 294) (tstamp 2f352731-b739-48c7-b646-c54ff9853863)) - (segment (start 149.5826 91.116) (end 154.7532 91.116) (width 0.2) (layer "B.Cu") (net 294) (tstamp 842b6a41-f96d-4515-9110-78ec15d7e85d)) - (segment (start 167.050074 63.949526) (end 177.804874 63.949526) (width 0.2) (layer "B.Cu") (net 294) (tstamp 924b91a8-331c-42c2-8fe4-9f374ae45594)) - (segment (start 162.6636 68.3212) (end 167.042674 63.942126) (width 0.2) (layer "B.Cu") (net 294) (tstamp abccf100-c5ff-492b-8c39-97bab7ad924c)) - (segment (start 177.804874 63.949526) (end 177.812274 63.942126) (width 0.2) (layer "B.Cu") (net 294) (tstamp b9aef378-0e27-46d9-94b0-db45fef545e5)) - (segment (start 154.7532 91.116) (end 162.6636 83.2056) (width 0.2) (layer "B.Cu") (net 294) (tstamp ea1ad873-7065-4bd1-b198-e5f0f64de705)) - (segment (start 167.042674 63.942126) (end 167.050074 63.949526) (width 0.2) (layer "B.Cu") (net 294) (tstamp eb993be6-2b14-480f-a030-b8e03de28af5)) - (segment (start 151.1858 90.316) (end 157.6956 90.316) (width 0.2) (layer "F.Cu") (net 295) (tstamp 60f54d4c-5ced-4ce5-9789-f5a47351c989)) - (segment (start 151.1066 90.3952) (end 151.1858 90.316) (width 0.2) (layer "F.Cu") (net 295) (tstamp 89305af9-53b9-4e2a-91b5-c3addc403e1b)) - (via (at 151.1066 90.3952) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 295) (tstamp fae03f52-cab3-4bbc-9a31-cc3f5366970a)) - (segment (start 159.6726 85.330636) (end 154.608036 90.3952) (width 0.2) (layer "B.Cu") (net 295) (tstamp 0141be8f-2e77-47fe-912f-37969347f063)) - (segment (start 154.608036 90.3952) (end 151.1066 90.3952) (width 0.2) (layer "B.Cu") (net 295) (tstamp 1a1df225-83d5-49a2-a01c-f79b8b106418)) - (segment (start 177.449274 58.869526) (end 169.194274 58.869526) (width 0.2) (layer "B.Cu") (net 295) (tstamp 77e9aa57-27fb-4204-943f-67481840fc0a)) - (segment (start 177.812274 58.506526) (end 177.449274 58.869526) (width 0.2) (layer "B.Cu") (net 295) (tstamp bf8da05a-3f7e-4127-9c08-c3a874ff91e9)) - (segment (start 169.194274 58.869526) (end 159.6726 68.3912) (width 0.2) (layer "B.Cu") (net 295) (tstamp daa05735-ded4-49ce-a3df-bb684335522a)) - (segment (start 159.6726 68.3912) (end 159.6726 85.330636) (width 0.2) (layer "B.Cu") (net 295) (tstamp edbdcf45-4ba4-4622-a283-0befb6a8fea8)) - (segment (start 164.5686 89.7602) (end 164.5128 89.816) (width 0.2) (layer "F.Cu") (net 296) (tstamp 059b7cad-6597-4ef7-8e70-7f35bc769c02)) - (segment (start 164.5128 89.816) (end 161.6456 89.816) (width 0.2) (layer "F.Cu") (net 296) (tstamp 80481fe1-33ce-4a7d-8538-41765dfa245f)) - (via (at 164.5686 89.7602) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 296) (tstamp c878f889-b663-4b60-b23f-5b4a47ad2d3e)) - (segment (start 194.522192 83.271526) (end 171.057274 83.271526) (width 0.2) (layer "B.Cu") (net 296) (tstamp 3528ec11-65b6-40c2-8f0d-35f3b12f60b6)) - (segment (start 196.989274 80.804444) (end 194.522192 83.271526) (width 0.2) (layer "B.Cu") (net 296) (tstamp 8386fa2e-aa65-4c86-a9b2-bfacc7db3b4f)) - (segment (start 199.402274 71.460526) (end 196.989274 73.873526) (width 0.2) (layer "B.Cu") (net 296) (tstamp 9f7915c3-3267-41f8-b34c-3bc3b595c9af)) - (segment (start 196.989274 73.873526) (end 196.989274 80.804444) (width 0.2) (layer "B.Cu") (net 296) (tstamp df6caa10-dfd5-472d-9473-6c7343714969)) - (segment (start 171.057274 83.271526) (end 164.5686 89.7602) (width 0.2) (layer "B.Cu") (net 296) (tstamp e6d05b4d-3d3c-4034-89d2-886b089c30d6)) - (segment (start 157.6456 89.866) (end 157.6956 89.816) (width 0.2) (layer "F.Cu") (net 297) (tstamp 5d47cc08-a768-4798-89da-b5c637e9a5a5)) - (segment (start 152.6266 89.866) (end 157.6456 89.866) (width 0.2) (layer "F.Cu") (net 297) (tstamp db8304a1-a09e-4f5f-be51-6b0dc25abbe3)) - (segment (start 152.3766 89.616) (end 152.6266 89.866) (width 0.2) (layer "F.Cu") (net 297) (tstamp dd13209a-659e-4ec6-a7c9-4213ce03c36f)) - (via (at 152.3766 89.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 297) (tstamp 109dc634-f040-403f-aee1-6d5bea5c88a1)) - (segment (start 168.907474 55.966526) (end 159.2726 65.6014) (width 0.2) (layer "B.Cu") (net 297) (tstamp 25894728-7c51-4d0b-ba09-b61ea53fcfff)) - (segment (start 159.2726 85.16495) (end 154.57155 89.866) (width 0.2) (layer "B.Cu") (net 297) (tstamp 37023abe-9812-4f91-81d3-d4696c539e19)) - (segment (start 152.6266 89.866) (end 152.3766 89.616) (width 0.2) (layer "B.Cu") (net 297) (tstamp 6e5c609f-481e-4343-8977-ed1dbd32f103)) - (segment (start 159.2726 65.6014) (end 159.2726 85.16495) (width 0.2) (layer "B.Cu") (net 297) (tstamp 7d899f64-c9dc-470c-be8b-856b17d92d98)) - (segment (start 154.57155 89.866) (end 152.6266 89.866) (width 0.2) (layer "B.Cu") (net 297) (tstamp a8db218a-ffb9-4a52-a811-f469b474d7d7)) - (segment (start 177.812274 55.966526) (end 168.907474 55.966526) (width 0.2) (layer "B.Cu") (net 297) (tstamp ea08c0cd-115b-42fe-a13d-692406e7431d)) - (segment (start 172.792104 81.722126) (end 171.542989 82.971241) (width 0.2) (layer "F.Cu") (net 298) (tstamp 7bc163cf-7764-4c6b-a750-1a992d458911)) - (segment (start 171.542989 82.971241) (end 172.792103 81.722126) (width 0.2) (layer "F.Cu") (net 298) (tstamp a740bf4c-78ed-439f-9b09-b78f64aa93ad)) - (segment (start 165.698229 88.816) (end 171.542989 82.971241) (width 0.2) (layer "F.Cu") (net 298) (tstamp b4b05749-5b3e-4010-9147-e2019b13efa9)) - (segment (start 177.812274 81.722126) (end 172.792104 81.722126) (width 0.2) (layer "F.Cu") (net 298) (tstamp c2453ea7-1277-4fff-9560-26a6a6886ddd)) - (segment (start 161.6456 88.816) (end 165.698229 88.816) (width 0.2) (layer "F.Cu") (net 298) (tstamp c3624ed9-3548-499e-b6d9-2645e98e47b7)) - (segment (start 154.2816 89.166) (end 154.6316 88.816) (width 0.2) (layer "F.Cu") (net 299) (tstamp b8fd0f0f-7e02-4176-9c5b-d274080db9f6)) - (segment (start 154.6316 88.816) (end 157.6956 88.816) (width 0.2) (layer "F.Cu") (net 299) (tstamp bb6a3c87-4f43-4f5c-a726-a32f61b5d122)) - (via (at 154.2816 89.166) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 299) (tstamp 20a7caff-c21e-4825-8f82-777cffe32760)) - (segment (start 177.812274 53.426526) (end 175.946445 53.426526) (width 0.2) (layer "B.Cu") (net 299) (tstamp 20e2a514-9ba6-4161-8c40-2ab87d96e0eb)) - (segment (start 158.8726 84.865894) (end 154.572494 89.166) (width 0.2) (layer "B.Cu") (net 299) (tstamp 6f02caf5-6453-4f81-873f-f4ba08557618)) - (segment (start 175.946445 53.426526) (end 173.806444 55.566526) (width 0.2) (layer "B.Cu") (net 299) (tstamp 7c66296f-bb68-4b5f-af54-684d7ce80109)) - (segment (start 154.572494 89.166) (end 154.2816 89.166) (width 0.2) (layer "B.Cu") (net 299) (tstamp 98a378f4-a1b2-45c2-a99d-d1085357b00a)) - (segment (start 168.741788 55.566526) (end 158.8726 65.435715) (width 0.2) (layer "B.Cu") (net 299) (tstamp acc80924-189e-4c26-adea-e14a84e8b4e5)) - (segment (start 158.8726 65.435715) (end 158.8726 84.865894) (width 0.2) (layer "B.Cu") (net 299) (tstamp b6e2629c-44e0-4441-9f39-5da8e7f80f37)) - (segment (start 173.806444 55.566526) (end 168.741788 55.566526) (width 0.2) (layer "B.Cu") (net 299) (tstamp f57ef0a7-b380-4a09-83ac-e9ba579e48a1)) - (segment (start 174.766417 79.182126) (end 174.308146 79.640398) (width 0.2) (layer "F.Cu") (net 300) (tstamp 45bdf0e1-ea02-4947-9d0b-aca38817ac8b)) - (segment (start 165.632543 88.316) (end 161.6456 88.316) (width 0.2) (layer "F.Cu") (net 300) (tstamp 72c5327b-73cd-4a3c-9714-501892fe875d)) - (segment (start 177.812274 79.182126) (end 174.766418 79.182126) (width 0.2) (layer "F.Cu") (net 300) (tstamp c40b742c-124d-47d8-9b2a-aa04a4fddd9b)) - (segment (start 174.766418 79.182126) (end 174.308146 79.640398) (width 0.2) (layer "F.Cu") (net 300) (tstamp c5b3e8fd-1c38-46ba-beff-e9654dcecd83)) - (segment (start 174.308146 79.640398) (end 165.632543 88.316) (width 0.2) (layer "F.Cu") (net 300) (tstamp fc466bac-ca40-43c5-9db8-b992ac63e5cc)) - (segment (start 153.3454 88.316) (end 157.6956 88.316) (width 0.2) (layer "F.Cu") (net 301) (tstamp ddaa2790-9cc9-416c-b24e-d66726ece3e4)) - (via (at 153.3454 88.316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 301) (tstamp 4c7c5726-aa8d-43f4-9ba0-ceab052802b9)) - (segment (start 177.812274 50.886526) (end 177.812274 50.995011) (width 0.2) (layer "B.Cu") (net 301) (tstamp 1fd769f5-874b-4956-a5c0-99e42d4da1a3)) - (segment (start 158.4726 65.270029) (end 158.4726 84.700208) (width 0.2) (layer "B.Cu") (net 301) (tstamp 314bfcb8-02e0-421d-aa8f-2cdd6237c94d)) - (segment (start 177.812274 50.995011) (end 173.640759 55.166526) (width 0.2) (layer "B.Cu") (net 301) (tstamp 558592f1-f352-4105-a9f0-638ca44bcc72)) - (segment (start 168.576102 55.166526) (end 158.4726 65.270029) (width 0.2) (layer "B.Cu") (net 301) (tstamp 7a869f8d-94be-406f-87d2-b15111e6a0be)) - (segment (start 158.4726 84.700208) (end 154.856808 88.316) (width 0.2) (layer "B.Cu") (net 301) (tstamp db7b2eff-0c4c-465a-8256-fcd78d779b09)) - (segment (start 154.856808 88.316) (end 153.3454 88.316) (width 0.2) (layer "B.Cu") (net 301) (tstamp f6bf6f09-793e-42ea-953d-dda7a09197cd)) - (segment (start 173.640759 55.166526) (end 168.576102 55.166526) (width 0.2) (layer "B.Cu") (net 301) (tstamp f909d07f-571e-4751-9594-c25fde874e0b)) - (segment (start 176.740731 76.642126) (end 166.066857 87.316) (width 0.2) (layer "F.Cu") (net 302) (tstamp 18c4fcf7-509c-4a83-9b23-412b63a410bc)) - (segment (start 166.066857 87.316) (end 161.6456 87.316) (width 0.2) (layer "F.Cu") (net 302) (tstamp 583185d5-bea1-4134-9feb-b9e2cfe4180e)) - (segment (start 177.812274 76.642126) (end 176.740731 76.642126) (width 0.2) (layer "F.Cu") (net 302) (tstamp 5fe19a4a-4b84-42a7-b310-79c283274016)) - (segment (start 151.2648 87.316) (end 151.1066 87.4742) (width 0.2) (layer "F.Cu") (net 303) (tstamp 0cec6026-176a-4f93-88e9-c9996e533457)) - (segment (start 157.6956 87.316) (end 151.2648 87.316) (width 0.2) (layer "F.Cu") (net 303) (tstamp 38961274-1fea-462b-8ce9-0ac4a694f10e)) - (via (at 151.1066 87.4742) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 303) (tstamp d04bd481-f35f-42e5-b4f5-c2f3d4fec7a5)) - (segment (start 157.485443 65.6915) (end 157.485443 85.121679) (width 0.2) (layer "B.Cu") (net 303) (tstamp 12816e45-480a-420a-a287-9d3f6b79f7f8)) - (segment (start 168.410416 54.766526) (end 157.485443 65.6915) (width 0.2) (layer "B.Cu") (net 303) (tstamp 1b78a54d-d391-4f7e-8a21-42f6d5bb7019)) - (segment (start 173.475074 54.766526) (end 168.410416 54.766526) (width 0.2) (layer "B.Cu") (net 303) (tstamp 2f424508-bce2-4767-a185-0deea7103f98)) - (segment (start 177.812274 48.346526) (end 176.415274 49.743526) (width 0.2) (layer "B.Cu") (net 303) (tstamp 645272ec-68a8-4926-941f-0e70abf08ee0)) - (segment (start 157.485443 85.121679) (end 155.132922 87.4742) (width 0.2) (layer "B.Cu") (net 303) (tstamp 7a9c165e-c721-4f91-bed9-b14813c1a08f)) - (segment (start 155.132922 87.4742) (end 151.1066 87.4742) (width 0.2) (layer "B.Cu") (net 303) (tstamp 8e5219d6-cb5f-417f-ba8a-c8061de5346f)) - (segment (start 176.415274 49.743526) (end 176.415274 51.826326) (width 0.2) (layer "B.Cu") (net 303) (tstamp aa4dbd3a-b916-4661-aac7-b41f9426c3a3)) - (segment (start 176.415274 51.826326) (end 173.475074 54.766526) (width 0.2) (layer "B.Cu") (net 303) (tstamp c121f725-3a53-45ab-8a0d-24861bae98b1)) - (segment (start 177.812274 74.102126) (end 177.812274 75.004898) (width 0.2) (layer "F.Cu") (net 304) (tstamp 1101d878-481c-40a7-92ed-613de4dfba9a)) - (segment (start 177.812274 75.004898) (end 166.001172 86.816) (width 0.2) (layer "F.Cu") (net 304) (tstamp 42b92dbd-858c-4128-bdbf-049bb1949c3b)) - (segment (start 166.001172 86.816) (end 161.6456 86.816) (width 0.2) (layer "F.Cu") (net 304) (tstamp 78f6b0b1-34b4-47d8-b4aa-8190af2790ab)) - (segment (start 151.7184 86.816) (end 151.3606 86.4582) (width 0.2) (layer "F.Cu") (net 305) (tstamp cd3a5514-3562-4800-866a-0ae08439a336)) - (segment (start 157.6956 86.816) (end 151.7184 86.816) (width 0.2) (layer "F.Cu") (net 305) (tstamp fed61ae3-3869-4cd0-b330-edb155749875)) - (via (at 151.3606 86.4582) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 305) (tstamp 2a7dd5b3-a566-48a6-a46e-24b9ce323245)) - (segment (start 155.518236 86.5232) (end 151.4256 86.5232) (width 0.2) (layer "B.Cu") (net 305) (tstamp 07cb79c2-9ba0-47a7-b1e0-1e15a7837145)) - (segment (start 176.015274 51.660639) (end 173.309387 54.366526) (width 0.2) (layer "B.Cu") (net 305) (tstamp 332a2b89-f3e4-4620-b178-ad6ed6f4f2b3)) - (segment (start 157.0756 65.535657) (end 157.0756 84.965836) (width 0.2) (layer "B.Cu") (net 305) (tstamp 675672f3-071d-46f6-9845-2b5d5f42f100)) - (segment (start 173.309387 54.366526) (end 168.24473 54.366526) (width 0.2) (layer "B.Cu") (net 305) (tstamp 7aea78d0-ed90-41e5-ae5d-bde2c7c84143)) - (segment (start 151.4256 86.5232) (end 151.3606 86.4582) (width 0.2) (layer "B.Cu") (net 305) (tstamp 884e55d5-e2cc-416a-9e3a-9dcd7c0be3e9)) - (segment (start 176.015274 47.603526) (end 176.015274 51.660639) (width 0.2) (layer "B.Cu") (net 305) (tstamp c7efe5dc-b69e-4662-9ea7-05cc9f0f575c)) - (segment (start 168.24473 54.366526) (end 157.0756 65.535657) (width 0.2) (layer "B.Cu") (net 305) (tstamp c9b04b91-e03e-44e0-b170-76b1231318bc)) - (segment (start 157.0756 84.965836) (end 155.518236 86.5232) (width 0.2) (layer "B.Cu") (net 305) (tstamp e7c345c6-3cac-4f6c-bd47-414c9c3eff0c)) - (segment (start 177.812274 45.806526) (end 176.015274 47.603526) (width 0.2) (layer "B.Cu") (net 305) (tstamp ea145013-a47e-442f-a492-201cc9eddf42)) - (segment (start 166.435486 85.816) (end 161.6456 85.816) (width 0.2) (layer "F.Cu") (net 306) (tstamp 875bd48d-090c-4dad-8806-8d903958793b)) - (segment (start 173.444474 78.807012) (end 166.435486 85.816) (width 0.2) (layer "F.Cu") (net 306) (tstamp a066e9f5-b4a9-4b44-bcbc-959de02af899)) - (segment (start 177.812274 71.562126) (end 173.444474 75.929926) (width 0.2) (layer "F.Cu") (net 306) (tstamp b76126dc-6ffb-46d6-9239-f0564f4ede89)) - (segment (start 173.444474 75.929926) (end 173.444474 78.807012) (width 0.2) (layer "F.Cu") (net 306) (tstamp db4f6c17-67f3-46b6-9ff8-4388dffe17fc)) - (segment (start 157.6956 85.816) (end 153.6538 85.816) (width 0.2) (layer "F.Cu") (net 307) (tstamp 23975b2e-bada-4f62-80d9-85458016205f)) - (segment (start 153.6466 85.8232) (end 153.6394 85.816) (width 0.2) (layer "F.Cu") (net 307) (tstamp 89a14caf-2a48-42bd-a89b-11901f48ee3c)) - (segment (start 153.6538 85.816) (end 153.6466 85.8232) (width 0.2) (layer "F.Cu") (net 307) (tstamp d20a704f-35a9-4162-8410-f42a2be6cc6a)) - (via (at 153.6466 85.8232) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 307) (tstamp b8dcac16-eaed-492a-a792-47e1eb6096ec)) - (segment (start 168.079044 53.966526) (end 156.2516 65.793971) (width 0.2) (layer "B.Cu") (net 307) (tstamp 29329c47-6a51-46b5-a18f-e121454eb6b6)) - (segment (start 156.2516 65.793971) (end 156.2516 85.22415) (width 0.2) (layer "B.Cu") (net 307) (tstamp 2e11d78e-503d-42e3-bf46-c3425bdb333e)) - (segment (start 173.097516 53.966526) (end 172.605274 53.966526) (width 0.2) (layer "B.Cu") (net 307) (tstamp 46f76b10-6999-444e-b00a-ed538195b7e8)) - (segment (start 175.615274 51.448768) (end 173.097516 53.966526) (width 0.2) (layer "B.Cu") (net 307) (tstamp 58ac2601-0a90-4c5e-9cb3-1e5996bc7c81)) - (segment (start 177.812274 43.266526) (end 175.615274 45.463526) (width 0.2) (layer "B.Cu") (net 307) (tstamp 59cee35a-66de-4ba9-806a-b440d56ce267)) - (segment (start 156.2516 85.22415) (end 155.65255 85.8232) (width 0.2) (layer "B.Cu") (net 307) (tstamp 66c5e603-7094-4cf6-8aa9-5891456aa2e1)) - (segment (start 155.65255 85.8232) (end 153.6466 85.8232) (width 0.2) (layer "B.Cu") (net 307) (tstamp 8df44765-1c3c-49b8-aa33-25f2f34ed944)) - (segment (start 172.605274 53.966526) (end 168.079044 53.966526) (width 0.2) (layer "B.Cu") (net 307) (tstamp a1c5f7cb-d908-4b8d-b87b-d95b225f2cd8)) - (segment (start 175.615274 45.463526) (end 175.615274 51.448768) (width 0.2) (layer "B.Cu") (net 307) (tstamp f04a4c1c-4a26-4501-9ee1-f39d8aaa022e)) - (segment (start 166.3698 85.316) (end 161.6456 85.316) (width 0.2) (layer "F.Cu") (net 308) (tstamp 54062720-2182-4625-92d6-71ee935ec94f)) - (segment (start 173.044474 73.789926) (end 173.044474 78.641326) (width 0.2) (layer "F.Cu") (net 308) (tstamp 72d6f3c6-94c0-4e46-b3de-a6b1eabc7388)) - (segment (start 177.812274 69.022126) (end 173.044474 73.789926) (width 0.2) (layer "F.Cu") (net 308) (tstamp 8f42526f-8cdb-4f07-b922-2dce7ecec962)) - (segment (start 173.044474 78.641326) (end 166.3698 85.316) (width 0.2) (layer "F.Cu") (net 308) (tstamp fbfa3ae8-45cf-4a7a-846b-d28e228c1312)) - (segment (start 155.9334 85.316) (end 157.6956 85.316) (width 0.2) (layer "F.Cu") (net 309) (tstamp 7b54c5ac-f6a7-4d2e-abf8-21560917a93d)) - (segment (start 155.5516 84.9342) (end 155.9334 85.316) (width 0.2) (layer "F.Cu") (net 309) (tstamp b501529c-39cc-42c7-88c0-713452a0fbb7)) - (via (at 155.5516 84.9342) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 309) (tstamp f40478fe-fbed-41ae-839c-66b8ac58c290)) - (segment (start 175.215274 51.283082) (end 172.93183 53.566526) (width 0.2) (layer "B.Cu") (net 309) (tstamp 50e78efa-8af2-42d5-93c6-c31913117180)) - (segment (start 177.812274 40.726526) (end 175.215274 43.323526) (width 0.2) (layer "B.Cu") (net 309) (tstamp 96612ef9-3064-4ced-840a-8cc1adc47796)) - (segment (start 155.5516 65.928285) (end 155.5516 84.9342) (width 0.2) (layer "B.Cu") (net 309) (tstamp 9e9c1fb3-e3e2-4a0b-a414-af592d287934)) - (segment (start 175.215274 43.323526) (end 175.215274 51.283082) (width 0.2) (layer "B.Cu") (net 309) (tstamp a354c3c2-8c1c-46ea-9d06-ba7d7ff57fcd)) - (segment (start 167.913358 53.566526) (end 155.5516 65.928285) (width 0.2) (layer "B.Cu") (net 309) (tstamp c092a828-6a7e-4afc-a6c8-5c1dc237076e)) - (segment (start 172.93183 53.566526) (end 167.913358 53.566526) (width 0.2) (layer "B.Cu") (net 309) (tstamp ee2d95c7-01b9-4a55-97d6-33e5ef9e9dca)) - (segment (start 213.885274 71.211526) (end 213.108874 70.435126) (width 0.2) (layer "F.Cu") (net 312) (tstamp 6ba0e1a3-a3c2-4901-bca0-6798c60e7eb8)) - (segment (start 213.108874 70.435126) (end 213.108874 69.386726) (width 0.2) (layer "F.Cu") (net 312) (tstamp 8d899e6c-d9c5-444f-9ef0-3b0553ce3c74)) - (segment (start 216.547274 71.211526) (end 213.885274 71.211526) (width 0.2) (layer "F.Cu") (net 312) (tstamp e7119488-2755-49aa-8e08-de36d1826b0a)) - (segment (start 192.028426 71.592526) (end 191.2106 70.7747) (width 0.2) (layer "F.Cu") (net 313) (tstamp 21ea4d4f-2b57-407a-b891-48625e687353)) - (segment (start 195.465274 71.592526) (end 192.028426 71.592526) (width 0.2) (layer "F.Cu") (net 313) (tstamp b6343965-5c33-44e5-9b84-586d200625dc)) - (segment (start 191.2106 70.7747) (end 191.2106 69.8372) (width 0.2) (layer "F.Cu") (net 313) (tstamp da985efe-c28c-4cae-aec3-2cc3e787632c)) - (segment (start 155.092674 146.111126) (end 161.100274 146.111126) (width 0.2) (layer "F.Cu") (net 314) (tstamp 0c2d186f-317f-498f-9f12-e2d953e3414b)) - (segment (start 161.100274 146.111126) (end 161.119074 146.092326) (width 0.2) (layer "F.Cu") (net 314) (tstamp d90e8e87-e320-4ec7-9cb2-a539d8cbb0c1)) - (segment (start 155.095074 148.648726) (end 155.092674 148.651126) (width 0.2) (layer "F.Cu") (net 315) (tstamp 11eddab3-a049-4265-bcb2-55e6472c888d)) - (segment (start 161.119074 148.648726) (end 155.095074 148.648726) (width 0.2) (layer "F.Cu") (net 315) (tstamp 418208c1-d533-4542-a5ee-56b175c87d5f)) - (segment (start 161.080274 151.191126) (end 161.119074 151.152326) (width 0.2) (layer "F.Cu") (net 316) (tstamp 587844af-8983-4065-b51d-8c282d3b3aa4)) - (segment (start 155.092674 151.191126) (end 161.080274 151.191126) (width 0.2) (layer "F.Cu") (net 316) (tstamp de1fd668-c0a4-4b89-b46c-8dbf0d76d077)) - (segment (start 161.119074 153.728726) (end 155.095074 153.728726) (width 0.2) (layer "F.Cu") (net 317) (tstamp 4ef098b9-ff4e-4edd-98e2-96fc707ccfe6)) - (segment (start 155.095074 153.728726) (end 155.092674 153.731126) (width 0.2) (layer "F.Cu") (net 317) (tstamp 51f79670-73f8-4be1-b5fa-13436ca08335)) - (segment (start 114.286474 136.014326) (end 114.298874 136.001926) (width 0.2) (layer "F.Cu") (net 318) (tstamp c6f7371f-0b28-4af4-bb97-e4b0af48612a)) - (segment (start 108.292874 136.014326) (end 114.286474 136.014326) (width 0.2) (layer "F.Cu") (net 318) (tstamp d6672fad-6d92-4c7f-9ae0-f79072562659)) - (segment (start 114.298874 138.587326) (end 108.336674 138.587326) (width 0.2) (layer "F.Cu") (net 319) (tstamp 1c909233-1973-4b1a-9abc-44223b46d3b2)) - (segment (start 108.336674 138.587326) (end 108.331274 138.592726) (width 0.2) (layer "F.Cu") (net 319) (tstamp 1def14cf-09cc-4509-9bf2-32536539164a)) - (segment (start 108.343274 141.132726) (end 114.298874 141.132726) (width 0.2) (layer "F.Cu") (net 320) (tstamp ce184490-26cb-4950-a770-ae3c84dbe62c)) - (segment (start 108.331274 143.672726) (end 114.249789 143.672726) (width 0.2) (layer "F.Cu") (net 321) (tstamp 5d2d7ab2-ddfd-4eb9-9ceb-b91f4a1b8b24)) - (segment (start 114.249789 143.672726) (end 114.298874 143.721811) (width 0.2) (layer "F.Cu") (net 321) (tstamp 9308b2b1-3b7a-4e20-90dd-4a085bbc4037)) - (segment (start 114.275274 146.217726) (end 114.298874 146.241326) (width 0.2) (layer "F.Cu") (net 322) (tstamp 7e2c76c4-3707-4742-8583-7690cb1e7917)) - (segment (start 108.363674 146.217726) (end 114.275274 146.217726) (width 0.2) (layer "F.Cu") (net 322) (tstamp a58aaccd-486b-424b-85ba-770c7ded4ab3)) - (segment (start 114.298874 148.752726) (end 108.343274 148.752726) (width 0.2) (layer "F.Cu") (net 323) (tstamp 2865725d-1bf8-43b4-bf1e-553a4adab06c)) - (segment (start 108.319274 151.292726) (end 114.284874 151.292726) (width 0.2) (layer "F.Cu") (net 324) (tstamp 3d358d8d-8816-4f93-9efb-bb299ff85120)) - (segment (start 114.284874 151.292726) (end 114.349674 151.227926) (width 0.2) (layer "F.Cu") (net 324) (tstamp 7c104a06-f0e7-4326-ac3c-725fc486bacc)) - (segment (start 114.248074 153.883526) (end 114.298874 153.832726) (width 0.2) (layer "F.Cu") (net 325) (tstamp 1b141dfb-70a7-41eb-b416-7aa3bcdd4611)) - (segment (start 108.280474 153.883526) (end 114.248074 153.883526) (width 0.2) (layer "F.Cu") (net 325) (tstamp 46e6b185-c1a6-4a74-ad84-708791067089)) - (segment (start 88.380274 136.052726) (end 94.500274 136.052726) (width 0.2) (layer "F.Cu") (net 326) (tstamp 052b46d7-b49b-4d43-822a-dadd8c7a69fb)) - (segment (start 94.461474 138.592726) (end 88.343474 138.592726) (width 0.2) (layer "F.Cu") (net 327) (tstamp 74c372c1-f485-4d12-b0ac-17b33b679f0a)) - (segment (start 88.343474 138.592726) (end 88.327474 138.608726) (width 0.2) (layer "F.Cu") (net 327) (tstamp 937ea2a9-6407-4b16-818f-40057d5c4faf)) - (segment (start 94.390674 141.152726) (end 94.410674 141.132726) (width 0.2) (layer "F.Cu") (net 328) (tstamp 4540474e-40a5-4a5b-a72f-60674048f979)) - (segment (start 88.290674 141.152726) (end 94.390674 141.152726) (width 0.2) (layer "F.Cu") (net 328) (tstamp 8ef1dfb0-94bd-4cf7-8a63-91f27699bb78)) - (segment (start 213.727874 67.904526) (end 213.727874 69.355726) (width 0.2) (layer "F.Cu") (net 329) (tstamp 18dd2aaf-4825-4863-b0aa-b48e57e2edd4)) - (segment (start 213.727874 69.355726) (end 213.758874 69.386726) (width 0.2) (layer "F.Cu") (net 329) (tstamp 6eae9d72-d36c-4015-acbc-278d9ff48a7e)) - (via (at 213.727874 67.904526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 329) (tstamp b382afaa-b086-42b9-a26b-0516a3d350f0)) - (segment (start 176.872474 77.937526) (end 193.960274 77.937526) (width 0.2) (layer "In1.Cu") (net 329) (tstamp 8a25af3d-f1b4-48b3-8188-ec91f443fa61)) - (segment (start 199.798074 68.905726) (end 212.726674 68.905726) (width 0.2) (layer "In1.Cu") (net 329) (tstamp b86e7bb7-22b3-4b21-91bf-e7fecd977071)) - (segment (start 193.960274 77.937526) (end 197.243274 74.654526) (width 0.2) (layer "In1.Cu") (net 329) (tstamp c7163588-894e-4cf4-9678-ee86d5b7a8b5)) - (segment (start 171.919474 72.984526) (end 176.872474 77.937526) (width 0.2) (layer "In1.Cu") (net 329) (tstamp c93c43a6-67bc-48c5-8ff9-9601191540e6)) - (segment (start 197.243274 71.460526) (end 199.798074 68.905726) (width 0.2) (layer "In1.Cu") (net 329) (tstamp d550435e-f712-471f-bbda-875bf63625bd)) - (segment (start 212.726674 68.905726) (end 213.727874 67.904526) (width 0.2) (layer "In1.Cu") (net 329) (tstamp e0bc84be-4fd1-4134-9208-610015048cc1)) - (segment (start 197.243274 74.654526) (end 197.243274 71.460526) (width 0.2) (layer "In1.Cu") (net 329) (tstamp e207be2f-fc9d-4759-9cae-c3c154e8a5e8)) - (segment (start 213.108874 76.683526) (end 213.108874 75.262726) (width 0.2) (layer "F.Cu") (net 330) (tstamp 2d83bd82-77a7-4339-bbed-f3d3089a4b63)) - (segment (start 213.118274 76.692926) (end 213.108874 76.683526) (width 0.2) (layer "F.Cu") (net 330) (tstamp 8121dd31-7241-4232-a5d3-1c97957c643f)) - (via (at 213.118274 76.692926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 330) (tstamp 141c876d-a451-4d5a-901c-171a824d87b3)) - (segment (start 211.726524 80.293826) (end 215.037474 76.982876) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 0d257e25-0e7c-4f48-ae8c-b9b61dbd2d51)) - (segment (start 186.999674 82.847126) (end 189.139674 80.707126) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 2bc58cb5-42ee-4aa6-b70f-9d1a4a20502b)) - (segment (start 176.702074 82.847126) (end 186.999674 82.847126) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 5cac6654-f738-4627-9712-f77dd7d4a8cc)) - (segment (start 171.919474 78.064526) (end 176.702074 82.847126) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 61d1ebbb-3b2a-4a54-8a64-c4be7f66af2f)) - (segment (start 214.540024 75.905526) (end 213.905674 75.905526) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 61e433a9-084a-4aa6-b9ba-038d04c9d010)) - (segment (start 196.482324 80.293826) (end 211.726524 80.293826) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 7c7c9a68-7f8a-4d2a-9ea0-8d85e47535c9)) - (segment (start 215.037474 76.982876) (end 215.037474 76.515776) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 8fdda96f-02c4-475a-abb1-e99e4e97fd26)) - (segment (start 213.905674 75.905526) (end 213.118274 76.692926) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 9bf22c3b-be4a-4599-b5c8-cc5eebbd5565)) - (segment (start 196.069024 80.707126) (end 196.482324 80.293826) (width 0.2) (layer "In1.Cu") (net 330) (tstamp a5b8b038-1907-494b-ae71-25958142f1f8)) - (segment (start 215.150274 76.515776) (end 214.540024 75.905526) (width 0.2) (layer "In1.Cu") (net 330) (tstamp c6fbebb0-d7b3-45fa-a730-6471b844efdd)) - (segment (start 215.037474 76.515776) (end 215.150274 76.515776) (width 0.2) (layer "In1.Cu") (net 330) (tstamp eb00f7c4-ac2b-449f-a2b2-124b42a933be)) - (segment (start 189.139674 80.707126) (end 196.069024 80.707126) (width 0.2) (layer "In1.Cu") (net 330) (tstamp ed817175-0d5a-4a5f-b8a5-4673d63a020f)) - (segment (start 214.337474 76.692926) (end 213.758874 76.114326) (width 0.2) (layer "F.Cu") (net 331) (tstamp 49d2ad4f-85ec-4925-9cf3-b071d4e192de)) - (segment (start 213.758874 76.114326) (end 213.758874 75.262726) (width 0.2) (layer "F.Cu") (net 331) (tstamp 5dc52486-e274-4ff0-9b5d-608fe3fe8640)) - (via (at 214.337474 76.692926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 331) (tstamp 9f506c6f-d233-436f-9321-78ecc3e80897)) - (segment (start 194.489124 80.307126) (end 196.985724 77.810526) (width 0.2) (layer "In1.Cu") (net 331) (tstamp 3ff49969-7162-4d09-bf2a-c87aa3bfb23a)) - (segment (start 196.985724 77.810526) (end 213.219874 77.810526) (width 0.2) (layer "In1.Cu") (net 331) (tstamp 70054574-038e-49f3-892b-176ef4607ce0)) - (segment (start 171.919474 75.524526) (end 171.919474 75.591469) (width 0.2) (layer "In1.Cu") (net 331) (tstamp a89def56-5a75-4160-b7b7-6f025bd34318)) - (segment (start 171.919474 75.591469) (end 176.635131 80.307126) (width 0.2) (layer "In1.Cu") (net 331) (tstamp dfeed776-ed4b-4f78-bacc-753f5b449c98)) - (segment (start 176.635131 80.307126) (end 194.489124 80.307126) (width 0.2) (layer "In1.Cu") (net 331) (tstamp f7ec6507-056b-4459-b26a-ed55a4235622)) - (segment (start 213.219874 77.810526) (end 214.337474 76.692926) (width 0.2) (layer "In1.Cu") (net 331) (tstamp fa8ea147-f988-4b59-8219-35a6bec37053)) - (segment (start 193.016 46.9872) (end 193.042 46.9612) (width 0.2) (layer "F.Cu") (net 332) (tstamp 58a78837-69a0-456c-9d97-6ccfbb6998e9)) - (segment (start 191.8626 46.9872) (end 193.016 46.9872) (width 0.2) (layer "F.Cu") (net 332) (tstamp ac916c78-2892-4ee1-97ad-14d8786a4b4a)) - (via (at 193.4484 46.9612) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 332) (tstamp 9f70b6ce-69e1-4ca7-8660-7807b534a3c0)) - (segment (start 171.919474 57.287326) (end 177.050274 52.156526) (width 0.2) (layer "In1.Cu") (net 332) (tstamp 4d83a66b-76c5-486f-a8f3-2bcf41ef3e87)) - (segment (start 177.050274 52.156526) (end 188.253074 52.156526) (width 0.2) (layer "In1.Cu") (net 332) (tstamp 659d3622-3257-418e-a758-a28bffd2a62c)) - (segment (start 171.919474 57.744526) (end 171.919474 57.287326) (width 0.2) (layer "In1.Cu") (net 332) (tstamp 66b6e575-7c7c-4d98-a9c5-0175e84c59c0)) - (segment (start 188.253074 52.156526) (end 193.4484 46.9612) (width 0.2) (layer "In1.Cu") (net 332) (tstamp bf63720b-66d1-46bb-8ac2-d940fcbe8310)) - (segment (start 193.433274 51.648526) (end 193.063948 51.2792) (width 0.2) (layer "F.Cu") (net 333) (tstamp 2e96c3e8-ce3f-4f5c-9598-3d98dcbe381e)) - (segment (start 191.8591 51.2792) (end 191.2126 51.9257) (width 0.2) (layer "F.Cu") (net 333) (tstamp db991141-7427-46f5-b368-bef4864c9879)) - (segment (start 193.063948 51.2792) (end 191.8591 51.2792) (width 0.2) (layer "F.Cu") (net 333) (tstamp e6b5f496-1135-4590-8114-f2c50906051d)) - (segment (start 191.2126 51.9257) (end 191.2126 52.8632) (width 0.2) (layer "F.Cu") (net 333) (tstamp efddfaf4-159f-44cc-9ccd-ea93d461bd7f)) - (via (at 193.433274 51.648526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 333) (tstamp 4ed6c2fc-f652-4c3f-a660-8ed5f1a223df)) - (segment (start 174.972126 59.771874) (end 187.595926 59.771874) (width 0.2) (layer "In1.Cu") (net 333) (tstamp 29324c2c-7c29-499f-9e87-e1bac8c8d457)) - (segment (start 187.595926 59.771874) (end 194.1484 53.2194) (width 0.2) (layer "In1.Cu") (net 333) (tstamp 57985ff4-37d0-4323-8efd-96aec984bec9)) - (segment (start 194.1484 53.2194) (end 194.1484 52.363652) (width 0.2) (layer "In1.Cu") (net 333) (tstamp 5b140c98-5f75-4177-9a49-cdaaf7b563ad)) - (segment (start 171.919474 62.824526) (end 174.972126 59.771874) (width 0.2) (layer "In1.Cu") (net 333) (tstamp 7efcc228-434b-492a-b4bb-d78c10267f6b)) - (segment (start 194.1484 52.363652) (end 193.433274 51.648526) (width 0.2) (layer "In1.Cu") (net 333) (tstamp 8b0c97f4-ad12-4a5a-84fb-b3a75d2e446c)) - (segment (start 193.042 52.854) (end 193.0328 52.8632) (width 0.2) (layer "F.Cu") (net 334) (tstamp b28b5cc1-f706-4aa1-80d6-80f8f51c9222)) - (segment (start 193.0328 52.8632) (end 191.8626 52.8632) (width 0.2) (layer "F.Cu") (net 334) (tstamp f3a6c60b-e164-4b98-869b-1795292271c7)) - (via (at 193.4484 52.854) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 334) (tstamp 2a7ac047-b64c-406f-9700-5d8b721e0b1f)) - (segment (start 189.065874 57.236526) (end 193.4484 52.854) (width 0.2) (layer "In1.Cu") (net 334) (tstamp 0d8060c1-0f95-4321-8858-68a7c9dd60ec)) - (segment (start 174.967474 57.236526) (end 189.065874 57.236526) (width 0.2) (layer "In1.Cu") (net 334) (tstamp 4162b72c-0c03-4af6-aa0e-8513417260f2)) - (segment (start 171.919474 60.284526) (end 174.967474 57.236526) (width 0.2) (layer "In1.Cu") (net 334) (tstamp 5a7a5e0e-6037-440a-a7a1-48ac42cb40bd)) - (segment (start 195.022 67.0692) (end 192.254 69.8372) (width 0.2) (layer "F.Cu") (net 335) (tstamp ab41a7e4-fbe5-42b5-b87b-dcc17411bd32)) - (segment (start 192.254 69.8372) (end 191.8606 69.8372) (width 0.2) (layer "F.Cu") (net 335) (tstamp b9abcc53-5d0a-467c-8add-8322dd29efee)) - (via (at 195.022 67.0692) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 335) (tstamp f5b17593-00be-49cb-9cfa-c0ea7ed953be)) - (segment (start 194.313674 67.777526) (end 195.022 67.0692) (width 0.2) (layer "In1.Cu") (net 335) (tstamp 10996e4d-6645-40f6-b936-8ae3d08eff66)) - (segment (start 171.919474 65.364526) (end 174.332474 67.777526) (width 0.2) (layer "In1.Cu") (net 335) (tstamp 9733a1b4-968e-4a07-b169-d0ce6dc1cf36)) - (segment (start 174.332474 67.777526) (end 194.313674 67.777526) (width 0.2) (layer "In1.Cu") (net 335) (tstamp f48bed82-2c7c-4f6a-91c4-e9e0fcb6fb12)) - (segment (start 193.4472 74.994) (end 193.1289 74.6757) (width 0.2) (layer "F.Cu") (net 336) (tstamp a3c0039f-0547-47b3-9a04-d0661d2f1dc9)) - (segment (start 193.1289 74.6757) (end 191.3356 74.6757) (width 0.2) (layer "F.Cu") (net 336) (tstamp e01e2110-a5c0-4ffd-97b3-5c4007b7ce35)) - (segment (start 191.3356 74.6757) (end 191.2106 74.8007) (width 0.2) (layer "F.Cu") (net 336) (tstamp ed172648-baf4-431e-bc36-50c94d967179)) - (segment (start 191.2106 74.8007) (end 191.2106 75.7132) (width 0.2) (layer "F.Cu") (net 336) (tstamp f7cea624-684e-4f2d-b544-a5a9e40991f2)) - (via (at 193.4472 74.994) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 336) (tstamp 295b8fd5-f526-42fe-b685-106cea727b7e)) - (segment (start 193.594 76.7608) (end 193.944 76.4108) (width 0.2) (layer "In1.Cu") (net 336) (tstamp 523388f2-d9c1-4b7b-bb7a-556d3b15abae)) - (segment (start 171.919474 70.444526) (end 176.872474 75.397526) (width 0.2) (layer "In1.Cu") (net 336) (tstamp 6f48fad9-9eed-416d-9b6f-acafe538a359)) - (segment (start 192.95405 76.7608) (end 193.594 76.7608) (width 0.2) (layer "In1.Cu") (net 336) (tstamp ceeaff4d-8876-42a5-929b-c7e7f3adb84f)) - (segment (start 193.944 76.4108) (end 193.944 75.4908) (width 0.2) (layer "In1.Cu") (net 336) (tstamp d175a48d-96ca-4d0d-823e-3ab8cfaca0e1)) - (segment (start 191.590776 75.397526) (end 192.95405 76.7608) (width 0.2) (layer "In1.Cu") (net 336) (tstamp d2db32aa-bedd-49bb-94f8-2f85b80d7ebd)) - (segment (start 193.944 75.4908) (end 193.4472 74.994) (width 0.2) (layer "In1.Cu") (net 336) (tstamp d7f6fb04-af13-44a4-86ca-1c3dbcd57e60)) - (segment (start 176.872474 75.397526) (end 191.590776 75.397526) (width 0.2) (layer "In1.Cu") (net 336) (tstamp f4681899-47b4-44a0-80ba-2a2fef9fb967)) - (segment (start 193.244 76.0608) (end 192.8964 75.7132) (width 0.2) (layer "F.Cu") (net 337) (tstamp 5c8e464e-c592-4a3c-9b5b-7d9c17ce0baf)) - (segment (start 192.8964 75.7132) (end 191.8606 75.7132) (width 0.2) (layer "F.Cu") (net 337) (tstamp 77b2af48-a415-47d6-94fe-93f78663e799)) - (via (at 193.244 76.0608) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 337) (tstamp f730aa35-20ab-4596-bf67-8da61b5ab653)) - (segment (start 176.872474 72.857526) (end 190.040726 72.857526) (width 0.2) (layer "In1.Cu") (net 337) (tstamp 438f191c-0809-46ee-a0e6-935697813fa5)) - (segment (start 171.919474 67.904526) (end 176.872474 72.857526) (width 0.2) (layer "In1.Cu") (net 337) (tstamp 55b0a2e5-00bc-4ab9-bdbe-5a62ea97aa63)) - (segment (start 193.244 76.0608) (end 193.0508 76.0608) (width 0.2) (layer "In1.Cu") (net 337) (tstamp 9de50aa3-7b6c-440c-8437-97f9381c7365)) - (segment (start 190.040726 72.857526) (end 193.244 76.0608) (width 0.2) (layer "In1.Cu") (net 337) (tstamp f7c6cc2f-ef94-4236-92e4-eecc4e6c03d5)) - (segment (start 192.020426 48.732526) (end 191.2126 47.9247) (width 0.2) (layer "F.Cu") (net 338) (tstamp 1737166a-e4a9-41e8-96da-ca6e7c99294b)) - (segment (start 196.100274 48.732526) (end 192.020426 48.732526) (width 0.2) (layer "F.Cu") (net 338) (tstamp 5519a042-3d61-4f30-aeea-e3bdeea95771)) - (segment (start 191.2126 47.9247) (end 191.2126 46.9872) (width 0.2) (layer "F.Cu") (net 338) (tstamp 86ef76fb-b85e-4167-a75e-564dbc49ee9a)) - (segment (start 92.664378 73.030223) (end 86.592558 73.030223) (width 0.2) (layer "F.Cu") (net 339) (tstamp 62f5160b-332d-4c4c-8de9-d72caf15f744)) - (segment (start 86.592558 73.030223) (end 86.576558 73.014223) (width 0.2) (layer "F.Cu") (net 339) (tstamp 87059a92-41ce-4d3f-8e09-5bf97db8b807)) - (segment (start 75.58979 77.144126) (end 69.863045 77.144126) (width 0.2) (layer "F.Cu") (net 340) (tstamp 7b41d2b5-ca25-4429-a03d-dba929cfa06d)) - (segment (start 69.863045 77.144126) (end 69.8486 77.158571) (width 0.2) (layer "F.Cu") (net 340) (tstamp d69241ca-665e-4c9b-b10d-3f8df84d806c)) - (segment (start 69.876889 88.101526) (end 69.862444 88.115971) (width 0.2) (layer "F.Cu") (net 341) (tstamp b1cfbeb3-1fb8-43a3-a7f8-8fa9773ba073)) - (segment (start 75.683634 88.101526) (end 69.876889 88.101526) (width 0.2) (layer "F.Cu") (net 341) (tstamp c4df3455-c653-4f9a-9062-f812fa631659)) - (segment (start 70.013634 90.971526) (end 75.483634 90.971526) (width 0.2) (layer "F.Cu") (net 342) (tstamp 841487aa-e6ec-4a25-b98a-937cd3c0822c)) - (segment (start 75.483634 90.971526) (end 75.513634 91.001526) (width 0.2) (layer "F.Cu") (net 342) (tstamp fcf1ddce-0937-4791-9311-22aee5a19d41)) - (segment (start 92.569907 81.581087) (end 92.601513 81.549481) (width 0.2) (layer "F.Cu") (net 343) (tstamp 9a2c7bbb-6087-4bda-919e-0efa15dab21f)) - (segment (start 86.602802 81.581087) (end 92.569907 81.581087) (width 0.2) (layer "F.Cu") (net 343) (tstamp aa76f074-13fb-477f-b6fc-5856defc5221)) - (segment (start 75.513634 93.431526) (end 70.033634 93.431526) (width 0.2) (layer "F.Cu") (net 344) (tstamp 31d18fc8-8ad8-40a9-bc3e-e6f2f93de6b6)) - (segment (start 75.56979 82.224126) (end 69.851045 82.224126) (width 0.2) (layer "F.Cu") (net 345) (tstamp 05b60c0d-151d-4a8c-bb09-5ecd96f6b0ce)) - (segment (start 69.851045 82.224126) (end 69.8366 82.238571) (width 0.2) (layer "F.Cu") (net 345) (tstamp 80085091-5d7b-4f89-bc6b-a2125f95b15e)) - (segment (start 86.616558 70.344223) (end 92.630378 70.344223) (width 0.2) (layer "F.Cu") (net 346) (tstamp 3eadd0a1-8d64-4d44-8517-1b2c72c904c5)) - (segment (start 92.630378 70.344223) (end 92.664378 70.378223) (width 0.2) (layer "F.Cu") (net 346) (tstamp bfe6909f-ada6-48fd-bae0-cd725d5f7a48)) - (segment (start 69.8486 79.698571) (end 75.534235 79.698571) (width 0.2) (layer "F.Cu") (net 347) (tstamp 72d9981c-3545-4c81-8355-07a105c69aa4)) - (segment (start 75.534235 79.698571) (end 75.56979 79.734126) (width 0.2) (layer "F.Cu") (net 347) (tstamp fb9aca96-b827-4649-b293-9a592d603f5c)) - (segment (start 94.410674 143.672726) (end 88.329912 143.672726) (width 0.2) (layer "F.Cu") (net 348) (tstamp 8ff80dd4-2683-4be7-9b24-4ddcabc22de0)) - (segment (start 88.329912 143.672726) (end 88.311074 143.653888) (width 0.2) (layer "F.Cu") (net 348) (tstamp df537740-bf2c-41e1-8ea8-6e2a596f3f3e)) - (segment (start 94.387074 146.189126) (end 94.410674 146.212726) (width 0.2) (layer "F.Cu") (net 349) (tstamp 6334d877-8b4d-484f-bcba-8e25de3af061)) - (segment (start 88.302674 146.189126) (end 94.387074 146.189126) (width 0.2) (layer "F.Cu") (net 349) (tstamp 84af1d54-580b-43e4-ade0-90644e2e9245)) - (segment (start 88.317859 148.747726) (end 88.275451 148.705318) (width 0.2) (layer "F.Cu") (net 350) (tstamp 3a800588-2721-461a-a7c3-a21b59a27e76)) - (segment (start 94.429074 148.747726) (end 88.317859 148.747726) (width 0.2) (layer "F.Cu") (net 350) (tstamp 927ddb36-f2e5-48b3-834e-19fb62def09f)) - (segment (start 140.892674 138.491126) (end 134.645674 138.491126) (width 0.2) (layer "F.Cu") (net 351) (tstamp 75ebe6e8-43c5-487b-9788-ad65b6f42a1e)) - (segment (start 134.645674 141.031126) (end 140.892674 141.031126) (width 0.2) (layer "F.Cu") (net 352) (tstamp 91bd4ab0-82fb-415d-aaa2-d643c8f7d5fc)) - (segment (start 140.892674 143.571126) (end 134.645674 143.571126) (width 0.2) (layer "F.Cu") (net 353) (tstamp 7444fa9b-d42e-4d6f-8527-7ef7b9c4b4a9)) - (segment (start 88.309999 151.268647) (end 94.409995 151.268647) (width 0.2) (layer "F.Cu") (net 354) (tstamp 55a069a2-1fab-475d-a764-e2762ef61ed9)) - (segment (start 94.409995 151.268647) (end 94.429074 151.287726) (width 0.2) (layer "F.Cu") (net 354) (tstamp 5ba501af-0919-4625-83eb-c9350e7215ba)) - (segment (start 94.410674 153.815526) (end 88.363074 153.815526) (width 0.2) (layer "F.Cu") (net 355) (tstamp 07f5f55a-8340-4e48-803a-82d3b807cf95)) - (segment (start 88.363074 153.815526) (end 88.329474 153.781926) (width 0.2) (layer "F.Cu") (net 355) (tstamp 8cd55a1a-b4e1-4ac3-80cc-39b01da19961)) - (segment (start 69.8606 74.618571) (end 75.585345 74.618571) (width 0.2) (layer "F.Cu") (net 356) (tstamp 0cdcd328-7713-4b47-9998-121e66d297a4)) - (segment (start 75.585345 74.618571) (end 75.58979 74.614126) (width 0.2) (layer "F.Cu") (net 356) (tstamp e99bf452-a956-4a70-998a-b9d20afced24)) - (segment (start 75.64839 69.555526) (end 75.64979 69.554126) (width 0.2) (layer "F.Cu") (net 357) (tstamp ce1299d8-92ef-4267-8389-0dad8daf3eea)) - (segment (start 69.850274 69.555526) (end 75.64839 69.555526) (width 0.2) (layer "F.Cu") (net 357) (tstamp e791f1c5-0f30-44c7-a73b-c2db4b7c4c65)) - (segment (start 69.883045 72.044126) (end 69.8486 72.078571) (width 0.2) (layer "F.Cu") (net 358) (tstamp 7f306cb7-2c91-4c6b-93ce-c436ab68199f)) - (segment (start 75.58979 72.044126) (end 69.883045 72.044126) (width 0.2) (layer "F.Cu") (net 358) (tstamp f282db49-3625-40f3-980f-61fa0af38706)) - (segment (start 134.645674 146.111126) (end 140.892674 146.111126) (width 0.2) (layer "F.Cu") (net 362) (tstamp 240ec45f-f628-4684-b6bf-428cad9f82fa)) - (segment (start 140.892674 148.651126) (end 134.645674 148.651126) (width 0.2) (layer "F.Cu") (net 363) (tstamp bd4337b1-a3cc-40f5-bbff-74225e80b663)) - (segment (start 134.645674 151.191126) (end 140.892674 151.191126) (width 0.2) (layer "F.Cu") (net 364) (tstamp 0ce52655-b2be-46f1-8102-376f8ea3992c)) - (segment (start 140.892674 153.858126) (end 134.645674 153.858126) (width 0.2) (layer "F.Cu") (net 365) (tstamp 936d9a02-1e2d-4a51-8584-18246f6e8336)) - (segment (start 161.131474 143.571126) (end 155.105074 143.571126) (width 0.2) (layer "F.Cu") (net 366) (tstamp bcc2c2cb-3f8a-4e41-8c9a-6486a02b9e32)) - (segment (start 155.105074 141.031126) (end 161.131474 141.031126) (width 0.2) (layer "F.Cu") (net 367) (tstamp 70a67389-0a62-468c-ada2-61aaeff8e4c9)) - (segment (start 161.131474 138.491126) (end 155.105074 138.491126) (width 0.2) (layer "F.Cu") (net 368) (tstamp 80ce23aa-3157-4e87-b847-1bd31c3fb062)) - (segment (start 161.126074 135.981526) (end 161.131474 135.976126) (width 0.2) (layer "F.Cu") (net 369) (tstamp 55825bb2-3b48-48c1-a747-791cd36dc051)) - (segment (start 155.105074 135.981526) (end 161.126074 135.981526) (width 0.2) (layer "F.Cu") (net 369) (tstamp db75600b-dd21-457d-a14d-61fcb845f774)) - (segment (start 183.705074 65.212126) (end 188.632674 65.212126) (width 0.2) (layer "F.Cu") (net 370) (tstamp 0fd86283-89f3-40ed-954a-3f9de1755e64)) - (segment (start 188.937474 65.516926) (end 188.937474 66.539074) (width 0.2) (layer "F.Cu") (net 370) (tstamp 2b5688de-d3fa-40ec-a25f-815b89f69d2c)) - (segment (start 189.8396 67.4412) (end 189.2606 68.0202) (width 0.2) (layer "F.Cu") (net 370) (tstamp 47a5f614-1d5c-4cbf-9bc2-0f443b5b706f)) - (segment (start 189.2606 68.0202) (end 189.2606 69.8372) (width 0.2) (layer "F.Cu") (net 370) (tstamp 509ae1d0-2d4d-4fed-b72e-3faf9febfc1a)) - (segment (start 188.937474 66.539074) (end 189.8396 67.4412) (width 0.2) (layer "F.Cu") (net 370) (tstamp 5d2bfb44-c97f-4673-9552-0e1893111796)) - (segment (start 182.435074 66.482126) (end 183.705074 65.212126) (width 0.2) (layer "F.Cu") (net 370) (tstamp 732f6fc0-b089-482a-a18a-30fb163a7e08)) - (segment (start 180.352274 66.482126) (end 182.435074 66.482126) (width 0.2) (layer "F.Cu") (net 370) (tstamp 7b4dd355-efd4-49ea-be49-81a1fdfc968b)) - (segment (start 188.632674 65.212126) (end 188.937474 65.516926) (width 0.2) (layer "F.Cu") (net 370) (tstamp b9d65447-e084-473a-8e4b-a8379295b6c6)) - (segment (start 179.895074 66.482126) (end 179.742674 66.634526) (width 0.2) (layer "B.Cu") (net 370) (tstamp fe5c0f79-ac50-4307-8142-83e88ec30727)) - (segment (start 201.942274 71.460526) (end 203.212274 71.460526) (width 0.2) (layer "F.Cu") (net 371) (tstamp 0876e5bb-a0a2-4096-bb5c-27ed1b7713b9)) - (segment (start 203.212274 71.460526) (end 208.298748 66.374052) (width 0.2) (layer "F.Cu") (net 371) (tstamp 089e9e95-4bba-4f5a-be11-141f65ac29f5)) - (segment (start 210.508874 67.516726) (end 210.508874 69.386726) (width 0.2) (layer "F.Cu") (net 371) (tstamp 30bb25e3-4d5d-4239-886b-bfb9b7e7ba4f)) - (segment (start 211.046874 66.978726) (end 210.508874 67.516726) (width 0.2) (layer "F.Cu") (net 371) (tstamp 7f6cae13-4fa6-408b-99f4-49e99aac3525)) - (segment (start 208.298748 66.374052) (end 210.4422 66.374052) (width 0.2) (layer "F.Cu") (net 371) (tstamp a6ed7cc0-a490-40a0-bb4c-66bf571ae2fc)) - (segment (start 210.4422 66.374052) (end 211.046874 66.978726) (width 0.2) (layer "F.Cu") (net 371) (tstamp f09eee91-2d54-41d9-9bfd-239b787339d4)) - (segment (start 184.616 66.8412) (end 187.4616 66.8412) (width 0.2) (layer "F.Cu") (net 372) (tstamp 38fba61b-b227-488c-8594-d16730ce7fe3)) - (segment (start 180.352274 69.022126) (end 182.435074 69.022126) (width 0.2) (layer "F.Cu") (net 372) (tstamp 468ec601-aaf6-4115-b18a-15296c8b0fcb)) - (segment (start 188.6106 68.564926) (end 188.6106 69.8372) (width 0.2) (layer "F.Cu") (net 372) (tstamp 5dd9b832-8ba2-4d0c-9482-d26180598904)) - (segment (start 188.6106 67.9902) (end 188.6106 68.564926) (width 0.2) (layer "F.Cu") (net 372) (tstamp 6bd326d5-17d1-44a5-a84a-7ccc3e269991)) - (segment (start 187.4616 66.8412) (end 188.0616 67.4412) (width 0.2) (layer "F.Cu") (net 372) (tstamp b270c3ad-b176-4068-a8f1-9ac9ce9d8798)) - (segment (start 188.0616 67.4412) (end 188.6106 67.9902) (width 0.2) (layer "F.Cu") (net 372) (tstamp c638f40f-a96c-4d97-84aa-c0be9552c9fa)) - (segment (start 182.435074 69.022126) (end 184.616 66.8412) (width 0.2) (layer "F.Cu") (net 372) (tstamp c67a6e98-8caa-4956-9d2d-26ca1dce2b3c)) - (segment (start 209.858874 70.324226) (end 206.182574 74.000526) (width 0.2) (layer "F.Cu") (net 373) (tstamp 09ed34d5-69ee-4111-b9d0-eb27d1388881)) - (segment (start 209.858874 69.386726) (end 209.858874 70.324226) (width 0.2) (layer "F.Cu") (net 373) (tstamp 50c95272-ba9b-4cd2-8c38-9e82ef719840)) - (segment (start 209.858874 67.568726) (end 209.858874 69.386726) (width 0.2) (layer "F.Cu") (net 373) (tstamp 8b1c2fcc-cedf-4093-aa54-636342aea443)) - (segment (start 209.268874 66.978726) (end 209.858874 67.568726) (width 0.2) (layer "F.Cu") (net 373) (tstamp b895645b-3da0-432c-8ffb-69e7606d467b)) - (segment (start 206.182574 74.000526) (end 201.942274 74.000526) (width 0.2) (layer "F.Cu") (net 373) (tstamp e186b286-9ecd-4dbb-a8ff-3b1f6a894e04)) - (segment (start 186.733599 67.4412) (end 187.9606 68.668201) (width 0.2) (layer "F.Cu") (net 374) (tstamp 01f2e344-6dfd-46a4-8304-f52580603e62)) - (segment (start 180.352274 71.562126) (end 187.616674 71.562126) (width 0.2) (layer "F.Cu") (net 374) (tstamp 0caf9e56-3e58-4835-a702-ba1ed14ffb1d)) - (segment (start 187.616674 71.562126) (end 187.9606 71.2182) (width 0.2) (layer "F.Cu") (net 374) (tstamp 3076960a-b378-4186-adcd-bde0124dfef6)) - (segment (start 187.9606 71.2182) (end 187.9606 69.8372) (width 0.2) (layer "F.Cu") (net 374) (tstamp d295e1ac-ff57-4410-9faf-faa6eccc4018)) - (segment (start 186.2836 67.4412) (end 186.733599 67.4412) (width 0.2) (layer "F.Cu") (net 374) (tstamp eb91e1a8-4b46-465e-910a-fd84ded04f0d)) - (segment (start 187.9606 68.668201) (end 187.9606 69.8372) (width 0.2) (layer "F.Cu") (net 374) (tstamp ef67b49f-0dda-4061-8aa9-80e5e486c81e)) - (segment (start 211.158874 77.782726) (end 211.300874 77.924726) (width 0.2) (layer "F.Cu") (net 375) (tstamp 083f159b-75df-4876-8861-471986ee4b43)) - (segment (start 211.158874 75.262726) (end 211.158874 77.782726) (width 0.2) (layer "F.Cu") (net 375) (tstamp 1c80831c-d9a1-4bb4-8679-d150867af063)) - (segment (start 210.4213 73.587652) (end 211.158874 74.325226) (width 0.2) (layer "F.Cu") (net 375) (tstamp 474753fa-3443-4792-b94f-ed6d12ec836d)) - (segment (start 208.146348 73.587652) (end 210.4213 73.587652) (width 0.2) (layer "F.Cu") (net 375) (tstamp 554756cb-7614-45a0-a2fa-2db876e7234c)) - (segment (start 201.942274 76.540526) (end 205.193474 76.540526) (width 0.2) (layer "F.Cu") (net 375) (tstamp c55f8511-7793-4a3a-a824-07b58e2dc5e6)) - (segment (start 205.193474 76.540526) (end 208.146348 73.587652) (width 0.2) (layer "F.Cu") (net 375) (tstamp cb12c5ec-0fd9-4af3-9626-544b3de2a461)) - (segment (start 211.158874 74.325226) (end 211.158874 75.262726) (width 0.2) (layer "F.Cu") (net 375) (tstamp e1518c78-3dd3-4fbf-87f9-aa9146db6710)) - (segment (start 189.2606 76.6507) (end 190.9851 78.3752) (width 0.2) (layer "F.Cu") (net 376) (tstamp 254347e6-6c68-478b-b1ac-9909d3e356ba)) - (segment (start 182.6615 73.8757) (end 188.863448 73.8757) (width 0.2) (layer "F.Cu") (net 376) (tstamp 4532f9c4-8ed2-4cc9-83ec-50752e87d7e6)) - (segment (start 180.352274 74.102126) (end 182.435074 74.102126) (width 0.2) (layer "F.Cu") (net 376) (tstamp 7435e506-e4d2-4c37-b35d-c58de3bbe9db)) - (segment (start 182.435074 74.102126) (end 182.6615 73.8757) (width 0.2) (layer "F.Cu") (net 376) (tstamp 776e5ca8-c498-4598-9038-7ddce921f0aa)) - (segment (start 190.9851 78.3752) (end 191.1096 78.3752) (width 0.2) (layer "F.Cu") (net 376) (tstamp 8f95c958-15b1-425b-8076-5dd548e01089)) - (segment (start 189.2606 75.7132) (end 189.2606 76.6507) (width 0.2) (layer "F.Cu") (net 376) (tstamp ae467bcd-4461-4ee2-a641-5a8814eac905)) - (segment (start 189.2606 74.272852) (end 189.2606 75.7132) (width 0.2) (layer "F.Cu") (net 376) (tstamp bb4468a2-f175-41b9-8301-d493867350d4)) - (segment (start 188.863448 73.8757) (end 189.2606 74.272852) (width 0.2) (layer "F.Cu") (net 376) (tstamp d69028a4-c3eb-431d-a14c-eb9515266ff2)) - (segment (start 208.927274 74.127526) (end 203.974274 79.080526) (width 0.2) (layer "F.Cu") (net 377) (tstamp 09745ecd-4f6a-4efc-9d49-a985e5fae3e9)) - (segment (start 209.522874 77.924726) (end 210.508874 76.938726) (width 0.2) (layer "F.Cu") (net 377) (tstamp 16bc4f28-c8f7-4532-adfc-d79ed57c27eb)) - (segment (start 203.974274 79.080526) (end 201.942274 79.080526) (width 0.2) (layer "F.Cu") (net 377) (tstamp 212f50f8-a9be-4530-8f6a-333f639d5176)) - (segment (start 210.508874 76.938726) (end 210.508874 75.262726) (width 0.2) (layer "F.Cu") (net 377) (tstamp 3e7855ca-4f75-4390-9427-7e9da5d447ca)) - (segment (start 210.508874 74.325226) (end 210.311174 74.127526) (width 0.2) (layer "F.Cu") (net 377) (tstamp 503a3dc1-4257-4305-bc74-29d549e563db)) - (segment (start 210.508874 75.262726) (end 210.508874 74.325226) (width 0.2) (layer "F.Cu") (net 377) (tstamp bf18623f-03ad-44d6-936d-349228ede937)) - (segment (start 210.311174 74.127526) (end 208.927274 74.127526) (width 0.2) (layer "F.Cu") (net 377) (tstamp f81d6d33-d244-4294-85d7-ffc72a8d2937)) - (segment (start 180.352274 76.642126) (end 182.435074 76.642126) (width 0.2) (layer "F.Cu") (net 378) (tstamp 0bfd498a-47eb-4e93-b764-b17d926fe7cd)) - (segment (start 184.8015 74.2757) (end 188.026286 74.2757) (width 0.2) (layer "F.Cu") (net 378) (tstamp 275cf4b5-2a13-4285-8f2a-79904f19079b)) - (segment (start 182.435074 76.642126) (end 184.8015 74.2757) (width 0.2) (layer "F.Cu") (net 378) (tstamp 398bc94d-7004-44f3-acef-df01833f1387)) - (segment (start 188.026286 74.2757) (end 188.6106 74.860014) (width 0.2) (layer "F.Cu") (net 378) (tstamp 3c8f08ea-8ed5-4736-83fe-6e02e3eaa888)) - (segment (start 188.6106 74.860014) (end 188.6106 75.7132) (width 0.2) (layer "F.Cu") (net 378) (tstamp 9485dcee-1ff0-47d1-9a16-a004039fb7ca)) - (segment (start 188.6106 77.6422) (end 189.3316 78.3632) (width 0.2) (layer "F.Cu") (net 378) (tstamp eab3c51d-2e2d-4230-9740-4feaa6d399df)) - (segment (start 188.6106 75.7132) (end 188.6106 77.6422) (width 0.2) (layer "F.Cu") (net 378) (tstamp f1975bf0-ddf6-46b2-86e4-59b8b34ea4ed)) - (segment (start 204.049074 81.620526) (end 201.942274 81.620526) (width 0.2) (layer "F.Cu") (net 379) (tstamp 08b1d085-61f5-47c7-8f8c-5923a5bf847a)) - (segment (start 209.858874 75.262726) (end 209.858874 76.200226) (width 0.2) (layer "F.Cu") (net 379) (tstamp 26188224-be93-4fbe-9e6f-413d60af3480)) - (segment (start 207.744874 77.924726) (end 204.049074 81.620526) (width 0.2) (layer "F.Cu") (net 379) (tstamp 4bb72564-ef99-411a-94dc-a9a894be6b6d)) - (segment (start 208.134374 77.924726) (end 207.744874 77.924726) (width 0.2) (layer "F.Cu") (net 379) (tstamp 4c78f9a8-1cfd-4e2e-833d-4749cd855296)) - (segment (start 209.858874 76.200226) (end 208.134374 77.924726) (width 0.2) (layer "F.Cu") (net 379) (tstamp 9296eab2-8d8f-4ef2-8392-61f91b7e7ecd)) - (segment (start 180.352274 79.182126) (end 182.435074 79.182126) (width 0.2) (layer "F.Cu") (net 380) (tstamp 009fc6e2-22de-4add-9647-8652c8bf90fd)) - (segment (start 187.9606 75.7132) (end 187.9606 77.9682) (width 0.2) (layer "F.Cu") (net 380) (tstamp 1107d737-198a-4cac-a072-b0836db6b7a8)) - (segment (start 187.8606 74.6757) (end 187.9606 74.7757) (width 0.2) (layer "F.Cu") (net 380) (tstamp 4c46b510-ed82-46d9-9ccc-1e8903d56533)) - (segment (start 182.435074 79.182126) (end 186.9415 74.6757) (width 0.2) (layer "F.Cu") (net 380) (tstamp ca4b3262-04f0-4446-a5df-cc19cf608c0d)) - (segment (start 187.9606 74.7757) (end 187.9606 75.7132) (width 0.2) (layer "F.Cu") (net 380) (tstamp df4d7d2b-6b15-4933-b61a-9fbe4b2fc911)) - (segment (start 187.9606 77.9682) (end 187.5536 78.3752) (width 0.2) (layer "F.Cu") (net 380) (tstamp df9a2487-02cb-4df3-acb8-e606442b9c12)) - (segment (start 186.9415 74.6757) (end 187.8606 74.6757) (width 0.2) (layer "F.Cu") (net 380) (tstamp fbeb590c-70a1-43b9-9fe3-77268cf5593e)) - (segment (start 187.3106 76.8402) (end 185.7756 78.3752) (width 0.2) (layer "F.Cu") (net 381) (tstamp 40a067d0-c82f-4b5b-981b-ce65f01231b8)) - (segment (start 187.3106 75.7132) (end 187.3106 76.8402) (width 0.2) (layer "F.Cu") (net 381) (tstamp 4a5439e2-cd61-4990-bdd6-51c110c4834f)) - (segment (start 180.352274 81.722126) (end 182.428674 81.722126) (width 0.2) (layer "F.Cu") (net 381) (tstamp 6a2717b7-f6a8-42e3-aae8-9920b40cf33b)) - (segment (start 185.7756 78.3752) (end 182.774774 81.376026) (width 0.2) (layer "F.Cu") (net 381) (tstamp 6b55f25c-2520-475c-8da4-dd63d2dc241c)) - (segment (start 182.774774 81.376026) (end 182.435074 81.715726) (width 0.2) (layer "F.Cu") (net 381) (tstamp 7f3ee2ca-79df-4aa8-ad43-cfdd13799317)) - (segment (start 182.435074 81.715726) (end 182.435074 81.722126) (width 0.2) (layer "F.Cu") (net 381) (tstamp d05a359a-b0b9-44b4-92aa-db7423418a2a)) - (segment (start 182.428674 81.722126) (end 182.774774 81.376026) (width 0.2) (layer "F.Cu") (net 381) (tstamp e050e67e-5522-4a05-8af0-737f3d1502cb)) - (segment (start 180.352274 40.726526) (end 189.750274 40.726526) (width 0.2) (layer "F.Cu") (net 382) (tstamp 61cb6f41-052d-4844-9936-ee3d1c3b6858)) - (segment (start 191.020274 43.471874) (end 191.8736 44.3252) (width 0.2) (layer "F.Cu") (net 382) (tstamp 72926943-0ca8-4e6b-adbe-7d69bde5d3b9)) - (segment (start 189.9126 46.9872) (end 189.9126 46.0497) (width 0.2) (layer "F.Cu") (net 382) (tstamp 76b2fb4e-c10a-4d75-ab7a-f238a4dbc638)) - (segment (start 189.750274 40.726526) (end 191.020274 41.996526) (width 0.2) (layer "F.Cu") (net 382) (tstamp a070dc6d-79d0-4ce6-b250-014fce0b8efc)) - (segment (start 191.020274 41.996526) (end 191.020274 43.471874) (width 0.2) (layer "F.Cu") (net 382) (tstamp d772c43b-6906-4ef7-b6be-924d200ada6c)) - (segment (start 189.9126 46.0497) (end 191.6371 44.3252) (width 0.2) (layer "F.Cu") (net 382) (tstamp e7c75798-e45b-4fe8-a51b-6903e01116b9)) - (segment (start 191.6371 44.3252) (end 191.8736 44.3252) (width 0.2) (layer "F.Cu") (net 382) (tstamp f30dd9c8-2b6c-4819-a9f1-11e51bf1abff)) - (segment (start 188.087948 41.2232) (end 189.242274 42.377526) (width 0.2) (layer "F.Cu") (net 383) (tstamp 28d49534-a3e3-43e9-ad15-461e97d5fc7d)) - (segment (start 189.2626 45.1582) (end 189.2626 46.9872) (width 0.2) (layer "F.Cu") (net 383) (tstamp 3a335c80-5a4a-4c9c-889f-d48f7cac8f03)) - (segment (start 184.0466 41.2232) (end 188.087948 41.2232) (width 0.2) (layer "F.Cu") (net 383) (tstamp 7fcf35a5-fcc5-4907-b429-bf0ac78088e4)) - (segment (start 180.352274 43.266526) (end 182.003274 43.266526) (width 0.2) (layer "F.Cu") (net 383) (tstamp 8aaf1fc5-0ea1-4be3-9d21-e0a200c94c01)) - (segment (start 182.003274 43.266526) (end 184.0466 41.2232) (width 0.2) (layer "F.Cu") (net 383) (tstamp 8c8349c0-a9a0-4508-bf40-995916d7e893)) - (segment (start 189.242274 42.377526) (end 189.242274 43.471874) (width 0.2) (layer "F.Cu") (net 383) (tstamp af1191af-ada4-4d99-ab37-80049869308c)) - (segment (start 190.0956 44.3252) (end 189.2626 45.1582) (width 0.2) (layer "F.Cu") (net 383) (tstamp b4c401d2-f8c1-4c07-b3ae-9cd5afecfafd)) - (segment (start 189.242274 43.471874) (end 190.0956 44.3252) (width 0.2) (layer "F.Cu") (net 383) (tstamp e7841e42-8c83-42d1-9083-16cf3502afb3)) - (segment (start 188.3176 44.3252) (end 188.6126 44.6202) (width 0.2) (layer "F.Cu") (net 384) (tstamp 1072bd60-aea5-40f6-b472-7ab9b72b17be)) - (segment (start 180.352274 45.806526) (end 182.4336 43.7252) (width 0.2) (layer "F.Cu") (net 384) (tstamp 3a821eeb-3df9-40f8-a4ba-eeeacfb28ebd)) - (segment (start 188.6126 44.6202) (end 188.6126 46.9872) (width 0.2) (layer "F.Cu") (net 384) (tstamp 6eebff14-882f-4a64-8ebf-6041eb62eeeb)) - (segment (start 182.4336 43.7252) (end 187.7176 43.7252) (width 0.2) (layer "F.Cu") (net 384) (tstamp abe08592-0cf4-4761-bf20-9d0f413b9457)) - (segment (start 187.7176 43.7252) (end 188.3176 44.3252) (width 0.2) (layer "F.Cu") (net 384) (tstamp b67d8b66-b15c-4493-a4a1-9d4941230acc)) - (segment (start 187.9626 45.7482) (end 187.9626 46.9872) (width 0.2) (layer "F.Cu") (net 385) (tstamp 31b4e3cd-bee8-47e5-b0a3-c8c7b3b307d9)) - (segment (start 187.9626 47.8997) (end 187.9626 46.9872) (width 0.2) (layer "F.Cu") (net 385) (tstamp 3d777d90-94db-40d2-a768-9e8de3b5ed95)) - (segment (start 180.352274 48.346526) (end 187.515774 48.346526) (width 0.2) (layer "F.Cu") (net 385) (tstamp 7db24887-6ace-409b-8d7b-7cdba2aaacad)) - (segment (start 187.515774 48.346526) (end 187.9626 47.8997) (width 0.2) (layer "F.Cu") (net 385) (tstamp 93ca37e5-16f4-4277-af08-23aa4f85d339)) - (segment (start 186.5396 44.3252) (end 187.9626 45.7482) (width 0.2) (layer "F.Cu") (net 385) (tstamp f6a4b2ed-9c29-45ec-9887-671f3867fff7)) - (segment (start 188.223426 50.886526) (end 189.2626 51.9257) (width 0.2) (layer "F.Cu") (net 386) (tstamp 0fb3f6b6-f987-495a-9175-589a7b026127)) - (segment (start 189.2626 52.8632) (end 189.2626 53.716386) (width 0.2) (layer "F.Cu") (net 386) (tstamp 2e6eac0e-d35c-4a05-a04e-33ce0d2abf69)) - (segment (start 190.563414 55.0172) (end 191.1116 55.0172) (width 0.2) (layer "F.Cu") (net 386) (tstamp 37db1179-fdda-4508-926d-77fd581f35e9)) - (segment (start 180.352274 50.886526) (end 188.223426 50.886526) (width 0.2) (layer "F.Cu") (net 386) (tstamp 4ffda1d3-750c-4e71-b01e-6bc8eb152777)) - (segment (start 189.2626 53.716386) (end 190.563414 55.0172) (width 0.2) (layer "F.Cu") (net 386) (tstamp abda5f94-d289-48f1-8839-c45e39ded87c)) - (segment (start 189.2626 51.9257) (end 189.2626 52.8632) (width 0.2) (layer "F.Cu") (net 386) (tstamp ace8e061-d422-42ac-9718-a9860b41c155)) - (segment (start 188.6126 52.8632) (end 188.6126 54.2962) (width 0.2) (layer "F.Cu") (net 387) (tstamp 267847fe-2e2e-495f-8775-8d2601453165)) - (segment (start 188.6126 54.2962) (end 189.3336 55.0172) (width 0.2) (layer "F.Cu") (net 387) (tstamp 2d04832a-5b62-45fc-9ad8-f66dbc5c6421)) - (segment (start 188.196914 51.4257) (end 188.6126 51.841386) (width 0.2) (layer "F.Cu") (net 387) (tstamp 8d245804-a96f-4495-9c2e-bb826faaf7e7)) - (segment (start 180.352274 53.426526) (end 182.3531 51.4257) (width 0.2) (layer "F.Cu") (net 387) (tstamp 9a42a123-3100-411a-9cec-58667f7df04e)) - (segment (start 182.3531 51.4257) (end 188.196914 51.4257) (width 0.2) (layer "F.Cu") (net 387) (tstamp 9f45d42a-e7e5-44ea-b00c-adda6c4a6687)) - (segment (start 188.6126 51.841386) (end 188.6126 52.8632) (width 0.2) (layer "F.Cu") (net 387) (tstamp dbfb787a-125d-41cc-a03a-b3a34cbafad6)) - (segment (start 187.9626 54.6102) (end 187.9626 52.8632) (width 0.2) (layer "F.Cu") (net 388) (tstamp 580f9fc1-a5d2-419b-854c-c235b296b677)) - (segment (start 180.352274 55.966526) (end 182.435074 55.966526) (width 0.2) (layer "F.Cu") (net 388) (tstamp 6573c6c4-f560-4a96-9d7c-2f94c34e06c6)) - (segment (start 182.435074 55.966526) (end 186.575274 51.826326) (width 0.2) (layer "F.Cu") (net 388) (tstamp 753a72ed-38db-4fd7-9b61-7a34e8d19f92)) - (segment (start 186.575274 51.826326) (end 186.575274 51.8257) (width 0.2) (layer "F.Cu") (net 388) (tstamp c211ace2-9474-4273-b603-e26809db097f)) - (segment (start 187.9626 51.9257) (end 187.9626 52.8632) (width 0.2) (layer "F.Cu") (net 388) (tstamp dffe38f6-a1ef-4af4-8f9d-02b6ed0920de)) - (segment (start 187.8626 51.8257) (end 187.9626 51.9257) (width 0.2) (layer "F.Cu") (net 388) (tstamp e03e828c-cc6d-4c94-a168-c23ef36409ea)) - (segment (start 187.5556 55.0172) (end 187.9626 54.6102) (width 0.2) (layer "F.Cu") (net 388) (tstamp e6c2c854-ad47-4686-9c1a-33d30461616b)) - (segment (start 186.575274 51.8257) (end 187.8626 51.8257) (width 0.2) (layer "F.Cu") (net 388) (tstamp f06c99e7-37c3-42a8-b11a-b055f8f86b8a)) - (segment (start 187.3126 52.8632) (end 187.3126 53.4822) (width 0.2) (layer "F.Cu") (net 389) (tstamp 12427779-7b57-4838-91cc-52d1975dd706)) - (segment (start 182.288274 58.506526) (end 185.7776 55.0172) (width 0.2) (layer "F.Cu") (net 389) (tstamp 48618ba7-28e9-4204-ac61-a44ee70a31f4)) - (segment (start 187.3126 53.4822) (end 185.7776 55.0172) (width 0.2) (layer "F.Cu") (net 389) (tstamp 4fdf215e-1243-4707-8340-1cfc50dcbe2f)) - (segment (start 180.352274 58.506526) (end 182.288274 58.506526) (width 0.2) (layer "F.Cu") (net 389) (tstamp c3ac5d9e-ae9f-4f0f-810e-e19a801b4f2a)) - (segment (start 179.895074 58.506526) (end 179.532074 58.869526) (width 0.2) (layer "B.Cu") (net 389) (tstamp a66dfc01-0cfb-4127-bfa0-0a6bc37a095e)) - (segment (start 163.6796 90.516) (end 163.4796 90.316) (width 0.2) (layer "F.Cu") (net 390) (tstamp 86a34d9f-921b-4db7-9ec2-3ec460443203)) - (segment (start 163.4796 90.316) (end 161.6456 90.316) (width 0.2) (layer "F.Cu") (net 390) (tstamp c997a0a2-2f27-496f-a5e0-9de834a30339)) - (via (at 163.6796 90.516) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 390) (tstamp 6e528e30-cc28-4d07-a0f4-2eb53e330325)) - (segment (start 163.6796 91.4112) (end 163.6796 90.516) (width 0.2) (layer "B.Cu") (net 390) (tstamp 686a72fb-b38f-44f9-bf4a-3d2111206c07)) - (segment (start 169.408674 44.902926) (end 164.0102 44.902926) (width 0.2) (layer "B.Cu") (net 390) (tstamp 91bd83f4-ef2f-4918-9704-de78c5410223)) - (segment (start 145.7076 63.205526) (end 145.7076 94.0132) (width 0.2) (layer "B.Cu") (net 390) (tstamp a239fa8a-6f6f-46ce-a6f9-f01be22d0b46)) - (segment (start 164.0102 44.902926) (end 145.7076 63.205526) (width 0.2) (layer "B.Cu") (net 390) (tstamp b41ca2ce-dc1b-437d-805f-ad1f0aa4538b)) - (segment (start 146.5346 94.8402) (end 160.2506 94.8402) (width 0.2) (layer "B.Cu") (net 390) (tstamp b8575e25-5d6b-416e-b58f-b71374e1d23f)) - (segment (start 160.2506 94.8402) (end 163.6796 91.4112) (width 0.2) (layer "B.Cu") (net 390) (tstamp e4717292-6ffc-417d-85d7-e5bae9c7966b)) - (segment (start 145.7076 94.0132) (end 146.5346 94.8402) (width 0.2) (layer "B.Cu") (net 390) (tstamp fd3e3d24-0db3-419e-aef5-74eb69883969)) - (segment (start 147.8042 93.4432) (end 147.9312 93.3162) (width 0.2) (layer "F.Cu") (net 391) (tstamp 2483f100-c491-4d07-9cfe-6891e08a05d1)) - (segment (start 147.9312 93.3162) (end 157.6954 93.3162) (width 0.2) (layer "F.Cu") (net 391) (tstamp e48dfb41-7c9d-4d7c-a256-761dc8824034)) - (segment (start 157.6954 93.3162) (end 157.6956 93.316) (width 0.2) (layer "F.Cu") (net 391) (tstamp e7417fd3-ec01-4b43-9ea8-7ad626927717)) - (segment (start 146.4076 93.4432) (end 147.8042 93.4432) (width 0.2) (layer "F.Cu") (net 391) (tstamp e936c314-688f-44b9-ab8d-b1326604ac92)) - (via (at 146.4076 93.4432) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 391) (tstamp e21c996c-712c-4c40-a56c-9b4a011c1806)) - (segment (start 162.035886 47.442926) (end 169.408674 47.442926) (width 0.2) (layer "B.Cu") (net 391) (tstamp 15b8d31e-0ccc-425d-893a-24df5e2e1d6e)) - (segment (start 146.4076 93.4432) (end 146.4076 63.071212) (width 0.2) (layer "B.Cu") (net 391) (tstamp 8631f4ec-ccb9-4b2e-940e-8c79fd245b16)) - (segment (start 146.4076 63.071212) (end 162.035886 47.442926) (width 0.2) (layer "B.Cu") (net 391) (tstamp c600ba0c-dca6-4139-a68a-033f0fea2475)) - (segment (start 207.530274 68.205726) (end 208.711274 69.386726) (width 0.2) (layer "F.Cu") (net 392) (tstamp 2fd12d40-649a-41ec-b64b-3a12ae558fb9)) - (segment (start 208.711274 69.386726) (end 209.208874 69.386726) (width 0.2) (layer "F.Cu") (net 392) (tstamp df478b55-32c5-4342-a1b6-a3418cfc7034)) - (via (at 207.530274 68.205726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 392) (tstamp 70b9d19f-281a-4495-bd8c-ac7cb7c4da47)) - (segment (start 172.442074 44.409526) (end 171.948674 44.902926) (width 0.2) (layer "In1.Cu") (net 392) (tstamp a402ea77-504d-4189-9aa4-f8a2a416c55f)) - (segment (start 206.598576 68.205726) (end 207.530274 68.205726) (width 0.2) (layer "In2.Cu") (net 392) (tstamp 098381ec-c2f5-495e-a86d-cd4164e9cb8e)) - (segment (start 185.469376 47.076526) (end 206.598576 68.205726) (width 0.2) (layer "In2.Cu") (net 392) (tstamp 1b48aeae-f5cc-4842-9128-7f297f8b88b7)) - (segment (start 177.113774 47.076526) (end 185.469376 47.076526) (width 0.2) (layer "In2.Cu") (net 392) (tstamp 2f6bc828-071d-4a4f-b6c8-47bee0535ebf)) - (segment (start 174.940174 44.902926) (end 177.113774 47.076526) (width 0.2) (layer "In2.Cu") (net 392) (tstamp 44ac6b58-18ec-408e-9533-7ed00b2c88a3)) - (segment (start 171.908674 44.902926) (end 174.940174 44.902926) (width 0.2) (layer "In2.Cu") (net 392) (tstamp d7b6771d-a3b9-4ead-9ce7-757ce659b0f1)) - (segment (start 147.2966 92.5542) (end 147.5584 92.816) (width 0.2) (layer "F.Cu") (net 393) (tstamp 3371f9ee-98d5-4b40-be4b-d8a9d6aa54ac)) - (segment (start 147.5584 92.816) (end 157.6956 92.816) (width 0.2) (layer "F.Cu") (net 393) (tstamp f115e675-b500-43f0-976f-c9f292b809bf)) - (via (at 147.2966 92.5542) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 393) (tstamp a695d98c-e6fc-4d1a-adda-6d2d80e4fdef)) - (segment (start 160.061572 49.982926) (end 147.2966 62.747898) (width 0.2) (layer "B.Cu") (net 393) (tstamp 4f44db0d-5586-4ef2-b656-4d86bbc6e0d6)) - (segment (start 169.408674 49.982926) (end 160.061572 49.982926) (width 0.2) (layer "B.Cu") (net 393) (tstamp cc045ed6-f072-4e41-862d-10835cbf5c5b)) - (segment (start 147.2966 62.747898) (end 147.2966 92.5542) (width 0.2) (layer "B.Cu") (net 393) (tstamp ecd22514-7724-45b9-9429-78b0e386a000)) - (segment (start 211.808874 75.262726) (end 211.808874 76.654726) (width 0.2) (layer "F.Cu") (net 394) (tstamp 08efcbee-6727-4d3c-a82b-e89d5ef790f2)) - (segment (start 211.808874 76.654726) (end 213.078874 77.924726) (width 0.2) (layer "F.Cu") (net 394) (tstamp 21e39bc6-8cb2-4fdf-94c7-966e2149ad04)) - (segment (start 214.856874 77.924726) (end 213.078874 77.924726) (width 0.2) (layer "F.Cu") (net 394) (tstamp 267f739a-4b70-4de6-b178-020ef3d86867)) - (segment (start 216.547274 76.234326) (end 214.856874 77.924726) (width 0.2) (layer "F.Cu") (net 394) (tstamp a28b185b-22ed-4b38-a3a9-1de9e5551223)) - (segment (start 216.547274 73.751526) (end 216.547274 76.234326) (width 0.2) (layer "F.Cu") (net 394) (tstamp ebdb2a50-0084-44cb-8f47-8a096877ef10)) - (segment (start 189.9106 76.6507) (end 190.3963 77.1364) (width 0.2) (layer "F.Cu") (net 395) (tstamp 07b8f9be-4599-420e-a4c1-12c2421736ae)) - (segment (start 190.3963 77.1364) (end 192.2292 77.1364) (width 0.2) (layer "F.Cu") (net 395) (tstamp 1be8b8d0-42ea-462d-a74f-0ac9c7ba218a)) - (segment (start 192.8876 77.7948) (end 192.8876 78.3752) (width 0.2) (layer "F.Cu") (net 395) (tstamp 2a6ec3af-3a41-4cd1-bec4-529f898a5b1d)) - (segment (start 194.6656 78.3752) (end 192.8876 78.3752) (width 0.2) (layer "F.Cu") (net 395) (tstamp 38644f3f-1fad-4bdb-bc06-546f75046a29)) - (segment (start 192.2292 77.1364) (end 192.8876 77.7948) (width 0.2) (layer "F.Cu") (net 395) (tstamp 53cd3cd7-1962-4d47-a8e1-5e7ab20f879f)) - (segment (start 195.465274 77.575526) (end 194.6656 78.3752) (width 0.2) (layer "F.Cu") (net 395) (tstamp 927dd135-84eb-4591-a2eb-d8b40383450b)) - (segment (start 189.9106 75.7132) (end 189.9106 76.6507) (width 0.2) (layer "F.Cu") (net 395) (tstamp c01dfbe5-db4d-4e30-a1f7-913f2a144d9b)) - (segment (start 195.465274 74.132526) (end 195.465274 77.575526) (width 0.2) (layer "F.Cu") (net 395) (tstamp c4dd3dfd-246d-47c3-aa83-f9b8e881c447)) - (segment (start 196.100274 51.272526) (end 196.100274 53.584526) (width 0.2) (layer "F.Cu") (net 396) (tstamp 1d148fcd-cbd9-4a84-aa6b-0b6323b0cfd1)) - (segment (start 189.9126 53.8007) (end 190.2867 54.1748) (width 0.2) (layer "F.Cu") (net 396) (tstamp 46eeff48-4991-420a-bb03-ca26b47658e8)) - (segment (start 192.8896 55.0172) (end 194.6676 55.0172) (width 0.2) (layer "F.Cu") (net 396) (tstamp 74bc0528-e5dd-4278-b5c7-c8d8b939e0b3)) - (segment (start 192.0472 54.1748) (end 192.8896 55.0172) (width 0.2) (layer "F.Cu") (net 396) (tstamp 81a3c322-20d7-4b40-8a8a-29f0b7409928)) - (segment (start 190.2867 54.1748) (end 192.0472 54.1748) (width 0.2) (layer "F.Cu") (net 396) (tstamp 9e4a30d4-99b0-4923-a77a-1253adea8fa1)) - (segment (start 196.100274 53.584526) (end 194.6676 55.0172) (width 0.2) (layer "F.Cu") (net 396) (tstamp a91c1501-53e9-4210-ab0a-429e8c83e049)) - (segment (start 189.9126 52.8632) (end 189.9126 53.8007) (width 0.2) (layer "F.Cu") (net 396) (tstamp b404e2cd-5b76-462a-ad4b-f0a5676ce980)) + (segment (start 204.548687 154.240463) (end 204.591087 154.282863) (width 0.2) (layer "F.Cu") (net 17) (tstamp 69573ce8-19cf-4641-979b-e6fecbfb7cc9)) + (segment (start 198.440687 154.240463) (end 204.548687 154.240463) (width 0.2) (layer "F.Cu") (net 17) (tstamp bba45ca3-9260-4867-9c6b-465b098e1105)) + (segment (start 162.711286 116.316) (end 161.6456 116.316) (width 0.2) (layer "F.Cu") (net 18) (tstamp 1ee3dac6-bfea-4c47-84b3-2eed4474af8f)) + (segment (start 193.865274 142.157956) (end 191.118844 139.411526) (width 0.2) (layer "F.Cu") (net 18) (tstamp 3790f27e-d1eb-470c-a35a-b13105172da3)) + (segment (start 164.623274 118.227988) (end 162.711286 116.316) (width 0.2) (layer "F.Cu") (net 18) (tstamp 6e3f4288-cbd5-4105-8982-094c934c1035)) + (segment (start 191.118844 139.411526) (end 177.541472 139.411526) (width 0.2) (layer "F.Cu") (net 18) (tstamp 716e9ea8-7e01-4bfe-89ba-d85c6b2fc0d9)) + (segment (start 164.623274 126.493321) (end 164.623274 118.227988) (width 0.2) (layer "F.Cu") (net 18) (tstamp 80b01109-9ae7-4b0c-b7af-ba815b1dce78)) + (segment (start 177.541472 139.411526) (end 164.623274 126.493321) (width 0.2) (layer "F.Cu") (net 18) (tstamp a2afe443-796f-4359-97e0-21dd721896cb)) + (segment (start 196.989274 154.240463) (end 193.865274 151.116463) (width 0.2) (layer "F.Cu") (net 18) (tstamp bb24ac12-5a07-4709-8068-9935cdeca677)) + (segment (start 193.865274 151.116463) (end 193.865274 142.157956) (width 0.2) (layer "F.Cu") (net 18) (tstamp dac86fb9-2a47-45c5-972d-f918ca6931de)) + (segment (start 204.625474 114.012526) (end 204.639674 113.998326) (width 0.2) (layer "F.Cu") (net 19) (tstamp aeb175b1-a50d-4061-967c-6ec9e2471b85)) + (segment (start 198.489274 114.012526) (end 204.625474 114.012526) (width 0.2) (layer "F.Cu") (net 19) (tstamp cc7fd627-47c5-4715-8a2d-1c6b9bc94b95)) + (segment (start 194.068274 114.012526) (end 196.989274 114.012526) (width 0.2) (layer "F.Cu") (net 20) (tstamp 506bd009-e307-498c-8867-26f3847dc051)) + (segment (start 155.3088 116.316) (end 154.698274 116.926526) (width 0.2) (layer "F.Cu") (net 20) (tstamp a8cb159e-4b24-43ae-9da7-181fee3d156e)) + (segment (start 157.6956 116.316) (end 155.3088 116.316) (width 0.2) (layer "F.Cu") (net 20) (tstamp f5236f1a-e058-4465-bc0d-b51267d2e401)) + (via (at 154.698274 116.926526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp 3df0625f-1fd3-4bf6-b10b-bc11c3681ace)) + (via (at 194.068274 114.012526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp 74a5e521-4e95-46f2-a4ef-cd822d9f0c21)) + (segment (start 159.604439 113.351526) (end 193.407274 113.351526) (width 0.2) (layer "B.Cu") (net 20) (tstamp 05736771-bcfe-44cb-a9b8-c5a6765c8d42)) + (segment (start 193.407274 113.351526) (end 194.068274 114.012526) (width 0.2) (layer "B.Cu") (net 20) (tstamp 4f59f337-cf03-40dd-a283-cee3796532dc)) + (segment (start 156.029438 116.926526) (end 159.604439 113.351526) (width 0.2) (layer "B.Cu") (net 20) (tstamp 8d55c1bd-f29f-4c3a-ad0d-cde92f3d7d61)) + (segment (start 154.698274 116.926526) (end 156.029438 116.926526) (width 0.2) (layer "B.Cu") (net 20) (tstamp fa067689-4ab2-4652-b06e-07723e43880c)) + (segment (start 207.390588 58.842526) (end 209.042274 57.19084) (width 0.2) (layer "F.Cu") (net 21) (tstamp 5ca5fa2f-1f97-4fec-baca-bf384f9bf788)) + (segment (start 206.375274 58.842526) (end 207.390588 58.842526) (width 0.2) (layer "F.Cu") (net 21) (tstamp 6c9a93d0-c4da-4925-8b87-950a1aaf36a2)) + (segment (start 209.042274 57.19084) (end 209.042274 54.696526) (width 0.2) (layer "F.Cu") (net 21) (tstamp 847ff9ab-38e7-4807-af06-5104578e510d)) + (segment (start 210.463274 54.696526) (end 209.042274 54.696526) (width 0.2) (layer "F.Cu") (net 21) (tstamp a5819d37-47db-488a-85e4-00aea29f411c)) + (segment (start 173.880274 125.811526) (end 173.875274 125.816526) (width 0.2) (layer "F.Cu") (net 22) (tstamp 3acfb732-d9da-48e5-8488-d0c4830fd17e)) + (segment (start 178.487674 125.811526) (end 173.880274 125.811526) (width 0.2) (layer "F.Cu") (net 22) (tstamp 535f9d58-01de-448d-9698-6f6c9dbdf242)) + (segment (start 150.23375 110.316) (end 157.6956 110.316) (width 0.2) (layer "F.Cu") (net 23) (tstamp 0b78ab42-0aa7-48b8-81c8-2550623fd9c7)) + (segment (start 148.983274 110.576526) (end 149.973224 110.576526) (width 0.2) (layer "F.Cu") (net 23) (tstamp 20630f72-2199-424d-ad68-c0024a5851ba)) + (segment (start 171.081274 120.609526) (end 171.208274 120.736526) (width 0.2) (layer "F.Cu") (net 23) (tstamp 55ad8fb4-d875-44c0-b9cc-fb54c6e5cfe3)) + (segment (start 149.973224 110.576526) (end 150.23375 110.316) (width 0.2) (layer "F.Cu") (net 23) (tstamp 57553833-0385-4989-a5c4-554d1f9d276b)) + (segment (start 171.208274 120.736526) (end 172.375274 120.736526) (width 0.2) (layer "F.Cu") (net 23) (tstamp f9c27aa0-2d7c-4e04-a97b-6d6be24e6100)) + (via (at 171.081274 120.609526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 23) (tstamp 6bec8d86-4ad0-4d6e-9c13-dde0d62147b1)) + (via (at 148.983274 110.576526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 23) (tstamp 90c524bd-eebc-409d-8fa3-352b87b97f51)) + (segment (start 149.042795 110.517005) (end 159.322755 110.517005) (width 0.2) (layer "In1.Cu") (net 23) (tstamp 449fa601-5b0a-4aca-9d8d-da2814d79cd7)) + (segment (start 148.983274 110.576526) (end 149.042795 110.517005) (width 0.2) (layer "In1.Cu") (net 23) (tstamp 725f7d01-84c4-40bc-a331-37f28e0baad8)) + (segment (start 169.415276 120.609526) (end 171.081274 120.609526) (width 0.2) (layer "In1.Cu") (net 23) (tstamp 92f7ed08-70ed-451d-81e5-6ecd3ebfae6c)) + (segment (start 159.322755 110.517005) (end 169.415276 120.609526) (width 0.2) (layer "In1.Cu") (net 23) (tstamp f2a8048d-89d6-4e12-87a7-972c09ce6705)) + (segment (start 204.582687 151.700463) (end 204.591087 151.692063) (width 0.2) (layer "F.Cu") (net 24) (tstamp ce05f574-903f-4ce4-a8f6-f4904c5883a7)) + (segment (start 198.440687 151.700463) (end 204.582687 151.700463) (width 0.2) (layer "F.Cu") (net 24) (tstamp f6022bf8-8a07-4d25-a5dd-0bcb4535d778)) + (segment (start 177.707158 139.011526) (end 165.023274 126.327636) (width 0.2) (layer "F.Cu") (net 25) (tstamp 32d5368d-513a-4b02-a0f3-5b073c2c1b8f)) + (segment (start 191.28453 139.011526) (end 177.707158 139.011526) (width 0.2) (layer "F.Cu") (net 25) (tstamp 3c595a06-933d-48f7-9454-52d070f19621)) + (segment (start 194.265274 141.99227) (end 191.28453 139.011526) (width 0.2) (layer "F.Cu") (net 25) (tstamp 4e065021-8c22-49a3-9243-b1b6080edbf4)) + (segment (start 165.023274 117.693674) (end 162.6456 115.316) (width 0.2) (layer "F.Cu") (net 25) (tstamp 78749c0e-14ce-414e-843a-b7d180f6319a)) + (segment (start 194.265274 148.976463) (end 194.265274 141.99227) (width 0.2) (layer "F.Cu") (net 25) (tstamp 80826224-6d24-4806-959d-b1d5804d8f75)) + (segment (start 165.023274 126.327636) (end 165.023274 117.693674) (width 0.2) (layer "F.Cu") (net 25) (tstamp 8f86dd1d-48e3-41b1-97c3-01583df331c6)) + (segment (start 196.989274 151.700463) (end 194.265274 148.976463) (width 0.2) (layer "F.Cu") (net 25) (tstamp c0c9ac34-8e9e-4f3e-b56c-ad8382d08ecc)) + (segment (start 162.6456 115.316) (end 161.6456 115.316) (width 0.2) (layer "F.Cu") (net 25) (tstamp dae22512-76ba-4340-a7f5-deb67590ad39)) + (segment (start 198.543474 111.418326) (end 198.489274 111.472526) (width 0.2) (layer "F.Cu") (net 26) (tstamp 2525b3aa-9947-47af-9a8b-13e79c4efacf)) + (segment (start 204.639674 111.418326) (end 198.543474 111.418326) (width 0.2) (layer "F.Cu") (net 26) (tstamp ed35fbb6-9e87-4904-b1b6-0dffbac4009f)) + (segment (start 155.183018 115.316) (end 157.6956 115.316) (width 0.2) (layer "F.Cu") (net 27) (tstamp 0457d873-5221-45ad-8bab-a5b0b708f4c4)) + (segment (start 194.068274 111.472526) (end 196.989274 111.472526) (width 0.2) (layer "F.Cu") (net 27) (tstamp 68d43468-3099-4006-bd9e-15252b8ff9d5)) + (segment (start 154.770383 115.728635) (end 155.183018 115.316) (width 0.2) (layer "F.Cu") (net 27) (tstamp ac3d0329-406b-4027-bcac-ea43b5203f0e)) + (via (at 194.068274 111.472526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 27) (tstamp 8e523e8b-cda4-4e1b-9d3a-e3651cb3a15f)) + (via (at 154.770383 115.728635) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 27) (tstamp cffac936-62bf-4496-a436-a9e906ebf7e5)) + (segment (start 154.770383 115.728635) (end 155.151615 115.728635) (width 0.2) (layer "B.Cu") (net 27) (tstamp 47ca19c3-c262-4b52-ab4a-4552511ca423)) + (segment (start 157.928724 112.951526) (end 192.589274 112.951526) (width 0.2) (layer "B.Cu") (net 27) (tstamp 6ff6f43c-f694-402f-870f-9ef6395f8000)) + (segment (start 155.151615 115.728635) (end 157.928724 112.951526) (width 0.2) (layer "B.Cu") (net 27) (tstamp e588c567-d55b-4a44-8a1b-adabc515621c)) + (segment (start 192.589274 112.951526) (end 194.068274 111.472526) (width 0.2) (layer "B.Cu") (net 27) (tstamp e95bc623-c806-44f0-a653-ad3d9433c87b)) + (segment (start 198.489274 108.932526) (end 204.575474 108.932526) (width 0.2) (layer "F.Cu") (net 28) (tstamp 170a895d-e82e-4e39-bb55-b167f282b8c6)) + (segment (start 204.575474 108.932526) (end 204.639674 108.868326) (width 0.2) (layer "F.Cu") (net 28) (tstamp 3cad0d3f-34da-4d9a-aa3a-4b5fa0b10091)) + (segment (start 198.589123 108.868326) (end 198.524923 108.932526) (width 0.2) (layer "F.Cu") (net 28) (tstamp 803f6f85-767e-406d-bf91-41a5f1dfa648)) + (segment (start 154.2688 114.816) (end 157.6956 114.816) (width 0.2) (layer "F.Cu") (net 29) (tstamp 53c7d66b-91d3-4a52-93ef-8002bc2f9bbb)) + (segment (start 194.068274 108.932526) (end 196.989274 108.932526) (width 0.2) (layer "F.Cu") (net 29) (tstamp 82ac1c79-fbfb-4f64-90fb-ceb655f1b0bd)) + (segment (start 154.063274 115.021526) (end 154.2688 114.816) (width 0.2) (layer "F.Cu") (net 29) (tstamp 97b5ed01-cb66-48ee-83c4-9ad92a89c968)) + (via (at 154.063274 115.021526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 29) (tstamp 3b609477-386d-48ad-9664-73d7c9ea8823)) + (via (at 194.068274 108.932526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 29) (tstamp 9e871f5a-226c-4e2d-aa04-0e7697371c64)) + (segment (start 155.293038 115.021526) (end 159.103038 111.211526) (width 0.2) (layer "B.Cu") (net 29) (tstamp 1667fd41-5441-4187-ba49-6e9a4c10670d)) + (segment (start 154.063274 115.021526) (end 155.293038 115.021526) (width 0.2) (layer "B.Cu") (net 29) (tstamp 5c36ac89-68bd-47cf-880b-4073a2acb900)) + (segment (start 159.103038 111.211526) (end 191.789274 111.211526) (width 0.2) (layer "B.Cu") (net 29) (tstamp 7079ab06-2940-41c4-9dbb-5381eefec31c)) + (segment (start 191.789274 111.211526) (end 194.068274 108.932526) (width 0.2) (layer "B.Cu") (net 29) (tstamp f95e4c22-9da4-4bfd-97aa-5e6104252d1e)) + (segment (start 204.591087 149.177463) (end 198.457687 149.177463) (width 0.2) (layer "F.Cu") (net 30) (tstamp e79be7c2-9aba-4167-be3f-a22297e48568)) + (segment (start 198.457687 149.177463) (end 198.440687 149.160463) (width 0.2) (layer "F.Cu") (net 30) (tstamp ea69aec0-e993-4e14-937a-cd678cb946a2)) + (segment (start 162.711286 114.816) (end 161.6456 114.816) (width 0.2) (layer "F.Cu") (net 31) (tstamp 3532267c-9ca1-435b-8600-f2dac7fec5f5)) + (segment (start 165.423274 117.527988) (end 162.711286 114.816) (width 0.2) (layer "F.Cu") (net 31) (tstamp 8510a7f8-8230-4abf-8b14-d604e3cad603)) + (segment (start 194.665274 146.836463) (end 194.665274 141.826584) (width 0.2) (layer "F.Cu") (net 31) (tstamp c5942633-78a4-4880-897b-e89e8306f28d)) + (segment (start 194.665274 141.826584) (end 191.450216 138.611526) (width 0.2) (layer "F.Cu") (net 31) (tstamp ccc98a99-06b1-4216-bf7e-1034ea7f8de6)) + (segment (start 177.872844 138.611526) (end 165.423274 126.161951) (width 0.2) (layer "F.Cu") (net 31) (tstamp ddbb36bf-329c-4ab4-865c-60f085d00ffc)) + (segment (start 196.989274 149.160463) (end 194.665274 146.836463) (width 0.2) (layer "F.Cu") (net 31) (tstamp e083312f-7e76-42eb-a7e9-4105b2932e08)) + (segment (start 191.450216 138.611526) (end 177.872844 138.611526) (width 0.2) (layer "F.Cu") (net 31) (tstamp eee127c3-e497-4276-a945-cfb1d607107b)) + (segment (start 165.423274 126.161951) (end 165.423274 117.527988) (width 0.2) (layer "F.Cu") (net 31) (tstamp ffd55d97-78a9-43e8-99fa-53543f73e595)) + (segment (start 207.530274 68.205726) (end 208.711274 69.386726) (width 0.2) (layer "F.Cu") (net 32) (tstamp 2fd12d40-649a-41ec-b64b-3a12ae558fb9)) + (segment (start 212.692274 61.509526) (end 214.751274 61.509526) (width 0.2) (layer "F.Cu") (net 32) (tstamp 652c9461-0e24-4313-94b9-7d1858bebf61)) + (segment (start 211.975274 60.792526) (end 212.692274 61.509526) (width 0.2) (layer "F.Cu") (net 32) (tstamp 7fb9fe56-2f63-43b4-9545-704899002937)) + (segment (start 208.711274 69.386726) (end 209.208874 69.386726) (width 0.2) (layer "F.Cu") (net 32) (tstamp df478b55-32c5-4342-a1b6-a3418cfc7034)) + (segment (start 214.751274 61.509526) (end 215.894274 60.366526) (width 0.2) (layer "F.Cu") (net 32) (tstamp eca1d19a-b3de-4610-82fb-4fab60bcce7b)) + (via (at 207.530274 68.205726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp 70b9d19f-281a-4495-bd8c-ac7cb7c4da47)) + (via (at 213.753274 61.554526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp 9ca83ce7-a634-428f-a3e7-14ac51b45e30)) + (segment (start 207.530274 67.777526) (end 213.753274 61.554526) (width 0.2) (layer "In1.Cu") (net 32) (tstamp 1574b7fc-d4fd-44b5-8c0e-baa6d11d4fd4)) + (segment (start 207.530274 68.205726) (end 207.530274 67.777526) (width 0.2) (layer "In1.Cu") (net 32) (tstamp cf2699a5-6e5e-43a2-9f1f-550707c5db62)) + (segment (start 187.262074 69.885726) (end 187.3106 69.8372) (width 0.2) (layer "F.Cu") (net 33) (tstamp 54792ce3-1937-4ee4-9f4f-afe5ce3641b4)) + (segment (start 202.075274 61.636526) (end 202.964274 62.525526) (width 0.2) (layer "F.Cu") (net 33) (tstamp 869e325e-a5ff-4c8f-9a29-8c0379c9e4cb)) + (segment (start 204.642274 62.525526) (end 206.375274 60.792526) (width 0.2) (layer "F.Cu") (net 33) (tstamp 8b7fbf21-cf73-4565-949f-ff9f998f2719)) + (segment (start 202.964274 62.525526) (end 204.642274 62.525526) (width 0.2) (layer "F.Cu") (net 33) (tstamp 977798f1-6f6d-49b2-93fb-5739513c0b6c)) + (segment (start 186.194274 69.885726) (end 187.262074 69.885726) (width 0.2) (layer "F.Cu") (net 33) (tstamp d13cdb6a-4472-4221-9c12-6890f0a2efa3)) + (via (at 186.194274 69.885726) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 33) (tstamp a8b19af4-02de-4218-9f4b-e49bf11b5578)) + (via (at 204.736274 62.443526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 33) (tstamp f7955dd2-59c1-453e-a336-4fb2a46abc0d)) + (segment (start 186.194274 69.885726) (end 197.294074 69.885726) (width 0.2) (layer "In1.Cu") (net 33) (tstamp cab4ca93-f844-4d7b-bb5a-2edebe2a22fb)) + (segment (start 197.294074 69.885726) (end 204.736274 62.443526) (width 0.2) (layer "In1.Cu") (net 33) (tstamp e2645654-e17b-45ce-b07a-cc16bf1caea7)) + (segment (start 204.581287 136.611526) (end 204.591087 136.601726) (width 0.2) (layer "F.Cu") (net 34) (tstamp 12ef045d-2f04-4ba0-b27b-a77c2debb826)) + (segment (start 198.440687 136.611526) (end 204.581287 136.611526) (width 0.2) (layer "F.Cu") (net 34) (tstamp 503cb7d6-859e-4e14-b0cc-8c02cf3fc270)) + (segment (start 178.701274 136.611526) (end 167.423274 125.333526) (width 0.2) (layer "F.Cu") (net 35) (tstamp 4a0d60c0-4e3f-489c-bfa0-1dfb6e7e0deb)) + (segment (start 167.423274 115.681526) (end 162.557748 110.816) (width 0.2) (layer "F.Cu") (net 35) (tstamp 583544b7-f9a7-423e-aca7-83cd939819b1)) + (segment (start 162.557748 110.816) (end 161.6456 110.816) (width 0.2) (layer "F.Cu") (net 35) (tstamp 7d866907-0df0-4711-b3fb-967281b51a74)) + (segment (start 167.423274 125.333526) (end 167.423274 115.681526) (width 0.2) (layer "F.Cu") (net 35) (tstamp e32ccc9c-f2a8-4b0c-8642-e27a8f9564e3)) + (segment (start 196.989274 136.611526) (end 178.701274 136.611526) (width 0.2) (layer "F.Cu") (net 35) (tstamp fb1f0a61-d301-4257-8b0f-0742a7848240)) + (segment (start 198.489274 96.527526) (end 204.634674 96.527526) (width 0.2) (layer "F.Cu") (net 36) (tstamp 4b2f5ce7-6af0-4555-897c-1812bd2b84e7)) + (segment (start 204.634674 96.527526) (end 204.639674 96.532526) (width 0.2) (layer "F.Cu") (net 36) (tstamp 74fbb9e5-212c-4e11-9ff9-f9d9d5273b33)) + (segment (start 150.25569 111.217005) (end 150.656695 110.816) (width 0.2) (layer "F.Cu") (net 37) (tstamp 6dd460f7-262f-40f8-acb4-f3d932e7408e)) + (segment (start 150.656695 110.816) (end 157.6956 110.816) (width 0.2) (layer "F.Cu") (net 37) (tstamp 77a8c24f-a9c3-4c76-a11d-9a2533f7eedc)) + (segment (start 194.147274 96.527526) (end 196.989274 96.527526) (width 0.2) (layer "F.Cu") (net 37) (tstamp 9b8059b8-7421-48f9-84d9-316363916461)) + (segment (start 194.068274 96.606526) (end 194.147274 96.527526) (width 0.2) (layer "F.Cu") (net 37) (tstamp c9c8012a-832f-4137-ab3e-284cc146e2e6)) + (via (at 150.25569 111.217005) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 37) (tstamp 1dd8adbd-c64e-4b49-9140-24a204fe9581)) + (via (at 194.068274 96.606526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 37) (tstamp 92416bda-8317-426c-a31e-5133a733534c)) + (segment (start 182.987274 109.211526) (end 192.968274 99.230526) (width 0.2) (layer "B.Cu") (net 37) (tstamp 2974dd7a-6f72-4192-8342-bdbd643d3c3e)) + (segment (start 150.25569 111.217005) (end 156.269132 111.217005) (width 0.2) (layer "B.Cu") (net 37) (tstamp 2ad971a3-2984-4bfd-a8eb-3006b8cefae7)) + (segment (start 192.968274 97.706526) (end 194.068274 96.606526) (width 0.2) (layer "B.Cu") (net 37) (tstamp 4bd4f0ee-313d-43b0-99b6-b9fd765af6e2)) + (segment (start 192.968274 99.230526) (end 192.968274 97.706526) (width 0.2) (layer "B.Cu") (net 37) (tstamp 9ec966d1-4bb5-4bbb-ad53-b451f0d68133)) + (segment (start 156.269132 111.217005) (end 158.274613 109.211526) (width 0.2) (layer "B.Cu") (net 37) (tstamp ae1415b8-cfea-42d0-ac30-f64a356c1fe8)) + (segment (start 158.274613 109.211526) (end 182.987274 109.211526) (width 0.2) (layer "B.Cu") (net 37) (tstamp e8f9416e-f0ed-4c70-b5fe-323cb416b82e)) + (segment (start 198.440687 141.540463) (end 204.584687 141.540463) (width 0.2) (layer "F.Cu") (net 38) (tstamp 0e60aa4c-0f49-4d9a-bbe5-2c61cf9231e9)) + (segment (start 204.584687 141.540463) (end 204.591087 141.546863) (width 0.2) (layer "F.Cu") (net 38) (tstamp 7178f5a0-d3eb-407c-b10e-31121676b30a)) + (segment (start 178.369902 137.411526) (end 166.623274 125.664896) (width 0.2) (layer "F.Cu") (net 39) (tstamp 200bec35-0388-46d4-91d9-a3c76b3315b3)) + (segment (start 196.989274 141.540463) (end 196.076211 141.540463) (width 0.2) (layer "F.Cu") (net 39) (tstamp 473892cb-34d5-4e59-a6ce-909895359bee)) + (segment (start 166.623274 116.293674) (end 162.6456 112.316) (width 0.2) (layer "F.Cu") (net 39) (tstamp 5b43c4d3-50b2-4179-8d43-c2dd9bcd9371)) + (segment (start 166.623274 125.664896) (end 166.623274 116.293674) (width 0.2) (layer "F.Cu") (net 39) (tstamp 81867fee-b42c-4f41-8d4f-7d22eab14062)) + (segment (start 162.6456 112.316) (end 161.6456 112.316) (width 0.2) (layer "F.Cu") (net 39) (tstamp a608e232-b795-4651-9cfe-8b2ee62c4300)) + (segment (start 196.076211 141.540463) (end 191.947274 137.411526) (width 0.2) (layer "F.Cu") (net 39) (tstamp e054f074-1a0e-45b8-a0e7-da1b26353763)) + (segment (start 191.947274 137.411526) (end 178.369902 137.411526) (width 0.2) (layer "F.Cu") (net 39) (tstamp fde88bf5-f93b-4a5b-b167-0b12138a341d)) + (segment (start 198.504274 101.622526) (end 198.489274 101.607526) (width 0.2) (layer "F.Cu") (net 40) (tstamp 45e600d5-d5d4-4cfc-a692-ea77f8c4c78b)) + (segment (start 204.639674 101.622526) (end 198.504274 101.622526) (width 0.2) (layer "F.Cu") (net 40) (tstamp 7705cfcf-5b47-4934-9930-9f451bbd0c0c)) + (segment (start 194.147274 101.607526) (end 196.989274 101.607526) (width 0.2) (layer "F.Cu") (net 41) (tstamp 232f6240-2e0e-4f40-b547-7fd34a3c055b)) + (segment (start 194.068274 101.686526) (end 194.147274 101.607526) (width 0.2) (layer "F.Cu") (net 41) (tstamp 49dc7f9f-2a76-4eef-8332-c00e6098ef1e)) + (segment (start 151.985126 112.316) (end 157.6956 112.316) (width 0.2) (layer "F.Cu") (net 41) (tstamp 7fcd797c-b8c8-4c60-8dc1-101aec8b27ac)) + (segment (start 151.671388 112.629738) (end 151.985126 112.316) (width 0.2) (layer "F.Cu") (net 41) (tstamp b2a2fcaa-8566-4899-8b51-9e500c5d95ca)) + (via (at 151.671388 112.629738) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 41) (tstamp 3dfce552-5e24-4946-aa26-7b1f3e490ecf)) + (via (at 194.068274 101.686526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 41) (tstamp 705009ed-b4e1-48cc-8f1e-7b45f145aa97)) + (segment (start 185.489274 110.011526) (end 193.814274 101.686526) (width 0.2) (layer "B.Cu") (net 41) (tstamp 443989bd-bf1f-43dd-9338-2c894f80a912)) + (segment (start 158.605983 110.011526) (end 185.489274 110.011526) (width 0.2) (layer "B.Cu") (net 41) (tstamp 62f26551-6d9a-4a97-a62c-932f8b7507a2)) + (segment (start 193.814274 101.686526) (end 194.068274 101.686526) (width 0.2) (layer "B.Cu") (net 41) (tstamp 8a3a6ac5-59d8-4b05-a9b8-3e7ca33c1bbf)) + (segment (start 156.200983 112.416526) (end 158.605983 110.011526) (width 0.2) (layer "B.Cu") (net 41) (tstamp 9f755819-a1fa-4fcc-b2b0-573789767a87)) + (segment (start 151.8846 112.416526) (end 156.200983 112.416526) (width 0.2) (layer "B.Cu") (net 41) (tstamp aa604f2f-2a4d-49c3-bc36-fb6707e0e20c)) + (segment (start 151.671388 112.629738) (end 151.8846 112.416526) (width 0.2) (layer "B.Cu") (net 41) (tstamp eead0a83-825b-4bd5-a0a7-e78379d1e71c)) + (segment (start 198.489274 99.067526) (end 204.634674 99.067526) (width 0.2) (layer "F.Cu") (net 42) (tstamp 6c05408e-5e90-4b69-ba49-dd583369d043)) + (segment (start 204.634674 99.067526) (end 204.639674 99.072526) (width 0.2) (layer "F.Cu") (net 42) (tstamp c32da969-283c-4c74-8439-186cca822b96)) + (segment (start 150.964513 111.922395) (end 151.070908 111.816) (width 0.2) (layer "F.Cu") (net 43) (tstamp 53ec2750-311f-4b35-8631-220de38994ca)) + (segment (start 194.068274 99.146526) (end 194.147274 99.067526) (width 0.2) (layer "F.Cu") (net 43) (tstamp aee8362f-2e42-40ab-aaa2-72fb281332aa)) + (segment (start 151.070908 111.816) (end 157.6956 111.816) (width 0.2) (layer "F.Cu") (net 43) (tstamp c98b903f-a047-4577-a05d-48e796d78d2d)) + (segment (start 194.147274 99.067526) (end 196.989274 99.067526) (width 0.2) (layer "F.Cu") (net 43) (tstamp fab9c406-94df-4ee6-992e-4afcbd367b18)) + (via (at 150.964513 111.922395) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 43) (tstamp 83a585e8-9d2a-463c-a2d6-51a3b2d969ab)) + (via (at 194.068274 99.146526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 43) (tstamp ae4006ee-2087-4c1d-81a2-08743f7376e9)) + (segment (start 183.603274 109.611526) (end 194.068274 99.146526) (width 0.2) (layer "B.Cu") (net 43) (tstamp 27afe7af-dc10-4bc9-9e36-af70e24716bc)) + (segment (start 150.964513 111.922395) (end 156.129428 111.922395) (width 0.2) (layer "B.Cu") (net 43) (tstamp 619b7914-9524-470c-8b92-5ef8800511a3)) + (segment (start 156.129428 111.922395) (end 158.440298 109.611526) (width 0.2) (layer "B.Cu") (net 43) (tstamp ac53a144-3ba8-4660-8325-974d8fe310dd)) + (segment (start 158.440298 109.611526) (end 183.603274 109.611526) (width 0.2) (layer "B.Cu") (net 43) (tstamp d27839f4-87ba-424f-b9c1-c840a8fc7061)) + (segment (start 204.591087 139.170326) (end 198.459487 139.170326) (width 0.2) (layer "F.Cu") (net 44) (tstamp 31a2d83b-46e0-4c56-a557-339ef1751a64)) + (segment (start 198.459487 139.170326) (end 198.440687 139.151526) (width 0.2) (layer "F.Cu") (net 44) (tstamp 3d064b66-46ca-4a7c-8e6a-acc9f7bdbaf8)) + (segment (start 161.067748 111.846526) (end 161.048274 111.866) (width 0.2) (layer "F.Cu") (net 45) (tstamp 0788af6a-08a5-4897-a64b-1acd1d2910b5)) + (segment (start 193.579274 137.011526) (end 178.535588 137.011526) (width 0.2) (layer "F.Cu") (net 45) (tstamp 2d325a33-bd86-41fa-9a88-b810ef315d9b)) + (segment (start 195.719274 139.151526) (end 193.579274 137.011526) (width 0.2) (layer "F.Cu") (net 45) (tstamp 37c6711f-6abb-446a-b8df-ba58c7bb349b)) + (segment (start 167.023274 116.127988) (end 162.711286 111.816) (width 0.2) (layer "F.Cu") (net 45) (tstamp 3a8c9d85-7069-4342-af0a-9093bed7c0c4)) + (segment (start 196.989274 139.151526) (end 195.719274 139.151526) (width 0.2) (layer "F.Cu") (net 45) (tstamp 571236fb-4f30-4b1b-8406-7ccb0dc4fda8)) + (segment (start 162.711286 111.816) (end 161.6456 111.816) (width 0.2) (layer "F.Cu") (net 45) (tstamp c5c72fb8-8834-4070-a194-2a4822b3b0df)) + (segment (start 167.023274 125.499211) (end 167.023274 116.127988) (width 0.2) (layer "F.Cu") (net 45) (tstamp ec920673-fffa-47d1-a5ef-d1c8a06a3f09)) + (segment (start 178.535588 137.011526) (end 167.023274 125.499211) (width 0.2) (layer "F.Cu") (net 45) (tstamp f1aee1a7-58fd-4efc-a4f8-cc4e7eacfe8b)) + (segment (start 86.602802 76.281087) (end 92.585822 76.281087) (width 0.2) (layer "F.Cu") (net 46) (tstamp 2caa1116-71b4-439c-a49c-ff33aa40b9ec)) + (segment (start 92.585822 76.281087) (end 92.603422 76.298687) (width 0.2) (layer "F.Cu") (net 46) (tstamp fb77362e-eae7-454a-9aa5-2c65228da026)) + (segment (start 95.735087 76.298687) (end 105.8376 86.4012) (width 0.2) (layer "F.Cu") (net 47) (tstamp 08cd93a7-f140-4495-a367-73abfa39b1c0)) + (segment (start 111.6956 95.316) (end 112.6956 95.316) (width 0.2) (layer "F.Cu") (net 47) (tstamp 3173b791-5516-4712-9402-85050b122681)) + (segment (start 94.103422 76.298687) (end 95.735087 76.298687) (width 0.2) (layer "F.Cu") (net 47) (tstamp 56e4041d-ac3c-425d-bf72-6295aa4df2e9)) + (segment (start 105.8376 89.458) (end 111.6956 95.316) (width 0.2) (layer "F.Cu") (net 47) (tstamp 5ae3ab19-cd00-4f5b-9f36-7274cd1db531)) + (segment (start 105.8376 86.4012) (end 105.8376 89.458) (width 0.2) (layer "F.Cu") (net 47) (tstamp 80a9f59b-51e3-4a94-aba6-4570bfa29e88)) + (segment (start 86.620402 78.848687) (end 86.602802 78.831087) (width 0.2) (layer "F.Cu") (net 48) (tstamp 86f300fa-fbf9-404d-b436-ed70ae117c86)) + (segment (start 92.591422 78.848687) (end 86.620402 78.848687) (width 0.2) (layer "F.Cu") (net 48) (tstamp e87f91aa-1215-45a5-b9b6-d064c6cd92e4)) + (segment (start 94.091422 78.848687) (end 95.618087 78.848687) (width 0.2) (layer "F.Cu") (net 49) (tstamp 6b4d5398-5b97-44b9-988a-0f1dd652ceb7)) + (segment (start 105.4376 89.623686) (end 111.629914 95.816) (width 0.2) (layer "F.Cu") (net 49) (tstamp 815d27d4-15c7-43f4-a8af-9fda6e48c2d7)) + (segment (start 111.629914 95.816) (end 112.6956 95.816) (width 0.2) (layer "F.Cu") (net 49) (tstamp b25a7060-e801-44cf-ad70-576a845e39dd)) + (segment (start 95.618087 78.848687) (end 105.4376 88.6682) (width 0.2) (layer "F.Cu") (net 49) (tstamp f13554c2-1fcd-44d9-b8e7-a7c02fdc3e69)) + (segment (start 105.4376 88.6682) (end 105.4376 89.623686) (width 0.2) (layer "F.Cu") (net 49) (tstamp f31a6e3a-c0f4-4e96-b66c-dfa59c8ba441)) + (segment (start 69.864118 53.735252) (end 75.615605 53.735252) (width 0.2) (layer "F.Cu") (net 50) (tstamp 0e90e249-c2b5-4c37-8620-93ed480ad33b)) + (segment (start 75.615605 53.735252) (end 75.706005 53.825652) (width 0.2) (layer "F.Cu") (net 50) (tstamp 5fb7666f-a032-4e5c-a0a2-bc4714099b41)) + (segment (start 77.206005 53.825652) (end 79.442834 53.825652) (width 0.2) (layer "F.Cu") (net 51) (tstamp 16debcf5-b2a4-4416-a599-c13bd9c286a4)) + (segment (start 119.7376 86.3312) (end 119.7224 86.316) (width 0.2) (layer "F.Cu") (net 51) (tstamp 238221fd-b7d4-468f-82a6-19c070c0f814)) + (segment (start 79.442834 53.825652) (end 79.475634 53.792852) (width 0.2) (layer "F.Cu") (net 51) (tstamp 44da0c46-201e-4e83-8618-da792c89127f)) + (segment (start 119.7224 86.316) (end 116.6456 86.316) (width 0.2) (layer "F.Cu") (net 51) (tstamp 82bf4257-47c4-4080-bde2-642db4bca293)) + (via (at 79.475634 53.792852) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 51) (tstamp 238a0aaa-eafd-4654-a52c-8598afbbb958)) + (via (at 119.7376 86.3312) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 51) (tstamp 8d570f4f-3de2-4541-b334-5e5b2427d711)) + (segment (start 79.475634 53.792852) (end 88.682376 53.792852) (width 0.2) (layer "B.Cu") (net 51) (tstamp 24409a71-7933-408f-8bde-3ec0667c5033)) + (segment (start 119.7376 84.848076) (end 119.7376 85.430526) (width 0.2) (layer "B.Cu") (net 51) (tstamp 7a60de7c-a6bb-4f3e-bf22-ab8c7aa1a51f)) + (segment (start 88.682376 53.792852) (end 119.7376 84.848076) (width 0.2) (layer "B.Cu") (net 51) (tstamp 945dbbda-a58e-4f54-8f5d-af1a0156c008)) + (segment (start 119.7376 85.430526) (end 119.7376 86.3312) (width 0.2) (layer "B.Cu") (net 51) (tstamp a3c9d90b-3f05-4eba-8f12-2bb7303d5cc1)) + (segment (start 75.569407 33.546575) (end 75.581558 33.558726) (width 0.2) (layer "F.Cu") (net 52) (tstamp 55f8adea-b19c-45da-bda7-70c9702dbc5e)) + (segment (start 69.862274 33.546575) (end 75.569407 33.546575) (width 0.2) (layer "F.Cu") (net 52) (tstamp b9bffaf7-5de6-40e1-a3ce-6ed43a4070fc)) + (segment (start 111.6956 86.316) (end 112.6956 86.316) (width 0.2) (layer "F.Cu") (net 53) (tstamp 0c9c122b-733f-4f0e-9b07-99a7470a39ef)) + (segment (start 77.081558 33.558726) (end 83.475126 33.558726) (width 0.2) (layer "F.Cu") (net 53) (tstamp bb046514-1922-4197-9f66-32803fd1d6d2)) + (segment (start 110.9746 61.0582) (end 110.9746 85.595) (width 0.2) (layer "F.Cu") (net 53) (tstamp c2e2cf4b-5d1c-45e0-a9cc-d18e46b88a9c)) + (segment (start 110.9746 85.595) (end 111.6956 86.316) (width 0.2) (layer "F.Cu") (net 53) (tstamp e1457540-52c5-4c43-8bee-1514e0dfa1bb)) + (segment (start 83.475126 33.558726) (end 110.9746 61.0582) (width 0.2) (layer "F.Cu") (net 53) (tstamp f6c2a07b-e786-410c-b571-e11c88db80f2)) + (segment (start 198.912413 134.096311) (end 198.892628 134.076526) (width 0.2) (layer "F.Cu") (net 54) (tstamp 51ebbdd0-1b74-4ea2-868d-303231c83bd1)) + (segment (start 204.778674 134.096311) (end 198.648059 134.096311) (width 0.2) (layer "F.Cu") (net 54) (tstamp 78bec174-388f-4fda-be1f-04450e5a06f7)) + (segment (start 198.648059 134.096311) (end 198.628274 134.076526) (width 0.2) (layer "F.Cu") (net 54) (tstamp caba7575-800c-4f76-994d-ba56d388dd14)) + (segment (start 163.869706 107.816) (end 161.6456 107.816) (width 0.2) (layer "F.Cu") (net 55) (tstamp 2fe41230-e1be-46de-9ef0-efeb918c0677)) + (segment (start 196.989274 134.076526) (end 192.163274 129.250526) (width 0.2) (layer "F.Cu") (net 55) (tstamp 34cae475-2758-409d-bf3b-d030ef036b5e)) + (segment (start 188.988274 115.656526) (end 171.710232 115.656526) (width 0.2) (layer "F.Cu") (net 55) (tstamp 38b34c75-f8e1-4431-a3e0-c840ec2a8f98)) + (segment (start 192.163274 129.250526) (end 192.163274 118.831526) (width 0.2) (layer "F.Cu") (net 55) (tstamp 96dee4d6-ee0d-452c-bb16-f4bcea40850a)) + (segment (start 192.163274 118.831526) (end 188.988274 115.656526) (width 0.2) (layer "F.Cu") (net 55) (tstamp d35d4a2d-86e9-4ce4-ba7f-5e0004058ecb)) + (segment (start 171.710232 115.656526) (end 163.869706 107.816) (width 0.2) (layer "F.Cu") (net 55) (tstamp dfbee7d1-33e8-4bbe-b3ca-dd050d3e45da)) + (segment (start 204.639674 93.915126) (end 198.494274 93.915126) (width 0.2) (layer "F.Cu") (net 56) (tstamp 5b8db3bb-468e-4fc5-835d-d5697085bb3c)) + (segment (start 198.494274 93.915126) (end 198.489274 93.910126) (width 0.2) (layer "F.Cu") (net 56) (tstamp b4a3578d-0d8f-4cc9-866b-9e2a45328ab4)) + (segment (start 198.529572 93.915126) (end 198.524572 93.910126) (width 0.2) (layer "F.Cu") (net 56) (tstamp d88b9bd3-66b8-45b2-b37d-5d35dc2b345c)) + (segment (start 151.948637 107.631908) (end 152.132729 107.816) (width 0.2) (layer "F.Cu") (net 57) (tstamp 31b5930e-8f38-4200-b6bb-9f1c71d718b8)) + (segment (start 194.224674 93.910126) (end 196.989274 93.910126) (width 0.2) (layer "F.Cu") (net 57) (tstamp d62e8466-c692-40f1-8cf4-0836f0fcc0a5)) + (segment (start 194.068274 94.066526) (end 194.224674 93.910126) (width 0.2) (layer "F.Cu") (net 57) (tstamp dd18d7f5-53f6-4446-bd8b-3cf8b2ec9329)) + (segment (start 152.132729 107.816) (end 157.6956 107.816) (width 0.2) (layer "F.Cu") (net 57) (tstamp ff4ffa12-b7e2-4700-8af1-01421be2b693)) + (via (at 151.948637 107.631908) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 57) (tstamp 460dc497-faff-4a04-b81b-fa0caf58c523)) + (via (at 194.068274 94.066526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 57) (tstamp bb8996a8-7ec9-4750-a524-5c03b870b36c)) + (segment (start 194.068274 95.082526) (end 194.068274 94.066526) (width 0.2) (layer "B.Cu") (net 57) (tstamp 0e224648-ab70-41b3-98e1-cd71c85fa69a)) + (segment (start 158.930342 107.631908) (end 159.795724 106.766526) (width 0.2) (layer "B.Cu") (net 57) (tstamp 1594fdf0-5b26-4c93-9b9b-db896f9c4869)) + (segment (start 182.384274 106.766526) (end 194.068274 95.082526) (width 0.2) (layer "B.Cu") (net 57) (tstamp 516d55f7-9122-42b9-8f13-5ea330d11d78)) + (segment (start 159.795724 106.766526) (end 182.384274 106.766526) (width 0.2) (layer "B.Cu") (net 57) (tstamp 8ac135c6-70db-468e-9736-8f530de14030)) + (segment (start 151.948637 107.631908) (end 158.930342 107.631908) (width 0.2) (layer "B.Cu") (net 57) (tstamp fbd6f1a4-ab4c-4989-8d67-fdebae25a0e1)) + (segment (start 69.914518 56.325652) (end 69.864118 56.275252) (width 0.2) (layer "F.Cu") (net 58) (tstamp 06822ccf-33b2-44c2-8160-d9947b2cbb8c)) + (segment (start 75.697356 56.325652) (end 69.914518 56.325652) (width 0.2) (layer "F.Cu") (net 58) (tstamp 830e198d-2186-4c7c-a363-682d6be4895b)) + (segment (start 79.341434 56.325652) (end 77.197356 56.325652) (width 0.2) (layer "F.Cu") (net 59) (tstamp 049aec4f-356d-4b8e-aeb2-9dce391b50ce)) + (segment (start 118.8486 87.0932) (end 118.5714 86.816) (width 0.2) (layer "F.Cu") (net 59) (tstamp 863b9c2a-d67d-4669-8e55-f7adf8970875)) + (segment (start 79.475634 56.459852) (end 79.341434 56.325652) (width 0.2) (layer "F.Cu") (net 59) (tstamp 8728bf67-d2ff-4a61-ba7d-42dda37669f4)) + (segment (start 118.5714 86.816) (end 116.6456 86.816) (width 0.2) (layer "F.Cu") (net 59) (tstamp ad4bf6fa-3475-45a7-8da8-4c47b56cf9c6)) + (via (at 79.475634 56.459852) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 59) (tstamp a7550673-0951-4f7e-b6e9-208f5e666e6d)) + (via (at 118.8486 87.0932) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 59) (tstamp c3e764b7-5dc9-44a4-9f40-18ecc2e0ff68)) + (segment (start 113.239074 81.483675) (end 113.239074 81.901638) (width 0.2) (layer "B.Cu") (net 59) (tstamp 10c9de46-bd1d-46a2-8e4b-38c58a0be194)) + (segment (start 115.731 84.393564) (end 115.731 84.399864) (width 0.2) (layer "B.Cu") (net 59) (tstamp 3da9ec3a-f867-4bcc-8af4-25d4d2c945a7)) + (segment (start 87.594925 55.839526) (end 113.239074 81.483675) (width 0.2) (layer "B.Cu") (net 59) (tstamp 853bd6b4-9c5b-406a-ac8a-4148b6104530)) + (segment (start 79.475634 56.459852) (end 80.09596 55.839526) (width 0.2) (layer "B.Cu") (net 59) (tstamp c2c74d3e-a52a-4d67-be92-68985bff0eda)) + (segment (start 118.424336 87.0932) (end 118.8486 87.0932) (width 0.2) (layer "B.Cu") (net 59) (tstamp c620bae8-2be0-451e-bfa9-3d89a8218046)) + (segment (start 113.239074 81.901638) (end 115.731 84.393564) (width 0.2) (layer "B.Cu") (net 59) (tstamp c87e05f3-2c01-4c95-a931-0669b1f72c93)) + (segment (start 80.09596 55.839526) (end 87.594925 55.839526) (width 0.2) (layer "B.Cu") (net 59) (tstamp f1fb2f2c-98eb-442b-8195-cafce3f3710c)) + (segment (start 115.731 84.399864) (end 118.424336 87.0932) (width 0.2) (layer "B.Cu") (net 59) (tstamp fde55dc5-52ba-4684-b6b2-b6be8aaa30bf)) + (segment (start 69.894958 35.987126) (end 69.854558 36.027526) (width 0.2) (layer "F.Cu") (net 60) (tstamp 9f3746cb-f22a-47b3-b8c4-a24f6241bcfa)) + (segment (start 75.845777 35.987126) (end 69.894958 35.987126) (width 0.2) (layer "F.Cu") (net 60) (tstamp c5b35dfe-e962-47fb-973d-00f26292879c)) + (segment (start 110.5746 63.0712) (end 110.5746 85.760686) (width 0.2) (layer "F.Cu") (net 61) (tstamp 16bc1725-62c0-4c6b-bc11-82d793f010e5)) + (segment (start 110.5746 85.760686) (end 111.629914 86.816) (width 0.2) (layer "F.Cu") (net 61) (tstamp 525943d8-7025-407b-886d-45adac370964)) + (segment (start 77.081558 35.987126) (end 83.490526 35.987126) (width 0.2) (layer "F.Cu") (net 61) (tstamp 57a48156-f112-4e7e-88f2-715824a4a156)) + (segment (start 111.629914 86.816) (end 112.6956 86.816) (width 0.2) (layer "F.Cu") (net 61) (tstamp 71b32f3a-a4bb-45e8-b648-5a62e2948f7f)) + (segment (start 83.490526 35.987126) (end 110.5746 63.0712) (width 0.2) (layer "F.Cu") (net 61) (tstamp b7bdb1d5-ef7f-4357-a320-be14be19067d)) + (segment (start 86.606558 50.222223) (end 92.567377 50.222223) (width 0.2) (layer "F.Cu") (net 62) (tstamp f6132b8f-d3b2-4819-81fb-a227f00a2888)) + (segment (start 92.567377 50.222223) (end 92.597777 50.191823) (width 0.2) (layer "F.Cu") (net 62) (tstamp fbf41a8c-8dd0-4ad4-acd9-992393db094f)) + (segment (start 110.1746 65.3382) (end 110.1746 86.295) (width 0.2) (layer "F.Cu") (net 63) (tstamp 11c726d9-f119-41e5-9877-ea4b9ceec56a)) + (segment (start 110.1746 86.295) (end 111.6956 87.816) (width 0.2) (layer "F.Cu") (net 63) (tstamp 1e143d7d-3998-49f2-8bad-e99d1680f87b)) + (segment (start 94.097777 50.191823) (end 95.028223 50.191823) (width 0.2) (layer "F.Cu") (net 63) (tstamp 52751694-b1c4-4641-a392-f52d53539a21)) + (segment (start 95.028223 50.191823) (end 110.1746 65.3382) (width 0.2) (layer "F.Cu") (net 63) (tstamp a59bf4d8-395d-42a8-8ccc-79648c8db20c)) + (segment (start 111.6956 87.816) (end 112.6956 87.816) (width 0.2) (layer "F.Cu") (net 63) (tstamp fd1e9399-9008-4cba-a7a1-a01e721ca12c)) + (segment (start 75.66503 59.395526) (end 75.69043 59.370126) (width 0.2) (layer "F.Cu") (net 64) (tstamp 0a3dffc1-ff5f-486c-aae7-c4c97351c731)) + (segment (start 69.850274 59.395526) (end 75.66503 59.395526) (width 0.2) (layer "F.Cu") (net 64) (tstamp dcc6d93e-50ea-4016-a313-d66c474c28aa)) + (segment (start 119.7376 87.8552) (end 119.6984 87.816) (width 0.2) (layer "F.Cu") (net 65) (tstamp 10d15db6-e032-4885-a363-57d755d4904b)) + (segment (start 119.6984 87.816) (end 116.6456 87.816) (width 0.2) (layer "F.Cu") (net 65) (tstamp 27c9fc3d-e369-4ed9-9a1c-70fb9f16dc90)) + (segment (start 77.19043 59.370126) (end 79.25179 59.370126) (width 0.2) (layer "F.Cu") (net 65) (tstamp b5f6519a-b4ae-4267-85d6-8ae6bfed411d)) + (segment (start 79.25179 59.370126) (end 79.46179 59.580126) (width 0.2) (layer "F.Cu") (net 65) (tstamp dbb99040-efb3-406c-8e78-a8f437c7e651)) + (via (at 79.46179 59.580126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 65) (tstamp 279c9a16-3d3c-4783-a445-b2b1fb9f9cd8)) + (via (at 119.7376 87.8552) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 65) (tstamp 3ed1fe1d-ff48-46b7-9a49-ed3598451af3)) + (segment (start 115.331 84.55925) (end 112.839074 82.067324) (width 0.2) (layer "B.Cu") (net 65) (tstamp 1be3f5fa-7da6-49e3-b5d8-d85f6e227205)) + (segment (start 90.189566 58.999852) (end 80.042064 58.999852) (width 0.2) (layer "B.Cu") (net 65) (tstamp 20964a84-02a0-42dc-9056-ef9a02052f83)) + (segment (start 119.7376 87.8552) (end 118.62065 87.8552) (width 0.2) (layer "B.Cu") (net 65) (tstamp 2209a6bb-0c0c-40ab-878a-775721270f00)) + (segment (start 112.839074 82.067324) (end 112.839074 81.64936) (width 0.2) (layer "B.Cu") (net 65) (tstamp 74171fbd-a795-412c-8df9-6ef9e3f10ce3)) + (segment (start 80.042064 58.999852) (end 79.46179 59.580126) (width 0.2) (layer "B.Cu") (net 65) (tstamp 96b4f5c7-f886-48e5-b509-59e01a3ffdc1)) + (segment (start 115.331 84.56555) (end 115.331 84.55925) (width 0.2) (layer "B.Cu") (net 65) (tstamp 9c05cc01-a2d6-4764-a473-ece5b59ef1fb)) + (segment (start 118.62065 87.8552) (end 115.331 84.56555) (width 0.2) (layer "B.Cu") (net 65) (tstamp c3ba692a-c6dc-4690-a80d-0bb1a4fb451d)) + (segment (start 112.839074 81.64936) (end 90.189566 58.999852) (width 0.2) (layer "B.Cu") (net 65) (tstamp fe0ac5f7-7251-496a-87fb-8bb413ed7c3e)) + (segment (start 92.594777 55.302223) (end 92.630177 55.337623) (width 0.2) (layer "F.Cu") (net 66) (tstamp 8e2791c8-19e3-4d96-926f-575d358aece7)) + (segment (start 86.630558 55.302223) (end 92.594777 55.302223) (width 0.2) (layer "F.Cu") (net 66) (tstamp e192034d-57ef-4af3-aec1-ebb09aff33db)) + (segment (start 95.214926 55.458526) (end 109.3746 69.6182) (width 0.2) (layer "F.Cu") (net 67) (tstamp 151fc6fe-1688-4cb8-9ef2-9bd03d52e961)) + (segment (start 94.25108 55.458526) (end 95.214926 55.458526) (width 0.2) (layer "F.Cu") (net 67) (tstamp 20331d48-d8b3-4248-83e6-c71fbf80a9c8)) + (segment (start 111.6956 89.316) (end 112.6956 89.316) (width 0.2) (layer "F.Cu") (net 67) (tstamp 716b8402-bdd1-4713-8793-55c8dda74f11)) + (segment (start 109.3746 69.6182) (end 109.3746 86.995) (width 0.2) (layer "F.Cu") (net 67) (tstamp adf625e3-6590-4155-829b-590ef8742e9e)) + (segment (start 94.130177 55.337623) (end 94.25108 55.458526) (width 0.2) (layer "F.Cu") (net 67) (tstamp c1532d62-2274-432b-9564-2f28cdffac81)) + (segment (start 109.3746 86.995) (end 111.6956 89.316) (width 0.2) (layer "F.Cu") (net 67) (tstamp d4f49bb0-7b79-4420-a73c-fbbf23d78b73)) + (segment (start 92.572777 65.462223) (end 92.618177 65.507623) (width 0.2) (layer "F.Cu") (net 68) (tstamp 37f669ff-56f7-4768-8f5d-a03e4fba0e77)) + (segment (start 86.618558 65.462223) (end 92.572777 65.462223) (width 0.2) (layer "F.Cu") (net 68) (tstamp 6277be90-1c17-45f7-bb19-853c60129472)) + (segment (start 94.22908 65.618526) (end 95.087926 65.618526) (width 0.2) (layer "F.Cu") (net 69) (tstamp 04ac83c7-0bb9-4f7a-aafc-199bfb8e5856)) + (segment (start 111.6956 92.316) (end 112.6956 92.316) (width 0.2) (layer "F.Cu") (net 69) (tstamp 619f01c1-fa4b-4cc8-b342-21914c82f6b4)) + (segment (start 107.7746 78.3052) (end 107.7746 88.395) (width 0.2) (layer "F.Cu") (net 69) (tstamp 61ee61ad-74af-42e1-bcc5-f2ab48c50620)) + (segment (start 107.7746 88.395) (end 111.6956 92.316) (width 0.2) (layer "F.Cu") (net 69) (tstamp b55d1810-aed7-4f03-9c99-1dbea8aa995c)) + (segment (start 94.118177 65.507623) (end 94.22908 65.618526) (width 0.2) (layer "F.Cu") (net 69) (tstamp d15f0255-43f6-408a-90f4-9232cf16f1ff)) + (segment (start 95.087926 65.618526) (end 107.7746 78.3052) (width 0.2) (layer "F.Cu") (net 69) (tstamp f45935c4-8bca-4352-b982-66055b7c1efa)) + (segment (start 69.953634 132.544526) (end 75.551634 132.544526) (width 0.2) (layer "F.Cu") (net 70) (tstamp 81a0eeed-ea78-4a2c-bab2-2a472e160cb8)) + (segment (start 75.551634 132.544526) (end 75.561634 132.554526) (width 0.2) (layer "F.Cu") (net 70) (tstamp 96f2234b-8fbe-408b-a3bd-6ccdf070d25d)) + (segment (start 79.291634 132.554526) (end 77.061634 132.554526) (width 0.2) (layer "F.Cu") (net 71) (tstamp 2dafe855-6fd3-4383-8410-6ae27978e454)) + (segment (start 118.45468 109.516) (end 118.25468 109.316) (width 0.2) (layer "F.Cu") (net 71) (tstamp 8620b240-bb92-4617-be67-759a367ee519)) + (segment (start 118.25468 109.316) (end 116.6456 109.316) (width 0.2) (layer "F.Cu") (net 71) (tstamp f2066220-08dc-4711-891e-56784c800e5e)) + (segment (start 79.475634 132.738526) (end 79.291634 132.554526) (width 0.2) (layer "F.Cu") (net 71) (tstamp f4d78d56-a7be-4ad4-a9ca-8603ebdd0590)) + (via (at 118.45468 109.516) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 71) (tstamp b5bc0dac-89a4-4c8b-843c-4e38f16052d6)) + (via (at 79.475634 132.738526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 71) (tstamp d7f7f587-3ae7-43cd-9b3b-37023092db04)) + (segment (start 118.422451 109.548229) (end 118.45468 109.516) (width 0.2) (layer "B.Cu") (net 71) (tstamp 0788e3e1-26a5-440b-afce-3abf4539dbdf)) + (segment (start 79.475634 132.738526) (end 79.475634 132.078166) (width 0.2) (layer "B.Cu") (net 71) (tstamp 9929f8a5-28da-4ea4-839e-de68ede41e2a)) + (segment (start 102.005571 109.548229) (end 118.422451 109.548229) (width 0.2) (layer "B.Cu") (net 71) (tstamp a6f6044c-455f-4146-af69-b6db72fd3ad3)) + (segment (start 79.475634 132.078166) (end 102.005571 109.548229) (width 0.2) (layer "B.Cu") (net 71) (tstamp e84fa305-d70b-446e-a795-35e01781995d)) + (segment (start 86.559128 123.468097) (end 92.636159 123.468097) (width 0.2) (layer "F.Cu") (net 72) (tstamp 48d2f472-5d02-42b7-bfcb-7c709d0b6ee4)) + (segment (start 92.636159 123.468097) (end 92.658991 123.490929) (width 0.2) (layer "F.Cu") (net 72) (tstamp cf8e2615-e04f-40a6-9689-4f1fe819a645)) + (segment (start 94.311921 123.490929) (end 108.48685 109.316) (width 0.2) (layer "F.Cu") (net 73) (tstamp 670a85e7-7565-4b52-8a95-b02c46f08afb)) + (segment (start 108.48685 109.316) (end 112.6956 109.316) (width 0.2) (layer "F.Cu") (net 73) (tstamp 7ceaef40-d1ef-421e-88d8-6dfddf1522e1)) + (segment (start 94.0716 123.490929) (end 94.311921 123.490929) (width 0.2) (layer "F.Cu") (net 73) (tstamp 984925ca-db15-477b-a527-ea80b93c367f)) + (segment (start 125.160742 92.316) (end 119.711 92.316) (width 0.2) (layer "F.Cu") (net 74) (tstamp 0622d370-fec2-4d3a-85c1-dd23693e8bec)) + (segment (start 154.652216 62.824526) (end 125.160742 92.316) (width 0.2) (layer "F.Cu") (net 74) (tstamp 67b2f64c-9872-4709-9f72-4ea4b0f9247d)) + (segment (start 169.379474 62.824526) (end 154.652216 62.824526) (width 0.2) (layer "F.Cu") (net 74) (tstamp 6a465b33-342e-434b-b0d7-a6525c802929)) + (segment (start 79.46179 74.820126) (end 79.25579 74.614126) (width 0.2) (layer "F.Cu") (net 74) (tstamp 74e640fe-7699-4632-ae70-599eab895ab1)) + (segment (start 79.25579 74.614126) (end 77.08979 74.614126) (width 0.2) (layer "F.Cu") (net 74) (tstamp aa42f83a-7309-4f78-b38f-e33bbc72c950)) + (segment (start 119.711 92.316) (end 116.6456 92.316) (width 0.2) (layer "F.Cu") (net 74) (tstamp f5b1fa4e-d6f8-4068-b38d-c6da89aa88cb)) + (via (at 119.711 92.316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 74) (tstamp 00f3f523-db13-4f07-afa5-8f98e3194f94)) + (via (at 79.46179 74.820126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 74) (tstamp 942ab79b-431c-4d63-b9f7-fdd6fbe40906)) + (segment (start 119.2912 91.8962) (end 119.711 92.316) (width 0.2) (layer "B.Cu") (net 74) (tstamp 38f9d056-87a2-4f23-8a04-a9c24671e4a0)) + (segment (start 80.042064 74.239852) (end 86.539502 74.239852) (width 0.2) (layer "B.Cu") (net 74) (tstamp 4501b85d-7c79-4308-a6dd-4f4b2b42de1e)) + (segment (start 86.539502 74.239852) (end 104.19585 91.8962) (width 0.2) (layer "B.Cu") (net 74) (tstamp 50181e3f-e59b-47ab-8643-1846184e9891)) + (segment (start 79.46179 74.820126) (end 80.042064 74.239852) (width 0.2) (layer "B.Cu") (net 74) (tstamp 5226183b-2493-4658-a31f-fdc55fc07640)) + (segment (start 104.19585 91.8962) (end 119.2912 91.8962) (width 0.2) (layer "B.Cu") (net 74) (tstamp 962441a5-8ee7-468a-bce9-1754fff7071e)) + (segment (start 86.703958 68.087623) (end 86.618558 68.002223) (width 0.2) (layer "F.Cu") (net 75) (tstamp 11bd3f74-32b5-43c5-9d92-9f3f1633440d)) + (segment (start 92.618177 68.087623) (end 86.703958 68.087623) (width 0.2) (layer "F.Cu") (net 75) (tstamp 3c6944d8-8bb3-4356-ac06-13144898b001)) + (segment (start 111.629914 92.816) (end 112.6956 92.816) (width 0.2) (layer "F.Cu") (net 76) (tstamp 0e61b281-f070-444f-aa1a-4a3898c84455)) + (segment (start 94.118177 68.087623) (end 94.18908 68.158526) (width 0.2) (layer "F.Cu") (net 76) (tstamp 45c3fe4e-4821-4e1b-99b3-6fe34e357cbb)) + (segment (start 107.0376 88.223686) (end 111.629914 92.816) (width 0.2) (layer "F.Cu") (net 76) (tstamp 793862ae-3f95-48a7-853b-8999bb384d0f)) + (segment (start 94.18908 68.158526) (end 95.214926 68.158526) (width 0.2) (layer "F.Cu") (net 76) (tstamp cfbde411-2c57-4795-b1ed-b9b70bd51f91)) + (segment (start 107.0376 79.9812) (end 107.0376 88.223686) (width 0.2) (layer "F.Cu") (net 76) (tstamp da051fe4-492f-4f4e-b260-b648769519c6)) + (segment (start 95.214926 68.158526) (end 107.0376 79.9812) (width 0.2) (layer "F.Cu") (net 76) (tstamp f6dbb3b5-5838-4e66-bc7e-35c1684ae617)) + (segment (start 86.547128 118.358097) (end 92.625605 118.358097) (width 0.2) (layer "F.Cu") (net 77) (tstamp 3bffc561-4eb5-491b-8465-cb5b9f205b65)) + (segment (start 92.625605 118.358097) (end 92.636733 118.369225) (width 0.2) (layer "F.Cu") (net 77) (tstamp c6b1e9ce-3d90-4753-a463-19b748ba8682)) + (segment (start 94.0716 118.369225) (end 94.107261 118.369225) (width 0.2) (layer "F.Cu") (net 78) (tstamp 16e99911-bdce-4bd9-a1bb-6271595a178d)) + (segment (start 104.660486 107.816) (end 112.6956 107.816) (width 0.2) (layer "F.Cu") (net 78) (tstamp 6e99d959-293c-4e95-8351-9297f3f4a36c)) + (segment (start 94.107261 118.369225) (end 104.660486 107.816) (width 0.2) (layer "F.Cu") (net 78) (tstamp d77b1ae4-71ae-44e7-a222-ffdcecc08656)) + (segment (start 198.489274 91.345126) (end 204.619274 91.345126) (width 0.2) (layer "F.Cu") (net 79) (tstamp 06c050b0-c1a1-484d-8e9f-7488369db7bd)) + (segment (start 204.619274 91.345126) (end 204.639674 91.324726) (width 0.2) (layer "F.Cu") (net 79) (tstamp 43cb920a-db77-405c-be64-29425b0f6184)) + (segment (start 194.229674 88.825126) (end 196.989274 88.825126) (width 0.2) (layer "F.Cu") (net 80) (tstamp 27aa3a1d-675d-475e-a240-eefd68f9cd32)) + (segment (start 156.772948 106.3012) (end 156.787748 106.316) (width 0.2) (layer "F.Cu") (net 80) (tstamp 2efb0161-8a18-4025-90c3-eec45a12d8a5)) + (segment (start 156.787748 106.316) (end 157.6956 106.316) (width 0.2) (layer "F.Cu") (net 80) (tstamp b813d5cf-a4f6-4b26-a6a3-7766dc729f09)) + (segment (start 194.068274 88.986526) (end 194.229674 88.825126) (width 0.2) (layer "F.Cu") (net 80) (tstamp e9fd362d-9c76-4ddd-bb80-c8e6328a7799)) + (segment (start 153.428274 106.3012) (end 156.772948 106.3012) (width 0.2) (layer "F.Cu") (net 80) (tstamp fd5f31f4-b682-409b-96d8-b184312135f5)) + (via (at 153.428274 106.3012) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 80) (tstamp 957e60f6-fe1c-4ed1-bf5e-344a909aedd8)) + (via (at 194.068274 88.986526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 80) (tstamp be726c96-c97a-4410-ad98-4f7142365b22)) + (segment (start 192.968274 95.051154) (end 192.968274 90.086526) (width 0.2) (layer "B.Cu") (net 80) (tstamp 0a701abe-af02-4f1e-9fd9-f92c5c6b59cf)) + (segment (start 182.052902 105.966526) (end 192.968274 95.051154) (width 0.2) (layer "B.Cu") (net 80) (tstamp 3082fd34-1f2e-4162-92c7-1269967e109b)) + (segment (start 153.428274 106.3012) (end 159.12968 106.3012) (width 0.2) (layer "B.Cu") (net 80) (tstamp 7c6ad381-83dd-401b-9cee-f90966435ebe)) + (segment (start 159.12968 106.3012) (end 159.464354 105.966526) (width 0.2) (layer "B.Cu") (net 80) (tstamp 8585c857-d5dd-4211-bc8e-e8d4de137dda)) + (segment (start 192.968274 90.086526) (end 194.068274 88.986526) (width 0.2) (layer "B.Cu") (net 80) (tstamp a8fb6a6f-cb7d-4f9a-9447-50c7963cf149)) + (segment (start 159.464354 105.966526) (end 182.052902 105.966526) (width 0.2) (layer "B.Cu") (net 80) (tstamp ccc396aa-0a54-4a40-830c-34b2a49b157d)) + (segment (start 75.589274 51.140526) (end 69.862874 51.140526) (width 0.2) (layer "F.Cu") (net 81) (tstamp 4538dfff-5aa2-461b-8c7e-e87c269ce8a2)) + (segment (start 69.862874 51.140526) (end 69.862274 51.141126) (width 0.2) (layer "F.Cu") (net 81) (tstamp 6d8b9270-4cac-4e85-afb9-b87bfa1b4ef0)) + (segment (start 70.041825 51.140526) (end 70.041225 51.141126) (width 0.2) (layer "F.Cu") (net 81) (tstamp d63aa545-92da-496a-8b7d-63d6cb38dcb0)) + (segment (start 173.113274 97.368526) (end 175.550274 97.368526) (width 0.2) (layer "F.Cu") (net 82) (tstamp 5886cdf1-a998-4292-a76b-d2b4884abe41)) + (segment (start 157.6956 103.816) (end 155.43885 103.816) (width 0.2) (layer "F.Cu") (net 82) (tstamp 8ec370f4-79cd-4026-b120-c4996735009f)) + (segment (start 155.028324 104.226526) (end 152.793274 104.226526) (width 0.2) (layer "F.Cu") (net 82) (tstamp 903cf94e-5639-400e-b343-1ff0a0751f80)) + (segment (start 155.43885 103.816) (end 155.028324 104.226526) (width 0.2) (layer "F.Cu") (net 82) (tstamp f3bb6994-3970-48aa-9611-9ccb5058c16c)) + (via (at 152.793274 104.226526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 82) (tstamp 3b171df0-2845-44e8-bdbc-f8a0737ff632)) + (via (at 173.113274 97.368526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 82) (tstamp 3b6a89fe-3fb2-4dbd-a4f2-297096811f29)) + (segment (start 166.3706 104.1112) (end 173.113274 97.368526) (width 0.2) (layer "B.Cu") (net 82) (tstamp 1049f881-a403-43d8-8e35-298004aa9d15)) + (segment (start 152.9086 104.1112) (end 166.3706 104.1112) (width 0.2) (layer "B.Cu") (net 82) (tstamp 1e53737f-ee16-4006-a7cd-421ce1830792)) + (segment (start 152.793274 104.226526) (end 152.9086 104.1112) (width 0.2) (layer "B.Cu") (net 82) (tstamp 5f6b0c8c-dd82-48b8-8c26-5ade3afa0d05)) + (segment (start 75.687712 61.943326) (end 69.858074 61.943326) (width 0.2) (layer "F.Cu") (net 83) (tstamp 056930ef-0dfa-432c-b88d-e764014546e0)) + (segment (start 69.858074 61.943326) (end 69.850274 61.935526) (width 0.2) (layer "F.Cu") (net 83) (tstamp 957a8fae-d7c9-483b-bd71-a5db3ab84a42)) + (segment (start 118.8486 88.616) (end 118.5486 88.316) (width 0.2) (layer "F.Cu") (net 84) (tstamp 37c349d4-3a4f-4934-a489-5f03a997783f)) + (segment (start 79.28499 61.943326) (end 77.187712 61.943326) (width 0.2) (layer "F.Cu") (net 84) (tstamp 7b9fb3f6-32b1-43ae-a42f-7b9ff01c5331)) + (segment (start 79.46179 62.120126) (end 79.28499 61.943326) (width 0.2) (layer "F.Cu") (net 84) (tstamp f58c0169-784d-42ca-8543-405488d10e5c)) + (segment (start 118.5486 88.316) (end 116.6456 88.316) (width 0.2) (layer "F.Cu") (net 84) (tstamp fea11394-5c6b-4407-96b4-fcf14181763c)) + (via (at 118.8486 88.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 84) (tstamp a79edc2a-84fb-495f-ac38-da6b32366830)) + (via (at 79.46179 62.120126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 84) (tstamp bbd252e3-fbd7-485b-b95e-bc2c64fa7379)) + (segment (start 118.815764 88.616) (end 118.8486 88.616) (width 0.2) (layer "B.Cu") (net 84) (tstamp 054ccf27-9d29-490d-9847-74b8117ed279)) + (segment (start 117.842964 87.6432) (end 118.815764 88.616) (width 0.2) (layer "B.Cu") (net 84) (tstamp 069a9386-3406-42a2-a9a5-c6797f655538)) + (segment (start 79.46179 62.120126) (end 84.03458 62.120126) (width 0.2) (layer "B.Cu") (net 84) (tstamp 3302b787-8931-4e96-b98e-7714294bae8d)) + (segment (start 84.03458 62.120126) (end 109.557654 87.6432) (width 0.2) (layer "B.Cu") (net 84) (tstamp 6e9140eb-ea34-4b25-afa0-72dbded62ba0)) + (segment (start 109.557654 87.6432) (end 117.842964 87.6432) (width 0.2) (layer "B.Cu") (net 84) (tstamp 88cf1669-b5ba-426c-8d6c-3313fcd0c64d)) + (segment (start 92.606177 52.771423) (end 86.615758 52.771423) (width 0.2) (layer "F.Cu") (net 85) (tstamp 6ed377ad-15e5-4718-8f4b-7eca8b5fe9af)) + (segment (start 86.615758 52.771423) (end 86.606558 52.762223) (width 0.2) (layer "F.Cu") (net 85) (tstamp afa1e8f1-84ab-4e0c-b19c-f750c23d6efe)) + (segment (start 94.106177 52.771423) (end 94.25328 52.918526) (width 0.2) (layer "F.Cu") (net 86) (tstamp 281e2e35-7429-43fc-849b-30a5b623ae5d)) + (segment (start 111.629914 88.316) (end 112.6956 88.316) (width 0.2) (layer "F.Cu") (net 86) (tstamp 3fd8be4e-9aee-463a-86fc-95eae4492886)) + (segment (start 95.214926 52.918526) (end 109.7746 67.4782) (width 0.2) (layer "F.Cu") (net 86) (tstamp 603b15ee-a04f-4b02-9c51-8ea8b8977fd3)) + (segment (start 94.25328 52.918526) (end 95.214926 52.918526) (width 0.2) (layer "F.Cu") (net 86) (tstamp 9fed2a88-ba36-49e5-b6ed-80cbce029554)) + (segment (start 109.7746 86.460686) (end 111.629914 88.316) (width 0.2) (layer "F.Cu") (net 86) (tstamp cb274e68-c382-4d28-bcf5-7513c8bf180d)) + (segment (start 109.7746 67.4782) (end 109.7746 86.460686) (width 0.2) (layer "F.Cu") (net 86) (tstamp f5c41c3c-a645-4b1e-9bdb-6eb1e4e7d1c3)) + (segment (start 69.862274 64.475526) (end 75.733812 64.475526) (width 0.2) (layer "F.Cu") (net 87) (tstamp 19620a1c-ebc5-4c8e-9f96-4d8be4fc8216)) + (segment (start 75.733812 64.475526) (end 75.734612 64.474726) (width 0.2) (layer "F.Cu") (net 87) (tstamp c9660f6f-84a8-4172-a48a-16885f3ee43d)) + (segment (start 119.7376 89.3792) (end 119.6744 89.316) (width 0.2) (layer "F.Cu") (net 88) (tstamp 4575c903-f72d-4914-9ed3-6526cce29a65)) + (segment (start 77.234612 64.474726) (end 79.27639 64.474726) (width 0.2) (layer "F.Cu") (net 88) (tstamp 991d4d71-d2bc-45b3-a0c9-3b645c46c008)) + (segment (start 119.6744 89.316) (end 116.6456 89.316) (width 0.2) (layer "F.Cu") (net 88) (tstamp d31d8c9a-70b1-4256-8884-694f49795f21)) + (segment (start 79.27639 64.474726) (end 79.46179 64.660126) (width 0.2) (layer "F.Cu") (net 88) (tstamp ec04930f-cba1-4a91-9059-3e9c048c4f51)) + (via (at 79.46179 64.660126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 88) (tstamp 0f92a15c-1abc-48da-bb4f-e3143a58c22a)) + (via (at 119.7376 89.3792) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 88) (tstamp bb572e3f-714e-4121-ad84-5b2606b8a965)) + (segment (start 80.042064 64.079852) (end 83.0556 64.079852) (width 0.2) (layer "B.Cu") (net 88) (tstamp 2b35ba5e-462f-4a67-8b50-4462779f3e76)) + (segment (start 79.46179 64.660126) (end 80.042064 64.079852) (width 0.2) (layer "B.Cu") (net 88) (tstamp 455871a7-f31a-4f5c-8e60-9ba137e6881a)) + (segment (start 107.126948 88.1512) (end 117.323031 88.1512) (width 0.2) (layer "B.Cu") (net 88) (tstamp 81057126-e838-4df4-aa03-ccd7c6331193)) + (segment (start 117.323031 88.1512) (end 118.487831 89.316) (width 0.2) (layer "B.Cu") (net 88) (tstamp 93296dcc-90d9-418f-a9f9-b18371f28698)) + (segment (start 119.6744 89.316) (end 119.7376 89.3792) (width 0.2) (layer "B.Cu") (net 88) (tstamp 96fd1b6f-9250-4923-abfb-764605081b3d)) + (segment (start 83.0556 64.079852) (end 107.126948 88.1512) (width 0.2) (layer "B.Cu") (net 88) (tstamp a3b55d2b-32a7-4af0-8c45-812a454317d5)) + (segment (start 118.487831 89.316) (end 119.6744 89.316) (width 0.2) (layer "B.Cu") (net 88) (tstamp f55e040b-d7f7-4dbc-81ed-5cac3bdb3a31)) + (segment (start 75.737575 67.023126) (end 69.857874 67.023126) (width 0.2) (layer "F.Cu") (net 89) (tstamp 68ecf1d8-8f76-4d86-b335-1764a91fbfc8)) + (segment (start 69.857874 67.023126) (end 69.850274 67.015526) (width 0.2) (layer "F.Cu") (net 89) (tstamp 9d501a90-ccf3-4c7a-a3b8-70cb7d668f06)) + (segment (start 118.777781 90.016) (end 118.577781 89.816) (width 0.2) (layer "F.Cu") (net 90) (tstamp 3ed5b981-b44f-4f25-a920-6e8d671354ef)) + (segment (start 118.577781 89.816) (end 116.6456 89.816) (width 0.2) (layer "F.Cu") (net 90) (tstamp 5c37f805-aed5-4521-ba47-2c367a934b4f)) + (segment (start 79.46179 67.200126) (end 79.28479 67.023126) (width 0.2) (layer "F.Cu") (net 90) (tstamp baf442d9-89cb-4287-a60f-4b352bfd2ca5)) + (segment (start 79.28479 67.023126) (end 77.237575 67.023126) (width 0.2) (layer "F.Cu") (net 90) (tstamp daf0a431-8760-492e-b5db-6b319dfeb478)) + (via (at 118.777781 90.016) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 90) (tstamp 28982de5-67ec-4010-944a-41ef35239d17)) + (via (at 79.46179 67.200126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 90) (tstamp 59f2374e-fabb-4691-83d8-6fe4f7b54a29)) + (segment (start 107.196263 88.7862) (end 117.392345 88.7862) (width 0.2) (layer "B.Cu") (net 90) (tstamp 229cb1d4-127e-4b8c-b823-205af57e963a)) + (segment (start 80.042064 66.619852) (end 85.029914 66.619852) (width 0.2) (layer "B.Cu") (net 90) (tstamp 584eb8ce-005f-45c9-9fcb-f62c72f3370c)) + (segment (start 79.46179 67.200126) (end 80.042064 66.619852) (width 0.2) (layer "B.Cu") (net 90) (tstamp 5967a96e-0516-4b59-8cb5-e22399f32399)) + (segment (start 85.029914 66.619852) (end 107.196263 88.7862) (width 0.2) (layer "B.Cu") (net 90) (tstamp b09b4aab-366e-47cb-952e-c269559b95a7)) + (segment (start 118.322145 89.716) (end 118.477781 89.716) (width 0.2) (layer "B.Cu") (net 90) (tstamp cf2cf23c-c35b-45a6-a82b-2e86957c339f)) + (segment (start 118.477781 89.716) (end 118.777781 90.016) (width 0.2) (layer "B.Cu") (net 90) (tstamp da080286-eb7b-4676-885b-475b979bb365)) + (segment (start 117.392345 88.7862) (end 118.322145 89.716) (width 0.2) (layer "B.Cu") (net 90) (tstamp e2ae9bac-65ff-4fb3-b0aa-6c872c1289ec)) + (segment (start 86.668358 57.804423) (end 86.630558 57.842223) (width 0.2) (layer "F.Cu") (net 91) (tstamp 651bc430-ca95-4b17-a371-46cab8730849)) + (segment (start 92.618177 57.804423) (end 86.668358 57.804423) (width 0.2) (layer "F.Cu") (net 91) (tstamp ac090014-22d1-4c15-a981-1bb955288100)) + (segment (start 95.087926 57.871526) (end 108.9746 71.7582) (width 0.2) (layer "F.Cu") (net 92) (tstamp 33239c8f-fb84-4c09-8786-ef1135bf0be7)) + (segment (start 108.9746 87.160686) (end 111.629914 89.816) (width 0.2) (layer "F.Cu") (net 92) (tstamp 777c5ee7-4ad9-4a38-82bb-c12dd1775082)) + (segment (start 94.18528 57.871526) (end 95.087926 57.871526) (width 0.2) (layer "F.Cu") (net 92) (tstamp 7b488fa2-7bd4-45a5-8029-2634eda053e1)) + (segment (start 111.629914 89.816) (end 112.6956 89.816) (width 0.2) (layer "F.Cu") (net 92) (tstamp 95e0faf3-5893-4438-a18e-603e69d877af)) + (segment (start 108.9746 71.7582) (end 108.9746 87.160686) (width 0.2) (layer "F.Cu") (net 92) (tstamp 9d434433-774a-498d-8ce0-ab019fd3ce4e)) + (segment (start 94.118177 57.804423) (end 94.18528 57.871526) (width 0.2) (layer "F.Cu") (net 92) (tstamp d11d865d-4fe1-42eb-bfb2-0cc1994015f3)) + (segment (start 86.618558 60.382223) (end 92.580087 60.382223) (width 0.2) (layer "F.Cu") (net 93) (tstamp 479ee158-36ec-4a92-b720-1f5f17e4ba6d)) + (segment (start 92.580087 60.382223) (end 92.581857 60.383993) (width 0.2) (layer "F.Cu") (net 93) (tstamp 9452d0a8-4ee1-4db9-acfa-42f9c1ecd458)) + (segment (start 94.23639 60.538526) (end 95.087926 60.538526) (width 0.2) (layer "F.Cu") (net 94) (tstamp 19c90b51-f0e3-4ef3-84e6-75f76858c791)) + (segment (start 111.6956 90.816) (end 112.6956 90.816) (width 0.2) (layer "F.Cu") (net 94) (tstamp 3cf1c306-73af-4191-be1d-eedb3ac0ac10)) + (segment (start 95.087926 60.538526) (end 108.5746 74.0252) (width 0.2) (layer "F.Cu") (net 94) (tstamp 59888217-a852-43ab-bf95-c90951abfcb3)) + (segment (start 108.5746 87.695) (end 111.6956 90.816) (width 0.2) (layer "F.Cu") (net 94) (tstamp 607c535f-e8e4-4acc-a62c-4e2af04b1a97)) + (segment (start 108.5746 74.0252) (end 108.5746 87.695) (width 0.2) (layer "F.Cu") (net 94) (tstamp c9b8fbb4-2d7a-4694-80ec-1b9e42760bae)) + (segment (start 94.081857 60.383993) (end 94.23639 60.538526) (width 0.2) (layer "F.Cu") (net 94) (tstamp d2bb0f16-300c-419a-adbc-55b95475cad6)) + (segment (start 77.14979 69.554126) (end 79.27579 69.554126) (width 0.2) (layer "F.Cu") (net 95) (tstamp 0ed0cd57-5d03-4309-b1e4-a579c6231fb2)) + (segment (start 119.29075 90.816) (end 116.6456 90.816) (width 0.2) (layer "F.Cu") (net 95) (tstamp 0eff5e04-6247-4650-937b-04c84e224d87)) + (segment (start 79.27579 69.554126) (end 79.46179 69.740126) (width 0.2) (layer "F.Cu") (net 95) (tstamp 1c037b09-1161-42d6-91c6-7efa0e077681)) + (segment (start 125.72937 90.616) (end 158.600844 57.744526) (width 0.2) (layer "F.Cu") (net 95) (tstamp 1e2d5369-4e8b-4d52-9051-8c54a74e2c1a)) + (segment (start 119.711 90.616) (end 125.72937 90.616) (width 0.2) (layer "F.Cu") (net 95) (tstamp 4155443e-4af4-4825-bebd-eb3d4ef72243)) + (segment (start 119.49075 90.616) (end 119.29075 90.816) (width 0.2) (layer "F.Cu") (net 95) (tstamp 6f564880-fbd1-4657-9c1a-71dee0e184a3)) + (segment (start 119.711 90.616) (end 119.49075 90.616) (width 0.2) (layer "F.Cu") (net 95) (tstamp b51e3a2e-d152-4004-8d22-2f988cb4d070)) + (segment (start 158.600844 57.744526) (end 169.379474 57.744526) (width 0.2) (layer "F.Cu") (net 95) (tstamp ddabcf30-0142-4606-9179-8c40bca43340)) + (via (at 79.46179 69.740126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 95) (tstamp 80276732-667a-4db1-8a96-9abed95a433c)) + (via (at 119.711 90.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 95) (tstamp fe0d147c-ad57-4968-b397-f96314b9dcff)) + (segment (start 79.46179 69.740126) (end 80.042064 69.159852) (width 0.2) (layer "B.Cu") (net 95) (tstamp 3a595520-2637-4716-9e36-349a6839199b)) + (segment (start 87.004228 69.159852) (end 108.560376 90.716) (width 0.2) (layer "B.Cu") (net 95) (tstamp 4115c31c-4732-4ec4-9ae5-678ba8a74819)) + (segment (start 108.560376 90.716) (end 119.611 90.716) (width 0.2) (layer "B.Cu") (net 95) (tstamp 521c16e4-957e-4693-b8a5-9f0138d2254f)) + (segment (start 80.042064 69.159852) (end 87.004228 69.159852) (width 0.2) (layer "B.Cu") (net 95) (tstamp 61cffee3-b71f-4ec4-a29d-5e2bda8423e7)) + (segment (start 119.611 90.716) (end 119.711 90.616) (width 0.2) (layer "B.Cu") (net 95) (tstamp e6d9aec9-73d5-434f-8f4d-5e290213bd25)) + (segment (start 92.522591 62.977424) (end 86.673759 62.977424) (width 0.2) (layer "F.Cu") (net 96) (tstamp c7dfb4da-4fac-4896-a0d6-e3a7f2563f45)) + (segment (start 86.673759 62.977424) (end 86.618558 62.922223) (width 0.2) (layer "F.Cu") (net 96) (tstamp e7ec3fc2-a100-4f9c-b266-e2e446b8f4af)) + (segment (start 94.022591 62.977424) (end 94.123693 63.078526) (width 0.2) (layer "F.Cu") (net 97) (tstamp 4deeba2a-6c59-4f73-aab7-58cb94004af4)) + (segment (start 108.1746 87.860686) (end 111.629914 91.316) (width 0.2) (layer "F.Cu") (net 97) (tstamp 7e4f9981-c8e3-4dd5-a8f9-3ec4d4565393)) + (segment (start 111.629914 91.316) (end 112.6956 91.316) (width 0.2) (layer "F.Cu") (net 97) (tstamp 85c3a1aa-c9c1-4cd1-99ed-36a57b71ae25)) + (segment (start 94.123693 63.078526) (end 95.214926 63.078526) (width 0.2) (layer "F.Cu") (net 97) (tstamp 9d8b897f-a0a4-40ab-a600-9c07f9fd8d62)) + (segment (start 108.1746 76.0382) (end 108.1746 87.860686) (width 0.2) (layer "F.Cu") (net 97) (tstamp ac6deb82-f193-4ef0-95b4-776311a953f8)) + (segment (start 95.214926 63.078526) (end 108.1746 76.0382) (width 0.2) (layer "F.Cu") (net 97) (tstamp ba8d648e-995d-44c7-b8fc-04a140078a69)) + (segment (start 156.62653 60.284526) (end 169.379474 60.284526) (width 0.2) (layer "F.Cu") (net 98) (tstamp 284c7733-43a2-43c5-9c06-a142d82d5bad)) + (segment (start 120.6 91.4532) (end 125.457856 91.4532) (width 0.2) (layer "F.Cu") (net 98) (tstamp 66503e43-e5ca-4869-b0fe-97b4abb2caf1)) + (segment (start 79.46179 72.280126) (end 79.22579 72.044126) (width 0.2) (layer "F.Cu") (net 98) (tstamp 85995794-9617-4438-aeba-a4eddbe7eef2)) + (segment (start 120.6 91.4532) (end 120.4628 91.316) (width 0.2) (layer "F.Cu") (net 98) (tstamp a269f4f7-81f3-4a84-aa10-fb69c8be18e4)) + (segment (start 125.457856 91.4532) (end 156.62653 60.284526) (width 0.2) (layer "F.Cu") (net 98) (tstamp c3eb1cf8-db28-4392-9eeb-7b251377450c)) + (segment (start 120.4628 91.316) (end 116.6456 91.316) (width 0.2) (layer "F.Cu") (net 98) (tstamp d1d323ad-3d8c-4be4-bc6a-6c42b1ff651e)) + (segment (start 79.22579 72.044126) (end 77.08979 72.044126) (width 0.2) (layer "F.Cu") (net 98) (tstamp eaf44a51-6c9b-4d19-bb7e-dbf4bf3ecfe0)) + (via (at 79.46179 72.280126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 98) (tstamp 911411c3-c821-4e17-8d6f-b7c6aa645d03)) + (via (at 120.6 91.4532) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 98) (tstamp 97e123c0-b7c8-4776-a044-a49caa104838)) + (segment (start 79.55419 72.372526) (end 89.465241 72.372526) (width 0.2) (layer "B.Cu") (net 98) (tstamp 5f1209d1-5f55-4271-9954-fe4e0c202c61)) + (segment (start 89.465241 72.372526) (end 108.545915 91.4532) (width 0.2) (layer "B.Cu") (net 98) (tstamp a835711a-089c-4d0e-8a8e-f8e77f31fc65)) + (segment (start 79.46179 72.280126) (end 79.55419 72.372526) (width 0.2) (layer "B.Cu") (net 98) (tstamp b3090274-31d5-424f-9142-3c86fb248557)) + (segment (start 108.545915 91.4532) (end 120.6 91.4532) (width 0.2) (layer "B.Cu") (net 98) (tstamp ffcac79e-9677-4107-924e-358bff3e30ac)) + (segment (start 86.602802 84.211087) (end 92.544062 84.211087) (width 0.2) (layer "F.Cu") (net 99) (tstamp 2b6a603c-c153-4f90-89e5-2ce0e9e46cbc)) + (segment (start 92.544062 84.211087) (end 92.56729 84.234315) (width 0.2) (layer "F.Cu") (net 99) (tstamp f15fad65-ee3c-4e6a-97ea-5e67a67239c1)) + (segment (start 94.06729 84.234315) (end 95.796715 84.234315) (width 0.2) (layer "F.Cu") (net 100) (tstamp 133f9b97-dfab-4827-9a94-8f6c2d6b77cd)) + (segment (start 95.796715 84.234315) (end 108.8784 97.316) (width 0.2) (layer "F.Cu") (net 100) (tstamp 1c040c2c-a78c-4ae0-a3c3-0bc35779db59)) + (segment (start 108.8784 97.316) (end 112.6956 97.316) (width 0.2) (layer "F.Cu") (net 100) (tstamp fd0c451f-ef45-4252-9843-1db8318a256b)) + (segment (start 86.619478 86.837763) (end 86.604802 86.823087) (width 0.2) (layer "F.Cu") (net 101) (tstamp 4d488e66-fc2e-4391-9044-2e20be7b6291)) + (segment (start 92.540566 86.837763) (end 86.619478 86.837763) (width 0.2) (layer "F.Cu") (net 101) (tstamp c561c050-665e-4859-8d21-54379e0aa21f)) + (segment (start 107.0844 98.316) (end 112.6956 98.316) (width 0.2) (layer "F.Cu") (net 102) (tstamp 14923239-d84c-4ad9-800e-a8b1a6b6efb9)) + (segment (start 94.040566 86.837763) (end 95.606163 86.837763) (width 0.2) (layer "F.Cu") (net 102) (tstamp 59ffb009-5e53-4f7d-872e-51969c05c15f)) + (segment (start 95.606163 86.837763) (end 107.0844 98.316) (width 0.2) (layer "F.Cu") (net 102) (tstamp a835670e-1a7d-4f19-b8f3-57128d0c2721)) + (segment (start 70.033634 95.971526) (end 75.496079 95.971526) (width 0.2) (layer "F.Cu") (net 103) (tstamp 44f2f00d-08a2-426c-885b-f55812e767b4)) + (segment (start 75.496079 95.971526) (end 75.503634 95.963971) (width 0.2) (layer "F.Cu") (net 103) (tstamp 87a82809-81e7-4fe4-ae0d-091f0bf466e4)) + (segment (start 121.668 98.7264) (end 121.2576 98.316) (width 0.2) (layer "F.Cu") (net 104) (tstamp 4322ea50-b953-45f6-8565-f795c68338ce)) + (segment (start 121.2576 98.316) (end 116.6456 98.316) (width 0.2) (layer "F.Cu") (net 104) (tstamp 686ed60e-5ff8-4cfe-926a-d4fcaa22c8b6)) + (segment (start 79.449189 95.963971) (end 79.475634 95.937526) (width 0.2) (layer "F.Cu") (net 104) (tstamp 71a114c9-d5d3-4c24-8305-5ec889b9b1b5)) + (segment (start 77.003634 95.963971) (end 79.449189 95.963971) (width 0.2) (layer "F.Cu") (net 104) (tstamp 88d731b8-001f-4262-bc3c-323db1c04682)) + (via (at 79.475634 95.937526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 104) (tstamp 77ad2267-001c-4f00-ae0c-f6bd7d5492b5)) + (via (at 121.668 98.7264) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 104) (tstamp ba1eae4a-e472-4cda-9ac9-bc3c638d1eb6)) + (segment (start 86.818252 94.559852) (end 91.2696 99.0112) (width 0.2) (layer "B.Cu") (net 104) (tstamp 0dff00e1-afdf-41c6-b96e-cc038906134b)) + (segment (start 79.475634 95.937526) (end 80.853308 94.559852) (width 0.2) (layer "B.Cu") (net 104) (tstamp 2733d6d8-bc2c-437f-8cdc-27c47f3d800c)) + (segment (start 121.256796 98.315196) (end 121.668 98.7264) (width 0.2) (layer "B.Cu") (net 104) (tstamp 80ad233d-2f19-479d-9861-485a2f64e3db)) + (segment (start 115.836 99.0112) (end 116.532004 98.315196) (width 0.2) (layer "B.Cu") (net 104) (tstamp 9058d52d-8296-4177-ada4-ccdc38c7c697)) + (segment (start 116.532004 98.315196) (end 121.256796 98.315196) (width 0.2) (layer "B.Cu") (net 104) (tstamp b7da0c72-fd11-467b-8fdd-3e613b413fb5)) + (segment (start 80.853308 94.559852) (end 86.818252 94.559852) (width 0.2) (layer "B.Cu") (net 104) (tstamp c8a7408c-8662-45a2-9bb5-eb6f0cee596c)) + (segment (start 91.2696 99.0112) (end 115.836 99.0112) (width 0.2) (layer "B.Cu") (net 104) (tstamp e7775f0e-59bd-4d9d-9be3-7bcca8948152)) + (segment (start 86.612802 91.751087) (end 86.602802 91.761087) (width 0.2) (layer "F.Cu") (net 105) (tstamp 39793d79-b8de-4e96-8acc-8e9d49bddc85)) + (segment (start 92.602802 91.751087) (end 86.612802 91.751087) (width 0.2) (layer "F.Cu") (net 105) (tstamp 8ccef3f3-957f-405c-a791-5bd0b160c3fd)) + (segment (start 103.7584 99.816) (end 112.6956 99.816) (width 0.2) (layer "F.Cu") (net 106) (tstamp 1a00ed8b-f767-41a8-8f87-7347ab6829f5)) + (segment (start 94.102802 91.751087) (end 95.693487 91.751087) (width 0.2) (layer "F.Cu") (net 106) (tstamp 47645b17-f4f2-4a90-968a-decc9aea2dab)) + (segment (start 95.693487 91.751087) (end 103.7584 99.816) (width 0.2) (layer "F.Cu") (net 106) (tstamp 4f023cb8-f2a4-45fe-b2cb-2680cc1151dc)) + (segment (start 69.903634 106.431526) (end 75.549997 106.431526) (width 0.2) (layer "F.Cu") (net 107) (tstamp 06cb2e16-4920-41c4-8ecc-1fed2b988e27)) + (segment (start 75.549997 106.431526) (end 75.631397 106.350126) (width 0.2) (layer "F.Cu") (net 107) (tstamp eda7b69a-980b-422e-b915-db0b9c0d0ba9)) + (segment (start 77.131397 106.350126) (end 79.220234 106.350126) (width 0.2) (layer "F.Cu") (net 108) (tstamp 21318e46-7bb3-4089-8767-b1410aa39b3e)) + (segment (start 118.3418 101.316) (end 118.5946 101.0632) (width 0.2) (layer "F.Cu") (net 108) (tstamp 4f069db6-c110-4622-9527-7dde9649beda)) + (segment (start 116.6456 101.316) (end 118.3418 101.316) (width 0.2) (layer "F.Cu") (net 108) (tstamp a2af0a61-fdb1-4509-b14d-9e984a3462c0)) + (segment (start 79.220234 106.350126) (end 79.475634 106.605526) (width 0.2) (layer "F.Cu") (net 108) (tstamp d027e42a-d309-48c2-a557-31e4381c2ca9)) + (via (at 118.5946 101.0632) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 108) (tstamp 6b29bca7-b667-4f1d-90f9-5e4dcc5ed05c)) + (via (at 79.475634 106.605526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 108) (tstamp 992c1ca8-5cf9-44df-ac2b-d2654dad9397)) + (segment (start 83.663286 101.0402) (end 118.5716 101.0402) (width 0.2) (layer "B.Cu") (net 108) (tstamp 13fafda7-469e-4aa0-a369-4e77b7ec18e3)) + (segment (start 79.475634 105.227852) (end 83.663286 101.0402) (width 0.2) (layer "B.Cu") (net 108) (tstamp 56862185-523b-46de-99a5-90044aa86ae3)) + (segment (start 79.475634 106.605526) (end 79.475634 105.227852) (width 0.2) (layer "B.Cu") (net 108) (tstamp b9f5a0ef-632f-4802-84b3-72d3bcf03084)) + (segment (start 118.5716 101.0402) (end 118.5946 101.0632) (width 0.2) (layer "B.Cu") (net 108) (tstamp cc6f45c9-b954-4997-aa8e-317f306fcfeb)) + (segment (start 70.101384 103.640272) (end 70.013634 103.728022) (width 0.2) (layer "F.Cu") (net 109) (tstamp 030fead6-dd68-459a-8e11-2ef07473adeb)) + (segment (start 75.613359 103.640272) (end 70.101384 103.640272) (width 0.2) (layer "F.Cu") (net 109) (tstamp ef013cad-c5ce-493d-8cef-324b67135d94)) + (segment (start 119.258415 100.316) (end 116.6456 100.316) (width 0.2) (layer "F.Cu") (net 110) (tstamp 049d3985-31dc-42ad-be3d-1b59e050ba5b)) + (segment (start 79.392888 103.640272) (end 77.113359 103.640272) (width 0.2) (layer "F.Cu") (net 110) (tstamp 0c25c8be-1531-4b70-9ef3-933f30ea44ed)) + (segment (start 119.347663 100.405248) (end 119.258415 100.316) (width 0.2) (layer "F.Cu") (net 110) (tstamp 284e3da7-3f0d-419f-b164-a58184f2fd87)) + (segment (start 79.475634 103.557526) (end 79.392888 103.640272) (width 0.2) (layer "F.Cu") (net 110) (tstamp cf9dbcad-97f8-423b-b0ef-fbdf26a6b6a8)) + (via (at 119.347663 100.405248) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 110) (tstamp 29b20e73-82f0-49d9-9b48-2aa362673241)) + (via (at 79.475634 103.557526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 110) (tstamp 360cc7c9-e37d-4a9e-9ddd-49c2e0e50429)) + (segment (start 79.475634 103.557526) (end 82.66996 100.3632) (width 0.2) (layer "B.Cu") (net 110) (tstamp 06a9dec3-b325-44d3-a1ce-f78b54579b25)) + (segment (start 119.305615 100.3632) (end 119.347663 100.405248) (width 0.2) (layer "B.Cu") (net 110) (tstamp 4ae14514-717f-4daa-8b14-5a6a3f7a2bc3)) + (segment (start 82.66996 100.3632) (end 119.305615 100.3632) (width 0.2) (layer "B.Cu") (net 110) (tstamp aace3ede-b084-4862-b339-bc6b8045856f)) + (segment (start 75.503634 98.451526) (end 70.013634 98.451526) (width 0.2) (layer "F.Cu") (net 111) (tstamp e78accf9-44ff-4258-9980-7c6dcb98e775)) + (segment (start 77.003634 98.451526) (end 79.449634 98.451526) (width 0.2) (layer "F.Cu") (net 112) (tstamp 1f487a14-1607-4863-91e2-aa3394fa9bb6)) + (segment (start 79.449634 98.451526) (end 79.475634 98.477526) (width 0.2) (layer "F.Cu") (net 112) (tstamp 4f64e5fc-cc6e-4435-9dee-ed7d1093f3c6)) + (segment (start 120.092 99.3272) (end 119.5808 98.816) (width 0.2) (layer "F.Cu") (net 112) (tstamp c3a3ea6f-e68d-4cbc-b565-d40ae4665081)) + (segment (start 119.5808 98.816) (end 116.6456 98.816) (width 0.2) (layer "F.Cu") (net 112) (tstamp c3c60380-d3c0-47ad-b44b-85ee792a1021)) + (via (at 120.092 99.3272) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 112) (tstamp d2445fdf-075b-4062-90c6-2b4daeb77f8d)) + (via (at 79.475634 98.477526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 112) (tstamp fe61f0a6-f130-4818-88b3-b7d3373ac0e9)) + (segment (start 116.5662 98.916) (end 119.6808 98.916) (width 0.2) (layer "B.Cu") (net 112) (tstamp 0280dbb0-8ca0-4a22-b409-d504a38e0f54)) + (segment (start 86.234702 97.099852) (end 88.58905 99.4542) (width 0.2) (layer "B.Cu") (net 112) (tstamp 14412fc8-b540-47aa-b4cd-fab41f3fa563)) + (segment (start 88.58905 99.4542) (end 116.028 99.4542) (width 0.2) (layer "B.Cu") (net 112) (tstamp 310f451e-3b63-476b-a722-098793a0c0b5)) + (segment (start 79.475634 98.477526) (end 80.853308 97.099852) (width 0.2) (layer "B.Cu") (net 112) (tstamp 69e80bf3-9328-4ddc-a7a9-219aa6ff1cfa)) + (segment (start 119.6808 98.916) (end 120.092 99.3272) (width 0.2) (layer "B.Cu") (net 112) (tstamp 73ceece3-3a3e-4b54-8898-96bbdba14cf6)) + (segment (start 80.853308 97.099852) (end 86.234702 97.099852) (width 0.2) (layer "B.Cu") (net 112) (tstamp 84de61bd-d924-440e-8827-3dcaa48fec23)) + (segment (start 116.028 99.4542) (end 116.5662 98.916) (width 0.2) (layer "B.Cu") (net 112) (tstamp a5a8f4ff-32a6-4b77-a6f0-283803ab408c)) + (segment (start 92.493537 89.311087) (end 92.50048 89.304144) (width 0.2) (layer "F.Cu") (net 113) (tstamp aad83132-7818-4985-90aa-3e2c4c360164)) + (segment (start 86.602802 89.311087) (end 92.493537 89.311087) (width 0.2) (layer "F.Cu") (net 113) (tstamp dd620277-b384-4f6c-a7ee-748d94f1843e)) + (segment (start 94.00048 89.304144) (end 95.659544 89.304144) (width 0.2) (layer "F.Cu") (net 114) (tstamp 0c486fb8-e531-4922-8ed6-440e8ee8741e)) + (segment (start 95.659544 89.304144) (end 105.1714 98.816) (width 0.2) (layer "F.Cu") (net 114) (tstamp 6d625e6e-5c63-4039-b1af-fd0a79f96856)) + (segment (start 105.1714 98.816) (end 112.6956 98.816) (width 0.2) (layer "F.Cu") (net 114) (tstamp ab4ffd65-17d1-46e2-a600-ee8882be195a)) + (segment (start 70.013634 100.921526) (end 75.503634 100.921526) (width 0.2) (layer "F.Cu") (net 115) (tstamp dbad3886-175c-477f-957c-81eba2bd3abb)) + (segment (start 75.503634 100.921526) (end 75.513634 100.931526) (width 0.2) (layer "F.Cu") (net 115) (tstamp f72ec171-77f0-44d8-b77c-593216565f1b)) + (segment (start 79.389634 100.931526) (end 79.475634 101.017526) (width 0.2) (layer "F.Cu") (net 116) (tstamp 06725c63-6676-4035-b1c8-a376bc0c9dd1)) + (segment (start 118.630557 99.616) (end 118.430557 99.816) (width 0.2) (layer "F.Cu") (net 116) (tstamp 6b7fe885-48f8-45da-bc5b-230c534243a4)) + (segment (start 118.430557 99.816) (end 116.6456 99.816) (width 0.2) (layer "F.Cu") (net 116) (tstamp 85ae7042-1860-468a-a480-4fe6b9b5e238)) + (segment (start 77.013634 100.931526) (end 79.389634 100.931526) (width 0.2) (layer "F.Cu") (net 116) (tstamp e6da56b9-a0aa-47e5-8601-3d6a2ee1c22b)) + (via (at 118.630557 99.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 116) (tstamp b9e15d36-0d4c-4cae-af04-bd0d531556b4)) + (via (at 79.475634 101.017526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 116) (tstamp ced72846-73ec-450b-a0b3-4994a2dc124d)) + (segment (start 79.475634 101.017526) (end 80.63896 99.8542) (width 0.2) (layer "B.Cu") (net 116) (tstamp 21f4a076-0a36-47e3-b406-7da7dbb18e1d)) + (segment (start 118.392357 99.8542) (end 118.630557 99.616) (width 0.2) (layer "B.Cu") (net 116) (tstamp 485dcc96-5197-43d6-8f72-6bb8c8920525)) + (segment (start 80.63896 99.8542) (end 118.392357 99.8542) (width 0.2) (layer "B.Cu") (net 116) (tstamp 9cf3a904-4fc3-4938-8886-882c551ab8fa)) + (segment (start 92.581801 99.651087) (end 92.58524 99.654526) (width 0.2) (layer "F.Cu") (net 117) (tstamp 2209534f-67cc-4cd3-afb3-7a8a83ed48e4)) + (segment (start 86.602802 99.651087) (end 92.581801 99.651087) (width 0.2) (layer "F.Cu") (net 117) (tstamp efc675f7-401b-4e2d-a64a-074e4945b546)) + (segment (start 95.08961 99.654526) (end 97.251084 101.816) (width 0.2) (layer "F.Cu") (net 118) (tstamp 8edbfcdf-2ad3-40ea-b77a-0575498fb257)) + (segment (start 97.251084 101.816) (end 112.6956 101.816) (width 0.2) (layer "F.Cu") (net 118) (tstamp e0622bc2-35fa-4d53-8f08-c61adf3abd62)) + (segment (start 94.08524 99.654526) (end 95.08961 99.654526) (width 0.2) (layer "F.Cu") (net 118) (tstamp fa88f49e-885c-43d8-b557-ec970b424078)) + (segment (start 92.644039 105.724097) (end 92.646542 105.7266) (width 0.2) (layer "F.Cu") (net 119) (tstamp 0663fd72-eba7-429f-9a95-032fcfec45e6)) + (segment (start 86.569128 105.724097) (end 92.644039 105.724097) (width 0.2) (layer "F.Cu") (net 119) (tstamp 96da5450-4cd9-4d7a-99ba-87584b452a66)) + (segment (start 96.1512 105.7266) (end 98.5618 103.316) (width 0.2) (layer "F.Cu") (net 120) (tstamp 13126125-99c0-4a2d-8e7b-8eb5dfc1ad4e)) + (segment (start 98.5618 103.316) (end 112.6956 103.316) (width 0.2) (layer "F.Cu") (net 120) (tstamp 9b984e21-4e4b-4ae4-b621-c0ec753a9652)) + (segment (start 94.0716 105.7266) (end 96.1512 105.7266) (width 0.2) (layer "F.Cu") (net 120) (tstamp c01fc256-d7d3-4334-b9e9-abe8a63c98f5)) + (segment (start 198.628274 126.456526) (end 204.753274 126.456526) (width 0.2) (layer "F.Cu") (net 121) (tstamp d85b6ee7-8f91-40d1-9112-84181e0b9acd)) + (segment (start 204.753274 126.456526) (end 204.778674 126.481926) (width 0.2) (layer "F.Cu") (net 121) (tstamp dfcc6315-ffed-4cd6-8891-2100f279cbd0)) + (segment (start 163.823274 119.085526) (end 162.553748 117.816) (width 0.2) (layer "F.Cu") (net 122) (tstamp 01b47f96-7d9e-46ed-852f-01d70d5ba4ee)) + (segment (start 163.823274 126.824691) (end 163.823274 119.085526) (width 0.2) (layer "F.Cu") (net 122) (tstamp 0d9faa54-ac3b-4664-a30d-829c04fc8e9e)) + (segment (start 190.787472 140.211526) (end 177.2101 140.211526) (width 0.2) (layer "F.Cu") (net 122) (tstamp 1bd3fb2d-ef3f-4ca2-9072-f57ea76a22d6)) + (segment (start 193.065274 142.489328) (end 190.787472 140.211526) (width 0.2) (layer "F.Cu") (net 122) (tstamp 28f3704c-e462-4ea3-be0b-e09a0768b640)) + (segment (start 197.013274 159.217526) (end 193.065274 155.269526) (width 0.2) (layer "F.Cu") (net 122) (tstamp 8d61c01d-8e17-4ab8-b713-543e2591e657)) + (segment (start 162.553748 117.816) (end 161.6456 117.816) (width 0.2) (layer "F.Cu") (net 122) (tstamp 93f4bf20-1012-4d67-a125-5ef31fc8e2ce)) + (segment (start 177.2101 140.211526) (end 163.823274 126.824691) (width 0.2) (layer "F.Cu") (net 122) (tstamp a2b31619-94e8-403d-af6b-b5dd6ebd636d)) + (segment (start 193.065274 155.269526) (end 193.065274 142.489328) (width 0.2) (layer "F.Cu") (net 122) (tstamp c3684918-be51-472d-9fd8-ab71bf492fe1)) + (segment (start 75.618807 114.904526) (end 70.003999 114.904526) (width 0.2) (layer "F.Cu") (net 123) (tstamp 5eb6ff6a-5e70-408e-af9e-b8b147bd0d98)) + (segment (start 70.003999 114.904526) (end 69.876444 114.776971) (width 0.2) (layer "F.Cu") (net 123) (tstamp e045be67-f037-42da-8b8d-d5c5aaeedafa)) + (segment (start 118.7548 103.316) (end 116.6456 103.316) (width 0.2) (layer "F.Cu") (net 124) (tstamp 161af0b0-ccdb-447d-a8b7-1e33aeb02077)) + (segment (start 118.788 103.3492) (end 118.7548 103.316) (width 0.2) (layer "F.Cu") (net 124) (tstamp 9c4b5255-029c-4325-85bb-d53e51d25ff6)) + (segment (start 119.1026 103.3492) (end 118.788 103.3492) (width 0.2) (layer "F.Cu") (net 124) (tstamp e6d8695c-d68d-4f66-b892-ea15b09af0b4)) + (segment (start 79.421634 114.904526) (end 77.118807 114.904526) (width 0.2) (layer "F.Cu") (net 124) (tstamp e97afcfd-b917-499c-b818-50d4c132b074)) + (via (at 79.475634 114.958526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 124) (tstamp 3bb457f7-442f-47b7-805a-3328ff3ceeba)) + (via (at 119.1026 103.3492) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 124) (tstamp 476424e3-70cd-46b8-bbbb-0c45b5f6d332)) + (segment (start 81.586308 112.847852) (end 90.323948 112.847852) (width 0.2) (layer "B.Cu") (net 124) (tstamp 55f0b302-e3b8-4e32-84d4-1802ac7c6d78)) + (segment (start 79.475634 114.958526) (end 81.586308 112.847852) (width 0.2) (layer "B.Cu") (net 124) (tstamp 7ce688d0-aec2-49c0-aea2-9901ade9ddda)) + (segment (start 99.8226 103.3492) (end 119.1026 103.3492) (width 0.2) (layer "B.Cu") (net 124) (tstamp a78af65c-96e2-454b-8113-aa5a282092ba)) + (segment (start 90.323948 112.847852) (end 99.8226 103.3492) (width 0.2) (layer "B.Cu") (net 124) (tstamp b1d87740-8028-421d-8bca-2684f3840c8e)) + (segment (start 75.515846 112.236971) (end 75.633401 112.354526) (width 0.2) (layer "F.Cu") (net 125) (tstamp 40a8b63c-d923-4039-8447-d093cf398bc6)) + (segment (start 69.876444 112.236971) (end 75.515846 112.236971) (width 0.2) (layer "F.Cu") (net 125) (tstamp ce45b872-c5ae-4b0a-b265-dcb036ad3349)) + (segment (start 118.502598 102.549198) (end 118.235796 102.816) (width 0.2) (layer "F.Cu") (net 126) (tstamp 9a9ee0fc-f3ba-488e-b12c-0ed6661ed55a)) + (segment (start 118.502598 102.495198) (end 118.4676 102.4602) (width 0.2) (layer "F.Cu") (net 126) (tstamp b301b65b-9bc5-4fa7-b413-49852a09e786)) + (segment (start 77.133401 112.354526) (end 79.411634 112.354526) (width 0.2) (layer "F.Cu") (net 126) (tstamp c7a3ca98-c9c4-4604-9391-3c64d58e0be3)) + (segment (start 118.502598 102.549198) (end 118.502598 102.495198) (width 0.2) (layer "F.Cu") (net 126) (tstamp f5ebb0b1-a166-474e-888b-fdc5cd668bdc)) + (segment (start 118.235796 102.816) (end 116.6456 102.816) (width 0.2) (layer "F.Cu") (net 126) (tstamp fef41f22-7fe4-4ca1-986c-87e3550f546d)) + (via (at 79.475634 112.418526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 126) (tstamp cfc98a05-fad3-4a81-bd1b-74c4ce18aed8)) + (via (at 118.502598 102.549198) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 126) (tstamp eef827e0-df6c-44a4-a7a9-505e9431f095)) + (segment (start 90.187588 112.418526) (end 99.703914 102.9022) (width 0.2) (layer "B.Cu") (net 126) (tstamp 41ab12f2-6d84-47d6-a4f7-ee8bb9fdd2f3)) + (segment (start 118.149596 102.9022) (end 118.502598 102.549198) (width 0.2) (layer "B.Cu") (net 126) (tstamp 45092c4d-1eea-4d78-87b6-c2e26048d637)) + (segment (start 79.475634 112.418526) (end 90.187588 112.418526) (width 0.2) (layer "B.Cu") (net 126) (tstamp 807a2922-7071-4491-bc99-178e9641abeb)) + (segment (start 99.703914 102.9022) (end 118.149596 102.9022) (width 0.2) (layer "B.Cu") (net 126) (tstamp 860fb32a-e42b-4714-80d1-f64718d90ff0)) + (segment (start 69.862274 48.601126) (end 75.584274 48.601126) (width 0.2) (layer "F.Cu") (net 127) (tstamp 874942fe-c547-4d0c-8f9d-f45e78069b4e)) + (segment (start 75.584274 48.601126) (end 75.589274 48.606126) (width 0.2) (layer "F.Cu") (net 127) (tstamp bdb100a0-6c37-43a3-b0c8-02be66770934)) + (segment (start 153.718224 107.0012) (end 153.903424 106.816) (width 0.2) (layer "F.Cu") (net 128) (tstamp 67355ed4-f3e6-47ae-8989-80de155bdd4c)) + (segment (start 194.068274 91.256529) (end 194.156871 91.345126) (width 0.2) (layer "F.Cu") (net 128) (tstamp bd7ac9ca-65dc-4e2c-ad67-11e66667f32f)) + (segment (start 152.621661 106.892284) (end 152.730577 107.0012) (width 0.2) (layer "F.Cu") (net 128) (tstamp bd801149-7274-4a67-92db-5a79221abbb1)) + (segment (start 152.730577 107.0012) (end 153.718224 107.0012) (width 0.2) (layer "F.Cu") (net 128) (tstamp d4517422-b3be-4a4e-ad8e-3530404f3b38)) + (segment (start 194.156871 91.345126) (end 196.989274 91.345126) (width 0.2) (layer "F.Cu") (net 128) (tstamp efc72924-d4bb-4a4b-9706-93d1796a4545)) + (segment (start 153.903424 106.816) (end 157.6956 106.816) (width 0.2) (layer "F.Cu") (net 128) (tstamp f2a6c72f-838b-4ba4-8337-3665daebe341)) + (via (at 194.068274 91.256529) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 128) (tstamp 07ac6f1f-f3a4-4d8a-8c8d-c0889a25d860)) + (via (at 152.621661 106.892284) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 128) (tstamp 6e3be8f4-1016-4488-b758-a1c53e984095)) + (segment (start 152.621661 106.892284) (end 152.730577 107.0012) (width 0.2) (layer "B.Cu") (net 128) (tstamp 1db71155-42ca-40b6-958a-730add2f56dd)) + (segment (start 158.995364 107.0012) (end 159.630039 106.366526) (width 0.2) (layer "B.Cu") (net 128) (tstamp 299355ee-4bb3-46eb-ad87-5c9b67671857)) + (segment (start 152.730577 107.0012) (end 158.995364 107.0012) (width 0.2) (layer "B.Cu") (net 128) (tstamp 70c5de8e-eec9-4ce2-b99a-db2fdecbeccd)) + (segment (start 193.368274 91.956529) (end 194.068274 91.256529) (width 0.2) (layer "B.Cu") (net 128) (tstamp 885a6407-6574-4b7f-b7f6-4bf0da55c9d3)) + (segment (start 193.368274 95.21684) (end 193.368274 91.956529) (width 0.2) (layer "B.Cu") (net 128) (tstamp 8ad56a26-64fd-40e8-aacd-6d5225f3f821)) + (segment (start 182.218588 106.366526) (end 193.368274 95.21684) (width 0.2) (layer "B.Cu") (net 128) (tstamp aeba255c-d657-44c2-b836-e066ec4e3f50)) + (segment (start 159.630039 106.366526) (end 182.218588 106.366526) (width 0.2) (layer "B.Cu") (net 128) (tstamp c2c941fe-c6ff-4760-bbb3-954d172ee868)) + (segment (start 92.595274 97.00819) (end 86.609905 97.00819) (width 0.2) (layer "F.Cu") (net 129) (tstamp 1ed5679d-3b62-404e-b445-9f6f28ed6c76)) + (segment (start 86.609905 97.00819) (end 86.602802 97.001087) (width 0.2) (layer "F.Cu") (net 129) (tstamp bfb70491-9a55-4e4b-a284-2ba454d1ca65)) + (segment (start 95.48959 97.00819) (end 99.7974 101.316) (width 0.2) (layer "F.Cu") (net 130) (tstamp 16d3fc84-6588-4f3b-8d2e-83f57ade9fb9)) + (segment (start 99.7974 101.316) (end 112.6956 101.316) (width 0.2) (layer "F.Cu") (net 130) (tstamp 5adbaef7-9050-4004-92cf-33bbff1f26df)) + (segment (start 94.095274 97.00819) (end 95.48959 97.00819) (width 0.2) (layer "F.Cu") (net 130) (tstamp 83fc5a1c-2174-4eff-9e7d-e5d23f36edfc)) + (segment (start 86.604231 108.0452) (end 86.567128 108.008097) (width 0.2) (layer "F.Cu") (net 131) (tstamp 01282beb-35bc-4c24-9efa-236cc50ff1ac)) + (segment (start 92.5596 108.0452) (end 86.604231 108.0452) (width 0.2) (layer "F.Cu") (net 131) (tstamp aeb47e5d-eb4b-414d-a9aa-cca26a8f3057)) + (segment (start 94.0716 108.0452) (end 96.2456 108.0452) (width 0.2) (layer "F.Cu") (net 132) (tstamp 542fe84f-697d-464f-a371-04e7833fd43d)) + (segment (start 99.9748 104.316) (end 112.6956 104.316) (width 0.2) (layer "F.Cu") (net 132) (tstamp 57db2db3-4416-4d17-86dd-ec81b6fcf076)) + (segment (start 96.2456 108.0452) (end 99.9748 104.316) (width 0.2) (layer "F.Cu") (net 132) (tstamp 8b7d253f-8996-492b-a91c-a0ee2a3335c6)) + (segment (start 69.953999 109.041526) (end 69.876444 108.963971) (width 0.2) (layer "F.Cu") (net 133) (tstamp 2a7ef600-054d-471b-96da-dea80c82a9a6)) + (segment (start 75.606061 109.041526) (end 69.953999 109.041526) (width 0.2) (layer "F.Cu") (net 133) (tstamp e6f9fc1f-6e66-4db7-ab63-4390e84d4659)) + (segment (start 119.214858 101.847601) (end 119.183257 101.816) (width 0.2) (layer "F.Cu") (net 134) (tstamp 211f45e0-e583-479f-a8cd-d4377ba0070e)) + (segment (start 119.183257 101.816) (end 116.6456 101.816) (width 0.2) (layer "F.Cu") (net 134) (tstamp 22f0d22c-bcde-45c5-85fa-53a7f5176e02)) + (segment (start 79.475634 109.145526) (end 79.371634 109.041526) (width 0.2) (layer "F.Cu") (net 134) (tstamp 30a26ef9-dc08-4aeb-846c-4c569dc472b9)) + (segment (start 79.371634 109.041526) (end 77.106061 109.041526) (width 0.2) (layer "F.Cu") (net 134) (tstamp 5ce9d20a-1bf7-4eb3-95b9-9a6cfc1bc4fe)) + (via (at 119.214858 101.847601) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 134) (tstamp 622f3350-79b8-4e46-91ac-96c57adbafca)) + (via (at 79.475634 109.145526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 134) (tstamp d52d447f-b752-433b-9692-122f0b0c36c2)) + (segment (start 99.600228 102.4402) (end 117.621646 102.4402) (width 0.2) (layer "B.Cu") (net 134) (tstamp 1b51f04a-a86b-411b-810b-b5a9a82f3d90)) + (segment (start 92.894902 109.145526) (end 99.600228 102.4402) (width 0.2) (layer "B.Cu") (net 134) (tstamp 652f813d-6800-479b-bd5b-1309c794934c)) + (segment (start 118.214245 101.847601) (end 119.214858 101.847601) (width 0.2) (layer "B.Cu") (net 134) (tstamp 6d2f41d9-d30b-4abd-bb69-1b07488dc17f)) + (segment (start 117.621646 102.4402) (end 118.214245 101.847601) (width 0.2) (layer "B.Cu") (net 134) (tstamp 70046e2d-207a-4c4c-b0b3-9aed27c0b214)) + (segment (start 79.475634 109.145526) (end 92.894902 109.145526) (width 0.2) (layer "B.Cu") (net 134) (tstamp c11906b2-2d7c-44c9-97c0-6368504bfa1c)) + (segment (start 86.602802 94.231087) (end 92.594171 94.231087) (width 0.2) (layer "F.Cu") (net 135) (tstamp 32c64069-1366-4951-bcf7-3ca3aad9b183)) + (segment (start 92.594171 94.231087) (end 92.607274 94.24419) (width 0.2) (layer "F.Cu") (net 135) (tstamp 4672fe41-762b-479b-9dd0-4b49948b4cb4)) + (segment (start 95.64659 94.24419) (end 101.7184 100.316) (width 0.2) (layer "F.Cu") (net 136) (tstamp 63d913de-0978-459b-aa01-efecc4510ce3)) + (segment (start 94.107274 94.24419) (end 95.64659 94.24419) (width 0.2) (layer "F.Cu") (net 136) (tstamp 8e938a2c-7f52-4a76-957c-dd5d1a9d3027)) + (segment (start 101.7184 100.316) (end 112.6956 100.316) (width 0.2) (layer "F.Cu") (net 136) (tstamp c2473e3b-e6cc-417a-bcf2-931b522949cc)) + (segment (start 75.516079 122.336971) (end 75.573634 122.394526) (width 0.2) (layer "F.Cu") (net 137) (tstamp 97060793-4feb-4094-b199-573e5b6f0641)) + (segment (start 69.829054 122.336971) (end 75.516079 122.336971) (width 0.2) (layer "F.Cu") (net 137) (tstamp cdbab5f8-c9bd-4b51-acd0-233dfeda6b25)) + (segment (start 118.462171 106.4529) (end 118.325271 106.316) (width 0.2) (layer "F.Cu") (net 138) (tstamp 1c073f8e-580c-411a-aa20-c9d2f2dfdbcd)) + (segment (start 118.325271 106.316) (end 116.6456 106.316) (width 0.2) (layer "F.Cu") (net 138) (tstamp 56949791-3894-4542-b8c5-40b899bf8833)) + (segment (start 79.475634 122.578526) (end 79.291634 122.394526) (width 0.2) (layer "F.Cu") (net 138) (tstamp 86fca837-920b-47b6-b986-875b42d72072)) + (segment (start 79.291634 122.394526) (end 77.073634 122.394526) (width 0.2) (layer "F.Cu") (net 138) (tstamp 9b8b9ff8-7c1f-4ea9-894e-be38b260e278)) + (via (at 79.475634 122.578526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 138) (tstamp c3386f69-c709-42dd-b4cf-e8fe7734f5cf)) + (via (at 118.462171 106.4529) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 138) (tstamp f2b74bbd-bebf-468f-8950-3466b02e6682)) + (segment (start 100.9099 106.4529) (end 118.462171 106.4529) (width 0.2) (layer "B.Cu") (net 138) (tstamp 2d9e16cf-c96c-4900-ad7e-7e7ae6225353)) + (segment (start 86.259948 120.467852) (end 93.4726 113.2552) (width 0.2) (layer "B.Cu") (net 138) (tstamp 44e14fd7-cba3-4c80-a27c-9bbd33177731)) + (segment (start 79.475634 122.578526) (end 79.919634 122.578526) (width 0.2) (layer "B.Cu") (net 138) (tstamp 5943b717-1e3d-4396-af98-7cc36b47fe08)) + (segment (start 82.030308 120.467852) (end 86.259948 120.467852) (width 0.2) (layer "B.Cu") (net 138) (tstamp 5d7a5ec1-eb4a-4784-8e8e-daf92b3034e1)) + (segment (start 93.4726 113.2552) (end 94.1076 113.2552) (width 0.2) (layer "B.Cu") (net 138) (tstamp 8b8649f7-fa43-4fb6-8611-6390b696a2a4)) + (segment (start 79.919634 122.578526) (end 82.030308 120.467852) (width 0.2) (layer "B.Cu") (net 138) (tstamp a73bfa6f-4b40-4a84-9e8f-dac846d7428d)) + (segment (start 94.1076 113.2552) (end 100.9099 106.4529) (width 0.2) (layer "B.Cu") (net 138) (tstamp e3ca03ca-4951-43a3-b4de-2c4b198ed4b9)) + (segment (start 86.567128 113.138097) (end 92.544497 113.138097) (width 0.2) (layer "F.Cu") (net 139) (tstamp 49d17c06-5f17-4f19-8f20-104ee55d94c3)) + (segment (start 92.544497 113.138097) (end 92.5716 113.1652) (width 0.2) (layer "F.Cu") (net 139) (tstamp cd06c866-73fa-4f8b-8b2b-2f7534870469)) + (segment (start 94.0716 113.1652) (end 95.2136 113.1652) (width 0.2) (layer "F.Cu") (net 140) (tstamp 295f9da6-2813-4414-a49d-cf72f92143d1)) + (segment (start 102.0628 106.316) (end 112.6956 106.316) (width 0.2) (layer "F.Cu") (net 140) (tstamp 8872cb18-864f-4473-978f-00c10fd83a14)) + (segment (start 95.2136 113.1652) (end 102.0628 106.316) (width 0.2) (layer "F.Cu") (net 140) (tstamp c724df5e-fab0-4a7d-a655-26ad7861b486)) + (segment (start 69.854978 124.934526) (end 69.817423 124.896971) (width 0.2) (layer "F.Cu") (net 141) (tstamp 8b640cfb-79a8-4b92-b7bc-1ffa4c34eac4)) + (segment (start 75.573634 124.934526) (end 69.854978 124.934526) (width 0.2) (layer "F.Cu") (net 141) (tstamp d637df94-a53d-486b-894a-26ff1d87cd65)) + (segment (start 118.9458 107.316) (end 116.6456 107.316) (width 0.2) (layer "F.Cu") (net 142) (tstamp 1a055fcc-8dce-41fd-b50e-b7d9879f5677)) + (segment (start 119.838 106.8456) (end 119.4162 106.8456) (width 0.2) (layer "F.Cu") (net 142) (tstamp 6600c0f5-ac6a-40c9-8a00-8e749298297b)) + (segment (start 77.073634 124.934526) (end 79.291634 124.934526) (width 0.2) (layer "F.Cu") (net 142) (tstamp 68c3d753-832a-4245-acc2-4d96d287768c)) + (segment (start 119.4162 106.8456) (end 118.9458 107.316) (width 0.2) (layer "F.Cu") (net 142) (tstamp cec3255a-7da8-473b-a0a2-922deed694d7)) + (segment (start 79.291634 124.934526) (end 79.475634 125.118526) (width 0.2) (layer "F.Cu") (net 142) (tstamp e56b605b-c7c2-4b5f-8ee8-8cf8b38285ca)) + (via (at 119.838 106.8456) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 142) (tstamp 593f738e-cc1a-4f0f-8e45-fcbbfcedca7a)) + (via (at 79.475634 125.118526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 142) (tstamp d7a90290-3e01-40f5-9e29-4a10ede1505b)) + (segment (start 118.122 107.1362) (end 118.1387 107.1529) (width 0.2) (layer "B.Cu") (net 142) (tstamp 1290ae4a-9cde-4f79-bc12-eff0a79404d8)) + (segment (start 81.586308 123.007852) (end 85.370948 123.007852) (width 0.2) (layer "B.Cu") (net 142) (tstamp 1575dbce-992f-4878-8253-edc2502970a4)) + (segment (start 79.475634 125.118526) (end 81.586308 123.007852) (width 0.2) (layer "B.Cu") (net 142) (tstamp 5749cc64-fe72-4b1a-b385-8cbcbb82370c)) + (segment (start 119.5307 107.1529) (end 119.838 106.8456) (width 0.2) (layer "B.Cu") (net 142) (tstamp 730223d9-678e-4f7f-9f20-df55eb7351e2)) + (segment (start 85.370948 123.007852) (end 101.2426 107.1362) (width 0.2) (layer "B.Cu") (net 142) (tstamp ce2b29a9-0cab-48d5-9ec1-1e9cb412a4b9)) + (segment (start 101.2426 107.1362) (end 118.122 107.1362) (width 0.2) (layer "B.Cu") (net 142) (tstamp ece9e0a3-7f0e-45b9-a8cb-979ac331359d)) + (segment (start 118.1387 107.1529) (end 119.5307 107.1529) (width 0.2) (layer "B.Cu") (net 142) (tstamp f5fab7df-6d89-49cd-8216-77d9dcb08419)) + (segment (start 86.554231 110.6452) (end 86.547128 110.638097) (width 0.2) (layer "F.Cu") (net 143) (tstamp 4120069b-7f0c-45c9-8188-7ae0313a7394)) + (segment (start 92.5716 110.6452) (end 86.554231 110.6452) (width 0.2) (layer "F.Cu") (net 143) (tstamp c771e8ea-ee89-49b4-b264-634ff8e3292c)) + (segment (start 94.0716 110.6452) (end 96.160645 110.6452) (width 0.2) (layer "F.Cu") (net 144) (tstamp 7334dc14-d2f7-4fac-a6fe-0278d38e380f)) + (segment (start 96.160645 110.6452) (end 100.989845 105.816) (width 0.2) (layer "F.Cu") (net 144) (tstamp 96be7203-2330-40fe-b957-a53d89cf8345)) + (segment (start 100.989845 105.816) (end 112.6956 105.816) (width 0.2) (layer "F.Cu") (net 144) (tstamp e23fd1ee-c404-42c5-8e28-b4ca033d8fcf)) + (segment (start 204.643674 128.996526) (end 204.663674 129.016526) (width 0.2) (layer "F.Cu") (net 145) (tstamp 3994aa31-c1df-45fc-a1f7-c2db3a8ade34)) + (segment (start 198.513274 128.996526) (end 204.643674 128.996526) (width 0.2) (layer "F.Cu") (net 145) (tstamp b1322638-fc71-44e3-baea-674c844ce450)) + (segment (start 94.0716 115.823897) (end 96.086903 115.823897) (width 0.2) (layer "F.Cu") (net 146) (tstamp 9cc348f2-dc80-4fd9-9223-8e08784d1100)) + (segment (start 104.5948 107.316) (end 112.6956 107.316) (width 0.2) (layer "F.Cu") (net 146) (tstamp d38ce678-47dc-4e5f-96a6-d2de0da71fdf)) + (segment (start 96.086903 115.823897) (end 104.5948 107.316) (width 0.2) (layer "F.Cu") (net 146) (tstamp db1a42f3-ceb1-4bb4-9bb9-1b9cf82f1750)) + (segment (start 178.487674 120.731526) (end 173.880274 120.731526) (width 0.2) (layer "F.Cu") (net 147) (tstamp 33a186c6-390b-4599-bf7f-cbb17b74f1f1)) + (segment (start 173.880274 120.731526) (end 173.875274 120.736526) (width 0.2) (layer "F.Cu") (net 147) (tstamp 5c09df70-5b9e-4c55-a30b-715f9c997a62)) + (segment (start 94.3256 102.8452) (end 112.6664 102.8452) (width 0.2) (layer "F.Cu") (net 148) (tstamp 1117107b-4232-4fc8-bd30-cf8f693403e4)) + (segment (start 94.0716 103.0992) (end 94.3256 102.8452) (width 0.2) (layer "F.Cu") (net 148) (tstamp 18fae011-2c91-41d0-9f16-90c2db192cd2)) + (segment (start 112.6664 102.8452) (end 112.6956 102.816) (width 0.2) (layer "F.Cu") (net 148) (tstamp f666ff1d-fd31-4d3c-a121-7a7772c0bcfa)) + (segment (start 204.663674 121.376526) (end 198.513274 121.376526) (width 0.2) (layer "F.Cu") (net 149) (tstamp 9881854b-22f5-4264-8d00-b7f992000ccc)) + (segment (start 119.4836 104.7208) (end 119.250203 104.954197) (width 0.2) (layer "F.Cu") (net 150) (tstamp 2fff806a-2509-4c6d-93b2-df6b22037536)) + (segment (start 77.061634 119.854526) (end 79.291634 119.854526) (width 0.2) (layer "F.Cu") (net 150) (tstamp 86409eb7-eda9-41c4-9544-1eb18c1d16e7)) + (segment (start 118.039453 104.816) (end 116.6456 104.816) (width 0.2) (layer "F.Cu") (net 150) (tstamp 9d964faf-84e8-4ec0-b28a-104e67cb9b03)) + (segment (start 118.17765 104.954197) (end 118.039453 104.816) (width 0.2) (layer "F.Cu") (net 150) (tstamp aefac25f-7d10-456a-b6b3-e5f8cea66be8)) + (segment (start 119.250203 104.954197) (end 118.17765 104.954197) (width 0.2) (layer "F.Cu") (net 150) (tstamp b82f7b98-0904-4bc0-aa25-00a950c069a7)) + (segment (start 79.291634 119.854526) (end 79.475634 120.038526) (width 0.2) (layer "F.Cu") (net 150) (tstamp c4a14830-b6bc-481f-9cdf-b82f7f5b1d74)) + (via (at 119.4836 104.7208) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 150) (tstamp 5f74b00e-c000-4fb5-8fdf-c92bbfcb28b7)) + (via (at 79.475634 120.038526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 150) (tstamp 89824063-fb73-4701-845f-ffa9efa882eb)) + (segment (start 81.586308 117.927852) (end 88.037948 117.927852) (width 0.2) (layer "B.Cu") (net 150) (tstamp 29858560-77e1-4d4d-8e2f-3b4aabbb6858)) + (segment (start 118.453874 105.750526) (end 119.4836 104.7208) (width 0.2) (layer "B.Cu") (net 150) (tstamp 32860039-ed2c-4bae-bb66-6da22adb288e)) + (segment (start 88.037948 117.927852) (end 100.215274 105.750526) (width 0.2) (layer "B.Cu") (net 150) (tstamp 918a70d6-9f27-4c54-898c-1c9f0be3ff74)) + (segment (start 100.215274 105.750526) (end 118.453874 105.750526) (width 0.2) (layer "B.Cu") (net 150) (tstamp 95e9e6fe-4909-44d6-879a-3c0e62166c27)) + (segment (start 79.475634 120.038526) (end 81.586308 117.927852) (width 0.2) (layer "B.Cu") (net 150) (tstamp e6cba365-0055-4acb-83f9-9678b31c8f4d)) + (segment (start 204.638274 123.916526) (end 204.663674 123.891126) (width 0.2) (layer "F.Cu") (net 151) (tstamp 75f923e7-ce48-4e97-8f9f-af0feaf44b97)) + (segment (start 198.513274 123.916526) (end 204.638274 123.916526) (width 0.2) (layer "F.Cu") (net 151) (tstamp f238268e-abdd-4f25-84d3-ef92b6783968)) + (segment (start 118.405797 104.316) (end 116.6456 104.316) (width 0.2) (layer "F.Cu") (net 152) (tstamp 1fca11d8-ce43-46d3-b64c-f30669dc55ec)) + (segment (start 118.4676 104.254197) (end 118.405797 104.316) (width 0.2) (layer "F.Cu") (net 152) (tstamp 2da6ba55-5b42-400b-82dc-db07e073ff4a)) + (segment (start 77.087034 117.344726) (end 79.321834 117.344726) (width 0.2) (layer "F.Cu") (net 152) (tstamp 60fc9e39-64a6-437c-82b4-7ed07b978f2c)) + (segment (start 79.321834 117.344726) (end 79.475634 117.498526) (width 0.2) (layer "F.Cu") (net 152) (tstamp b6e4bbfd-f77f-46f6-8afd-d2154b8ca08e)) + (via (at 118.4676 104.254197) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 152) (tstamp 762dd7ae-6840-4d1e-b577-6d31f3391472)) + (via (at 79.475634 117.498526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 152) (tstamp bfb2a9de-2671-4a66-a944-c28b06316d02)) + (segment (start 117.962603 103.7492) (end 118.4676 104.254197) (width 0.2) (layer "B.Cu") (net 152) (tstamp 5b7d698d-db11-429f-be0b-8832c08fbef8)) + (segment (start 79.475634 117.498526) (end 81.586308 115.387852) (width 0.2) (layer "B.Cu") (net 152) (tstamp 736e1417-f1bc-4ee7-8ccb-f6d7bcddd91c)) + (segment (start 89.053948 115.387852) (end 100.6926 103.7492) (width 0.2) (layer "B.Cu") (net 152) (tstamp 82d03d04-c776-4c0e-9691-a7ac89544581)) + (segment (start 81.586308 115.387852) (end 89.053948 115.387852) (width 0.2) (layer "B.Cu") (net 152) (tstamp 859e18ce-9cc8-4696-b4eb-885511d640b6)) + (segment (start 100.6926 103.7492) (end 117.962603 103.7492) (width 0.2) (layer "B.Cu") (net 152) (tstamp b464b0c4-a720-4948-b33a-56542c39295a)) + (segment (start 198.852874 159.222526) (end 198.847874 159.217526) (width 0.2) (layer "F.Cu") (net 153) (tstamp d0baccde-d36a-41e9-b1a5-8d3c0aafdc0a)) + (segment (start 198.626874 159.222526) (end 198.621874 159.217526) (width 0.2) (layer "F.Cu") (net 153) (tstamp d77c82b1-57aa-482f-b207-9bf85f12f09f)) + (segment (start 204.772274 159.222526) (end 198.626874 159.222526) (width 0.2) (layer "F.Cu") (net 153) (tstamp eafe8e37-0217-4aa6-845d-db8d526b1ac7)) + (segment (start 94.0716 120.961577) (end 96.156223 120.961577) (width 0.2) (layer "F.Cu") (net 154) (tstamp b4dd4fcd-f573-4801-a14f-334d254b08f0)) + (segment (start 108.3018 108.816) (end 112.6956 108.816) (width 0.2) (layer "F.Cu") (net 154) (tstamp c6f1e195-eac0-4036-9248-02f3a0bbf4e5)) + (segment (start 96.156223 120.961577) (end 108.3018 108.816) (width 0.2) (layer "F.Cu") (net 154) (tstamp e64882cb-363a-442d-a8ba-aad64d45fd67)) + (segment (start 177.031274 112.613526) (end 177.026274 112.608526) (width 0.2) (layer "F.Cu") (net 155) (tstamp df42257f-3978-4f4f-8c48-ddee6678d261)) + (segment (start 182.873874 112.613526) (end 177.031274 112.613526) (width 0.2) (layer "F.Cu") (net 155) (tstamp f4a260d5-2248-408a-be9a-4cb4681e38d0)) + (segment (start 118.473134 108.516168) (end 118.173302 108.816) (width 0.2) (layer "F.Cu") (net 156) (tstamp 5deccbd5-1a81-4668-8e0c-99626cd7be7c)) + (segment (start 118.173302 108.816) (end 116.6456 108.816) (width 0.2) (layer "F.Cu") (net 156) (tstamp cb601dbd-b548-471e-88b3-46c33e3c9190)) + (segment (start 79.291634 130.014526) (end 79.475634 130.198526) (width 0.2) (layer "F.Cu") (net 156) (tstamp d9228162-d282-4e35-88c1-6f4c1f3df277)) + (segment (start 77.073634 130.014526) (end 79.291634 130.014526) (width 0.2) (layer "F.Cu") (net 156) (tstamp fb510bde-9292-4ba9-bec1-28bdcc89770d)) + (via (at 118.473134 108.516168) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 156) (tstamp 3323c50c-efe6-4894-b718-d29b1fe916f7)) + (via (at 79.475634 130.198526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 156) (tstamp ea2c63d0-dfe7-4aef-a4f6-43c1dbf209cf)) + (segment (start 101.770571 109.148229) (end 114.874607 109.148229) (width 0.2) (layer "B.Cu") (net 156) (tstamp 1d00ef21-7840-4c2b-98ef-e3c229ba5833)) + (segment (start 79.475634 130.198526) (end 81.586308 128.087852) (width 0.2) (layer "B.Cu") (net 156) (tstamp 3015ab5a-54a1-4368-9579-881c3ac882ac)) + (segment (start 81.586308 128.087852) (end 82.830948 128.087852) (width 0.2) (layer "B.Cu") (net 156) (tstamp 4d035141-3d9d-4722-b0b4-6a8a47b6426e)) + (segment (start 115.506668 108.516168) (end 118.473134 108.516168) (width 0.2) (layer "B.Cu") (net 156) (tstamp 5dac17e9-bc93-452a-baaa-fcd52e061183)) + (segment (start 114.874607 109.148229) (end 115.506668 108.516168) (width 0.2) (layer "B.Cu") (net 156) (tstamp c3e49b17-98b5-4199-b726-ef14fc7f28d3)) + (segment (start 82.830948 128.087852) (end 101.770571 109.148229) (width 0.2) (layer "B.Cu") (net 156) (tstamp e37085cd-f118-42d0-8ee5-d2cc7a4aa6b7)) + (segment (start 173.875274 123.276526) (end 178.482674 123.276526) (width 0.2) (layer "F.Cu") (net 157) (tstamp 37501120-df12-4801-9c99-ccf34eb90356)) + (segment (start 178.482674 123.276526) (end 178.487674 123.271526) (width 0.2) (layer "F.Cu") (net 157) (tstamp 5e607388-27f3-45ac-830e-c622f9fc40a1)) + (segment (start 79.291634 127.474526) (end 77.073634 127.474526) (width 0.2) (layer "F.Cu") (net 158) (tstamp 0bc2440b-da98-439b-9c58-4f34dc3aa0a5)) + (segment (start 119.8888 108.0648) (end 119.64 107.816) (width 0.2) (layer "F.Cu") (net 158) (tstamp 0d05c28f-ef3a-4af9-ba7c-e4f0e99665df)) + (segment (start 79.475634 127.658526) (end 79.291634 127.474526) (width 0.2) (layer "F.Cu") (net 158) (tstamp 18d996b3-231f-4112-aa9f-d5aff6dc6cbf)) + (segment (start 119.64 107.816) (end 116.6456 107.816) (width 0.2) (layer "F.Cu") (net 158) (tstamp c3caf9a3-f33d-4e71-b143-d722cf099bb6)) + (via (at 119.8888 108.0648) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 158) (tstamp 4a2a457e-0090-419f-b269-a928e7bfbb64)) + (via (at 79.475634 127.658526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 158) (tstamp 5457636b-9790-4f5a-a309-e819ece926d6)) + (segment (start 119.640168 107.816168) (end 119.8888 108.0648) (width 0.2) (layer "B.Cu") (net 158) (tstamp 15461351-db25-41a0-9d20-aec0012fd146)) + (segment (start 81.586308 125.547852) (end 84.481948 125.547852) (width 0.2) (layer "B.Cu") (net 158) (tstamp 24d89b87-b012-4bd6-92c8-36ba1e0d4fa7)) + (segment (start 114.708921 108.748229) (end 115.640982 107.816168) (width 0.2) (layer "B.Cu") (net 158) (tstamp 3a57ecb5-d635-4cf7-b55c-79f2f4e449c3)) + (segment (start 84.481948 125.547852) (end 101.281571 108.748229) (width 0.2) (layer "B.Cu") (net 158) (tstamp 3bed0475-2cd3-4cc3-88d6-eb2b8bc2407b)) + (segment (start 79.475634 127.658526) (end 81.586308 125.547852) (width 0.2) (layer "B.Cu") (net 158) (tstamp 8c389d85-d715-4252-aecf-0296d7d80a80)) + (segment (start 115.640982 107.816168) (end 119.640168 107.816168) (width 0.2) (layer "B.Cu") (net 158) (tstamp d18c887e-a288-4c9b-b102-ce4ff447fd8c)) + (segment (start 101.281571 108.748229) (end 114.708921 108.748229) (width 0.2) (layer "B.Cu") (net 158) (tstamp e5c8e229-b53d-4d9a-90d2-8b6b5355604e)) + (segment (start 182.919674 110.068526) (end 182.924674 110.073526) (width 0.2) (layer "F.Cu") (net 159) (tstamp dbba1dc2-f65b-418d-b557-ff88b634262f)) + (segment (start 177.026274 110.068526) (end 182.919674 110.068526) (width 0.2) (layer "F.Cu") (net 159) (tstamp e4a67a87-3d96-4c69-958e-197f65a4f42c)) + (segment (start 171.081274 125.689526) (end 171.208274 125.816526) (width 0.2) (layer "F.Cu") (net 160) (tstamp ba2b6768-d3ca-4771-aa95-a0895cf03afc)) + (segment (start 148.983274 109.433526) (end 149.365748 109.816) (width 0.2) (layer "F.Cu") (net 160) (tstamp ce15db04-f0f3-425e-bbfe-49895df96368)) + (segment (start 171.208274 125.816526) (end 172.375274 125.816526) (width 0.2) (layer "F.Cu") (net 160) (tstamp d0ca594d-61dc-4c11-bde9-a2c34d81ad8f)) + (segment (start 149.365748 109.816) (end 157.6956 109.816) (width 0.2) (layer "F.Cu") (net 160) (tstamp d2487e2e-291a-41ec-a1a3-36f773b4fec0)) + (via (at 171.081274 125.689526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 160) (tstamp 206f5256-a87d-4313-a6c1-e79e5ba487e0)) + (via (at 148.983274 109.433526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 160) (tstamp b7cd0418-3041-43de-8d76-1cfd543e60ea)) + (segment (start 148.983274 109.433526) (end 149.365748 109.816) (width 0.2) (layer "In2.Cu") (net 160) (tstamp 249811aa-5694-4b68-9aca-7fd40f8e1ea9)) + (segment (start 153.175748 109.816) (end 169.049274 125.689526) (width 0.2) (layer "In2.Cu") (net 160) (tstamp c31e72da-31a2-42b9-9fc6-434dd6a27ee9)) + (segment (start 149.365748 109.816) (end 153.175748 109.816) (width 0.2) (layer "In2.Cu") (net 160) (tstamp dd5467a3-ab9d-4684-ad89-e7db37f1acc9)) + (segment (start 169.049274 125.689526) (end 171.081274 125.689526) (width 0.2) (layer "In2.Cu") (net 160) (tstamp eda3ba8d-1c79-4c79-897b-b4e980c4bf07)) + (segment (start 69.862274 46.061126) (end 75.612914 46.061126) (width 0.2) (layer "F.Cu") (net 161) (tstamp 4ef27459-03f0-4511-9882-ead4e28bc8d5)) + (segment (start 171.208274 123.276526) (end 172.375274 123.276526) (width 0.2) (layer "F.Cu") (net 162) (tstamp 1c37304a-df00-433e-82e0-28231367ae34)) + (segment (start 151.437656 108.331908) (end 157.679692 108.331908) (width 0.2) (layer "F.Cu") (net 162) (tstamp 4842746c-8d56-4e41-80d0-886cc3cac3b9)) + (segment (start 171.081274 123.149526) (end 171.208274 123.276526) (width 0.2) (layer "F.Cu") (net 162) (tstamp 4f1fe4e5-2b66-43ba-a6e3-2be2154f6b29)) + (segment (start 157.679692 108.331908) (end 157.6956 108.316) (width 0.2) (layer "F.Cu") (net 162) (tstamp 8cbb33de-720e-4b09-a65a-12f8542882f4)) + (segment (start 150.761274 107.655526) (end 151.437656 108.331908) (width 0.2) (layer "F.Cu") (net 162) (tstamp ffc4214e-7582-4354-a8d8-8259b7fafb41)) + (via (at 171.081274 123.149526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 162) (tstamp 11eb62b6-0355-4115-a675-8984ef6a7647)) + (via (at 150.761274 107.655526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 162) (tstamp fadd13d1-49e8-4072-8e07-b7accab6ed56)) + (segment (start 171.843274 120.101526) (end 171.843274 122.387526) (width 0.2) (layer "In1.Cu") (net 162) (tstamp 00b7133a-1472-483e-b6f5-fe706f4276c2)) + (segment (start 171.335274 119.593526) (end 171.843274 120.101526) (width 0.2) (layer "In1.Cu") (net 162) (tstamp 0428b833-25ee-43fa-b5ba-46e14a8d4d5b)) + (segment (start 159.057656 108.331908) (end 170.319274 119.593526) (width 0.2) (layer "In1.Cu") (net 162) (tstamp 72505cb0-5fed-421d-a416-ee7e4a2966f9)) + (segment (start 150.761274 107.655526) (end 151.437656 108.331908) (width 0.2) (layer "In1.Cu") (net 162) (tstamp 8c781540-830d-4c00-9feb-753d5708fa0b)) + (segment (start 170.319274 119.593526) (end 171.335274 119.593526) (width 0.2) (layer "In1.Cu") (net 162) (tstamp b0da1460-2353-4c97-8713-264086d22bfe)) + (segment (start 171.843274 122.387526) (end 171.081274 123.149526) (width 0.2) (layer "In1.Cu") (net 162) (tstamp e117bfa8-21cd-4eb2-989f-74fcc901e764)) + (segment (start 151.437656 108.331908) (end 159.057656 108.331908) (width 0.2) (layer "In1.Cu") (net 162) (tstamp fd7feb59-dd45-445e-ad7f-03588dbab8fe)) + (segment (start 177.078874 102.453526) (end 177.073874 102.448526) (width 0.2) (layer "F.Cu") (net 163) (tstamp 720dfdd4-2478-4f0b-a7be-fa747b43f96a)) + (segment (start 182.896074 102.453526) (end 177.078874 102.453526) (width 0.2) (layer "F.Cu") (net 163) (tstamp f3caefb8-cd94-4b6a-8320-6aec877c8a88)) + (segment (start 157.686126 109.306526) (end 157.6956 109.316) (width 0.2) (layer "F.Cu") (net 164) (tstamp 3d684544-6215-4f4d-b5cc-b44ec9352772)) + (segment (start 150.634274 109.306526) (end 157.686126 109.306526) (width 0.2) (layer "F.Cu") (net 164) (tstamp 496339e2-612c-4fdc-8bb8-70578c46e572)) + (segment (start 171.213274 118.201526) (end 172.375274 118.201526) (width 0.2) (layer "F.Cu") (net 164) (tstamp 7dc0c713-17bb-40e1-b0d4-5dd5ab58bdbf)) + (segment (start 149.745274 108.417526) (end 150.634274 109.306526) (width 0.2) (layer "F.Cu") (net 164) (tstamp b25180c0-669c-4853-8512-45651546a5fd)) + (segment (start 171.081274 118.069526) (end 171.213274 118.201526) (width 0.2) (layer "F.Cu") (net 164) (tstamp ca458d71-8f60-4444-9966-5ab032ad3db5)) + (via (at 149.745274 108.417526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 164) (tstamp 35afeaac-f5b4-4761-a466-f76abb766422)) + (via (at 171.081274 118.069526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 164) (tstamp 54a79be0-5d1a-4809-9317-3077a53ea072)) + (segment (start 150.348876 109.021128) (end 160.000876 109.021128) (width 0.2) (layer "In2.Cu") (net 164) (tstamp 68fb19f2-69b0-4dc8-83a0-3d7c879a2720)) + (segment (start 149.745274 108.417526) (end 150.348876 109.021128) (width 0.2) (layer "In2.Cu") (net 164) (tstamp 696a6887-a0c8-42dd-b06b-b691e5e35d1e)) + (segment (start 160.000876 109.021128) (end 169.049274 118.069526) (width 0.2) (layer "In2.Cu") (net 164) (tstamp 773f390b-01e8-4c00-96eb-f5a85bf46ecc)) + (segment (start 169.049274 118.069526) (end 171.081274 118.069526) (width 0.2) (layer "In2.Cu") (net 164) (tstamp 9d72e4a4-e944-4743-9f49-97018644d4c8)) + (segment (start 86.582928 115.823897) (end 86.537128 115.778097) (width 0.2) (layer "F.Cu") (net 165) (tstamp 99589836-5909-41f2-a0b1-3f037a7b133b)) + (segment (start 92.73278 115.823897) (end 86.582928 115.823897) (width 0.2) (layer "F.Cu") (net 165) (tstamp a61d7778-bb0b-4d81-9bec-6dc788cd3425)) + (segment (start 195.065274 141.660898) (end 191.615902 138.211526) (width 0.2) (layer "F.Cu") (net 166) (tstamp 002c8ff0-c5b7-46c0-9a57-6d09501486c8)) + (segment (start 165.823274 125.996266) (end 165.823274 116.993674) (width 0.2) (layer "F.Cu") (net 166) (tstamp 10a0726a-9c69-4edf-b732-197c48dc99f1)) + (segment (start 191.615902 138.211526) (end 178.03853 138.211526) (width 0.2) (layer "F.Cu") (net 166) (tstamp 179924f7-d9d2-4eb8-bd3c-36c82ae48048)) + (segment (start 196.989274 146.620463) (end 195.065274 144.696463) (width 0.2) (layer "F.Cu") (net 166) (tstamp 312dfe39-cdd6-45f5-8f27-28f1b725f2a8)) + (segment (start 165.823274 116.993674) (end 162.6456 113.816) (width 0.2) (layer "F.Cu") (net 166) (tstamp 85b7af8e-a9ca-45e6-8425-27e616f064a7)) + (segment (start 178.03853 138.211526) (end 165.823274 125.996266) (width 0.2) (layer "F.Cu") (net 166) (tstamp a44586ec-25fd-4620-8503-1f16205da26b)) + (segment (start 162.6456 113.816) (end 161.6456 113.816) (width 0.2) (layer "F.Cu") (net 166) (tstamp e2a91ca7-7ebb-49fb-8870-5ba3acef1891)) + (segment (start 195.065274 144.696463) (end 195.065274 141.660898) (width 0.2) (layer "F.Cu") (net 166) (tstamp e95416a5-41fb-4494-9da8-e9738e950ac0)) + (segment (start 92.5234 103.0992) (end 86.608231 103.0992) (width 0.2) (layer "F.Cu") (net 167) (tstamp 5e2deb4b-ed38-4d8a-a75c-b5533010cfff)) + (segment (start 86.608231 103.0992) (end 86.587128 103.078097) (width 0.2) (layer "F.Cu") (net 167) (tstamp e62a780c-6a9c-4fe7-92ed-57ff5bea342b)) + (segment (start 194.367274 106.467526) (end 196.989274 106.467526) (width 0.2) (layer "F.Cu") (net 168) (tstamp 0f5c4177-cb8c-4346-9662-a75f013c448c)) + (segment (start 194.068274 106.766526) (end 194.367274 106.467526) (width 0.2) (layer "F.Cu") (net 168) (tstamp 1a2d10bb-679c-452a-93bd-76af46337fa7)) + (segment (start 153.500383 114.016) (end 153.700383 113.816) (width 0.2) (layer "F.Cu") (net 168) (tstamp 6dae5b96-b1ed-49d0-a846-71d1286e2898)) + (segment (start 153.700383 113.816) (end 157.6956 113.816) (width 0.2) (layer "F.Cu") (net 168) (tstamp 7c5f30fd-7cae-435f-adfb-341870f42dd3)) + (via (at 194.068274 106.766526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 168) (tstamp 74c46723-d36a-4672-8760-326bfcbfbdda)) + (via (at 153.500383 114.016) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 168) (tstamp 7cf90042-9092-47e0-a0a6-228e19386403)) + (segment (start 155.732878 114.016) (end 158.937353 110.811526) (width 0.2) (layer "B.Cu") (net 168) (tstamp 0a250285-96f5-4c05-a6cc-ae4d9e0743e5)) + (segment (start 158.937353 110.811526) (end 190.023274 110.811526) (width 0.2) (layer "B.Cu") (net 168) (tstamp 2ae6cf2a-1800-496e-9cc2-885a4d5e6dc0)) + (segment (start 153.500383 114.016) (end 155.732878 114.016) (width 0.2) (layer "B.Cu") (net 168) (tstamp 9e598fe0-c106-4e09-b8ff-1676feecec9d)) + (segment (start 190.023274 110.811526) (end 194.068274 106.766526) (width 0.2) (layer "B.Cu") (net 168) (tstamp dfe6d883-bbbd-4558-9c8b-65b8a0abbce5)) + (segment (start 75.561634 119.854526) (end 69.876799 119.854526) (width 0.2) (layer "F.Cu") (net 169) (tstamp 08b1c0a9-83bc-4968-baa3-6fe457a6ef26)) + (segment (start 69.876799 119.854526) (end 69.839044 119.816771) (width 0.2) (layer "F.Cu") (net 169) (tstamp 5bb2866a-01a7-4200-8589-1fc434bbfe2b)) + (segment (start 152.992748 113.316) (end 157.6956 113.316) (width 0.2) (layer "F.Cu") (net 170) (tstamp 25083342-65c9-4726-b033-98118061cff7)) + (segment (start 194.068274 104.226526) (end 194.187274 104.107526) (width 0.2) (layer "F.Cu") (net 170) (tstamp 83ceb64c-5b7c-4e8e-90a4-fcf7b391be80)) + (segment (start 194.187274 104.107526) (end 196.989274 104.107526) (width 0.2) (layer "F.Cu") (net 170) (tstamp 9568e677-139b-4010-a167-4fa09d9338ce)) + (segment (start 152.793274 113.116526) (end 152.992748 113.316) (width 0.2) (layer "F.Cu") (net 170) (tstamp f30cbee3-0cb9-4cac-806f-2a6cad3af7e1)) + (via (at 194.068274 104.226526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 170) (tstamp 3cc64c0f-f59c-4c97-b9bb-f329da56759a)) + (via (at 152.793274 113.116526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 170) (tstamp 6ab73d43-9919-44ba-abad-bc2b46254fb9)) + (segment (start 156.066666 113.116526) (end 158.771668 110.411526) (width 0.2) (layer "B.Cu") (net 170) (tstamp 543b4582-b58e-4f05-b542-c04616e79bb5)) + (segment (start 152.793274 113.116526) (end 156.066666 113.116526) (width 0.2) (layer "B.Cu") (net 170) (tstamp 91d42e6b-ec6e-4874-8905-3263f8541f9e)) + (segment (start 158.771668 110.411526) (end 189.407274 110.411526) (width 0.2) (layer "B.Cu") (net 170) (tstamp cd79b626-5865-43a5-9602-c1a40c3c7a28)) + (segment (start 194.068274 105.750526) (end 194.068274 104.226526) (width 0.2) (layer "B.Cu") (net 170) (tstamp ce3e2067-7cfe-418a-a33f-a2cfc3531ee9)) + (segment (start 189.407274 110.411526) (end 194.068274 105.750526) (width 0.2) (layer "B.Cu") (net 170) (tstamp df4b1f39-8dc4-47c2-87d9-68e64fb5936c)) + (segment (start 75.559279 117.316971) (end 75.587034 117.344726) (width 0.2) (layer "F.Cu") (net 171) (tstamp 14f2d27a-f9c3-418a-91d9-8702ce146089)) + (segment (start 69.864444 117.316971) (end 75.559279 117.316971) (width 0.2) (layer "F.Cu") (net 171) (tstamp 57beb6d0-5a82-465c-8c49-e57455e9b0ff)) + (segment (start 195.465274 142.556463) (end 195.465274 141.495212) (width 0.2) (layer "F.Cu") (net 172) (tstamp 1c1bdc31-1ad3-493a-a409-a9f38ac3e73a)) + (segment (start 162.711286 113.316) (end 161.6456 113.316) (width 0.2) (layer "F.Cu") (net 172) (tstamp 29777211-2186-4472-83ab-e1625a9370ba)) + (segment (start 196.989274 144.080463) (end 195.465274 142.556463) (width 0.2) (layer "F.Cu") (net 172) (tstamp 56b1bff9-fc7f-41b4-81ee-ca8557db9c47)) + (segment (start 166.223274 125.830581) (end 166.223274 116.827988) (width 0.2) (layer "F.Cu") (net 172) (tstamp 5c792497-61e4-4ac4-91df-c8fd2a95ede1)) + (segment (start 178.204216 137.811526) (end 166.223274 125.830581) (width 0.2) (layer "F.Cu") (net 172) (tstamp 74d76bfd-ab10-467a-967a-6f74bceed8a6)) + (segment (start 195.465274 141.495212) (end 191.781588 137.811526) (width 0.2) (layer "F.Cu") (net 172) (tstamp 8d83a3a1-411d-43a0-852d-82bc38ec330d)) + (segment (start 191.781588 137.811526) (end 178.204216 137.811526) (width 0.2) (layer "F.Cu") (net 172) (tstamp 8fa3e1c6-dff5-439e-b757-152ce952fc32)) + (segment (start 166.223274 116.827988) (end 162.711286 113.316) (width 0.2) (layer "F.Cu") (net 172) (tstamp ca97c6c7-e9e6-4fce-85dc-4137bb41f572)) + (segment (start 86.580608 120.961577) (end 86.547128 120.928097) (width 0.2) (layer "F.Cu") (net 173) (tstamp 80bd1d5a-b616-409a-8d1f-894d87a26ee9)) + (segment (start 92.636733 120.961577) (end 86.580608 120.961577) (width 0.2) (layer "F.Cu") (net 173) (tstamp abbe9203-ef3c-4913-91e5-b50558bc434d)) + (segment (start 69.858799 130.014526) (end 69.851044 130.006771) (width 0.2) (layer "F.Cu") (net 174) (tstamp 0e1eefc9-cd1c-4c49-8ff2-f8bf77f55968)) + (segment (start 75.573634 130.014526) (end 69.858799 130.014526) (width 0.2) (layer "F.Cu") (net 174) (tstamp 94736f5f-5ac4-4a97-b0b9-1fb34e87515e)) + (segment (start 171.875918 115.256526) (end 189.15396 115.256526) (width 0.2) (layer "F.Cu") (net 175) (tstamp 0f7e3a5a-142e-4d9f-b173-90ceaf4ae52b)) + (segment (start 163.435392 106.816) (end 171.875918 115.256526) (width 0.2) (layer "F.Cu") (net 175) (tstamp 4a98416e-954a-449b-8588-159c76aee71c)) + (segment (start 161.6456 106.816) (end 163.435392 106.816) (width 0.2) (layer "F.Cu") (net 175) (tstamp 5e625a75-fc06-4a61-a9de-95b09399fcff)) + (segment (start 189.15396 115.256526) (end 192.563274 118.665841) (width 0.2) (layer "F.Cu") (net 175) (tstamp 9ef75e34-172b-4015-9a84-bd2ee018c05f)) + (segment (start 192.563274 118.665841) (end 192.563274 127.110526) (width 0.2) (layer "F.Cu") (net 175) (tstamp a537e36e-e3db-49a1-a2b5-7d68dabe11f6)) + (segment (start 192.563274 127.110526) (end 196.989274 131.536526) (width 0.2) (layer "F.Cu") (net 175) (tstamp a5cd8fb5-6078-410e-9a6d-ed264fa4aa7d)) + (segment (start 75.571389 127.476771) (end 75.573634 127.474526) (width 0.2) (layer "F.Cu") (net 176) (tstamp 81cbaa84-80c5-4e84-9080-cd46fa9d4fa8)) + (segment (start 69.851044 127.476771) (end 75.571389 127.476771) (width 0.2) (layer "F.Cu") (net 176) (tstamp fd41669d-dece-44f2-952a-a301cb649ebb)) + (segment (start 161.6456 105.316) (end 163.066764 105.316) (width 0.2) (layer "F.Cu") (net 177) (tstamp 334afb41-ecfb-406c-a59a-9dd9b2ced6fa)) + (segment (start 163.066764 105.316) (end 172.20729 114.456526) (width 0.2) (layer "F.Cu") (net 177) (tstamp 52cd57dc-3e0a-4113-87ae-1cf62ba2ea3c)) + (segment (start 172.20729 114.456526) (end 189.485332 114.456526) (width 0.2) (layer "F.Cu") (net 177) (tstamp 67650ac4-c025-4661-935a-ae998ac1b2b4)) + (segment (start 189.485332 114.456526) (end 193.363274 118.33447) (width 0.2) (layer "F.Cu") (net 177) (tstamp 827f1cbc-91e2-49d3-9cea-e5a3a64fa943)) + (segment (start 193.363274 125.816526) (end 194.003274 126.456526) (width 0.2) (layer "F.Cu") (net 177) (tstamp a67e7e00-5424-4823-9c31-527b84f6e857)) + (segment (start 194.003274 126.456526) (end 196.989274 126.456526) (width 0.2) (layer "F.Cu") (net 177) (tstamp c33a8a85-e839-48a6-a7d8-caee684f4ae1)) + (segment (start 193.363274 118.33447) (end 193.363274 125.816526) (width 0.2) (layer "F.Cu") (net 177) (tstamp e644c014-35ac-41a3-b70e-1102a12ae284)) + (segment (start 178.482674 118.201526) (end 178.487674 118.196526) (width 0.2) (layer "F.Cu") (net 178) (tstamp 4a1f628a-c984-4479-a30c-81b91f311611)) + (segment (start 173.875274 118.201526) (end 178.482674 118.201526) (width 0.2) (layer "F.Cu") (net 178) (tstamp 579ed884-a5e1-4b1b-bd9b-57c6596b89b9)) + (segment (start 154.6536 105.6012) (end 154.6236 105.5712) (width 0.2) (layer "F.Cu") (net 179) (tstamp 51b0df68-f6e1-4f82-a08d-12a339639b5f)) + (segment (start 155.91875 105.316) (end 157.6956 105.316) (width 0.2) (layer "F.Cu") (net 179) (tstamp 5ce268ae-2ee5-4e54-9234-cd4e435769eb)) + (segment (start 79.737425 51.140526) (end 77.490425 51.140526) (width 0.2) (layer "F.Cu") (net 179) (tstamp 62ea6b5b-fd58-4a3c-9266-0896541f77df)) + (segment (start 154.6536 105.6012) (end 154.6836 105.5712) (width 0.2) (layer "F.Cu") (net 179) (tstamp 89e4153b-1b2b-4bfe-bc17-bd08e33f97a5)) + (segment (start 154.6236 105.5712) (end 154.6136 105.5712) (width 0.2) (layer "F.Cu") (net 179) (tstamp 92319b16-9287-44e3-acd3-4f1e469e5729)) + (segment (start 154.6836 105.5712) (end 155.66355 105.5712) (width 0.2) (layer "F.Cu") (net 179) (tstamp b7ac7554-2b8e-4aa7-bd87-43bde633fe6c)) + (segment (start 155.66355 105.5712) (end 155.91875 105.316) (width 0.2) (layer "F.Cu") (net 179) (tstamp f445d51b-2317-4cb4-a27b-af1d2ff9b573)) + (via (at 154.6536 105.6012) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 179) (tstamp a165c1e3-760e-4bf3-9389-cb8d2e2c0438)) + (via (at 79.750425 51.153526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 179) (tstamp a51e6ce3-efcf-4f30-a3a7-a36203bc1481)) + (segment (start 154.6536 105.6012) (end 151.593518 105.6012) (width 0.2) (layer "B.Cu") (net 179) (tstamp 499aaee1-907a-4284-aefa-2340131c0d25)) + (segment (start 80.271425 50.632526) (end 79.750425 51.153526) (width 0.2) (layer "B.Cu") (net 179) (tstamp 4c6dceef-6c49-4b1f-82e5-938e75d6cd96)) + (segment (start 96.624844 50.632526) (end 80.271425 50.632526) (width 0.2) (layer "B.Cu") (net 179) (tstamp 8f8e5f8d-289f-4859-9b25-139a6904b0bc)) + (segment (start 151.593518 105.6012) (end 96.624844 50.632526) (width 0.2) (layer "B.Cu") (net 179) (tstamp 9e42035e-74c9-4c13-8d63-0fb246b366a8)) + (segment (start 204.639674 106.492926) (end 198.514674 106.492926) (width 0.2) (layer "F.Cu") (net 180) (tstamp 5a6865cc-d46e-4544-ba2a-725337cae081)) + (segment (start 198.514674 106.492926) (end 198.489274 106.467526) (width 0.2) (layer "F.Cu") (net 180) (tstamp 7d2cbd5e-a387-4bd4-9668-b1e4b474a6a1)) + (segment (start 192.963274 126.94484) (end 192.963274 118.500155) (width 0.2) (layer "F.Cu") (net 181) (tstamp 40571702-3173-40e9-aaeb-c0226fdb7c3c)) + (segment (start 196.989274 128.996526) (end 195.01496 128.996526) (width 0.2) (layer "F.Cu") (net 181) (tstamp 4900b2c1-3599-4629-ae08-feed916c9df3)) + (segment (start 192.963274 118.500155) (end 189.319646 114.856526) (width 0.2) (layer "F.Cu") (net 181) (tstamp 4f0a9412-9a8a-46d2-9d7c-1cadabdc6623)) + (segment (start 195.01496 128.996526) (end 192.963274 126.94484) (width 0.2) (layer "F.Cu") (net 181) (tstamp 81bdeb4f-ec93-4a48-84e4-17940f899af4)) + (segment (start 189.319646 114.856526) (end 172.041604 114.856526) (width 0.2) (layer "F.Cu") (net 181) (tstamp a05185b3-8f20-4394-9cf6-9733cd71d69b)) + (segment (start 163.501078 106.316) (end 161.6456 106.316) (width 0.2) (layer "F.Cu") (net 181) (tstamp a6538be5-a768-4dee-a28e-fc8bec62af1a)) + (segment (start 172.041604 114.856526) (end 163.501078 106.316) (width 0.2) (layer "F.Cu") (net 181) (tstamp bab78bd3-a371-440f-9049-dc929252d323)) + (segment (start 198.489274 104.107526) (end 204.624274 104.107526) (width 0.2) (layer "F.Cu") (net 182) (tstamp 27984b83-d746-4c42-8e2c-54c43ce9fbbf)) + (segment (start 198.620323 104.122926) (end 198.604923 104.107526) (width 0.2) (layer "F.Cu") (net 182) (tstamp 33428be1-0889-47cf-b721-b8123d32dcd4)) + (segment (start 204.624274 104.107526) (end 204.639674 104.122926) (width 0.2) (layer "F.Cu") (net 182) (tstamp db44c71e-b517-40bf-85b4-1455dfb7d710)) + (segment (start 194.163274 120.101526) (end 195.438274 121.376526) (width 0.2) (layer "F.Cu") (net 183) (tstamp 155ec81f-83b2-4b24-9d30-bdc9c951e964)) + (segment (start 189.816704 113.656526) (end 194.163274 118.003099) (width 0.2) (layer "F.Cu") (net 183) (tstamp 19051d2a-8a11-481c-832b-fce1e19e3ce7)) + (segment (start 195.438274 121.376526) (end 196.989274 121.376526) (width 0.2) (layer "F.Cu") (net 183) (tstamp 5a285851-c1bf-44ce-9e85-3489e2e66009)) + (segment (start 194.163274 118.003099) (end 194.163274 120.101526) (width 0.2) (layer "F.Cu") (net 183) (tstamp 60e89222-1bba-4904-b467-4f58457fbc98)) + (segment (start 172.538662 113.656526) (end 189.816704 113.656526) (width 0.2) (layer "F.Cu") (net 183) (tstamp 83c43c7a-0da6-4a4a-a332-3e4133c2916f)) + (segment (start 162.698136 103.816) (end 172.538662 113.656526) (width 0.2) (layer "F.Cu") (net 183) (tstamp a7937b72-f4be-49aa-9d78-6027ad74d951)) + (segment (start 161.6456 103.816) (end 162.698136 103.816) (width 0.2) (layer "F.Cu") (net 183) (tstamp e0d4405c-055e-4d51-ba2a-16b2b3b2f936)) + (segment (start 204.565687 146.620463) (end 204.591087 146.645863) (width 0.2) (layer "F.Cu") (net 184) (tstamp 818fd6cd-999f-47ad-a424-f9ff7fe3e4e1)) + (segment (start 198.440687 146.620463) (end 204.565687 146.620463) (width 0.2) (layer "F.Cu") (net 184) (tstamp a724a040-5e07-41f7-92b5-6eb33e3ed1ab)) + (segment (start 194.403274 123.916526) (end 193.763274 123.276526) (width 0.2) (layer "F.Cu") (net 185) (tstamp 2c3dc04c-12f1-4ad8-961e-d1747a53aaf3)) + (segment (start 193.763274 123.276526) (end 193.763274 118.168784) (width 0.2) (layer "F.Cu") (net 185) (tstamp 31bb69e0-978b-4920-988e-c38753cddcbd)) + (segment (start 172.372976 114.056526) (end 163.13245 104.816) (width 0.2) (layer "F.Cu") (net 185) (tstamp 361d5436-fa14-44be-aa1f-8554b5b0891c)) + (segment (start 193.763274 118.168784) (end 189.651018 114.056526) (width 0.2) (layer "F.Cu") (net 185) (tstamp 4beb97ca-76dd-4ef3-80e8-9a5567c229ee)) + (segment (start 196.989274 123.916526) (end 194.403274 123.916526) (width 0.2) (layer "F.Cu") (net 185) (tstamp 8db95425-3bcd-4bcd-ba13-9f0a88101dd3)) + (segment (start 189.651018 114.056526) (end 172.372976 114.056526) (width 0.2) (layer "F.Cu") (net 185) (tstamp cd84bc28-7676-408f-a70b-200bc151caa7)) + (segment (start 163.13245 104.816) (end 161.6456 104.816) (width 0.2) (layer "F.Cu") (net 185) (tstamp e816f2f9-e96c-4dfd-96a3-805eb5514ac2)) + (segment (start 198.519274 88.855126) (end 198.489274 88.825126) (width 0.2) (layer "F.Cu") (net 186) (tstamp 7168e9fa-b5ff-44f5-9288-112da4329545)) + (segment (start 204.639674 88.855126) (end 198.519274 88.855126) (width 0.2) (layer "F.Cu") (net 186) (tstamp b37537c7-1ff0-4cb4-8564-b65e40072363)) + (segment (start 166.257748 103.316) (end 161.6456 103.316) (width 0.2) (layer "F.Cu") (net 187) (tstamp ab02a42e-19c8-4040-a5a3-68253e170194)) + (segment (start 175.550274 112.608526) (end 166.257748 103.316) (width 0.2) (layer "F.Cu") (net 187) (tstamp f7ea56c9-2fe3-485d-bf44-1b7ba6cb3a45)) + (segment (start 79.668425 48.606126) (end 77.560425 48.606126) (width 0.2) (layer "F.Cu") (net 188) (tstamp 5f377963-170b-4d07-8f71-f1396e699741)) + (segment (start 155.3588 104.816) (end 155.3536 104.8212) (width 0.2) (layer "F.Cu") (net 188) (tstamp 7da4a1cf-9279-4c20-8442-8c3df0574bb8)) + (segment (start 157.6956 104.816) (end 155.4384 104.816) (width 0.2) (layer "F.Cu") (net 188) (tstamp 8d361bdf-2e1a-478f-8a24-d7dd11ba33a9)) + (segment (start 155.4384 104.816) (end 155.4336 104.8112) (width 0.2) (layer "F.Cu") (net 188) (tstamp aac40dc1-1d0a-40cb-a566-200ffcb05b4b)) + (segment (start 155.4336 104.8112) (end 155.4288 104.816) (width 0.2) (layer "F.Cu") (net 188) (tstamp acd8452b-7938-455d-ac95-91f31cb658d0)) + (segment (start 155.4288 104.816) (end 155.3588 104.816) (width 0.2) (layer "F.Cu") (net 188) (tstamp afb265e1-9bed-4bfe-a447-70dc1cd9a805)) + (via (at 79.700425 48.574126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 188) (tstamp 3ded7441-f0c0-49d1-85f9-0f2c9c57d4f2)) + (via (at 155.4336 104.8112) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 188) (tstamp ba6e74af-f453-4f14-9633-212645e3015c)) + (segment (start 154.3256 104.9802) (end 154.4946 104.8112) (width 0.2) (layer "B.Cu") (net 188) (tstamp 37640994-7c13-46c4-a628-91efd161786a)) + (segment (start 154.4946 104.8112) (end 155.4336 104.8112) (width 0.2) (layer "B.Cu") (net 188) (tstamp 43333a4c-3a76-493b-9059-1265793cdcc0)) + (segment (start 95.13213 48.574126) (end 151.538204 104.9802) (width 0.2) (layer "B.Cu") (net 188) (tstamp 60f2c91f-65a2-4031-b8ea-814f5daf870c)) + (segment (start 79.700425 48.574126) (end 95.13213 48.574126) (width 0.2) (layer "B.Cu") (net 188) (tstamp 75184f42-c492-455f-a9b2-e49ad79efadc)) + (segment (start 151.538204 104.9802) (end 154.3256 104.9802) (width 0.2) (layer "B.Cu") (net 188) (tstamp 8016abc1-13ba-4de6-9b67-768890bde327)) + (segment (start 198.445687 144.085463) (end 198.440687 144.080463) (width 0.2) (layer "F.Cu") (net 189) (tstamp 20d90692-d336-423f-b465-d2c023414fe9)) + (segment (start 198.975087 144.085463) (end 198.970087 144.080463) (width 0.2) (layer "F.Cu") (net 189) (tstamp c82ea303-b149-4f7a-b768-2cb5a0fd0169)) + (segment (start 204.591087 144.085463) (end 198.445687 144.085463) (width 0.2) (layer "F.Cu") (net 189) (tstamp d48eeed2-3144-4c93-bf9b-726a9859f9cf)) + (segment (start 175.550274 110.068526) (end 175.550274 109.838526) (width 0.2) (layer "F.Cu") (net 190) (tstamp 96b96a1a-cd28-4d38-8062-dc30ee45fbda)) + (segment (start 168.027748 102.316) (end 161.6456 102.316) (width 0.2) (layer "F.Cu") (net 190) (tstamp eda26121-b590-4159-9bdb-49faecae5d9a)) + (segment (start 175.550274 109.838526) (end 168.027748 102.316) (width 0.2) (layer "F.Cu") (net 190) (tstamp f34a8ba2-2e0b-491e-968b-ad33c3c68be9)) + (segment (start 204.515274 55.032526) (end 206.375274 56.892526) (width 0.2) (layer "F.Cu") (net 191) (tstamp 5939e479-dd35-489a-8f22-887efebf5313)) + (segment (start 187.3126 46.9872) (end 187.3126 46.9722) (width 0.2) (layer "F.Cu") (net 191) (tstamp 90cbec81-a058-4a31-8893-2c555004dc0c)) + (segment (start 185.6506 45.4372) (end 185.93 45.5896) (width 0.2) (layer "F.Cu") (net 191) (tstamp b01850fc-b0bb-4ea0-bf87-4a14111acd15)) + (segment (start 187.3126 46.9722) (end 185.6506 45.4372) (width 0.2) (layer "F.Cu") (net 191) (tstamp b9ef83b9-668d-47d9-adba-6ecc6c9c36f8)) + (segment (start 202.075274 55.921526) (end 202.964274 55.032526) (width 0.2) (layer "F.Cu") (net 191) (tstamp cc571103-3e72-44c1-b197-5eda2c393a57)) + (segment (start 202.964274 55.032526) (end 204.515274 55.032526) (width 0.2) (layer "F.Cu") (net 191) (tstamp ccb31d62-7622-4027-8aa2-96fc1b0d0abf)) + (via (at 204.482274 55.077526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 191) (tstamp 3a70fbda-1057-43e0-bc27-1aa2d608b4cb)) + (via (at 185.6506 45.4372) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 191) (tstamp efe25c1e-c474-49bf-95c5-5a02a3eb61d4)) + (segment (start 204.355274 55.077526) (end 204.482274 55.077526) (width 0.2) (layer "In1.Cu") (net 191) (tstamp 162751bd-67b5-4c45-8e35-78e795b4dc35)) + (segment (start 194.714948 45.4372) (end 204.355274 55.077526) (width 0.2) (layer "In1.Cu") (net 191) (tstamp aebef343-6a6c-4212-a9c1-0b08e8355f5e)) + (segment (start 185.6506 45.4372) (end 194.714948 45.4372) (width 0.2) (layer "In1.Cu") (net 191) (tstamp bae996b0-92e6-4e08-af27-53da427c601c)) + (segment (start 154.5942 103.316) (end 157.6956 103.316) (width 0.2) (layer "F.Cu") (net 192) (tstamp 8fa208ad-e2b8-4bda-9c9d-e71c687d3681)) + (segment (start 154.509 103.4012) (end 154.5942 103.316) (width 0.2) (layer "F.Cu") (net 192) (tstamp d8bb27d7-05fa-49d4-9fa9-6a5270ff9f15)) + (segment (start 79.419948 46.061126) (end 77.188148 46.061126) (width 0.2) (layer "F.Cu") (net 192) (tstamp fcf74411-624d-4189-9c64-3b3db52a50f0)) + (via (at 154.509 103.4012) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 192) (tstamp 38af446d-b1df-468e-a9da-4f2a56aa6a1a)) + (via (at 79.419948 46.061126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 192) (tstamp 528cf4ab-7efb-4995-a545-98a0ecda9aed)) + (segment (start 79.419948 46.061126) (end 93.184816 46.061126) (width 0.2) (layer "B.Cu") (net 192) (tstamp 02b6999e-e43d-4a85-a119-b1ef73cb47cc)) + (segment (start 150.52489 103.4012) (end 154.509 103.4012) (width 0.2) (layer "B.Cu") (net 192) (tstamp 940fae7c-85a9-4071-ab0c-e5ace610ac12)) + (segment (start 93.184816 46.061126) (end 150.52489 103.4012) (width 0.2) (layer "B.Cu") (net 192) (tstamp 943f7f35-33ec-45e4-8d72-19e90f3172e5)) + (segment (start 154.509 103.4012) (end 154.665 103.2452) (width 0.2) (layer "B.Cu") (net 192) (tstamp e746b4c8-83f0-4d4e-85e7-5b76427d982b)) + (segment (start 204.633274 131.536526) (end 204.663674 131.566926) (width 0.2) (layer "F.Cu") (net 193) (tstamp 0280441b-dc4a-4c39-b6f7-3e91b3c2294c)) + (segment (start 198.513274 131.536526) (end 204.633274 131.536526) (width 0.2) (layer "F.Cu") (net 193) (tstamp a79e7539-884d-4cd9-94a2-fc10352404bd)) + (segment (start 175.550274 102.448526) (end 175.145274 102.448526) (width 0.2) (layer "F.Cu") (net 194) (tstamp 20d11d82-e9b8-47fd-81df-e8faaf29b752)) + (segment (start 175.145274 102.448526) (end 173.012748 100.316) (width 0.2) (layer "F.Cu") (net 194) (tstamp 62b2c3d6-dc3c-4f78-912c-6ce368a6cab5)) + (segment (start 173.012748 100.316) (end 161.6456 100.316) (width 0.2) (layer "F.Cu") (net 194) (tstamp 723be55f-5218-495c-bb09-88b1fd3c8471)) + (segment (start 182.765274 89.875526) (end 182.825274 89.935526) (width 0.2) (layer "F.Cu") (net 195) (tstamp 2482f9a4-74ad-4db6-a484-62c34bb91ba8)) + (segment (start 176.871074 89.875526) (end 182.765274 89.875526) (width 0.2) (layer "F.Cu") (net 195) (tstamp a9a0aec0-0ee8-4d0d-958c-7a92fd13cade)) + (segment (start 156.772748 99.316) (end 155.333274 97.876526) (width 0.2) (layer "F.Cu") (net 196) (tstamp 364c6358-8d2a-4a15-ac92-49efb21c9eac)) + (segment (start 157.6956 99.316) (end 156.772748 99.316) (width 0.2) (layer "F.Cu") (net 196) (tstamp 3d82f009-25a5-40f7-9c34-c45c569922b7)) + (segment (start 173.367274 89.875526) (end 175.550274 89.875526) (width 0.2) (layer "F.Cu") (net 196) (tstamp 84fd4afc-932e-4370-83d6-1207fa705b9a)) + (segment (start 173.113274 89.621526) (end 173.367274 89.875526) (width 0.2) (layer "F.Cu") (net 196) (tstamp 9d1d5a80-3dd9-4ed1-ac23-b2b84989303a)) + (via (at 155.333274 97.876526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 196) (tstamp 2c21f7c4-17fd-4d29-ad2a-0a231327c493)) + (via (at 173.113274 89.621526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 196) (tstamp ec663ee5-fa01-4ce5-8b40-ae1ade3b5627)) + (segment (start 155.8526 97.3572) (end 165.3776 97.3572) (width 0.2) (layer "B.Cu") (net 196) (tstamp 2a2c1dfe-0a7d-430d-9202-25dfb1101cf0)) + (segment (start 165.3776 97.3572) (end 173.113274 89.621526) (width 0.2) (layer "B.Cu") (net 196) (tstamp 4f637149-1bf5-46c2-9460-4910defe4292)) + (segment (start 155.333274 97.876526) (end 155.8526 97.3572) (width 0.2) (layer "B.Cu") (net 196) (tstamp fcec131f-5f4f-4cd8-be69-ce05e9d325f8)) + (segment (start 173.113274 94.701526) (end 173.367274 94.955526) (width 0.2) (layer "F.Cu") (net 197) (tstamp 838e2a13-8f7c-4f64-a413-8c80cb1ba29e)) + (segment (start 157.6956 100.316) (end 153.327748 100.316) (width 0.2) (layer "F.Cu") (net 197) (tstamp b6dc67eb-5976-4f9c-a0bc-b4c8462ab96f)) + (segment (start 153.327748 100.316) (end 152.793274 99.781526) (width 0.2) (layer "F.Cu") (net 197) (tstamp c906d6af-efd7-47d0-a17c-3a37f07ad0fe)) + (segment (start 173.367274 94.955526) (end 175.550274 94.955526) (width 0.2) (layer "F.Cu") (net 197) (tstamp e09143e2-3254-4474-8049-366e91dfe5a4)) + (via (at 152.793274 99.781526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 197) (tstamp 21d8bfd6-5f7a-4d6f-8829-f8e6e9d3c463)) + (via (at 173.113274 94.701526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 197) (tstamp e1c1b416-34e6-417b-88df-2aa351d26177)) + (segment (start 152.793274 99.781526) (end 168.033274 99.781526) (width 0.2) (layer "B.Cu") (net 197) (tstamp 2ce7e70c-a984-4f22-822c-34eec1535505)) + (segment (start 168.033274 99.781526) (end 173.113274 94.701526) (width 0.2) (layer "B.Cu") (net 197) (tstamp 85dfc561-d8b8-4911-973b-b72cb6ab1842)) + (segment (start 169.964748 101.816) (end 175.550274 107.401526) (width 0.2) (layer "F.Cu") (net 198) (tstamp 677498f1-aa4b-478b-8990-b1f482d2551e)) + (segment (start 161.6456 101.816) (end 169.964748 101.816) (width 0.2) (layer "F.Cu") (net 198) (tstamp 7982bf30-8135-4ef9-bfca-6e72c2426820)) + (segment (start 75.582914 40.981126) (end 75.612914 41.011126) (width 0.2) (layer "F.Cu") (net 199) (tstamp 46c1a244-3bf2-4638-9898-eb15fe56b61f)) + (segment (start 69.862274 40.981126) (end 75.582914 40.981126) (width 0.2) (layer "F.Cu") (net 199) (tstamp a5e9206b-54e4-415b-a446-908b4b80327f)) + (segment (start 156.1336 101.816) (end 157.6956 101.816) (width 0.2) (layer "F.Cu") (net 200) (tstamp 1c7bfdb5-5dbd-48b7-9852-12e5d586a0bc)) + (segment (start 79.389948 41.011126) (end 77.092948 41.011126) (width 0.2) (layer "F.Cu") (net 200) (tstamp 63358611-1678-443b-b4b1-3f07219b3091)) + (segment (start 79.419948 40.981126) (end 79.389948 41.011126) (width 0.2) (layer "F.Cu") (net 200) (tstamp 6692d54b-40a2-45ca-902b-21008a3dd0b7)) + (segment (start 155.9336 101.616) (end 156.1336 101.816) (width 0.2) (layer "F.Cu") (net 200) (tstamp f874c103-bca2-4fbf-b6c2-1398629bfeb0)) + (via (at 155.9336 101.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 200) (tstamp c1e155be-5611-4cc5-a4a1-719c7837363f)) + (via (at 79.419948 40.981126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 200) (tstamp caa7dd0e-d00d-442c-a2c1-aa4124bf4f0a)) + (segment (start 153.846376 102.067526) (end 155.482074 102.067526) (width 0.2) (layer "B.Cu") (net 200) (tstamp 3a84aa78-14bf-4852-a0ce-68691001bc68)) + (segment (start 153.70805 101.9292) (end 153.846376 102.067526) (width 0.2) (layer "B.Cu") (net 200) (tstamp 4c93b739-560c-4326-80f0-7aa8b77e9ca7)) + (segment (start 155.482074 102.067526) (end 155.9336 101.616) (width 0.2) (layer "B.Cu") (net 200) (tstamp 6a574a66-30a5-4128-b0b3-4f16501f4275)) + (segment (start 79.419948 40.981126) (end 89.236188 40.981126) (width 0.2) (layer "B.Cu") (net 200) (tstamp 6b445ae8-8f07-482e-96ae-11de6620d6e4)) + (segment (start 89.236188 40.981126) (end 150.184262 101.9292) (width 0.2) (layer "B.Cu") (net 200) (tstamp d97873ac-3717-4a8c-afb8-e4b233e68edc)) + (segment (start 150.184262 101.9292) (end 153.70805 101.9292) (width 0.2) (layer "B.Cu") (net 200) (tstamp e39be559-ece3-4108-b27b-5a1f60a7d715)) + (segment (start 69.870274 38.461126) (end 69.850274 38.441126) (width 0.2) (layer "F.Cu") (net 201) (tstamp 6d64a147-93a5-4f51-863a-04df26888fcf)) + (segment (start 75.600914 38.461126) (end 69.870274 38.461126) (width 0.2) (layer "F.Cu") (net 201) (tstamp 8d07959f-aa40-41f3-b666-ed8fde1f5415)) + (segment (start 154.6712 100.816) (end 157.6956 100.816) (width 0.2) (layer "F.Cu") (net 202) (tstamp 43c5108b-b13b-420a-b222-f5500e9b0fb1)) + (segment (start 79.407948 38.441126) (end 79.387948 38.461126) (width 0.2) (layer "F.Cu") (net 202) (tstamp 7a6b2d6c-ae96-46ee-b317-88334bb61ce2)) + (segment (start 154.128 101.3592) (end 154.6712 100.816) (width 0.2) (layer "F.Cu") (net 202) (tstamp 995c3ae4-b006-4ae2-8b0c-d7ff1261fd20)) + (segment (start 79.387948 38.461126) (end 77.080948 38.461126) (width 0.2) (layer "F.Cu") (net 202) (tstamp f6ff75cd-05de-48a0-81dd-341ceb57a326)) + (via (at 154.128 101.3592) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 202) (tstamp 813d995c-ca20-479c-b1e7-977cc82d85c3)) + (via (at 79.407948 38.441126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 202) (tstamp aeee0ecc-3ae0-464b-8991-72fbdcd42bb0)) + (segment (start 150.179948 101.3592) (end 154.128 101.3592) (width 0.2) (layer "B.Cu") (net 202) (tstamp 066639d9-2731-4ae9-85b2-35d2f418094c)) + (segment (start 79.407948 38.441126) (end 87.261874 38.441126) (width 0.2) (layer "B.Cu") (net 202) (tstamp 1f69722e-614d-46d9-b758-c82df069888b)) + (segment (start 87.261874 38.441126) (end 150.179948 101.3592) (width 0.2) (layer "B.Cu") (net 202) (tstamp f26af1ff-1baa-47a7-988b-e8d0de6504e6)) + (segment (start 176.881074 92.415526) (end 176.871074 92.405526) (width 0.2) (layer "F.Cu") (net 203) (tstamp 1977d40c-965b-46d6-ac2b-e6a037d5c0e8)) + (segment (start 182.825274 92.415526) (end 176.881074 92.415526) (width 0.2) (layer "F.Cu") (net 203) (tstamp e0f1b7ce-1aae-4e2d-b63c-9100dba109f9)) + (segment (start 173.113274 92.405526) (end 175.550274 92.405526) (width 0.2) (layer "F.Cu") (net 204) (tstamp 215fac06-c49b-4e4b-9ab0-2bea0f8bc883)) + (segment (start 157.6956 99.816) (end 155.367748 99.816) (width 0.2) (layer "F.Cu") (net 204) (tstamp 270311b6-693d-4944-96f4-b9bd9408bcd4)) + (segment (start 155.367748 99.816) (end 154.063274 98.511526) (width 0.2) (layer "F.Cu") (net 204) (tstamp 58602395-71b2-4b7b-999e-ec9671e24e45)) + (via (at 154.063274 98.511526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 204) (tstamp 1a8e080f-e4f5-42ae-a182-51f76d28aa15)) + (via (at 173.113274 92.405526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 204) (tstamp bffd8cce-7e35-451a-8a5a-3b7ca20eeebb)) + (segment (start 154.063274 98.511526) (end 154.128274 98.576526) (width 0.2) (layer "B.Cu") (net 204) (tstamp 26a0869d-f4c7-4b32-82ea-7a78dfa61c0c)) + (segment (start 159.258948 98.7572) (end 166.7616 98.7572) (width 0.2) (layer "B.Cu") (net 204) (tstamp 715abf45-1d90-4254-adc0-1d87985b4242)) + (segment (start 166.7616 98.7572) (end 173.113274 92.405526) (width 0.2) (layer "B.Cu") (net 204) (tstamp 72562da0-a72e-41c8-80d3-c51b3e7137b0)) + (segment (start 159.078274 98.576526) (end 159.258948 98.7572) (width 0.2) (layer "B.Cu") (net 204) (tstamp 8ed66368-dd6a-4c15-ad54-8ad6906b89eb)) + (segment (start 154.128274 98.576526) (end 159.078274 98.576526) (width 0.2) (layer "B.Cu") (net 204) (tstamp dcf76b1e-b204-4c99-a919-e144dfa4b0b7)) + (segment (start 75.600914 43.521126) (end 69.850274 43.521126) (width 0.2) (layer "F.Cu") (net 205) (tstamp e10814b5-43ac-4125-af8b-0f847ee697ab)) + (segment (start 79.407948 43.481126) (end 79.367948 43.521126) (width 0.2) (layer "F.Cu") (net 206) (tstamp 51dfbcc2-2432-4c1a-a3b2-ac45b20a1c68)) + (segment (start 153.366 102.6292) (end 153.6792 102.316) (width 0.2) (layer "F.Cu") (net 206) (tstamp b17714bd-8e1e-4fd7-a1a9-dcc6355d9820)) + (segment (start 79.367948 43.521126) (end 77.080948 43.521126) (width 0.2) (layer "F.Cu") (net 206) (tstamp ceb9e5e7-f6ba-41fe-a077-82f4ee67bde7)) + (segment (start 153.6792 102.316) (end 157.6956 102.316) (width 0.2) (layer "F.Cu") (net 206) (tstamp f37be878-a0b5-4d11-8c56-70031ada7b38)) + (via (at 153.366 102.6292) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 206) (tstamp 2dfceff1-ee64-4b87-b0bf-14e8cb8948ad)) + (via (at 79.407948 43.481126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 206) (tstamp a3c91d08-798f-4abd-a1c0-d446b04c7221)) + (segment (start 150.318577 102.6292) (end 153.366 102.6292) (width 0.2) (layer "B.Cu") (net 206) (tstamp 81f18fd2-b29a-48f2-bccc-500c69e78da7)) + (segment (start 79.407948 43.481126) (end 91.170502 43.481126) (width 0.2) (layer "B.Cu") (net 206) (tstamp b400aa90-d762-47c4-b5cc-c7788c9aba92)) + (segment (start 91.170502 43.481126) (end 150.318577 102.6292) (width 0.2) (layer "B.Cu") (net 206) (tstamp f2a9b90f-fb71-4e97-94f8-f83d1176c823)) + (segment (start 182.891074 104.988526) (end 182.896074 104.993526) (width 0.2) (layer "F.Cu") (net 207) (tstamp 7e02e416-3efa-4d0c-905b-ac5ec1ab6f0a)) + (segment (start 177.048474 104.988526) (end 182.891074 104.988526) (width 0.2) (layer "F.Cu") (net 207) (tstamp 807b4ce1-9eb2-49e3-bab4-27d0ca93e894)) + (segment (start 161.6456 100.816) (end 171.377748 100.816) (width 0.2) (layer "F.Cu") (net 208) (tstamp 0b4b16ef-5b19-4538-a90f-828be1a298ea)) + (segment (start 171.377748 100.816) (end 175.550274 104.988526) (width 0.2) (layer "F.Cu") (net 208) (tstamp c40dce08-f65a-4c03-81e7-0b35ef0dfbbf)) + (segment (start 177.073874 99.908526) (end 182.865674 99.908526) (width 0.2) (layer "F.Cu") (net 209) (tstamp 4b1e50b5-fb10-4d40-aa3e-e6e69a16683a)) + (segment (start 182.865674 99.908526) (end 182.896074 99.938926) (width 0.2) (layer "F.Cu") (net 209) (tstamp a97ae8fa-27cb-411c-9f6d-c06dbefa7916)) + (segment (start 175.550274 99.908526) (end 175.457748 99.816) (width 0.2) (layer "F.Cu") (net 210) (tstamp 490d87c3-760f-4531-8e38-0acc7ef66ba1)) + (segment (start 175.457748 99.816) (end 161.6456 99.816) (width 0.2) (layer "F.Cu") (net 210) (tstamp ba3e0102-1a25-43ac-8570-b9f406c0e544)) + (segment (start 69.850444 85.575971) (end 75.508079 85.575971) (width 0.2) (layer "F.Cu") (net 211) (tstamp 032f264c-46f3-432d-8ab4-1a8431ca1079)) + (segment (start 75.508079 85.575971) (end 75.603634 85.671526) (width 0.2) (layer "F.Cu") (net 211) (tstamp 2d7aa879-89ad-474d-92f4-6b7f68c83ef5)) + (segment (start 77.103634 85.671526) (end 79.369634 85.671526) (width 0.2) (layer "F.Cu") (net 212) (tstamp 7d0c6548-442b-42c3-82ce-c0702d872e75)) + (segment (start 121.6172 95.6276) (end 121.3056 95.316) (width 0.2) (layer "F.Cu") (net 212) (tstamp cd3ebdf5-741e-483d-a6e5-e9c48da5d015)) + (segment (start 121.3056 95.316) (end 116.6456 95.316) (width 0.2) (layer "F.Cu") (net 212) (tstamp d1b62fae-f2ec-4ef2-8001-59476360c5b5)) + (segment (start 79.369634 85.671526) (end 79.475634 85.777526) (width 0.2) (layer "F.Cu") (net 212) (tstamp d31314a5-c9ef-46a8-8ebd-e3219a06c0ed)) + (via (at 121.6172 95.6276) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 212) (tstamp 01e55788-9e98-4ad4-adca-8cb716cac7f4)) + (via (at 79.475634 85.777526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 212) (tstamp b388c0f4-5af6-4800-8e33-c5d6b1b2ec9d)) + (segment (start 121.21685 95.22725) (end 121.6172 95.6276) (width 0.2) (layer "B.Cu") (net 212) (tstamp 457c0300-42f1-4883-ae70-cf4c8032b3f8)) + (segment (start 116.1649 95.22725) (end 121.21685 95.22725) (width 0.2) (layer "B.Cu") (net 212) (tstamp 47286dc2-4f36-46e1-86b1-6a28e82edf1a)) + (segment (start 79.475634 85.777526) (end 80.853308 84.399852) (width 0.2) (layer "B.Cu") (net 212) (tstamp 4842d015-7074-46fd-99ea-86793007051c)) + (segment (start 115.17495 96.2172) (end 116.1649 95.22725) (width 0.2) (layer "B.Cu") (net 212) (tstamp 686f4bbf-8efd-4490-af11-192fc24e3627)) + (segment (start 86.818252 84.399852) (end 98.6356 96.2172) (width 0.2) (layer "B.Cu") (net 212) (tstamp c00c249b-5590-4951-a10f-88c871f36117)) + (segment (start 80.853308 84.399852) (end 86.818252 84.399852) (width 0.2) (layer "B.Cu") (net 212) (tstamp ce7913f8-df01-4f1e-a5f0-0506c6111e51)) + (segment (start 98.6356 96.2172) (end 115.17495 96.2172) (width 0.2) (layer "B.Cu") (net 212) (tstamp f1c91c84-0ec2-41bb-8f6d-a9c4beb8c595)) + (segment (start 79.46179 82.440126) (end 79.24579 82.224126) (width 0.2) (layer "F.Cu") (net 213) (tstamp 0be7ceb8-7afa-48ea-add2-ca683ab01e23)) + (segment (start 148.729274 70.444526) (end 169.379474 70.444526) (width 0.2) (layer "F.Cu") (net 213) (tstamp 2d684e8d-6480-4257-a49d-f955f9623b08)) + (segment (start 118.8568 94.6512) (end 124.5226 94.6512) (width 0.2) (layer "F.Cu") (net 213) (tstamp 6778172c-6995-4414-ba17-de68f924e421)) + (segment (start 118.7216 94.516) (end 118.5216 94.316) (width 0.2) (layer "F.Cu") (net 213) (tstamp 74603d1c-3fa5-484d-982e-107ac8087561)) + (segment (start 118.5216 94.316) (end 116.6456 94.316) (width 0.2) (layer "F.Cu") (net 213) (tstamp 8fed2865-f69b-48d1-83ff-641cfb1129cd)) + (segment (start 124.5226 94.6512) (end 148.729274 70.444526) (width 0.2) (layer "F.Cu") (net 213) (tstamp e101e523-ede3-490b-a85e-4d220622b170)) + (segment (start 118.7216 94.516) (end 118.8568 94.6512) (width 0.2) (layer "F.Cu") (net 213) (tstamp ea7a372d-e1dc-4038-be03-5a22e7a0c172)) + (segment (start 79.24579 82.224126) (end 77.06979 82.224126) (width 0.2) (layer "F.Cu") (net 213) (tstamp f5e45728-a820-4dc1-930a-96dc2013cb5b)) + (via (at 118.7216 94.516) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 213) (tstamp 00902eca-8894-4cdb-b4f4-d1464ec6eec8)) + (via (at 79.46179 82.440126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 213) (tstamp 048a721b-6b8c-4192-8cc0-b1ff3b76d57d)) + (segment (start 79.46179 82.440126) (end 89.372841 82.440126) (width 0.2) (layer "B.Cu") (net 213) (tstamp 1dddbd45-9183-404e-b8b9-930021fca9a2)) + (segment (start 89.372841 82.440126) (end 101.448715 94.516) (width 0.2) (layer "B.Cu") (net 213) (tstamp 37b5a834-1378-4606-8d62-2c3afc537812)) + (segment (start 101.448715 94.516) (end 118.7216 94.516) (width 0.2) (layer "B.Cu") (net 213) (tstamp ae70da05-0493-473f-b054-2ddcc9859aed)) + (segment (start 106.2376 88.923686) (end 111.629914 94.316) (width 0.2) (layer "F.Cu") (net 214) (tstamp 298d88e9-6171-4ebd-bb3c-8b50a1ce1656)) + (segment (start 106.2376 84.2612) (end 106.2376 88.923686) (width 0.2) (layer "F.Cu") (net 214) (tstamp 37708c19-d1a0-43f2-af6a-3f22edfd16c2)) + (segment (start 94.245681 73.111526) (end 95.087926 73.111526) (width 0.2) (layer "F.Cu") (net 214) (tstamp 509f65cb-a8eb-49fb-a4d6-c322c6696ff9)) + (segment (start 94.164378 73.030223) (end 94.245681 73.111526) (width 0.2) (layer "F.Cu") (net 214) (tstamp 55b4abec-2a64-44a6-adc3-f67821983c0d)) + (segment (start 95.087926 73.111526) (end 106.2376 84.2612) (width 0.2) (layer "F.Cu") (net 214) (tstamp 8bc2e2d4-a272-4d79-907c-d9c8726e6e2f)) + (segment (start 111.629914 94.316) (end 112.6956 94.316) (width 0.2) (layer "F.Cu") (net 214) (tstamp dc986d81-99af-4bb3-8107-5fb4ac75b7f2)) + (segment (start 124.656914 93.9512) (end 119.7376 93.9512) (width 0.2) (layer "F.Cu") (net 215) (tstamp 0bc19521-7966-4e2b-8027-4a5f72909812)) + (segment (start 169.379474 67.904526) (end 150.703588 67.904526) (width 0.2) (layer "F.Cu") (net 215) (tstamp 0ea01a27-8a81-4c52-916d-afdfa114e848)) + (segment (start 150.703588 67.904526) (end 124.656914 93.9512) (width 0.2) (layer "F.Cu") (net 215) (tstamp 1ac6f820-3914-4239-884b-784d87d5cacb)) + (segment (start 79.29579 79.734126) (end 79.46179 79.900126) (width 0.2) (layer "F.Cu") (net 215) (tstamp 225ee278-4ea0-4e7a-9fb2-c2f90f1d7f17)) + (segment (start 119.6024 93.816) (end 116.6456 93.816) (width 0.2) (layer "F.Cu") (net 215) (tstamp 3ea15c57-0c4e-44de-9779-5378a2e58a62)) + (segment (start 119.7376 93.9512) (end 119.6024 93.816) (width 0.2) (layer "F.Cu") (net 215) (tstamp 63a54fc6-c1c6-4fd9-ba9c-72fb0d4eb090)) + (segment (start 77.06979 79.734126) (end 79.29579 79.734126) (width 0.2) (layer "F.Cu") (net 215) (tstamp e7705e7b-9ba0-49b7-952d-d58e00f2588b)) + (via (at 79.46179 79.900126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 215) (tstamp 2702050a-05c6-4dc2-a280-4f2f82c92f7a)) + (via (at 119.7376 93.9512) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 215) (tstamp a3633136-2b9c-49e0-b4ad-76b54e6b09c9)) + (segment (start 86.818252 79.319852) (end 101.3144 93.816) (width 0.2) (layer "B.Cu") (net 215) (tstamp 03813883-7a39-40c3-8119-6b7a10c0e441)) + (segment (start 119.6024 93.816) (end 119.7376 93.9512) (width 0.2) (layer "B.Cu") (net 215) (tstamp 0cfd87b0-ea9b-4906-aaa6-5407aa3f8b4b)) + (segment (start 80.042064 79.319852) (end 86.818252 79.319852) (width 0.2) (layer "B.Cu") (net 215) (tstamp 2fe13b7d-6952-4d40-b2e8-8080e7ee8cb3)) + (segment (start 101.3144 93.816) (end 119.6024 93.816) (width 0.2) (layer "B.Cu") (net 215) (tstamp 9ab9ebc5-0d7f-4986-b916-6aea6e636d0d)) + (segment (start 79.46179 79.900126) (end 80.042064 79.319852) (width 0.2) (layer "B.Cu") (net 215) (tstamp e9c8ed80-37c2-4792-861b-751247333af8)) + (segment (start 111.6956 93.816) (end 112.6956 93.816) (width 0.2) (layer "F.Cu") (net 216) (tstamp 18ec691c-38d5-43bb-907c-303bee29c40d)) + (segment (start 95.087926 70.444526) (end 106.6376 81.9942) (width 0.2) (layer "F.Cu") (net 216) (tstamp 1938519c-aca2-4fa8-8a1c-d329318402d6)) + (segment (start 106.6376 88.758) (end 111.6956 93.816) (width 0.2) (layer "F.Cu") (net 216) (tstamp 59ad7847-7e5f-4dd8-bcf3-60978dd634f9)) + (segment (start 94.164378 70.378223) (end 94.230681 70.444526) (width 0.2) (layer "F.Cu") (net 216) (tstamp 5eff0384-18cf-432f-8807-12eb605e0b14)) + (segment (start 94.230681 70.444526) (end 95.087926 70.444526) (width 0.2) (layer "F.Cu") (net 216) (tstamp d63ca755-e8f5-40d4-98ba-59901df01975)) + (segment (start 106.6376 81.9942) (end 106.6376 88.758) (width 0.2) (layer "F.Cu") (net 216) (tstamp f2495c07-1f52-4441-a4d6-3799b24c7be5)) + (segment (start 152.677902 65.364526) (end 169.379474 65.364526) (width 0.2) (layer "F.Cu") (net 217) (tstamp 17fc4ce5-6de8-4dd8-838c-3cfb6c7f8162)) + (segment (start 77.08979 77.144126) (end 79.24579 77.144126) (width 0.2) (layer "F.Cu") (net 217) (tstamp 3299d7f5-c0b1-414b-ac1c-3cdf0166dcbb)) + (segment (start 125.026428 93.016) (end 152.677902 65.364526) (width 0.2) (layer "F.Cu") (net 217) (tstamp 4b3a5575-078b-4bb5-8d93-c93981713309)) + (segment (start 118.5216 92.816) (end 116.6456 92.816) (width 0.2) (layer "F.Cu") (net 217) (tstamp 7218a614-50d7-4311-adb8-6f4a03721608)) + (segment (start 118.7216 93.016) (end 118.5216 92.816) (width 0.2) (layer "F.Cu") (net 217) (tstamp 764b1368-01f1-40d1-9386-66636038168d)) + (segment (start 118.7216 93.016) (end 125.026428 93.016) (width 0.2) (layer "F.Cu") (net 217) (tstamp f076d1dd-451d-4c09-b1d2-f9adf6563b04)) + (segment (start 79.24579 77.144126) (end 79.46179 77.360126) (width 0.2) (layer "F.Cu") (net 217) (tstamp f1de9256-027f-491e-9326-ba36d4e73421)) + (via (at 79.46179 77.360126) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 217) (tstamp 0c765ed2-9b56-4e9c-9645-d7c10c05d313)) + (via (at 118.7216 93.016) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 217) (tstamp 2b93646c-9655-47cc-b645-a8d64e3c1275)) + (segment (start 118.3794 93.3582) (end 118.7216 93.016) (width 0.2) (layer "B.Cu") (net 217) (tstamp 0bbd6f5a-0c06-4ba8-a08f-bdd17e8870ad)) + (segment (start 80.042064 76.779852) (end 86.818252 76.779852) (width 0.2) (layer "B.Cu") (net 217) (tstamp 65fd66b6-1036-444d-a4ae-809e82ac058c)) + (segment (start 103.3966 93.3582) (end 118.3794 93.3582) (width 0.2) (layer "B.Cu") (net 217) (tstamp 785ca5c6-51fb-4364-a336-3443c87267de)) + (segment (start 86.818252 76.779852) (end 103.3966 93.3582) (width 0.2) (layer "B.Cu") (net 217) (tstamp 8e8d75c1-3140-4698-8f72-e39feba4225a)) + (segment (start 79.46179 77.360126) (end 80.042064 76.779852) (width 0.2) (layer "B.Cu") (net 217) (tstamp c6e02d0c-193d-42bc-afe0-6d2adea22982)) + (segment (start 118.5216 95.816) (end 116.6456 95.816) (width 0.2) (layer "F.Cu") (net 218) (tstamp 0506988a-47e5-464d-ae86-8f7465614c6d)) + (segment (start 169.379474 72.984526) (end 146.824274 72.984526) (width 0.2) (layer "F.Cu") (net 218) (tstamp 1b3fff23-70be-40fe-9d4f-8df7a5c0b105)) + (segment (start 120.706748 96.016) (end 118.7216 96.016) (width 0.2) (layer "F.Cu") (net 218) (tstamp 40e9e114-7316-4235-a5cb-abb7e07f8ac6)) + (segment (start 121.018348 96.3276) (end 120.706748 96.016) (width 0.2) (layer "F.Cu") (net 218) (tstamp 72791cf5-e1a6-492f-824d-855b31ddbc1d)) + (segment (start 79.475634 88.317526) (end 79.259634 88.101526) (width 0.2) (layer "F.Cu") (net 218) (tstamp 7aa7276a-cc87-44d6-b1ef-fbee41fa20ba)) + (segment (start 146.824274 72.984526) (end 123.4812 96.3276) (width 0.2) (layer "F.Cu") (net 218) (tstamp 8ef1efd8-1c2f-4128-aeb8-5231c4cb128e)) + (segment (start 118.7216 96.016) (end 118.5216 95.816) (width 0.2) (layer "F.Cu") (net 218) (tstamp c2313ba4-87df-4fb1-924a-a2b3f44e7f9f)) + (segment (start 123.4812 96.3276) (end 121.018348 96.3276) (width 0.2) (layer "F.Cu") (net 218) (tstamp c8b794da-c26f-4bf3-90b9-1da8b38799fd)) + (segment (start 79.259634 88.101526) (end 77.183634 88.101526) (width 0.2) (layer "F.Cu") (net 218) (tstamp d112555c-590c-4716-9a3d-90f2bb3a1d27)) + (via (at 79.475634 88.317526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 218) (tstamp 7f088e6d-ec07-4cb3-9870-71fad74eef38)) + (via (at 118.7216 96.016) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 218) (tstamp c80d425f-e1d4-473d-a1ee-c01977deedca)) + (segment (start 96.4956 96.6172) (end 118.1204 96.6172) (width 0.2) (layer "B.Cu") (net 218) (tstamp a8da3a3b-d3df-4860-b712-734cebdf1135)) + (segment (start 80.853308 86.939852) (end 86.818252 86.939852) (width 0.2) (layer "B.Cu") (net 218) (tstamp b55bf81b-45a0-4173-b576-9fa537d19129)) + (segment (start 118.1204 96.6172) (end 118.7216 96.016) (width 0.2) (layer "B.Cu") (net 218) (tstamp c749b823-b3ee-481d-b205-42f288bccf77)) + (segment (start 79.475634 88.317526) (end 80.853308 86.939852) (width 0.2) (layer "B.Cu") (net 218) (tstamp d48bfba7-87f2-45dd-9e51-bab0aa6b5d76)) + (segment (start 86.818252 86.939852) (end 96.4956 96.6172) (width 0.2) (layer "B.Cu") (net 218) (tstamp f64dbc1f-b145-4e8a-8d90-c89d8e921454)) + (segment (start 144.84996 75.524526) (end 169.379474 75.524526) (width 0.2) (layer "F.Cu") (net 219) (tstamp 02c8b10a-7499-44cf-94cc-d14a2a19d222)) + (segment (start 79.331634 91.001526) (end 79.475634 90.857526) (width 0.2) (layer "F.Cu") (net 219) (tstamp 1d0086d9-e517-4789-94ea-691238848741)) + (segment (start 77.013634 91.001526) (end 79.331634 91.001526) (width 0.2) (layer "F.Cu") (net 219) (tstamp 37a3a0ff-55af-4242-98c6-894b99219d4b)) + (segment (start 120.4984 96.816) (end 116.6456 96.816) (width 0.2) (layer "F.Cu") (net 219) (tstamp 9bb4c613-77e1-4772-8031-ab749c3d1285)) + (segment (start 120.4984 96.816) (end 123.558486 96.816) (width 0.2) (layer "F.Cu") (net 219) (tstamp b7afb2d2-aebc-44a5-a52f-241a987b6319)) + (segment (start 123.558486 96.816) (end 144.84996 75.524526) (width 0.2) (layer "F.Cu") (net 219) (tstamp ffe5abeb-d700-48d7-b8be-8aebd474f439)) + (via (at 79.475634 90.857526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 219) (tstamp 042f7111-b186-4999-92a3-cdbe4da35fde)) + (via (at 120.4984 96.816) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 219) (tstamp 063090ab-cdf6-490b-8973-f51359743c12)) + (segment (start 79.475634 90.857526) (end 80.853308 89.479852) (width 0.2) (layer "B.Cu") (net 219) (tstamp 2ce7bcf3-aae8-435d-ae8c-999f51c95f1c)) + (segment (start 95.684 97.0172) (end 118.286085 97.0172) (width 0.2) (layer "B.Cu") (net 219) (tstamp 57e7fb29-af2c-4e8c-b91b-670542d28053)) + (segment (start 118.286085 97.0172) (end 118.487285 96.816) (width 0.2) (layer "B.Cu") (net 219) (tstamp b14a0f18-4e41-4a09-9b19-857748ed1854)) + (segment (start 88.146652 89.479852) (end 95.684 97.0172) (width 0.2) (layer "B.Cu") (net 219) (tstamp c718004f-f45b-4968-ac8b-142e579fa132)) + (segment (start 118.487285 96.816) (end 120.4984 96.816) (width 0.2) (layer "B.Cu") (net 219) (tstamp ea5a993f-d659-4aad-bd36-1ea9c7691e35)) + (segment (start 80.853308 89.479852) (end 88.146652 89.479852) (width 0.2) (layer "B.Cu") (net 219) (tstamp f5ea6345-5b70-4733-931a-442e3c4f212e)) + (segment (start 94.101513 81.549481) (end 95.651881 81.549481) (width 0.2) (layer "F.Cu") (net 220) (tstamp 9744bd5d-8ac9-4b6d-b7c7-8de7dc10fdd4)) + (segment (start 95.651881 81.549481) (end 110.9184 96.816) (width 0.2) (layer "F.Cu") (net 220) (tstamp bad5fd87-6d9e-42e9-99ce-8828ae56665a)) + (segment (start 110.9184 96.816) (end 112.6956 96.816) (width 0.2) (layer "F.Cu") (net 220) (tstamp f49d1086-b82e-4770-a8d0-2672d4a8815f)) + (segment (start 119.2284 97.516) (end 119.0284 97.316) (width 0.2) (layer "F.Cu") (net 221) (tstamp 206a4880-3278-486f-af1b-86c766024bc0)) + (segment (start 119.0284 97.316) (end 116.6456 97.316) (width 0.2) (layer "F.Cu") (net 221) (tstamp 28524f84-e301-46c9-9236-7bc3114cd07c)) + (segment (start 77.013634 93.431526) (end 79.441634 93.431526) (width 0.2) (layer "F.Cu") (net 221) (tstamp 532f4695-8e9b-4a87-baf1-1273820dc4fa)) + (segment (start 79.441634 93.431526) (end 79.475634 93.397526) (width 0.2) (layer "F.Cu") (net 221) (tstamp 5cbed463-3db7-4332-88f6-814ec1591546)) + (segment (start 169.379474 78.064526) (end 142.875646 78.064526) (width 0.2) (layer "F.Cu") (net 221) (tstamp 94417917-7e2d-49d0-b90c-cd8ecc8c5f94)) + (segment (start 142.875646 78.064526) (end 123.424172 97.516) (width 0.2) (layer "F.Cu") (net 221) (tstamp 999fa400-ec71-45c1-8683-59185c9fee29)) + (segment (start 123.424172 97.516) (end 119.2284 97.516) (width 0.2) (layer "F.Cu") (net 221) (tstamp aa17f933-c662-4bd7-8043-dad6fa74a3dc)) + (via (at 79.475634 93.397526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 221) (tstamp 21048282-dd26-4734-9b2c-c9da5bb1f8ae)) + (via (at 119.2284 97.516) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 221) (tstamp dd40dd38-85a0-4340-8f82-951b9e78541b)) + (segment (start 80.853308 92.019852) (end 86.818252 92.019852) (width 0.2) (layer "B.Cu") (net 221) (tstamp 1772a726-cb0f-4026-bde8-1ebdf11d9635)) + (segment (start 119.1296 97.4172) (end 119.2284 97.516) (width 0.2) (layer "B.Cu") (net 221) (tstamp 2ddf69f8-6f0a-4058-85cf-c055da1418c1)) + (segment (start 92.2156 97.4172) (end 119.1296 97.4172) (width 0.2) (layer "B.Cu") (net 221) (tstamp 982e78ff-9dad-41b9-a9f3-0a351c4e36a0)) + (segment (start 79.475634 93.397526) (end 80.853308 92.019852) (width 0.2) (layer "B.Cu") (net 221) (tstamp c7d0ef53-dfec-4b35-ac94-978e082dc1aa)) + (segment (start 86.818252 92.019852) (end 92.2156 97.4172) (width 0.2) (layer "B.Cu") (net 221) (tstamp f7d48c4b-2d4f-4b7a-83f8-adff379a3cb0)) + (segment (start 153.600074 135.976526) (end 153.605074 135.981526) (width 0.2) (layer "F.Cu") (net 222) (tstamp 1f90ab6f-2bcc-4566-830d-ff9fece525cc)) + (segment (start 121.2723 112.316) (end 116.6456 112.316) (width 0.2) (layer "F.Cu") (net 222) (tstamp 42fea227-24f0-4ff0-8e61-d5180295f8ac)) + (segment (start 148.856274 135.976526) (end 153.600074 135.976526) (width 0.2) (layer "F.Cu") (net 222) (tstamp 80b668c6-3ef2-4feb-b3b5-fcfd00dfd783)) + (segment (start 122.830274 111.598526) (end 121.989774 111.598526) (width 0.2) (layer "F.Cu") (net 222) (tstamp d8cb95fb-6a2e-4bb1-87ad-65808be73cc9)) + (segment (start 121.989774 111.598526) (end 121.2723 112.316) (width 0.2) (layer "F.Cu") (net 222) (tstamp dec10dfb-9725-4607-8f31-1e963c9fe9a8)) + (via (at 122.830274 111.598526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 222) (tstamp a7f73f6e-d3bf-4df0-a702-235151d7d858)) + (segment (start 122.830274 111.598526) (end 122.472324 111.598526) (width 0.2) (layer "In1.Cu") (net 222) (tstamp 06d0c3ed-9d28-419e-9874-8360e4dbf2bc)) + (segment (start 122.472324 111.598526) (end 121.359274 110.485476) (width 0.2) (layer "In1.Cu") (net 222) (tstamp 3447cf01-c2ce-4d15-a0ae-470e558057e1)) + (segment (start 121.359274 109.905576) (end 121.812324 109.452526) (width 0.2) (layer "In1.Cu") (net 222) (tstamp 7a158cfd-4386-46cf-ab9b-9e63a26b7739)) + (segment (start 123.983274 109.452526) (end 148.856274 134.325526) (width 0.2) (layer "In1.Cu") (net 222) (tstamp 91a2ea9d-482f-4233-bcb0-7758c744c929)) + (segment (start 121.812324 109.452526) (end 123.983274 109.452526) (width 0.2) (layer "In1.Cu") (net 222) (tstamp 91f35c12-64d3-427a-a38d-9a8a39e93e71)) + (segment (start 121.359274 110.485476) (end 121.359274 109.905576) (width 0.2) (layer "In1.Cu") (net 222) (tstamp 9e409d32-d8bf-4f3e-ae8b-59875d1b7c12)) + (segment (start 148.856274 134.325526) (end 148.856274 135.976526) (width 0.2) (layer "In1.Cu") (net 222) (tstamp a54b3fc3-19f8-4db5-ab36-9a058253f80b)) + (segment (start 106.946274 111.846526) (end 107.073274 111.846526) (width 0.2) (layer "F.Cu") (net 223) (tstamp 4bf24fd3-6a7f-48f1-b82a-66b57bf5d955)) + (segment (start 148.856274 138.516526) (end 153.579674 138.516526) (width 0.2) (layer "F.Cu") (net 223) (tstamp 69bdfad4-0cef-466d-b0e7-ded0a3727d06)) + (segment (start 153.579674 138.516526) (end 153.605074 138.491126) (width 0.2) (layer "F.Cu") (net 223) (tstamp 95ae0fe4-419e-44c5-af36-38956af1bf14)) + (segment (start 107.542748 112.316) (end 106.946274 111.846526) (width 0.2) (layer "F.Cu") (net 223) (tstamp 9e133122-4fe6-4d1a-8109-5fa73c580ac9)) + (segment (start 112.6956 112.316) (end 107.542748 112.316) (width 0.2) (layer "F.Cu") (net 223) (tstamp e8e8aaaa-3c6b-4372-965d-8a2e8551a2fa)) + (via (at 106.946274 111.846526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 223) (tstamp c569298a-c120-4970-94ed-9031ab39623e)) + (segment (start 137.553274 139.659526) (end 147.713274 139.659526) (width 0.2) (layer "In2.Cu") (net 223) (tstamp 026f0cbe-8332-4d4c-b9a9-5a4126e0009a)) + (segment (start 106.946274 111.846526) (end 113.919948 118.8202) (width 0.2) (layer "In2.Cu") (net 223) (tstamp 6bda47f4-de9c-45ce-b7fe-68230ce9463a)) + (segment (start 115.585 119.23025) (end 117.123998 119.23025) (width 0.2) (layer "In2.Cu") (net 223) (tstamp 8d6c541a-b65e-4c01-a316-3e52a80e3dfe)) + (segment (start 117.123998 119.23025) (end 137.553274 139.659526) (width 0.2) (layer "In2.Cu") (net 223) (tstamp a1652d49-5567-4e22-94c5-a1597ea38a72)) + (segment (start 113.919948 118.8202) (end 115.17495 118.8202) (width 0.2) (layer "In2.Cu") (net 223) (tstamp b9840a7a-8ed5-4f13-a3be-df4361abee67)) + (segment (start 115.17495 118.8202) (end 115.585 119.23025) (width 0.2) (layer "In2.Cu") (net 223) (tstamp c7c03068-adb8-43fe-a68c-0f073f8f665a)) + (segment (start 147.713274 139.659526) (end 148.856274 138.516526) (width 0.2) (layer "In2.Cu") (net 223) (tstamp e32b99b7-9d1d-4ea8-944e-ffc6d79e19fe)) + (segment (start 122.870274 110.298526) (end 122.337274 110.298526) (width 0.2) (layer "F.Cu") (net 224) (tstamp 0e0b7aaf-d7a0-4c68-bf9b-e5202e21c99a)) + (segment (start 122.337274 110.298526) (end 120.8198 111.816) (width 0.2) (layer "F.Cu") (net 224) (tstamp 3b3c254d-fc18-4547-a47b-82e78a5c0cd5)) + (segment (start 120.8198 111.816) (end 116.6456 111.816) (width 0.2) (layer "F.Cu") (net 224) (tstamp 8ffdd2c1-a1cb-4775-b843-9a63a860ff8b)) + (segment (start 153.579674 141.056526) (end 153.605074 141.031126) (width 0.2) (layer "F.Cu") (net 224) (tstamp ddd2a461-c305-4d84-94b0-aa9f18bb6d1e)) + (segment (start 148.856274 141.056526) (end 153.579674 141.056526) (width 0.2) (layer "F.Cu") (net 224) (tstamp fc45d65e-ad7b-4e93-b0c2-407974242fe5)) + (via (at 122.870274 110.298526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 224) (tstamp 9b43ef25-e3ab-4dc0-8dcd-a6a5acbf4835)) + (segment (start 122.870274 110.298526) (end 124.203579 110.298526) (width 0.2) (layer "In1.Cu") (net 224) (tstamp 032362d6-0173-4db7-9460-b07776ed8b00)) + (segment (start 147.731274 139.931526) (end 148.856274 141.056526) (width 0.2) (layer "In1.Cu") (net 224) (tstamp 2dde8962-dd07-4c59-b690-eefd6d557361)) + (segment (start 124.203579 110.298526) (end 147.731274 133.826221) (width 0.2) (layer "In1.Cu") (net 224) (tstamp ce81de6e-d561-4bef-b9f0-98c8dc154fbc)) + (segment (start 147.731274 133.826221) (end 147.731274 139.931526) (width 0.2) (layer "In1.Cu") (net 224) (tstamp dfc7f21c-3aa5-41d0-884f-b5e002fac0bd)) + (segment (start 108.185748 111.816) (end 107.962274 111.592526) (width 0.2) (layer "F.Cu") (net 225) (tstamp 1940e06a-7729-4df9-80d8-26d888875887)) + (segment (start 153.579674 143.596526) (end 153.605074 143.571126) (width 0.2) (layer "F.Cu") (net 225) (tstamp 338604fa-184b-4920-a6d9-c7f7fb3b7d52)) + (segment (start 148.856274 143.596526) (end 153.579674 143.596526) (width 0.2) (layer "F.Cu") (net 225) (tstamp 455df66e-edb8-4194-a833-26b1bb3bf83f)) + (segment (start 112.6956 111.816) (end 108.185748 111.816) (width 0.2) (layer "F.Cu") (net 225) (tstamp 9e0a50ef-35dc-4959-9a7c-64b30c10b228)) + (via (at 107.962274 111.592526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 225) (tstamp 19e476eb-2ec0-4e30-a8bc-40c8b16bbc3d)) + (segment (start 121.359274 122.830526) (end 140.982274 142.453526) (width 0.2) (layer "In1.Cu") (net 225) (tstamp 6ba71b07-fe06-40d2-b047-f4368dfe94fa)) + (segment (start 147.713274 142.453526) (end 148.856274 143.596526) (width 0.2) (layer "In1.Cu") (net 225) (tstamp 6c730904-6f20-443c-b82e-815b4c1dc90b)) + (segment (start 111.946276 109.622526) (end 121.359274 119.035524) (width 0.2) (layer "In1.Cu") (net 225) (tstamp 97534d20-263a-47e3-8e09-ee15383a08db)) + (segment (start 121.359274 119.035524) (end 121.359274 122.830526) (width 0.2) (layer "In1.Cu") (net 225) (tstamp abceaf7d-6e02-4072-b6bc-0ee8b86724b3)) + (segment (start 107.962274 111.592526) (end 107.962274 110.729576) (width 0.2) (layer "In1.Cu") (net 225) (tstamp b3e7bf2c-3673-438c-afdb-ff526f267d88)) + (segment (start 109.069324 109.622526) (end 111.946276 109.622526) (width 0.2) (layer "In1.Cu") (net 225) (tstamp d5f03ff3-5688-4f74-acdf-7296f417376d)) + (segment (start 140.982274 142.453526) (end 147.713274 142.453526) (width 0.2) (layer "In1.Cu") (net 225) (tstamp db2406f2-c2f1-48e6-843c-b14f287e4a87)) + (segment (start 107.962274 110.729576) (end 109.069324 109.622526) (width 0.2) (layer "In1.Cu") (net 225) (tstamp dd595176-9f1d-4d2c-9fa1-aac66112500a)) + (segment (start 120.44885 110.816) (end 116.6456 110.816) (width 0.2) (layer "F.Cu") (net 226) (tstamp 14aa830d-5657-48d1-a66c-07d63c5ba362)) + (segment (start 122.948274 108.544526) (end 122.720324 108.544526) (width 0.2) (layer "F.Cu") (net 226) (tstamp 16156fff-b242-4171-8767-e48fc0355a16)) + (segment (start 122.720324 108.544526) (end 120.44885 110.816) (width 0.2) (layer "F.Cu") (net 226) (tstamp 4e5ad844-a837-4431-b25a-eb7bf5622574)) + (segment (start 148.881674 146.111126) (end 148.856274 146.136526) (width 0.2) (layer "F.Cu") (net 226) (tstamp a257d0e1-a1f1-4abf-a618-af0de7242346)) + (segment (start 153.592674 146.111126) (end 148.881674 146.111126) (width 0.2) (layer "F.Cu") (net 226) (tstamp f79f836a-6905-40cc-8543-ac27ec2c8add)) + (via (at 122.948274 108.544526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 226) (tstamp b10b4ac4-bbff-4bf3-91b9-a473296d6309)) + (segment (start 149.999274 131.531526) (end 149.999274 144.993526) (width 0.2) (layer "In1.Cu") (net 226) (tstamp 364e676a-7386-4d54-a8fa-a5c8d9e67065)) + (segment (start 149.999274 144.993526) (end 148.856274 146.136526) (width 0.2) (layer "In1.Cu") (net 226) (tstamp 366ec6b0-2c13-460a-af5f-b0a0447996ab)) + (segment (start 122.948274 108.544526) (end 123.456274 109.052526) (width 0.2) (layer "In1.Cu") (net 226) (tstamp 38df3655-0d9e-4e72-bd1f-40350caddc1e)) + (segment (start 127.520274 109.052526) (end 149.999274 131.531526) (width 0.2) (layer "In1.Cu") (net 226) (tstamp ac91679d-9c17-41c7-91ea-4bb41145974e)) + (segment (start 123.456274 109.052526) (end 127.520274 109.052526) (width 0.2) (layer "In1.Cu") (net 226) (tstamp d8652032-fb1a-4333-97b0-f7033182160a)) + (segment (start 110.5168 110.816) (end 110.248274 111.084526) (width 0.2) (layer "F.Cu") (net 227) (tstamp 0db944c7-1dd7-4e76-bdd2-f7f63a5cfb7b)) + (segment (start 148.856274 148.676526) (end 153.567274 148.676526) (width 0.2) (layer "F.Cu") (net 227) (tstamp 0ff00baf-3b4f-4353-a6da-25d2d922a2bd)) + (segment (start 110.121274 111.211526) (end 110.121274 111.211526) (width 0.2) (layer "F.Cu") (net 227) (tstamp 780dde13-8f7b-4db4-9281-3175884556b5)) + (segment (start 153.567274 148.676526) (end 153.592674 148.651126) (width 0.2) (layer "F.Cu") (net 227) (tstamp 99d38a67-7cae-4d86-a517-2d3614c5055d)) + (segment (start 110.121274 111.084526) (end 110.121274 111.211526) (width 0.2) (layer "F.Cu") (net 227) (tstamp a01a08d4-1f41-4197-b855-49fd9b8a5a9d)) + (segment (start 112.6956 110.816) (end 110.5168 110.816) (width 0.2) (layer "F.Cu") (net 227) (tstamp ac7d2841-b42e-48c9-b5a1-e62bb3513642)) + (segment (start 110.248274 111.084526) (end 110.121274 111.084526) (width 0.2) (layer "F.Cu") (net 227) (tstamp b3ff180f-ea8f-4dd1-ac31-890da52171b7)) + (via (at 110.121274 111.084526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 227) (tstamp c2670db5-2fe5-4ff2-a61b-76b27e8d754c)) + (segment (start 110.121274 111.084526) (end 110.121274 112.825424) (width 0.2) (layer "In1.Cu") (net 227) (tstamp 024eab9a-143b-4aea-94eb-96cfae31b5a5)) + (segment (start 145.514588 147.551526) (end 147.731274 147.551526) (width 0.2) (layer "In1.Cu") (net 227) (tstamp 1ee87ac7-518b-455c-aa05-9a505ede9fe3)) + (segment (start 120.292062 122.996212) (end 120.959274 122.996212) (width 0.2) (layer "In1.Cu") (net 227) (tstamp 531f84ba-9c3a-4b0a-9aed-3aa308309f65)) + (segment (start 120.959274 122.996212) (end 145.514588 147.551526) (width 0.2) (layer "In1.Cu") (net 227) (tstamp a9eebeb9-fef9-4579-9cd1-06399bccd45e)) + (segment (start 147.731274 147.551526) (end 148.856274 148.676526) (width 0.2) (layer "In1.Cu") (net 227) (tstamp ddb25a42-d397-4490-82b5-ee7ea9a764fa)) + (segment (start 110.121274 112.825424) (end 120.292062 122.996212) (width 0.2) (layer "In1.Cu") (net 227) (tstamp e66450a5-c267-447c-872a-88a433c0bbfc)) + (segment (start 119.6528 110.316) (end 122.759274 107.209526) (width 0.2) (layer "F.Cu") (net 228) (tstamp 0a014a87-37f2-4544-9c32-ab296a416487)) + (segment (start 122.759274 107.209526) (end 124.915274 107.209526) (width 0.2) (layer "F.Cu") (net 228) (tstamp 1615f2c7-237e-4d3e-a0f3-8991a0a61475)) + (segment (start 147.731274 150.091526) (end 148.856274 151.216526) (width 0.2) (layer "F.Cu") (net 228) (tstamp 2dc75daf-586b-470f-8cac-a2c6441bfd86)) + (segment (start 147.731274 130.025526) (end 147.731274 150.091526) (width 0.2) (layer "F.Cu") (net 228) (tstamp 3a2de2ee-f85e-4d22-8cbf-f0bdaa03c743)) + (segment (start 148.881674 151.191126) (end 148.856274 151.216526) (width 0.2) (layer "F.Cu") (net 228) (tstamp 75b2fca6-c631-4790-a454-5aabae30cb50)) + (segment (start 124.915274 107.209526) (end 147.731274 130.025526) (width 0.2) (layer "F.Cu") (net 228) (tstamp cf01cfdf-8ace-4e46-b883-d3e5b0184815)) + (segment (start 116.6456 110.316) (end 119.6528 110.316) (width 0.2) (layer "F.Cu") (net 228) (tstamp f0a739bf-8917-4f8b-83c1-a4ba0d5fbd36)) + (segment (start 153.592674 151.191126) (end 148.881674 151.191126) (width 0.2) (layer "F.Cu") (net 228) (tstamp f457e163-9006-46a2-9772-adebb54d9d07)) + (segment (start 109.359274 110.322526) (end 109.3658 110.316) (width 0.2) (layer "F.Cu") (net 229) (tstamp 24e0fed1-d611-4def-9d7c-83a234f1d4a0)) + (segment (start 153.567274 153.756526) (end 153.592674 153.731126) (width 0.2) (layer "F.Cu") (net 229) (tstamp 71da5703-ab35-44dd-a9e0-e260214bcda6)) + (segment (start 112.6956 110.316) (end 109.359274 110.322526) (width 0.2) (layer "F.Cu") (net 229) (tstamp abe8dfcf-076a-45e5-a47c-7d5d7bc6cd8b)) + (segment (start 148.856274 153.756526) (end 153.567274 153.756526) (width 0.2) (layer "F.Cu") (net 229) (tstamp c9f5bd7d-81c0-455b-ad05-ffef73799054)) + (via (at 109.359274 110.322526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 229) (tstamp 8c6c8365-2388-4b7a-9ecd-31cc9f9d80c5)) + (segment (start 145.191274 151.682517) (end 146.140283 152.631526) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 34c68aa2-02ff-4a69-8032-0519a0a20d3c)) + (segment (start 109.359274 115.544524) (end 145.191274 151.376524) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 59d27879-9891-4106-b1e8-e6ac9af12205)) + (segment (start 145.191274 151.376524) (end 145.191274 151.682517) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 6f7e012a-21de-4a31-ba75-cfd72863bcd4)) + (segment (start 109.359274 110.322526) (end 109.359274 115.544524) (width 0.2) (layer "In1.Cu") (net 229) (tstamp 83d0d397-a4a4-4802-b252-1fe2e3e57c5e)) + (segment (start 147.731274 152.631526) (end 148.856274 153.756526) (width 0.2) (layer "In1.Cu") (net 229) (tstamp c5b91853-8841-4702-a303-4a3943920fb1)) + (segment (start 146.140283 152.631526) (end 147.731274 152.631526) (width 0.2) (layer "In1.Cu") (net 229) (tstamp df6409b7-31d9-433d-ac9a-13933a036383)) + (segment (start 119.265274 127.848526) (end 119.265274 122.935674) (width 0.2) (layer "F.Cu") (net 230) (tstamp 0a4d09d8-f4ac-4bd6-960e-e4cea77817a1)) + (segment (start 117.6456 121.316) (end 116.6456 121.316) (width 0.2) (layer "F.Cu") (net 230) (tstamp 4c3c97c5-e457-4f8d-a00c-895046ba11c5)) + (segment (start 119.265274 122.935674) (end 117.6456 121.316) (width 0.2) (layer "F.Cu") (net 230) (tstamp 81ecc8cb-7fcc-4601-a6a6-26917d89cd9d)) + (segment (start 96.000274 136.052726) (end 99.478674 136.052726) (width 0.2) (layer "F.Cu") (net 230) (tstamp ccb8314f-b0a3-4914-acba-9293243338a1)) + (via (at 119.265274 127.848526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 230) (tstamp 9e7f4c5d-a640-4dab-b9d6-e7aa972a900d)) + (segment (start 104.914274 144.866526) (end 98.564274 144.866526) (width 0.2) (layer "In2.Cu") (net 230) (tstamp 0f55fdf3-8d62-4f04-aef0-7ee9449bf3ed)) + (segment (start 119.265274 127.848526) (end 119.265274 130.515526) (width 0.2) (layer "In2.Cu") (net 230) (tstamp 3fc7a73e-5b93-4293-903a-e44451cff7bd)) + (segment (start 119.265274 130.515526) (end 104.914274 144.866526) (width 0.2) (layer "In2.Cu") (net 230) (tstamp 6246ef4e-cce7-450e-b1b4-d1b8f0c62d36)) + (segment (start 97.929274 137.602126) (end 99.478674 136.052726) (width 0.2) (layer "In2.Cu") (net 230) (tstamp 8a91e251-6ae8-45ac-8958-f296027bb268)) + (segment (start 97.929274 144.231526) (end 97.929274 137.602126) (width 0.2) (layer "In2.Cu") (net 230) (tstamp c8c2b8b8-e41d-474f-9847-183bf939e3b6)) + (segment (start 98.564274 144.866526) (end 97.929274 144.231526) (width 0.2) (layer "In2.Cu") (net 230) (tstamp f59e2330-52aa-47ec-8680-65c44d3f1b91)) + (segment (start 95.929074 151.287726) (end 99.473674 151.287726) (width 0.2) (layer "F.Cu") (net 231) (tstamp 6894d165-7d1c-4543-a21d-18825885316b)) + (segment (start 120.282332 119.316) (end 116.6456 119.316) (width 0.2) (layer "F.Cu") (net 231) (tstamp 87a57b07-46ba-45da-aa69-acff08c22fd6)) + (segment (start 122.694274 131.023526) (end 122.694274 121.727942) (width 0.2) (layer "F.Cu") (net 231) (tstamp a14dad2b-3941-4468-b979-f01eb2c99356)) + (segment (start 99.473674 151.287726) (end 99.478674 151.292726) (width 0.2) (layer "F.Cu") (net 231) (tstamp bd696c1f-7794-48f0-b637-5815ebdae851)) + (segment (start 122.694274 121.727942) (end 120.282332 119.316) (width 0.2) (layer "F.Cu") (net 231) (tstamp dda94499-4f78-487b-a915-2cc01b661799)) + (via (at 122.694274 131.023526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 231) (tstamp 80c12730-7a85-4926-8999-6ae79cbf97a4)) + (segment (start 103.459074 152.417726) (end 100.603674 152.417726) (width 0.2) (layer "In1.Cu") (net 231) (tstamp 24b08409-0c94-424d-8d55-605446b1a641)) + (segment (start 122.694274 133.182526) (end 103.459074 152.417726) (width 0.2) (layer "In1.Cu") (net 231) (tstamp 339d7d72-ea63-4e0c-a89e-712a06e2fca8)) + (segment (start 100.603674 152.417726) (end 99.478674 151.292726) (width 0.2) (layer "In1.Cu") (net 231) (tstamp d2d1931e-0748-4866-880d-de34ef52a685)) + (segment (start 122.694274 131.023526) (end 122.694274 133.182526) (width 0.2) (layer "In1.Cu") (net 231) (tstamp e76665ed-927f-4567-bbb0-306cdb6d7f82)) + (segment (start 96.125224 127.874576) (end 93.077224 127.874576) (width 0.2) (layer "F.Cu") (net 232) (tstamp 17b6638e-6761-4b3c-a03c-fb26f1cdbe30)) + (segment (start 86.118274 155.788526) (end 93.937674 155.788526) (width 0.2) (layer "F.Cu") (net 232) (tstamp 1c5d63bc-5074-4c6a-9aa0-303a329971f2)) + (segment (start 104.6838 119.316) (end 96.125224 127.874576) (width 0.2) (layer "F.Cu") (net 232) (tstamp 27b8d8c9-ce58-4fb8-ba29-aee7a637cc0c)) + (segment (start 99.478674 153.832726) (end 95.927874 153.832726) (width 0.2) (layer "F.Cu") (net 232) (tstamp 653a47e6-6da4-4e91-9b6a-4a1d43959ed2)) + (segment (start 93.077224 127.874576) (end 83.070274 137.881526) (width 0.2) (layer "F.Cu") (net 232) (tstamp 720767c2-2865-4c7d-b4e1-bafbb127c14e)) + (segment (start 83.070274 137.881526) (end 83.070274 152.740526) (width 0.2) (layer "F.Cu") (net 232) (tstamp 83be8405-387e-42ee-a7af-f72896f8e900)) + (segment (start 83.070274 152.740526) (end 86.118274 155.788526) (width 0.2) (layer "F.Cu") (net 232) (tstamp 8fa6b8e2-8103-47e2-a0ee-97446f51ea5e)) + (segment (start 95.927874 153.832726) (end 95.910674 153.815526) (width 0.2) (layer "F.Cu") (net 232) (tstamp dc02c92a-cefc-47b6-a9c0-c832af1e8b1b)) + (segment (start 93.937674 155.788526) (end 95.910674 153.815526) (width 0.2) (layer "F.Cu") (net 232) (tstamp e4558ada-19ab-4326-a345-736776c37bd9)) + (segment (start 112.6956 119.316) (end 104.6838 119.316) (width 0.2) (layer "F.Cu") (net 232) (tstamp ff7d7992-c5ed-4708-b3c5-b331da28f2fe)) + (segment (start 109.2128 104.816) (end 112.6956 104.816) (width 0.2) (layer "F.Cu") (net 233) (tstamp 8dc7ec48-ba61-4395-837f-4930c4a1e9d2)) + (segment (start 106.754474 136.052726) (end 102.018674 136.052726) (width 0.2) (layer "F.Cu") (net 233) (tstamp acda407d-5120-4c76-bfb3-a6c58655cb67)) + (segment (start 106.792874 136.014326) (end 106.754474 136.052726) (width 0.2) (layer "F.Cu") (net 233) (tstamp c88ceb88-e985-4391-b42a-42e5d80b4c79)) + (segment (start 108.978274 105.050526) (end 109.2128 104.816) (width 0.2) (layer "F.Cu") (net 233) (tstamp f507dd15-1b56-4589-84b6-ed6b945e5cba)) + (via (at 108.978274 105.050526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 233) (tstamp 277ed094-0b16-460a-bf35-f84829874ce5)) + (segment (start 102.628274 136.052726) (end 102.628274 111.400526) (width 0.2) (layer "In2.Cu") (net 233) (tstamp 0e2bb164-52b4-43e4-b08e-5c3852abaac7)) + (segment (start 102.628274 111.400526) (end 108.978274 105.050526) (width 0.2) (layer "In2.Cu") (net 233) (tstamp a3d27890-759e-47e2-b638-bb853e166873)) + (segment (start 118.504321 105.4208) (end 118.109121 105.816) (width 0.2) (layer "F.Cu") (net 234) (tstamp bac177d0-a1d3-4f45-a2f8-602b2d938ebb)) + (segment (start 122.059274 105.4208) (end 118.504321 105.4208) (width 0.2) (layer "F.Cu") (net 234) (tstamp c183bb75-4ec7-4c7e-9ca3-25174f131ab2)) + (segment (start 118.109121 105.816) (end 116.6456 105.816) (width 0.2) (layer "F.Cu") (net 234) (tstamp e4a56cd2-f171-43a7-bf4e-6e445202c80b)) + (segment (start 106.831274 138.592726) (end 102.018674 138.592726) (width 0.2) (layer "F.Cu") (net 234) (tstamp faf57d92-bb6a-4b6b-892f-c2a321e84078)) + (via (at 122.059274 105.4208) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 234) (tstamp 3168aa4e-be0e-4271-a53e-fc5a46554c1b)) + (segment (start 101.358274 111.592526) (end 101.358274 137.932326) (width 0.2) (layer "In2.Cu") (net 234) (tstamp 08d09650-66ec-4ac2-8980-36259801e6b9)) + (segment (start 101.358274 137.932326) (end 102.018674 138.592726) (width 0.2) (layer "In2.Cu") (net 234) (tstamp 255afa32-2674-49df-8fc7-05f31c208414)) + (segment (start 122.059274 105.4208) (end 110.338498 105.4208) (width 0.2) (layer "In2.Cu") (net 234) (tstamp 76423101-7a13-4d96-b453-9f385784e0ad)) + (segment (start 109.268224 104.350526) (end 108.600274 104.350526) (width 0.2) (layer "In2.Cu") (net 234) (tstamp 7ccb9492-8422-44ae-a47d-9afef954c87a)) + (segment (start 108.600274 104.350526) (end 101.358274 111.592526) (width 0.2) (layer "In2.Cu") (net 234) (tstamp 7eb62714-1508-43ee-a9e2-758dd1731689)) + (segment (start 110.338498 105.4208) (end 109.268224 104.350526) (width 0.2) (layer "In2.Cu") (net 234) (tstamp 898d7af8-31f9-4141-987c-5a4e57e64963)) + (segment (start 123.075274 139.913526) (end 108.062474 139.913526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 0b12f2e6-1d3d-44d0-8561-a4127a0b85d2)) + (segment (start 106.843274 141.132726) (end 102.018674 141.132726) (width 0.2) (layer "F.Cu") (net 235) (tstamp 23abb374-83cf-4ee0-80c3-f79c4a7d2f84)) + (segment (start 123.075274 139.913526) (end 124.688274 138.300526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 31da17d6-607c-4b66-9392-321d7fec4554)) + (segment (start 124.688274 121.333526) (end 120.916274 117.561526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 69c153af-639b-40e8-af43-557c8ef78f35)) + (segment (start 124.688274 138.300526) (end 124.688274 121.333526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 847d9ec7-49db-4f15-8421-600eca2a0028)) + (segment (start 120.916274 117.561526) (end 118.267798 117.561526) (width 0.2) (layer "F.Cu") (net 235) (tstamp 9b990a37-353d-4d2b-b968-fc2a68fabd0f)) + (segment (start 118.013324 117.816) (end 116.6456 117.816) (width 0.2) (layer "F.Cu") (net 235) (tstamp b9cc4d34-27c7-4abc-9102-fcf651733e8a)) + (segment (start 106.843274 141.132726) (end 108.062474 139.913526) (width 0.2) (layer "F.Cu") (net 235) (tstamp cff6c527-22a9-43d8-9923-e48e9995e1c5)) + (segment (start 118.267798 117.561526) (end 118.013324 117.816) (width 0.2) (layer "F.Cu") (net 235) (tstamp e36c08f6-0eb3-4052-938a-e260e8915a08)) + (segment (start 106.565274 117.616) (end 106.765274 117.816) (width 0.2) (layer "F.Cu") (net 236) (tstamp 36772ced-b486-4c47-97e4-6f9f4009bc7e)) + (segment (start 106.831274 143.672726) (end 102.018674 143.672726) (width 0.2) (layer "F.Cu") (net 236) (tstamp 71378b8a-ed93-4452-8806-e3a17fadf2e1)) + (segment (start 106.765274 117.816) (end 112.6956 117.816) (width 0.2) (layer "F.Cu") (net 236) (tstamp bfd23378-147b-4660-85a1-36df5625eb20)) + (via (at 106.565274 117.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 236) (tstamp ecf1c2cc-8679-46cf-90c2-0e83be5872bf)) + (segment (start 106.565274 117.616) (end 103.898274 120.283) (width 0.2) (layer "In2.Cu") (net 236) (tstamp 152e8fd2-523e-4ba8-b87c-540043d613e8)) + (segment (start 103.898274 141.793126) (end 102.018674 143.672726) (width 0.2) (layer "In2.Cu") (net 236) (tstamp 61d1071c-3406-4fb6-98ee-0092eeec74ae)) + (segment (start 103.898274 120.283) (end 103.898274 141.793126) (width 0.2) (layer "In2.Cu") (net 236) (tstamp 6d1de7f7-a739-44cf-b2b3-e5535b7ff123)) + (segment (start 102.023674 146.217726) (end 102.018674 146.212726) (width 0.2) (layer "F.Cu") (net 237) (tstamp 5410bda0-37cf-4463-b5a1-1a663dbfec8e)) + (segment (start 106.863674 146.217726) (end 102.023674 146.217726) (width 0.2) (layer "F.Cu") (net 237) (tstamp 584e7f87-0155-4c24-9428-f3d1d449e371)) + (segment (start 123.329274 144.866526) (end 125.088274 143.107526) (width 0.2) (layer "F.Cu") (net 237) (tstamp 6292c10c-4953-4b4e-ace0-f7b06a946b43)) + (segment (start 106.863674 146.217726) (end 108.214874 144.866526) (width 0.2) (layer "F.Cu") (net 237) (tstamp 710957a7-e383-4368-8f02-83035703fa1a)) + (segment (start 125.088274 120.717526) (end 121.186748 116.816) (width 0.2) (layer "F.Cu") (net 237) (tstamp 76e7eb62-94f0-4b4e-83ce-8de7e2f532a0)) + (segment (start 108.214874 144.866526) (end 123.329274 144.866526) (width 0.2) (layer "F.Cu") (net 237) (tstamp d5f9f86d-0b28-4fca-a36b-ecce5605d15d)) + (segment (start 125.088274 143.107526) (end 125.088274 120.717526) (width 0.2) (layer "F.Cu") (net 237) (tstamp d777d608-bd69-46df-9786-702c815fce0d)) + (segment (start 121.186748 116.816) (end 116.6456 116.816) (width 0.2) (layer "F.Cu") (net 237) (tstamp e28110fe-96d8-4ef7-8567-78146e37510a)) + (segment (start 112.6956 116.816) (end 111.756126 116.816) (width 0.2) (layer "F.Cu") (net 238) (tstamp 00d9e615-3b0f-463a-85db-dd7f16796a81)) + (segment (start 109.232274 117.180526) (end 109.232274 117.180526) (width 0.2) (layer "F.Cu") (net 238) (tstamp 1c35b532-ff0b-4112-90e4-90aba4b00f0e)) + (segment (start 109.359274 117.053526) (end 109.232274 117.053526) (width 0.2) (layer "F.Cu") (net 238) (tstamp 37d8a9a9-c2ad-4821-a668-47aa0a95e109)) + (segment (start 109.232274 117.053526) (end 109.232274 117.180526) (width 0.2) (layer "F.Cu") (net 238) (tstamp 49cea223-cfda-4dde-9bdd-6921f0990114)) + (segment (start 111.6456 116.926526) (end 109.486274 116.926526) (width 0.2) (layer "F.Cu") (net 238) (tstamp 54db889f-3486-46a5-afc5-9b5fc56778d7)) + (segment (start 106.843274 148.752726) (end 102.018674 148.752726) (width 0.2) (layer "F.Cu") (net 238) (tstamp 5ab1922e-8c72-42da-8210-c1fe73ed3361)) + (segment (start 111.756126 116.816) (end 111.6456 116.926526) (width 0.2) (layer "F.Cu") (net 238) (tstamp 850ef02f-3477-4ff0-b659-4de4ea802289)) + (segment (start 109.486274 116.926526) (end 109.359274 117.053526) (width 0.2) (layer "F.Cu") (net 238) (tstamp c12b7975-b97e-4c29-918c-db8aa8430568)) + (via (at 109.232274 117.053526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 238) (tstamp e7bacc89-5f8b-4d8c-ab7a-9da39475e186)) + (segment (start 109.232274 117.053526) (end 109.232274 144.485526) (width 0.2) (layer "B.Cu") (net 238) (tstamp 3f9a6845-0a77-440f-ba83-f53589d35d64)) + (segment (start 109.232274 144.485526) (end 104.965074 148.752726) (width 0.2) (layer "B.Cu") (net 238) (tstamp 76187f9c-563b-4b68-9b0f-67986948bb8d)) + (segment (start 104.965074 148.752726) (end 102.018674 148.752726) (width 0.2) (layer "B.Cu") (net 238) (tstamp b71b4072-05e0-4384-85e7-ba5991e5e1f1)) + (segment (start 95.961474 138.592726) (end 99.478674 138.592726) (width 0.2) (layer "F.Cu") (net 239) (tstamp 0b7ac396-4c1b-4648-9a3b-47d6070225e4)) + (segment (start 112.6456 121.366) (end 112.6956 121.316) (width 0.2) (layer "F.Cu") (net 239) (tstamp 26d3c2fb-7af6-45b9-832c-d76035c9cf25)) + (segment (start 107.832274 123.784526) (end 110.2508 121.366) (width 0.2) (layer "F.Cu") (net 239) (tstamp 7cef95cc-0864-44a9-b3c3-c53dc922a8e8)) + (segment (start 110.2508 121.366) (end 112.6456 121.366) (width 0.2) (layer "F.Cu") (net 239) (tstamp ca206835-da83-4324-bc7b-d91531579d7c)) + (via (at 107.832274 123.784526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 239) (tstamp 989b1d45-e4b7-491c-8371-446d6193c7fd)) + (segment (start 103.644274 137.373526) (end 101.104274 137.373526) (width 0.2) (layer "B.Cu") (net 239) (tstamp 36732ce4-dece-444d-9603-bf0ff479ce69)) + (segment (start 104.660274 126.956526) (end 104.660274 136.357526) (width 0.2) (layer "B.Cu") (net 239) (tstamp 48115690-ec75-44d2-bef1-1e4650bf0e4a)) + (segment (start 99.885074 138.592726) (end 99.478674 138.592726) (width 0.2) (layer "B.Cu") (net 239) (tstamp 5bde2ae9-a514-41ef-87a4-bcb4547d318b)) + (segment (start 104.660274 136.357526) (end 103.644274 137.373526) (width 0.2) (layer "B.Cu") (net 239) (tstamp 6bfb6ef9-8e32-495e-bd58-c2017d72515e)) + (segment (start 107.832274 123.784526) (end 104.660274 126.956526) (width 0.2) (layer "B.Cu") (net 239) (tstamp 8ca38987-4869-4715-9086-edc34fd301ca)) + (segment (start 101.104274 137.373526) (end 99.885074 138.592726) (width 0.2) (layer "B.Cu") (net 239) (tstamp b9d8673e-af27-45f4-b204-c9522a209daa)) + (segment (start 120.233748 120.816) (end 120.789274 121.371526) (width 0.2) (layer "F.Cu") (net 240) (tstamp 42a7449b-5966-479e-ac17-32e3338a6229)) + (segment (start 101.358274 133.817526) (end 101.358274 139.253126) (width 0.2) (layer "F.Cu") (net 240) (tstamp 5dac3df8-0c53-48e7-ade9-c54ae6b92df1)) + (segment (start 101.358274 139.253126) (end 99.478674 141.132726) (width 0.2) (layer "F.Cu") (net 240) (tstamp 880fe28c-52d8-4438-b7d5-65e8186c41d9)) + (segment (start 120.789274 129.372526) (end 116.344274 133.817526) (width 0.2) (layer "F.Cu") (net 240) (tstamp 8bd6c9eb-704c-43df-999e-fba7c333d189)) + (segment (start 116.344274 133.817526) (end 101.358274 133.817526) (width 0.2) (layer "F.Cu") (net 240) (tstamp 8f38dc41-23ae-4707-b6de-a031ad4ab93c)) + (segment (start 116.6456 120.816) (end 120.233748 120.816) (width 0.2) (layer "F.Cu") (net 240) (tstamp c08ff7b2-2eea-4827-aca1-57d9d4336d11)) + (segment (start 120.789274 121.371526) (end 120.789274 129.372526) (width 0.2) (layer "F.Cu") (net 240) (tstamp e949caf2-b89d-4ae6-8079-0cf50caaa8c2)) + (segment (start 99.478674 141.132726) (end 95.910674 141.132726) (width 0.2) (layer "F.Cu") (net 240) (tstamp f952d7c8-d6f5-47ba-9c90-3bd6451bcde8)) + (segment (start 112.6956 120.816) (end 109.1528 120.816) (width 0.2) (layer "F.Cu") (net 241) (tstamp b011b3ca-2833-4f42-b6d2-3c9438a4e75d)) + (segment (start 95.910674 143.672726) (end 99.478674 143.672726) (width 0.2) (layer "F.Cu") (net 241) (tstamp cfda416e-3013-472b-bafe-cbe2eea5a6da)) + (segment (start 109.1528 120.816) (end 108.343274 121.625526) (width 0.2) (layer "F.Cu") (net 241) (tstamp e6323b09-6cc4-4c1a-87a6-100aabb2e177)) + (via (at 108.343274 121.625526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 241) (tstamp 40882c1a-4ceb-4786-8ea2-9295c02d87e3)) + (segment (start 103.644274 142.453526) (end 100.697874 142.453526) (width 0.2) (layer "B.Cu") (net 241) (tstamp 61e21201-5325-49a5-a079-3b603779a6fb)) + (segment (start 108.343274 121.625526) (end 108.532274 121.814526) (width 0.2) (layer "B.Cu") (net 241) (tstamp 79adcd03-f8c3-4df5-9f8d-8a42bf9dd917)) + (segment (start 100.697874 142.453526) (end 99.478674 143.672726) (width 0.2) (layer "B.Cu") (net 241) (tstamp aaea6a42-35dd-4382-abcb-cd025f6ada55)) + (segment (start 108.532274 121.814526) (end 108.532274 137.565526) (width 0.2) (layer "B.Cu") (net 241) (tstamp b407fa9d-f103-41f9-99b7-e63688fec67c)) + (segment (start 108.532274 137.565526) (end 103.644274 142.453526) (width 0.2) (layer "B.Cu") (net 241) (tstamp e68d55c2-02c7-4751-b32f-2c98a2eec5ee)) + (segment (start 134.645674 135.931126) (end 140.872674 135.931126) (width 0.2) (layer "F.Cu") (net 242) (tstamp 99ed0158-00b3-46c0-8678-754412ce46c4)) + (segment (start 140.872674 135.931126) (end 140.892674 135.951126) (width 0.2) (layer "F.Cu") (net 242) (tstamp a9138f57-ec4a-44cd-ba96-79700b8ca4c2)) + (segment (start 191.6176 67.4412) (end 191.3691 67.4412) (width 0.2) (layer "F.Cu") (net 243) (tstamp 0a8016f6-725c-4db4-8e47-bb54334354e7)) + (segment (start 190.766274 66.589874) (end 191.6176 67.4412) (width 0.2) (layer "F.Cu") (net 243) (tstamp 249c4912-1a6a-4d9c-8bc2-f5413e9a1529)) + (segment (start 180.352274 63.942126) (end 180.834874 63.459526) (width 0.2) (layer "F.Cu") (net 243) (tstamp 4e4a9caa-6ef0-446a-a618-5cfcc24e2ff0)) + (segment (start 180.834874 63.459526) (end 189.064474 63.459526) (width 0.2) (layer "F.Cu") (net 243) (tstamp 9c671552-fe13-45af-b320-fb6416379b12)) + (segment (start 189.9106 68.8997) (end 189.9106 69.8372) (width 0.2) (layer "F.Cu") (net 243) (tstamp bb10cd82-227c-45b8-8d2f-ab224f14c819)) + (segment (start 189.064474 63.459526) (end 190.766274 65.161326) (width 0.2) (layer "F.Cu") (net 243) (tstamp e3454113-dea3-4e9b-9a8d-e257e2112b1f)) + (segment (start 191.3691 67.4412) (end 189.9106 68.8997) (width 0.2) (layer "F.Cu") (net 243) (tstamp e5425bea-a2dc-4626-b160-efe89d73dc34)) + (segment (start 190.766274 65.161326) (end 190.766274 66.589874) (width 0.2) (layer "F.Cu") (net 243) (tstamp f73c38f9-aa0b-4be7-8e91-f5cd31b6fa59)) + (segment (start 179.742674 64.094526) (end 179.895074 63.942126) (width 0.2) (layer "B.Cu") (net 243) (tstamp 7cfa4c31-4975-4cd4-a025-3ab741b02473)) + (segment (start 143.578274 40.594526) (end 143.578274 37.639526) (width 0.2) (layer "F.Cu") (net 244) (tstamp 05395343-1a91-477f-ada4-93542dd01051)) + (segment (start 143.908274 40.924526) (end 143.578274 40.594526) (width 0.2) (layer "F.Cu") (net 244) (tstamp 26bfe793-fec3-44f9-979a-e3aaa42e32a2)) + (segment (start 140.578274 39.754926) (end 140.578274 37.639526) (width 0.2) (layer "F.Cu") (net 248) (tstamp 5312319e-b4e6-4e68-a66e-a71cdb991728)) + (segment (start 139.407674 40.925526) (end 140.578274 39.754926) (width 0.2) (layer "F.Cu") (net 248) (tstamp 5356c904-9f1c-4d1a-b7b2-b401e585803f)) + (segment (start 137.218274 37.064526) (end 137.218274 33.134526) (width 0.25) (layer "F.Cu") (net 252) (tstamp 170a16fa-2681-47a5-bfc9-97202ecee53a)) + (segment (start 137.218274 37.064526) (end 137.218274 40.066926) (width 0.25) (layer "F.Cu") (net 252) (tstamp 31a6296a-1f2b-4236-a580-3682e8a843a3)) + (segment (start 147.438274 37.064526) (end 147.438274 33.134526) (width 0.25) (layer "F.Cu") (net 252) (tstamp 8bdec573-7a00-444f-8c60-f390f7487d40)) + (segment (start 137.218274 40.066926) (end 136.359674 40.925526) (width 0.25) (layer "F.Cu") (net 252) (tstamp c177595a-f099-4c11-8c3a-f4984e523049)) + (segment (start 147.438274 33.134526) (end 137.218274 33.134526) (width 0.25) (layer "F.Cu") (net 252) (tstamp fd89dc94-f776-4d84-9b88-e9c2257f2428)) + (segment (start 206.375274 57.542526) (end 203.732274 57.542526) (width 0.2) (layer "F.Cu") (net 254) (tstamp 595f5c24-0e29-4a52-9d94-8270a0f27ff8)) + (segment (start 203.575274 55.921526) (end 203.575274 57.699526) (width 0.2) (layer "F.Cu") (net 254) (tstamp 768442e8-2219-4eb6-a160-aa57b79356fd)) + (segment (start 203.732274 57.542526) (end 203.575274 57.699526) (width 0.2) (layer "F.Cu") (net 254) (tstamp d4b77b2b-9bfc-44c9-8c49-03d92cd85796)) + (segment (start 121.702748 116.316) (end 125.488274 120.101526) (width 0.2) (layer "F.Cu") (net 255) (tstamp 34102f75-eec4-4137-b177-a253daf75101)) + (segment (start 106.819274 151.292726) (end 102.018674 151.292726) (width 0.2) (layer "F.Cu") (net 255) (tstamp 598e6a26-7199-45f0-9124-069c25051161)) + (segment (start 125.488274 120.101526) (end 125.488274 147.533526) (width 0.2) (layer "F.Cu") (net 255) (tstamp 7ac3ded6-3d83-4c58-a0c7-544e08ea0e01)) + (segment (start 108.165474 149.946526) (end 106.819274 151.292726) (width 0.2) (layer "F.Cu") (net 255) (tstamp 815cdfe3-e174-4b44-8aea-a27b710efcf2)) + (segment (start 116.6456 116.316) (end 121.702748 116.316) (width 0.2) (layer "F.Cu") (net 255) (tstamp a06761cc-8fe1-435b-a664-1ed2429add2c)) + (segment (start 125.488274 147.533526) (end 123.075274 149.946526) (width 0.2) (layer "F.Cu") (net 255) (tstamp ce32e748-c67e-4b78-a7c7-4721ee2ff74e)) + (segment (start 123.075274 149.946526) (end 108.165474 149.946526) (width 0.2) (layer "F.Cu") (net 255) (tstamp ddc0da9f-d220-4874-9bc2-c1756e6f3c69)) + (segment (start 121.678274 121.277627) (end 120.216647 119.816) (width 0.2) (layer "F.Cu") (net 256) (tstamp 12838670-00d0-4a80-a4aa-2a1b0a48cabf)) + (segment (start 95.910674 146.212726) (end 99.478674 146.212726) (width 0.2) (layer "F.Cu") (net 256) (tstamp 260de559-4f56-43eb-92ee-136e42e15e77)) + (segment (start 121.678274 130.261526) (end 121.678274 121.277627) (width 0.2) (layer "F.Cu") (net 256) (tstamp 30178716-cf36-47bf-a52d-248ecf4cf8d9)) + (segment (start 120.216647 119.816) (end 116.6456 119.816) (width 0.2) (layer "F.Cu") (net 256) (tstamp 95139360-af94-4467-acab-6a523475d9b7)) + (via (at 121.678274 130.261526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 256) (tstamp 948c26ca-a255-4c8f-8521-1715a538c248)) + (segment (start 98.353674 147.337726) (end 99.478674 146.212726) (width 0.2) (layer "In1.Cu") (net 256) (tstamp 0a9e8258-c86f-43ad-9e8f-b91e8470d131)) + (segment (start 98.353674 149.218717) (end 98.353674 147.337726) (width 0.2) (layer "In1.Cu") (net 256) (tstamp 3775f87d-90a8-40d5-bbd2-a0cb95846953)) + (segment (start 99.208483 150.073526) (end 98.353674 149.218717) (width 0.2) (layer "In1.Cu") (net 256) (tstamp 84a2ed14-1c01-480e-8014-43a0577bc60a)) + (segment (start 105.237588 150.073526) (end 99.208483 150.073526) (width 0.2) (layer "In1.Cu") (net 256) (tstamp 9a30b597-3908-4b60-9a68-4925e2a72051)) + (segment (start 121.678274 133.63284) (end 105.237588 150.073526) (width 0.2) (layer "In1.Cu") (net 256) (tstamp a232a8d1-0828-440e-9f54-7a7f6d0a2575)) + (segment (start 121.678274 130.261526) (end 121.678274 133.63284) (width 0.2) (layer "In1.Cu") (net 256) (tstamp cd996117-a88c-4dbb-9642-a64a23829a82)) + (segment (start 95.929074 148.747726) (end 99.473674 148.747726) (width 0.2) (layer "F.Cu") (net 257) (tstamp 0429ee28-3c09-4e93-833c-1b511533c44c)) + (segment (start 107.9938 119.816) (end 107.454274 120.355526) (width 0.2) (layer "F.Cu") (net 257) (tstamp 29ca04c6-b6df-45bb-a0a5-79b47e217430)) + (segment (start 99.473674 148.747726) (end 99.478674 148.752726) (width 0.2) (layer "F.Cu") (net 257) (tstamp 804c8265-40d1-46a9-80e1-4bbbba5ace0d)) + (segment (start 112.6956 119.816) (end 107.9938 119.816) (width 0.2) (layer "F.Cu") (net 257) (tstamp 9b6e35ee-6223-4887-b7a3-9ffd9c49521d)) + (via (at 107.454274 120.355526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 257) (tstamp b1ddaa7f-bbd5-4ed6-b63f-f263971e5df6)) + (segment (start 104.279274 123.530526) (end 104.279274 146.152717) (width 0.2) (layer "In1.Cu") (net 257) (tstamp 1d44f61c-9fdd-48ec-978f-4518ea310ff9)) + (segment (start 104.279274 146.152717) (end 102.898465 147.533526) (width 0.2) (layer "In1.Cu") (net 257) (tstamp 7c9ababb-af1b-4df0-b4dd-096abbf81ac7)) + (segment (start 107.454274 120.355526) (end 104.279274 123.530526) (width 0.2) (layer "In1.Cu") (net 257) (tstamp b54ac9aa-68d8-4ca2-a543-24d6e3f8d0d7)) + (segment (start 100.697874 147.533526) (end 99.478674 148.752726) (width 0.2) (layer "In1.Cu") (net 257) (tstamp c2bd25d7-4fa0-475e-8792-e809378099a7)) + (segment (start 102.898465 147.533526) (end 100.697874 147.533526) (width 0.2) (layer "In1.Cu") (net 257) (tstamp ddba85f5-975e-4450-8edc-1c56c565bcab)) + (segment (start 108.5728 116.316) (end 108.089274 116.799526) (width 0.2) (layer "F.Cu") (net 258) (tstamp 22986f20-a4b0-48de-921c-6e5754287a29)) + (segment (start 106.780474 153.883526) (end 106.729674 153.832726) (width 0.2) (layer "F.Cu") (net 258) (tstamp 555c881e-0493-431b-b0d9-8be384978ca2)) + (segment (start 112.6956 116.316) (end 108.5728 116.316) (width 0.2) (layer "F.Cu") (net 258) (tstamp 594046f2-d376-4251-b6b4-b5750bf87240)) + (segment (start 106.729674 153.832726) (end 102.018674 153.832726) (width 0.2) (layer "F.Cu") (net 258) (tstamp 92a26195-8908-474d-bb4f-9bb01a86e5a3)) + (via (at 108.089274 116.799526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 258) (tstamp 511f9a4c-c5f0-47ca-a2ea-6d207b419154)) + (segment (start 108.089274 116.799526) (end 108.535274 116.353526) (width 0.2) (layer "B.Cu") (net 258) (tstamp 097ac8db-6e96-4b2d-a80f-56effa1ba438)) + (segment (start 108.535274 116.353526) (end 109.675274 116.353526) (width 0.2) (layer "B.Cu") (net 258) (tstamp 58f7464b-52c3-4a61-bc30-0a039b954553)) + (segment (start 109.675274 116.353526) (end 110.121274 116.799526) (width 0.2) (layer "B.Cu") (net 258) (tstamp 99c1453b-a237-40a8-807f-f9c2bbbc93eb)) + (segment (start 110.121274 145.730126) (end 102.018674 153.832726) (width 0.2) (layer "B.Cu") (net 258) (tstamp 9fb9ebb1-672c-4dda-a503-d4c2f683a9ce)) + (segment (start 110.121274 116.799526) (end 110.121274 145.730126) (width 0.2) (layer "B.Cu") (net 258) (tstamp e241f892-09e4-4b44-905a-80f47fc6691d)) + (segment (start 122.207748 115.316) (end 116.6456 115.316) (width 0.2) (layer "F.Cu") (net 259) (tstamp 1dec5e1d-d70a-47b0-bd99-03ec71701ec8)) + (segment (start 142.392674 135.951126) (end 146.290874 135.951126) (width 0.2) (layer "F.Cu") (net 259) (tstamp 8d1f63ec-ef6c-4aef-aa33-eeff491062f2)) + (segment (start 146.290874 135.951126) (end 146.316274 135.976526) (width 0.2) (layer "F.Cu") (net 259) (tstamp 9170ec7f-e1f7-45ad-bfee-99bea9fb5220)) + (segment (start 122.980274 116.088526) (end 122.207748 115.316) (width 0.2) (layer "F.Cu") (net 259) (tstamp b2bfb571-86fe-4156-afdb-a810af67c0e5)) + (via (at 122.980274 116.088526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 259) (tstamp 963799c4-89ca-4d18-ba65-e5ee06295d0f)) + (segment (start 123.285274 115.783526) (end 128.536274 115.783526) (width 0.2) (layer "In1.Cu") (net 259) (tstamp 2d9cae0f-daf7-4a4a-bd42-aba056deee6f)) + (segment (start 146.316274 133.563526) (end 146.316274 135.976526) (width 0.2) (layer "In1.Cu") (net 259) (tstamp 4eb97a92-8efd-403a-aa9f-986ea8006e1c)) + (segment (start 122.980274 116.088526) (end 123.285274 115.783526) (width 0.2) (layer "In1.Cu") (net 259) (tstamp 97c4cd2d-eb12-49d7-b514-fe02a03fab95)) + (segment (start 128.536274 115.783526) (end 146.316274 133.563526) (width 0.2) (layer "In1.Cu") (net 259) (tstamp d761cec6-04e8-4c3a-959a-9b075e108206)) + (segment (start 106.692274 115.783526) (end 106.692274 115.656526) (width 0.2) (layer "F.Cu") (net 260) (tstamp 02e48b8d-d2f1-40e0-a304-05fdbfd0af85)) + (segment (start 146.316274 138.516526) (end 142.418074 138.516526) (width 0.2) (layer "F.Cu") (net 260) (tstamp 119f6d0e-60bc-4a04-ab2c-36f49f77b227)) + (segment (start 107.0328 115.316) (end 106.692274 115.783526) (width 0.2) (layer "F.Cu") (net 260) (tstamp 944c9b91-1e40-4386-988b-9196478573d7)) + (segment (start 142.418074 138.516526) (end 142.392674 138.491126) (width 0.2) (layer "F.Cu") (net 260) (tstamp a1dcc7e7-6813-48ea-9361-a93cac204d96)) + (segment (start 112.6956 115.316) (end 107.0328 115.316) (width 0.2) (layer "F.Cu") (net 260) (tstamp c2d43824-1f2e-46cb-a4a9-0ec356322fd4)) + (via (at 106.692274 115.783526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 260) (tstamp e920a061-7b02-4ed7-8bd6-88058067697e)) + (segment (start 105.132324 114.321526) (end 111.617376 114.321526) (width 0.2) (layer "B.Cu") (net 260) (tstamp 075e5303-1551-4b1b-b204-b6f7c951cf2b)) + (segment (start 111.617376 114.321526) (end 135.812376 138.516526) (width 0.2) (layer "B.Cu") (net 260) (tstamp 7f2cad20-7c79-40c7-bf0f-4b9cc4e53fde)) + (segment (start 104.722274 115.311476) (end 104.722274 114.731576) (width 0.2) (layer "B.Cu") (net 260) (tstamp 86888a1d-5617-4468-947f-920542504608)) + (segment (start 104.722274 114.731576) (end 105.132324 114.321526) (width 0.2) (layer "B.Cu") (net 260) (tstamp 9319d981-5788-431b-9c39-d288e6113bd8)) + (segment (start 135.812376 138.516526) (end 146.316274 138.516526) (width 0.2) (layer "B.Cu") (net 260) (tstamp 9e052cd0-4f67-42e6-8447-b97398a8def1)) + (segment (start 106.692274 115.783526) (end 105.194324 115.783526) (width 0.2) (layer "B.Cu") (net 260) (tstamp ba177f47-4cbc-4fde-9eca-fce4b118a55e)) + (segment (start 105.194324 115.783526) (end 104.722274 115.311476) (width 0.2) (layer "B.Cu") (net 260) (tstamp cd7736d9-2a97-4b66-921c-7743598f0691)) + (segment (start 142.392674 141.031126) (end 146.290874 141.031126) (width 0.2) (layer "F.Cu") (net 261) (tstamp 4850600a-d5c0-4b4f-9c21-2ba1291e3e87)) + (segment (start 122.059274 114.616) (end 121.859274 114.816) (width 0.2) (layer "F.Cu") (net 261) (tstamp 82ecc08e-3b7a-491e-8efa-715b77396c4a)) + (segment (start 146.290874 141.031126) (end 146.316274 141.056526) (width 0.2) (layer "F.Cu") (net 261) (tstamp d9192a8f-a903-4d5d-8fc1-1d08e505795d)) + (segment (start 121.859274 114.816) (end 116.6456 114.816) (width 0.2) (layer "F.Cu") (net 261) (tstamp dd69ec8a-8836-4dca-97b8-189e3b6dcbf1)) + (via (at 122.059274 114.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 261) (tstamp a0489988-0219-4a39-98b9-abf9759c204e)) + (segment (start 112.662798 114.616) (end 108.939324 110.892526) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 007db881-6783-49a8-9b08-b544aa2642fd)) + (segment (start 106.910324 110.892526) (end 106.246274 111.556576) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 085fc259-219f-450d-a3b4-1798564d90d0)) + (segment (start 106.246274 112.136476) (end 135.166324 141.056526) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 22647b7b-4633-47fe-91fd-4a68bcde8e57)) + (segment (start 122.059274 114.616) (end 112.662798 114.616) (width 0.2) (layer "In2.Cu") (net 261) (tstamp 7c5cbde9-05be-4d23-9c5d-e4bf493910a4)) + (segment (start 135.166324 141.056526) (end 146.316274 141.056526) (width 0.2) (layer "In2.Cu") (net 261) (tstamp ac131733-81b4-42ad-a813-a31e0b5a3edd)) + (segment (start 108.939324 110.892526) (end 106.910324 110.892526) (width 0.2) (layer "In2.Cu") (net 261) (tstamp d61c6f80-77aa-4161-880b-12be10ca259c)) + (segment (start 106.246274 111.556576) (end 106.246274 112.136476) (width 0.2) (layer "In2.Cu") (net 261) (tstamp ece19f2e-fc7b-4134-a406-9ac7906ac580)) + (segment (start 106.66985 114.816) (end 112.6956 114.816) (width 0.2) (layer "F.Cu") (net 262) (tstamp 34ff4825-1ee3-4280-ad7f-e25c5e1838eb)) + (segment (start 146.316274 143.596526) (end 142.418074 143.596526) (width 0.2) (layer "F.Cu") (net 262) (tstamp 3ce0d795-5852-4803-aba6-ad2e020b5526)) + (segment (start 105.422274 116.063576) (end 106.66985 114.816) (width 0.2) (layer "F.Cu") (net 262) (tstamp 4c084ac4-5375-45d8-b4da-98b752bd77bc)) + (segment (start 142.418074 143.596526) (end 142.392674 143.571126) (width 0.2) (layer "F.Cu") (net 262) (tstamp 537a7a97-72e3-41fd-a643-d8aea7b558db)) + (segment (start 105.422274 116.545526) (end 105.422274 116.063576) (width 0.2) (layer "F.Cu") (net 262) (tstamp 6409e23b-3fb3-4971-a323-e579941e09ee)) + (via (at 105.422274 116.545526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 262) (tstamp 6d6bcc61-75c8-4b4c-9bf8-04e64c1a883e)) + (segment (start 145.850283 154.881526) (end 146.782265 154.881526) (width 0.2) (layer "In2.Cu") (net 262) (tstamp 2a3bf97e-3178-4b19-b5a0-c2fe33fcb57a)) + (segment (start 106.718324 116.799526) (end 106.73875 116.799526) (width 0.2) (layer "In2.Cu") (net 262) (tstamp 3532cc55-9af3-448a-a408-50ce746dfe18)) + (segment (start 134.600639 141.622212) (end 134.600639 143.631882) (width 0.2) (layer "In2.Cu") (net 262) (tstamp 8a0f4e21-36fe-4726-bc29-3afa58e246d3)) + (segment (start 147.441274 154.222517) (end 147.441274 144.721526) (width 0.2) (layer "In2.Cu") (net 262) (tstamp 8a2d7f27-d914-40fa-b286-2c34db23e771)) + (segment (start 107.265274 117.684211) (end 115.416589 125.835526) (width 0.2) (layer "In2.Cu") (net 262) (tstamp 8c8ce4b8-2e14-494f-a569-3d2eb4172b03)) + (segment (start 147.441274 144.721526) (end 146.316274 143.596526) (width 0.2) (layer "In2.Cu") (net 262) (tstamp 9444c720-a52b-4cd8-94f2-d6a3b2f6c4a3)) + (segment (start 118.813953 125.835526) (end 134.600639 141.622212) (width 0.2) (layer "In2.Cu") (net 262) (tstamp 97721f1c-2a3c-4bdc-8833-fe2688eea9b6)) + (segment (start 107.265274 117.32605) (end 107.265274 117.684211) (width 0.2) (layer "In2.Cu") (net 262) (tstamp a9a23bf5-89ec-43a2-8070-57bb7694ae45)) + (segment (start 105.422274 116.545526) (end 106.464324 116.545526) (width 0.2) (layer "In2.Cu") (net 262) (tstamp c292d384-989d-480e-8b79-32af66d7641e)) + (segment (start 106.73875 116.799526) (end 107.265274 117.32605) (width 0.2) (layer "In2.Cu") (net 262) (tstamp c48f2b99-ad86-4b81-893f-72b0a4f4d4df)) + (segment (start 106.464324 116.545526) (end 106.718324 116.799526) (width 0.2) (layer "In2.Cu") (net 262) (tstamp c907bccc-0054-4b6d-870d-d7c9f76de2e8)) + (segment (start 115.416589 125.835526) (end 118.813953 125.835526) (width 0.2) (layer "In2.Cu") (net 262) (tstamp e4726a33-4765-4ff2-94d4-bc45d0c12e0d)) + (segment (start 134.600639 143.631882) (end 145.850283 154.881526) (width 0.2) (layer "In2.Cu") (net 262) (tstamp e964afad-39d9-4646-a08b-1259b71b6684)) + (segment (start 146.782265 154.881526) (end 147.441274 154.222517) (width 0.2) (layer "In2.Cu") (net 262) (tstamp f93ef20f-f9a9-448b-ad6a-7422bd15d27a)) + (segment (start 142.392674 146.111126) (end 146.290874 146.111126) (width 0.2) (layer "F.Cu") (net 263) (tstamp 269b78a3-0825-4feb-a859-213419fe53e4)) + (segment (start 122.053605 113.552045) (end 121.78965 113.816) (width 0.2) (layer "F.Cu") (net 263) (tstamp c22b0731-e214-438d-b13c-624c14bec708)) + (segment (start 121.78965 113.816) (end 116.6456 113.816) (width 0.2) (layer "F.Cu") (net 263) (tstamp cbe8f901-f6d1-4952-8f3e-49b893f84a97)) + (segment (start 146.290874 146.111126) (end 146.316274 146.136526) (width 0.2) (layer "F.Cu") (net 263) (tstamp f41dd0ac-ea5d-4c5b-aaf0-9610a6f21997)) + (via (at 122.059274 113.552045) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 263) (tstamp f6c472a0-ba14-4ddb-8154-c73b708e17f1)) + (segment (start 149.981274 141.522517) (end 149.032265 142.471526) (width 0.2) (layer "In2.Cu") (net 263) (tstamp 1f7762ed-2959-4b1a-96d9-5e86e4d61044)) + (segment (start 149.032265 137.101526) (end 149.981274 138.050535) (width 0.2) (layer "In2.Cu") (net 263) (tstamp 3b3be99b-cf95-4b37-b8d1-07ab9edcc42f)) + (segment (start 145.191274 136.684045) (end 145.191274 137.101526) (width 0.2) (layer "In2.Cu") (net 263) (tstamp 46223885-c5c8-4758-9a30-dc1832b104cc)) + (segment (start 149.981274 138.050535) (end 149.981274 141.522517) (width 0.2) (layer "In2.Cu") (net 263) (tstamp 67b971a0-c4c1-4e3e-8cac-9ab277b3e44d)) + (segment (start 145.191274 145.011526) (end 146.316274 146.136526) (width 0.2) (layer "In2.Cu") (net 263) (tstamp 6ad1192c-a48d-413b-8272-ca0788253656)) + (segment (start 145.191274 143.070526) (end 145.191274 145.011526) (width 0.2) (layer "In2.Cu") (net 263) (tstamp 7f5f8870-27e5-4947-8439-ec7979f97582)) + (segment (start 145.191274 137.101526) (end 149.032265 137.101526) (width 0.2) (layer "In2.Cu") (net 263) (tstamp 8cccd24f-ba8d-48d2-859a-65107a71db9b)) + (segment (start 149.032265 142.471526) (end 145.790274 142.471526) (width 0.2) (layer "In2.Cu") (net 263) (tstamp 9c732f51-d211-4602-a6b1-0a2c93aea706)) + (segment (start 122.059274 113.552045) (end 145.191274 136.684045) (width 0.2) (layer "In2.Cu") (net 263) (tstamp 9ecee284-ceaa-45bd-9e37-ff8e288e282c)) + (segment (start 145.790274 142.471526) (end 145.191274 143.070526) (width 0.2) (layer "In2.Cu") (net 263) (tstamp dfe04650-d5cc-4c41-be37-a59d9281f163)) + (segment (start 105.422274 115.021526) (end 106.6278 113.816) (width 0.2) (layer "F.Cu") (net 264) (tstamp 5dd9f8d5-b865-4741-89f9-48a31e58b484)) + (segment (start 106.6278 113.816) (end 112.6956 113.816) (width 0.2) (layer "F.Cu") (net 264) (tstamp a3f024e8-a034-4bd1-86ea-5e8f7905a0d2)) + (segment (start 142.418074 148.676526) (end 142.392674 148.651126) (width 0.2) (layer "F.Cu") (net 264) (tstamp bc100060-edab-4bc6-8950-61ba8b7d4a18)) + (segment (start 146.316274 148.676526) (end 142.418074 148.676526) (width 0.2) (layer "F.Cu") (net 264) (tstamp f0176f6a-a9d7-400a-95f2-9fc825b01d2a)) + (via (at 105.422274 115.021526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 264) (tstamp 49ac1283-5fe7-4062-b1e1-3ebba49ed044)) + (segment (start 143.051376 148.676526) (end 146.316274 148.676526) (width 0.2) (layer "B.Cu") (net 264) (tstamp 2cd41cf3-e184-4008-895d-77747e2cd313)) + (segment (start 109.396376 115.021526) (end 143.051376 148.676526) (width 0.2) (layer "B.Cu") (net 264) (tstamp 49e26f06-760f-4ce2-b408-4e833d96658b)) + (segment (start 105.422274 115.021526) (end 109.396376 115.021526) (width 0.2) (layer "B.Cu") (net 264) (tstamp cf612082-9fe0-4d42-8870-3e5c38a0caa6)) + (segment (start 142.392674 151.191126) (end 146.290874 151.191126) (width 0.2) (layer "F.Cu") (net 265) (tstamp 066c133f-b6f5-4bf8-b4d8-ec8326f69d44)) + (segment (start 121.305369 113.316) (end 116.6456 113.316) (width 0.2) (layer "F.Cu") (net 265) (tstamp 0e7d8648-2354-4dab-8bb2-a483f8a9e5d9)) + (segment (start 123.440274 112.568526) (end 122.052843 112.568526) (width 0.2) (layer "F.Cu") (net 265) (tstamp 27648f11-9d2c-4908-a7df-8b46ad353197)) + (segment (start 122.052843 112.568526) (end 121.305369 113.316) (width 0.2) (layer "F.Cu") (net 265) (tstamp 2cf25ef0-7349-4c1d-a0e4-45d59f6e8ddd)) + (segment (start 146.290874 151.191126) (end 146.316274 151.216526) (width 0.2) (layer "F.Cu") (net 265) (tstamp 43a508a1-88eb-40c6-a12a-32098c943fbd)) + (via (at 123.440274 112.568526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 265) (tstamp a84411c1-d88c-4e73-9334-01a7037bc7e9)) + (segment (start 147.586274 134.833526) (end 147.586274 149.946526) (width 0.2) (layer "B.Cu") (net 265) (tstamp 4502c7e6-6cf1-4dcc-812b-7fc4fbf91f96)) + (segment (start 123.440274 112.568526) (end 125.321274 112.568526) (width 0.2) (layer "B.Cu") (net 265) (tstamp 7766ea07-e9bf-4405-8c2f-0326e0957264)) + (segment (start 147.586274 149.946526) (end 146.316274 151.216526) (width 0.2) (layer "B.Cu") (net 265) (tstamp 8b9a16cf-fd6c-4896-bd82-e66d05bda830)) + (segment (start 125.321274 112.568526) (end 147.586274 134.833526) (width 0.2) (layer "B.Cu") (net 265) (tstamp a639241d-c07c-4c09-b8c0-2df42cc4e442)) + (segment (start 142.494274 153.756526) (end 142.392674 153.858126) (width 0.2) (layer "F.Cu") (net 266) (tstamp 502ff059-7535-4e65-830e-ee3a7d2b240b)) + (segment (start 146.316274 153.756526) (end 142.494274 153.756526) (width 0.2) (layer "F.Cu") (net 266) (tstamp 8b2e4f75-b366-41e8-bd54-b3483e3b0b11)) + (segment (start 105.716754 113.316) (end 112.6956 113.316) (width 0.2) (layer "F.Cu") (net 266) (tstamp 94559db1-5e68-4fc6-a344-1123be8e7217)) + (segment (start 105.676274 113.27552) (end 105.716754 113.316) (width 0.2) (layer "F.Cu") (net 266) (tstamp cad25d1a-6832-428a-86fa-0fa207076b7c)) + (via (at 105.676274 113.27552) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 266) (tstamp 9ea16952-0936-40db-ab4d-b12c350f7030)) + (segment (start 115.582274 125.435526) (end 118.979639 125.435526) (width 0.2) (layer "In2.Cu") (net 266) (tstamp 08a91ba4-1871-4d36-878b-d0af3f7636a7)) + (segment (start 118.979639 125.435526) (end 135.000639 141.456526) (width 0.2) (layer "In2.Cu") (net 266) (tstamp 2db0c33f-8896-4e98-9be8-6189b11eea28)) + (segment (start 135.000639 141.456526) (end 135.000639 142.440891) (width 0.2) (layer "In2.Cu") (net 266) (tstamp 324fb5cd-2d6b-433a-aab6-f508093ce116)) + (segment (start 109.932274 119.785526) (end 115.582274 125.435526) (width 0.2) (layer "In2.Cu") (net 266) (tstamp 6fcce848-5b15-4ecc-8cac-fded07a14cb5)) + (segment (start 105.676274 113.27552) (end 106.444218 113.27552) (width 0.2) (layer "In2.Cu") (net 266) (tstamp 784bfc68-8d56-4285-be92-824d5819be8e)) + (segment (start 109.932274 116.763576) (end 109.932274 119.785526) (width 0.2) (layer "In2.Cu") (net 266) (tstamp e897a6fd-f39d-41a2-845f-7c0b6891ee0a)) + (segment (start 135.000639 142.440891) (end 146.316274 153.756526) (width 0.2) (layer "In2.Cu") (net 266) (tstamp eb44e41c-f5b5-4f3c-9763-d977217d04ed)) + (segment (start 106.444218 113.27552) (end 109.932274 116.763576) (width 0.2) (layer "In2.Cu") (net 266) (tstamp eb682ad8-ebe8-4d0d-b507-271446381461)) + (segment (start 165.5846 94.5862) (end 163.8144 92.816) (width 0.2) (layer "F.Cu") (net 282) (tstamp 5761cbd3-4fd3-4ed0-b514-62a709f33423)) + (segment (start 163.8144 92.816) (end 161.6456 92.816) (width 0.2) (layer "F.Cu") (net 282) (tstamp 6616c0b4-616a-4a56-b9f6-0c9154561fc6)) + (via (at 165.5846 94.5862) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 282) (tstamp a4e32b37-956f-4611-ad22-7b6e5263a186)) + (segment (start 167.6054 88.420458) (end 171.249333 84.776526) (width 0.2) (layer "B.Cu") (net 282) (tstamp 25e5289b-25b3-48a5-a3dd-2d0886a3ff84)) + (segment (start 167.6054 93.42835) (end 167.6054 88.420458) (width 0.2) (layer "B.Cu") (net 282) (tstamp 339270c8-ba30-44fc-84a5-fc79f807b0bc)) + (segment (start 166.44755 94.5862) (end 167.6054 93.42835) (width 0.2) (layer "B.Cu") (net 282) (tstamp 5289641b-d638-47f4-b624-97cae64ebe31)) + (segment (start 171.249333 84.776526) (end 196.246274 84.776526) (width 0.2) (layer "B.Cu") (net 282) (tstamp 67bafb77-f2b5-4da1-ba3c-8b87b7225ffd)) + (segment (start 196.246274 84.776526) (end 199.402274 81.620526) (width 0.2) (layer "B.Cu") (net 282) (tstamp 793c6aa9-dc77-4adf-a67c-f4044f7e4a08)) + (segment (start 165.5846 94.5862) (end 166.44755 94.5862) (width 0.2) (layer "B.Cu") (net 282) (tstamp ee1ca191-37a5-41ee-95fc-4708aa561e6d)) + (segment (start 164.4416 94.9672) (end 162.7904 93.316) (width 0.2) (layer "F.Cu") (net 283) (tstamp 09153325-1d46-474a-ae8f-8584e66bde73)) + (segment (start 162.7904 93.316) (end 161.6456 93.316) (width 0.2) (layer "F.Cu") (net 283) (tstamp ca306ec5-e0de-47a4-83ff-51802d77fb96)) + (via (at 164.4416 94.9672) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 283) (tstamp e6a22e7d-14ef-49e4-a835-4b9ff2b9adb8)) + (segment (start 200.672274 80.350526) (end 199.402274 79.080526) (width 0.2) (layer "B.Cu") (net 283) (tstamp 1ec7be32-89f7-41f7-8dab-e6651385dbf5)) + (segment (start 164.4416 94.9672) (end 164.7606 95.2862) (width 0.2) (layer "B.Cu") (net 283) (tstamp 35b889f5-9289-4085-94e1-a8be8cd1d41c)) + (segment (start 200.672274 81.99455) (end 200.672274 80.350526) (width 0.2) (layer "B.Cu") (net 283) (tstamp 3c606ca6-b1d7-424e-ad8a-c9d2a17faf3e)) + (segment (start 171.415018 85.176526) (end 197.490298 85.176526) (width 0.2) (layer "B.Cu") (net 283) (tstamp 4e2e8629-3488-4f72-85fd-bd2054d68086)) + (segment (start 168.0054 93.594035) (end 168.0054 88.586144) (width 0.2) (layer "B.Cu") (net 283) (tstamp c1a01861-e285-4760-a539-cdc7225d8621)) + (segment (start 166.313235 95.2862) (end 168.0054 93.594035) (width 0.2) (layer "B.Cu") (net 283) (tstamp d760b9e1-6b13-48ca-a4da-02707ab5122c)) + (segment (start 168.0054 88.586144) (end 171.415018 85.176526) (width 0.2) (layer "B.Cu") (net 283) (tstamp e069e8ec-c0a0-42b3-9ab5-6d88fe66c6df)) + (segment (start 197.490298 85.176526) (end 200.672274 81.99455) (width 0.2) (layer "B.Cu") (net 283) (tstamp e7538a56-cdfc-4ef6-8d25-72e81e48c27b)) + (segment (start 164.7606 95.2862) (end 166.313235 95.2862) (width 0.2) (layer "B.Cu") (net 283) (tstamp e9c9583f-a73a-41a4-8d78-bbbfd8b768a6)) + (segment (start 166.4736 93.5702) (end 164.7194 91.816) (width 0.2) (layer "F.Cu") (net 284) (tstamp 03d8f97a-1d25-4746-93d1-b0bd1552d2c7)) + (segment (start 164.7194 91.816) (end 161.6456 91.816) (width 0.2) (layer "F.Cu") (net 284) (tstamp 2c2a4e78-7a1e-4178-b7ad-9368e5cf6735)) + (via (at 166.4736 93.5702) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 284) (tstamp 8f9ebc16-da15-463b-b422-cc1f3f09e60f)) + (segment (start 171.083647 84.376526) (end 167.2054 88.254772) (width 0.2) (layer "B.Cu") (net 284) (tstamp 3c5a415c-7670-4ca4-b897-098e73fabb6e)) + (segment (start 197.789274 78.153526) (end 197.789274 81.135816) (width 0.2) (layer "B.Cu") (net 284) (tstamp 426a590f-bff2-42c1-9227-5394494ae7f6)) + (segment (start 167.2054 92.8384) (end 166.4736 93.5702) (width 0.2) (layer "B.Cu") (net 284) (tstamp 4b235deb-7142-4d48-b640-11f9072e47a3)) + (segment (start 199.402274 76.540526) (end 197.789274 78.153526) (width 0.2) (layer "B.Cu") (net 284) (tstamp 503b2e66-ac1a-4457-a431-d7bee4fa6a0b)) + (segment (start 197.789274 81.135816) (end 194.548564 84.376526) (width 0.2) (layer "B.Cu") (net 284) (tstamp 5cfeba92-5732-4200-8897-2c0041c8686a)) + (segment (start 194.548564 84.376526) (end 171.083647 84.376526) (width 0.2) (layer "B.Cu") (net 284) (tstamp 7a04eae3-4653-42f6-9ad4-d6ec5b8ca497)) + (segment (start 167.2054 88.254772) (end 167.2054 92.8384) (width 0.2) (layer "B.Cu") (net 284) (tstamp 7eb9c5cc-62c7-4c39-bc19-c24d31d509e6)) + (segment (start 148.3126 91.7922) (end 148.3364 91.816) (width 0.2) (layer "F.Cu") (net 285) (tstamp 1fe91bdd-b852-43f7-bcda-20082caa9180)) + (segment (start 148.3364 91.816) (end 157.6956 91.816) (width 0.2) (layer "F.Cu") (net 285) (tstamp 6243ec6e-bfd3-47ae-a2bb-4958042494b2)) + (via (at 148.3126 91.7922) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 285) (tstamp 1a99e910-15b7-4f41-ba56-0fb482183ebd)) + (segment (start 154.73965 91.816) (end 148.3364 91.816) (width 0.2) (layer "B.Cu") (net 285) (tstamp 2d97c239-03ae-494c-bf3d-b5264184c01c)) + (segment (start 177.804874 66.489526) (end 167.229169 66.489526) (width 0.2) (layer "B.Cu") (net 285) (tstamp 350aaaa5-01f1-45c7-8bb8-ac18174077fa)) + (segment (start 163.0636 83.49205) (end 154.73965 91.816) (width 0.2) (layer "B.Cu") (net 285) (tstamp 761c9c1a-85fb-4ba2-86c1-e30592744d61)) + (segment (start 163.0636 70.655095) (end 163.0636 83.49205) (width 0.2) (layer "B.Cu") (net 285) (tstamp a7539a1c-d441-414c-bdaf-7dc8a305e415)) + (segment (start 167.229169 66.489526) (end 163.0636 70.655095) (width 0.2) (layer "B.Cu") (net 285) (tstamp aed118f5-2044-4586-8e1c-07e43e7f61bf)) + (segment (start 148.3364 91.816) (end 148.3126 91.7922) (width 0.2) (layer "B.Cu") (net 285) (tstamp be30080f-1067-4a1f-8e3d-954c7253a44b)) + (segment (start 177.812274 66.482126) (end 177.804874 66.489526) (width 0.2) (layer "B.Cu") (net 285) (tstamp fa58a036-0079-4a97-afcd-8cb637e47e63)) + (segment (start 166.5054 91.316) (end 161.6456 91.316) (width 0.2) (layer "F.Cu") (net 286) (tstamp f2aaed66-aeec-4bf8-a642-c278a5c7a86f)) + (via (at 166.5054 91.316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 286) (tstamp cbca8086-e754-4556-b51d-b0eb112e8c04)) + (segment (start 166.5054 88.389086) (end 166.5054 91.316) (width 0.2) (layer "B.Cu") (net 286) (tstamp 18a81799-f4b4-43b2-987b-45cb790ff1e2)) + (segment (start 194.382878 83.976526) (end 170.917961 83.976526) (width 0.2) (layer "B.Cu") (net 286) (tstamp 1acfcb38-04f2-4f94-871c-8788d79cf632)) + (segment (start 199.402274 74.000526) (end 197.389274 76.013526) (width 0.2) (layer "B.Cu") (net 286) (tstamp 44291994-965f-480c-9b80-434af751d01b)) + (segment (start 197.389274 76.013526) (end 197.389274 80.97013) (width 0.2) (layer "B.Cu") (net 286) (tstamp b4f1eeb8-0379-455e-9cae-cc47cee618c1)) + (segment (start 197.389274 80.97013) (end 194.382878 83.976526) (width 0.2) (layer "B.Cu") (net 286) (tstamp ce68b1d8-e0ec-47be-b5fd-7f3055353bc0)) + (segment (start 170.917961 83.976526) (end 166.5054 88.389086) (width 0.2) (layer "B.Cu") (net 286) (tstamp d50d4910-8843-4dd2-b072-50ed01049e87)) + (segment (start 149.7826 91.316) (end 157.6956 91.316) (width 0.2) (layer "F.Cu") (net 287) (tstamp 2e6e1e65-0e4d-4d89-b524-1330c1a2556a)) + (segment (start 149.5826 91.116) (end 149.7826 91.316) (width 0.2) (layer "F.Cu") (net 287) (tstamp c6ce359b-0500-4b42-8eae-fa9c1a14dee8)) + (via (at 149.5826 91.116) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 287) (tstamp 30f7c5d6-075f-430f-a7e5-c02fa85ac2af)) + (segment (start 162.6636 83.2056) (end 162.6636 68.3212) (width 0.2) (layer "B.Cu") (net 287) (tstamp 2f352731-b739-48c7-b646-c54ff9853863)) + (segment (start 149.5826 91.116) (end 154.7532 91.116) (width 0.2) (layer "B.Cu") (net 287) (tstamp 842b6a41-f96d-4515-9110-78ec15d7e85d)) + (segment (start 167.050074 63.949526) (end 177.804874 63.949526) (width 0.2) (layer "B.Cu") (net 287) (tstamp 924b91a8-331c-42c2-8fe4-9f374ae45594)) + (segment (start 162.6636 68.3212) (end 167.042674 63.942126) (width 0.2) (layer "B.Cu") (net 287) (tstamp abccf100-c5ff-492b-8c39-97bab7ad924c)) + (segment (start 177.804874 63.949526) (end 177.812274 63.942126) (width 0.2) (layer "B.Cu") (net 287) (tstamp b9aef378-0e27-46d9-94b0-db45fef545e5)) + (segment (start 154.7532 91.116) (end 162.6636 83.2056) (width 0.2) (layer "B.Cu") (net 287) (tstamp ea1ad873-7065-4bd1-b198-e5f0f64de705)) + (segment (start 167.042674 63.942126) (end 167.050074 63.949526) (width 0.2) (layer "B.Cu") (net 287) (tstamp eb993be6-2b14-480f-a030-b8e03de28af5)) + (segment (start 151.1858 90.316) (end 157.6956 90.316) (width 0.2) (layer "F.Cu") (net 288) (tstamp 60f54d4c-5ced-4ce5-9789-f5a47351c989)) + (segment (start 151.1066 90.3952) (end 151.1858 90.316) (width 0.2) (layer "F.Cu") (net 288) (tstamp 89305af9-53b9-4e2a-91b5-c3addc403e1b)) + (via (at 151.1066 90.3952) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 288) (tstamp fae03f52-cab3-4bbc-9a31-cc3f5366970a)) + (segment (start 159.6726 85.330636) (end 154.608036 90.3952) (width 0.2) (layer "B.Cu") (net 288) (tstamp 0141be8f-2e77-47fe-912f-37969347f063)) + (segment (start 154.608036 90.3952) (end 151.1066 90.3952) (width 0.2) (layer "B.Cu") (net 288) (tstamp 1a1df225-83d5-49a2-a01c-f79b8b106418)) + (segment (start 177.449274 58.869526) (end 169.194274 58.869526) (width 0.2) (layer "B.Cu") (net 288) (tstamp 77e9aa57-27fb-4204-943f-67481840fc0a)) + (segment (start 177.812274 58.506526) (end 177.449274 58.869526) (width 0.2) (layer "B.Cu") (net 288) (tstamp bf8da05a-3f7e-4127-9c08-c3a874ff91e9)) + (segment (start 169.194274 58.869526) (end 159.6726 68.3912) (width 0.2) (layer "B.Cu") (net 288) (tstamp daa05735-ded4-49ce-a3df-bb684335522a)) + (segment (start 159.6726 68.3912) (end 159.6726 85.330636) (width 0.2) (layer "B.Cu") (net 288) (tstamp edbdcf45-4ba4-4622-a283-0befb6a8fea8)) + (segment (start 164.5686 89.7602) (end 164.5128 89.816) (width 0.2) (layer "F.Cu") (net 289) (tstamp 059b7cad-6597-4ef7-8e70-7f35bc769c02)) + (segment (start 164.5128 89.816) (end 161.6456 89.816) (width 0.2) (layer "F.Cu") (net 289) (tstamp 80481fe1-33ce-4a7d-8538-41765dfa245f)) + (via (at 164.5686 89.7602) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 289) (tstamp c878f889-b663-4b60-b23f-5b4a47ad2d3e)) + (segment (start 199.402274 71.460526) (end 196.989274 73.873526) (width 0.2) (layer "B.Cu") (net 289) (tstamp 38a62cb7-10b7-4df3-b481-d1320147e0c7)) + (segment (start 196.989274 80.804444) (end 194.217192 83.576526) (width 0.2) (layer "B.Cu") (net 289) (tstamp 834a6d11-82a7-4220-bcea-1680562e6cd2)) + (segment (start 170.752275 83.576526) (end 164.5686 89.7602) (width 0.2) (layer "B.Cu") (net 289) (tstamp c5a32e09-456e-4e8a-89b2-e3de6f76da41)) + (segment (start 196.989274 73.873526) (end 196.989274 80.804444) (width 0.2) (layer "B.Cu") (net 289) (tstamp e984b1b6-6320-4117-95c9-7276fc2f0b51)) + (segment (start 194.217192 83.576526) (end 170.752275 83.576526) (width 0.2) (layer "B.Cu") (net 289) (tstamp eea60e8b-0077-4f5c-bc5e-500fd7b37dfe)) + (segment (start 157.6456 89.866) (end 157.6956 89.816) (width 0.2) (layer "F.Cu") (net 290) (tstamp 5d47cc08-a768-4798-89da-b5c637e9a5a5)) + (segment (start 152.6266 89.866) (end 157.6456 89.866) (width 0.2) (layer "F.Cu") (net 290) (tstamp db8304a1-a09e-4f5f-be51-6b0dc25abbe3)) + (segment (start 152.3766 89.616) (end 152.6266 89.866) (width 0.2) (layer "F.Cu") (net 290) (tstamp dd13209a-659e-4ec6-a7c9-4213ce03c36f)) + (via (at 152.3766 89.616) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 290) (tstamp 109dc634-f040-403f-aee1-6d5bea5c88a1)) + (segment (start 168.907474 55.966526) (end 159.2726 65.6014) (width 0.2) (layer "B.Cu") (net 290) (tstamp 25894728-7c51-4d0b-ba09-b61ea53fcfff)) + (segment (start 159.2726 85.16495) (end 154.57155 89.866) (width 0.2) (layer "B.Cu") (net 290) (tstamp 37023abe-9812-4f91-81d3-d4696c539e19)) + (segment (start 152.6266 89.866) (end 152.3766 89.616) (width 0.2) (layer "B.Cu") (net 290) (tstamp 6e5c609f-481e-4343-8977-ed1dbd32f103)) + (segment (start 159.2726 65.6014) (end 159.2726 85.16495) (width 0.2) (layer "B.Cu") (net 290) (tstamp 7d899f64-c9dc-470c-be8b-856b17d92d98)) + (segment (start 154.57155 89.866) (end 152.6266 89.866) (width 0.2) (layer "B.Cu") (net 290) (tstamp a8db218a-ffb9-4a52-a811-f469b474d7d7)) + (segment (start 177.812274 55.966526) (end 168.907474 55.966526) (width 0.2) (layer "B.Cu") (net 290) (tstamp ea08c0cd-115b-42fe-a13d-692406e7431d)) + (segment (start 172.792104 81.722126) (end 171.542989 82.971241) (width 0.2) (layer "F.Cu") (net 291) (tstamp 7bc163cf-7764-4c6b-a750-1a992d458911)) + (segment (start 171.542989 82.971241) (end 172.792103 81.722126) (width 0.2) (layer "F.Cu") (net 291) (tstamp a740bf4c-78ed-439f-9b09-b78f64aa93ad)) + (segment (start 165.698229 88.816) (end 171.542989 82.971241) (width 0.2) (layer "F.Cu") (net 291) (tstamp b4b05749-5b3e-4010-9147-e2019b13efa9)) + (segment (start 177.812274 81.722126) (end 172.792104 81.722126) (width 0.2) (layer "F.Cu") (net 291) (tstamp c2453ea7-1277-4fff-9560-26a6a6886ddd)) + (segment (start 161.6456 88.816) (end 165.698229 88.816) (width 0.2) (layer "F.Cu") (net 291) (tstamp c3624ed9-3548-499e-b6d9-2645e98e47b7)) + (segment (start 154.2816 89.166) (end 154.6316 88.816) (width 0.2) (layer "F.Cu") (net 292) (tstamp b8fd0f0f-7e02-4176-9c5b-d274080db9f6)) + (segment (start 154.6316 88.816) (end 157.6956 88.816) (width 0.2) (layer "F.Cu") (net 292) (tstamp bb6a3c87-4f43-4f5c-a726-a32f61b5d122)) + (via (at 154.2816 89.166) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 292) (tstamp 20a7caff-c21e-4825-8f82-777cffe32760)) + (segment (start 177.812274 53.426526) (end 175.946445 53.426526) (width 0.2) (layer "B.Cu") (net 292) (tstamp 20e2a514-9ba6-4161-8c40-2ab87d96e0eb)) + (segment (start 158.8726 84.865894) (end 154.572494 89.166) (width 0.2) (layer "B.Cu") (net 292) (tstamp 6f02caf5-6453-4f81-873f-f4ba08557618)) + (segment (start 175.946445 53.426526) (end 173.806444 55.566526) (width 0.2) (layer "B.Cu") (net 292) (tstamp 7c66296f-bb68-4b5f-af54-684d7ce80109)) + (segment (start 154.572494 89.166) (end 154.2816 89.166) (width 0.2) (layer "B.Cu") (net 292) (tstamp 98a378f4-a1b2-45c2-a99d-d1085357b00a)) + (segment (start 168.741788 55.566526) (end 158.8726 65.435715) (width 0.2) (layer "B.Cu") (net 292) (tstamp acc80924-189e-4c26-adea-e14a84e8b4e5)) + (segment (start 158.8726 65.435715) (end 158.8726 84.865894) (width 0.2) (layer "B.Cu") (net 292) (tstamp b6e2629c-44e0-4441-9f39-5da8e7f80f37)) + (segment (start 173.806444 55.566526) (end 168.741788 55.566526) (width 0.2) (layer "B.Cu") (net 292) (tstamp f57ef0a7-b380-4a09-83ac-e9ba579e48a1)) + (segment (start 174.766417 79.182126) (end 174.308146 79.640398) (width 0.2) (layer "F.Cu") (net 293) (tstamp 45bdf0e1-ea02-4947-9d0b-aca38817ac8b)) + (segment (start 165.632543 88.316) (end 161.6456 88.316) (width 0.2) (layer "F.Cu") (net 293) (tstamp 72c5327b-73cd-4a3c-9714-501892fe875d)) + (segment (start 177.812274 79.182126) (end 174.766418 79.182126) (width 0.2) (layer "F.Cu") (net 293) (tstamp c40b742c-124d-47d8-9b2a-aa04a4fddd9b)) + (segment (start 174.766418 79.182126) (end 174.308146 79.640398) (width 0.2) (layer "F.Cu") (net 293) (tstamp c5b3e8fd-1c38-46ba-beff-e9654dcecd83)) + (segment (start 174.308146 79.640398) (end 165.632543 88.316) (width 0.2) (layer "F.Cu") (net 293) (tstamp fc466bac-ca40-43c5-9db8-b992ac63e5cc)) + (segment (start 153.3454 88.316) (end 157.6956 88.316) (width 0.2) (layer "F.Cu") (net 294) (tstamp ddaa2790-9cc9-416c-b24e-d66726ece3e4)) + (via (at 153.3454 88.316) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 294) (tstamp 4c7c5726-aa8d-43f4-9ba0-ceab052802b9)) + (segment (start 177.812274 50.886526) (end 177.812274 50.995011) (width 0.2) (layer "B.Cu") (net 294) (tstamp 1fd769f5-874b-4956-a5c0-99e42d4da1a3)) + (segment (start 158.4726 65.270029) (end 158.4726 84.700208) (width 0.2) (layer "B.Cu") (net 294) (tstamp 314bfcb8-02e0-421d-aa8f-2cdd6237c94d)) + (segment (start 177.812274 50.995011) (end 173.640759 55.166526) (width 0.2) (layer "B.Cu") (net 294) (tstamp 558592f1-f352-4105-a9f0-638ca44bcc72)) + (segment (start 168.576102 55.166526) (end 158.4726 65.270029) (width 0.2) (layer "B.Cu") (net 294) (tstamp 7a869f8d-94be-406f-87d2-b15111e6a0be)) + (segment (start 158.4726 84.700208) (end 154.856808 88.316) (width 0.2) (layer "B.Cu") (net 294) (tstamp db7b2eff-0c4c-465a-8256-fcd78d779b09)) + (segment (start 154.856808 88.316) (end 153.3454 88.316) (width 0.2) (layer "B.Cu") (net 294) (tstamp f6bf6f09-793e-42ea-953d-dda7a09197cd)) + (segment (start 173.640759 55.166526) (end 168.576102 55.166526) (width 0.2) (layer "B.Cu") (net 294) (tstamp f909d07f-571e-4751-9594-c25fde874e0b)) + (segment (start 176.740731 76.642126) (end 166.066857 87.316) (width 0.2) (layer "F.Cu") (net 295) (tstamp 18c4fcf7-509c-4a83-9b23-412b63a410bc)) + (segment (start 166.066857 87.316) (end 161.6456 87.316) (width 0.2) (layer "F.Cu") (net 295) (tstamp 583185d5-bea1-4134-9feb-b9e2cfe4180e)) + (segment (start 177.812274 76.642126) (end 176.740731 76.642126) (width 0.2) (layer "F.Cu") (net 295) (tstamp 5fe19a4a-4b84-42a7-b310-79c283274016)) + (segment (start 151.2648 87.316) (end 151.1066 87.4742) (width 0.2) (layer "F.Cu") (net 296) (tstamp 0cec6026-176a-4f93-88e9-c9996e533457)) + (segment (start 157.6956 87.316) (end 151.2648 87.316) (width 0.2) (layer "F.Cu") (net 296) (tstamp 38961274-1fea-462b-8ce9-0ac4a694f10e)) + (via (at 151.1066 87.4742) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 296) (tstamp d04bd481-f35f-42e5-b4f5-c2f3d4fec7a5)) + (segment (start 157.485443 65.6915) (end 157.485443 85.121679) (width 0.2) (layer "B.Cu") (net 296) (tstamp 12816e45-480a-420a-a287-9d3f6b79f7f8)) + (segment (start 168.410416 54.766526) (end 157.485443 65.6915) (width 0.2) (layer "B.Cu") (net 296) (tstamp 1b78a54d-d391-4f7e-8a21-42f6d5bb7019)) + (segment (start 173.475074 54.766526) (end 168.410416 54.766526) (width 0.2) (layer "B.Cu") (net 296) (tstamp 2f424508-bce2-4767-a185-0deea7103f98)) + (segment (start 177.812274 48.346526) (end 176.415274 49.743526) (width 0.2) (layer "B.Cu") (net 296) (tstamp 645272ec-68a8-4926-941f-0e70abf08ee0)) + (segment (start 157.485443 85.121679) (end 155.132922 87.4742) (width 0.2) (layer "B.Cu") (net 296) (tstamp 7a9c165e-c721-4f91-bed9-b14813c1a08f)) + (segment (start 155.132922 87.4742) (end 151.1066 87.4742) (width 0.2) (layer "B.Cu") (net 296) (tstamp 8e5219d6-cb5f-417f-ba8a-c8061de5346f)) + (segment (start 176.415274 49.743526) (end 176.415274 51.826326) (width 0.2) (layer "B.Cu") (net 296) (tstamp aa4dbd3a-b916-4661-aac7-b41f9426c3a3)) + (segment (start 176.415274 51.826326) (end 173.475074 54.766526) (width 0.2) (layer "B.Cu") (net 296) (tstamp c121f725-3a53-45ab-8a0d-24861bae98b1)) + (segment (start 177.812274 74.102126) (end 177.812274 75.004898) (width 0.2) (layer "F.Cu") (net 297) (tstamp 1101d878-481c-40a7-92ed-613de4dfba9a)) + (segment (start 177.812274 75.004898) (end 166.001172 86.816) (width 0.2) (layer "F.Cu") (net 297) (tstamp 42b92dbd-858c-4128-bdbf-049bb1949c3b)) + (segment (start 166.001172 86.816) (end 161.6456 86.816) (width 0.2) (layer "F.Cu") (net 297) (tstamp 78f6b0b1-34b4-47d8-b4aa-8190af2790ab)) + (segment (start 151.7184 86.816) (end 151.3606 86.4582) (width 0.2) (layer "F.Cu") (net 298) (tstamp cd3a5514-3562-4800-866a-0ae08439a336)) + (segment (start 157.6956 86.816) (end 151.7184 86.816) (width 0.2) (layer "F.Cu") (net 298) (tstamp fed61ae3-3869-4cd0-b330-edb155749875)) + (via (at 151.3606 86.4582) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 298) (tstamp 2a7dd5b3-a566-48a6-a46e-24b9ce323245)) + (segment (start 155.518236 86.5232) (end 151.4256 86.5232) (width 0.2) (layer "B.Cu") (net 298) (tstamp 07cb79c2-9ba0-47a7-b1e0-1e15a7837145)) + (segment (start 176.015274 51.660639) (end 173.309387 54.366526) (width 0.2) (layer "B.Cu") (net 298) (tstamp 332a2b89-f3e4-4620-b178-ad6ed6f4f2b3)) + (segment (start 157.0756 65.535657) (end 157.0756 84.965836) (width 0.2) (layer "B.Cu") (net 298) (tstamp 675672f3-071d-46f6-9845-2b5d5f42f100)) + (segment (start 173.309387 54.366526) (end 168.24473 54.366526) (width 0.2) (layer "B.Cu") (net 298) (tstamp 7aea78d0-ed90-41e5-ae5d-bde2c7c84143)) + (segment (start 151.4256 86.5232) (end 151.3606 86.4582) (width 0.2) (layer "B.Cu") (net 298) (tstamp 884e55d5-e2cc-416a-9e3a-9dcd7c0be3e9)) + (segment (start 176.015274 47.603526) (end 176.015274 51.660639) (width 0.2) (layer "B.Cu") (net 298) (tstamp c7efe5dc-b69e-4662-9ea7-05cc9f0f575c)) + (segment (start 168.24473 54.366526) (end 157.0756 65.535657) (width 0.2) (layer "B.Cu") (net 298) (tstamp c9b04b91-e03e-44e0-b170-76b1231318bc)) + (segment (start 157.0756 84.965836) (end 155.518236 86.5232) (width 0.2) (layer "B.Cu") (net 298) (tstamp e7c345c6-3cac-4f6c-bd47-414c9c3eff0c)) + (segment (start 177.812274 45.806526) (end 176.015274 47.603526) (width 0.2) (layer "B.Cu") (net 298) (tstamp ea145013-a47e-442f-a492-201cc9eddf42)) + (segment (start 166.435486 85.816) (end 161.6456 85.816) (width 0.2) (layer "F.Cu") (net 299) (tstamp 875bd48d-090c-4dad-8806-8d903958793b)) + (segment (start 173.444474 78.807012) (end 166.435486 85.816) (width 0.2) (layer "F.Cu") (net 299) (tstamp a066e9f5-b4a9-4b44-bcbc-959de02af899)) + (segment (start 177.812274 71.562126) (end 173.444474 75.929926) (width 0.2) (layer "F.Cu") (net 299) (tstamp b76126dc-6ffb-46d6-9239-f0564f4ede89)) + (segment (start 173.444474 75.929926) (end 173.444474 78.807012) (width 0.2) (layer "F.Cu") (net 299) (tstamp db4f6c17-67f3-46b6-9ff8-4388dffe17fc)) + (segment (start 157.6956 85.816) (end 153.6538 85.816) (width 0.2) (layer "F.Cu") (net 300) (tstamp 23975b2e-bada-4f62-80d9-85458016205f)) + (segment (start 153.6466 85.8232) (end 153.6394 85.816) (width 0.2) (layer "F.Cu") (net 300) (tstamp 89a14caf-2a48-42bd-a89b-11901f48ee3c)) + (segment (start 153.6538 85.816) (end 153.6466 85.8232) (width 0.2) (layer "F.Cu") (net 300) (tstamp d20a704f-35a9-4162-8410-f42a2be6cc6a)) + (via (at 153.6466 85.8232) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 300) (tstamp b8dcac16-eaed-492a-a792-47e1eb6096ec)) + (segment (start 168.079044 53.966526) (end 156.2516 65.793971) (width 0.2) (layer "B.Cu") (net 300) (tstamp 29329c47-6a51-46b5-a18f-e121454eb6b6)) + (segment (start 156.2516 65.793971) (end 156.2516 85.22415) (width 0.2) (layer "B.Cu") (net 300) (tstamp 2e11d78e-503d-42e3-bf46-c3425bdb333e)) + (segment (start 173.097516 53.966526) (end 172.605274 53.966526) (width 0.2) (layer "B.Cu") (net 300) (tstamp 46f76b10-6999-444e-b00a-ed538195b7e8)) + (segment (start 175.615274 51.448768) (end 173.097516 53.966526) (width 0.2) (layer "B.Cu") (net 300) (tstamp 58ac2601-0a90-4c5e-9cb3-1e5996bc7c81)) + (segment (start 177.812274 43.266526) (end 175.615274 45.463526) (width 0.2) (layer "B.Cu") (net 300) (tstamp 59cee35a-66de-4ba9-806a-b440d56ce267)) + (segment (start 156.2516 85.22415) (end 155.65255 85.8232) (width 0.2) (layer "B.Cu") (net 300) (tstamp 66c5e603-7094-4cf6-8aa9-5891456aa2e1)) + (segment (start 155.65255 85.8232) (end 153.6466 85.8232) (width 0.2) (layer "B.Cu") (net 300) (tstamp 8df44765-1c3c-49b8-aa33-25f2f34ed944)) + (segment (start 172.605274 53.966526) (end 168.079044 53.966526) (width 0.2) (layer "B.Cu") (net 300) (tstamp a1c5f7cb-d908-4b8d-b87b-d95b225f2cd8)) + (segment (start 175.615274 45.463526) (end 175.615274 51.448768) (width 0.2) (layer "B.Cu") (net 300) (tstamp f04a4c1c-4a26-4501-9ee1-f39d8aaa022e)) + (segment (start 166.3698 85.316) (end 161.6456 85.316) (width 0.2) (layer "F.Cu") (net 301) (tstamp 54062720-2182-4625-92d6-71ee935ec94f)) + (segment (start 173.044474 73.789926) (end 173.044474 78.641326) (width 0.2) (layer "F.Cu") (net 301) (tstamp 72d6f3c6-94c0-4e46-b3de-a6b1eabc7388)) + (segment (start 177.812274 69.022126) (end 173.044474 73.789926) (width 0.2) (layer "F.Cu") (net 301) (tstamp 8f42526f-8cdb-4f07-b922-2dce7ecec962)) + (segment (start 173.044474 78.641326) (end 166.3698 85.316) (width 0.2) (layer "F.Cu") (net 301) (tstamp fbfa3ae8-45cf-4a7a-846b-d28e228c1312)) + (segment (start 155.9334 85.316) (end 157.6956 85.316) (width 0.2) (layer "F.Cu") (net 302) (tstamp 7b54c5ac-f6a7-4d2e-abf8-21560917a93d)) + (segment (start 155.5516 84.9342) (end 155.9334 85.316) (width 0.2) (layer "F.Cu") (net 302) (tstamp b501529c-39cc-42c7-88c0-713452a0fbb7)) + (via (at 155.5516 84.9342) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 302) (tstamp f40478fe-fbed-41ae-839c-66b8ac58c290)) + (segment (start 175.215274 51.283082) (end 172.93183 53.566526) (width 0.2) (layer "B.Cu") (net 302) (tstamp 50e78efa-8af2-42d5-93c6-c31913117180)) + (segment (start 177.812274 40.726526) (end 175.215274 43.323526) (width 0.2) (layer "B.Cu") (net 302) (tstamp 96612ef9-3064-4ced-840a-8cc1adc47796)) + (segment (start 155.5516 65.928285) (end 155.5516 84.9342) (width 0.2) (layer "B.Cu") (net 302) (tstamp 9e9c1fb3-e3e2-4a0b-a414-af592d287934)) + (segment (start 175.215274 43.323526) (end 175.215274 51.283082) (width 0.2) (layer "B.Cu") (net 302) (tstamp a354c3c2-8c1c-46ea-9d06-ba7d7ff57fcd)) + (segment (start 167.913358 53.566526) (end 155.5516 65.928285) (width 0.2) (layer "B.Cu") (net 302) (tstamp c092a828-6a7e-4afc-a6c8-5c1dc237076e)) + (segment (start 172.93183 53.566526) (end 167.913358 53.566526) (width 0.2) (layer "B.Cu") (net 302) (tstamp ee2d95c7-01b9-4a55-97d6-33e5ef9e9dca)) + (segment (start 211.808874 75.262726) (end 211.808874 76.654726) (width 0.2) (layer "F.Cu") (net 305) (tstamp 08efcbee-6727-4d3c-a82b-e89d5ef790f2)) + (segment (start 213.108874 71.648376) (end 211.808874 72.948376) (width 0.2) (layer "F.Cu") (net 305) (tstamp 12334f4e-5bd3-48d5-8126-84619cb3e088)) + (segment (start 211.808874 76.654726) (end 213.078874 77.924726) (width 0.2) (layer "F.Cu") (net 305) (tstamp 21e39bc6-8cb2-4fdf-94c7-966e2149ad04)) + (segment (start 214.856874 77.924726) (end 213.078874 77.924726) (width 0.2) (layer "F.Cu") (net 305) (tstamp 267f739a-4b70-4de6-b178-020ef3d86867)) + (segment (start 211.808874 72.948376) (end 211.808874 75.262726) (width 0.2) (layer "F.Cu") (net 305) (tstamp 30bc17d1-c203-4a82-9cdb-58d3edb190f0)) + (segment (start 213.108874 69.386726) (end 213.108874 71.648376) (width 0.2) (layer "F.Cu") (net 305) (tstamp fca50bb3-ea2c-4450-ab1e-a2c9baace81f)) + (segment (start 189.9106 76.6507) (end 190.3963 77.1364) (width 0.2) (layer "F.Cu") (net 306) (tstamp 07b8f9be-4599-420e-a4c1-12c2421736ae)) + (segment (start 190.3963 77.1364) (end 192.2292 77.1364) (width 0.2) (layer "F.Cu") (net 306) (tstamp 1be8b8d0-42ea-462d-a74f-0ac9c7ba218a)) + (segment (start 192.8876 77.7948) (end 192.8876 78.3752) (width 0.2) (layer "F.Cu") (net 306) (tstamp 2a6ec3af-3a41-4cd1-bec4-529f898a5b1d)) + (segment (start 194.6656 78.3752) (end 192.8876 78.3752) (width 0.2) (layer "F.Cu") (net 306) (tstamp 38644f3f-1fad-4bdb-bc06-546f75046a29)) + (segment (start 191.2106 70.821388) (end 189.9106 72.121388) (width 0.2) (layer "F.Cu") (net 306) (tstamp 3d2d6a71-690c-4f0e-920c-6522a55f4c52)) + (segment (start 192.2292 77.1364) (end 192.8876 77.7948) (width 0.2) (layer "F.Cu") (net 306) (tstamp 53cd3cd7-1962-4d47-a8e1-5e7ab20f879f)) + (segment (start 189.9106 72.121388) (end 189.9106 75.7132) (width 0.2) (layer "F.Cu") (net 306) (tstamp b7ec745a-6d12-4af3-a60b-bafe88838f65)) + (segment (start 189.9106 75.7132) (end 189.9106 76.6507) (width 0.2) (layer "F.Cu") (net 306) (tstamp c01dfbe5-db4d-4e30-a1f7-913f2a144d9b)) + (segment (start 191.2106 69.8372) (end 191.2106 70.821388) (width 0.2) (layer "F.Cu") (net 306) (tstamp eaa92080-8b96-4a63-a077-345d4c88f4fb)) + (segment (start 155.092674 146.111126) (end 161.100274 146.111126) (width 0.2) (layer "F.Cu") (net 307) (tstamp 0c2d186f-317f-498f-9f12-e2d953e3414b)) + (segment (start 161.100274 146.111126) (end 161.119074 146.092326) (width 0.2) (layer "F.Cu") (net 307) (tstamp d90e8e87-e320-4ec7-9cb2-a539d8cbb0c1)) + (segment (start 155.095074 148.648726) (end 155.092674 148.651126) (width 0.2) (layer "F.Cu") (net 308) (tstamp 11eddab3-a049-4265-bcb2-55e6472c888d)) + (segment (start 161.119074 148.648726) (end 155.095074 148.648726) (width 0.2) (layer "F.Cu") (net 308) (tstamp 418208c1-d533-4542-a5ee-56b175c87d5f)) + (segment (start 161.080274 151.191126) (end 161.119074 151.152326) (width 0.2) (layer "F.Cu") (net 309) (tstamp 587844af-8983-4065-b51d-8c282d3b3aa4)) + (segment (start 155.092674 151.191126) (end 161.080274 151.191126) (width 0.2) (layer "F.Cu") (net 309) (tstamp de1fd668-c0a4-4b89-b46c-8dbf0d76d077)) + (segment (start 161.119074 153.728726) (end 155.095074 153.728726) (width 0.2) (layer "F.Cu") (net 310) (tstamp 4ef098b9-ff4e-4edd-98e2-96fc707ccfe6)) + (segment (start 155.095074 153.728726) (end 155.092674 153.731126) (width 0.2) (layer "F.Cu") (net 310) (tstamp 51f79670-73f8-4be1-b5fa-13436ca08335)) + (segment (start 114.286474 136.014326) (end 114.298874 136.001926) (width 0.2) (layer "F.Cu") (net 311) (tstamp c6f7371f-0b28-4af4-bb97-e4b0af48612a)) + (segment (start 108.292874 136.014326) (end 114.286474 136.014326) (width 0.2) (layer "F.Cu") (net 311) (tstamp d6672fad-6d92-4c7f-9ae0-f79072562659)) + (segment (start 114.298874 138.587326) (end 108.336674 138.587326) (width 0.2) (layer "F.Cu") (net 312) (tstamp 1c909233-1973-4b1a-9abc-44223b46d3b2)) + (segment (start 108.336674 138.587326) (end 108.331274 138.592726) (width 0.2) (layer "F.Cu") (net 312) (tstamp 1def14cf-09cc-4509-9bf2-32536539164a)) + (segment (start 108.343274 141.132726) (end 114.298874 141.132726) (width 0.2) (layer "F.Cu") (net 313) (tstamp ce184490-26cb-4950-a770-ae3c84dbe62c)) + (segment (start 108.331274 143.672726) (end 114.249789 143.672726) (width 0.2) (layer "F.Cu") (net 314) (tstamp 5d2d7ab2-ddfd-4eb9-9ceb-b91f4a1b8b24)) + (segment (start 114.249789 143.672726) (end 114.298874 143.721811) (width 0.2) (layer "F.Cu") (net 314) (tstamp 9308b2b1-3b7a-4e20-90dd-4a085bbc4037)) + (segment (start 114.275274 146.217726) (end 114.298874 146.241326) (width 0.2) (layer "F.Cu") (net 315) (tstamp 7e2c76c4-3707-4742-8583-7690cb1e7917)) + (segment (start 108.363674 146.217726) (end 114.275274 146.217726) (width 0.2) (layer "F.Cu") (net 315) (tstamp a58aaccd-486b-424b-85ba-770c7ded4ab3)) + (segment (start 114.298874 148.752726) (end 108.343274 148.752726) (width 0.2) (layer "F.Cu") (net 316) (tstamp 2865725d-1bf8-43b4-bf1e-553a4adab06c)) + (segment (start 108.319274 151.292726) (end 114.284874 151.292726) (width 0.2) (layer "F.Cu") (net 317) (tstamp 3d358d8d-8816-4f93-9efb-bb299ff85120)) + (segment (start 114.284874 151.292726) (end 114.349674 151.227926) (width 0.2) (layer "F.Cu") (net 317) (tstamp 7c104a06-f0e7-4326-ac3c-725fc486bacc)) + (segment (start 114.248074 153.883526) (end 114.298874 153.832726) (width 0.2) (layer "F.Cu") (net 318) (tstamp 1b141dfb-70a7-41eb-b416-7aa3bcdd4611)) + (segment (start 108.280474 153.883526) (end 114.248074 153.883526) (width 0.2) (layer "F.Cu") (net 318) (tstamp 46e6b185-c1a6-4a74-ad84-708791067089)) + (segment (start 88.380274 136.052726) (end 94.500274 136.052726) (width 0.2) (layer "F.Cu") (net 319) (tstamp 052b46d7-b49b-4d43-822a-dadd8c7a69fb)) + (segment (start 94.461474 138.592726) (end 88.343474 138.592726) (width 0.2) (layer "F.Cu") (net 320) (tstamp 74c372c1-f485-4d12-b0ac-17b33b679f0a)) + (segment (start 88.343474 138.592726) (end 88.327474 138.608726) (width 0.2) (layer "F.Cu") (net 320) (tstamp 937ea2a9-6407-4b16-818f-40057d5c4faf)) + (segment (start 94.390674 141.152726) (end 94.410674 141.132726) (width 0.2) (layer "F.Cu") (net 321) (tstamp 4540474e-40a5-4a5b-a72f-60674048f979)) + (segment (start 88.290674 141.152726) (end 94.390674 141.152726) (width 0.2) (layer "F.Cu") (net 321) (tstamp 8ef1dfb0-94bd-4cf7-8a63-91f27699bb78)) + (segment (start 213.727874 67.904526) (end 213.727874 69.355726) (width 0.2) (layer "F.Cu") (net 322) (tstamp 18dd2aaf-4825-4863-b0aa-b48e57e2edd4)) + (segment (start 213.727874 69.355726) (end 213.758874 69.386726) (width 0.2) (layer "F.Cu") (net 322) (tstamp 6eae9d72-d36c-4015-acbc-278d9ff48a7e)) + (via (at 213.727874 67.904526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 322) (tstamp b382afaa-b086-42b9-a26b-0516a3d350f0)) + (segment (start 176.872474 77.937526) (end 193.960274 77.937526) (width 0.2) (layer "In1.Cu") (net 322) (tstamp 8a25af3d-f1b4-48b3-8188-ec91f443fa61)) + (segment (start 199.798074 68.905726) (end 212.726674 68.905726) (width 0.2) (layer "In1.Cu") (net 322) (tstamp b86e7bb7-22b3-4b21-91bf-e7fecd977071)) + (segment (start 193.960274 77.937526) (end 197.243274 74.654526) (width 0.2) (layer "In1.Cu") (net 322) (tstamp c7163588-894e-4cf4-9678-ee86d5b7a8b5)) + (segment (start 171.919474 72.984526) (end 176.872474 77.937526) (width 0.2) (layer "In1.Cu") (net 322) (tstamp c93c43a6-67bc-48c5-8ff9-9601191540e6)) + (segment (start 197.243274 71.460526) (end 199.798074 68.905726) (width 0.2) (layer "In1.Cu") (net 322) (tstamp d550435e-f712-471f-bbda-875bf63625bd)) + (segment (start 212.726674 68.905726) (end 213.727874 67.904526) (width 0.2) (layer "In1.Cu") (net 322) (tstamp e0bc84be-4fd1-4134-9208-610015048cc1)) + (segment (start 197.243274 74.654526) (end 197.243274 71.460526) (width 0.2) (layer "In1.Cu") (net 322) (tstamp e207be2f-fc9d-4759-9cae-c3c154e8a5e8)) + (segment (start 213.108874 76.683526) (end 213.108874 75.262726) (width 0.2) (layer "F.Cu") (net 323) (tstamp 2d83bd82-77a7-4339-bbed-f3d3089a4b63)) + (segment (start 213.118274 76.692926) (end 213.108874 76.683526) (width 0.2) (layer "F.Cu") (net 323) (tstamp 8121dd31-7241-4232-a5d3-1c97957c643f)) + (via (at 213.118274 76.692926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 323) (tstamp 141c876d-a451-4d5a-901c-171a824d87b3)) + (segment (start 215.150274 76.870076) (end 215.150274 76.515776) (width 0.2) (layer "In1.Cu") (net 323) (tstamp 09b18f22-10c5-4e71-b053-d4ef2775ba7f)) + (segment (start 196.069024 80.707126) (end 196.482324 80.293826) (width 0.2) (layer "In1.Cu") (net 323) (tstamp 108f2b32-1ab6-405e-90d1-670e5fd6738a)) + (segment (start 186.999674 82.847126) (end 189.139674 80.707126) (width 0.2) (layer "In1.Cu") (net 323) (tstamp 15e882d8-2b04-469b-83c2-1747cd1df4f6)) + (segment (start 213.905674 75.905526) (end 213.118274 76.692926) (width 0.2) (layer "In1.Cu") (net 323) (tstamp 21a28a47-5287-4bad-a655-fbc39ae695a5)) + (segment (start 189.139674 80.707126) (end 196.069024 80.707126) (width 0.2) (layer "In1.Cu") (net 323) (tstamp 49b8abbc-25ac-4a32-8dee-9f314f5df6c9)) + (segment (start 215.150274 76.515776) (end 214.540024 75.905526) (width 0.2) (layer "In1.Cu") (net 323) (tstamp 5d878a6a-1a92-44c5-8b2b-d110a85ab3c6)) + (segment (start 211.726524 80.293826) (end 215.150274 76.870076) (width 0.2) (layer "In1.Cu") (net 323) (tstamp a8b9ea94-ba77-467d-a049-63cd00bdb4fd)) + (segment (start 176.702074 82.847126) (end 186.999674 82.847126) (width 0.2) (layer "In1.Cu") (net 323) (tstamp bf7d8e40-62a5-46c9-b693-f896ddfff4d8)) + (segment (start 196.482324 80.293826) (end 211.726524 80.293826) (width 0.2) (layer "In1.Cu") (net 323) (tstamp c400e446-c6e4-4ecd-9317-04765e46dddf)) + (segment (start 214.540024 75.905526) (end 213.905674 75.905526) (width 0.2) (layer "In1.Cu") (net 323) (tstamp d4c7e40f-a219-4aae-bbe5-7ec8e063b2d4)) + (segment (start 171.919474 78.064526) (end 176.702074 82.847126) (width 0.2) (layer "In1.Cu") (net 323) (tstamp ed8f0954-1a38-4abd-8581-5ce0b53cbe1f)) + (segment (start 214.337474 76.692926) (end 213.758874 76.114326) (width 0.2) (layer "F.Cu") (net 324) (tstamp 49d2ad4f-85ec-4925-9cf3-b071d4e192de)) + (segment (start 213.758874 76.114326) (end 213.758874 75.262726) (width 0.2) (layer "F.Cu") (net 324) (tstamp 5dc52486-e274-4ff0-9b5d-608fe3fe8640)) + (via (at 214.337474 76.692926) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 324) (tstamp 9f506c6f-d233-436f-9321-78ecc3e80897)) + (segment (start 194.489124 80.307126) (end 196.985724 77.810526) (width 0.2) (layer "In1.Cu") (net 324) (tstamp 3ff49969-7162-4d09-bf2a-c87aa3bfb23a)) + (segment (start 196.985724 77.810526) (end 213.219874 77.810526) (width 0.2) (layer "In1.Cu") (net 324) (tstamp 70054574-038e-49f3-892b-176ef4607ce0)) + (segment (start 171.919474 75.524526) (end 171.919474 75.591469) (width 0.2) (layer "In1.Cu") (net 324) (tstamp a89def56-5a75-4160-b7b7-6f025bd34318)) + (segment (start 171.919474 75.591469) (end 176.635131 80.307126) (width 0.2) (layer "In1.Cu") (net 324) (tstamp dfeed776-ed4b-4f78-bacc-753f5b449c98)) + (segment (start 176.635131 80.307126) (end 194.489124 80.307126) (width 0.2) (layer "In1.Cu") (net 324) (tstamp f7ec6507-056b-4459-b26a-ed55a4235622)) + (segment (start 213.219874 77.810526) (end 214.337474 76.692926) (width 0.2) (layer "In1.Cu") (net 324) (tstamp fa8ea147-f988-4b59-8219-35a6bec37053)) + (segment (start 193.016 46.9872) (end 193.042 46.9612) (width 0.2) (layer "F.Cu") (net 325) (tstamp 58a78837-69a0-456c-9d97-6ccfbb6998e9)) + (segment (start 191.8626 46.9872) (end 193.016 46.9872) (width 0.2) (layer "F.Cu") (net 325) (tstamp ac916c78-2892-4ee1-97ad-14d8786a4b4a)) + (via (at 193.4484 46.9612) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 325) (tstamp 9f70b6ce-69e1-4ca7-8660-7807b534a3c0)) + (segment (start 171.919474 57.287326) (end 177.050274 52.156526) (width 0.2) (layer "In1.Cu") (net 325) (tstamp 4d83a66b-76c5-486f-a8f3-2bcf41ef3e87)) + (segment (start 177.050274 52.156526) (end 188.253074 52.156526) (width 0.2) (layer "In1.Cu") (net 325) (tstamp 659d3622-3257-418e-a758-a28bffd2a62c)) + (segment (start 171.919474 57.744526) (end 171.919474 57.287326) (width 0.2) (layer "In1.Cu") (net 325) (tstamp 66b6e575-7c7c-4d98-a9c5-0175e84c59c0)) + (segment (start 188.253074 52.156526) (end 193.4484 46.9612) (width 0.2) (layer "In1.Cu") (net 325) (tstamp bf63720b-66d1-46bb-8ac2-d940fcbe8310)) + (segment (start 193.433274 51.648526) (end 193.063948 51.2792) (width 0.2) (layer "F.Cu") (net 326) (tstamp 2e96c3e8-ce3f-4f5c-9598-3d98dcbe381e)) + (segment (start 191.8591 51.2792) (end 191.2126 51.9257) (width 0.2) (layer "F.Cu") (net 326) (tstamp db991141-7427-46f5-b368-bef4864c9879)) + (segment (start 193.063948 51.2792) (end 191.8591 51.2792) (width 0.2) (layer "F.Cu") (net 326) (tstamp e6b5f496-1135-4590-8114-f2c50906051d)) + (segment (start 191.2126 51.9257) (end 191.2126 52.8632) (width 0.2) (layer "F.Cu") (net 326) (tstamp efddfaf4-159f-44cc-9ccd-ea93d461bd7f)) + (via (at 193.433274 51.648526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 326) (tstamp 4ed6c2fc-f652-4c3f-a660-8ed5f1a223df)) + (segment (start 174.972126 59.771874) (end 187.595926 59.771874) (width 0.2) (layer "In1.Cu") (net 326) (tstamp 29324c2c-7c29-499f-9e87-e1bac8c8d457)) + (segment (start 187.595926 59.771874) (end 194.1484 53.2194) (width 0.2) (layer "In1.Cu") (net 326) (tstamp 57985ff4-37d0-4323-8efd-96aec984bec9)) + (segment (start 194.1484 53.2194) (end 194.1484 52.363652) (width 0.2) (layer "In1.Cu") (net 326) (tstamp 5b140c98-5f75-4177-9a49-cdaaf7b563ad)) + (segment (start 171.919474 62.824526) (end 174.972126 59.771874) (width 0.2) (layer "In1.Cu") (net 326) (tstamp 7efcc228-434b-492a-b4bb-d78c10267f6b)) + (segment (start 194.1484 52.363652) (end 193.433274 51.648526) (width 0.2) (layer "In1.Cu") (net 326) (tstamp 8b0c97f4-ad12-4a5a-84fb-b3a75d2e446c)) + (segment (start 193.042 52.854) (end 193.0328 52.8632) (width 0.2) (layer "F.Cu") (net 327) (tstamp b28b5cc1-f706-4aa1-80d6-80f8f51c9222)) + (segment (start 193.0328 52.8632) (end 191.8626 52.8632) (width 0.2) (layer "F.Cu") (net 327) (tstamp f3a6c60b-e164-4b98-869b-1795292271c7)) + (via (at 193.4484 52.854) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 327) (tstamp 2a7ac047-b64c-406f-9700-5d8b721e0b1f)) + (segment (start 189.065874 57.236526) (end 193.4484 52.854) (width 0.2) (layer "In1.Cu") (net 327) (tstamp 0d8060c1-0f95-4321-8858-68a7c9dd60ec)) + (segment (start 174.967474 57.236526) (end 189.065874 57.236526) (width 0.2) (layer "In1.Cu") (net 327) (tstamp 4162b72c-0c03-4af6-aa0e-8513417260f2)) + (segment (start 171.919474 60.284526) (end 174.967474 57.236526) (width 0.2) (layer "In1.Cu") (net 327) (tstamp 5a7a5e0e-6037-440a-a7a1-48ac42cb40bd)) + (segment (start 195.022 67.0692) (end 192.254 69.8372) (width 0.2) (layer "F.Cu") (net 328) (tstamp ab41a7e4-fbe5-42b5-b87b-dcc17411bd32)) + (segment (start 192.254 69.8372) (end 191.8606 69.8372) (width 0.2) (layer "F.Cu") (net 328) (tstamp b9abcc53-5d0a-467c-8add-8322dd29efee)) + (via (at 195.022 67.0692) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 328) (tstamp f5b17593-00be-49cb-9cfa-c0ea7ed953be)) + (segment (start 194.313674 67.777526) (end 195.022 67.0692) (width 0.2) (layer "In1.Cu") (net 328) (tstamp 10996e4d-6645-40f6-b936-8ae3d08eff66)) + (segment (start 171.919474 65.364526) (end 174.332474 67.777526) (width 0.2) (layer "In1.Cu") (net 328) (tstamp 9733a1b4-968e-4a07-b169-d0ce6dc1cf36)) + (segment (start 174.332474 67.777526) (end 194.313674 67.777526) (width 0.2) (layer "In1.Cu") (net 328) (tstamp f48bed82-2c7c-4f6a-91c4-e9e0fcb6fb12)) + (segment (start 193.4472 74.994) (end 193.1289 74.6757) (width 0.2) (layer "F.Cu") (net 329) (tstamp a3c0039f-0547-47b3-9a04-d0661d2f1dc9)) + (segment (start 193.1289 74.6757) (end 191.3356 74.6757) (width 0.2) (layer "F.Cu") (net 329) (tstamp e01e2110-a5c0-4ffd-97b3-5c4007b7ce35)) + (segment (start 191.3356 74.6757) (end 191.2106 74.8007) (width 0.2) (layer "F.Cu") (net 329) (tstamp ed172648-baf4-431e-bc36-50c94d967179)) + (segment (start 191.2106 74.8007) (end 191.2106 75.7132) (width 0.2) (layer "F.Cu") (net 329) (tstamp f7cea624-684e-4f2d-b544-a5a9e40991f2)) + (via (at 193.4472 74.994) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 329) (tstamp 295b8fd5-f526-42fe-b685-106cea727b7e)) + (segment (start 193.594 76.7608) (end 193.944 76.4108) (width 0.2) (layer "In1.Cu") (net 329) (tstamp 523388f2-d9c1-4b7b-bb7a-556d3b15abae)) + (segment (start 171.919474 70.444526) (end 176.872474 75.397526) (width 0.2) (layer "In1.Cu") (net 329) (tstamp 6f48fad9-9eed-416d-9b6f-acafe538a359)) + (segment (start 192.95405 76.7608) (end 193.594 76.7608) (width 0.2) (layer "In1.Cu") (net 329) (tstamp ceeaff4d-8876-42a5-929b-c7e7f3adb84f)) + (segment (start 193.944 76.4108) (end 193.944 75.4908) (width 0.2) (layer "In1.Cu") (net 329) (tstamp d175a48d-96ca-4d0d-823e-3ab8cfaca0e1)) + (segment (start 191.590776 75.397526) (end 192.95405 76.7608) (width 0.2) (layer "In1.Cu") (net 329) (tstamp d2db32aa-bedd-49bb-94f8-2f85b80d7ebd)) + (segment (start 193.944 75.4908) (end 193.4472 74.994) (width 0.2) (layer "In1.Cu") (net 329) (tstamp d7f6fb04-af13-44a4-86ca-1c3dbcd57e60)) + (segment (start 176.872474 75.397526) (end 191.590776 75.397526) (width 0.2) (layer "In1.Cu") (net 329) (tstamp f4681899-47b4-44a0-80ba-2a2fef9fb967)) + (segment (start 193.244 76.0608) (end 192.8964 75.7132) (width 0.2) (layer "F.Cu") (net 330) (tstamp 5c8e464e-c592-4a3c-9b5b-7d9c17ce0baf)) + (segment (start 192.8964 75.7132) (end 191.8606 75.7132) (width 0.2) (layer "F.Cu") (net 330) (tstamp 77b2af48-a415-47d6-94fe-93f78663e799)) + (via (at 193.244 76.0608) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 330) (tstamp f730aa35-20ab-4596-bf67-8da61b5ab653)) + (segment (start 176.872474 72.857526) (end 190.040726 72.857526) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 438f191c-0809-46ee-a0e6-935697813fa5)) + (segment (start 171.919474 67.904526) (end 176.872474 72.857526) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 55b0a2e5-00bc-4ab9-bdbe-5a62ea97aa63)) + (segment (start 193.244 76.0608) (end 193.0508 76.0608) (width 0.2) (layer "In1.Cu") (net 330) (tstamp 9de50aa3-7b6c-440c-8437-97f9381c7365)) + (segment (start 190.040726 72.857526) (end 193.244 76.0608) (width 0.2) (layer "In1.Cu") (net 330) (tstamp f7c6cc2f-ef94-4236-92e4-eecc4e6c03d5)) + (segment (start 191.2126 50.710014) (end 189.9126 52.010014) (width 0.2) (layer "F.Cu") (net 331) (tstamp 09d6bbce-109c-42f6-b0ea-551b8f244479)) + (segment (start 191.2126 46.9872) (end 191.2126 50.710014) (width 0.2) (layer "F.Cu") (net 331) (tstamp 41167cad-da4a-4e4c-9fcb-62dd5e689d67)) + (segment (start 189.9126 53.8007) (end 190.2867 54.1748) (width 0.2) (layer "F.Cu") (net 331) (tstamp 46eeff48-4991-420a-bb03-ca26b47658e8)) + (segment (start 192.8896 55.0172) (end 194.6676 55.0172) (width 0.2) (layer "F.Cu") (net 331) (tstamp 74bc0528-e5dd-4278-b5c7-c8d8b939e0b3)) + (segment (start 192.0472 54.1748) (end 192.8896 55.0172) (width 0.2) (layer "F.Cu") (net 331) (tstamp 81a3c322-20d7-4b40-8a8a-29f0b7409928)) + (segment (start 189.9126 52.010014) (end 189.9126 52.8632) (width 0.2) (layer "F.Cu") (net 331) (tstamp 845c5b46-f18d-4d59-9472-12a502887c06)) + (segment (start 190.2867 54.1748) (end 192.0472 54.1748) (width 0.2) (layer "F.Cu") (net 331) (tstamp 9e4a30d4-99b0-4923-a77a-1253adea8fa1)) + (segment (start 189.9126 52.8632) (end 189.9126 53.8007) (width 0.2) (layer "F.Cu") (net 331) (tstamp b404e2cd-5b76-462a-ad4b-f0a5676ce980)) + (segment (start 92.664378 73.030223) (end 86.592558 73.030223) (width 0.2) (layer "F.Cu") (net 332) (tstamp 62f5160b-332d-4c4c-8de9-d72caf15f744)) + (segment (start 86.592558 73.030223) (end 86.576558 73.014223) (width 0.2) (layer "F.Cu") (net 332) (tstamp 87059a92-41ce-4d3f-8e09-5bf97db8b807)) + (segment (start 75.58979 77.144126) (end 69.863045 77.144126) (width 0.2) (layer "F.Cu") (net 333) (tstamp 7b41d2b5-ca25-4429-a03d-dba929cfa06d)) + (segment (start 69.863045 77.144126) (end 69.8486 77.158571) (width 0.2) (layer "F.Cu") (net 333) (tstamp d69241ca-665e-4c9b-b10d-3f8df84d806c)) + (segment (start 69.876889 88.101526) (end 69.862444 88.115971) (width 0.2) (layer "F.Cu") (net 334) (tstamp b1cfbeb3-1fb8-43a3-a7f8-8fa9773ba073)) + (segment (start 75.683634 88.101526) (end 69.876889 88.101526) (width 0.2) (layer "F.Cu") (net 334) (tstamp c4df3455-c653-4f9a-9062-f812fa631659)) + (segment (start 70.013634 90.971526) (end 75.483634 90.971526) (width 0.2) (layer "F.Cu") (net 335) (tstamp 841487aa-e6ec-4a25-b98a-937cd3c0822c)) + (segment (start 75.483634 90.971526) (end 75.513634 91.001526) (width 0.2) (layer "F.Cu") (net 335) (tstamp fcf1ddce-0937-4791-9311-22aee5a19d41)) + (segment (start 92.569907 81.581087) (end 92.601513 81.549481) (width 0.2) (layer "F.Cu") (net 336) (tstamp 9a2c7bbb-6087-4bda-919e-0efa15dab21f)) + (segment (start 86.602802 81.581087) (end 92.569907 81.581087) (width 0.2) (layer "F.Cu") (net 336) (tstamp aa76f074-13fb-477f-b6fc-5856defc5221)) + (segment (start 75.513634 93.431526) (end 70.033634 93.431526) (width 0.2) (layer "F.Cu") (net 337) (tstamp 31d18fc8-8ad8-40a9-bc3e-e6f2f93de6b6)) + (segment (start 75.56979 82.224126) (end 69.851045 82.224126) (width 0.2) (layer "F.Cu") (net 338) (tstamp 05b60c0d-151d-4a8c-bb09-5ecd96f6b0ce)) + (segment (start 69.851045 82.224126) (end 69.8366 82.238571) (width 0.2) (layer "F.Cu") (net 338) (tstamp 80085091-5d7b-4f89-bc6b-a2125f95b15e)) + (segment (start 86.616558 70.344223) (end 92.630378 70.344223) (width 0.2) (layer "F.Cu") (net 339) (tstamp 3eadd0a1-8d64-4d44-8517-1b2c72c904c5)) + (segment (start 92.630378 70.344223) (end 92.664378 70.378223) (width 0.2) (layer "F.Cu") (net 339) (tstamp bfe6909f-ada6-48fd-bae0-cd725d5f7a48)) + (segment (start 69.8486 79.698571) (end 75.534235 79.698571) (width 0.2) (layer "F.Cu") (net 340) (tstamp 72d9981c-3545-4c81-8355-07a105c69aa4)) + (segment (start 75.534235 79.698571) (end 75.56979 79.734126) (width 0.2) (layer "F.Cu") (net 340) (tstamp fb9aca96-b827-4649-b293-9a592d603f5c)) + (segment (start 94.410674 143.672726) (end 88.329912 143.672726) (width 0.2) (layer "F.Cu") (net 341) (tstamp 8ff80dd4-2683-4be7-9b24-4ddcabc22de0)) + (segment (start 88.329912 143.672726) (end 88.311074 143.653888) (width 0.2) (layer "F.Cu") (net 341) (tstamp df537740-bf2c-41e1-8ea8-6e2a596f3f3e)) + (segment (start 94.387074 146.189126) (end 94.410674 146.212726) (width 0.2) (layer "F.Cu") (net 342) (tstamp 6334d877-8b4d-484f-bcba-8e25de3af061)) + (segment (start 88.302674 146.189126) (end 94.387074 146.189126) (width 0.2) (layer "F.Cu") (net 342) (tstamp 84af1d54-580b-43e4-ade0-90644e2e9245)) + (segment (start 88.317859 148.747726) (end 88.275451 148.705318) (width 0.2) (layer "F.Cu") (net 343) (tstamp 3a800588-2721-461a-a7c3-a21b59a27e76)) + (segment (start 94.429074 148.747726) (end 88.317859 148.747726) (width 0.2) (layer "F.Cu") (net 343) (tstamp 927ddb36-f2e5-48b3-834e-19fb62def09f)) + (segment (start 140.892674 138.491126) (end 134.645674 138.491126) (width 0.2) (layer "F.Cu") (net 344) (tstamp 75ebe6e8-43c5-487b-9788-ad65b6f42a1e)) + (segment (start 134.645674 141.031126) (end 140.892674 141.031126) (width 0.2) (layer "F.Cu") (net 345) (tstamp 91bd4ab0-82fb-415d-aaa2-d643c8f7d5fc)) + (segment (start 140.892674 143.571126) (end 134.645674 143.571126) (width 0.2) (layer "F.Cu") (net 346) (tstamp 7444fa9b-d42e-4d6f-8527-7ef7b9c4b4a9)) + (segment (start 88.309999 151.268647) (end 94.409995 151.268647) (width 0.2) (layer "F.Cu") (net 347) (tstamp 55a069a2-1fab-475d-a764-e2762ef61ed9)) + (segment (start 94.409995 151.268647) (end 94.429074 151.287726) (width 0.2) (layer "F.Cu") (net 347) (tstamp 5ba501af-0919-4625-83eb-c9350e7215ba)) + (segment (start 94.410674 153.815526) (end 88.363074 153.815526) (width 0.2) (layer "F.Cu") (net 348) (tstamp 07f5f55a-8340-4e48-803a-82d3b807cf95)) + (segment (start 88.363074 153.815526) (end 88.329474 153.781926) (width 0.2) (layer "F.Cu") (net 348) (tstamp 8cd55a1a-b4e1-4ac3-80cc-39b01da19961)) + (segment (start 69.8606 74.618571) (end 75.585345 74.618571) (width 0.2) (layer "F.Cu") (net 349) (tstamp 0cdcd328-7713-4b47-9998-121e66d297a4)) + (segment (start 75.585345 74.618571) (end 75.58979 74.614126) (width 0.2) (layer "F.Cu") (net 349) (tstamp e99bf452-a956-4a70-998a-b9d20afced24)) + (segment (start 75.64839 69.555526) (end 75.64979 69.554126) (width 0.2) (layer "F.Cu") (net 350) (tstamp ce1299d8-92ef-4267-8389-0dad8daf3eea)) + (segment (start 69.850274 69.555526) (end 75.64839 69.555526) (width 0.2) (layer "F.Cu") (net 350) (tstamp e791f1c5-0f30-44c7-a73b-c2db4b7c4c65)) + (segment (start 69.883045 72.044126) (end 69.8486 72.078571) (width 0.2) (layer "F.Cu") (net 351) (tstamp 7f306cb7-2c91-4c6b-93ce-c436ab68199f)) + (segment (start 75.58979 72.044126) (end 69.883045 72.044126) (width 0.2) (layer "F.Cu") (net 351) (tstamp f282db49-3625-40f3-980f-61fa0af38706)) + (segment (start 134.645674 146.111126) (end 140.892674 146.111126) (width 0.2) (layer "F.Cu") (net 355) (tstamp 240ec45f-f628-4684-b6bf-428cad9f82fa)) + (segment (start 140.892674 148.651126) (end 134.645674 148.651126) (width 0.2) (layer "F.Cu") (net 356) (tstamp bd4337b1-a3cc-40f5-bbff-74225e80b663)) + (segment (start 134.645674 151.191126) (end 140.892674 151.191126) (width 0.2) (layer "F.Cu") (net 357) (tstamp 0ce52655-b2be-46f1-8102-376f8ea3992c)) + (segment (start 140.892674 153.858126) (end 134.645674 153.858126) (width 0.2) (layer "F.Cu") (net 358) (tstamp 936d9a02-1e2d-4a51-8584-18246f6e8336)) + (segment (start 161.131474 143.571126) (end 155.105074 143.571126) (width 0.2) (layer "F.Cu") (net 359) (tstamp bcc2c2cb-3f8a-4e41-8c9a-6486a02b9e32)) + (segment (start 155.105074 141.031126) (end 161.131474 141.031126) (width 0.2) (layer "F.Cu") (net 360) (tstamp 70a67389-0a62-468c-ada2-61aaeff8e4c9)) + (segment (start 161.131474 138.491126) (end 155.105074 138.491126) (width 0.2) (layer "F.Cu") (net 361) (tstamp 80ce23aa-3157-4e87-b847-1bd31c3fb062)) + (segment (start 161.126074 135.981526) (end 161.131474 135.976126) (width 0.2) (layer "F.Cu") (net 362) (tstamp 55825bb2-3b48-48c1-a747-791cd36dc051)) + (segment (start 155.105074 135.981526) (end 161.126074 135.981526) (width 0.2) (layer "F.Cu") (net 362) (tstamp db75600b-dd21-457d-a14d-61fcb845f774)) + (segment (start 183.705074 65.212126) (end 188.632674 65.212126) (width 0.2) (layer "F.Cu") (net 363) (tstamp 0fd86283-89f3-40ed-954a-3f9de1755e64)) + (segment (start 188.937474 65.516926) (end 188.937474 66.539074) (width 0.2) (layer "F.Cu") (net 363) (tstamp 2b5688de-d3fa-40ec-a25f-815b89f69d2c)) + (segment (start 189.8396 67.4412) (end 189.2606 68.0202) (width 0.2) (layer "F.Cu") (net 363) (tstamp 47a5f614-1d5c-4cbf-9bc2-0f443b5b706f)) + (segment (start 189.2606 68.0202) (end 189.2606 69.8372) (width 0.2) (layer "F.Cu") (net 363) (tstamp 509ae1d0-2d4d-4fed-b72e-3faf9febfc1a)) + (segment (start 188.937474 66.539074) (end 189.8396 67.4412) (width 0.2) (layer "F.Cu") (net 363) (tstamp 5d2bfb44-c97f-4673-9552-0e1893111796)) + (segment (start 182.435074 66.482126) (end 183.705074 65.212126) (width 0.2) (layer "F.Cu") (net 363) (tstamp 732f6fc0-b089-482a-a18a-30fb163a7e08)) + (segment (start 180.352274 66.482126) (end 182.435074 66.482126) (width 0.2) (layer "F.Cu") (net 363) (tstamp 7b4dd355-efd4-49ea-be49-81a1fdfc968b)) + (segment (start 188.632674 65.212126) (end 188.937474 65.516926) (width 0.2) (layer "F.Cu") (net 363) (tstamp b9d65447-e084-473a-8e4b-a8379295b6c6)) + (segment (start 179.895074 66.482126) (end 179.742674 66.634526) (width 0.2) (layer "B.Cu") (net 363) (tstamp fe5c0f79-ac50-4307-8142-83e88ec30727)) + (segment (start 210.321674 66.253526) (end 211.046874 66.978726) (width 0.2) (layer "F.Cu") (net 364) (tstamp 3ea928a5-0e84-4a10-bdc0-29c79fde82e9)) + (segment (start 210.508874 67.516726) (end 210.508874 69.386726) (width 0.2) (layer "F.Cu") (net 364) (tstamp 8418bb24-7cb7-4f26-bb76-c27452723c66)) + (segment (start 203.212274 71.460526) (end 208.419274 66.253526) (width 0.2) (layer "F.Cu") (net 364) (tstamp a144241e-cd7c-44f2-bd71-6e2f23b5cab6)) + (segment (start 208.419274 66.253526) (end 210.321674 66.253526) (width 0.2) (layer "F.Cu") (net 364) (tstamp b07cad1d-cb2e-4976-aea3-9a8369b5e9b8)) + (segment (start 201.942274 71.460526) (end 203.212274 71.460526) (width 0.2) (layer "F.Cu") (net 364) (tstamp d8bbf8f0-0ce6-494f-8618-52aad289444c)) + (segment (start 211.046874 66.978726) (end 210.508874 67.516726) (width 0.2) (layer "F.Cu") (net 364) (tstamp fea379b9-e18a-4620-929d-2d00970bd922)) + (segment (start 180.352274 69.022126) (end 182.435074 69.022126) (width 0.2) (layer "F.Cu") (net 365) (tstamp 07fd55c1-58b2-46d5-9dbb-55cdd5c232cc)) + (segment (start 182.435074 69.022126) (end 184.822674 66.634526) (width 0.2) (layer "F.Cu") (net 365) (tstamp 3d971d92-60fc-4b90-9c0b-a3f658dc1a60)) + (segment (start 188.6106 67.9902) (end 188.6106 69.8372) (width 0.2) (layer "F.Cu") (net 365) (tstamp 7458aff9-65e6-45c9-9dd7-d9da311f5b1d)) + (segment (start 184.822674 66.634526) (end 187.254926 66.634526) (width 0.2) (layer "F.Cu") (net 365) (tstamp ab8c3cd8-7cd0-4d9f-9b55-9264bcd630a1)) + (segment (start 187.254926 66.634526) (end 188.6106 67.9902) (width 0.2) (layer "F.Cu") (net 365) (tstamp d9975068-7901-4555-8529-880b547f3e30)) + (segment (start 209.858874 70.324226) (end 206.182574 74.000526) (width 0.2) (layer "F.Cu") (net 366) (tstamp 09ed34d5-69ee-4111-b9d0-eb27d1388881)) + (segment (start 209.858874 69.386726) (end 209.858874 70.324226) (width 0.2) (layer "F.Cu") (net 366) (tstamp 50c95272-ba9b-4cd2-8c38-9e82ef719840)) + (segment (start 209.858874 67.568726) (end 209.858874 69.386726) (width 0.2) (layer "F.Cu") (net 366) (tstamp 8b1c2fcc-cedf-4093-aa54-636342aea443)) + (segment (start 209.268874 66.978726) (end 209.858874 67.568726) (width 0.2) (layer "F.Cu") (net 366) (tstamp b895645b-3da0-432c-8ffb-69e7606d467b)) + (segment (start 206.182574 74.000526) (end 201.942274 74.000526) (width 0.2) (layer "F.Cu") (net 366) (tstamp e186b286-9ecd-4dbb-a8ff-3b1f6a894e04)) + (segment (start 186.733599 67.4412) (end 187.9606 68.668201) (width 0.2) (layer "F.Cu") (net 367) (tstamp 01f2e344-6dfd-46a4-8304-f52580603e62)) + (segment (start 180.352274 71.562126) (end 187.616674 71.562126) (width 0.2) (layer "F.Cu") (net 367) (tstamp 0caf9e56-3e58-4835-a702-ba1ed14ffb1d)) + (segment (start 187.616674 71.562126) (end 187.9606 71.2182) (width 0.2) (layer "F.Cu") (net 367) (tstamp 3076960a-b378-4186-adcd-bde0124dfef6)) + (segment (start 187.9606 71.2182) (end 187.9606 69.8372) (width 0.2) (layer "F.Cu") (net 367) (tstamp d295e1ac-ff57-4410-9faf-faa6eccc4018)) + (segment (start 186.2836 67.4412) (end 186.733599 67.4412) (width 0.2) (layer "F.Cu") (net 367) (tstamp eb91e1a8-4b46-465e-910a-fd84ded04f0d)) + (segment (start 187.9606 68.668201) (end 187.9606 69.8372) (width 0.2) (layer "F.Cu") (net 367) (tstamp ef67b49f-0dda-4061-8aa9-80e5e486c81e)) + (segment (start 211.158874 77.782726) (end 211.300874 77.924726) (width 0.2) (layer "F.Cu") (net 368) (tstamp 083f159b-75df-4876-8861-471986ee4b43)) + (segment (start 211.158874 75.262726) (end 211.158874 77.782726) (width 0.2) (layer "F.Cu") (net 368) (tstamp 1c80831c-d9a1-4bb4-8679-d150867af063)) + (segment (start 210.4213 73.587652) (end 211.158874 74.325226) (width 0.2) (layer "F.Cu") (net 368) (tstamp 474753fa-3443-4792-b94f-ed6d12ec836d)) + (segment (start 208.146348 73.587652) (end 210.4213 73.587652) (width 0.2) (layer "F.Cu") (net 368) (tstamp 554756cb-7614-45a0-a2fa-2db876e7234c)) + (segment (start 201.942274 76.540526) (end 205.193474 76.540526) (width 0.2) (layer "F.Cu") (net 368) (tstamp c55f8511-7793-4a3a-a824-07b58e2dc5e6)) + (segment (start 205.193474 76.540526) (end 208.146348 73.587652) (width 0.2) (layer "F.Cu") (net 368) (tstamp cb12c5ec-0fd9-4af3-9626-544b3de2a461)) + (segment (start 211.158874 74.325226) (end 211.158874 75.262726) (width 0.2) (layer "F.Cu") (net 368) (tstamp e1518c78-3dd3-4fbf-87f9-aa9146db6710)) + (segment (start 189.2606 76.6507) (end 190.9851 78.3752) (width 0.2) (layer "F.Cu") (net 369) (tstamp 254347e6-6c68-478b-b1ac-9909d3e356ba)) + (segment (start 182.6615 73.8757) (end 188.863448 73.8757) (width 0.2) (layer "F.Cu") (net 369) (tstamp 4532f9c4-8ed2-4cc9-83ec-50752e87d7e6)) + (segment (start 180.352274 74.102126) (end 182.435074 74.102126) (width 0.2) (layer "F.Cu") (net 369) (tstamp 7435e506-e4d2-4c37-b35d-c58de3bbe9db)) + (segment (start 182.435074 74.102126) (end 182.6615 73.8757) (width 0.2) (layer "F.Cu") (net 369) (tstamp 776e5ca8-c498-4598-9038-7ddce921f0aa)) + (segment (start 190.9851 78.3752) (end 191.1096 78.3752) (width 0.2) (layer "F.Cu") (net 369) (tstamp 8f95c958-15b1-425b-8076-5dd548e01089)) + (segment (start 189.2606 75.7132) (end 189.2606 76.6507) (width 0.2) (layer "F.Cu") (net 369) (tstamp ae467bcd-4461-4ee2-a641-5a8814eac905)) + (segment (start 189.2606 74.272852) (end 189.2606 75.7132) (width 0.2) (layer "F.Cu") (net 369) (tstamp bb4468a2-f175-41b9-8301-d493867350d4)) + (segment (start 188.863448 73.8757) (end 189.2606 74.272852) (width 0.2) (layer "F.Cu") (net 369) (tstamp d69028a4-c3eb-431d-a14c-eb9515266ff2)) + (segment (start 208.927274 74.127526) (end 203.974274 79.080526) (width 0.2) (layer "F.Cu") (net 370) (tstamp 09745ecd-4f6a-4efc-9d49-a985e5fae3e9)) + (segment (start 209.522874 77.924726) (end 210.508874 76.938726) (width 0.2) (layer "F.Cu") (net 370) (tstamp 16bc4f28-c8f7-4532-adfc-d79ed57c27eb)) + (segment (start 203.974274 79.080526) (end 201.942274 79.080526) (width 0.2) (layer "F.Cu") (net 370) (tstamp 212f50f8-a9be-4530-8f6a-333f639d5176)) + (segment (start 210.508874 76.938726) (end 210.508874 75.262726) (width 0.2) (layer "F.Cu") (net 370) (tstamp 3e7855ca-4f75-4390-9427-7e9da5d447ca)) + (segment (start 210.508874 74.325226) (end 210.311174 74.127526) (width 0.2) (layer "F.Cu") (net 370) (tstamp 503a3dc1-4257-4305-bc74-29d549e563db)) + (segment (start 210.508874 75.262726) (end 210.508874 74.325226) (width 0.2) (layer "F.Cu") (net 370) (tstamp bf18623f-03ad-44d6-936d-349228ede937)) + (segment (start 210.311174 74.127526) (end 208.927274 74.127526) (width 0.2) (layer "F.Cu") (net 370) (tstamp f81d6d33-d244-4294-85d7-ffc72a8d2937)) + (segment (start 180.352274 76.642126) (end 182.435074 76.642126) (width 0.2) (layer "F.Cu") (net 371) (tstamp 0bfd498a-47eb-4e93-b764-b17d926fe7cd)) + (segment (start 184.8015 74.2757) (end 188.026286 74.2757) (width 0.2) (layer "F.Cu") (net 371) (tstamp 275cf4b5-2a13-4285-8f2a-79904f19079b)) + (segment (start 182.435074 76.642126) (end 184.8015 74.2757) (width 0.2) (layer "F.Cu") (net 371) (tstamp 398bc94d-7004-44f3-acef-df01833f1387)) + (segment (start 188.026286 74.2757) (end 188.6106 74.860014) (width 0.2) (layer "F.Cu") (net 371) (tstamp 3c8f08ea-8ed5-4736-83fe-6e02e3eaa888)) + (segment (start 188.6106 74.860014) (end 188.6106 75.7132) (width 0.2) (layer "F.Cu") (net 371) (tstamp 9485dcee-1ff0-47d1-9a16-a004039fb7ca)) + (segment (start 188.6106 77.6422) (end 189.3316 78.3632) (width 0.2) (layer "F.Cu") (net 371) (tstamp eab3c51d-2e2d-4230-9740-4feaa6d399df)) + (segment (start 188.6106 75.7132) (end 188.6106 77.6422) (width 0.2) (layer "F.Cu") (net 371) (tstamp f1975bf0-ddf6-46b2-86e4-59b8b34ea4ed)) + (segment (start 204.049074 81.620526) (end 201.942274 81.620526) (width 0.2) (layer "F.Cu") (net 372) (tstamp 08b1d085-61f5-47c7-8f8c-5923a5bf847a)) + (segment (start 209.858874 75.262726) (end 209.858874 76.200226) (width 0.2) (layer "F.Cu") (net 372) (tstamp 26188224-be93-4fbe-9e6f-413d60af3480)) + (segment (start 207.744874 77.924726) (end 204.049074 81.620526) (width 0.2) (layer "F.Cu") (net 372) (tstamp 4bb72564-ef99-411a-94dc-a9a894be6b6d)) + (segment (start 208.134374 77.924726) (end 207.744874 77.924726) (width 0.2) (layer "F.Cu") (net 372) (tstamp 4c78f9a8-1cfd-4e2e-833d-4749cd855296)) + (segment (start 209.858874 76.200226) (end 208.134374 77.924726) (width 0.2) (layer "F.Cu") (net 372) (tstamp 9296eab2-8d8f-4ef2-8392-61f91b7e7ecd)) + (segment (start 180.352274 79.182126) (end 182.435074 79.182126) (width 0.2) (layer "F.Cu") (net 373) (tstamp 009fc6e2-22de-4add-9647-8652c8bf90fd)) + (segment (start 187.9606 75.7132) (end 187.9606 77.9682) (width 0.2) (layer "F.Cu") (net 373) (tstamp 1107d737-198a-4cac-a072-b0836db6b7a8)) + (segment (start 187.8606 74.6757) (end 187.9606 74.7757) (width 0.2) (layer "F.Cu") (net 373) (tstamp 4c46b510-ed82-46d9-9ccc-1e8903d56533)) + (segment (start 182.435074 79.182126) (end 186.9415 74.6757) (width 0.2) (layer "F.Cu") (net 373) (tstamp ca4b3262-04f0-4446-a5df-cc19cf608c0d)) + (segment (start 187.9606 74.7757) (end 187.9606 75.7132) (width 0.2) (layer "F.Cu") (net 373) (tstamp df4d7d2b-6b15-4933-b61a-9fbe4b2fc911)) + (segment (start 187.9606 77.9682) (end 187.5536 78.3752) (width 0.2) (layer "F.Cu") (net 373) (tstamp df9a2487-02cb-4df3-acb8-e606442b9c12)) + (segment (start 186.9415 74.6757) (end 187.8606 74.6757) (width 0.2) (layer "F.Cu") (net 373) (tstamp fbeb590c-70a1-43b9-9fe3-77268cf5593e)) + (segment (start 187.3106 76.8402) (end 185.7756 78.3752) (width 0.2) (layer "F.Cu") (net 374) (tstamp 40a067d0-c82f-4b5b-981b-ce65f01231b8)) + (segment (start 187.3106 75.7132) (end 187.3106 76.8402) (width 0.2) (layer "F.Cu") (net 374) (tstamp 4a5439e2-cd61-4990-bdd6-51c110c4834f)) + (segment (start 180.352274 81.722126) (end 182.428674 81.722126) (width 0.2) (layer "F.Cu") (net 374) (tstamp 6a2717b7-f6a8-42e3-aae8-9920b40cf33b)) + (segment (start 185.7756 78.3752) (end 182.774774 81.376026) (width 0.2) (layer "F.Cu") (net 374) (tstamp 6b55f25c-2520-475c-8da4-dd63d2dc241c)) + (segment (start 182.774774 81.376026) (end 182.435074 81.715726) (width 0.2) (layer "F.Cu") (net 374) (tstamp 7f3ee2ca-79df-4aa8-ad43-cfdd13799317)) + (segment (start 182.435074 81.715726) (end 182.435074 81.722126) (width 0.2) (layer "F.Cu") (net 374) (tstamp d05a359a-b0b9-44b4-92aa-db7423418a2a)) + (segment (start 182.428674 81.722126) (end 182.774774 81.376026) (width 0.2) (layer "F.Cu") (net 374) (tstamp e050e67e-5522-4a05-8af0-737f3d1502cb)) + (segment (start 180.352274 40.726526) (end 180.860274 40.218526) (width 0.2) (layer "F.Cu") (net 375) (tstamp 2a7d39ed-0b14-48a5-a1d3-a1ad47cb36b6)) + (segment (start 191.6371 44.3252) (end 189.9126 46.0497) (width 0.2) (layer "F.Cu") (net 375) (tstamp 3aae9f49-5578-4b43-b8cc-60ec629d67b3)) + (segment (start 189.9126 46.0497) (end 189.9126 46.9872) (width 0.2) (layer "F.Cu") (net 375) (tstamp 4d5bc4bf-6809-42b8-ab1c-f9a174189797)) + (segment (start 180.860274 40.218526) (end 189.242274 40.218526) (width 0.2) (layer "F.Cu") (net 375) (tstamp 8567b224-d7b1-47b5-ae14-143ca479fc64)) + (segment (start 191.020274 43.471874) (end 191.8736 44.3252) (width 0.2) (layer "F.Cu") (net 375) (tstamp a78586eb-ab96-400d-b1d5-107b736f5890)) + (segment (start 191.020274 41.996526) (end 191.020274 43.471874) (width 0.2) (layer "F.Cu") (net 375) (tstamp cbec77ee-b608-4d2b-9d10-361c05039b8d)) + (segment (start 191.8736 44.3252) (end 191.6371 44.3252) (width 0.2) (layer "F.Cu") (net 375) (tstamp e6b192ed-4e14-475b-b31f-5e844adfa8fb)) + (segment (start 189.242274 40.218526) (end 191.020274 41.996526) (width 0.2) (layer "F.Cu") (net 375) (tstamp edeb16a9-4d6c-4527-a963-f6e6ebfb4403)) + (segment (start 189.242274 43.471874) (end 190.0956 44.3252) (width 0.2) (layer "F.Cu") (net 376) (tstamp 32f56ef9-9ffc-4a49-84ae-b6b582c1ddf5)) + (segment (start 184.0466 41.2232) (end 188.087948 41.2232) (width 0.2) (layer "F.Cu") (net 376) (tstamp 57e2a5c5-c8f4-45a2-8af0-3c8f911069ad)) + (segment (start 188.087948 41.2232) (end 189.242274 42.377526) (width 0.2) (layer "F.Cu") (net 376) (tstamp 7266c85e-7a8a-4314-875f-ae609b1bbf2a)) + (segment (start 180.352274 43.266526) (end 182.003274 43.266526) (width 0.2) (layer "F.Cu") (net 376) (tstamp 768c06fd-27eb-443f-8de6-bbfd703568f8)) + (segment (start 182.003274 43.266526) (end 184.0466 41.2232) (width 0.2) (layer "F.Cu") (net 376) (tstamp 9484cc10-e55a-4d3f-9b71-eb05e2880590)) + (segment (start 189.242274 42.377526) (end 189.242274 43.471874) (width 0.2) (layer "F.Cu") (net 376) (tstamp addd95b8-79aa-49a1-bd89-665c856449fe)) + (segment (start 189.2626 45.1582) (end 189.2626 46.9872) (width 0.2) (layer "F.Cu") (net 376) (tstamp c5f557d3-8c79-40a0-a37c-f8b793f12d0b)) + (segment (start 190.0956 44.3252) (end 189.2626 45.1582) (width 0.2) (layer "F.Cu") (net 376) (tstamp eb115eed-e600-4042-b9f0-65c2264fad7d)) + (segment (start 182.638274 43.520526) (end 187.512926 43.520526) (width 0.2) (layer "F.Cu") (net 377) (tstamp 641605e8-b0bd-4d2f-ac6a-894ee07f93c9)) + (segment (start 187.512926 43.520526) (end 188.6126 44.6202) (width 0.2) (layer "F.Cu") (net 377) (tstamp 92722826-c16d-4853-a136-5b31dc322113)) + (segment (start 180.352274 45.806526) (end 182.638274 43.520526) (width 0.2) (layer "F.Cu") (net 377) (tstamp bab34257-0aff-44e0-acca-4984ec3bc37f)) + (segment (start 188.6126 44.6202) (end 188.6126 46.9872) (width 0.2) (layer "F.Cu") (net 377) (tstamp c39baab2-5e6f-44c6-b5f0-68f744af84be)) + (segment (start 187.9626 45.7482) (end 187.9626 46.9872) (width 0.2) (layer "F.Cu") (net 378) (tstamp 31b4e3cd-bee8-47e5-b0a3-c8c7b3b307d9)) + (segment (start 187.9626 47.8997) (end 187.9626 46.9872) (width 0.2) (layer "F.Cu") (net 378) (tstamp 3d777d90-94db-40d2-a768-9e8de3b5ed95)) + (segment (start 180.352274 48.346526) (end 187.515774 48.346526) (width 0.2) (layer "F.Cu") (net 378) (tstamp 7db24887-6ace-409b-8d7b-7cdba2aaacad)) + (segment (start 187.515774 48.346526) (end 187.9626 47.8997) (width 0.2) (layer "F.Cu") (net 378) (tstamp 93ca37e5-16f4-4277-af08-23aa4f85d339)) + (segment (start 186.5396 44.3252) (end 187.9626 45.7482) (width 0.2) (layer "F.Cu") (net 378) (tstamp f6a4b2ed-9c29-45ec-9887-671f3867fff7)) + (segment (start 188.223426 50.886526) (end 189.2626 51.9257) (width 0.2) (layer "F.Cu") (net 379) (tstamp 0fb3f6b6-f987-495a-9175-589a7b026127)) + (segment (start 189.2626 52.8632) (end 189.2626 53.716386) (width 0.2) (layer "F.Cu") (net 379) (tstamp 2e6eac0e-d35c-4a05-a04e-33ce0d2abf69)) + (segment (start 190.563414 55.0172) (end 191.1116 55.0172) (width 0.2) (layer "F.Cu") (net 379) (tstamp 37db1179-fdda-4508-926d-77fd581f35e9)) + (segment (start 180.352274 50.886526) (end 188.223426 50.886526) (width 0.2) (layer "F.Cu") (net 379) (tstamp 4ffda1d3-750c-4e71-b01e-6bc8eb152777)) + (segment (start 189.2626 53.716386) (end 190.563414 55.0172) (width 0.2) (layer "F.Cu") (net 379) (tstamp abda5f94-d289-48f1-8839-c45e39ded87c)) + (segment (start 189.2626 51.9257) (end 189.2626 52.8632) (width 0.2) (layer "F.Cu") (net 379) (tstamp ace8e061-d422-42ac-9718-a9860b41c155)) + (segment (start 188.6126 52.8632) (end 188.6126 54.2962) (width 0.2) (layer "F.Cu") (net 380) (tstamp 267847fe-2e2e-495f-8775-8d2601453165)) + (segment (start 188.6126 54.2962) (end 189.3336 55.0172) (width 0.2) (layer "F.Cu") (net 380) (tstamp 2d04832a-5b62-45fc-9ad8-f66dbc5c6421)) + (segment (start 188.196914 51.4257) (end 188.6126 51.841386) (width 0.2) (layer "F.Cu") (net 380) (tstamp 8d245804-a96f-4495-9c2e-bb826faaf7e7)) + (segment (start 180.352274 53.426526) (end 182.3531 51.4257) (width 0.2) (layer "F.Cu") (net 380) (tstamp 9a42a123-3100-411a-9cec-58667f7df04e)) + (segment (start 182.3531 51.4257) (end 188.196914 51.4257) (width 0.2) (layer "F.Cu") (net 380) (tstamp 9f45d42a-e7e5-44ea-b00c-adda6c4a6687)) + (segment (start 188.6126 51.841386) (end 188.6126 52.8632) (width 0.2) (layer "F.Cu") (net 380) (tstamp dbfb787a-125d-41cc-a03a-b3a34cbafad6)) + (segment (start 187.9626 54.6102) (end 187.9626 52.8632) (width 0.2) (layer "F.Cu") (net 381) (tstamp 580f9fc1-a5d2-419b-854c-c235b296b677)) + (segment (start 180.352274 55.966526) (end 182.435074 55.966526) (width 0.2) (layer "F.Cu") (net 381) (tstamp 6573c6c4-f560-4a96-9d7c-2f94c34e06c6)) + (segment (start 182.435074 55.966526) (end 186.575274 51.826326) (width 0.2) (layer "F.Cu") (net 381) (tstamp 753a72ed-38db-4fd7-9b61-7a34e8d19f92)) + (segment (start 186.575274 51.826326) (end 186.575274 51.8257) (width 0.2) (layer "F.Cu") (net 381) (tstamp c211ace2-9474-4273-b603-e26809db097f)) + (segment (start 187.9626 51.9257) (end 187.9626 52.8632) (width 0.2) (layer "F.Cu") (net 381) (tstamp dffe38f6-a1ef-4af4-8f9d-02b6ed0920de)) + (segment (start 187.8626 51.8257) (end 187.9626 51.9257) (width 0.2) (layer "F.Cu") (net 381) (tstamp e03e828c-cc6d-4c94-a168-c23ef36409ea)) + (segment (start 187.5556 55.0172) (end 187.9626 54.6102) (width 0.2) (layer "F.Cu") (net 381) (tstamp e6c2c854-ad47-4686-9c1a-33d30461616b)) + (segment (start 186.575274 51.8257) (end 187.8626 51.8257) (width 0.2) (layer "F.Cu") (net 381) (tstamp f06c99e7-37c3-42a8-b11a-b055f8f86b8a)) + (segment (start 187.3126 52.8632) (end 187.3126 53.4822) (width 0.2) (layer "F.Cu") (net 382) (tstamp 12427779-7b57-4838-91cc-52d1975dd706)) + (segment (start 182.288274 58.506526) (end 185.7776 55.0172) (width 0.2) (layer "F.Cu") (net 382) (tstamp 48618ba7-28e9-4204-ac61-a44ee70a31f4)) + (segment (start 187.3126 53.4822) (end 185.7776 55.0172) (width 0.2) (layer "F.Cu") (net 382) (tstamp 4fdf215e-1243-4707-8340-1cfc50dcbe2f)) + (segment (start 180.352274 58.506526) (end 182.288274 58.506526) (width 0.2) (layer "F.Cu") (net 382) (tstamp c3ac5d9e-ae9f-4f0f-810e-e19a801b4f2a)) + (segment (start 179.895074 58.506526) (end 179.532074 58.869526) (width 0.2) (layer "B.Cu") (net 382) (tstamp a66dfc01-0cfb-4127-bfa0-0a6bc37a095e)) + (segment (start 163.6796 90.516) (end 163.4796 90.316) (width 0.2) (layer "F.Cu") (net 383) (tstamp 86a34d9f-921b-4db7-9ec2-3ec460443203)) + (segment (start 163.4796 90.316) (end 161.6456 90.316) (width 0.2) (layer "F.Cu") (net 383) (tstamp c997a0a2-2f27-496f-a5e0-9de834a30339)) + (via (at 163.6796 90.516) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 383) (tstamp 6e528e30-cc28-4d07-a0f4-2eb53e330325)) + (segment (start 163.6796 91.4112) (end 163.6796 90.516) (width 0.2) (layer "B.Cu") (net 383) (tstamp 686a72fb-b38f-44f9-bf4a-3d2111206c07)) + (segment (start 169.408674 44.902926) (end 164.0102 44.902926) (width 0.2) (layer "B.Cu") (net 383) (tstamp 91bd83f4-ef2f-4918-9704-de78c5410223)) + (segment (start 145.7076 63.205526) (end 145.7076 94.0132) (width 0.2) (layer "B.Cu") (net 383) (tstamp a239fa8a-6f6f-46ce-a6f9-f01be22d0b46)) + (segment (start 164.0102 44.902926) (end 145.7076 63.205526) (width 0.2) (layer "B.Cu") (net 383) (tstamp b41ca2ce-dc1b-437d-805f-ad1f0aa4538b)) + (segment (start 146.5346 94.8402) (end 160.2506 94.8402) (width 0.2) (layer "B.Cu") (net 383) (tstamp b8575e25-5d6b-416e-b58f-b71374e1d23f)) + (segment (start 160.2506 94.8402) (end 163.6796 91.4112) (width 0.2) (layer "B.Cu") (net 383) (tstamp e4717292-6ffc-417d-85d7-e5bae9c7966b)) + (segment (start 145.7076 94.0132) (end 146.5346 94.8402) (width 0.2) (layer "B.Cu") (net 383) (tstamp fd3e3d24-0db3-419e-aef5-74eb69883969)) + (segment (start 147.8042 93.4432) (end 147.9312 93.3162) (width 0.2) (layer "F.Cu") (net 384) (tstamp 2483f100-c491-4d07-9cfe-6891e08a05d1)) + (segment (start 147.9312 93.3162) (end 157.6954 93.3162) (width 0.2) (layer "F.Cu") (net 384) (tstamp e48dfb41-7c9d-4d7c-a256-761dc8824034)) + (segment (start 157.6954 93.3162) (end 157.6956 93.316) (width 0.2) (layer "F.Cu") (net 384) (tstamp e7417fd3-ec01-4b43-9ea8-7ad626927717)) + (segment (start 146.4076 93.4432) (end 147.8042 93.4432) (width 0.2) (layer "F.Cu") (net 384) (tstamp e936c314-688f-44b9-ab8d-b1326604ac92)) + (via (at 146.4076 93.4432) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 384) (tstamp e21c996c-712c-4c40-a56c-9b4a011c1806)) + (segment (start 162.035886 47.442926) (end 169.408674 47.442926) (width 0.2) (layer "B.Cu") (net 384) (tstamp 15b8d31e-0ccc-425d-893a-24df5e2e1d6e)) + (segment (start 146.4076 93.4432) (end 146.4076 63.071212) (width 0.2) (layer "B.Cu") (net 384) (tstamp 8631f4ec-ccb9-4b2e-940e-8c79fd245b16)) + (segment (start 146.4076 63.071212) (end 162.035886 47.442926) (width 0.2) (layer "B.Cu") (net 384) (tstamp c600ba0c-dca6-4139-a68a-033f0fea2475)) + (segment (start 207.784274 57.617526) (end 207.209274 58.192526) (width 0.2) (layer "F.Cu") (net 385) (tstamp 067cbbd5-0774-4d81-81b3-c76c29bb0c97)) + (segment (start 188.861274 37.805526) (end 207.784274 56.728526) (width 0.2) (layer "F.Cu") (net 385) (tstamp 133f7889-8cd6-4611-ae0c-c3174a3f4ff1)) + (segment (start 207.209274 58.192526) (end 206.375274 58.192526) (width 0.2) (layer "F.Cu") (net 385) (tstamp 22062b46-53a7-47c0-963c-385d30df201d)) + (segment (start 173.889874 49.982926) (end 175.526274 48.346526) (width 0.2) (layer "F.Cu") (net 385) (tstamp 3f34e7e4-0a8c-4edd-a34e-c8ebd1dd7465)) + (segment (start 171.908674 49.982926) (end 173.889874 49.982926) (width 0.2) (layer "F.Cu") (net 385) (tstamp 4633a8aa-1862-4728-88d0-92c3a19767a8)) + (segment (start 207.784274 56.728526) (end 207.784274 57.617526) (width 0.2) (layer "F.Cu") (net 385) (tstamp 51c5f30c-d819-4739-90f4-d85be1e01e2b)) + (segment (start 175.526274 38.948526) (end 176.669274 37.805526) (width 0.2) (layer "F.Cu") (net 385) (tstamp 6981d0c5-de1e-4521-b939-8b94426b406e)) + (segment (start 176.669274 37.805526) (end 188.861274 37.805526) (width 0.2) (layer "F.Cu") (net 385) (tstamp bd5df1e7-2bf4-4409-ab7c-3d7df9f5a318)) + (segment (start 175.526274 48.346526) (end 175.526274 38.948526) (width 0.2) (layer "F.Cu") (net 385) (tstamp f8d3deae-16c5-45e7-a5aa-f6c01a528d4b)) + (segment (start 147.2966 92.5542) (end 147.5584 92.816) (width 0.2) (layer "F.Cu") (net 386) (tstamp 3371f9ee-98d5-4b40-be4b-d8a9d6aa54ac)) + (segment (start 147.5584 92.816) (end 157.6956 92.816) (width 0.2) (layer "F.Cu") (net 386) (tstamp f115e675-b500-43f0-976f-c9f292b809bf)) + (via (at 147.2966 92.5542) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 386) (tstamp a695d98c-e6fc-4d1a-adda-6d2d80e4fdef)) + (segment (start 160.061572 49.982926) (end 147.2966 62.747898) (width 0.2) (layer "B.Cu") (net 386) (tstamp 4f44db0d-5586-4ef2-b656-4d86bbc6e0d6)) + (segment (start 169.408674 49.982926) (end 160.061572 49.982926) (width 0.2) (layer "B.Cu") (net 386) (tstamp cc045ed6-f072-4e41-862d-10835cbf5c5b)) + (segment (start 147.2966 62.747898) (end 147.2966 92.5542) (width 0.2) (layer "B.Cu") (net 386) (tstamp ecd22514-7724-45b9-9429-78b0e386a000)) + (segment (start 211.975274 59.492526) (end 212.975274 59.492526) (width 0.2) (layer "F.Cu") (net 387) (tstamp 0216ed9e-3734-4db8-aa83-57aeb55ee300)) + (segment (start 213.794274 53.848526) (end 196.951274 37.005526) (width 0.2) (layer "F.Cu") (net 387) (tstamp 1b9c3901-ebd8-4f42-98dc-7491cf054008)) + (segment (start 174.726274 43.558526) (end 173.381874 44.902926) (width 0.2) (layer "F.Cu") (net 387) (tstamp 6832cabe-5a8d-43ea-bd5b-e9139671bc9d)) + (segment (start 213.794274 58.673526) (end 213.794274 53.848526) (width 0.2) (layer "F.Cu") (net 387) (tstamp 9f7efa55-97b6-4682-bd1a-cfe2e4db8fa6)) + (segment (start 212.975274 59.492526) (end 213.794274 58.673526) (width 0.2) (layer "F.Cu") (net 387) (tstamp a72e2465-2f01-44ae-8945-bc0e29d65580)) + (segment (start 196.951274 37.005526) (end 176.337904 37.005526) (width 0.2) (layer "F.Cu") (net 387) (tstamp bcf1feae-9da0-4965-acf2-720758db30f3)) + (segment (start 176.337904 37.005526) (end 174.726274 38.617154) (width 0.2) (layer "F.Cu") (net 387) (tstamp c464a097-d913-4aaa-b59a-284a8304ef98)) + (segment (start 174.726274 38.617154) (end 174.726274 43.558526) (width 0.2) (layer "F.Cu") (net 387) (tstamp d995cd7c-aa79-4bf8-8403-6c08ec22ecca)) + (segment (start 173.381874 44.902926) (end 171.908674 44.902926) (width 0.2) (layer "F.Cu") (net 387) (tstamp ef6c6454-e9be-4bb0-8503-357f22d88ab5)) + (segment (start 172.442074 44.409526) (end 171.948674 44.902926) (width 0.2) (layer "In1.Cu") (net 387) (tstamp a402ea77-504d-4189-9aa4-f8a2a416c55f)) + (segment (start 175.126274 38.78284) (end 176.503589 37.405526) (width 0.2) (layer "F.Cu") (net 388) (tstamp 3c2fce85-7a6b-449c-bb94-8100aa005b94)) + (segment (start 176.503589 37.405526) (end 194.430274 37.405526) (width 0.2) (layer "F.Cu") (net 388) (tstamp 685c6d66-4f41-4666-bb9c-b721bf001629)) + (segment (start 173.635874 47.442926) (end 175.126274 45.952526) (width 0.2) (layer "F.Cu") (net 388) (tstamp 69843351-a9af-4606-b1a3-a07370f715a9)) + (segment (start 171.908674 47.442926) (end 173.635874 47.442926) (width 0.2) (layer "F.Cu") (net 388) (tstamp 8f37a5aa-5fb0-43e6-81df-a9ca186b1139)) + (segment (start 194.430274 37.405526) (end 211.213274 54.188526) (width 0.2) (layer "F.Cu") (net 388) (tstamp af3adc72-8fcb-458d-a0d2-731c00ffa588)) + (segment (start 211.213274 54.188526) (end 211.213274 55.585526) (width 0.2) (layer "F.Cu") (net 388) (tstamp b080adbe-3aba-4e09-bf46-6c5a8814d81c)) + (segment (start 211.213274 55.585526) (end 207.306274 59.492526) (width 0.2) (layer "F.Cu") (net 388) (tstamp b884bbca-89d9-4f8e-853d-cf8e7d153771)) + (segment (start 175.126274 45.952526) (end 175.126274 38.78284) (width 0.2) (layer "F.Cu") (net 388) (tstamp e0941d88-c6b8-4aa7-a4c6-f17dbd0591b3)) + (segment (start 207.306274 59.492526) (end 206.375274 59.492526) (width 0.2) (layer "F.Cu") (net 388) (tstamp e57f050f-17f9-46d3-bc7c-1ffbe3da1cab)) + (segment (start 214.170274 60.142526) (end 214.394274 60.366526) (width 0.2) (layer "F.Cu") (net 389) (tstamp 1b3f427f-3ae1-4b6d-bd47-0e9028a0e43b)) + (segment (start 211.975274 60.142526) (end 214.170274 60.142526) (width 0.2) (layer "F.Cu") (net 389) (tstamp 580db9c7-d8c4-44bf-957b-7c328f27d02f)) + (segment (start 214.394274 58.588526) (end 214.394274 60.366526) (width 0.2) (layer "F.Cu") (net 389) (tstamp 939ffa4a-89b1-49e2-81c5-1d740ee54853)) + (segment (start 206.375274 60.142526) (end 203.859274 60.142526) (width 0.2) (layer "F.Cu") (net 390) (tstamp 1a754151-1ab1-4ff4-ae99-7bc30380e078)) + (segment (start 203.859274 60.142526) (end 203.575274 59.858526) (width 0.2) (layer "F.Cu") (net 390) (tstamp 7a8094e8-bcb6-42f9-a41d-d14ea7b976ca)) + (segment (start 203.575274 59.858526) (end 203.575274 61.636526) (width 0.2) (layer "F.Cu") (net 390) (tstamp 9c6338a3-b257-4a5c-a839-75e8492a59ee)) + (segment (start 182.826674 94.955526) (end 176.922474 94.955526) (width 0.2) (layer "F.Cu") (net 391) (tstamp 763fef58-f3c3-4a66-aae7-52682dfea188)) + (segment (start 177.046674 107.508126) (end 177.026274 107.528526) (width 0.2) (layer "F.Cu") (net 392) (tstamp 16541a10-25af-4a35-8371-ea8f579fa74a)) + (segment (start 182.873874 107.508126) (end 177.046674 107.508126) (width 0.2) (layer "F.Cu") (net 392) (tstamp 94c054b7-862a-4ec6-b3a2-a0ac2b9d3000)) + (segment (start 182.805274 97.368526) (end 182.825274 97.388526) (width 0.2) (layer "F.Cu") (net 393) (tstamp 346742a2-3439-4c34-8317-6c6b26e0c019)) + (segment (start 182.674723 97.368526) (end 182.694723 97.388526) (width 0.2) (layer "F.Cu") (net 393) (tstamp 693c7349-9eb2-49cb-a16a-9500ad7f0b0f)) + (segment (start 176.972674 97.368526) (end 182.805274 97.368526) (width 0.2) (layer "F.Cu") (net 393) (tstamp a28f8f2d-7844-4534-b544-4589b5959760)) + (segment (start 182.523274 123.271526) (end 183.273274 122.521526) (width 0.2) (layer "F.Cu") (net 394) (tstamp 04cfe858-8e81-4658-a351-9d5efc9bcb34)) + (segment (start 163.80402 108.316) (end 161.6456 108.316) (width 0.2) (layer "F.Cu") (net 394) (tstamp 378a8998-a74b-4418-aa9b-da2e98d7203c)) + (segment (start 183.273274 116.926526) (end 182.473274 116.126526) (width 0.2) (layer "F.Cu") (net 394) (tstamp 5ad320b2-1e8b-4463-bd72-96da9dba17cc)) + (segment (start 183.273274 122.521526) (end 183.273274 116.926526) (width 0.2) (layer "F.Cu") (net 394) (tstamp 648e584e-ceac-4d38-892d-fb2a0c2938e2)) + (segment (start 182.473274 116.126526) (end 171.614546 116.126526) (width 0.2) (layer "F.Cu") (net 394) (tstamp ae8044ab-e43b-4eca-9154-5084372579b1)) + (segment (start 171.614546 116.126526) (end 163.80402 108.316) (width 0.2) (layer "F.Cu") (net 394) (tstamp e9a47827-0865-4f3e-806e-a18404d0621d)) + (segment (start 184.023274 123.271526) (end 188.630674 123.271526) (width 0.2) (layer "F.Cu") (net 395) (tstamp 555789b8-dfa6-4afd-abdd-5f71ca2a9712)) + (segment (start 188.630674 123.271526) (end 188.635674 123.266526) (width 0.2) (layer "F.Cu") (net 395) (tstamp f0faa434-ea40-4aaf-8df3-6b4180b203b4)) + (segment (start 169.303274 116.926526) (end 162.692748 110.316) (width 0.2) (layer "F.Cu") (net 396) (tstamp 44afeaeb-e453-47cd-afa4-aea285a0848f)) + (segment (start 181.495274 117.734212) (end 180.687588 116.926526) (width 0.2) (layer "F.Cu") (net 396) (tstamp 4be2a120-25d2-4e27-97d5-6030b8e05156)) + (segment (start 180.687588 116.926526) (end 169.303274 116.926526) (width 0.2) (layer "F.Cu") (net 396) (tstamp 5282c0d0-d4f9-4253-a280-91680b80fed0)) + (segment (start 162.692748 110.316) (end 161.6456 110.316) (width 0.2) (layer "F.Cu") (net 396) (tstamp 656ee895-a743-427f-9d17-4348d0845a22)) + (segment (start 181.495274 119.339526) (end 181.495274 117.734212) (width 0.2) (layer "F.Cu") (net 396) (tstamp 843306be-c719-4011-928e-c65da580474d)) + (segment (start 182.523274 120.731526) (end 181.495274 119.703526) (width 0.2) (layer "F.Cu") (net 396) (tstamp c67c65fa-372c-4fa9-97d3-be8e913d35a8)) + (segment (start 181.495274 119.703526) (end 181.495274 119.085526) (width 0.2) (layer "F.Cu") (net 396) (tstamp ef5cca6b-a107-4840-afc2-2aa83cde115a)) + (segment (start 184.028274 120.726526) (end 184.023274 120.731526) (width 0.2) (layer "F.Cu") (net 397) (tstamp 4c0fb3a2-d15c-47cc-a14b-d42cc14cbd08)) + (segment (start 188.635674 120.726526) (end 184.028274 120.726526) (width 0.2) (layer "F.Cu") (net 397) (tstamp c62385f8-fdca-4057-882c-78c1279c6fa9)) + (segment (start 163.462748 109.816) (end 168.668274 115.021526) (width 0.2) (layer "F.Cu") (net 398) (tstamp 714abc75-bbe2-4706-9d96-accd53074303)) + (segment (start 161.6456 109.816) (end 163.462748 109.816) (width 0.2) (layer "F.Cu") (net 398) (tstamp 8132c8c3-cbf4-4f7d-84e5-9c4747e23b9a)) + (segment (start 181.373274 125.811526) (end 182.523274 125.811526) (width 0.2) (layer "F.Cu") (net 398) (tstamp bf05c040-7a18-4e51-9fe6-02fe7fc0cf1b)) + (segment (start 181.368274 125.816526) (end 181.373274 125.811526) (width 0.2) (layer "F.Cu") (net 398) (tstamp f9b3a45b-2253-4aa4-a8bc-9033af9e4c9b)) + (via (at 168.668274 115.021526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 398) (tstamp 17de2929-dfeb-464e-a295-fa3f3a2848b5)) + (via (at 181.368274 125.816526) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 398) (tstamp c692b3e0-5376-4af3-98fa-0191e221266f)) + (segment (start 177.558274 125.816526) (end 181.368274 125.816526) (width 0.2) (layer "B.Cu") (net 398) (tstamp 3e6d2243-7756-4aa5-95c4-7d32625b1a7d)) + (segment (start 168.668274 116.926526) (end 177.558274 125.816526) (width 0.2) (layer "B.Cu") (net 398) (tstamp a07083fc-64f3-4a60-afb7-e62be5f1429d)) + (segment (start 168.668274 115.021526) (end 168.668274 116.926526) (width 0.2) (layer "B.Cu") (net 398) (tstamp fb458f1f-0d26-4a8c-879f-37c72b190572)) + (segment (start 188.635674 125.806526) (end 184.028274 125.806526) (width 0.2) (layer "F.Cu") (net 399) (tstamp ab3c2cc0-09ca-4c70-a6fe-af0a34fa9570)) + (segment (start 184.028274 125.806526) (end 184.023274 125.811526) (width 0.2) (layer "F.Cu") (net 399) (tstamp df33e7a8-4ad6-47b4-ad58-84fc863b5324)) + (segment (start 184.023274 118.196526) (end 188.630674 118.196526) (width 0.2) (layer "F.Cu") (net 400) (tstamp 0dc25d6f-7d74-4782-8b47-032a3b05b7c2)) + (segment (start 188.630674 118.196526) (end 188.635674 118.191526) (width 0.2) (layer "F.Cu") (net 400) (tstamp f6580663-668a-476e-934a-3be0e5f01559)) + (segment (start 171.443274 116.526526) (end 165.701092 110.784344) (width 0.2) (layer "F.Cu") (net 401) (tstamp 535f4961-017a-4b9d-933a-e27d9e977ede)) + (segment (start 165.701092 110.784344) (end 165.701092 110.778758) (width 0.2) (layer "F.Cu") (net 401) (tstamp 79d4f287-bcfd-417b-a47f-ae6f8d67ea04)) + (segment (start 180.853274 116.526526) (end 171.443274 116.526526) (width 0.2) (layer "F.Cu") (net 401) (tstamp bd6585fd-c8eb-4eb9-9caa-18d325a52d67)) + (segment (start 165.701092 110.778758) (end 164.238334 109.316) (width 0.2) (layer "F.Cu") (net 401) (tstamp ca4a6981-8c96-4e6b-91c8-4ab0dcc3769a)) + (segment (start 182.523274 118.196526) (end 180.853274 116.526526) (width 0.2) (layer "F.Cu") (net 401) (tstamp dd899ef5-a21c-4110-93aa-95465916f31f)) + (segment (start 164.238334 109.316) (end 161.6456 109.316) (width 0.2) (layer "F.Cu") (net 401) (tstamp e3e9dfc5-986b-4f67-81c5-70d561cc247d)) (zone (net 2) (net_name "GND") (layer "In1.Cu") (tstamp c5954f54-7ecf-4292-9894-901ce7ec4e6d) (hatch edge 0.5) (connect_pads (clearance 0.5)) @@ -27701,6 +28369,23 @@ (xy 218.985674 30.861) ) ) + (filled_polygon + (layer "In1.Cu") + (pts + (xy 179.003781 157.737682) + (xy 178.963274 157.875637) + (xy 178.963274 158.019415) + (xy 179.003781 158.15737) + (xy 179.029588 158.197526) + (xy 177.35696 158.197526) + (xy 177.382767 158.15737) + (xy 177.423274 158.019415) + (xy 177.423274 157.875637) + (xy 177.382767 157.737682) + (xy 177.35696 157.697526) + (xy 179.029588 157.697526) + ) + ) (filled_polygon (layer "In1.Cu") (pts @@ -27710,10 +28395,10 @@ (xy 119.844555 123.39944) (xy 119.863779 123.424493) (xy 119.86378 123.424494) - (xy 119.894761 123.448266) - (xy 119.934847 123.479025) - (xy 119.934848 123.479027) - (xy 119.978957 123.512872) + (xy 119.883556 123.439668) + (xy 119.932801 123.477455) + (xy 119.932802 123.477457) + (xy 119.97857 123.512575) (xy 119.989221 123.520748) (xy 119.989223 123.520748) (xy 119.989225 123.52075) @@ -27804,10 +28489,9 @@ (xy 117.6176 118.504256) (xy 117.617601 118.504259) (xy 117.676092 118.684277) - (xy 117.676094 118.684281) (xy 117.676095 118.684284) (xy 117.770741 118.848216) - (xy 117.892201 118.983111) + (xy 117.839707 118.92481) (xy 117.897403 118.988888) (xy 118.050539 119.100148) (xy 118.050544 119.100151) @@ -27899,6 +28583,140 @@ (xy 65.753894 159.847773) (xy 65.708008 159.795083) (xy 65.696674 159.743291) + (xy 65.696674 158.84537) + (xy 175.573274 158.84537) + (xy 175.579675 158.904898) + (xy 175.579677 158.904905) + (xy 175.629919 159.039612) + (xy 175.629923 159.039619) + (xy 175.716083 159.154713) + (xy 175.716086 159.154716) + (xy 175.83118 159.240876) + (xy 175.831187 159.24088) + (xy 175.965894 159.291122) + (xy 175.965901 159.291124) + (xy 176.025429 159.297525) + (xy 176.025446 159.297526) + (xy 176.673274 159.297526) + (xy 176.673274 158.383027) + (xy 176.780959 158.432206) + (xy 176.887511 158.447526) + (xy 176.959037 158.447526) + (xy 177.065589 158.432206) + (xy 177.173274 158.383027) + (xy 177.173274 159.297526) + (xy 177.821102 159.297526) + (xy 177.821118 159.297525) + (xy 177.880646 159.291124) + (xy 177.880653 159.291122) + (xy 178.01536 159.24088) + (xy 178.015367 159.240876) + (xy 178.130461 159.154716) + (xy 178.130464 159.154713) + (xy 178.216624 159.039619) + (xy 178.216628 159.039612) + (xy 178.265888 158.907539) + (xy 178.307759 158.851605) + (xy 178.373223 158.827188) + (xy 178.441496 158.842039) + (xy 178.469751 158.863191) + (xy 178.592191 158.985631) + (xy 178.785695 159.121126) + (xy 178.999781 159.220955) + (xy 178.99979 159.220959) + (xy 179.213274 159.27816) + (xy 179.213274 158.383027) + (xy 179.320959 158.432206) + (xy 179.427511 158.447526) + (xy 179.499037 158.447526) + (xy 179.605589 158.432206) + (xy 179.713274 158.383027) + (xy 179.713274 159.278159) + (xy 179.926757 159.220959) + (xy 179.926766 159.220955) + (xy 180.140852 159.121126) + (xy 180.334356 158.985631) + (xy 180.501379 158.818608) + (xy 180.636874 158.625104) + (xy 180.736703 158.411018) + (xy 180.736706 158.411012) + (xy 180.79391 158.197526) + (xy 179.89696 158.197526) + (xy 179.922767 158.15737) + (xy 179.963274 158.019415) + (xy 179.963274 157.875637) + (xy 179.922767 157.737682) + (xy 179.89696 157.697526) + (xy 180.79391 157.697526) + (xy 180.793909 157.697525) + (xy 180.736706 157.484039) + (xy 180.736703 157.484033) + (xy 180.636874 157.269948) + (xy 180.636873 157.269946) + (xy 180.501387 157.076452) + (xy 180.501382 157.076446) + (xy 180.334356 156.90942) + (xy 180.140852 156.773925) + (xy 179.926766 156.674096) + (xy 179.92676 156.674093) + (xy 179.713274 156.61689) + (xy 179.713274 157.512024) + (xy 179.605589 157.462846) + (xy 179.499037 157.447526) + (xy 179.427511 157.447526) + (xy 179.320959 157.462846) + (xy 179.213274 157.512024) + (xy 179.213274 156.61689) + (xy 179.213273 156.61689) + (xy 178.999787 156.674093) + (xy 178.999781 156.674096) + (xy 178.785696 156.773925) + (xy 178.785694 156.773926) + (xy 178.5922 156.909412) + (xy 178.469751 157.031861) + (xy 178.408428 157.065345) + (xy 178.338736 157.060361) + (xy 178.282803 157.018489) + (xy 178.265888 156.987512) + (xy 178.216628 156.855439) + (xy 178.216624 156.855432) + (xy 178.130464 156.740338) + (xy 178.130461 156.740335) + (xy 178.015367 156.654175) + (xy 178.01536 156.654171) + (xy 177.880653 156.603929) + (xy 177.880646 156.603927) + (xy 177.821118 156.597526) + (xy 177.173274 156.597526) + (xy 177.173274 157.512024) + (xy 177.065589 157.462846) + (xy 176.959037 157.447526) + (xy 176.887511 157.447526) + (xy 176.780959 157.462846) + (xy 176.673274 157.512024) + (xy 176.673274 156.597526) + (xy 176.025429 156.597526) + (xy 175.965901 156.603927) + (xy 175.965894 156.603929) + (xy 175.831187 156.654171) + (xy 175.83118 156.654175) + (xy 175.716086 156.740335) + (xy 175.716083 156.740338) + (xy 175.629923 156.855432) + (xy 175.629919 156.855439) + (xy 175.579677 156.990146) + (xy 175.579675 156.990153) + (xy 175.573274 157.049681) + (xy 175.573274 157.697526) + (xy 176.489588 157.697526) + (xy 176.463781 157.737682) + (xy 176.423274 157.875637) + (xy 176.423274 158.019415) + (xy 176.463781 158.15737) + (xy 176.489588 158.197526) + (xy 175.573274 158.197526) + (xy 175.573274 158.84537) + (xy 65.696674 158.84537) (xy 65.696674 149.218717) (xy 97.747992 149.218717) (xy 97.753174 149.258077) @@ -27999,7 +28817,10 @@ (xy 103.780575 154.498008) (xy 103.878594 154.287805) (xy 103.938623 154.063776) - (xy 103.958837 153.832726) + (xy 103.955949 153.865733) + (xy 103.958837 153.832727) + (xy 103.958837 153.832724) + (xy 103.938623 153.601681) (xy 103.938623 153.601676) (xy 103.878594 153.377647) (xy 103.780575 153.167445) @@ -28045,7 +28866,7 @@ (xy 144.606229 151.839277) (xy 144.60623 151.839279) (xy 144.666738 151.985358) - (xy 144.762992 152.110799) + (xy 144.733249 152.072037) (xy 144.762993 152.1108) (xy 144.788043 152.130021) (xy 144.800238 152.140716) @@ -28108,63 +28929,62 @@ (xy 150.106594 154.211605) (xy 150.166623 153.987576) (xy 150.186837 153.756526) - (xy 150.184137 153.72567) - (xy 150.181061 153.690511) (xy 150.166623 153.525476) (xy 150.106594 153.301447) (xy 150.008575 153.091245) (xy 150.008573 153.091242) (xy 150.008572 153.09124) + (xy 149.897898 152.933181) + (xy 205.227814 152.933181) + (xy 205.2476 153.121437) + (xy 205.247601 153.12144) + (xy 205.306092 153.301458) + (xy 205.306095 153.301465) + (xy 205.400741 153.465397) + (xy 205.454835 153.525474) + (xy 205.527403 153.606069) + (xy 205.680539 153.717329) + (xy 205.680544 153.717332) + (xy 205.853466 153.794323) + (xy 205.853471 153.794325) + (xy 206.038628 153.833681) + (xy 206.038629 153.833681) + (xy 206.227918 153.833681) + (xy 206.22792 153.833681) + (xy 206.413077 153.794325) + (xy 206.586004 153.717332) + (xy 206.739145 153.606069) + (xy 206.865807 153.465397) + (xy 206.960453 153.301465) + (xy 207.018948 153.121437) + (xy 207.038734 152.933181) + (xy 207.018948 152.744925) + (xy 206.960453 152.564897) + (xy 206.865807 152.400965) + (xy 206.739145 152.260293) + (xy 206.705428 152.235796) + (xy 206.586008 152.149032) + (xy 206.586003 152.149029) + (xy 206.413081 152.072038) + (xy 206.413076 152.072036) + (xy 206.245934 152.03651) + (xy 206.22792 152.032681) + (xy 206.038628 152.032681) + (xy 206.020614 152.03651) + (xy 205.853471 152.072036) + (xy 205.853466 152.072038) + (xy 205.680544 152.149029) + (xy 205.680539 152.149032) + (xy 205.527403 152.260292) + (xy 205.40074 152.400966) + (xy 205.306095 152.564896) + (xy 205.306092 152.564903) + (xy 205.248222 152.74301) + (xy 205.2476 152.744925) + (xy 205.227814 152.933181) + (xy 149.897898 152.933181) (xy 149.875547 152.901261) (xy 149.875542 152.901255) - (xy 149.838813 152.864526) - (xy 202.949414 152.864526) - (xy 202.9692 153.052782) - (xy 202.969201 153.052785) - (xy 203.027692 153.232803) - (xy 203.027695 153.23281) - (xy 203.122341 153.396742) - (xy 203.249003 153.537414) - (xy 203.402139 153.648674) - (xy 203.402144 153.648677) - (xy 203.575066 153.725668) - (xy 203.575071 153.72567) - (xy 203.760228 153.765026) - (xy 203.760229 153.765026) - (xy 203.949518 153.765026) - (xy 203.94952 153.765026) - (xy 204.134677 153.72567) - (xy 204.307604 153.648677) - (xy 204.460745 153.537414) - (xy 204.587407 153.396742) - (xy 204.682053 153.23281) - (xy 204.740548 153.052782) - (xy 204.760334 152.864526) - (xy 204.740548 152.67627) - (xy 204.682053 152.496242) - (xy 204.587407 152.33231) - (xy 204.460745 152.191638) - (xy 204.460744 152.191637) - (xy 204.307608 152.080377) - (xy 204.307603 152.080374) - (xy 204.134681 152.003383) - (xy 204.134676 152.003381) - (xy 203.988875 151.972391) - (xy 203.94952 151.964026) - (xy 203.760228 151.964026) - (xy 203.727771 151.970924) - (xy 203.575071 152.003381) - (xy 203.575066 152.003383) - (xy 203.402144 152.080374) - (xy 203.402139 152.080377) - (xy 203.249003 152.191637) - (xy 203.12234 152.332311) - (xy 203.027695 152.496241) - (xy 203.027692 152.496248) - (xy 202.984042 152.630591) - (xy 202.9692 152.67627) - (xy 202.949414 152.864526) - (xy 149.838813 152.864526) (xy 149.711543 152.737256) (xy 149.521556 152.604225) (xy 149.510152 152.598907) @@ -28201,63 +29021,62 @@ (xy 150.106594 149.131605) (xy 150.166623 148.907576) (xy 150.186837 148.676526) - (xy 150.184137 148.64567) - (xy 150.181061 148.610511) (xy 150.166623 148.445476) (xy 150.106594 148.221447) (xy 150.008575 148.011245) (xy 150.008573 148.011242) (xy 150.008572 148.01124) + (xy 149.897898 147.853181) + (xy 205.227814 147.853181) + (xy 205.2476 148.041437) + (xy 205.247601 148.04144) + (xy 205.306092 148.221458) + (xy 205.306095 148.221465) + (xy 205.400741 148.385397) + (xy 205.454835 148.445474) + (xy 205.527403 148.526069) + (xy 205.680539 148.637329) + (xy 205.680544 148.637332) + (xy 205.853466 148.714323) + (xy 205.853471 148.714325) + (xy 206.038628 148.753681) + (xy 206.038629 148.753681) + (xy 206.227918 148.753681) + (xy 206.22792 148.753681) + (xy 206.413077 148.714325) + (xy 206.586004 148.637332) + (xy 206.739145 148.526069) + (xy 206.865807 148.385397) + (xy 206.960453 148.221465) + (xy 207.018948 148.041437) + (xy 207.038734 147.853181) + (xy 207.018948 147.664925) + (xy 206.960453 147.484897) + (xy 206.865807 147.320965) + (xy 206.739145 147.180293) + (xy 206.705428 147.155796) + (xy 206.586008 147.069032) + (xy 206.586003 147.069029) + (xy 206.413081 146.992038) + (xy 206.413076 146.992036) + (xy 206.245934 146.95651) + (xy 206.22792 146.952681) + (xy 206.038628 146.952681) + (xy 206.020614 146.95651) + (xy 205.853471 146.992036) + (xy 205.853466 146.992038) + (xy 205.680544 147.069029) + (xy 205.680539 147.069032) + (xy 205.527403 147.180292) + (xy 205.40074 147.320966) + (xy 205.306095 147.484896) + (xy 205.306092 147.484903) + (xy 205.247601 147.664921) + (xy 205.2476 147.664925) + (xy 205.227814 147.853181) + (xy 149.897898 147.853181) (xy 149.875547 147.821261) (xy 149.875542 147.821255) - (xy 149.838813 147.784526) - (xy 202.949414 147.784526) - (xy 202.9692 147.972782) - (xy 202.969201 147.972785) - (xy 203.027692 148.152803) - (xy 203.027695 148.15281) - (xy 203.122341 148.316742) - (xy 203.249003 148.457414) - (xy 203.402139 148.568674) - (xy 203.402144 148.568677) - (xy 203.575066 148.645668) - (xy 203.575071 148.64567) - (xy 203.760228 148.685026) - (xy 203.760229 148.685026) - (xy 203.949518 148.685026) - (xy 203.94952 148.685026) - (xy 204.134677 148.64567) - (xy 204.307604 148.568677) - (xy 204.460745 148.457414) - (xy 204.587407 148.316742) - (xy 204.682053 148.15281) - (xy 204.740548 147.972782) - (xy 204.760334 147.784526) - (xy 204.740548 147.59627) - (xy 204.682053 147.416242) - (xy 204.587407 147.25231) - (xy 204.460745 147.111638) - (xy 204.460744 147.111637) - (xy 204.307608 147.000377) - (xy 204.307603 147.000374) - (xy 204.134681 146.923383) - (xy 204.134676 146.923381) - (xy 203.955391 146.885274) - (xy 203.94952 146.884026) - (xy 203.760228 146.884026) - (xy 203.754357 146.885274) - (xy 203.575071 146.923381) - (xy 203.575066 146.923383) - (xy 203.402144 147.000374) - (xy 203.402139 147.000377) - (xy 203.249003 147.111637) - (xy 203.12234 147.252311) - (xy 203.027695 147.416241) - (xy 203.027692 147.416248) - (xy 202.984188 147.550141) - (xy 202.9692 147.59627) - (xy 202.949414 147.784526) - (xy 149.838813 147.784526) (xy 149.711543 147.657256) (xy 149.521556 147.524225) (xy 149.510152 147.518907) @@ -28271,7 +29090,7 @@ (xy 150.008575 146.801808) (xy 150.106594 146.591605) (xy 150.166623 146.367576) - (xy 150.185162 146.155674) + (xy 150.183949 146.169533) (xy 150.186837 146.136527) (xy 150.186837 146.136524) (xy 150.17329 145.98168) @@ -28282,55 +29101,6 @@ (xy 150.390317 145.451716) (xy 150.402497 145.441035) (xy 150.427556 145.421808) - (xy 150.466139 145.371526) - (xy 184.407414 145.371526) - (xy 184.4272 145.559782) - (xy 184.427201 145.559785) - (xy 184.485692 145.739803) - (xy 184.485695 145.73981) - (xy 184.580341 145.903742) - (xy 184.6915 146.027196) - (xy 184.707003 146.044414) - (xy 184.860139 146.155674) - (xy 184.860144 146.155677) - (xy 185.033066 146.232668) - (xy 185.033071 146.23267) - (xy 185.218228 146.272026) - (xy 185.218229 146.272026) - (xy 185.407518 146.272026) - (xy 185.40752 146.272026) - (xy 185.592677 146.23267) - (xy 185.765604 146.155677) - (xy 185.918745 146.044414) - (xy 186.045407 145.903742) - (xy 186.140053 145.73981) - (xy 186.198548 145.559782) - (xy 186.218334 145.371526) - (xy 186.198548 145.18327) - (xy 186.140053 145.003242) - (xy 186.045407 144.83931) - (xy 185.918745 144.698638) - (xy 185.909602 144.691995) - (xy 185.765608 144.587377) - (xy 185.765603 144.587374) - (xy 185.592681 144.510383) - (xy 185.592676 144.510381) - (xy 185.446875 144.479391) - (xy 185.40752 144.471026) - (xy 185.218228 144.471026) - (xy 185.185771 144.477924) - (xy 185.033071 144.510381) - (xy 185.033066 144.510383) - (xy 184.860144 144.587374) - (xy 184.860139 144.587377) - (xy 184.707003 144.698637) - (xy 184.58034 144.839311) - (xy 184.485695 145.003241) - (xy 184.485692 145.003248) - (xy 184.435087 145.158995) - (xy 184.4272 145.18327) - (xy 184.407414 145.371526) - (xy 150.466139 145.371526) (xy 150.52381 145.296367) (xy 150.584318 145.150288) (xy 150.599774 145.032887) @@ -28338,201 +29108,253 @@ (xy 150.603731 144.984224) (xy 150.600835 144.962223) (xy 150.599774 144.946038) - (xy 150.599774 142.704526) - (xy 202.949414 142.704526) - (xy 202.9692 142.892782) - (xy 202.969201 142.892785) - (xy 203.027692 143.072803) - (xy 203.027695 143.07281) - (xy 203.122341 143.236742) - (xy 203.249003 143.377414) - (xy 203.402139 143.488674) - (xy 203.402144 143.488677) - (xy 203.575066 143.565668) - (xy 203.575071 143.56567) - (xy 203.760228 143.605026) - (xy 203.760229 143.605026) - (xy 203.949518 143.605026) - (xy 203.94952 143.605026) - (xy 204.134677 143.56567) - (xy 204.307604 143.488677) - (xy 204.460745 143.377414) - (xy 204.587407 143.236742) - (xy 204.682053 143.07281) - (xy 204.740548 142.892782) - (xy 204.760334 142.704526) - (xy 204.740548 142.51627) - (xy 204.682053 142.336242) - (xy 204.587407 142.17231) - (xy 204.460745 142.031638) - (xy 204.460744 142.031637) - (xy 204.307608 141.920377) - (xy 204.307603 141.920374) - (xy 204.134681 141.843383) - (xy 204.134676 141.843381) - (xy 203.975193 141.809483) - (xy 203.94952 141.804026) - (xy 203.760228 141.804026) - (xy 203.734555 141.809483) - (xy 203.575071 141.843381) - (xy 203.575066 141.843383) - (xy 203.402144 141.920374) - (xy 203.402139 141.920377) - (xy 203.249003 142.031637) - (xy 203.12234 142.172311) - (xy 203.027695 142.336241) - (xy 203.027692 142.336248) - (xy 202.969201 142.516266) - (xy 202.9692 142.51627) - (xy 202.949414 142.704526) - (xy 150.599774 142.704526) - (xy 150.599774 140.291526) - (xy 184.407414 140.291526) - (xy 184.4272 140.479782) - (xy 184.427201 140.479785) - (xy 184.485692 140.659803) - (xy 184.485695 140.65981) - (xy 184.580341 140.823742) - (xy 184.650518 140.901681) - (xy 184.707003 140.964414) - (xy 184.860139 141.075674) - (xy 184.860144 141.075677) - (xy 185.033066 141.152668) - (xy 185.033071 141.15267) - (xy 185.218228 141.192026) - (xy 185.218229 141.192026) - (xy 185.407518 141.192026) - (xy 185.40752 141.192026) - (xy 185.592677 141.15267) - (xy 185.765604 141.075677) - (xy 185.918745 140.964414) - (xy 186.045407 140.823742) - (xy 186.140053 140.65981) - (xy 186.198548 140.479782) - (xy 186.218334 140.291526) - (xy 186.198548 140.10327) - (xy 186.140053 139.923242) - (xy 186.045407 139.75931) - (xy 185.918745 139.618638) - (xy 185.909602 139.611995) - (xy 185.765608 139.507377) - (xy 185.765603 139.507374) - (xy 185.592681 139.430383) - (xy 185.592676 139.430381) - (xy 185.446875 139.399391) - (xy 185.40752 139.391026) - (xy 185.218228 139.391026) - (xy 185.185771 139.397924) - (xy 185.033071 139.430381) - (xy 185.033066 139.430383) - (xy 184.860144 139.507374) - (xy 184.860139 139.507377) - (xy 184.707003 139.618637) - (xy 184.58034 139.759311) - (xy 184.485695 139.923241) - (xy 184.485692 139.923248) - (xy 184.435087 140.078995) - (xy 184.4272 140.10327) - (xy 184.407414 140.291526) - (xy 150.599774 140.291526) - (xy 150.599774 137.751526) - (xy 202.949414 137.751526) - (xy 202.9692 137.939782) - (xy 202.969201 137.939785) - (xy 203.027692 138.119803) - (xy 203.027695 138.11981) - (xy 203.122341 138.283742) - (xy 203.192518 138.361681) - (xy 203.249003 138.424414) - (xy 203.402139 138.535674) - (xy 203.402144 138.535677) - (xy 203.575066 138.612668) - (xy 203.575071 138.61267) - (xy 203.760228 138.652026) - (xy 203.760229 138.652026) - (xy 203.949518 138.652026) - (xy 203.94952 138.652026) - (xy 204.134677 138.61267) - (xy 204.307604 138.535677) - (xy 204.460745 138.424414) - (xy 204.587407 138.283742) - (xy 204.682053 138.11981) - (xy 204.740548 137.939782) - (xy 204.760334 137.751526) - (xy 204.740548 137.56327) - (xy 204.682053 137.383242) - (xy 204.587407 137.21931) - (xy 204.460745 137.078638) - (xy 204.451602 137.071995) - (xy 204.307608 136.967377) - (xy 204.307603 136.967374) - (xy 204.134681 136.890383) - (xy 204.134676 136.890381) - (xy 203.988875 136.859391) - (xy 203.94952 136.851026) - (xy 203.760228 136.851026) - (xy 203.727771 136.857924) - (xy 203.575071 136.890381) - (xy 203.575066 136.890383) - (xy 203.402144 136.967374) - (xy 203.402139 136.967377) - (xy 203.249003 137.078637) - (xy 203.12234 137.219311) - (xy 203.027695 137.383241) - (xy 203.027692 137.383248) - (xy 202.98299 137.520829) - (xy 202.9692 137.56327) - (xy 202.949414 137.751526) - (xy 150.599774 137.751526) - (xy 150.599774 135.338526) - (xy 184.407414 135.338526) - (xy 184.4272 135.526782) - (xy 184.427201 135.526785) - (xy 184.485692 135.706803) - (xy 184.485695 135.70681) - (xy 184.580341 135.870742) - (xy 184.692831 135.995674) - (xy 184.707003 136.011414) - (xy 184.860139 136.122674) - (xy 184.860144 136.122677) - (xy 185.033066 136.199668) - (xy 185.033071 136.19967) - (xy 185.218228 136.239026) - (xy 185.218229 136.239026) - (xy 185.407518 136.239026) - (xy 185.40752 136.239026) - (xy 185.592677 136.19967) - (xy 185.765604 136.122677) - (xy 185.918745 136.011414) - (xy 186.045407 135.870742) - (xy 186.140053 135.70681) - (xy 186.198548 135.526782) - (xy 186.218334 135.338526) - (xy 186.198548 135.15027) - (xy 186.140053 134.970242) - (xy 186.045407 134.80631) - (xy 185.918745 134.665638) - (xy 185.918744 134.665637) - (xy 185.765608 134.554377) - (xy 185.765603 134.554374) - (xy 185.592681 134.477383) - (xy 185.592676 134.477381) - (xy 185.446875 134.446391) - (xy 185.40752 134.438026) - (xy 185.218228 134.438026) - (xy 185.185771 134.444924) - (xy 185.033071 134.477381) - (xy 185.033066 134.477383) - (xy 184.860144 134.554374) - (xy 184.860139 134.554377) - (xy 184.707003 134.665637) - (xy 184.58034 134.806311) - (xy 184.485695 134.970241) - (xy 184.485692 134.970248) - (xy 184.442344 135.103661) - (xy 184.4272 135.15027) - (xy 184.407414 135.338526) - (xy 150.599774 135.338526) + (xy 150.599774 143.097396) + (xy 175.572774 143.097396) + (xy 175.572775 143.097402) + (xy 175.579182 143.157009) + (xy 175.629476 143.291854) + (xy 175.62948 143.291861) + (xy 175.715726 143.40707) + (xy 175.715729 143.407073) + (xy 175.830938 143.493319) + (xy 175.830945 143.493323) + (xy 175.965791 143.543617) + (xy 175.96579 143.543617) + (xy 175.972718 143.544361) + (xy 176.025401 143.550026) + (xy 177.821146 143.550025) + (xy 177.880757 143.543617) + (xy 178.015605 143.493322) + (xy 178.13082 143.407072) + (xy 178.21707 143.291857) + (xy 178.266084 143.160442) + (xy 178.307955 143.10451) + (xy 178.373419 143.080092) + (xy 178.441692 143.094943) + (xy 178.469947 143.116095) + (xy 178.591873 143.238021) + (xy 178.688093 143.305395) + (xy 178.785439 143.373558) + (xy 178.785441 143.373559) + (xy 178.785444 143.373561) + (xy 178.999611 143.473429) + (xy 179.227866 143.534589) + (xy 179.404308 143.550026) + (xy 179.463273 143.555185) + (xy 179.463274 143.555185) + (xy 179.463275 143.555185) + (xy 179.52224 143.550026) + (xy 179.698682 143.534589) + (xy 179.926937 143.473429) + (xy 180.141104 143.373561) + (xy 180.334675 143.238021) + (xy 180.501769 143.070927) + (xy 180.637309 142.877356) + (xy 180.685887 142.773181) + (xy 205.227814 142.773181) + (xy 205.2476 142.961437) + (xy 205.247601 142.96144) + (xy 205.306092 143.141458) + (xy 205.306095 143.141465) + (xy 205.400741 143.305397) + (xy 205.492288 143.40707) + (xy 205.527403 143.446069) + (xy 205.680539 143.557329) + (xy 205.680544 143.557332) + (xy 205.853466 143.634323) + (xy 205.853471 143.634325) + (xy 206.038628 143.673681) + (xy 206.038629 143.673681) + (xy 206.227918 143.673681) + (xy 206.22792 143.673681) + (xy 206.413077 143.634325) + (xy 206.586004 143.557332) + (xy 206.739145 143.446069) + (xy 206.865807 143.305397) + (xy 206.960453 143.141465) + (xy 207.018948 142.961437) + (xy 207.038734 142.773181) + (xy 207.018948 142.584925) + (xy 206.960453 142.404897) + (xy 206.865807 142.240965) + (xy 206.739145 142.100293) + (xy 206.739144 142.100292) + (xy 206.586008 141.989032) + (xy 206.586003 141.989029) + (xy 206.413081 141.912038) + (xy 206.413076 141.912036) + (xy 206.267275 141.881046) + (xy 206.22792 141.872681) + (xy 206.038628 141.872681) + (xy 206.006171 141.879579) + (xy 205.853471 141.912036) + (xy 205.853466 141.912038) + (xy 205.680544 141.989029) + (xy 205.680539 141.989032) + (xy 205.527403 142.100292) + (xy 205.40074 142.240966) + (xy 205.306095 142.404896) + (xy 205.306092 142.404903) + (xy 205.258312 142.551956) + (xy 205.2476 142.584925) + (xy 205.227814 142.773181) + (xy 180.685887 142.773181) + (xy 180.737177 142.663189) + (xy 180.798337 142.434934) + (xy 180.818933 142.199526) + (xy 180.798337 141.964118) + (xy 180.737177 141.735863) + (xy 180.637309 141.521697) + (xy 180.632171 141.514358) + (xy 180.501768 141.328123) + (xy 180.334676 141.161032) + (xy 180.334669 141.161027) + (xy 180.141108 141.025493) + (xy 180.141104 141.025491) + (xy 180.141102 141.02549) + (xy 179.926937 140.925623) + (xy 179.926933 140.925622) + (xy 179.926929 140.92562) + (xy 179.698687 140.864464) + (xy 179.698677 140.864462) + (xy 179.463275 140.843867) + (xy 179.463273 140.843867) + (xy 179.22787 140.864462) + (xy 179.22786 140.864464) + (xy 178.999618 140.92562) + (xy 178.999609 140.925624) + (xy 178.785445 141.02549) + (xy 178.785443 141.025491) + (xy 178.591874 141.161029) + (xy 178.469947 141.282956) + (xy 178.408624 141.31644) + (xy 178.338932 141.311456) + (xy 178.282999 141.269584) + (xy 178.266084 141.238607) + (xy 178.217071 141.107197) + (xy 178.217067 141.10719) + (xy 178.130821 140.991981) + (xy 178.130818 140.991978) + (xy 178.015609 140.905732) + (xy 178.015602 140.905728) + (xy 177.880756 140.855434) + (xy 177.880757 140.855434) + (xy 177.821157 140.849027) + (xy 177.821155 140.849026) + (xy 177.821147 140.849026) + (xy 177.821138 140.849026) + (xy 176.025403 140.849026) + (xy 176.025397 140.849027) + (xy 175.96579 140.855434) + (xy 175.830945 140.905728) + (xy 175.830938 140.905732) + (xy 175.715729 140.991978) + (xy 175.715726 140.991981) + (xy 175.62948 141.10719) + (xy 175.629476 141.107197) + (xy 175.579182 141.242043) + (xy 175.572775 141.301642) + (xy 175.572774 141.301661) + (xy 175.572774 143.097396) + (xy 150.599774 143.097396) + (xy 150.599774 137.947181) + (xy 205.227814 137.947181) + (xy 205.2476 138.135437) + (xy 205.247601 138.13544) + (xy 205.306092 138.315458) + (xy 205.306095 138.315465) + (xy 205.400741 138.479397) + (xy 205.502783 138.592726) + (xy 205.527403 138.620069) + (xy 205.680539 138.731329) + (xy 205.680544 138.731332) + (xy 205.853466 138.808323) + (xy 205.853471 138.808325) + (xy 206.038628 138.847681) + (xy 206.038629 138.847681) + (xy 206.227918 138.847681) + (xy 206.22792 138.847681) + (xy 206.413077 138.808325) + (xy 206.586004 138.731332) + (xy 206.739145 138.620069) + (xy 206.865807 138.479397) + (xy 206.960453 138.315465) + (xy 207.018948 138.135437) + (xy 207.038734 137.947181) + (xy 207.018948 137.758925) + (xy 206.960453 137.578897) + (xy 206.865807 137.414965) + (xy 206.739145 137.274293) + (xy 206.739144 137.274292) + (xy 206.586008 137.163032) + (xy 206.586003 137.163029) + (xy 206.413081 137.086038) + (xy 206.413076 137.086036) + (xy 206.267275 137.055046) + (xy 206.22792 137.046681) + (xy 206.038628 137.046681) + (xy 206.006171 137.053579) + (xy 205.853471 137.086036) + (xy 205.853466 137.086038) + (xy 205.680544 137.163029) + (xy 205.680539 137.163032) + (xy 205.527403 137.274292) + (xy 205.40074 137.414966) + (xy 205.306095 137.578896) + (xy 205.306092 137.578903) + (xy 205.254576 137.737455) + (xy 205.2476 137.758925) + (xy 205.227814 137.947181) + (xy 150.599774 137.947181) + (xy 150.599774 135.341526) + (xy 205.227814 135.341526) + (xy 205.2476 135.529782) + (xy 205.247601 135.529785) + (xy 205.306092 135.709803) + (xy 205.306095 135.70981) + (xy 205.400741 135.873742) + (xy 205.510529 135.995674) + (xy 205.527403 136.014414) + (xy 205.680539 136.125674) + (xy 205.680544 136.125677) + (xy 205.853466 136.202668) + (xy 205.853471 136.20267) + (xy 206.038628 136.242026) + (xy 206.038629 136.242026) + (xy 206.227918 136.242026) + (xy 206.22792 136.242026) + (xy 206.413077 136.20267) + (xy 206.586004 136.125677) + (xy 206.739145 136.014414) + (xy 206.865807 135.873742) + (xy 206.960453 135.70981) + (xy 207.018948 135.529782) + (xy 207.038734 135.341526) + (xy 207.018948 135.15327) + (xy 206.960453 134.973242) + (xy 206.865807 134.80931) + (xy 206.739145 134.668638) + (xy 206.739144 134.668637) + (xy 206.586008 134.557377) + (xy 206.586003 134.557374) + (xy 206.413081 134.480383) + (xy 206.413076 134.480381) + (xy 206.267275 134.449391) + (xy 206.22792 134.441026) + (xy 206.038628 134.441026) + (xy 206.006171 134.447924) + (xy 205.853471 134.480381) + (xy 205.853466 134.480383) + (xy 205.680544 134.557374) + (xy 205.680539 134.557377) + (xy 205.527403 134.668637) + (xy 205.40074 134.809311) + (xy 205.306095 134.973241) + (xy 205.306092 134.973248) + (xy 205.247601 135.153266) + (xy 205.2476 135.15327) + (xy 205.227814 135.341526) + (xy 150.599774 135.341526) (xy 150.599774 131.579013) (xy 150.600835 131.562827) (xy 150.604956 131.531525) @@ -28547,351 +29369,201 @@ (xy 150.427555 131.103242) (xy 150.402503 131.08402) (xy 150.390308 131.073325) - (xy 149.448509 130.131526) - (xy 184.407414 130.131526) - (xy 184.4272 130.319782) - (xy 184.427201 130.319785) - (xy 184.485692 130.499803) - (xy 184.485695 130.49981) - (xy 184.580341 130.663742) - (xy 184.697392 130.79374) - (xy 184.707003 130.804414) - (xy 184.860139 130.915674) - (xy 184.860144 130.915677) - (xy 185.033066 130.992668) - (xy 185.033071 130.99267) - (xy 185.218228 131.032026) - (xy 185.218229 131.032026) - (xy 185.407518 131.032026) - (xy 185.40752 131.032026) - (xy 185.592677 130.99267) - (xy 185.765604 130.915677) - (xy 185.918745 130.804414) - (xy 186.045407 130.663742) - (xy 186.140053 130.49981) - (xy 186.198548 130.319782) - (xy 186.218334 130.131526) - (xy 186.198548 129.94327) - (xy 186.140053 129.763242) - (xy 186.045407 129.59931) - (xy 185.918745 129.458638) - (xy 185.918744 129.458637) - (xy 185.765608 129.347377) - (xy 185.765603 129.347374) - (xy 185.592681 129.270383) - (xy 185.592676 129.270381) - (xy 185.446875 129.239391) - (xy 185.40752 129.231026) - (xy 185.218228 129.231026) - (xy 185.185771 129.237924) - (xy 185.033071 129.270381) - (xy 185.033066 129.270383) - (xy 184.860144 129.347374) - (xy 184.860139 129.347377) - (xy 184.707003 129.458637) - (xy 184.58034 129.599311) - (xy 184.485695 129.763241) - (xy 184.485692 129.763248) - (xy 184.443455 129.893242) - (xy 184.4272 129.94327) - (xy 184.407414 130.131526) - (xy 149.448509 130.131526) - (xy 147.000909 127.683926) - (xy 191.523663 127.683926) - (xy 191.543449 127.872182) - (xy 191.54345 127.872185) - (xy 191.601941 128.052203) - (xy 191.601944 128.05221) - (xy 191.69659 128.216142) - (xy 191.800382 128.331414) - (xy 191.823252 128.356814) - (xy 191.976388 128.468074) - (xy 191.976393 128.468077) - (xy 192.149315 128.545068) - (xy 192.14932 128.54507) - (xy 192.334477 128.584426) - (xy 192.334478 128.584426) - (xy 192.523767 128.584426) - (xy 192.523769 128.584426) - (xy 192.708926 128.54507) - (xy 192.881853 128.468077) - (xy 193.034994 128.356814) - (xy 193.161656 128.216142) - (xy 193.256302 128.05221) - (xy 193.314797 127.872182) - (xy 193.334583 127.683926) - (xy 193.314797 127.49567) - (xy 193.256302 127.315642) - (xy 193.161656 127.15171) - (xy 193.034994 127.011038) - (xy 193.002436 126.987383) - (xy 192.881857 126.899777) - (xy 192.881852 126.899774) - (xy 192.70893 126.822783) - (xy 192.708925 126.822781) - (xy 192.563124 126.791791) - (xy 192.523769 126.783426) - (xy 192.334477 126.783426) - (xy 192.30202 126.790324) - (xy 192.14932 126.822781) - (xy 192.149315 126.822783) - (xy 191.976393 126.899774) - (xy 191.976388 126.899777) - (xy 191.823252 127.011037) - (xy 191.696589 127.151711) - (xy 191.601944 127.315641) - (xy 191.601941 127.315648) - (xy 191.572186 127.407226) - (xy 191.543449 127.49567) - (xy 191.523663 127.683926) - (xy 147.000909 127.683926) - (xy 145.823709 126.506726) - (xy 202.952463 126.506726) - (xy 202.972249 126.694982) - (xy 202.97225 126.694985) - (xy 203.030741 126.875003) - (xy 203.030744 126.87501) - (xy 203.12539 127.038942) - (xy 203.148292 127.064377) - (xy 203.252052 127.179614) - (xy 203.405188 127.290874) - (xy 203.405193 127.290877) - (xy 203.578115 127.367868) - (xy 203.57812 127.36787) - (xy 203.763277 127.407226) - (xy 203.763278 127.407226) - (xy 203.952567 127.407226) - (xy 203.952569 127.407226) - (xy 204.137726 127.36787) - (xy 204.310653 127.290877) - (xy 204.463794 127.179614) - (xy 204.590456 127.038942) - (xy 204.685102 126.87501) - (xy 204.743597 126.694982) - (xy 204.763383 126.506726) - (xy 204.743597 126.31847) - (xy 204.685102 126.138442) - (xy 204.590456 125.97451) - (xy 204.463794 125.833838) - (xy 204.463793 125.833837) - (xy 204.310657 125.722577) - (xy 204.310652 125.722574) - (xy 204.13773 125.645583) - (xy 204.137725 125.645581) - (xy 203.991924 125.614591) - (xy 203.952569 125.606226) - (xy 203.763277 125.606226) - (xy 203.73082 125.613124) - (xy 203.57812 125.645581) - (xy 203.578115 125.645583) - (xy 203.405193 125.722574) - (xy 203.405188 125.722577) - (xy 203.252052 125.833837) - (xy 203.125389 125.974511) - (xy 203.030744 126.138441) - (xy 203.030741 126.138448) - (xy 202.97225 126.318466) - (xy 202.972249 126.31847) - (xy 202.952463 126.506726) - (xy 145.823709 126.506726) - (xy 144.368509 125.051526) - (xy 184.407414 125.051526) - (xy 184.4272 125.239782) - (xy 184.427201 125.239785) - (xy 184.485692 125.419803) - (xy 184.485695 125.41981) - (xy 184.580341 125.583742) - (xy 184.663537 125.67614) - (xy 184.707003 125.724414) - (xy 184.860139 125.835674) - (xy 184.860144 125.835677) - (xy 185.033066 125.912668) - (xy 185.033071 125.91267) - (xy 185.218228 125.952026) - (xy 185.218229 125.952026) - (xy 185.407518 125.952026) - (xy 185.40752 125.952026) - (xy 185.592677 125.91267) - (xy 185.765604 125.835677) - (xy 185.918745 125.724414) - (xy 186.045407 125.583742) - (xy 186.140053 125.41981) - (xy 186.198548 125.239782) - (xy 186.208623 125.143926) - (xy 191.523663 125.143926) - (xy 191.543449 125.332182) - (xy 191.54345 125.332185) - (xy 191.601941 125.512203) - (xy 191.601944 125.51221) - (xy 191.69659 125.676142) - (xy 191.800382 125.791414) - (xy 191.823252 125.816814) - (xy 191.976388 125.928074) - (xy 191.976393 125.928077) - (xy 192.149315 126.005068) - (xy 192.14932 126.00507) - (xy 192.334477 126.044426) - (xy 192.334478 126.044426) - (xy 192.523767 126.044426) - (xy 192.523769 126.044426) - (xy 192.708926 126.00507) - (xy 192.881853 125.928077) - (xy 193.034994 125.816814) - (xy 193.161656 125.676142) - (xy 193.256302 125.51221) - (xy 193.314797 125.332182) - (xy 193.334583 125.143926) - (xy 193.314797 124.95567) - (xy 193.256302 124.775642) - (xy 193.161656 124.61171) - (xy 193.034994 124.471038) - (xy 193.034993 124.471037) - (xy 192.881857 124.359777) - (xy 192.881852 124.359774) - (xy 192.70893 124.282783) - (xy 192.708925 124.282781) - (xy 192.585632 124.256575) - (xy 192.551007 124.249215) - (xy 192.489526 124.216024) - (xy 192.45575 124.154861) - (xy 192.460402 124.085146) - (xy 192.502006 124.029014) - (xy 192.527161 124.017526) - (xy 202.952463 124.017526) - (xy 202.972249 124.205782) - (xy 202.97225 124.205785) - (xy 203.030741 124.385803) - (xy 203.030744 124.38581) - (xy 203.12539 124.549742) - (xy 203.211764 124.64567) - (xy 203.252052 124.690414) - (xy 203.405188 124.801674) - (xy 203.405193 124.801677) - (xy 203.578115 124.878668) - (xy 203.57812 124.87867) - (xy 203.763277 124.918026) - (xy 203.763278 124.918026) - (xy 203.952567 124.918026) - (xy 203.952569 124.918026) - (xy 204.137726 124.87867) - (xy 204.310653 124.801677) - (xy 204.463794 124.690414) - (xy 204.590456 124.549742) - (xy 204.685102 124.38581) - (xy 204.743597 124.205782) - (xy 204.763383 124.017526) - (xy 204.743597 123.82927) - (xy 204.685102 123.649242) - (xy 204.590456 123.48531) - (xy 204.463794 123.344638) - (xy 204.413036 123.30776) - (xy 204.310657 123.233377) - (xy 204.310652 123.233374) - (xy 204.13773 123.156383) - (xy 204.137725 123.156381) - (xy 203.991924 123.125391) - (xy 203.952569 123.117026) - (xy 203.763277 123.117026) - (xy 203.73082 123.123924) - (xy 203.57812 123.156381) - (xy 203.578115 123.156383) - (xy 203.405193 123.233374) - (xy 203.405188 123.233377) - (xy 203.252052 123.344637) - (xy 203.125389 123.485311) - (xy 203.030744 123.649241) - (xy 203.030741 123.649248) - (xy 202.974833 123.821317) - (xy 202.972249 123.82927) - (xy 202.952463 124.017526) - (xy 192.527161 124.017526) - (xy 192.551007 124.006636) - (xy 192.708926 123.97307) - (xy 192.881853 123.896077) - (xy 193.034994 123.784814) - (xy 193.161656 123.644142) - (xy 193.256302 123.48021) - (xy 193.314797 123.300182) - (xy 193.334583 123.111926) - (xy 193.314797 122.92367) - (xy 193.256302 122.743642) - (xy 193.161656 122.57971) - (xy 193.034994 122.439038) - (xy 192.975361 122.395712) - (xy 192.881857 122.327777) - (xy 192.881852 122.327774) - (xy 192.70893 122.250783) - (xy 192.708925 122.250781) - (xy 192.563124 122.219791) - (xy 192.523769 122.211426) - (xy 192.334477 122.211426) - (xy 192.30202 122.218324) - (xy 192.14932 122.250781) - (xy 192.149315 122.250783) - (xy 191.976393 122.327774) - (xy 191.976388 122.327777) - (xy 191.823252 122.439037) - (xy 191.696589 122.579711) - (xy 191.601944 122.743641) - (xy 191.601941 122.743648) - (xy 191.543542 122.923383) - (xy 191.543449 122.92367) - (xy 191.523663 123.111926) - (xy 191.543449 123.300182) - (xy 191.54345 123.300185) - (xy 191.601941 123.480203) - (xy 191.601944 123.48021) - (xy 191.69659 123.644142) - (xy 191.78555 123.742942) - (xy 191.823252 123.784814) - (xy 191.976388 123.896074) - (xy 191.976393 123.896077) - (xy 192.149315 123.973068) - (xy 192.14932 123.97307) - (xy 192.307237 124.006636) - (xy 192.368719 124.039828) - (xy 192.402495 124.100991) - (xy 192.397843 124.170706) - (xy 192.356238 124.226838) - (xy 192.307237 124.249216) - (xy 192.14932 124.282781) - (xy 192.149315 124.282783) - (xy 191.976393 124.359774) - (xy 191.976388 124.359777) - (xy 191.823252 124.471037) - (xy 191.696589 124.611711) - (xy 191.601944 124.775641) - (xy 191.601941 124.775648) - (xy 191.556176 124.9165) - (xy 191.543449 124.95567) - (xy 191.523663 125.143926) - (xy 186.208623 125.143926) - (xy 186.218334 125.051526) - (xy 186.198548 124.86327) - (xy 186.140053 124.683242) - (xy 186.045407 124.51931) - (xy 185.918745 124.378638) - (xy 185.892785 124.359777) - (xy 185.765608 124.267377) - (xy 185.765603 124.267374) - (xy 185.592681 124.190383) - (xy 185.592676 124.190381) - (xy 185.425562 124.154861) - (xy 185.40752 124.151026) - (xy 185.218228 124.151026) - (xy 185.200186 124.154861) - (xy 185.033071 124.190381) - (xy 185.033066 124.190383) - (xy 184.860144 124.267374) - (xy 184.860139 124.267377) - (xy 184.707003 124.378637) - (xy 184.58034 124.519311) - (xy 184.485695 124.683241) - (xy 184.485692 124.683248) - (xy 184.427201 124.863266) - (xy 184.4272 124.86327) - (xy 184.407414 125.051526) - (xy 144.368509 125.051526) + (xy 149.578509 130.261526) + (xy 205.227814 130.261526) + (xy 205.2476 130.449782) + (xy 205.247601 130.449785) + (xy 205.306092 130.629803) + (xy 205.306095 130.62981) + (xy 205.400741 130.793742) + (xy 205.500924 130.905006) + (xy 205.527403 130.934414) + (xy 205.680539 131.045674) + (xy 205.680544 131.045677) + (xy 205.853466 131.122668) + (xy 205.853471 131.12267) + (xy 206.038628 131.162026) + (xy 206.038629 131.162026) + (xy 206.227918 131.162026) + (xy 206.22792 131.162026) + (xy 206.413077 131.12267) + (xy 206.586004 131.045677) + (xy 206.739145 130.934414) + (xy 206.865807 130.793742) + (xy 206.960453 130.62981) + (xy 207.018948 130.449782) + (xy 207.038734 130.261526) + (xy 207.018948 130.07327) + (xy 206.960453 129.893242) + (xy 206.865807 129.72931) + (xy 206.739145 129.588638) + (xy 206.652433 129.525638) + (xy 206.586008 129.477377) + (xy 206.586003 129.477374) + (xy 206.413081 129.400383) + (xy 206.413076 129.400381) + (xy 206.267275 129.369391) + (xy 206.22792 129.361026) + (xy 206.038628 129.361026) + (xy 206.006171 129.367924) + (xy 205.853471 129.400381) + (xy 205.853466 129.400383) + (xy 205.680544 129.477374) + (xy 205.680539 129.477377) + (xy 205.527403 129.588637) + (xy 205.40074 129.729311) + (xy 205.306095 129.893241) + (xy 205.306092 129.893248) + (xy 205.268071 130.010266) + (xy 205.2476 130.07327) + (xy 205.227814 130.261526) + (xy 149.578509 130.261526) + (xy 145.006509 125.689526) + (xy 170.175814 125.689526) + (xy 170.1956 125.877782) + (xy 170.195601 125.877785) + (xy 170.254092 126.057803) + (xy 170.254095 126.05781) + (xy 170.348741 126.221742) + (xy 170.463091 126.34874) + (xy 170.475403 126.362414) + (xy 170.628539 126.473674) + (xy 170.628544 126.473677) + (xy 170.801466 126.550668) + (xy 170.801471 126.55067) + (xy 170.986628 126.590026) + (xy 170.986629 126.590026) + (xy 171.175918 126.590026) + (xy 171.17592 126.590026) + (xy 171.361077 126.55067) + (xy 171.534004 126.473677) + (xy 171.687145 126.362414) + (xy 171.813807 126.221742) + (xy 171.908453 126.05781) + (xy 171.966948 125.877782) + (xy 171.973386 125.816526) + (xy 180.462814 125.816526) + (xy 180.4826 126.004782) + (xy 180.482601 126.004785) + (xy 180.541092 126.184803) + (xy 180.541095 126.18481) + (xy 180.635741 126.348742) + (xy 180.748231 126.473674) + (xy 180.762403 126.489414) + (xy 180.915539 126.600674) + (xy 180.915544 126.600677) + (xy 181.088466 126.677668) + (xy 181.088471 126.67767) + (xy 181.273628 126.717026) + (xy 181.273629 126.717026) + (xy 181.462918 126.717026) + (xy 181.46292 126.717026) + (xy 181.648077 126.67767) + (xy 181.821004 126.600677) + (xy 181.974145 126.489414) + (xy 182.100807 126.348742) + (xy 182.195453 126.18481) + (xy 182.253948 126.004782) + (xy 182.273734 125.816526) + (xy 182.253948 125.62827) + (xy 182.195453 125.448242) + (xy 182.100807 125.28431) + (xy 182.00826 125.181526) + (xy 205.227814 125.181526) + (xy 205.2476 125.369782) + (xy 205.247601 125.369785) + (xy 205.306092 125.549803) + (xy 205.306095 125.54981) + (xy 205.400741 125.713742) + (xy 205.527403 125.854414) + (xy 205.680539 125.965674) + (xy 205.680544 125.965677) + (xy 205.853466 126.042668) + (xy 205.853471 126.04267) + (xy 206.038628 126.082026) + (xy 206.038629 126.082026) + (xy 206.227918 126.082026) + (xy 206.22792 126.082026) + (xy 206.413077 126.04267) + (xy 206.586004 125.965677) + (xy 206.739145 125.854414) + (xy 206.865807 125.713742) + (xy 206.960453 125.54981) + (xy 207.018948 125.369782) + (xy 207.038734 125.181526) + (xy 207.018948 124.99327) + (xy 206.960453 124.813242) + (xy 206.865807 124.64931) + (xy 206.739145 124.508638) + (xy 206.687857 124.471375) + (xy 206.586008 124.397377) + (xy 206.586003 124.397374) + (xy 206.413081 124.320383) + (xy 206.413076 124.320381) + (xy 206.267275 124.289391) + (xy 206.22792 124.281026) + (xy 206.038628 124.281026) + (xy 206.006171 124.287924) + (xy 205.853471 124.320381) + (xy 205.853466 124.320383) + (xy 205.680544 124.397374) + (xy 205.680539 124.397377) + (xy 205.527403 124.508637) + (xy 205.40074 124.649311) + (xy 205.306095 124.813241) + (xy 205.306092 124.813248) + (xy 205.25991 124.955383) + (xy 205.2476 124.99327) + (xy 205.227814 125.181526) + (xy 182.00826 125.181526) + (xy 181.974145 125.143638) + (xy 181.974144 125.143637) + (xy 181.821008 125.032377) + (xy 181.821003 125.032374) + (xy 181.648081 124.955383) + (xy 181.648076 124.955381) + (xy 181.502275 124.924391) + (xy 181.46292 124.916026) + (xy 181.273628 124.916026) + (xy 181.241171 124.922924) + (xy 181.088471 124.955381) + (xy 181.088466 124.955383) + (xy 180.915544 125.032374) + (xy 180.915539 125.032377) + (xy 180.762403 125.143637) + (xy 180.63574 125.284311) + (xy 180.541095 125.448241) + (xy 180.541092 125.448248) + (xy 180.508093 125.54981) + (xy 180.4826 125.62827) + (xy 180.462814 125.816526) + (xy 171.973386 125.816526) + (xy 171.986734 125.689526) + (xy 171.966948 125.50127) + (xy 171.908453 125.321242) + (xy 171.813807 125.15731) + (xy 171.687145 125.016638) + (xy 171.654982 124.99327) + (xy 171.534008 124.905377) + (xy 171.534003 124.905374) + (xy 171.361081 124.828383) + (xy 171.361076 124.828381) + (xy 171.215275 124.797391) + (xy 171.17592 124.789026) + (xy 170.986628 124.789026) + (xy 170.954171 124.795924) + (xy 170.801471 124.828381) + (xy 170.801466 124.828383) + (xy 170.628544 124.905374) + (xy 170.628539 124.905377) + (xy 170.475403 125.016637) + (xy 170.34874 125.157311) + (xy 170.254095 125.321241) + (xy 170.254092 125.321248) + (xy 170.200298 125.48681) + (xy 170.1956 125.50127) + (xy 170.175814 125.689526) + (xy 145.006509 125.689526) (xy 143.331373 124.01439) (xy 160.116424 124.01439) (xy 160.145695 124.205466) @@ -28926,7 +29598,7 @@ (xy 161.82611 123.381195) (xy 161.826109 123.381193) (xy 161.692923 123.241081) - (xy 161.681855 123.233377) + (xy 161.673683 123.227689) (xy 161.534257 123.130646) (xy 161.356612 123.054413) (xy 161.167256 123.0155) @@ -28946,7 +29618,194 @@ (xy 160.126215 123.821323) (xy 160.116424 124.01439) (xy 143.331373 124.01439) - (xy 140.742183 121.4252) + (xy 129.893509 110.576526) + (xy 148.077814 110.576526) + (xy 148.0976 110.764782) + (xy 148.097601 110.764785) + (xy 148.156092 110.944803) + (xy 148.156095 110.94481) + (xy 148.250741 111.108742) + (xy 148.360146 111.230248) + (xy 148.377403 111.249414) + (xy 148.530539 111.360674) + (xy 148.530544 111.360677) + (xy 148.703466 111.437668) + (xy 148.703471 111.43767) + (xy 148.888628 111.477026) + (xy 148.888629 111.477026) + (xy 149.077918 111.477026) + (xy 149.07792 111.477026) + (xy 149.263077 111.43767) + (xy 149.263079 111.437668) + (xy 149.263747 111.437527) + (xy 149.333414 111.442843) + (xy 149.389148 111.48498) + (xy 149.407459 111.520499) + (xy 149.428508 111.585282) + (xy 149.428511 111.585289) + (xy 149.523157 111.749221) + (xy 149.610771 111.846526) + (xy 149.649819 111.889893) + (xy 149.802955 112.001153) + (xy 149.80296 112.001156) + (xy 149.975881 112.078147) + (xy 149.975883 112.078147) + (xy 149.975887 112.078149) + (xy 150.005105 112.084359) + (xy 150.066586 112.11755) + (xy 150.097255 112.167331) + (xy 150.137331 112.290672) + (xy 150.137334 112.290679) + (xy 150.23198 112.454611) + (xy 150.302861 112.533332) + (xy 150.358642 112.595283) + (xy 150.511778 112.706543) + (xy 150.511783 112.706546) + (xy 150.684705 112.783537) + (xy 150.68471 112.783539) + (xy 150.711154 112.78916) + (xy 150.772636 112.822352) + (xy 150.803304 112.872131) + (xy 150.844207 112.998018) + (xy 150.844209 112.998022) + (xy 150.938855 113.161954) + (xy 151.044847 113.27967) + (xy 151.065517 113.302626) + (xy 151.218653 113.413886) + (xy 151.218658 113.413889) + (xy 151.39158 113.49088) + (xy 151.391585 113.490882) + (xy 151.576742 113.530238) + (xy 151.576743 113.530238) + (xy 151.766032 113.530238) + (xy 151.766034 113.530238) + (xy 151.879467 113.506127) + (xy 151.949132 113.511443) + (xy 152.004866 113.55358) + (xy 152.012633 113.565417) + (xy 152.060739 113.64874) + (xy 152.187403 113.789414) + (xy 152.340539 113.900674) + (xy 152.340544 113.900677) + (xy 152.513465 113.977668) + (xy 152.517036 113.978828) + (xy 152.518839 113.98006) + (xy 152.519408 113.980314) + (xy 152.519361 113.980417) + (xy 152.574715 114.01826) + (xy 152.601919 114.082617) + (xy 152.602046 114.083776) + (xy 152.614709 114.204256) + (xy 152.61471 114.204259) + (xy 152.673201 114.384277) + (xy 152.673204 114.384284) + (xy 152.76785 114.548216) + (xy 152.862415 114.653241) + (xy 152.894512 114.688888) + (xy 153.047651 114.80015) + (xy 153.047655 114.800152) + (xy 153.092812 114.820257) + (xy 153.14605 114.865506) + (xy 153.166372 114.932355) + (xy 153.165699 114.946497) + (xy 153.159381 115.006614) + (xy 153.157814 115.021526) + (xy 153.1776 115.209782) + (xy 153.177601 115.209785) + (xy 153.236092 115.389803) + (xy 153.236095 115.38981) + (xy 153.330741 115.553742) + (xy 153.424748 115.658147) + (xy 153.457403 115.694414) + (xy 153.610539 115.805674) + (xy 153.610544 115.805677) + (xy 153.783465 115.882668) + (xy 153.783467 115.882668) + (xy 153.783471 115.88267) + (xy 153.810249 115.888361) + (xy 153.871728 115.921552) + (xy 153.902398 115.971333) + (xy 153.943201 116.096912) + (xy 153.943204 116.096919) + (xy 154.01158 116.21535) + (xy 154.028053 116.283251) + (xy 154.0052 116.349277) + (xy 153.996344 116.360321) + (xy 153.96574 116.394311) + (xy 153.871095 116.558241) + (xy 153.871092 116.558248) + (xy 153.830225 116.684026) + (xy 153.8126 116.73827) + (xy 153.792814 116.926526) + (xy 153.8126 117.114782) + (xy 153.812601 117.114785) + (xy 153.871092 117.294803) + (xy 153.871095 117.29481) + (xy 153.965741 117.458742) + (xy 154.080091 117.58574) + (xy 154.092403 117.599414) + (xy 154.245539 117.710674) + (xy 154.245544 117.710677) + (xy 154.36923 117.765747) + (xy 154.422467 117.810998) + (xy 154.442788 117.877847) + (xy 154.423742 117.94507) + (xy 154.371376 117.991325) + (xy 154.36923 117.992305) + (xy 154.245544 118.047374) + (xy 154.245539 118.047377) + (xy 154.092403 118.158637) + (xy 153.96574 118.299311) + (xy 153.871095 118.463241) + (xy 153.871092 118.463248) + (xy 153.826094 118.60174) + (xy 153.8126 118.64327) + (xy 153.792814 118.831526) + (xy 153.8126 119.019782) + (xy 153.812601 119.019785) + (xy 153.871092 119.199803) + (xy 153.871095 119.19981) + (xy 153.965741 119.363742) + (xy 154.059385 119.467744) + (xy 154.092403 119.504414) + (xy 154.245539 119.615674) + (xy 154.245544 119.615677) + (xy 154.418466 119.692668) + (xy 154.418471 119.69267) + (xy 154.603628 119.732026) + (xy 154.603629 119.732026) + (xy 154.799419 119.732026) + (xy 154.799419 119.734146) + (xy 154.858405 119.744918) + (xy 154.909441 119.792637) + (xy 154.926576 119.860373) + (xy 154.904371 119.92662) + (xy 154.875551 119.956002) + (xy 154.727401 120.063639) + (xy 154.60074 120.204311) + (xy 154.506095 120.368241) + (xy 154.506092 120.368248) + (xy 154.449058 120.543782) + (xy 154.4476 120.54827) + (xy 154.427814 120.736526) + (xy 154.4476 120.924782) + (xy 154.447601 120.924785) + (xy 154.506092 121.104803) + (xy 154.506095 121.10481) + (xy 154.600741 121.268742) + (xy 154.70799 121.387854) + (xy 154.727403 121.409414) + (xy 154.880539 121.520674) + (xy 154.880544 121.520677) + (xy 155.053466 121.597668) + (xy 155.053471 121.59767) + (xy 155.238628 121.637026) + (xy 155.238629 121.637026) + (xy 155.427918 121.637026) + (xy 155.42792 121.637026) + (xy 155.613077 121.59767) + (xy 155.786004 121.520677) + (xy 155.917417 121.4252) (xy 158.81054 121.4252) (xy 158.830326 121.613456) (xy 158.830327 121.613459) @@ -28978,55 +29837,6 @@ (xy 160.409089 120.740846) (xy 160.430694 120.707228) (xy 160.448533 120.687416) - (xy 160.515211 120.571926) - (xy 191.523663 120.571926) - (xy 191.543449 120.760182) - (xy 191.54345 120.760185) - (xy 191.601941 120.940203) - (xy 191.601944 120.94021) - (xy 191.69659 121.104142) - (xy 191.816162 121.23694) - (xy 191.823252 121.244814) - (xy 191.976388 121.356074) - (xy 191.976393 121.356077) - (xy 192.149315 121.433068) - (xy 192.14932 121.43307) - (xy 192.334477 121.472426) - (xy 192.334478 121.472426) - (xy 192.523767 121.472426) - (xy 192.523769 121.472426) - (xy 192.708926 121.43307) - (xy 192.881853 121.356077) - (xy 193.034994 121.244814) - (xy 193.161656 121.104142) - (xy 193.256302 120.94021) - (xy 193.314797 120.760182) - (xy 193.334583 120.571926) - (xy 193.314797 120.38367) - (xy 193.256302 120.203642) - (xy 193.161656 120.03971) - (xy 193.034994 119.899038) - (xy 193.034993 119.899037) - (xy 192.881857 119.787777) - (xy 192.881852 119.787774) - (xy 192.70893 119.710783) - (xy 192.708925 119.710781) - (xy 192.563124 119.679791) - (xy 192.523769 119.671426) - (xy 192.334477 119.671426) - (xy 192.30202 119.678324) - (xy 192.14932 119.710781) - (xy 192.149315 119.710783) - (xy 191.976393 119.787774) - (xy 191.976388 119.787777) - (xy 191.823252 119.899037) - (xy 191.696589 120.039711) - (xy 191.601944 120.203641) - (xy 191.601941 120.203648) - (xy 191.54345 120.383666) - (xy 191.543449 120.38367) - (xy 191.523663 120.571926) - (xy 160.515211 120.571926) (xy 160.543179 120.523484) (xy 160.601674 120.343456) (xy 160.62146 120.1552) @@ -29034,7 +29844,7 @@ (xy 160.543179 119.786916) (xy 160.448533 119.622984) (xy 160.321871 119.482312) - (xy 160.30457 119.469742) + (xy 160.30182 119.467744) (xy 160.168734 119.371051) (xy 160.168729 119.371048) (xy 159.995807 119.294057) @@ -29051,7 +29861,7 @@ (xy 158.983466 119.622985) (xy 158.888821 119.786915) (xy 158.888818 119.786922) - (xy 158.85239 119.899037) + (xy 158.835059 119.952377) (xy 158.830326 119.966944) (xy 158.81054 120.1552) (xy 158.830326 120.343456) @@ -29067,1018 +29877,1022 @@ (xy 158.983466 120.892985) (xy 158.888821 121.056915) (xy 158.888818 121.056922) - (xy 158.830327 121.23694) + (xy 158.844094 121.19457) (xy 158.830326 121.236944) (xy 158.81054 121.4252) - (xy 140.742183 121.4252) - (xy 138.254509 118.937526) - (xy 202.952463 118.937526) - (xy 202.972249 119.125782) - (xy 202.97225 119.125785) - (xy 203.030741 119.305803) - (xy 203.030744 119.30581) - (xy 203.12539 119.469742) - (xy 203.2169 119.571374) - (xy 203.252052 119.610414) - (xy 203.405188 119.721674) - (xy 203.405193 119.721677) - (xy 203.578115 119.798668) - (xy 203.57812 119.79867) - (xy 203.763277 119.838026) - (xy 203.763278 119.838026) - (xy 203.952567 119.838026) - (xy 203.952569 119.838026) - (xy 204.137726 119.79867) - (xy 204.310653 119.721677) - (xy 204.463794 119.610414) - (xy 204.590456 119.469742) - (xy 204.685102 119.30581) - (xy 204.743597 119.125782) - (xy 204.763383 118.937526) - (xy 204.743597 118.74927) - (xy 204.685102 118.569242) - (xy 204.590456 118.40531) - (xy 204.463794 118.264638) - (xy 204.463793 118.264637) - (xy 204.310657 118.153377) - (xy 204.310652 118.153374) - (xy 204.13773 118.076383) - (xy 204.137725 118.076381) - (xy 203.991924 118.045391) - (xy 203.952569 118.037026) - (xy 203.763277 118.037026) - (xy 203.73082 118.043924) - (xy 203.57812 118.076381) - (xy 203.578115 118.076383) - (xy 203.405193 118.153374) - (xy 203.405188 118.153377) - (xy 203.252052 118.264637) - (xy 203.125389 118.405311) - (xy 203.030744 118.569241) - (xy 203.030741 118.569248) - (xy 202.977638 118.732683) - (xy 202.972249 118.74927) - (xy 202.952463 118.937526) - (xy 138.254509 118.937526) - (xy 129.604285 110.287302) - (xy 151.95254 110.287302) - (xy 151.972326 110.475558) - (xy 151.972327 110.475561) - (xy 152.030818 110.655579) - (xy 152.030821 110.655586) - (xy 152.125467 110.819518) - (xy 152.237679 110.944142) - (xy 152.252129 110.96019) - (xy 152.405265 111.07145) - (xy 152.40527 111.071453) - (xy 152.578192 111.148444) - (xy 152.578197 111.148446) - (xy 152.763354 111.187802) - (xy 152.763355 111.187802) - (xy 152.952644 111.187802) - (xy 152.952646 111.187802) - (xy 153.137803 111.148446) - (xy 153.185188 111.127348) - (xy 153.254434 111.118063) - (xy 153.317711 111.147691) - (xy 153.354926 111.206825) - (xy 153.358943 111.227668) - (xy 153.361091 111.248108) - (xy 153.348521 111.316838) - (xy 153.300788 111.367861) - (xy 153.263551 111.382358) - (xy 153.086197 111.420055) - (xy 153.086192 111.420057) - (xy 152.91327 111.497048) - (xy 152.913265 111.497051) - (xy 152.760129 111.608311) - (xy 152.633466 111.748985) - (xy 152.538821 111.912915) - (xy 152.538818 111.912922) - (xy 152.481028 112.090782) - (xy 152.480326 112.092944) - (xy 152.46054 112.2812) - (xy 152.480326 112.469456) - (xy 152.480327 112.469459) - (xy 152.538818 112.649477) - (xy 152.538821 112.649484) - (xy 152.633467 112.813416) - (xy 152.744572 112.93681) - (xy 152.760129 112.954088) - (xy 152.913265 113.065348) - (xy 152.91327 113.065351) - (xy 153.086192 113.142342) - (xy 153.086197 113.142344) - (xy 153.271354 113.1817) - (xy 153.271355 113.1817) - (xy 153.467145 113.1817) - (xy 153.467145 113.18382) - (xy 153.526131 113.194592) - (xy 153.577167 113.242311) - (xy 153.594302 113.310047) - (xy 153.572097 113.376294) - (xy 153.543277 113.405676) - (xy 153.395127 113.513313) - (xy 153.268466 113.653985) - (xy 153.173821 113.817915) - (xy 153.173818 113.817922) - (xy 153.123271 113.973492) - (xy 153.115326 113.997944) - (xy 153.09554 114.1862) - (xy 153.115326 114.374456) - (xy 153.115327 114.374459) - (xy 153.173818 114.554477) - (xy 153.173821 114.554484) - (xy 153.268467 114.718416) - (xy 153.380359 114.842684) - (xy 153.395129 114.859088) - (xy 153.548265 114.970348) - (xy 153.54827 114.970351) - (xy 153.721192 115.047342) - (xy 153.721193 115.047342) - (xy 153.721197 115.047344) - (xy 153.842635 115.073156) - (xy 153.904117 115.106348) - (xy 153.937894 115.167511) - (xy 153.934786 115.232763) - (xy 153.903927 115.327739) - (xy 153.903926 115.327741) - (xy 153.903926 115.327744) - (xy 153.88414 115.516) - (xy 153.903926 115.704256) - (xy 153.903927 115.704259) - (xy 153.962418 115.884277) - (xy 153.962421 115.884284) - (xy 154.057066 116.048215) - (xy 154.178451 116.183028) - (xy 154.208681 116.24602) - (xy 154.200055 116.315355) - (xy 154.178451 116.348972) - (xy 154.057066 116.483784) - (xy 153.962421 116.647715) - (xy 153.962418 116.647722) - (xy 153.90461 116.825638) - (xy 153.903926 116.827744) - (xy 153.88414 117.016) - (xy 153.903926 117.204256) - (xy 153.903927 117.204259) - (xy 153.962418 117.384277) - (xy 153.962421 117.384284) - (xy 154.057067 117.548216) - (xy 154.160997 117.663642) - (xy 154.183729 117.688888) - (xy 154.336865 117.800148) - (xy 154.33687 117.800151) - (xy 154.509792 117.877142) - (xy 154.509797 117.877144) - (xy 154.694954 117.9165) - (xy 154.694956 117.9165) - (xy 154.699538 117.917474) - (xy 154.761019 117.950666) - (xy 154.791688 118.000446) - (xy 154.851418 118.184277) - (xy 154.851421 118.184284) - (xy 154.946067 118.348216) - (xy 154.992883 118.40021) - (xy 155.072729 118.488888) - (xy 155.225865 118.600148) - (xy 155.22587 118.600151) - (xy 155.398792 118.677142) - (xy 155.398797 118.677144) - (xy 155.583954 118.7165) - (xy 155.583955 118.7165) - (xy 155.773244 118.7165) - (xy 155.773246 118.7165) - (xy 155.958403 118.677144) - (xy 156.13133 118.600151) - (xy 156.284471 118.488888) - (xy 156.411133 118.348216) - (xy 156.505779 118.184284) - (xy 156.555283 118.031926) - (xy 191.523663 118.031926) - (xy 191.543449 118.220182) - (xy 191.54345 118.220185) - (xy 191.601941 118.400203) - (xy 191.601944 118.40021) - (xy 191.69659 118.564142) - (xy 191.823252 118.704814) - (xy 191.976388 118.816074) - (xy 191.976393 118.816077) - (xy 192.149315 118.893068) - (xy 192.14932 118.89307) - (xy 192.334477 118.932426) - (xy 192.334478 118.932426) - (xy 192.523767 118.932426) - (xy 192.523769 118.932426) - (xy 192.708926 118.89307) - (xy 192.881853 118.816077) - (xy 193.034994 118.704814) - (xy 193.161656 118.564142) - (xy 193.256302 118.40021) - (xy 193.314797 118.220182) - (xy 193.334583 118.031926) - (xy 193.314797 117.84367) - (xy 193.256302 117.663642) - (xy 193.161656 117.49971) - (xy 193.034994 117.359038) - (xy 192.994578 117.329674) - (xy 192.881857 117.247777) - (xy 192.881852 117.247774) - (xy 192.70893 117.170783) - (xy 192.708925 117.170781) - (xy 192.563124 117.139791) - (xy 192.523769 117.131426) - (xy 192.334477 117.131426) - (xy 192.30202 117.138324) - (xy 192.14932 117.170781) - (xy 192.149315 117.170783) - (xy 191.976393 117.247774) - (xy 191.976388 117.247777) - (xy 191.823252 117.359037) - (xy 191.696589 117.499711) - (xy 191.601944 117.663641) - (xy 191.601941 117.663648) - (xy 191.545397 117.837674) - (xy 191.543449 117.84367) - (xy 191.523663 118.031926) - (xy 156.555283 118.031926) - (xy 156.564274 118.004256) - (xy 156.58406 117.816) - (xy 156.564274 117.627744) - (xy 156.505779 117.447716) - (xy 156.411133 117.283784) - (xy 156.284471 117.143112) - (xy 156.240947 117.11149) - (xy 156.198283 117.056161) - (xy 156.192304 116.986547) - (xy 156.22491 116.924752) - (xy 156.253516 116.904799) - (xy 156.252707 116.903397) - (xy 156.258324 116.900153) - (xy 156.25833 116.900151) - (xy 156.411471 116.788888) - (xy 156.538133 116.648216) - (xy 156.632779 116.484284) - (xy 156.691274 116.304256) - (xy 156.71106 116.116) - (xy 156.691274 115.927744) - (xy 156.632779 115.747716) - (xy 156.538133 115.583784) - (xy 156.455423 115.491926) - (xy 191.523663 115.491926) - (xy 191.543449 115.680182) - (xy 191.54345 115.680185) - (xy 191.601941 115.860203) - (xy 191.601944 115.86021) - (xy 191.69659 116.024142) - (xy 191.754562 116.088526) - (xy 191.823252 116.164814) - (xy 191.976388 116.276074) - (xy 191.976393 116.276077) - (xy 192.149315 116.353068) - (xy 192.14932 116.35307) - (xy 192.334477 116.392426) - (xy 192.334478 116.392426) - (xy 192.523767 116.392426) - (xy 192.523769 116.392426) - (xy 192.708926 116.35307) - (xy 192.881853 116.276077) - (xy 193.034994 116.164814) - (xy 193.161656 116.024142) - (xy 193.256302 115.86021) - (xy 193.314797 115.680182) - (xy 193.334583 115.491926) - (xy 193.314797 115.30367) - (xy 193.256302 115.123642) - (xy 193.161656 114.95971) - (xy 193.034994 114.819038) - (xy 193.034993 114.819037) - (xy 192.881857 114.707777) - (xy 192.881852 114.707774) - (xy 192.70893 114.630783) - (xy 192.708925 114.630781) - (xy 192.563124 114.599791) - (xy 192.523769 114.591426) - (xy 192.334477 114.591426) - (xy 192.30202 114.598324) - (xy 192.14932 114.630781) - (xy 192.149315 114.630783) - (xy 191.976393 114.707774) - (xy 191.976388 114.707777) - (xy 191.823252 114.819037) - (xy 191.696589 114.959711) - (xy 191.601944 115.123641) - (xy 191.601941 115.123648) - (xy 191.54345 115.303666) - (xy 191.543449 115.30367) - (xy 191.523663 115.491926) - (xy 156.455423 115.491926) - (xy 156.416746 115.448971) - (xy 156.386517 115.385981) - (xy 156.395142 115.316646) - (xy 156.416747 115.283028) - (xy 156.427771 115.270785) - (xy 156.538133 115.148216) - (xy 156.632779 114.984284) - (xy 156.691274 114.804256) - (xy 156.71106 114.616) - (xy 156.691274 114.427744) - (xy 156.632779 114.247716) - (xy 156.538133 114.083784) - (xy 156.517371 114.060726) - (xy 202.952463 114.060726) - (xy 202.972249 114.248982) - (xy 202.97225 114.248985) - (xy 203.030741 114.429003) - (xy 203.030744 114.42901) - (xy 203.12539 114.592942) - (xy 203.228788 114.707777) - (xy 203.252052 114.733614) - (xy 203.405188 114.844874) - (xy 203.405193 114.844877) - (xy 203.578115 114.921868) - (xy 203.57812 114.92187) - (xy 203.763277 114.961226) - (xy 203.763278 114.961226) - (xy 203.952567 114.961226) - (xy 203.952569 114.961226) - (xy 204.137726 114.92187) - (xy 204.310653 114.844877) - (xy 204.463794 114.733614) - (xy 204.590456 114.592942) - (xy 204.685102 114.42901) - (xy 204.743597 114.248982) - (xy 204.763383 114.060726) - (xy 204.743597 113.87247) - (xy 204.685102 113.692442) - (xy 204.590456 113.52851) - (xy 204.463794 113.387838) - (xy 204.447905 113.376294) - (xy 204.310657 113.276577) - (xy 204.310652 113.276574) - (xy 204.13773 113.199583) - (xy 204.137725 113.199581) - (xy 203.991924 113.168591) - (xy 203.952569 113.160226) - (xy 203.763277 113.160226) - (xy 203.73082 113.167124) - (xy 203.57812 113.199581) - (xy 203.578115 113.199583) - (xy 203.405193 113.276574) - (xy 203.405188 113.276577) - (xy 203.252052 113.387837) - (xy 203.125389 113.528511) - (xy 203.030744 113.692441) - (xy 203.030741 113.692448) - (xy 202.97225 113.872466) - (xy 202.972249 113.87247) - (xy 202.952463 114.060726) - (xy 156.517371 114.060726) - (xy 156.411471 113.943112) - (xy 156.380106 113.920324) - (xy 156.258334 113.831851) - (xy 156.258329 113.831848) - (xy 156.085407 113.754857) - (xy 156.085402 113.754855) - (xy 155.939601 113.723865) - (xy 155.900246 113.7155) - (xy 155.79846 113.7155) - (xy 155.731421 113.695815) - (xy 155.685666 113.643011) - (xy 155.675722 113.573853) - (xy 155.691072 113.529502) - (xy 155.717179 113.484284) - (xy 155.775674 113.304256) - (xy 155.79546 113.116) - (xy 155.778216 112.951926) - (xy 191.523663 112.951926) - (xy 191.543449 113.140182) - (xy 191.54345 113.140185) - (xy 191.601941 113.320203) - (xy 191.601944 113.32021) - (xy 191.69659 113.484142) - (xy 191.777409 113.5739) - (xy 191.823252 113.624814) - (xy 191.976388 113.736074) - (xy 191.976393 113.736077) - (xy 192.149315 113.813068) - (xy 192.14932 113.81307) - (xy 192.334477 113.852426) - (xy 192.334478 113.852426) - (xy 192.523767 113.852426) - (xy 192.523769 113.852426) - (xy 192.708926 113.81307) - (xy 192.881853 113.736077) - (xy 193.034994 113.624814) - (xy 193.161656 113.484142) - (xy 193.256302 113.32021) - (xy 193.314797 113.140182) - (xy 193.334583 112.951926) - (xy 193.314797 112.76367) - (xy 193.256302 112.583642) - (xy 193.161656 112.41971) - (xy 193.034994 112.279038) - (xy 193.034993 112.279037) - (xy 192.881857 112.167777) - (xy 192.881852 112.167774) - (xy 192.70893 112.090783) - (xy 192.708925 112.090781) - (xy 192.550294 112.057064) - (xy 192.523769 112.051426) - (xy 192.334477 112.051426) - (xy 192.307952 112.057064) - (xy 192.14932 112.090781) - (xy 192.149315 112.090783) - (xy 191.976393 112.167774) - (xy 191.976388 112.167777) - (xy 191.823252 112.279037) - (xy 191.696589 112.419711) - (xy 191.601944 112.583641) - (xy 191.601941 112.583648) - (xy 191.545687 112.756782) - (xy 191.543449 112.76367) - (xy 191.523663 112.951926) - (xy 155.778216 112.951926) - (xy 155.775674 112.927744) - (xy 155.717179 112.747716) - (xy 155.653714 112.637791) - (xy 155.637241 112.56989) - (xy 155.660094 112.503864) - (xy 155.710662 112.462513) - (xy 155.85073 112.400151) - (xy 156.003871 112.288888) - (xy 156.130533 112.148216) - (xy 156.225179 111.984284) - (xy 156.283674 111.804256) - (xy 156.30346 111.616) - (xy 156.283674 111.427744) - (xy 156.225179 111.247716) - (xy 156.130533 111.083784) - (xy 156.003871 110.943112) - (xy 156.00387 110.943111) - (xy 155.850734 110.831851) - (xy 155.850729 110.831848) - (xy 155.677807 110.754857) - (xy 155.677802 110.754855) - (xy 155.532001 110.723865) - (xy 155.492646 110.7155) - (xy 155.303354 110.7155) - (xy 155.303353 110.7155) - (xy 155.166493 110.74459) - (xy 155.096826 110.739274) - (xy 155.041093 110.697137) - (xy 155.033326 110.6853) - (xy 154.987533 110.605984) - (xy 154.860871 110.465312) - (xy 154.856939 110.462455) - (xy 154.817977 110.411926) - (xy 191.523663 110.411926) - (xy 191.543449 110.600182) - (xy 191.54345 110.600185) - (xy 191.601941 110.780203) - (xy 191.601944 110.78021) - (xy 191.69659 110.944142) - (xy 191.803047 111.062374) - (xy 191.823252 111.084814) - (xy 191.976388 111.196074) - (xy 191.976393 111.196077) - (xy 192.149315 111.273068) - (xy 192.14932 111.27307) - (xy 192.334477 111.312426) - (xy 192.334478 111.312426) - (xy 192.523767 111.312426) - (xy 192.523769 111.312426) - (xy 192.708926 111.27307) - (xy 192.881853 111.196077) - (xy 193.034994 111.084814) - (xy 193.161656 110.944142) - (xy 193.256302 110.78021) - (xy 193.314797 110.600182) - (xy 193.334583 110.411926) - (xy 193.314797 110.22367) - (xy 193.256302 110.043642) - (xy 193.161656 109.87971) - (xy 193.034994 109.739038) - (xy 193.027636 109.733692) - (xy 192.881857 109.627777) - (xy 192.881852 109.627774) - (xy 192.70893 109.550783) - (xy 192.708925 109.550781) - (xy 192.545288 109.516) - (xy 192.523769 109.511426) - (xy 192.334477 109.511426) - (xy 192.312958 109.516) - (xy 192.14932 109.550781) - (xy 192.149315 109.550783) - (xy 191.976393 109.627774) - (xy 191.976388 109.627777) - (xy 191.823252 109.739037) - (xy 191.696589 109.879711) - (xy 191.601944 110.043641) - (xy 191.601941 110.043648) - (xy 191.54345 110.223666) - (xy 191.543449 110.22367) - (xy 191.523663 110.411926) - (xy 154.817977 110.411926) - (xy 154.814275 110.407125) - (xy 154.808297 110.337512) - (xy 154.840904 110.275717) - (xy 154.856946 110.261818) - (xy 154.859187 110.26019) - (xy 154.985849 110.119518) - (xy 155.080495 109.955586) - (xy 155.103512 109.884744) - (xy 155.142948 109.82707) - (xy 155.207307 109.799871) - (xy 155.276153 109.811785) - (xy 155.294328 109.822745) - (xy 155.400865 109.900148) - (xy 155.40087 109.900151) - (xy 155.573792 109.977142) - (xy 155.573797 109.977144) - (xy 155.758954 110.0165) - (xy 155.758955 110.0165) - (xy 155.948244 110.0165) - (xy 155.948246 110.0165) - (xy 156.133403 109.977144) - (xy 156.30633 109.900151) - (xy 156.459471 109.788888) - (xy 156.586133 109.648216) - (xy 156.680779 109.484284) - (xy 156.739274 109.304256) - (xy 156.752699 109.176526) - (xy 184.370014 109.176526) - (xy 184.3898 109.364782) - (xy 184.389801 109.364785) - (xy 184.448292 109.544803) - (xy 184.448295 109.54481) - (xy 184.542941 109.708742) - (xy 184.64559 109.822745) - (xy 184.669603 109.849414) - (xy 184.822739 109.960674) - (xy 184.822744 109.960677) - (xy 184.995666 110.037668) - (xy 184.995671 110.03767) - (xy 185.180828 110.077026) - (xy 185.180829 110.077026) - (xy 185.370118 110.077026) - (xy 185.37012 110.077026) - (xy 185.555277 110.03767) - (xy 185.728204 109.960677) - (xy 185.881345 109.849414) - (xy 186.008007 109.708742) - (xy 186.102653 109.54481) - (xy 186.161148 109.364782) - (xy 186.180934 109.176526) - (xy 186.176373 109.133126) - (xy 202.952463 109.133126) - (xy 202.972249 109.321382) - (xy 202.97225 109.321385) - (xy 203.030741 109.501403) - (xy 203.030744 109.50141) - (xy 203.12539 109.665342) - (xy 203.200545 109.74881) - (xy 203.252052 109.806014) - (xy 203.405188 109.917274) - (xy 203.405193 109.917277) - (xy 203.578115 109.994268) - (xy 203.57812 109.99427) - (xy 203.763277 110.033626) - (xy 203.763278 110.033626) - (xy 203.952567 110.033626) - (xy 203.952569 110.033626) - (xy 204.137726 109.99427) - (xy 204.310653 109.917277) - (xy 204.463794 109.806014) - (xy 204.590456 109.665342) - (xy 204.685102 109.50141) - (xy 204.743597 109.321382) - (xy 204.763383 109.133126) - (xy 204.743597 108.94487) - (xy 204.685102 108.764842) - (xy 204.590456 108.60091) - (xy 204.463794 108.460238) - (xy 204.463793 108.460237) - (xy 204.310657 108.348977) - (xy 204.310652 108.348974) - (xy 204.13773 108.271983) - (xy 204.137725 108.271981) - (xy 203.988216 108.240203) - (xy 203.952569 108.232626) - (xy 203.763277 108.232626) - (xy 203.73082 108.239524) - (xy 203.57812 108.271981) - (xy 203.578115 108.271983) - (xy 203.405193 108.348974) - (xy 203.405188 108.348977) - (xy 203.252052 108.460237) - (xy 203.125389 108.600911) - (xy 203.030744 108.764841) - (xy 203.030741 108.764848) - (xy 202.974448 108.938102) - (xy 202.972249 108.94487) - (xy 202.952463 109.133126) - (xy 186.176373 109.133126) - (xy 186.161148 108.98827) - (xy 186.102653 108.808242) - (xy 186.008007 108.64431) - (xy 185.881345 108.503638) - (xy 185.881344 108.503637) - (xy 185.728208 108.392377) - (xy 185.728203 108.392374) - (xy 185.555281 108.315383) - (xy 185.555276 108.315381) - (xy 185.394048 108.281112) - (xy 185.37012 108.276026) - (xy 185.180828 108.276026) - (xy 185.1569 108.281112) - (xy 184.995671 108.315381) - (xy 184.995666 108.315383) - (xy 184.822744 108.392374) - (xy 184.822739 108.392377) - (xy 184.669603 108.503637) - (xy 184.54294 108.644311) - (xy 184.448295 108.808241) - (xy 184.448292 108.808248) - (xy 184.397263 108.9653) - (xy 184.3898 108.98827) - (xy 184.370014 109.176526) - (xy 156.752699 109.176526) - (xy 156.75906 109.116) - (xy 156.739274 108.927744) - (xy 156.680779 108.747716) - (xy 156.586133 108.583784) - (xy 156.459471 108.443112) - (xy 156.444646 108.432341) - (xy 156.306334 108.331851) - (xy 156.306329 108.331848) - (xy 156.133407 108.254857) - (xy 156.133402 108.254855) - (xy 155.971995 108.220548) - (xy 155.948246 108.2155) - (xy 155.758954 108.2155) - (xy 155.735205 108.220548) - (xy 155.573797 108.254855) - (xy 155.573788 108.254858) - (xy 155.535673 108.271828) - (xy 155.466423 108.281112) - (xy 155.403147 108.251482) - (xy 155.377853 108.220548) - (xy 155.335904 108.14789) - (xy 155.314366 108.110585) - (xy 155.187704 107.969913) - (xy 155.187703 107.969912) - (xy 155.088298 107.89769) - (xy 155.068431 107.871926) - (xy 191.523663 107.871926) - (xy 191.543449 108.060182) - (xy 191.54345 108.060185) - (xy 191.601941 108.240203) - (xy 191.601944 108.24021) - (xy 191.69659 108.404142) - (xy 191.805114 108.52467) - (xy 191.823252 108.544814) - (xy 191.976388 108.656074) - (xy 191.976393 108.656077) - (xy 192.149315 108.733068) - (xy 192.14932 108.73307) - (xy 192.334477 108.772426) - (xy 192.334478 108.772426) - (xy 192.523767 108.772426) - (xy 192.523769 108.772426) - (xy 192.708926 108.73307) - (xy 192.881853 108.656077) - (xy 193.034994 108.544814) - (xy 193.161656 108.404142) - (xy 193.256302 108.24021) - (xy 193.314797 108.060182) - (xy 193.334583 107.871926) - (xy 193.314797 107.68367) - (xy 193.256302 107.503642) - (xy 193.161656 107.33971) - (xy 193.034994 107.199038) - (xy 193.034993 107.199037) - (xy 192.881857 107.087777) - (xy 192.881852 107.087774) - (xy 192.70893 107.010783) - (xy 192.708925 107.010781) - (xy 192.563124 106.979791) - (xy 192.523769 106.971426) - (xy 192.334477 106.971426) - (xy 192.30202 106.978324) - (xy 192.14932 107.010781) - (xy 192.149315 107.010783) - (xy 191.976393 107.087774) - (xy 191.976388 107.087777) - (xy 191.823252 107.199037) - (xy 191.696589 107.339711) - (xy 191.601944 107.503641) - (xy 191.601941 107.503648) - (xy 191.543542 107.683383) - (xy 191.543449 107.68367) - (xy 191.523663 107.871926) - (xy 155.068431 107.871926) - (xy 155.045632 107.84236) - (xy 155.039653 107.772747) - (xy 155.072259 107.710952) - (xy 155.078215 107.705218) - (xy 155.079457 107.704097) - (xy 155.079471 107.704088) - (xy 155.206133 107.563416) - (xy 155.300779 107.399484) - (xy 155.327455 107.317383) - (xy 155.366892 107.259707) - (xy 155.43125 107.232508) - (xy 155.445386 107.2317) - (xy 155.548244 107.2317) - (xy 155.548246 107.2317) - (xy 155.733403 107.192344) - (xy 155.90633 107.115351) - (xy 156.059471 107.004088) - (xy 156.186133 106.863416) - (xy 156.280779 106.699484) - (xy 156.339274 106.519456) - (xy 156.35906 106.3312) - (xy 156.339274 106.142944) - (xy 156.280779 105.962916) - (xy 156.186133 105.798984) - (xy 156.059471 105.658312) - (xy 156.05947 105.658311) - (xy 156.054642 105.653964) - (xy 156.0565 105.6519) - (xy 156.021294 105.606243) - (xy 156.015316 105.536629) - (xy 156.044693 105.478287) + (xy 155.917417 121.4252) + (xy 155.939145 121.409414) + (xy 156.065807 121.268742) + (xy 156.160453 121.10481) + (xy 156.218948 120.924782) + (xy 156.238734 120.736526) + (xy 156.218948 120.54827) + (xy 156.160453 120.368242) + (xy 156.065807 120.20431) + (xy 155.939145 120.063638) + (xy 155.939144 120.063637) + (xy 155.786008 119.952377) + (xy 155.786003 119.952374) + (xy 155.613081 119.875383) + (xy 155.613076 119.875381) + (xy 155.466639 119.844256) + (xy 155.42792 119.836026) + (xy 155.238628 119.836026) + (xy 155.232129 119.836026) + (xy 155.232129 119.833913) + (xy 155.173104 119.823114) + (xy 155.122085 119.775378) + (xy 155.104972 119.707636) + (xy 155.1272 119.641396) + (xy 155.155995 119.61205) + (xy 155.304145 119.504414) + (xy 155.430807 119.363742) + (xy 155.525453 119.19981) + (xy 155.583948 119.019782) + (xy 155.603734 118.831526) + (xy 155.583948 118.64327) + (xy 155.525453 118.463242) + (xy 155.430807 118.29931) + (xy 155.304145 118.158638) + (xy 155.304144 118.158637) + (xy 155.151008 118.047377) + (xy 155.151003 118.047374) + (xy 155.027317 117.992305) + (xy 154.97408 117.947055) + (xy 154.953759 117.880206) + (xy 154.972805 117.812982) + (xy 155.025171 117.766727) + (xy 155.027317 117.765747) + (xy 155.079772 117.742391) + (xy 155.151004 117.710677) + (xy 155.304145 117.599414) + (xy 155.430807 117.458742) + (xy 155.525453 117.29481) + (xy 155.583948 117.114782) + (xy 155.603734 116.926526) + (xy 155.583948 116.73827) + (xy 155.525453 116.558242) + (xy 155.457075 116.439808) + (xy 155.440603 116.37191) + (xy 155.463456 116.305883) + (xy 155.472306 116.294845) + (xy 155.502916 116.260851) + (xy 155.597562 116.096919) + (xy 155.656057 115.916891) + (xy 155.675843 115.728635) + (xy 155.656057 115.540379) + (xy 155.597562 115.360351) + (xy 155.502916 115.196419) + (xy 155.376254 115.055747) + (xy 155.36336 115.046379) + (xy 155.223117 114.944486) + (xy 155.223112 114.944483) + (xy 155.05019 114.867492) + (xy 155.050185 114.86749) + (xy 155.023408 114.861799) + (xy 154.961926 114.828607) + (xy 154.931258 114.778827) + (xy 154.928476 114.770266) + (xy 154.902035 114.688888) + (xy 154.890455 114.653247) + (xy 154.890452 114.653241) + (xy 154.868951 114.616) + (xy 154.795807 114.48931) + (xy 154.669145 114.348638) + (xy 154.669144 114.348637) + (xy 154.516008 114.237377) + (xy 154.516006 114.237376) + (xy 154.470841 114.217267) + (xy 154.417605 114.172016) + (xy 154.397284 114.105167) + (xy 154.397957 114.091026) + (xy 154.398693 114.084022) + (xy 154.405843 114.016) + (xy 154.386057 113.827744) + (xy 154.327562 113.647716) + (xy 154.232916 113.483784) + (xy 154.106254 113.343112) + (xy 154.106253 113.343111) + (xy 153.953117 113.231851) + (xy 153.953112 113.231848) + (xy 153.780189 113.154857) + (xy 153.776613 113.153695) + (xy 153.774808 113.15246) + (xy 153.774249 113.152212) + (xy 153.774294 113.152109) + (xy 153.718936 113.114259) + (xy 153.691737 113.049901) + (xy 153.691625 113.048892) + (xy 153.678948 112.92827) + (xy 153.620453 112.748242) + (xy 153.525807 112.58431) + (xy 153.399145 112.443638) + (xy 153.381837 112.431063) + (xy 153.246008 112.332377) + (xy 153.246003 112.332374) + (xy 153.073081 112.255383) + (xy 153.073076 112.255381) + (xy 152.927275 112.224391) + (xy 152.88792 112.216026) + (xy 152.698628 112.216026) + (xy 152.698626 112.216026) + (xy 152.585195 112.240136) + (xy 152.515528 112.23482) + (xy 152.459795 112.192682) + (xy 152.452028 112.180846) + (xy 152.431571 112.145414) + (xy 152.403921 112.097522) + (xy 152.277259 111.95685) + (xy 152.193008 111.895638) + (xy 152.124122 111.845589) + (xy 152.124117 111.845586) + (xy 151.951194 111.768595) + (xy 151.951192 111.768594) + (xy 151.951191 111.768594) + (xy 151.931617 111.764433) + (xy 151.924743 111.762972) + (xy 151.863262 111.729778) + (xy 151.832596 111.68) + (xy 151.791694 111.554116) + (xy 151.791691 111.55411) + (xy 151.747892 111.478248) + (xy 151.697046 111.390179) + (xy 151.637885 111.324474) + (xy 151.607657 111.261486) + (xy 151.616282 111.192151) + (xy 151.661023 111.138485) + (xy 151.727676 111.117527) + (xy 151.730037 111.117505) + (xy 159.022658 111.117505) + (xy 159.089697 111.13719) + (xy 159.110339 111.153824) + (xy 168.957075 121.00056) + (xy 168.967769 121.012754) + (xy 168.986994 121.037808) + (xy 169.059326 121.09331) + (xy 169.112435 121.134062) + (xy 169.112437 121.134062) + (xy 169.112439 121.134064) + (xy 169.185474 121.164316) + (xy 169.258514 121.19457) + (xy 169.336895 121.204889) + (xy 169.415275 121.215208) + (xy 169.415276 121.215208) + (xy 169.446578 121.211086) + (xy 169.462763 121.210026) + (xy 170.355016 121.210026) + (xy 170.422055 121.229711) + (xy 170.447165 121.251053) + (xy 170.475402 121.282413) + (xy 170.475409 121.282419) + (xy 170.628539 121.393674) + (xy 170.628544 121.393677) + (xy 170.801466 121.470668) + (xy 170.801471 121.47067) + (xy 170.986628 121.510026) + (xy 170.986629 121.510026) + (xy 171.118774 121.510026) + (xy 171.185813 121.529711) + (xy 171.231568 121.582515) + (xy 171.242774 121.634026) + (xy 171.242774 122.087429) + (xy 171.223089 122.154468) + (xy 171.206455 122.17511) + (xy 171.168858 122.212707) + (xy 171.107535 122.246192) + (xy 171.081177 122.249026) + (xy 170.986628 122.249026) + (xy 170.954171 122.255924) + (xy 170.801471 122.288381) + (xy 170.801466 122.288383) + (xy 170.628544 122.365374) + (xy 170.628539 122.365377) + (xy 170.475403 122.476637) + (xy 170.34874 122.617311) + (xy 170.254095 122.781241) + (xy 170.254092 122.781248) + (xy 170.200298 122.94681) + (xy 170.1956 122.96127) + (xy 170.175814 123.149526) + (xy 170.1956 123.337782) + (xy 170.195601 123.337785) + (xy 170.254092 123.517803) + (xy 170.254095 123.51781) + (xy 170.348741 123.681742) + (xy 170.474415 123.821317) + (xy 170.475403 123.822414) + (xy 170.628539 123.933674) + (xy 170.628544 123.933677) + (xy 170.801466 124.010668) + (xy 170.801471 124.01067) + (xy 170.986628 124.050026) + (xy 170.986629 124.050026) + (xy 171.175918 124.050026) + (xy 171.17592 124.050026) + (xy 171.361077 124.01067) + (xy 171.534004 123.933677) + (xy 171.687145 123.822414) + (xy 171.813807 123.681742) + (xy 171.908453 123.51781) + (xy 171.966948 123.337782) + (xy 171.986734 123.149526) + (xy 171.986734 123.149523) + (xy 171.986734 123.144662) + (xy 172.006419 123.077623) + (xy 172.023053 123.056981) + (xy 172.118768 122.961266) + (xy 172.234317 122.845716) + (xy 172.246497 122.835035) + (xy 172.271556 122.815808) + (xy 172.36781 122.690367) + (xy 172.428318 122.544288) + (xy 172.4355 122.489736) + (xy 172.448956 122.387526) + (xy 172.448558 122.384506) + (xy 172.444835 122.356223) + (xy 172.443774 122.340038) + (xy 172.443774 120.149013) + (xy 172.444835 120.132827) + (xy 172.448956 120.101526) + (xy 205.227814 120.101526) + (xy 205.2476 120.289782) + (xy 205.247601 120.289785) + (xy 205.306092 120.469803) + (xy 205.306095 120.46981) + (xy 205.400741 120.633742) + (xy 205.527403 120.774414) + (xy 205.680539 120.885674) + (xy 205.680544 120.885677) + (xy 205.853466 120.962668) + (xy 205.853471 120.96267) + (xy 206.038628 121.002026) + (xy 206.038629 121.002026) + (xy 206.227918 121.002026) + (xy 206.22792 121.002026) + (xy 206.413077 120.96267) + (xy 206.586004 120.885677) + (xy 206.739145 120.774414) + (xy 206.865807 120.633742) + (xy 206.960453 120.46981) + (xy 207.018948 120.289782) + (xy 207.038734 120.101526) + (xy 207.018948 119.91327) + (xy 206.960453 119.733242) + (xy 206.865807 119.56931) + (xy 206.739145 119.428638) + (xy 206.724046 119.417668) + (xy 206.586008 119.317377) + (xy 206.586003 119.317374) + (xy 206.413081 119.240383) + (xy 206.413076 119.240381) + (xy 206.267275 119.209391) + (xy 206.22792 119.201026) + (xy 206.038628 119.201026) + (xy 206.006171 119.207924) + (xy 205.853471 119.240381) + (xy 205.853466 119.240383) + (xy 205.680544 119.317374) + (xy 205.680539 119.317377) + (xy 205.527403 119.428637) + (xy 205.40074 119.569311) + (xy 205.306095 119.733241) + (xy 205.306092 119.733248) + (xy 205.25991 119.875383) + (xy 205.2476 119.91327) + (xy 205.227814 120.101526) + (xy 172.448956 120.101526) + (xy 172.448956 120.101524) + (xy 172.431238 119.966944) + (xy 172.428318 119.944764) + (xy 172.36781 119.798685) + (xy 172.367809 119.798684) + (xy 172.367809 119.798683) + (xy 172.293261 119.701529) + (xy 172.293244 119.701509) + (xy 172.271556 119.673244) + (xy 172.246498 119.654016) + (xy 172.23432 119.643336) + (xy 171.793472 119.202489) + (xy 171.782777 119.190293) + (xy 171.76356 119.165248) + (xy 171.763557 119.165246) + (xy 171.763556 119.165244) + (xy 171.638115 119.06899) + (xy 171.632888 119.066825) + (xy 171.587624 119.048076) + (xy 171.533221 119.004235) + (xy 171.518007 118.958526) + (xy 194.559814 118.958526) + (xy 194.5796 119.146782) + (xy 194.579601 119.146785) + (xy 194.638092 119.326803) + (xy 194.638095 119.32681) + (xy 194.732741 119.490742) + (xy 194.859403 119.631414) + (xy 195.012539 119.742674) + (xy 195.012544 119.742677) + (xy 195.185466 119.819668) + (xy 195.185471 119.81967) + (xy 195.370628 119.859026) + (xy 195.370629 119.859026) + (xy 195.559918 119.859026) + (xy 195.55992 119.859026) + (xy 195.745077 119.81967) + (xy 195.918004 119.742677) + (xy 196.071145 119.631414) + (xy 196.197807 119.490742) + (xy 196.292453 119.32681) + (xy 196.350948 119.146782) + (xy 196.370734 118.958526) + (xy 196.350948 118.77027) + (xy 196.292453 118.590242) + (xy 196.197807 118.42631) + (xy 196.071145 118.285638) + (xy 196.06707 118.282677) + (xy 195.918008 118.174377) + (xy 195.918003 118.174374) + (xy 195.745081 118.097383) + (xy 195.745076 118.097381) + (xy 195.599275 118.066391) + (xy 195.55992 118.058026) + (xy 195.370628 118.058026) + (xy 195.338171 118.064924) + (xy 195.185471 118.097381) + (xy 195.185466 118.097383) + (xy 195.012544 118.174374) + (xy 195.012539 118.174377) + (xy 194.859403 118.285637) + (xy 194.73274 118.426311) + (xy 194.638095 118.590241) + (xy 194.638092 118.590248) + (xy 194.584399 118.7555) + (xy 194.5796 118.77027) + (xy 194.559814 118.958526) + (xy 171.518007 118.958526) + (xy 171.511156 118.937941) + (xy 171.528435 118.870241) + (xy 171.562192 118.833197) + (xy 171.564492 118.831526) + (xy 171.687145 118.742414) + (xy 171.813807 118.601742) + (xy 171.908453 118.43781) + (xy 171.966948 118.257782) + (xy 171.986734 118.069526) + (xy 171.966948 117.88127) + (xy 171.908453 117.701242) + (xy 171.813807 117.53731) + (xy 171.687145 117.396638) + (xy 171.687144 117.396637) + (xy 171.534008 117.285377) + (xy 171.534003 117.285374) + (xy 171.361081 117.208383) + (xy 171.361076 117.208381) + (xy 171.215275 117.177391) + (xy 171.17592 117.169026) + (xy 170.986628 117.169026) + (xy 170.954171 117.175924) + (xy 170.801471 117.208381) + (xy 170.801466 117.208383) + (xy 170.628544 117.285374) + (xy 170.628539 117.285377) + (xy 170.475403 117.396637) + (xy 170.34874 117.537311) + (xy 170.254095 117.701241) + (xy 170.254092 117.701248) + (xy 170.195601 117.881266) + (xy 170.1956 117.88127) + (xy 170.175814 118.069526) + (xy 170.1956 118.257782) + (xy 170.195601 118.257785) + (xy 170.210628 118.304034) + (xy 170.212623 118.373875) + (xy 170.176542 118.433708) + (xy 170.113841 118.464536) + (xy 170.044427 118.456571) + (xy 170.005016 118.430033) + (xy 168.003509 116.428526) + (xy 193.162814 116.428526) + (xy 193.1826 116.616782) + (xy 193.182601 116.616785) + (xy 193.241092 116.796803) + (xy 193.241095 116.79681) + (xy 193.335741 116.960742) + (xy 193.441087 117.07774) + (xy 193.462403 117.101414) + (xy 193.615539 117.212674) + (xy 193.615544 117.212677) + (xy 193.788466 117.289668) + (xy 193.788471 117.28967) + (xy 193.973628 117.329026) + (xy 193.973629 117.329026) + (xy 194.162918 117.329026) + (xy 194.16292 117.329026) + (xy 194.348077 117.28967) + (xy 194.521004 117.212677) + (xy 194.674145 117.101414) + (xy 194.800807 116.960742) + (xy 194.895453 116.79681) + (xy 194.953948 116.616782) + (xy 194.973734 116.428526) + (xy 194.953948 116.24027) + (xy 194.895453 116.060242) + (xy 194.800807 115.89631) + (xy 194.674145 115.755638) + (xy 194.656302 115.742674) + (xy 194.521008 115.644377) + (xy 194.521003 115.644374) + (xy 194.348081 115.567383) + (xy 194.348076 115.567381) + (xy 194.201305 115.536185) + (xy 194.16292 115.528026) + (xy 193.973628 115.528026) + (xy 193.941171 115.534924) + (xy 193.788471 115.567381) + (xy 193.788466 115.567383) + (xy 193.615544 115.644374) + (xy 193.615539 115.644377) + (xy 193.462403 115.755637) + (xy 193.33574 115.896311) + (xy 193.241095 116.060241) + (xy 193.241092 116.060248) + (xy 193.190697 116.21535) + (xy 193.1826 116.24027) + (xy 193.162814 116.428526) + (xy 168.003509 116.428526) + (xy 166.596509 115.021526) + (xy 167.762814 115.021526) + (xy 167.7826 115.209782) + (xy 167.782601 115.209785) + (xy 167.841092 115.389803) + (xy 167.841095 115.38981) + (xy 167.935741 115.553742) + (xy 168.029748 115.658147) + (xy 168.062403 115.694414) + (xy 168.215539 115.805674) + (xy 168.215544 115.805677) + (xy 168.388466 115.882668) + (xy 168.388471 115.88267) + (xy 168.573628 115.922026) + (xy 168.573629 115.922026) + (xy 168.762918 115.922026) + (xy 168.76292 115.922026) + (xy 168.948077 115.88267) + (xy 169.121004 115.805677) + (xy 169.274145 115.694414) + (xy 169.400807 115.553742) + (xy 169.495453 115.38981) + (xy 169.532586 115.275526) + (xy 205.227814 115.275526) + (xy 205.2476 115.463782) + (xy 205.247601 115.463785) + (xy 205.306092 115.643803) + (xy 205.306095 115.64381) + (xy 205.400741 115.807742) + (xy 205.499022 115.916894) + (xy 205.527403 115.948414) + (xy 205.680539 116.059674) + (xy 205.680544 116.059677) + (xy 205.853466 116.136668) + (xy 205.853471 116.13667) + (xy 206.038628 116.176026) + (xy 206.038629 116.176026) + (xy 206.227918 116.176026) + (xy 206.22792 116.176026) + (xy 206.413077 116.13667) + (xy 206.586004 116.059677) + (xy 206.739145 115.948414) + (xy 206.865807 115.807742) + (xy 206.960453 115.64381) + (xy 207.018948 115.463782) + (xy 207.038734 115.275526) + (xy 207.018948 115.08727) + (xy 206.960453 114.907242) + (xy 206.865807 114.74331) + (xy 206.739145 114.602638) + (xy 206.722092 114.590248) + (xy 206.586008 114.491377) + (xy 206.586003 114.491374) + (xy 206.413081 114.414383) + (xy 206.413076 114.414381) + (xy 206.255099 114.380803) + (xy 206.22792 114.375026) + (xy 206.038628 114.375026) + (xy 206.011449 114.380803) + (xy 205.853471 114.414381) + (xy 205.853466 114.414383) + (xy 205.680544 114.491374) + (xy 205.680539 114.491377) + (xy 205.527403 114.602637) + (xy 205.40074 114.743311) + (xy 205.306095 114.907241) + (xy 205.306092 114.907248) + (xy 205.247601 115.087266) + (xy 205.2476 115.08727) + (xy 205.227814 115.275526) + (xy 169.532586 115.275526) + (xy 169.553948 115.209782) + (xy 169.573734 115.021526) + (xy 169.553948 114.83327) + (xy 169.495453 114.653242) + (xy 169.400807 114.48931) + (xy 169.274145 114.348638) + (xy 169.274144 114.348637) + (xy 169.121008 114.237377) + (xy 169.121003 114.237374) + (xy 168.948081 114.160383) + (xy 168.948076 114.160381) + (xy 168.802275 114.129391) + (xy 168.76292 114.121026) + (xy 168.573628 114.121026) + (xy 168.541171 114.127924) + (xy 168.388471 114.160381) + (xy 168.388466 114.160383) + (xy 168.215544 114.237374) + (xy 168.215539 114.237377) + (xy 168.062403 114.348637) + (xy 167.93574 114.489311) + (xy 167.841095 114.653241) + (xy 167.841092 114.653248) + (xy 167.782601 114.833266) + (xy 167.7826 114.83327) + (xy 167.762814 115.021526) + (xy 166.596509 115.021526) + (xy 165.587509 114.012526) + (xy 193.162814 114.012526) + (xy 193.1826 114.200782) + (xy 193.182601 114.200785) + (xy 193.241092 114.380803) + (xy 193.241095 114.38081) + (xy 193.335741 114.544742) + (xy 193.433434 114.653241) + (xy 193.462403 114.685414) + (xy 193.615539 114.796674) + (xy 193.615544 114.796677) + (xy 193.788466 114.873668) + (xy 193.788471 114.87367) + (xy 193.973628 114.913026) + (xy 193.973629 114.913026) + (xy 194.162918 114.913026) + (xy 194.16292 114.913026) + (xy 194.348077 114.87367) + (xy 194.521004 114.796677) + (xy 194.674145 114.685414) + (xy 194.800807 114.544742) + (xy 194.895453 114.38081) + (xy 194.953948 114.200782) + (xy 194.973734 114.012526) + (xy 194.953948 113.82427) + (xy 194.896915 113.648742) + (xy 194.895455 113.644248) + (xy 194.895454 113.644247) + (xy 194.895453 113.644242) + (xy 194.800807 113.48031) + (xy 194.674145 113.339638) + (xy 194.645775 113.319026) + (xy 194.521008 113.228377) + (xy 194.521003 113.228374) + (xy 194.348081 113.151383) + (xy 194.348076 113.151381) + (xy 194.184091 113.116526) + (xy 194.16292 113.112026) + (xy 193.973628 113.112026) + (xy 193.952457 113.116526) + (xy 193.788471 113.151381) + (xy 193.788466 113.151383) + (xy 193.615544 113.228374) + (xy 193.615539 113.228377) + (xy 193.462403 113.339637) + (xy 193.33574 113.480311) + (xy 193.241095 113.644241) + (xy 193.241092 113.644248) + (xy 193.182601 113.824266) + (xy 193.1826 113.82427) + (xy 193.162814 114.012526) + (xy 165.587509 114.012526) + (xy 163.047509 111.472526) + (xy 193.162814 111.472526) + (xy 193.1826 111.660782) + (xy 193.182601 111.660785) + (xy 193.241092 111.840803) + (xy 193.241095 111.84081) + (xy 193.335741 112.004742) + (xy 193.449192 112.130742) + (xy 193.462403 112.145414) + (xy 193.615539 112.256674) + (xy 193.615544 112.256677) + (xy 193.788466 112.333668) + (xy 193.788471 112.33367) + (xy 193.973628 112.373026) + (xy 193.973629 112.373026) + (xy 194.162918 112.373026) + (xy 194.16292 112.373026) + (xy 194.348077 112.33367) + (xy 194.521004 112.256677) + (xy 194.674145 112.145414) + (xy 194.800807 112.004742) + (xy 194.895453 111.84081) + (xy 194.953948 111.660782) + (xy 194.973734 111.472526) + (xy 194.953948 111.28427) + (xy 194.896915 111.108742) + (xy 194.895455 111.104248) + (xy 194.895454 111.104247) + (xy 194.895453 111.104242) + (xy 194.800807 110.94031) + (xy 194.674145 110.799638) + (xy 194.658563 110.788317) + (xy 194.521008 110.688377) + (xy 194.521003 110.688374) + (xy 194.348081 110.611383) + (xy 194.348076 110.611381) + (xy 194.184091 110.576526) + (xy 194.16292 110.572026) + (xy 193.973628 110.572026) + (xy 193.952457 110.576526) + (xy 193.788471 110.611381) + (xy 193.788466 110.611383) + (xy 193.615544 110.688374) + (xy 193.615539 110.688377) + (xy 193.462403 110.799637) + (xy 193.33574 110.940311) + (xy 193.241095 111.104241) + (xy 193.241092 111.104248) + (xy 193.182601 111.284266) + (xy 193.1826 111.28427) + (xy 193.162814 111.472526) + (xy 163.047509 111.472526) + (xy 161.770509 110.195526) + (xy 205.227814 110.195526) + (xy 205.2476 110.383782) + (xy 205.247601 110.383785) + (xy 205.306092 110.563803) + (xy 205.306095 110.56381) + (xy 205.400741 110.727742) + (xy 205.465476 110.799637) + (xy 205.527403 110.868414) + (xy 205.680539 110.979674) + (xy 205.680544 110.979677) + (xy 205.853466 111.056668) + (xy 205.853471 111.05667) + (xy 206.038628 111.096026) + (xy 206.038629 111.096026) + (xy 206.227918 111.096026) + (xy 206.22792 111.096026) + (xy 206.413077 111.05667) + (xy 206.586004 110.979677) + (xy 206.739145 110.868414) + (xy 206.865807 110.727742) + (xy 206.960453 110.56381) + (xy 207.018948 110.383782) + (xy 207.038734 110.195526) + (xy 207.018948 110.00727) + (xy 206.960453 109.827242) + (xy 206.865807 109.66331) + (xy 206.739145 109.522638) + (xy 206.730009 109.516) + (xy 206.586008 109.411377) + (xy 206.586003 109.411374) + (xy 206.413081 109.334383) + (xy 206.413076 109.334381) + (xy 206.255099 109.300803) + (xy 206.22792 109.295026) + (xy 206.038628 109.295026) + (xy 206.011449 109.300803) + (xy 205.853471 109.334381) + (xy 205.853466 109.334383) + (xy 205.680544 109.411374) + (xy 205.680539 109.411377) + (xy 205.527403 109.522637) + (xy 205.40074 109.663311) + (xy 205.306095 109.827241) + (xy 205.306092 109.827248) + (xy 205.247795 110.00667) + (xy 205.2476 110.00727) + (xy 205.227814 110.195526) + (xy 161.770509 110.195526) + (xy 160.507509 108.932526) + (xy 193.162814 108.932526) + (xy 193.1826 109.120782) + (xy 193.182601 109.120785) + (xy 193.241092 109.300803) + (xy 193.241095 109.30081) + (xy 193.335741 109.464742) + (xy 193.459991 109.602735) + (xy 193.462403 109.605414) + (xy 193.615539 109.716674) + (xy 193.615544 109.716677) + (xy 193.788466 109.793668) + (xy 193.788471 109.79367) + (xy 193.973628 109.833026) + (xy 193.973629 109.833026) + (xy 194.162918 109.833026) + (xy 194.16292 109.833026) + (xy 194.348077 109.79367) + (xy 194.521004 109.716677) + (xy 194.674145 109.605414) + (xy 194.800807 109.464742) + (xy 194.895453 109.30081) + (xy 194.953948 109.120782) + (xy 194.973734 108.932526) + (xy 194.953948 108.74427) + (xy 194.895453 108.564242) + (xy 194.800807 108.40031) + (xy 194.674145 108.259638) + (xy 194.665086 108.253056) + (xy 194.521008 108.148377) + (xy 194.521003 108.148374) + (xy 194.348081 108.071383) + (xy 194.348076 108.071381) + (xy 194.202275 108.040391) + (xy 194.16292 108.032026) + (xy 193.973628 108.032026) + (xy 193.941171 108.038924) + (xy 193.788471 108.071381) + (xy 193.788466 108.071383) + (xy 193.615544 108.148374) + (xy 193.615539 108.148377) + (xy 193.462403 108.259637) + (xy 193.33574 108.400311) + (xy 193.241095 108.564241) + (xy 193.241092 108.564248) + (xy 193.182601 108.744266) + (xy 193.1826 108.74427) + (xy 193.162814 108.932526) + (xy 160.507509 108.932526) + (xy 159.515855 107.940872) + (xy 159.505159 107.928675) + (xy 159.485942 107.90363) + (xy 159.485939 107.903628) + (xy 159.485938 107.903626) + (xy 159.360497 107.807372) + (xy 159.214418 107.746864) + (xy 159.19751 107.744638) + (xy 159.197509 107.744637) + (xy 159.057657 107.726226) + (xy 159.057656 107.726226) + (xy 159.026353 107.730347) + (xy 159.010169 107.731408) + (xy 153.356361 107.731408) + (xy 153.289322 107.711723) + (xy 153.243567 107.658919) + (xy 153.233623 107.589761) + (xy 153.262648 107.526205) + (xy 153.264211 107.524436) + (xy 153.270883 107.517026) + (xy 153.354194 107.4245) + (xy 153.44884 107.260568) + (xy 153.44884 107.260566) + (xy 153.449615 107.259225) + (xy 153.500181 107.21101) + (xy 153.531219 107.199935) + (xy 153.708077 107.162344) + (xy 153.881004 107.085351) + (xy 154.034145 106.974088) + (xy 154.160807 106.833416) + (xy 154.255453 106.669484) + (xy 154.291552 106.55838) + (xy 154.330989 106.500706) + (xy 154.395347 106.473507) + (xy 154.435263 106.475408) + (xy 154.558954 106.5017) + (xy 154.558955 106.5017) + (xy 154.748244 106.5017) + (xy 154.748246 106.5017) + (xy 154.933403 106.462344) + (xy 155.10633 106.385351) + (xy 155.259471 106.274088) + (xy 155.28395 106.246901) + (xy 183.528465 106.246901) + (xy 183.548251 106.435157) + (xy 183.548252 106.43516) + (xy 183.606743 106.615178) + (xy 183.606746 106.615185) + (xy 183.701392 106.779117) + (xy 183.761254 106.8456) + (xy 183.828054 106.919789) + (xy 183.98119 107.031049) + (xy 183.981195 107.031052) + (xy 184.154117 107.108043) + (xy 184.154122 107.108045) + (xy 184.339279 107.147401) + (xy 184.33928 107.147401) + (xy 184.528569 107.147401) + (xy 184.528571 107.147401) + (xy 184.713728 107.108045) + (xy 184.886655 107.031052) + (xy 185.039796 106.919789) + (xy 185.166458 106.779117) + (xy 185.173727 106.766526) + (xy 193.162814 106.766526) + (xy 193.1826 106.954782) + (xy 193.182601 106.954785) + (xy 193.241092 107.134803) + (xy 193.241095 107.13481) + (xy 193.335741 107.298742) + (xy 193.432054 107.405708) + (xy 193.462403 107.439414) + (xy 193.615539 107.550674) + (xy 193.615544 107.550677) + (xy 193.788466 107.627668) + (xy 193.788471 107.62767) + (xy 193.973628 107.667026) + (xy 193.973629 107.667026) + (xy 194.162918 107.667026) + (xy 194.16292 107.667026) + (xy 194.348077 107.62767) + (xy 194.521004 107.550677) + (xy 194.674145 107.439414) + (xy 194.800807 107.298742) + (xy 194.895453 107.13481) + (xy 194.953948 106.954782) + (xy 194.973734 106.766526) + (xy 194.953948 106.57827) + (xy 194.895453 106.398242) + (xy 194.800807 106.23431) + (xy 194.674145 106.093638) + (xy 194.674144 106.093637) + (xy 194.521008 105.982377) + (xy 194.521003 105.982374) + (xy 194.348081 105.905383) + (xy 194.348076 105.905381) + (xy 194.202275 105.874391) + (xy 194.16292 105.866026) + (xy 193.973628 105.866026) + (xy 193.941171 105.872924) + (xy 193.788471 105.905381) + (xy 193.788466 105.905383) + (xy 193.615544 105.982374) + (xy 193.615539 105.982377) + (xy 193.462403 106.093637) + (xy 193.33574 106.234311) + (xy 193.241095 106.398241) + (xy 193.241092 106.398248) + (xy 193.189062 106.558382) + (xy 193.1826 106.57827) + (xy 193.162814 106.766526) + (xy 185.173727 106.766526) + (xy 185.261104 106.615185) + (xy 185.319599 106.435157) + (xy 185.339385 106.246901) + (xy 185.319599 106.058645) + (xy 185.261104 105.878617) + (xy 185.166458 105.714685) + (xy 185.039796 105.574013) + (xy 185.017456 105.557782) + (xy 184.886659 105.462752) + (xy 184.886654 105.462749) + (xy 184.713732 105.385758) + (xy 184.713727 105.385756) + (xy 184.637368 105.369526) + (xy 205.227814 105.369526) + (xy 205.2476 105.557782) + (xy 205.247601 105.557785) + (xy 205.306092 105.737803) + (xy 205.306095 105.73781) + (xy 205.400741 105.901742) + (xy 205.483404 105.993548) + (xy 205.527403 106.042414) + (xy 205.680539 106.153674) + (xy 205.680544 106.153677) + (xy 205.853466 106.230668) + (xy 205.853471 106.23067) + (xy 206.038628 106.270026) + (xy 206.038629 106.270026) + (xy 206.227918 106.270026) + (xy 206.22792 106.270026) + (xy 206.413077 106.23067) + (xy 206.586004 106.153677) + (xy 206.739145 106.042414) + (xy 206.865807 105.901742) + (xy 206.960453 105.73781) + (xy 207.018948 105.557782) + (xy 207.038734 105.369526) + (xy 207.018948 105.18127) + (xy 206.960453 105.001242) + (xy 206.865807 104.83731) + (xy 206.739145 104.696638) + (xy 206.739144 104.696637) + (xy 206.586008 104.585377) + (xy 206.586003 104.585374) + (xy 206.413081 104.508383) + (xy 206.413076 104.508381) + (xy 206.267275 104.477391) + (xy 206.22792 104.469026) + (xy 206.038628 104.469026) + (xy 206.006171 104.475924) + (xy 205.853471 104.508381) + (xy 205.853466 104.508383) + (xy 205.680544 104.585374) + (xy 205.680539 104.585377) + (xy 205.527403 104.696637) + (xy 205.40074 104.837311) + (xy 205.306095 105.001241) + (xy 205.306092 105.001248) + (xy 205.24818 105.179484) + (xy 205.2476 105.18127) + (xy 205.227814 105.369526) + (xy 184.637368 105.369526) + (xy 184.567926 105.354766) + (xy 184.528571 105.346401) + (xy 184.339279 105.346401) + (xy 184.306822 105.353299) + (xy 184.154122 105.385756) + (xy 184.154117 105.385758) + (xy 183.981195 105.462749) + (xy 183.98119 105.462752) + (xy 183.828054 105.574012) + (xy 183.701391 105.714686) + (xy 183.606746 105.878616) + (xy 183.606743 105.878623) + (xy 183.548252 106.058641) + (xy 183.548251 106.058645) + (xy 183.528465 106.246901) + (xy 155.28395 106.246901) + (xy 155.386133 106.133416) + (xy 155.480779 105.969484) + (xy 155.539274 105.789456) + (xy 155.539274 105.789452) + (xy 155.540359 105.784346) + (xy 155.573544 105.72286) + (xy 155.634704 105.689077) + (xy 155.635649 105.68887) + (xy 155.713403 105.672344) + (xy 155.88633 105.595351) + (xy 156.039471 105.484088) (xy 156.166133 105.343416) - (xy 156.172767 105.331926) - (xy 191.523663 105.331926) - (xy 191.543449 105.520182) - (xy 191.54345 105.520185) - (xy 191.601941 105.700203) - (xy 191.601944 105.70021) - (xy 191.69659 105.864142) - (xy 191.822039 106.003467) - (xy 191.823252 106.004814) - (xy 191.976388 106.116074) - (xy 191.976393 106.116077) - (xy 192.149315 106.193068) - (xy 192.14932 106.19307) - (xy 192.334477 106.232426) - (xy 192.334478 106.232426) - (xy 192.523767 106.232426) - (xy 192.523769 106.232426) - (xy 192.708926 106.19307) - (xy 192.881853 106.116077) - (xy 193.034994 106.004814) - (xy 193.161656 105.864142) - (xy 193.256302 105.70021) - (xy 193.314797 105.520182) - (xy 193.334583 105.331926) - (xy 193.314797 105.14367) - (xy 193.256302 104.963642) - (xy 193.161656 104.79971) - (xy 193.034994 104.659038) - (xy 193.004181 104.636651) - (xy 192.881857 104.547777) - (xy 192.881852 104.547774) - (xy 192.70893 104.470783) - (xy 192.708925 104.470781) - (xy 192.563124 104.439791) - (xy 192.523769 104.431426) - (xy 192.334477 104.431426) - (xy 192.30202 104.438324) - (xy 192.14932 104.470781) - (xy 192.149315 104.470783) - (xy 191.976393 104.547774) - (xy 191.976388 104.547777) - (xy 191.823252 104.659037) - (xy 191.696589 104.799711) - (xy 191.601944 104.963641) - (xy 191.601941 104.963648) - (xy 191.54345 105.143666) - (xy 191.543449 105.14367) - (xy 191.523663 105.331926) - (xy 156.172767 105.331926) (xy 156.260779 105.179484) (xy 156.319274 104.999456) (xy 156.33906 104.8112) (xy 156.319274 104.622944) (xy 156.260779 104.442916) (xy 156.166133 104.278984) + (xy 156.118899 104.226526) + (xy 193.162814 104.226526) + (xy 193.1826 104.414782) + (xy 193.182601 104.414785) + (xy 193.241092 104.594803) + (xy 193.241095 104.59481) + (xy 193.335741 104.758742) + (xy 193.462403 104.899414) + (xy 193.615539 105.010674) + (xy 193.615544 105.010677) + (xy 193.788466 105.087668) + (xy 193.788471 105.08767) + (xy 193.973628 105.127026) + (xy 193.973629 105.127026) + (xy 194.162918 105.127026) + (xy 194.16292 105.127026) + (xy 194.348077 105.08767) + (xy 194.521004 105.010677) + (xy 194.674145 104.899414) + (xy 194.800807 104.758742) + (xy 194.895453 104.59481) + (xy 194.953948 104.414782) + (xy 194.973734 104.226526) + (xy 194.953948 104.03827) + (xy 194.895453 103.858242) + (xy 194.800807 103.69431) + (xy 194.674145 103.553638) + (xy 194.674144 103.553637) + (xy 194.521008 103.442377) + (xy 194.521003 103.442374) + (xy 194.348081 103.365383) + (xy 194.348076 103.365381) + (xy 194.197372 103.333349) + (xy 194.16292 103.326026) + (xy 193.973628 103.326026) + (xy 193.941171 103.332924) + (xy 193.788471 103.365381) + (xy 193.788466 103.365383) + (xy 193.615544 103.442374) + (xy 193.615539 103.442377) + (xy 193.462403 103.553637) + (xy 193.33574 103.694311) + (xy 193.241095 103.858241) + (xy 193.241092 103.858248) + (xy 193.211262 103.950057) + (xy 193.1826 104.03827) + (xy 193.162814 104.226526) + (xy 156.118899 104.226526) (xy 156.039471 104.138312) - (xy 155.987927 104.100863) - (xy 184.375814 104.100863) - (xy 184.3956 104.289119) - (xy 184.395601 104.289122) - (xy 184.454092 104.46914) - (xy 184.454095 104.469147) - (xy 184.548741 104.633079) - (xy 184.675403 104.773751) - (xy 184.828539 104.885011) - (xy 184.828544 104.885014) - (xy 185.001466 104.962005) - (xy 185.001471 104.962007) - (xy 185.186628 105.001363) - (xy 185.186629 105.001363) - (xy 185.375918 105.001363) - (xy 185.37592 105.001363) - (xy 185.561077 104.962007) - (xy 185.734004 104.885014) - (xy 185.887145 104.773751) - (xy 186.013807 104.633079) - (xy 186.108453 104.469147) - (xy 186.166948 104.289119) - (xy 186.186412 104.103926) - (xy 202.952463 104.103926) - (xy 202.972249 104.292182) - (xy 202.97225 104.292185) - (xy 203.030741 104.472203) - (xy 203.030744 104.47221) - (xy 203.12539 104.636142) - (xy 203.249294 104.773751) - (xy 203.252052 104.776814) - (xy 203.405188 104.888074) - (xy 203.405193 104.888077) - (xy 203.578115 104.965068) - (xy 203.57812 104.96507) - (xy 203.763277 105.004426) - (xy 203.763278 105.004426) - (xy 203.952567 105.004426) - (xy 203.952569 105.004426) - (xy 204.137726 104.96507) - (xy 204.310653 104.888077) - (xy 204.463794 104.776814) - (xy 204.590456 104.636142) - (xy 204.685102 104.47221) - (xy 204.743597 104.292182) - (xy 204.763383 104.103926) - (xy 204.743597 103.91567) - (xy 204.685102 103.735642) - (xy 204.590456 103.57171) - (xy 204.463794 103.431038) - (xy 204.463793 103.431037) - (xy 204.310657 103.319777) - (xy 204.310652 103.319774) - (xy 204.13773 103.242783) - (xy 204.137725 103.242781) - (xy 203.991924 103.211791) - (xy 203.952569 103.203426) - (xy 203.763277 103.203426) - (xy 203.73082 103.210324) - (xy 203.57812 103.242781) - (xy 203.578115 103.242783) - (xy 203.405193 103.319774) - (xy 203.405188 103.319777) - (xy 203.252052 103.431037) - (xy 203.125389 103.571711) - (xy 203.030744 103.735641) - (xy 203.030741 103.735648) - (xy 202.973244 103.912607) - (xy 202.972249 103.91567) - (xy 202.952463 104.103926) - (xy 186.186412 104.103926) - (xy 186.186734 104.100863) - (xy 186.166948 103.912607) - (xy 186.108453 103.732579) - (xy 186.013807 103.568647) - (xy 185.887145 103.427975) - (xy 185.887144 103.427974) - (xy 185.734008 103.316714) - (xy 185.734003 103.316711) - (xy 185.561081 103.23972) - (xy 185.561076 103.239718) - (xy 185.39033 103.203426) - (xy 185.37592 103.200363) - (xy 185.186628 103.200363) - (xy 185.172218 103.203426) - (xy 185.001471 103.239718) - (xy 185.001466 103.23972) - (xy 184.828544 103.316711) - (xy 184.828539 103.316714) - (xy 184.675403 103.427974) - (xy 184.54874 103.568648) - (xy 184.454095 103.732578) - (xy 184.454092 103.732585) - (xy 184.395601 103.912603) - (xy 184.3956 103.912607) - (xy 184.375814 104.100863) - (xy 155.987927 104.100863) - (xy 155.975651 104.091944) + (xy 156.03947 104.138311) (xy 155.886334 104.027051) (xy 155.886329 104.027048) (xy 155.713407 103.950057) (xy 155.713402 103.950055) - (xy 155.551609 103.915666) + (xy 155.567601 103.919065) (xy 155.528246 103.9107) (xy 155.460967 103.9107) (xy 155.393928 103.891015) (xy 155.348173 103.838211) (xy 155.338229 103.769053) (xy 155.343036 103.748382) - (xy 155.374005 103.653068) + (xy 155.360605 103.69431) (xy 155.394674 103.589456) (xy 155.41446 103.4012) (xy 155.394674 103.212944) (xy 155.336179 103.032916) (xy 155.241533 102.868984) - (xy 155.206005 102.829526) - (xy 172.842814 102.829526) - (xy 172.8626 103.017782) - (xy 172.862601 103.017785) - (xy 172.921092 103.197803) - (xy 172.921095 103.19781) - (xy 173.015741 103.361742) - (xy 173.073984 103.426427) - (xy 173.142403 103.502414) - (xy 173.295539 103.613674) - (xy 173.295544 103.613677) - (xy 173.468466 103.690668) - (xy 173.468471 103.69067) - (xy 173.653628 103.730026) - (xy 173.653629 103.730026) - (xy 173.842918 103.730026) - (xy 173.84292 103.730026) - (xy 174.028077 103.69067) - (xy 174.201004 103.613677) - (xy 174.354145 103.502414) - (xy 174.480807 103.361742) - (xy 174.575453 103.19781) - (xy 174.633948 103.017782) - (xy 174.653734 102.829526) - (xy 174.649782 102.791926) - (xy 191.523663 102.791926) - (xy 191.543449 102.980182) - (xy 191.543689 102.980922) - (xy 191.601941 103.160203) - (xy 191.601944 103.16021) - (xy 191.69659 103.324142) - (xy 191.777146 103.413608) - (xy 191.823252 103.464814) - (xy 191.976388 103.576074) - (xy 191.976393 103.576077) - (xy 192.149315 103.653068) - (xy 192.14932 103.65307) - (xy 192.334477 103.692426) - (xy 192.334478 103.692426) - (xy 192.523767 103.692426) - (xy 192.523769 103.692426) - (xy 192.708926 103.65307) - (xy 192.881853 103.576077) - (xy 193.034994 103.464814) - (xy 193.161656 103.324142) - (xy 193.256302 103.16021) - (xy 193.314797 102.980182) - (xy 193.334583 102.791926) - (xy 193.314797 102.60367) - (xy 193.256302 102.423642) - (xy 193.161656 102.25971) - (xy 193.034994 102.119038) - (xy 193.004641 102.096985) - (xy 192.881857 102.007777) - (xy 192.881852 102.007774) - (xy 192.70893 101.930783) - (xy 192.708925 101.930781) - (xy 192.563124 101.899791) - (xy 192.523769 101.891426) - (xy 192.334477 101.891426) - (xy 192.30202 101.898324) - (xy 192.14932 101.930781) - (xy 192.149315 101.930783) - (xy 191.976393 102.007774) - (xy 191.976388 102.007777) - (xy 191.823252 102.119037) - (xy 191.696589 102.259711) - (xy 191.601944 102.423641) - (xy 191.601941 102.423648) - (xy 191.564118 102.540057) - (xy 191.543449 102.60367) - (xy 191.523663 102.791926) - (xy 174.649782 102.791926) - (xy 174.633948 102.64127) - (xy 174.575453 102.461242) - (xy 174.480807 102.29731) - (xy 174.354145 102.156638) - (xy 174.354144 102.156637) - (xy 174.201008 102.045377) - (xy 174.201003 102.045374) - (xy 174.028081 101.968383) - (xy 174.028076 101.968381) - (xy 173.882275 101.937391) - (xy 173.84292 101.929026) - (xy 173.653628 101.929026) - (xy 173.621171 101.935924) - (xy 173.468471 101.968381) - (xy 173.468466 101.968383) - (xy 173.295544 102.045374) - (xy 173.295539 102.045377) - (xy 173.142403 102.156637) - (xy 173.01574 102.297311) - (xy 172.921095 102.461241) - (xy 172.921092 102.461248) - (xy 172.863858 102.637397) - (xy 172.8626 102.64127) - (xy 172.842814 102.829526) - (xy 155.206005 102.829526) (xy 155.114871 102.728312) (xy 155.11487 102.728311) (xy 154.961734 102.617051) @@ -30130,6 +30944,153 @@ (xy 156.83906 101.616) (xy 156.819274 101.427744) (xy 156.760779 101.247716) + (xy 156.717104 101.172068) + (xy 183.535352 101.172068) + (xy 183.555138 101.360324) + (xy 183.555139 101.360327) + (xy 183.61363 101.540345) + (xy 183.613633 101.540352) + (xy 183.708279 101.704284) + (xy 183.798297 101.804259) + (xy 183.834941 101.844956) + (xy 183.988077 101.956216) + (xy 183.988082 101.956219) + (xy 184.161004 102.03321) + (xy 184.161009 102.033212) + (xy 184.346166 102.072568) + (xy 184.346167 102.072568) + (xy 184.535456 102.072568) + (xy 184.535458 102.072568) + (xy 184.720615 102.033212) + (xy 184.893542 101.956219) + (xy 185.046683 101.844956) + (xy 185.173345 101.704284) + (xy 185.183598 101.686526) + (xy 193.162814 101.686526) + (xy 193.1826 101.874782) + (xy 193.182601 101.874785) + (xy 193.241092 102.054803) + (xy 193.241095 102.05481) + (xy 193.335741 102.218742) + (xy 193.379138 102.266939) + (xy 193.462403 102.359414) + (xy 193.615539 102.470674) + (xy 193.615544 102.470677) + (xy 193.788466 102.547668) + (xy 193.788471 102.54767) + (xy 193.973628 102.587026) + (xy 193.973629 102.587026) + (xy 194.162918 102.587026) + (xy 194.16292 102.587026) + (xy 194.348077 102.54767) + (xy 194.521004 102.470677) + (xy 194.674145 102.359414) + (xy 194.800807 102.218742) + (xy 194.895453 102.05481) + (xy 194.953948 101.874782) + (xy 194.973734 101.686526) + (xy 194.953948 101.49827) + (xy 194.895453 101.318242) + (xy 194.800807 101.15431) + (xy 194.674145 101.013638) + (xy 194.674144 101.013637) + (xy 194.521008 100.902377) + (xy 194.521003 100.902374) + (xy 194.348081 100.825383) + (xy 194.348076 100.825381) + (xy 194.202275 100.794391) + (xy 194.16292 100.786026) + (xy 193.973628 100.786026) + (xy 193.941171 100.792924) + (xy 193.788471 100.825381) + (xy 193.788466 100.825383) + (xy 193.615544 100.902374) + (xy 193.615539 100.902377) + (xy 193.462403 101.013637) + (xy 193.33574 101.154311) + (xy 193.241095 101.318241) + (xy 193.241092 101.318248) + (xy 193.188758 101.479317) + (xy 193.1826 101.49827) + (xy 193.162814 101.686526) + (xy 185.183598 101.686526) + (xy 185.267991 101.540352) + (xy 185.326486 101.360324) + (xy 185.346272 101.172068) + (xy 185.326486 100.983812) + (xy 185.267991 100.803784) + (xy 185.173345 100.639852) + (xy 185.046683 100.49918) + (xy 185.046682 100.499179) + (xy 184.893546 100.387919) + (xy 184.893541 100.387916) + (xy 184.720619 100.310925) + (xy 184.720614 100.310923) + (xy 184.619946 100.289526) + (xy 205.227814 100.289526) + (xy 205.2476 100.477782) + (xy 205.247601 100.477785) + (xy 205.306092 100.657803) + (xy 205.306095 100.65781) + (xy 205.400741 100.821742) + (xy 205.510023 100.943112) + (xy 205.527403 100.962414) + (xy 205.680539 101.073674) + (xy 205.680544 101.073677) + (xy 205.853466 101.150668) + (xy 205.853471 101.15067) + (xy 206.038628 101.190026) + (xy 206.038629 101.190026) + (xy 206.227918 101.190026) + (xy 206.22792 101.190026) + (xy 206.413077 101.15067) + (xy 206.586004 101.073677) + (xy 206.739145 100.962414) + (xy 206.865807 100.821742) + (xy 206.960453 100.65781) + (xy 207.018948 100.477782) + (xy 207.038734 100.289526) + (xy 207.018948 100.10127) + (xy 206.960453 99.921242) + (xy 206.865807 99.75731) + (xy 206.739145 99.616638) + (xy 206.706979 99.593268) + (xy 206.586008 99.505377) + (xy 206.586003 99.505374) + (xy 206.413081 99.428383) + (xy 206.413076 99.428381) + (xy 206.267275 99.397391) + (xy 206.22792 99.389026) + (xy 206.038628 99.389026) + (xy 206.006171 99.395924) + (xy 205.853471 99.428381) + (xy 205.853466 99.428383) + (xy 205.680544 99.505374) + (xy 205.680539 99.505377) + (xy 205.527403 99.616637) + (xy 205.40074 99.757311) + (xy 205.306095 99.921241) + (xy 205.306092 99.921248) + (xy 205.265225 100.047026) + (xy 205.2476 100.10127) + (xy 205.227814 100.289526) + (xy 184.619946 100.289526) + (xy 184.574813 100.279933) + (xy 184.535458 100.271568) + (xy 184.346166 100.271568) + (xy 184.313709 100.278466) + (xy 184.161009 100.310923) + (xy 184.161004 100.310925) + (xy 183.988082 100.387916) + (xy 183.988077 100.387919) + (xy 183.834941 100.499179) + (xy 183.708278 100.639853) + (xy 183.613633 100.803783) + (xy 183.61363 100.80379) + (xy 183.555139 100.983808) + (xy 183.555138 100.983812) + (xy 183.535352 101.172068) + (xy 156.717104 101.172068) (xy 156.666133 101.083784) (xy 156.539471 100.943112) (xy 156.53947 100.943111) @@ -30156,273 +31117,27 @@ (xy 154.976971 101.057984) (xy 154.955181 100.990921) (xy 154.955178 100.990915) - (xy 154.927579 100.943112) (xy 154.860533 100.826984) (xy 154.733871 100.686312) - (xy 154.73387 100.686311) + (xy 154.727972 100.682026) (xy 154.580734 100.575051) (xy 154.580729 100.575048) (xy 154.407807 100.498057) (xy 154.407802 100.498055) - (xy 154.218902 100.457904) - (xy 154.15742 100.424712) - (xy 154.123644 100.363549) - (xy 154.123393 100.310832) - (xy 154.124673 100.30481) - (xy 154.124674 100.304808) - (xy 154.12628 100.289526) - (xy 172.842814 100.289526) - (xy 172.8626 100.477782) - (xy 172.862601 100.477785) - (xy 172.921092 100.657803) - (xy 172.921095 100.65781) - (xy 173.015741 100.821742) - (xy 173.125023 100.943112) - (xy 173.142403 100.962414) - (xy 173.295539 101.073674) - (xy 173.295544 101.073677) - (xy 173.468466 101.150668) - (xy 173.468471 101.15067) - (xy 173.653628 101.190026) - (xy 173.653629 101.190026) - (xy 173.842918 101.190026) - (xy 173.84292 101.190026) - (xy 174.028077 101.15067) - (xy 174.201004 101.073677) - (xy 174.354145 100.962414) - (xy 174.480807 100.821742) - (xy 174.575453 100.65781) - (xy 174.633948 100.477782) - (xy 174.653734 100.289526) - (xy 174.649782 100.251926) - (xy 191.523663 100.251926) - (xy 191.543449 100.440182) - (xy 191.54345 100.440185) - (xy 191.601941 100.620203) - (xy 191.601944 100.62021) - (xy 191.69659 100.784142) - (xy 191.778345 100.87494) - (xy 191.823252 100.924814) - (xy 191.976388 101.036074) - (xy 191.976393 101.036077) - (xy 192.149315 101.113068) - (xy 192.14932 101.11307) - (xy 192.334477 101.152426) - (xy 192.334478 101.152426) - (xy 192.523767 101.152426) - (xy 192.523769 101.152426) - (xy 192.708926 101.11307) - (xy 192.881853 101.036077) - (xy 193.034994 100.924814) - (xy 193.161656 100.784142) - (xy 193.256302 100.62021) - (xy 193.314797 100.440182) - (xy 193.334583 100.251926) - (xy 193.314797 100.06367) - (xy 193.256302 99.883642) - (xy 193.161656 99.71971) - (xy 193.034994 99.579038) - (xy 193.020468 99.568484) - (xy 192.881857 99.467777) - (xy 192.881852 99.467774) - (xy 192.70893 99.390783) - (xy 192.708925 99.390781) - (xy 192.563124 99.359791) - (xy 192.523769 99.351426) - (xy 192.334477 99.351426) - (xy 192.30202 99.358324) - (xy 192.14932 99.390781) - (xy 192.149315 99.390783) - (xy 191.976393 99.467774) - (xy 191.976388 99.467777) - (xy 191.823252 99.579037) - (xy 191.696589 99.719711) - (xy 191.601944 99.883641) - (xy 191.601941 99.883648) - (xy 191.54345 100.063666) - (xy 191.543449 100.06367) - (xy 191.523663 100.251926) - (xy 174.649782 100.251926) - (xy 174.633948 100.10127) - (xy 174.575453 99.921242) - (xy 174.480807 99.75731) - (xy 174.354145 99.616638) - (xy 174.334514 99.602375) - (xy 174.201008 99.505377) - (xy 174.201003 99.505374) - (xy 174.028081 99.428383) - (xy 174.028076 99.428381) - (xy 173.882275 99.397391) - (xy 173.84292 99.389026) - (xy 173.653628 99.389026) - (xy 173.621171 99.395924) - (xy 173.468471 99.428381) - (xy 173.468466 99.428383) - (xy 173.295544 99.505374) - (xy 173.295539 99.505377) - (xy 173.142403 99.616637) - (xy 173.01574 99.757311) - (xy 172.921095 99.921241) - (xy 172.921092 99.921248) - (xy 172.874818 100.063666) - (xy 172.8626 100.10127) - (xy 172.842814 100.289526) - (xy 154.12628 100.289526) - (xy 154.137863 100.179319) - (xy 154.164446 100.114707) - (xy 154.221744 100.074722) - (xy 154.235382 100.070998) - (xy 154.280803 100.061344) - (xy 154.280807 100.061342) - (xy 154.280808 100.061342) - (xy 154.339058 100.035406) - (xy 154.45373 99.984351) - (xy 154.606871 99.873088) - (xy 154.733533 99.732416) - (xy 154.780354 99.651318) - (xy 154.83092 99.603104) - (xy 154.899527 99.58988) - (xy 154.93859 99.602375) - (xy 154.939333 99.600708) - (xy 155.118192 99.680342) - (xy 155.118197 99.680344) - (xy 155.303354 99.7197) - (xy 155.303355 99.7197) - (xy 155.492644 99.7197) - (xy 155.492646 99.7197) - (xy 155.677803 99.680344) - (xy 155.85073 99.603351) - (xy 156.003871 99.492088) - (xy 156.130533 99.351416) - (xy 156.225179 99.187484) - (xy 156.278323 99.023926) - (xy 202.952463 99.023926) - (xy 202.972249 99.212182) - (xy 202.97225 99.212185) - (xy 203.030741 99.392203) - (xy 203.030744 99.39221) - (xy 203.12539 99.556142) - (xy 203.179861 99.616638) - (xy 203.252052 99.696814) - (xy 203.405188 99.808074) - (xy 203.405193 99.808077) - (xy 203.578115 99.885068) - (xy 203.57812 99.88507) - (xy 203.763277 99.924426) - (xy 203.763278 99.924426) - (xy 203.952567 99.924426) - (xy 203.952569 99.924426) - (xy 204.137726 99.88507) - (xy 204.310653 99.808077) - (xy 204.463794 99.696814) - (xy 204.590456 99.556142) - (xy 204.685102 99.39221) - (xy 204.743597 99.212182) - (xy 204.763383 99.023926) - (xy 204.743597 98.83567) - (xy 204.685102 98.655642) - (xy 204.590456 98.49171) - (xy 204.463794 98.351038) - (xy 204.463793 98.351037) - (xy 204.310657 98.239777) - (xy 204.310652 98.239774) - (xy 204.13773 98.162783) - (xy 204.137725 98.162781) - (xy 203.991924 98.131791) - (xy 203.952569 98.123426) - (xy 203.763277 98.123426) - (xy 203.73082 98.130324) - (xy 203.57812 98.162781) - (xy 203.578115 98.162783) - (xy 203.405193 98.239774) - (xy 203.405188 98.239777) - (xy 203.252052 98.351037) - (xy 203.125389 98.491711) - (xy 203.030744 98.655641) - (xy 203.030741 98.655648) - (xy 202.97225 98.835666) - (xy 202.972249 98.83567) - (xy 202.952463 99.023926) - (xy 156.278323 99.023926) - (xy 156.283674 99.007456) - (xy 156.30346 98.8192) - (xy 156.283674 98.630944) - (xy 156.225179 98.450916) - (xy 156.130533 98.286984) - (xy 156.003871 98.146312) - (xy 156.00387 98.146311) - (xy 155.850734 98.035051) - (xy 155.850729 98.035048) - (xy 155.677807 97.958057) - (xy 155.677802 97.958055) - (xy 155.510119 97.922414) - (xy 155.492646 97.9187) - (xy 155.303354 97.9187) - (xy 155.285881 97.922414) - (xy 155.118197 97.958055) - (xy 155.118192 97.958057) - (xy 154.94527 98.035048) - (xy 154.945265 98.035051) - (xy 154.792129 98.146311) - (xy 154.665467 98.286983) - (xy 154.618645 98.368081) - (xy 154.568077 98.416296) - (xy 154.49947 98.429518) - (xy 154.460407 98.417029) - (xy 154.459667 98.418692) - (xy 154.280807 98.339057) - (xy 154.280802 98.339055) - (xy 154.135001 98.308065) - (xy 154.095646 98.2997) - (xy 153.906354 98.2997) - (xy 153.873897 98.306598) - (xy 153.721197 98.339055) - (xy 153.721192 98.339057) - (xy 153.54827 98.416048) - (xy 153.548265 98.416051) - (xy 153.395129 98.527311) - (xy 153.268466 98.667985) - (xy 153.173821 98.831915) - (xy 153.173818 98.831922) - (xy 153.116784 99.007456) - (xy 153.115326 99.011944) - (xy 153.114067 99.023926) - (xy 153.102137 99.137429) - (xy 153.075552 99.202044) - (xy 153.018254 99.242028) - (xy 153.004599 99.245757) - (xy 152.959194 99.255408) - (xy 152.959192 99.255409) - (xy 152.78627 99.3324) - (xy 152.786265 99.332403) - (xy 152.633129 99.443663) - (xy 152.506466 99.584337) - (xy 152.411821 99.748267) - (xy 152.411818 99.748274) - (xy 152.367371 99.88507) - (xy 152.353326 99.928296) - (xy 152.33354 100.116552) - (xy 152.353326 100.304808) - (xy 152.353327 100.30481) - (xy 152.353327 100.304811) - (xy 152.411818 100.484829) - (xy 152.411821 100.484836) - (xy 152.506467 100.648768) - (xy 152.60199 100.754857) - (xy 152.633129 100.78944) - (xy 152.786265 100.9007) - (xy 152.78627 100.900703) - (xy 152.959192 100.977694) - (xy 152.959193 100.977694) - (xy 152.959197 100.977696) - (xy 153.144354 101.017052) - (xy 153.144356 101.017052) - (xy 153.148096 101.017847) - (xy 153.209578 101.051039) - (xy 153.243355 101.112202) - (xy 153.243608 101.164908) - (xy 153.242327 101.170933) - (xy 153.233864 101.251456) + (xy 154.262001 100.467065) + (xy 154.222646 100.4587) + (xy 154.033354 100.4587) + (xy 154.000897 100.465598) + (xy 153.848197 100.498055) + (xy 153.848192 100.498057) + (xy 153.67527 100.575048) + (xy 153.675265 100.575051) + (xy 153.522129 100.686311) + (xy 153.395466 100.826985) + (xy 153.300821 100.990915) + (xy 153.300818 100.990922) + (xy 153.24773 101.154311) + (xy 153.242326 101.170944) (xy 153.22254 101.3592) (xy 153.242326 101.547456) (xy 153.242327 101.547459) @@ -30438,129 +31153,185 @@ (xy 152.633466 102.096985) (xy 152.538821 102.260915) (xy 152.538818 102.260922) - (xy 152.480327 102.44094) + (xy 152.486156 102.423) (xy 152.480326 102.440944) (xy 152.46054 102.6292) (xy 152.480326 102.817456) (xy 152.480327 102.817459) (xy 152.538818 102.997477) (xy 152.538821 102.997484) - (xy 152.633467 103.161416) - (xy 152.760129 103.302088) - (xy 152.768604 103.308245) - (xy 152.81127 103.363574) - (xy 152.817251 103.433187) - (xy 152.784646 103.494983) - (xy 152.768606 103.508882) - (xy 152.633127 103.607313) - (xy 152.506466 103.747985) - (xy 152.411821 103.911915) - (xy 152.411818 103.911922) - (xy 152.361776 104.065937) - (xy 152.353326 104.091944) - (xy 152.33354 104.2802) - (xy 152.353326 104.468456) - (xy 152.353327 104.468459) - (xy 152.411818 104.648477) - (xy 152.411821 104.648484) - (xy 152.506467 104.812416) - (xy 152.593485 104.909059) - (xy 152.633129 104.953088) - (xy 152.786265 105.064348) - (xy 152.78627 105.064351) - (xy 152.959192 105.141342) - (xy 152.959197 105.141344) - (xy 153.144354 105.1807) - (xy 153.144355 105.1807) - (xy 153.333644 105.1807) - (xy 153.333646 105.1807) - (xy 153.518803 105.141344) - (xy 153.658803 105.07901) - (xy 153.728053 105.069726) - (xy 153.791329 105.099354) - (xy 153.828543 105.158488) - (xy 153.827879 105.228355) - (xy 153.82717 105.230608) - (xy 153.767927 105.41294) - (xy 153.767926 105.412944) - (xy 153.74814 105.6012) - (xy 153.767926 105.789456) - (xy 153.767927 105.789459) - (xy 153.826418 105.969477) - (xy 153.826421 105.969484) - (xy 153.921064 106.133412) - (xy 153.921065 106.133414) - (xy 153.921067 106.133416) - (xy 153.930239 106.143603) - (xy 153.960468 106.206591) - (xy 153.951844 106.275927) - (xy 153.910975 106.326892) - (xy 153.867727 106.358313) - (xy 153.741066 106.498985) - (xy 153.646421 106.662915) - (xy 153.646418 106.662922) - (xy 153.594996 106.821184) - (xy 153.587926 106.842944) - (xy 153.56814 107.0312) - (xy 153.578081 107.125788) - (xy 153.583752 107.179739) - (xy 153.571182 107.248469) - (xy 153.52345 107.299492) - (xy 153.460431 107.3167) - (xy 153.271354 107.3167) - (xy 153.238897 107.323598) - (xy 153.086197 107.356055) - (xy 153.086192 107.356057) - (xy 152.91327 107.433048) - (xy 152.913265 107.433051) - (xy 152.760129 107.544311) - (xy 152.633466 107.684985) - (xy 152.538821 107.848915) - (xy 152.538818 107.848922) - (xy 152.48573 108.012311) - (xy 152.480326 108.028944) - (xy 152.46054 108.2172) - (xy 152.480326 108.405456) - (xy 152.480327 108.405459) - (xy 152.538818 108.585477) - (xy 152.538821 108.585484) - (xy 152.633467 108.749416) - (xy 152.739775 108.867483) - (xy 152.760129 108.890088) - (xy 152.913265 109.001348) - (xy 152.91327 109.001351) - (xy 153.086192 109.078342) - (xy 153.086197 109.078344) - (xy 153.271354 109.1177) - (xy 153.271355 109.1177) - (xy 153.288386 109.1177) - (xy 153.355425 109.137385) - (xy 153.40118 109.190189) - (xy 153.411124 109.259347) - (xy 153.406318 109.280013) - (xy 153.392877 109.321382) - (xy 153.373455 109.381155) - (xy 153.334017 109.43883) - (xy 153.269658 109.466028) - (xy 153.205088 109.456115) - (xy 153.137807 109.426159) - (xy 153.137802 109.426157) - (xy 152.992001 109.395167) - (xy 152.952646 109.386802) - (xy 152.763354 109.386802) - (xy 152.730897 109.3937) - (xy 152.578197 109.426157) - (xy 152.578192 109.426159) - (xy 152.40527 109.50315) - (xy 152.405265 109.503153) - (xy 152.252129 109.614413) - (xy 152.125466 109.755087) - (xy 152.030821 109.919017) - (xy 152.030818 109.919024) - (xy 151.972327 110.099042) - (xy 151.972326 110.099046) - (xy 151.95254 110.287302) - (xy 129.604285 110.287302) + (xy 152.633466 103.161416) + (xy 152.633548 103.161528) + (xy 152.633574 103.161603) + (xy 152.636716 103.167044) + (xy 152.635721 103.167618) + (xy 152.657027 103.227334) + (xy 152.641201 103.295388) + (xy 152.591095 103.344082) + (xy 152.55901 103.355702) + (xy 152.513471 103.365381) + (xy 152.513466 103.365383) + (xy 152.340544 103.442374) + (xy 152.340539 103.442377) + (xy 152.187403 103.553637) + (xy 152.06074 103.694311) + (xy 151.966095 103.858241) + (xy 151.966092 103.858248) + (xy 151.936262 103.950057) + (xy 151.9076 104.03827) + (xy 151.887814 104.226526) + (xy 151.9076 104.414782) + (xy 151.907601 104.414785) + (xy 151.966092 104.594803) + (xy 151.966095 104.59481) + (xy 152.060741 104.758742) + (xy 152.187403 104.899414) + (xy 152.340539 105.010674) + (xy 152.340544 105.010677) + (xy 152.513466 105.087668) + (xy 152.513471 105.08767) + (xy 152.698628 105.127026) + (xy 152.698629 105.127026) + (xy 152.887918 105.127026) + (xy 152.88792 105.127026) + (xy 153.073077 105.08767) + (xy 153.246004 105.010677) + (xy 153.399145 104.899414) + (xy 153.525807 104.758742) + (xy 153.620453 104.59481) + (xy 153.678948 104.414782) + (xy 153.698734 104.226526) + (xy 153.693437 104.176135) + (xy 153.706006 104.107411) + (xy 153.753737 104.056387) + (xy 153.821477 104.039268) + (xy 153.887719 104.061489) + (xy 153.899727 104.071025) + (xy 153.90313 104.074089) + (xy 154.056265 104.185348) + (xy 154.05627 104.185351) + (xy 154.229192 104.262342) + (xy 154.229197 104.262344) + (xy 154.414354 104.3017) + (xy 154.414355 104.3017) + (xy 154.481633 104.3017) + (xy 154.548672 104.321385) + (xy 154.594427 104.374189) + (xy 154.604371 104.443347) + (xy 154.599564 104.464018) + (xy 154.547927 104.622938) + (xy 154.546838 104.628066) + (xy 154.513646 104.689548) + (xy 154.452483 104.723325) + (xy 154.451328 104.723576) + (xy 154.373797 104.740055) + (xy 154.373792 104.740057) + (xy 154.20087 104.817048) + (xy 154.200865 104.817051) + (xy 154.047729 104.928311) + (xy 153.921066 105.068985) + (xy 153.826421 105.232915) + (xy 153.826419 105.232919) + (xy 153.790321 105.344019) + (xy 153.750883 105.401694) + (xy 153.686525 105.428892) + (xy 153.64661 105.426991) + (xy 153.52292 105.4007) + (xy 153.333628 105.4007) + (xy 153.328952 105.401694) + (xy 153.148471 105.440055) + (xy 153.148466 105.440057) + (xy 152.975544 105.517048) + (xy 152.975539 105.517051) + (xy 152.822403 105.628311) + (xy 152.695739 105.768985) + (xy 152.600319 105.934258) + (xy 152.549752 105.982474) + (xy 152.518713 105.993548) + (xy 152.341858 106.031139) + (xy 152.341853 106.031141) + (xy 152.168931 106.108132) + (xy 152.168926 106.108135) + (xy 152.01579 106.219395) + (xy 151.889127 106.360069) + (xy 151.794482 106.523999) + (xy 151.79448 106.524003) + (xy 151.739053 106.69459) + (xy 151.699615 106.752265) + (xy 151.671559 106.76955) + (xy 151.495904 106.847758) + (xy 151.495903 106.847758) + (xy 151.411585 106.909019) + (xy 151.345779 106.932498) + (xy 151.277725 106.916672) + (xy 151.265816 106.909018) + (xy 151.214008 106.871377) + (xy 151.214003 106.871374) + (xy 151.041081 106.794383) + (xy 151.041076 106.794381) + (xy 150.893557 106.763026) + (xy 150.85592 106.755026) + (xy 150.666628 106.755026) + (xy 150.634171 106.761924) + (xy 150.481471 106.794381) + (xy 150.481466 106.794383) + (xy 150.308544 106.871374) + (xy 150.308539 106.871377) + (xy 150.155403 106.982637) + (xy 150.02874 107.123311) + (xy 149.934095 107.287241) + (xy 149.934092 107.287248) + (xy 149.887273 107.431344) + (xy 149.847835 107.48902) + (xy 149.783477 107.516218) + (xy 149.769342 107.517026) + (xy 149.650628 107.517026) + (xy 149.618171 107.523924) + (xy 149.465471 107.556381) + (xy 149.465466 107.556383) + (xy 149.292544 107.633374) + (xy 149.292539 107.633377) + (xy 149.139403 107.744637) + (xy 149.01274 107.885311) + (xy 148.918095 108.049241) + (xy 148.918092 108.049248) + (xy 148.870494 108.195742) + (xy 148.8596 108.22927) + (xy 148.839814 108.417526) + (xy 148.839814 108.417528) + (xy 148.841084 108.429615) + (xy 148.828513 108.498345) + (xy 148.780779 108.549367) + (xy 148.743547 108.563863) + (xy 148.71142 108.570692) + (xy 148.703471 108.572382) + (xy 148.70347 108.572382) + (xy 148.703467 108.572383) + (xy 148.703466 108.572383) + (xy 148.530544 108.649374) + (xy 148.530539 108.649377) + (xy 148.377403 108.760637) + (xy 148.25074 108.901311) + (xy 148.156095 109.065241) + (xy 148.156092 109.065248) + (xy 148.103945 109.225742) + (xy 148.0976 109.24527) + (xy 148.077814 109.433526) + (xy 148.0976 109.621782) + (xy 148.097601 109.621785) + (xy 148.156092 109.801803) + (xy 148.156094 109.801807) + (xy 148.156095 109.80181) + (xy 148.192409 109.864707) + (xy 148.237626 109.943027) + (xy 148.254098 110.010927) + (xy 148.237626 110.067025) + (xy 148.156094 110.208244) + (xy 148.156092 110.208248) + (xy 148.101215 110.377144) + (xy 148.0976 110.38827) + (xy 148.077814 110.576526) + (xy 129.893509 110.576526) (xy 127.978473 108.66149) (xy 127.967778 108.649295) (xy 127.948557 108.624245) @@ -30583,7 +31354,7 @@ (xy 123.775453 108.176242) (xy 123.680807 108.01231) (xy 123.554145 107.871638) - (xy 123.554144 107.871637) + (xy 123.52682 107.851785) (xy 123.401008 107.760377) (xy 123.401003 107.760374) (xy 123.228081 107.683383) @@ -30622,16 +31393,14 @@ (xy 120.968239 109.447374) (xy 120.956049 109.458065) (xy 120.93099 109.477294) - (xy 120.887342 109.53418) - (xy 120.874603 109.550782) - (xy 120.853726 109.577989) - (xy 120.834735 109.602738) - (xy 120.834735 109.602739) - (xy 120.774231 109.74881) + (xy 120.896199 109.522637) + (xy 120.886148 109.535736) + (xy 120.846699 109.587147) + (xy 120.834737 109.602736) + (xy 120.77423 109.748813) (xy 120.774229 109.748815) - (xy 120.754306 109.900151) + (xy 120.753592 109.905574) (xy 120.753592 109.905576) - (xy 120.755362 109.919024) (xy 120.757713 109.936877) (xy 120.758774 109.953063) (xy 120.758774 110.437988) @@ -30650,6 +31419,8 @@ (xy 120.968238 110.943675) (xy 122.007562 111.982999) (xy 122.027268 112.00868) + (xy 122.051264 112.050242) + (xy 122.086141 112.110651) (xy 122.09774 112.13074) (xy 122.097739 112.13074) (xy 122.224403 112.271414) @@ -30660,8 +31431,7 @@ (xy 122.537699 112.533332) (xy 122.537026 112.547469) (xy 122.534814 112.568522) - (xy 122.534814 112.568526) - (xy 122.534957 112.56989) + (xy 122.534814 112.568523) (xy 122.535467 112.574736) (xy 122.522898 112.643466) (xy 122.475166 112.69449) @@ -30688,7 +31458,7 @@ (xy 121.232092 113.920322) (xy 121.232093 113.920324) (xy 121.232095 113.920329) - (xy 121.261813 113.971803) + (xy 121.288635 114.01826) (xy 121.290807 114.022021) (xy 121.30728 114.089921) (xy 121.290808 114.14602) @@ -30718,7 +31488,7 @@ (xy 122.153095 115.720242) (xy 122.153093 115.720246) (xy 122.153092 115.720248) - (xy 122.103578 115.872638) + (xy 122.095886 115.896311) (xy 122.0946 115.90027) (xy 122.074814 116.088526) (xy 122.0946 116.276782) @@ -30726,7 +31496,7 @@ (xy 122.153092 116.456803) (xy 122.153095 116.45681) (xy 122.247741 116.620742) - (xy 122.332048 116.714374) + (xy 122.353564 116.73827) (xy 122.374403 116.761414) (xy 122.527539 116.872674) (xy 122.527544 116.872677) @@ -30840,12 +31610,12 @@ (xy 121.964956 119.035523) (xy 121.964956 119.035522) (xy 121.944318 118.878763) - (xy 121.944316 118.878758) - (xy 121.941454 118.871849) + (xy 121.944318 118.878762) (xy 121.88381 118.732683) - (xy 121.846672 118.684284) + (xy 121.830075 118.662654) (xy 121.787556 118.607242) - (xy 121.778311 118.600148) + (xy 121.787554 118.60724) + (xy 121.787553 118.607239) (xy 121.762502 118.588017) (xy 121.750308 118.577323) (xy 112.688985 109.516) @@ -30907,7 +31677,7 @@ (xy 120.665179 106.477316) (xy 120.570533 106.313384) (xy 120.443871 106.172712) - (xy 120.402899 106.142944) + (xy 120.44387 106.172711) (xy 120.290734 106.061451) (xy 120.290729 106.061448) (xy 120.117807 105.984457) @@ -30923,7 +31693,7 @@ (xy 119.267795 106.034404) (xy 119.2425 106.003469) (xy 119.194704 105.920684) - (xy 119.105285 105.821374) + (xy 119.076548 105.789459) (xy 119.069464 105.781591) (xy 119.039234 105.7186) (xy 119.047859 105.649264) @@ -30931,7 +31701,7 @@ (xy 119.159253 105.574641) (xy 119.199934 105.580689) (xy 119.203796 105.581944) - (xy 119.255873 105.593013) + (xy 119.268039 105.595599) (xy 119.388954 105.6213) (xy 119.388955 105.6213) (xy 119.578244 105.6213) @@ -31038,10 +31808,228 @@ (xy 120.371803 100.188344) (xy 120.371807 100.188342) (xy 120.371808 100.188342) - (xy 120.46194 100.148212) + (xy 120.443592 100.156381) (xy 120.54473 100.111351) (xy 120.697871 100.000088) (xy 120.824533 99.859416) + (xy 120.869503 99.781526) + (xy 151.887814 99.781526) + (xy 151.9076 99.969782) + (xy 151.907601 99.969785) + (xy 151.966092 100.149803) + (xy 151.966095 100.14981) + (xy 152.060741 100.313742) + (xy 152.129685 100.390312) + (xy 152.187403 100.454414) + (xy 152.340539 100.565674) + (xy 152.340544 100.565677) + (xy 152.513466 100.642668) + (xy 152.513471 100.64267) + (xy 152.698628 100.682026) + (xy 152.698629 100.682026) + (xy 152.887918 100.682026) + (xy 152.88792 100.682026) + (xy 153.073077 100.64267) + (xy 153.246004 100.565677) + (xy 153.399145 100.454414) + (xy 153.525807 100.313742) + (xy 153.620453 100.14981) + (xy 153.678948 99.969782) + (xy 153.698734 99.781526) + (xy 153.678948 99.59327) + (xy 153.660566 99.536699) + (xy 153.658572 99.466861) + (xy 153.694652 99.407028) + (xy 153.757352 99.376199) + (xy 153.804279 99.377093) + (xy 153.875048 99.392135) + (xy 153.968628 99.412026) + (xy 153.968629 99.412026) + (xy 154.157918 99.412026) + (xy 154.15792 99.412026) + (xy 154.343077 99.37267) + (xy 154.516004 99.295677) + (xy 154.669145 99.184414) + (xy 154.70326 99.146526) + (xy 193.162814 99.146526) + (xy 193.1826 99.334782) + (xy 193.182601 99.334785) + (xy 193.241092 99.514803) + (xy 193.241095 99.51481) + (xy 193.335741 99.678742) + (xy 193.448755 99.804256) + (xy 193.462403 99.819414) + (xy 193.615539 99.930674) + (xy 193.615544 99.930677) + (xy 193.788466 100.007668) + (xy 193.788471 100.00767) + (xy 193.973628 100.047026) + (xy 193.973629 100.047026) + (xy 194.162918 100.047026) + (xy 194.16292 100.047026) + (xy 194.348077 100.00767) + (xy 194.521004 99.930677) + (xy 194.674145 99.819414) + (xy 194.800807 99.678742) + (xy 194.895453 99.51481) + (xy 194.953948 99.334782) + (xy 194.973734 99.146526) + (xy 194.953948 98.95827) + (xy 194.895453 98.778242) + (xy 194.800807 98.61431) + (xy 194.674145 98.473638) + (xy 194.663711 98.466057) + (xy 194.521008 98.362377) + (xy 194.521003 98.362374) + (xy 194.348081 98.285383) + (xy 194.348076 98.285381) + (xy 194.202275 98.254391) + (xy 194.16292 98.246026) + (xy 193.973628 98.246026) + (xy 193.941171 98.252924) + (xy 193.788471 98.285381) + (xy 193.788466 98.285383) + (xy 193.615544 98.362374) + (xy 193.615539 98.362377) + (xy 193.462403 98.473637) + (xy 193.33574 98.614311) + (xy 193.241095 98.778241) + (xy 193.241092 98.778248) + (xy 193.19491 98.920383) + (xy 193.1826 98.95827) + (xy 193.162814 99.146526) + (xy 154.70326 99.146526) + (xy 154.795807 99.043742) + (xy 154.890453 98.87981) + (xy 154.901503 98.845803) + (xy 154.909683 98.820627) + (xy 154.94912 98.762951) + (xy 155.013478 98.735752) + (xy 155.053395 98.737654) + (xy 155.131264 98.754205) + (xy 155.238628 98.777026) + (xy 155.238629 98.777026) + (xy 155.427918 98.777026) + (xy 155.42792 98.777026) + (xy 155.613077 98.73767) + (xy 155.786004 98.660677) + (xy 155.939145 98.549414) + (xy 156.065807 98.408742) + (xy 156.160453 98.24481) + (xy 156.218948 98.064782) + (xy 156.238734 97.876526) + (xy 156.218948 97.68827) + (xy 156.160453 97.508242) + (xy 156.079788 97.368526) + (xy 172.207814 97.368526) + (xy 172.2276 97.556782) + (xy 172.227601 97.556785) + (xy 172.286092 97.736803) + (xy 172.286095 97.73681) + (xy 172.380741 97.900742) + (xy 172.451485 97.979311) + (xy 172.507403 98.041414) + (xy 172.660539 98.152674) + (xy 172.660544 98.152677) + (xy 172.833466 98.229668) + (xy 172.833471 98.22967) + (xy 173.018628 98.269026) + (xy 173.018629 98.269026) + (xy 173.207918 98.269026) + (xy 173.20792 98.269026) + (xy 173.393077 98.22967) + (xy 173.566004 98.152677) + (xy 173.719145 98.041414) + (xy 173.845807 97.900742) + (xy 173.940453 97.73681) + (xy 173.998948 97.556782) + (xy 174.018734 97.368526) + (xy 173.998948 97.18027) + (xy 173.940453 97.000242) + (xy 173.845807 96.83631) + (xy 173.719145 96.695638) + (xy 173.719144 96.695637) + (xy 173.566008 96.584377) + (xy 173.566003 96.584374) + (xy 173.393081 96.507383) + (xy 173.393076 96.507381) + (xy 173.247275 96.476391) + (xy 173.20792 96.468026) + (xy 173.018628 96.468026) + (xy 172.986171 96.474924) + (xy 172.833471 96.507381) + (xy 172.833466 96.507383) + (xy 172.660544 96.584374) + (xy 172.660539 96.584377) + (xy 172.507403 96.695637) + (xy 172.38074 96.836311) + (xy 172.286095 97.000241) + (xy 172.286092 97.000248) + (xy 172.227601 97.180266) + (xy 172.2276 97.18027) + (xy 172.207814 97.368526) + (xy 156.079788 97.368526) + (xy 156.065807 97.34431) + (xy 155.939145 97.203638) + (xy 155.939144 97.203637) + (xy 155.786008 97.092377) + (xy 155.786003 97.092374) + (xy 155.613081 97.015383) + (xy 155.613076 97.015381) + (xy 155.464419 96.983784) + (xy 155.42792 96.976026) + (xy 155.238628 96.976026) + (xy 155.206171 96.982924) + (xy 155.053471 97.015381) + (xy 155.053466 97.015383) + (xy 154.880544 97.092374) + (xy 154.880539 97.092377) + (xy 154.727403 97.203637) + (xy 154.60074 97.344311) + (xy 154.506095 97.508241) + (xy 154.506094 97.508243) + (xy 154.486864 97.567427) + (xy 154.447425 97.625102) + (xy 154.383066 97.652299) + (xy 154.343153 97.650397) + (xy 154.157921 97.611026) + (xy 154.15792 97.611026) + (xy 153.968628 97.611026) + (xy 153.94343 97.616382) + (xy 153.783471 97.650381) + (xy 153.783466 97.650383) + (xy 153.610544 97.727374) + (xy 153.610539 97.727377) + (xy 153.457403 97.838637) + (xy 153.33074 97.979311) + (xy 153.236095 98.143241) + (xy 153.236092 98.143248) + (xy 153.177601 98.323266) + (xy 153.1776 98.32327) + (xy 153.157814 98.511526) + (xy 153.1776 98.699782) + (xy 153.177601 98.699785) + (xy 153.19598 98.75635) + (xy 153.197975 98.826191) + (xy 153.161895 98.886024) + (xy 153.099194 98.916852) + (xy 153.052269 98.915959) + (xy 153.026136 98.910404) + (xy 152.88792 98.881026) + (xy 152.698628 98.881026) + (xy 152.675114 98.886024) + (xy 152.513471 98.920381) + (xy 152.513466 98.920383) + (xy 152.340544 98.997374) + (xy 152.340539 98.997377) + (xy 152.187403 99.108637) + (xy 152.06074 99.249311) + (xy 151.966095 99.413241) + (xy 151.966092 99.413248) + (xy 151.907601 99.593266) + (xy 151.9076 99.59327) + (xy 151.887814 99.781526) + (xy 120.869503 99.781526) (xy 120.919179 99.695484) (xy 120.9716 99.534147) (xy 121.011036 99.476475) @@ -31064,110 +32052,15 @@ (xy 122.553674 98.914656) (xy 122.57346 98.7264) (xy 122.553674 98.538144) + (xy 122.499112 98.370223) + (xy 122.495181 98.358122) + (xy 122.49518 98.358121) (xy 122.495179 98.358116) (xy 122.400533 98.194184) (xy 122.273871 98.053512) - (xy 122.248459 98.035049) + (xy 122.266579 98.048214) (xy 122.120734 97.942251) (xy 122.120729 97.942248) - (xy 121.973117 97.876526) - (xy 172.715814 97.876526) - (xy 172.7356 98.064782) - (xy 172.735601 98.064785) - (xy 172.794092 98.244803) - (xy 172.794095 98.24481) - (xy 172.888741 98.408742) - (xy 172.967378 98.496077) - (xy 173.015403 98.549414) - (xy 173.168539 98.660674) - (xy 173.168544 98.660677) - (xy 173.341466 98.737668) - (xy 173.341471 98.73767) - (xy 173.526628 98.777026) - (xy 173.526629 98.777026) - (xy 173.715918 98.777026) - (xy 173.71592 98.777026) - (xy 173.901077 98.73767) - (xy 174.074004 98.660677) - (xy 174.227145 98.549414) - (xy 174.353807 98.408742) - (xy 174.448453 98.24481) - (xy 174.506948 98.064782) - (xy 174.526734 97.876526) - (xy 174.509434 97.711926) - (xy 191.523663 97.711926) - (xy 191.543449 97.900182) - (xy 191.54345 97.900185) - (xy 191.601941 98.080203) - (xy 191.601944 98.08021) - (xy 191.69659 98.244142) - (xy 191.816344 98.377142) - (xy 191.823252 98.384814) - (xy 191.976388 98.496074) - (xy 191.976393 98.496077) - (xy 192.149315 98.573068) - (xy 192.14932 98.57307) - (xy 192.334477 98.612426) - (xy 192.334478 98.612426) - (xy 192.523767 98.612426) - (xy 192.523769 98.612426) - (xy 192.708926 98.57307) - (xy 192.881853 98.496077) - (xy 193.034994 98.384814) - (xy 193.161656 98.244142) - (xy 193.256302 98.08021) - (xy 193.314797 97.900182) - (xy 193.334583 97.711926) - (xy 193.314797 97.52367) - (xy 193.256302 97.343642) - (xy 193.161656 97.17971) - (xy 193.034994 97.039038) - (xy 193.034993 97.039037) - (xy 192.881857 96.927777) - (xy 192.881852 96.927774) - (xy 192.70893 96.850783) - (xy 192.708925 96.850781) - (xy 192.545288 96.816) - (xy 192.523769 96.811426) - (xy 192.334477 96.811426) - (xy 192.312958 96.816) - (xy 192.14932 96.850781) - (xy 192.149315 96.850783) - (xy 191.976393 96.927774) - (xy 191.976388 96.927777) - (xy 191.823252 97.039037) - (xy 191.696589 97.179711) - (xy 191.601944 97.343641) - (xy 191.601941 97.343648) - (xy 191.566387 97.453074) - (xy 191.543449 97.52367) - (xy 191.523663 97.711926) - (xy 174.509434 97.711926) - (xy 174.506948 97.68827) - (xy 174.448453 97.508242) - (xy 174.353807 97.34431) - (xy 174.227145 97.203638) - (xy 174.223707 97.20114) - (xy 174.074008 97.092377) - (xy 174.074003 97.092374) - (xy 173.901081 97.015383) - (xy 173.901076 97.015381) - (xy 173.752419 96.983784) - (xy 173.71592 96.976026) - (xy 173.526628 96.976026) - (xy 173.494171 96.982924) - (xy 173.341471 97.015381) - (xy 173.341466 97.015383) - (xy 173.168544 97.092374) - (xy 173.168539 97.092377) - (xy 173.015403 97.203637) - (xy 172.88874 97.344311) - (xy 172.794095 97.508241) - (xy 172.794092 97.508248) - (xy 172.739215 97.677144) - (xy 172.7356 97.68827) - (xy 172.715814 97.876526) - (xy 121.973117 97.876526) (xy 121.947807 97.865257) (xy 121.947802 97.865255) (xy 121.802001 97.834265) @@ -31201,6 +32094,7 @@ (xy 119.725266 98.339721) (xy 119.740443 98.27152) (xy 119.776106 98.231147) + (xy 119.778142 98.229668) (xy 119.834271 98.188888) (xy 119.960933 98.048216) (xy 120.055579 97.884284) @@ -31220,55 +32114,6 @@ (xy 121.325579 97.184284) (xy 121.384074 97.004256) (xy 121.40386 96.816) - (xy 121.388402 96.668926) - (xy 184.262814 96.668926) - (xy 184.2826 96.857182) - (xy 184.282601 96.857185) - (xy 184.341092 97.037203) - (xy 184.341095 97.03721) - (xy 184.435741 97.201142) - (xy 184.549731 97.32774) - (xy 184.562403 97.341814) - (xy 184.715539 97.453074) - (xy 184.715544 97.453077) - (xy 184.888466 97.530068) - (xy 184.888471 97.53007) - (xy 185.073628 97.569426) - (xy 185.073629 97.569426) - (xy 185.262918 97.569426) - (xy 185.26292 97.569426) - (xy 185.448077 97.53007) - (xy 185.621004 97.453077) - (xy 185.774145 97.341814) - (xy 185.900807 97.201142) - (xy 185.995453 97.03721) - (xy 186.053948 96.857182) - (xy 186.073734 96.668926) - (xy 186.053948 96.48067) - (xy 185.995453 96.300642) - (xy 185.900807 96.13671) - (xy 185.774145 95.996038) - (xy 185.773933 95.995884) - (xy 185.621008 95.884777) - (xy 185.621003 95.884774) - (xy 185.448081 95.807783) - (xy 185.448076 95.807781) - (xy 185.302275 95.776791) - (xy 185.26292 95.768426) - (xy 185.073628 95.768426) - (xy 185.041171 95.775324) - (xy 184.888471 95.807781) - (xy 184.888466 95.807783) - (xy 184.715544 95.884774) - (xy 184.715539 95.884777) - (xy 184.562403 95.996037) - (xy 184.43574 96.136711) - (xy 184.341095 96.300641) - (xy 184.341092 96.300648) - (xy 184.282601 96.480666) - (xy 184.2826 96.48067) - (xy 184.262814 96.668926) - (xy 121.388402 96.668926) (xy 121.387942 96.664553) (xy 121.400511 96.595828) (xy 121.448243 96.544804) @@ -31280,6 +32125,104 @@ (xy 121.897003 96.488744) (xy 122.06993 96.411751) (xy 122.223071 96.300488) + (xy 122.344837 96.165254) + (xy 183.535352 96.165254) + (xy 183.555138 96.35351) + (xy 183.555139 96.353513) + (xy 183.61363 96.533531) + (xy 183.613633 96.533538) + (xy 183.708279 96.69747) + (xy 183.7994 96.79867) + (xy 183.834941 96.838142) + (xy 183.988077 96.949402) + (xy 183.988082 96.949405) + (xy 184.161004 97.026396) + (xy 184.161009 97.026398) + (xy 184.346166 97.065754) + (xy 184.346167 97.065754) + (xy 184.535456 97.065754) + (xy 184.535458 97.065754) + (xy 184.720615 97.026398) + (xy 184.893542 96.949405) + (xy 185.046683 96.838142) + (xy 185.173345 96.69747) + (xy 185.225851 96.606526) + (xy 193.162814 96.606526) + (xy 193.1826 96.794782) + (xy 193.182601 96.794785) + (xy 193.241092 96.974803) + (xy 193.241095 96.97481) + (xy 193.335741 97.138742) + (xy 193.394173 97.203637) + (xy 193.462403 97.279414) + (xy 193.615539 97.390674) + (xy 193.615544 97.390677) + (xy 193.788466 97.467668) + (xy 193.788471 97.46767) + (xy 193.973628 97.507026) + (xy 193.973629 97.507026) + (xy 194.162918 97.507026) + (xy 194.16292 97.507026) + (xy 194.348077 97.46767) + (xy 194.521004 97.390677) + (xy 194.674145 97.279414) + (xy 194.800807 97.138742) + (xy 194.895453 96.97481) + (xy 194.953948 96.794782) + (xy 194.973734 96.606526) + (xy 194.953948 96.41827) + (xy 194.895453 96.238242) + (xy 194.800807 96.07431) + (xy 194.674145 95.933638) + (xy 194.649749 95.915913) + (xy 194.521008 95.822377) + (xy 194.521003 95.822374) + (xy 194.348081 95.745383) + (xy 194.348076 95.745381) + (xy 194.202275 95.714391) + (xy 194.16292 95.706026) + (xy 193.973628 95.706026) + (xy 193.941171 95.712924) + (xy 193.788471 95.745381) + (xy 193.788466 95.745383) + (xy 193.615544 95.822374) + (xy 193.615539 95.822377) + (xy 193.462403 95.933637) + (xy 193.33574 96.074311) + (xy 193.241095 96.238241) + (xy 193.241092 96.238248) + (xy 193.192311 96.388382) + (xy 193.1826 96.41827) + (xy 193.162814 96.606526) + (xy 185.225851 96.606526) + (xy 185.267991 96.533538) + (xy 185.326486 96.35351) + (xy 185.346272 96.165254) + (xy 185.326486 95.976998) + (xy 185.267991 95.79697) + (xy 185.173345 95.633038) + (xy 185.046683 95.492366) + (xy 185.046682 95.492365) + (xy 184.893546 95.381105) + (xy 184.893541 95.381102) + (xy 184.720619 95.304111) + (xy 184.720614 95.304109) + (xy 184.574813 95.273119) + (xy 184.535458 95.264754) + (xy 184.346166 95.264754) + (xy 184.313709 95.271652) + (xy 184.161009 95.304109) + (xy 184.161004 95.304111) + (xy 183.988082 95.381102) + (xy 183.988077 95.381105) + (xy 183.834941 95.492365) + (xy 183.708278 95.633039) + (xy 183.613633 95.796969) + (xy 183.61363 95.796976) + (xy 183.562332 95.954856) + (xy 183.555138 95.976998) + (xy 183.535352 96.165254) + (xy 122.344837 96.165254) (xy 122.349733 96.159816) (xy 122.444379 95.995884) (xy 122.502874 95.815856) @@ -31294,7 +32237,7 @@ (xy 163.614418 95.335477) (xy 163.614421 95.335484) (xy 163.709067 95.499416) - (xy 163.821414 95.62419) + (xy 163.801458 95.602026) (xy 163.835729 95.640088) (xy 163.988865 95.751348) (xy 163.98887 95.751351) @@ -31320,108 +32263,156 @@ (xy 165.679246 95.4867) (xy 165.864403 95.447344) (xy 166.03733 95.370351) - (xy 166.083886 95.336526) - (xy 172.715814 95.336526) - (xy 172.7356 95.524782) - (xy 172.735601 95.524785) - (xy 172.794092 95.704803) - (xy 172.794095 95.70481) - (xy 172.888741 95.868742) - (xy 173.003358 95.996037) - (xy 173.015403 96.009414) - (xy 173.168539 96.120674) - (xy 173.168544 96.120677) - (xy 173.341466 96.197668) - (xy 173.341471 96.19767) - (xy 173.526628 96.237026) - (xy 173.526629 96.237026) - (xy 173.715918 96.237026) - (xy 173.71592 96.237026) - (xy 173.901077 96.19767) - (xy 174.074004 96.120677) - (xy 174.227145 96.009414) - (xy 174.353807 95.868742) - (xy 174.448453 95.70481) - (xy 174.506948 95.524782) - (xy 174.526734 95.336526) - (xy 174.509434 95.171926) - (xy 191.523663 95.171926) - (xy 191.543449 95.360182) - (xy 191.54345 95.360185) - (xy 191.601941 95.540203) - (xy 191.601944 95.54021) - (xy 191.69659 95.704142) - (xy 191.807882 95.827744) - (xy 191.823252 95.844814) - (xy 191.976388 95.956074) - (xy 191.976393 95.956077) - (xy 192.149315 96.033068) - (xy 192.14932 96.03307) - (xy 192.334477 96.072426) - (xy 192.334478 96.072426) - (xy 192.523767 96.072426) - (xy 192.523769 96.072426) - (xy 192.708926 96.03307) - (xy 192.881853 95.956077) - (xy 193.034994 95.844814) - (xy 193.161656 95.704142) - (xy 193.256302 95.54021) - (xy 193.314797 95.360182) - (xy 193.334583 95.171926) - (xy 193.314797 94.98367) - (xy 193.256302 94.803642) - (xy 193.161656 94.63971) - (xy 193.034994 94.499038) - (xy 193.034993 94.499037) - (xy 192.881857 94.387777) - (xy 192.881852 94.387774) - (xy 192.70893 94.310783) - (xy 192.708925 94.310781) - (xy 192.563124 94.279791) - (xy 192.523769 94.271426) - (xy 192.334477 94.271426) - (xy 192.30202 94.278324) - (xy 192.14932 94.310781) - (xy 192.149315 94.310783) - (xy 191.976393 94.387774) - (xy 191.976388 94.387777) - (xy 191.823252 94.499037) - (xy 191.696589 94.639711) - (xy 191.601944 94.803641) - (xy 191.601941 94.803648) - (xy 191.553966 94.951301) - (xy 191.543449 94.98367) - (xy 191.523663 95.171926) - (xy 174.509434 95.171926) - (xy 174.506948 95.14827) - (xy 174.448453 94.968242) - (xy 174.353807 94.80431) - (xy 174.227145 94.663638) - (xy 174.227144 94.663637) - (xy 174.074008 94.552377) - (xy 174.074003 94.552374) - (xy 173.901081 94.475383) - (xy 173.901076 94.475381) - (xy 173.755275 94.444391) - (xy 173.71592 94.436026) - (xy 173.526628 94.436026) - (xy 173.494171 94.442924) - (xy 173.341471 94.475381) - (xy 173.341466 94.475383) - (xy 173.168544 94.552374) - (xy 173.168539 94.552377) - (xy 173.015403 94.663637) - (xy 172.88874 94.804311) - (xy 172.794095 94.968241) - (xy 172.794092 94.968248) - (xy 172.7453 95.118416) - (xy 172.7356 95.14827) - (xy 172.715814 95.336526) - (xy 166.083886 95.336526) (xy 166.190471 95.259088) (xy 166.317133 95.118416) (xy 166.411779 94.954484) (xy 166.470274 94.774456) + (xy 166.477939 94.701526) + (xy 172.207814 94.701526) + (xy 172.2276 94.889782) + (xy 172.227601 94.889785) + (xy 172.286092 95.069803) + (xy 172.286095 95.06981) + (xy 172.380741 95.233742) + (xy 172.484495 95.348972) + (xy 172.507403 95.374414) + (xy 172.660539 95.485674) + (xy 172.660544 95.485677) + (xy 172.833466 95.562668) + (xy 172.833471 95.56267) + (xy 173.018628 95.602026) + (xy 173.018629 95.602026) + (xy 173.207918 95.602026) + (xy 173.20792 95.602026) + (xy 173.393077 95.56267) + (xy 173.566004 95.485677) + (xy 173.719145 95.374414) + (xy 173.845807 95.233742) + (xy 173.859788 95.209526) + (xy 205.227814 95.209526) + (xy 205.2476 95.397782) + (xy 205.247601 95.397785) + (xy 205.306092 95.577803) + (xy 205.306095 95.57781) + (xy 205.400741 95.741742) + (xy 205.527403 95.882414) + (xy 205.680539 95.993674) + (xy 205.680544 95.993677) + (xy 205.853466 96.070668) + (xy 205.853471 96.07067) + (xy 206.038628 96.110026) + (xy 206.038629 96.110026) + (xy 206.227918 96.110026) + (xy 206.22792 96.110026) + (xy 206.413077 96.07067) + (xy 206.586004 95.993677) + (xy 206.739145 95.882414) + (xy 206.865807 95.741742) + (xy 206.960453 95.57781) + (xy 207.018948 95.397782) + (xy 207.038734 95.209526) + (xy 207.018948 95.02127) + (xy 206.960453 94.841242) + (xy 206.865807 94.67731) + (xy 206.739145 94.536638) + (xy 206.739144 94.536637) + (xy 206.586008 94.425377) + (xy 206.586003 94.425374) + (xy 206.413081 94.348383) + (xy 206.413076 94.348381) + (xy 206.267275 94.317391) + (xy 206.22792 94.309026) + (xy 206.038628 94.309026) + (xy 206.006171 94.315924) + (xy 205.853471 94.348381) + (xy 205.853466 94.348383) + (xy 205.680544 94.425374) + (xy 205.680539 94.425377) + (xy 205.527403 94.536637) + (xy 205.40074 94.677311) + (xy 205.306095 94.841241) + (xy 205.306092 94.841248) + (xy 205.247601 95.021266) + (xy 205.2476 95.02127) + (xy 205.227814 95.209526) + (xy 173.859788 95.209526) + (xy 173.940453 95.06981) + (xy 173.998948 94.889782) + (xy 174.018734 94.701526) + (xy 173.998948 94.51327) + (xy 173.940453 94.333242) + (xy 173.845807 94.16931) + (xy 173.75326 94.066526) + (xy 193.162814 94.066526) + (xy 193.1826 94.254782) + (xy 193.182601 94.254785) + (xy 193.241092 94.434803) + (xy 193.241095 94.43481) + (xy 193.335741 94.598742) + (xy 193.430747 94.704256) + (xy 193.462403 94.739414) + (xy 193.615539 94.850674) + (xy 193.615544 94.850677) + (xy 193.788466 94.927668) + (xy 193.788471 94.92767) + (xy 193.973628 94.967026) + (xy 193.973629 94.967026) + (xy 194.162918 94.967026) + (xy 194.16292 94.967026) + (xy 194.348077 94.92767) + (xy 194.521004 94.850677) + (xy 194.674145 94.739414) + (xy 194.800807 94.598742) + (xy 194.895453 94.43481) + (xy 194.953948 94.254782) + (xy 194.973734 94.066526) + (xy 194.953948 93.87827) + (xy 194.895453 93.698242) + (xy 194.800807 93.53431) + (xy 194.674145 93.393638) + (xy 194.674144 93.393637) + (xy 194.521008 93.282377) + (xy 194.521003 93.282374) + (xy 194.348081 93.205383) + (xy 194.348076 93.205381) + (xy 194.176549 93.168923) + (xy 194.16292 93.166026) + (xy 193.973628 93.166026) + (xy 193.959999 93.168923) + (xy 193.788471 93.205381) + (xy 193.788466 93.205383) + (xy 193.615544 93.282374) + (xy 193.615539 93.282377) + (xy 193.462403 93.393637) + (xy 193.33574 93.534311) + (xy 193.241095 93.698241) + (xy 193.241092 93.698248) + (xy 193.182601 93.878266) + (xy 193.1826 93.87827) + (xy 193.162814 94.066526) + (xy 173.75326 94.066526) + (xy 173.719145 94.028638) + (xy 173.657409 93.983784) + (xy 173.566008 93.917377) + (xy 173.566003 93.917374) + (xy 173.393081 93.840383) + (xy 173.393076 93.840381) + (xy 173.247275 93.809391) + (xy 173.20792 93.801026) + (xy 173.018628 93.801026) + (xy 172.986171 93.807924) + (xy 172.833471 93.840381) + (xy 172.833466 93.840383) + (xy 172.660544 93.917374) + (xy 172.660539 93.917377) + (xy 172.507403 94.028637) + (xy 172.38074 94.169311) + (xy 172.286095 94.333241) + (xy 172.286092 94.333248) + (xy 172.2373 94.483416) + (xy 172.2276 94.51327) + (xy 172.207814 94.701526) + (xy 166.477939 94.701526) (xy 166.49006 94.5862) (xy 166.490059 94.586198) (xy 166.490739 94.579737) @@ -31432,66 +32423,14 @@ (xy 166.753403 94.431344) (xy 166.753407 94.431342) (xy 166.753408 94.431342) - (xy 166.851259 94.387775) + (xy 166.811658 94.405406) (xy 166.92633 94.354351) (xy 167.079471 94.243088) (xy 167.206133 94.102416) - (xy 167.268308 93.994726) - (xy 202.952463 93.994726) - (xy 202.972249 94.182982) - (xy 202.97225 94.182985) - (xy 203.030741 94.363003) - (xy 203.030744 94.36301) - (xy 203.12539 94.526942) - (xy 203.212861 94.624088) - (xy 203.252052 94.667614) - (xy 203.405188 94.778874) - (xy 203.405193 94.778877) - (xy 203.578115 94.855868) - (xy 203.57812 94.85587) - (xy 203.763277 94.895226) - (xy 203.763278 94.895226) - (xy 203.952567 94.895226) - (xy 203.952569 94.895226) - (xy 204.137726 94.85587) - (xy 204.310653 94.778877) - (xy 204.463794 94.667614) - (xy 204.590456 94.526942) - (xy 204.685102 94.36301) - (xy 204.743597 94.182982) - (xy 204.763383 93.994726) - (xy 204.743597 93.80647) - (xy 204.685102 93.626442) - (xy 204.590456 93.46251) - (xy 204.463794 93.321838) - (xy 204.403887 93.278313) - (xy 204.310657 93.210577) - (xy 204.310652 93.210574) - (xy 204.13773 93.133583) - (xy 204.137725 93.133581) - (xy 203.980444 93.100151) - (xy 203.952569 93.094226) - (xy 203.763277 93.094226) - (xy 203.735402 93.100151) - (xy 203.57812 93.133581) - (xy 203.578115 93.133583) - (xy 203.405193 93.210574) - (xy 203.405188 93.210577) - (xy 203.252052 93.321837) - (xy 203.125389 93.462511) - (xy 203.030744 93.626441) - (xy 203.030741 93.626448) - (xy 202.973685 93.802049) - (xy 202.972249 93.80647) - (xy 202.952463 93.994726) - (xy 167.268308 93.994726) (xy 167.300779 93.938484) (xy 167.359274 93.758456) (xy 167.37906 93.5702) (xy 167.359274 93.381944) - (xy 167.303593 93.210577) - (xy 167.300781 93.201922) - (xy 167.30078 93.201921) (xy 167.300779 93.201916) (xy 167.206133 93.037984) (xy 167.079471 92.897312) @@ -31512,9 +32451,9 @@ (xy 165.741066 93.037985) (xy 165.646421 93.201915) (xy 165.646418 93.201922) - (xy 165.592952 93.366476) + (xy 165.620277 93.282377) (xy 165.587926 93.381944) - (xy 165.570451 93.548215) + (xy 165.571912 93.53431) (xy 165.567461 93.576663) (xy 165.565842 93.576492) (xy 165.548455 93.635707) @@ -31542,7 +32481,7 @@ (xy 163.709066 94.434985) (xy 163.614421 94.598915) (xy 163.614418 94.598922) - (xy 163.555927 94.77894) + (xy 163.559983 94.766457) (xy 163.555926 94.778944) (xy 163.53614 94.9672) (xy 122.234315 94.9672) @@ -31564,7 +32503,7 @@ (xy 120.884666 95.095385) (xy 120.790021 95.259315) (xy 120.790018 95.259322) - (xy 120.757247 95.360182) + (xy 120.750449 95.381105) (xy 120.731526 95.439344) (xy 120.71174 95.6276) (xy 120.724746 95.751351) @@ -31583,7 +32522,7 @@ (xy 119.892532 96.143109) (xy 119.892529 96.143111) (xy 119.892529 96.143112) - (xy 119.843407 96.197668) + (xy 119.872593 96.165254) (xy 119.832213 96.2101) (xy 119.772726 96.246748) (xy 119.702869 96.245417) @@ -31599,7 +32538,7 @@ (xy 119.311142 95.216646) (xy 119.332747 95.183028) (xy 119.454133 95.048216) - (xy 119.491401 94.983666) + (xy 119.501008 94.967026) (xy 119.531796 94.9137) (xy 119.582363 94.865484) (xy 119.639183 94.8517) @@ -31621,7 +32560,7 @@ (xy 145.580418 93.811477) (xy 145.580421 93.811484) (xy 145.675067 93.975416) - (xy 145.75726 94.0667) + (xy 145.757103 94.066526) (xy 145.801729 94.116088) (xy 145.954865 94.227348) (xy 145.95487 94.227351) @@ -31653,160 +32592,57 @@ (xy 148.407244 92.6927) (xy 148.407246 92.6927) (xy 148.592403 92.653344) - (xy 148.751912 92.582325) - (xy 191.571141 92.582325) - (xy 191.590927 92.770581) - (xy 191.590928 92.770584) - (xy 191.649419 92.950602) - (xy 191.649422 92.950609) - (xy 191.744068 93.114541) - (xy 191.842854 93.224254) - (xy 191.87073 93.255213) - (xy 192.023866 93.366473) - (xy 192.023871 93.366476) - (xy 192.196793 93.443467) - (xy 192.196798 93.443469) - (xy 192.381955 93.482825) - (xy 192.381956 93.482825) - (xy 192.571245 93.482825) - (xy 192.571247 93.482825) - (xy 192.756404 93.443469) - (xy 192.929331 93.366476) - (xy 193.082472 93.255213) - (xy 193.209134 93.114541) - (xy 193.30378 92.950609) - (xy 193.362275 92.770581) - (xy 193.382061 92.582325) - (xy 193.362275 92.394069) - (xy 193.30378 92.214041) - (xy 193.209134 92.050109) - (xy 193.082472 91.909437) - (xy 193.069687 91.900148) - (xy 192.929335 91.798176) - (xy 192.92933 91.798173) - (xy 192.889695 91.780526) - (xy 212.905615 91.780526) - (xy 212.92621 92.015929) - (xy 212.926212 92.015939) - (xy 212.987368 92.244181) - (xy 212.98737 92.244185) - (xy 212.987371 92.244189) - (xy 213.058415 92.396542) - (xy 213.087239 92.458356) - (xy 213.087241 92.45836) - (xy 213.141873 92.536382) - (xy 213.222779 92.651927) - (xy 213.389873 92.819021) - (xy 213.455982 92.865311) - (xy 213.583439 92.954558) - (xy 213.583441 92.954559) - (xy 213.583444 92.954561) - (xy 213.797611 93.054429) - (xy 214.025866 93.115589) - (xy 214.214192 93.132065) - (xy 214.261273 93.136185) - (xy 214.261274 93.136185) - (xy 214.261275 93.136185) - (xy 214.300508 93.132752) - (xy 214.496682 93.115589) - (xy 214.724937 93.054429) - (xy 214.939104 92.954561) - (xy 215.132675 92.819021) - (xy 215.299769 92.651927) - (xy 215.435309 92.458356) - (xy 215.535177 92.244189) - (xy 215.596337 92.015934) - (xy 215.616933 91.780526) - (xy 215.614964 91.758026) - (xy 215.608512 91.684277) - (xy 215.596337 91.545118) - (xy 215.535177 91.316863) - (xy 215.435309 91.102697) - (xy 215.397161 91.048216) - (xy 215.29977 90.909126) - (xy 215.24817 90.857526) - (xy 215.177841 90.787197) - (xy 215.144358 90.725877) - (xy 215.149342 90.656185) - (xy 215.191213 90.600251) - (xy 215.222189 90.583336) - (xy 215.353605 90.534322) - (xy 215.46882 90.448072) - (xy 215.55507 90.332857) - (xy 215.605365 90.198009) - (xy 215.611774 90.138399) - (xy 215.611773 88.342654) - (xy 215.605365 88.283043) - (xy 215.604569 88.28091) - (xy 215.555071 88.148197) - (xy 215.555067 88.14819) - (xy 215.468821 88.032981) - (xy 215.468818 88.032978) - (xy 215.353609 87.946732) - (xy 215.353602 87.946728) - (xy 215.218756 87.896434) - (xy 215.218757 87.896434) - (xy 215.159157 87.890027) - (xy 215.159155 87.890026) - (xy 215.159147 87.890026) - (xy 215.159138 87.890026) - (xy 213.363403 87.890026) - (xy 213.363397 87.890027) - (xy 213.30379 87.896434) - (xy 213.168945 87.946728) - (xy 213.168938 87.946732) - (xy 213.053729 88.032978) - (xy 213.053726 88.032981) - (xy 212.96748 88.14819) - (xy 212.967476 88.148197) - (xy 212.917182 88.283043) - (xy 212.91156 88.335342) - (xy 212.910775 88.342649) - (xy 212.910774 88.342661) - (xy 212.910774 90.138396) - (xy 212.910775 90.138402) - (xy 212.917182 90.198009) - (xy 212.967476 90.332854) - (xy 212.96748 90.332861) - (xy 213.053726 90.44807) - (xy 213.053729 90.448073) - (xy 213.168938 90.534319) - (xy 213.168945 90.534323) - (xy 213.300355 90.583336) - (xy 213.356289 90.625207) - (xy 213.380706 90.690671) - (xy 213.365854 90.758944) - (xy 213.344704 90.787199) - (xy 213.222777 90.909126) - (xy 213.087239 91.102695) - (xy 213.087238 91.102697) - (xy 212.987372 91.316861) - (xy 212.987368 91.31687) - (xy 212.926212 91.545112) - (xy 212.92621 91.545122) - (xy 212.905615 91.780525) - (xy 212.905615 91.780526) - (xy 192.889695 91.780526) - (xy 192.756408 91.721182) - (xy 192.756403 91.72118) - (xy 192.610602 91.69019) - (xy 192.571247 91.681825) - (xy 192.381955 91.681825) - (xy 192.349498 91.688723) - (xy 192.196798 91.72118) - (xy 192.196793 91.721182) - (xy 192.023871 91.798173) - (xy 192.023866 91.798176) - (xy 191.87073 91.909436) - (xy 191.744067 92.05011) - (xy 191.649422 92.21404) - (xy 191.649419 92.214047) - (xy 191.600065 92.365944) - (xy 191.590927 92.394069) - (xy 191.571141 92.582325) - (xy 148.751912 92.582325) (xy 148.76533 92.576351) (xy 148.918471 92.465088) + (xy 148.972101 92.405526) + (xy 172.207814 92.405526) + (xy 172.2276 92.593782) + (xy 172.227601 92.593785) + (xy 172.286092 92.773803) + (xy 172.286095 92.77381) + (xy 172.380741 92.937742) + (xy 172.504252 93.074915) + (xy 172.507403 93.078414) + (xy 172.660539 93.189674) + (xy 172.660544 93.189677) + (xy 172.833466 93.266668) + (xy 172.833471 93.26667) + (xy 173.018628 93.306026) + (xy 173.018629 93.306026) + (xy 173.207918 93.306026) + (xy 173.20792 93.306026) + (xy 173.393077 93.26667) + (xy 173.566004 93.189677) + (xy 173.719145 93.078414) + (xy 173.845807 92.937742) + (xy 173.940453 92.77381) + (xy 173.998948 92.593782) + (xy 174.018734 92.405526) + (xy 173.998948 92.21727) + (xy 173.940453 92.037242) + (xy 173.845807 91.87331) + (xy 173.719145 91.732638) + (xy 173.699917 91.718668) + (xy 173.566008 91.621377) + (xy 173.566003 91.621374) + (xy 173.393081 91.544383) + (xy 173.393076 91.544381) + (xy 173.242504 91.512377) + (xy 173.20792 91.505026) + (xy 173.018628 91.505026) + (xy 172.986171 91.511924) + (xy 172.833471 91.544381) + (xy 172.833466 91.544383) + (xy 172.660544 91.621374) + (xy 172.660539 91.621377) + (xy 172.507403 91.732637) + (xy 172.38074 91.873311) + (xy 172.286095 92.037241) + (xy 172.286092 92.037248) + (xy 172.22785 92.2165) + (xy 172.2276 92.21727) + (xy 172.207814 92.405526) + (xy 148.972101 92.405526) (xy 149.045133 92.324416) (xy 149.139779 92.160484) (xy 149.171531 92.06276) @@ -31896,16 +32732,114 @@ (xy 167.332579 91.684284) (xy 167.391074 91.504256) (xy 167.41086 91.316) + (xy 167.399501 91.207926) + (xy 183.435214 91.207926) + (xy 183.455 91.396182) + (xy 183.455001 91.396185) + (xy 183.513492 91.576203) + (xy 183.513495 91.57621) + (xy 183.608141 91.740142) + (xy 183.681376 91.821477) + (xy 183.734803 91.880814) + (xy 183.887939 91.992074) + (xy 183.887944 91.992077) + (xy 184.060866 92.069068) + (xy 184.060871 92.06907) + (xy 184.246028 92.108426) + (xy 184.246029 92.108426) + (xy 184.435318 92.108426) + (xy 184.43532 92.108426) + (xy 184.620477 92.06907) + (xy 184.793404 91.992077) + (xy 184.946545 91.880814) + (xy 185.073207 91.740142) + (xy 185.167853 91.57621) + (xy 185.226348 91.396182) + (xy 185.241026 91.256529) + (xy 193.162814 91.256529) + (xy 193.1826 91.444785) + (xy 193.182601 91.444788) + (xy 193.241092 91.624806) + (xy 193.241095 91.624813) + (xy 193.335741 91.788745) + (xy 193.411884 91.87331) + (xy 193.462403 91.929417) + (xy 193.615539 92.040677) + (xy 193.615544 92.04068) + (xy 193.788466 92.117671) + (xy 193.788471 92.117673) + (xy 193.973628 92.157029) + (xy 193.973629 92.157029) + (xy 194.162918 92.157029) + (xy 194.16292 92.157029) + (xy 194.348077 92.117673) + (xy 194.521004 92.04068) + (xy 194.674145 91.929417) + (xy 194.800807 91.788745) + (xy 194.895453 91.624813) + (xy 194.953948 91.444785) + (xy 194.973734 91.256529) + (xy 194.953948 91.068273) + (xy 194.895453 90.888245) + (xy 194.800807 90.724313) + (xy 194.674145 90.583641) + (xy 194.674144 90.58364) + (xy 194.521008 90.47238) + (xy 194.521003 90.472377) + (xy 194.348081 90.395386) + (xy 194.348076 90.395384) + (xy 194.202275 90.364394) + (xy 194.16292 90.356029) + (xy 193.973628 90.356029) + (xy 193.941171 90.362927) + (xy 193.788471 90.395384) + (xy 193.788466 90.395386) + (xy 193.615544 90.472377) + (xy 193.615539 90.47238) + (xy 193.462403 90.58364) + (xy 193.33574 90.724314) + (xy 193.241095 90.888244) + (xy 193.241092 90.888251) + (xy 193.189117 91.048216) + (xy 193.1826 91.068273) + (xy 193.162814 91.256529) + (xy 185.241026 91.256529) + (xy 185.246134 91.207926) + (xy 185.226348 91.01967) + (xy 185.167853 90.839642) + (xy 185.073207 90.67571) + (xy 184.946545 90.535038) + (xy 184.946544 90.535037) + (xy 184.793408 90.423777) + (xy 184.793403 90.423774) + (xy 184.620481 90.346783) + (xy 184.620476 90.346781) + (xy 184.474675 90.315791) + (xy 184.43532 90.307426) + (xy 184.246028 90.307426) + (xy 184.213571 90.314324) + (xy 184.060871 90.346781) + (xy 184.060866 90.346783) + (xy 183.887944 90.423774) + (xy 183.887939 90.423777) + (xy 183.734803 90.535037) + (xy 183.60814 90.675711) + (xy 183.513495 90.839641) + (xy 183.513492 90.839648) + (xy 183.458776 91.008048) + (xy 183.455 91.01967) + (xy 183.435214 91.207926) + (xy 167.399501 91.207926) (xy 167.391074 91.127744) (xy 167.332579 90.947716) (xy 167.237933 90.783784) (xy 167.111271 90.643112) - (xy 167.085161 90.624142) + (xy 167.08131 90.621344) (xy 166.958134 90.531851) (xy 166.958129 90.531848) (xy 166.785207 90.454857) (xy 166.785202 90.454855) - (xy 166.639401 90.423865) + (xy 166.638972 90.423774) (xy 166.600046 90.4155) (xy 166.410754 90.4155) (xy 166.378297 90.422398) @@ -31937,57 +32871,155 @@ (xy 165.174471 90.433088) (xy 165.301133 90.292416) (xy 165.395779 90.128484) - (xy 165.407657 90.091926) - (xy 191.523663 90.091926) - (xy 191.543449 90.280182) - (xy 191.54345 90.280185) - (xy 191.601941 90.460203) - (xy 191.601944 90.46021) - (xy 191.69659 90.624142) - (xy 191.768728 90.704259) - (xy 191.823252 90.764814) - (xy 191.976388 90.876074) - (xy 191.976393 90.876077) - (xy 192.149315 90.953068) - (xy 192.14932 90.95307) - (xy 192.334477 90.992426) - (xy 192.334478 90.992426) - (xy 192.523767 90.992426) - (xy 192.523769 90.992426) - (xy 192.708926 90.95307) - (xy 192.881853 90.876077) - (xy 193.034994 90.764814) - (xy 193.161656 90.624142) - (xy 193.256302 90.46021) - (xy 193.314797 90.280182) - (xy 193.334583 90.091926) - (xy 193.314797 89.90367) - (xy 193.256302 89.723642) - (xy 193.161656 89.55971) - (xy 193.034994 89.419038) - (xy 192.997664 89.391916) - (xy 192.881857 89.307777) - (xy 192.881852 89.307774) - (xy 192.70893 89.230783) - (xy 192.708925 89.230781) - (xy 192.563124 89.199791) - (xy 192.523769 89.191426) - (xy 192.334477 89.191426) - (xy 192.30202 89.198324) - (xy 192.14932 89.230781) - (xy 192.149315 89.230783) - (xy 191.976393 89.307774) - (xy 191.976388 89.307777) - (xy 191.823252 89.419037) - (xy 191.696589 89.559711) - (xy 191.601944 89.723641) - (xy 191.601941 89.723648) - (xy 191.556668 89.862985) - (xy 191.543449 89.90367) - (xy 191.523663 90.091926) - (xy 165.407657 90.091926) (xy 165.454274 89.948456) (xy 165.47406 89.7602) + (xy 165.459485 89.621526) + (xy 172.207814 89.621526) + (xy 172.2276 89.809782) + (xy 172.227601 89.809785) + (xy 172.286092 89.989803) + (xy 172.286095 89.98981) + (xy 172.380741 90.153742) + (xy 172.465355 90.247715) + (xy 172.507403 90.294414) + (xy 172.660539 90.405674) + (xy 172.660544 90.405677) + (xy 172.833466 90.482668) + (xy 172.833471 90.48267) + (xy 173.018628 90.522026) + (xy 173.018629 90.522026) + (xy 173.207918 90.522026) + (xy 173.20792 90.522026) + (xy 173.393077 90.48267) + (xy 173.566004 90.405677) + (xy 173.719145 90.294414) + (xy 173.845807 90.153742) + (xy 173.859788 90.129526) + (xy 205.227814 90.129526) + (xy 205.2476 90.317782) + (xy 205.247601 90.317785) + (xy 205.306092 90.497803) + (xy 205.306095 90.49781) + (xy 205.400741 90.661742) + (xy 205.510629 90.783785) + (xy 205.527403 90.802414) + (xy 205.680539 90.913674) + (xy 205.680544 90.913677) + (xy 205.853466 90.990668) + (xy 205.853471 90.99067) + (xy 206.038628 91.030026) + (xy 206.038629 91.030026) + (xy 206.227918 91.030026) + (xy 206.22792 91.030026) + (xy 206.413077 90.99067) + (xy 206.586004 90.913677) + (xy 206.739145 90.802414) + (xy 206.865807 90.661742) + (xy 206.960453 90.49781) + (xy 207.018948 90.317782) + (xy 207.038734 90.129526) + (xy 207.018948 89.94127) + (xy 206.960453 89.761242) + (xy 206.865807 89.59731) + (xy 206.739145 89.456638) + (xy 206.706982 89.43327) + (xy 206.586008 89.345377) + (xy 206.586003 89.345374) + (xy 206.413081 89.268383) + (xy 206.413076 89.268381) + (xy 206.267275 89.237391) + (xy 206.22792 89.229026) + (xy 206.038628 89.229026) + (xy 206.006171 89.235924) + (xy 205.853471 89.268381) + (xy 205.853466 89.268383) + (xy 205.680544 89.345374) + (xy 205.680539 89.345377) + (xy 205.527403 89.456637) + (xy 205.40074 89.597311) + (xy 205.306095 89.761241) + (xy 205.306092 89.761248) + (xy 205.2573 89.911416) + (xy 205.2476 89.94127) + (xy 205.227814 90.129526) + (xy 173.859788 90.129526) + (xy 173.940453 89.98981) + (xy 173.998948 89.809782) + (xy 174.018734 89.621526) + (xy 173.998948 89.43327) + (xy 173.940453 89.253242) + (xy 173.845807 89.08931) + (xy 173.75326 88.986526) + (xy 193.162814 88.986526) + (xy 193.1826 89.174782) + (xy 193.182601 89.174785) + (xy 193.241092 89.354803) + (xy 193.241095 89.35481) + (xy 193.335741 89.518742) + (xy 193.458298 89.654855) + (xy 193.462403 89.659414) + (xy 193.615539 89.770674) + (xy 193.615544 89.770677) + (xy 193.788466 89.847668) + (xy 193.788471 89.84767) + (xy 193.973628 89.887026) + (xy 193.973629 89.887026) + (xy 194.162918 89.887026) + (xy 194.16292 89.887026) + (xy 194.348077 89.84767) + (xy 194.521004 89.770677) + (xy 194.674145 89.659414) + (xy 194.800807 89.518742) + (xy 194.895453 89.35481) + (xy 194.953948 89.174782) + (xy 194.973734 88.986526) + (xy 194.953948 88.79827) + (xy 194.895453 88.618242) + (xy 194.800807 88.45431) + (xy 194.674145 88.313638) + (xy 194.662058 88.304856) + (xy 194.521008 88.202377) + (xy 194.521003 88.202374) + (xy 194.348081 88.125383) + (xy 194.348076 88.125381) + (xy 194.202275 88.094391) + (xy 194.16292 88.086026) + (xy 193.973628 88.086026) + (xy 193.941171 88.092924) + (xy 193.788471 88.125381) + (xy 193.788466 88.125383) + (xy 193.615544 88.202374) + (xy 193.615539 88.202377) + (xy 193.462403 88.313637) + (xy 193.33574 88.454311) + (xy 193.241095 88.618241) + (xy 193.241092 88.618248) + (xy 193.18278 88.797715) + (xy 193.1826 88.79827) + (xy 193.162814 88.986526) + (xy 173.75326 88.986526) + (xy 173.719145 88.948638) + (xy 173.719144 88.948637) + (xy 173.566008 88.837377) + (xy 173.566003 88.837374) + (xy 173.393081 88.760383) + (xy 173.393076 88.760381) + (xy 173.247275 88.729391) + (xy 173.20792 88.721026) + (xy 173.018628 88.721026) + (xy 172.986171 88.727924) + (xy 172.833471 88.760381) + (xy 172.833466 88.760383) + (xy 172.660544 88.837374) + (xy 172.660539 88.837377) + (xy 172.507403 88.948637) + (xy 172.38074 89.089311) + (xy 172.286095 89.253241) + (xy 172.286092 89.253248) + (xy 172.227601 89.433266) + (xy 172.2276 89.43327) + (xy 172.207814 89.621526) + (xy 165.459485 89.621526) (xy 165.454274 89.571944) (xy 165.395779 89.391916) (xy 165.301133 89.227984) @@ -32009,6 +33041,8 @@ (xy 163.836066 89.227985) (xy 163.741421 89.391915) (xy 163.741418 89.391921) + (xy 163.720391 89.456638) + (xy 163.700213 89.518742) (xy 163.696614 89.529818) (xy 163.657177 89.587493) (xy 163.592818 89.614692) @@ -32041,7 +33075,7 @@ (xy 153.506687 89.624812) (xy 153.524033 89.654856) (xy 153.549067 89.698216) - (xy 153.604878 89.7602) + (xy 153.665691 89.82774) (xy 153.675729 89.838888) (xy 153.828865 89.950148) (xy 153.82887 89.950151) @@ -32059,55 +33093,6 @@ (xy 155.167274 89.354256) (xy 155.18706 89.166) (xy 155.167274 88.977744) - (xy 155.113786 88.813126) - (xy 202.952463 88.813126) - (xy 202.972249 89.001382) - (xy 202.97225 89.001385) - (xy 203.030741 89.181403) - (xy 203.030744 89.18141) - (xy 203.12539 89.345342) - (xy 203.20515 89.433924) - (xy 203.252052 89.486014) - (xy 203.405188 89.597274) - (xy 203.405193 89.597277) - (xy 203.578115 89.674268) - (xy 203.57812 89.67427) - (xy 203.763277 89.713626) - (xy 203.763278 89.713626) - (xy 203.952567 89.713626) - (xy 203.952569 89.713626) - (xy 204.137726 89.67427) - (xy 204.310653 89.597277) - (xy 204.463794 89.486014) - (xy 204.590456 89.345342) - (xy 204.685102 89.18141) - (xy 204.743597 89.001382) - (xy 204.763383 88.813126) - (xy 204.743597 88.62487) - (xy 204.685102 88.444842) - (xy 204.590456 88.28091) - (xy 204.463794 88.140238) - (xy 204.448698 88.12927) - (xy 204.310657 88.028977) - (xy 204.310652 88.028974) - (xy 204.13773 87.951983) - (xy 204.137725 87.951981) - (xy 203.988216 87.920203) - (xy 203.952569 87.912626) - (xy 203.763277 87.912626) - (xy 203.73082 87.919524) - (xy 203.57812 87.951981) - (xy 203.578115 87.951983) - (xy 203.405193 88.028974) - (xy 203.405188 88.028977) - (xy 203.252052 88.140237) - (xy 203.125389 88.280911) - (xy 203.030744 88.444841) - (xy 203.030741 88.444848) - (xy 202.975131 88.616) - (xy 202.972249 88.62487) - (xy 202.952463 88.813126) - (xy 155.113786 88.813126) (xy 155.108779 88.797716) (xy 155.014133 88.633784) (xy 154.887471 88.493112) @@ -32129,55 +33114,6 @@ (xy 154.077933 87.783784) (xy 153.951271 87.643112) (xy 153.95127 87.643111) - (xy 153.825765 87.551926) - (xy 191.523663 87.551926) - (xy 191.543449 87.740182) - (xy 191.54345 87.740185) - (xy 191.601941 87.920203) - (xy 191.601944 87.92021) - (xy 191.69659 88.084142) - (xy 191.822048 88.223477) - (xy 191.823252 88.224814) - (xy 191.976388 88.336074) - (xy 191.976393 88.336077) - (xy 192.149315 88.413068) - (xy 192.14932 88.41307) - (xy 192.334477 88.452426) - (xy 192.334478 88.452426) - (xy 192.523767 88.452426) - (xy 192.523769 88.452426) - (xy 192.708926 88.41307) - (xy 192.881853 88.336077) - (xy 193.034994 88.224814) - (xy 193.161656 88.084142) - (xy 193.256302 87.92021) - (xy 193.314797 87.740182) - (xy 193.334583 87.551926) - (xy 193.314797 87.36367) - (xy 193.256302 87.183642) - (xy 193.161656 87.01971) - (xy 193.034994 86.879038) - (xy 193.034993 86.879037) - (xy 192.881857 86.767777) - (xy 192.881852 86.767774) - (xy 192.70893 86.690783) - (xy 192.708925 86.690781) - (xy 192.563124 86.659791) - (xy 192.523769 86.651426) - (xy 192.334477 86.651426) - (xy 192.30202 86.658324) - (xy 192.14932 86.690781) - (xy 192.149315 86.690783) - (xy 191.976393 86.767774) - (xy 191.976388 86.767777) - (xy 191.823252 86.879037) - (xy 191.696589 87.019711) - (xy 191.601944 87.183641) - (xy 191.601941 87.183648) - (xy 191.556668 87.322985) - (xy 191.543449 87.36367) - (xy 191.523663 87.551926) - (xy 153.825765 87.551926) (xy 153.798134 87.531851) (xy 153.798129 87.531848) (xy 153.625207 87.454857) @@ -32194,7 +33130,7 @@ (xy 152.612866 87.783785) (xy 152.518221 87.947715) (xy 152.518218 87.947722) - (xy 152.473893 88.084142) + (xy 152.460493 88.125382) (xy 152.459726 88.127744) (xy 152.43994 88.316) (xy 152.459726 88.504256) @@ -32205,7 +33141,7 @@ (xy 152.378837 88.713684) (xy 152.357692 88.7155) (xy 152.281954 88.7155) - (xy 152.249497 88.722398) + (xy 152.255956 88.721026) (xy 152.096797 88.754855) (xy 152.096792 88.754857) (xy 151.92387 88.831848) @@ -32287,6 +33223,7 @@ (xy 146.469418 92.185922) (xy 146.415188 92.352827) (xy 146.410926 92.365944) + (xy 146.406766 92.405526) (xy 146.40358 92.435841) (xy 146.376995 92.500456) (xy 146.319698 92.54044) @@ -32304,8 +33241,8 @@ (xy 145.50214 93.4432) (xy 120.484114 93.4432) (xy 120.470133 93.418984) - (xy 120.343471 93.278312) - (xy 120.311302 93.25494) + (xy 120.347129 93.282375) + (xy 120.343472 93.278313) (xy 120.269067 93.224254) (xy 120.226402 93.168923) (xy 120.220423 93.09931) @@ -32333,7 +33270,7 @@ (xy 121.427179 91.084916) (xy 121.332533 90.920984) (xy 121.205871 90.780312) - (xy 121.18454 90.764814) + (xy 121.20587 90.780311) (xy 121.052734 90.669051) (xy 121.052729 90.669048) (xy 120.879807 90.592057) @@ -32637,7 +33574,7 @@ (xy 118.116066 86.560985) (xy 118.021421 86.724915) (xy 118.021418 86.724922) - (xy 117.971343 86.879038) + (xy 117.985481 86.835526) (xy 117.962926 86.904944) (xy 117.94314 87.0932) (xy 117.962926 87.281456) @@ -32655,7 +33592,7 @@ (xy 118.116066 88.083785) (xy 118.021421 88.247715) (xy 118.021418 88.247722) - (xy 117.967694 88.41307) + (xy 117.97603 88.387414) (xy 117.962926 88.427744) (xy 117.94314 88.616) (xy 117.962926 88.804256) @@ -32663,7 +33600,7 @@ (xy 118.021418 88.984277) (xy 118.021421 88.984284) (xy 118.116067 89.148216) - (xy 118.13208 89.166) + (xy 118.13999 89.174785) (xy 118.157022 89.193701) (xy 118.187252 89.256692) (xy 118.178627 89.326028) @@ -32671,7 +33608,7 @@ (xy 118.045247 89.483784) (xy 117.950602 89.647715) (xy 117.950599 89.647722) - (xy 117.892108 89.82774) + (xy 117.897943 89.809782) (xy 117.892107 89.827744) (xy 117.872321 90.016) (xy 117.892107 90.204256) @@ -32679,7 +33616,7 @@ (xy 117.950599 90.384277) (xy 117.950602 90.384284) (xy 118.045248 90.548216) - (xy 118.130692 90.643111) + (xy 118.160045 90.675711) (xy 118.17191 90.688888) (xy 118.325046 90.800148) (xy 118.325051 90.800151) @@ -32693,7 +33630,7 @@ (xy 118.978465 91.148214) (xy 119.08357 91.264944) (xy 119.105129 91.288888) - (xy 119.143643 91.31687) + (xy 119.142446 91.316) (xy 119.210827 91.365682) (xy 119.253492 91.421012) (xy 119.259471 91.490626) @@ -32708,7 +33645,7 @@ (xy 118.753348 92.114692) (xy 118.739213 92.1155) (xy 118.626954 92.1155) - (xy 118.614741 92.118096) + (xy 118.616731 92.117673) (xy 118.441797 92.154855) (xy 118.441792 92.154857) (xy 118.26887 92.231848) @@ -32717,7 +33654,7 @@ (xy 117.989066 92.483785) (xy 117.894421 92.647715) (xy 117.894418 92.647722) - (xy 117.838761 92.819019) + (xy 117.835927 92.82774) (xy 117.835926 92.827744) (xy 117.81614 93.016) (xy 117.835926 93.204256) @@ -32732,7 +33669,7 @@ (xy 117.989066 93.983784) (xy 117.894421 94.147715) (xy 117.894418 94.147722) - (xy 117.841437 94.310782) + (xy 117.843529 94.304344) (xy 117.835926 94.327744) (xy 117.81614 94.516) (xy 117.835926 94.704256) @@ -32747,7 +33684,7 @@ (xy 117.989066 95.483784) (xy 117.894421 95.647715) (xy 117.894418 95.647722) - (xy 117.835927 95.82774) + (xy 117.83767 95.822377) (xy 117.835926 95.827744) (xy 117.81614 96.016) (xy 117.835926 96.204256) @@ -32760,14 +33697,13 @@ (xy 118.268865 96.800148) (xy 118.268866 96.800148) (xy 118.26887 96.800151) - (xy 118.382588 96.850782) (xy 118.407979 96.862087) (xy 118.461216 96.907337) (xy 118.481537 96.974186) (xy 118.464931 97.037365) (xy 118.40122 97.147717) (xy 118.401218 97.147722) - (xy 118.342727 97.32774) + (xy 118.358429 97.279414) (xy 118.342726 97.327744) (xy 118.32294 97.516) (xy 118.342726 97.704256) @@ -32775,7 +33711,6 @@ (xy 118.401218 97.884277) (xy 118.401221 97.884284) (xy 118.495867 98.048216) - (xy 118.599022 98.162781) (xy 118.622529 98.188888) (xy 118.775665 98.300148) (xy 118.77567 98.300151) @@ -32813,7 +33748,7 @@ (xy 117.898023 99.083785) (xy 117.803378 99.247715) (xy 117.803375 99.247722) - (xy 117.754129 99.399288) + (xy 117.761037 99.378026) (xy 117.744883 99.427744) (xy 117.725097 99.616) (xy 117.744883 99.804256) @@ -32848,7 +33783,7 @@ (xy 117.770064 102.016983) (xy 117.675419 102.180913) (xy 117.675416 102.18092) - (xy 117.616925 102.360938) + (xy 117.61742 102.359414) (xy 117.616924 102.360942) (xy 117.597138 102.549198) (xy 117.616924 102.737454) @@ -32866,7 +33801,7 @@ (xy 117.735066 103.721982) (xy 117.640421 103.885912) (xy 117.640418 103.885919) - (xy 117.587784 104.047911) + (xy 117.581927 104.065937) (xy 117.581926 104.065941) (xy 117.56214 104.254197) (xy 117.581926 104.442453) @@ -32874,7 +33809,7 @@ (xy 117.640418 104.622474) (xy 117.640421 104.622481) (xy 117.735067 104.786413) - (xy 117.845496 104.909056) + (xy 117.836814 104.899414) (xy 117.861729 104.927085) (xy 118.014865 105.038345) (xy 118.01487 105.038348) @@ -32889,7 +33824,7 @@ (xy 118.717144 105.195877) (xy 118.724913 105.207716) (xy 118.751067 105.253016) - (xy 118.822118 105.331926) + (xy 118.832462 105.343414) (xy 118.876306 105.392108) (xy 118.906536 105.455099) (xy 118.897911 105.524435) @@ -32909,7 +33844,7 @@ (xy 117.729637 105.920685) (xy 117.634992 106.084615) (xy 117.634989 106.084622) - (xy 117.582214 106.247049) + (xy 117.582262 106.246901) (xy 117.576497 106.264644) (xy 117.556711 106.4529) (xy 117.576497 106.641156) @@ -32917,6 +33852,7 @@ (xy 117.634989 106.821177) (xy 117.634992 106.821184) (xy 117.729638 106.985116) + (xy 117.773524 107.033856) (xy 117.8563 107.125788) (xy 118.009436 107.237048) (xy 118.009441 107.237051) @@ -32956,7 +33892,7 @@ (xy 117.7406 107.983953) (xy 117.645955 108.147883) (xy 117.645952 108.14789) - (xy 117.591531 108.315382) + (xy 117.587461 108.327908) (xy 117.58746 108.327912) (xy 117.567674 108.516168) (xy 117.58746 108.704424) @@ -32967,14 +33903,14 @@ (xy 117.676929 109.062101) (xy 117.627502 109.147711) (xy 117.627501 109.147713) - (xy 117.571073 109.321382) + (xy 117.574641 109.3104) (xy 117.569006 109.327744) (xy 117.54922 109.516) (xy 112.688985 109.516) (xy 112.404475 109.23149) (xy 112.39378 109.219295) - (xy 112.393567 109.219018) - (xy 112.374558 109.194244) + (xy 112.374559 109.194245) + (xy 112.313917 109.147713) (xy 112.249117 109.09799) (xy 112.239641 109.094065) (xy 112.103038 109.037482) @@ -32996,7 +33932,7 @@ (xy 107.571239 110.271374) (xy 107.559049 110.282065) (xy 107.53399 110.301294) - (xy 107.47051 110.384025) + (xy 107.470697 110.383782) (xy 107.437738 110.426734) (xy 107.437735 110.426739) (xy 107.377231 110.57281) @@ -33021,7 +33957,7 @@ (xy 106.21374 111.314311) (xy 106.119095 111.478241) (xy 106.119092 111.478248) - (xy 106.076832 111.608312) + (xy 106.09338 111.557383) (xy 106.0606 111.65827) (xy 106.040814 111.846526) (xy 106.0606 112.034782) @@ -33029,7 +33965,7 @@ (xy 106.119092 112.214803) (xy 106.119094 112.214807) (xy 106.119095 112.21481) - (xy 106.137379 112.246479) + (xy 106.128019 112.230266) (xy 106.139766 112.250613) (xy 106.156237 112.318514) (xy 106.133385 112.38454) @@ -33057,8 +33993,6 @@ (xy 104.849092 113.643797) (xy 104.849095 113.643804) (xy 104.943741 113.807736) - (xy 105.002028 113.87247) - (xy 105.065633 113.943111) (xy 105.070403 113.948408) (xy 105.104932 113.973494) (xy 105.147596 114.028822) @@ -33079,7 +34013,7 @@ (xy 104.595092 115.389803) (xy 104.595095 115.38981) (xy 104.689741 115.553742) - (xy 104.803591 115.680185) + (xy 104.783748 115.658147) (xy 104.820752 115.699244) (xy 104.818907 115.700904) (xy 104.849687 115.7509) @@ -33089,7 +34023,7 @@ (xy 104.68974 116.013311) (xy 104.595095 116.177241) (xy 104.595092 116.177248) - (xy 104.536601 116.357266) + (xy 104.539197 116.349277) (xy 104.5366 116.35727) (xy 104.516814 116.545526) (xy 104.5366 116.733782) @@ -33097,7 +34031,7 @@ (xy 104.595092 116.913803) (xy 104.595095 116.91381) (xy 104.689741 117.077742) - (xy 104.764644 117.16093) + (xy 104.737018 117.130248) (xy 104.816403 117.218414) (xy 104.969539 117.329674) (xy 104.969544 117.329677) @@ -33119,7 +34053,7 @@ (xy 105.738092 117.984277) (xy 105.738095 117.984284) (xy 105.832741 118.148216) - (xy 105.937567 118.264637) + (xy 105.931395 118.257782) (xy 105.959403 118.288888) (xy 106.112539 118.400148) (xy 106.112544 118.400151) @@ -33309,7 +34243,10 @@ (xy 103.837177 149.296624) (xy 103.878594 149.207805) (xy 103.938623 148.983776) - (xy 103.958837 148.752726) + (xy 103.955949 148.785733) + (xy 103.958837 148.752727) + (xy 103.958837 148.752724) + (xy 103.938623 148.521681) (xy 103.938623 148.521676) (xy 103.878594 148.297647) (xy 103.780575 148.087445) @@ -33340,7 +34277,7 @@ (xy 107.005092 124.152803) (xy 107.005095 124.15281) (xy 107.099741 124.316742) - (xy 107.162783 124.386757) + (xy 107.193322 124.420674) (xy 107.226403 124.457414) (xy 107.379539 124.568674) (xy 107.379544 124.568677) @@ -33384,7 +34321,7 @@ (xy 104.89331 123.784526) (xy 104.899459 123.763584) (xy 104.916093 123.742942) - (xy 106.040925 122.61811) + (xy 106.041725 122.61731) (xy 107.237697 121.421337) (xy 107.299018 121.387854) (xy 107.36871 121.392838) @@ -33397,7 +34334,7 @@ (xy 107.516092 121.993803) (xy 107.516095 121.99381) (xy 107.610741 122.157742) - (xy 107.694514 122.250781) + (xy 107.658018 122.210248) (xy 107.737403 122.298414) (xy 107.890539 122.409674) (xy 107.890544 122.409677) @@ -33418,7 +34355,7 @@ (xy 109.170453 121.257242) (xy 109.075807 121.09331) (xy 108.949145 120.952638) - (xy 108.949144 120.952637) + (xy 108.93041 120.939026) (xy 108.796008 120.841377) (xy 108.796003 120.841374) (xy 108.623081 120.764383) @@ -33438,7 +34375,7 @@ (xy 108.281453 119.987242) (xy 108.186807 119.82331) (xy 108.060145 119.682638) - (xy 108.043092 119.670248) + (xy 108.060144 119.682637) (xy 107.907008 119.571377) (xy 107.907003 119.571374) (xy 107.734081 119.494383) @@ -33457,7 +34394,7 @@ (xy 106.627092 119.987248) (xy 106.568601 120.167266) (xy 106.5686 120.16727) - (xy 106.562345 120.226785) + (xy 106.551614 120.328888) (xy 106.548814 120.355528) (xy 106.548814 120.360388) (xy 106.529129 120.427427) @@ -33470,7 +34407,7 @@ (xy 103.754735 123.227689) (xy 103.694231 123.37376) (xy 103.694229 123.373765) - (xy 103.674879 123.520747) + (xy 103.680372 123.479026) (xy 103.673592 123.530526) (xy 103.67553 123.545247) (xy 103.677713 123.561827) @@ -33657,7 +34594,7 @@ (xy 78.648452 135.579803) (xy 78.648455 135.57981) (xy 78.743101 135.743742) - (xy 78.857451 135.87074) + (xy 78.860152 135.87374) (xy 78.869763 135.884414) (xy 79.022899 135.995674) (xy 79.022904 135.995677) @@ -33706,7 +34643,7 @@ (xy 67.561262 134.182803) (xy 67.561265 134.18281) (xy 67.655911 134.346742) - (xy 67.738104 134.438026) + (xy 67.740805 134.441026) (xy 67.782573 134.487414) (xy 67.935709 134.598674) (xy 67.935714 134.598677) @@ -33998,7 +34935,7 @@ (xy 78.648452 125.486803) (xy 78.648455 125.48681) (xy 78.743101 125.650742) - (xy 78.807779 125.722574) + (xy 78.799825 125.71374) (xy 78.869763 125.791414) (xy 79.022899 125.902674) (xy 79.022904 125.902677) @@ -34036,7 +34973,7 @@ (xy 78.7431 124.586311) (xy 78.648455 124.750241) (xy 78.648452 124.750248) - (xy 78.594434 124.9165) + (xy 78.598049 124.905374) (xy 78.58996 124.93027) (xy 78.570174 125.118526) (xy 65.696674 125.118526) @@ -34068,12 +35005,12 @@ (xy 69.227213 123.268242) (xy 69.132567 123.10431) (xy 69.005905 122.963638) - (xy 69.005904 122.963637) + (xy 69.002646 122.96127) (xy 68.852768 122.852377) (xy 68.852763 122.852374) (xy 68.679841 122.775383) (xy 68.679836 122.775381) - (xy 68.530506 122.743641) + (xy 68.534035 122.744391) (xy 68.49468 122.736026) (xy 68.305388 122.736026) (xy 68.272931 122.742924) @@ -34134,7 +35071,7 @@ (xy 78.7431 122.046311) (xy 78.648455 122.210241) (xy 78.648452 122.210248) - (xy 78.594588 122.376027) + (xy 78.590851 122.387527) (xy 78.58996 122.39027) (xy 78.570174 122.578526) (xy 65.696674 122.578526) @@ -34145,7 +35082,7 @@ (xy 78.648452 120.406803) (xy 78.648455 120.40681) (xy 78.743101 120.570742) - (xy 78.80654 120.641198) + (xy 78.743675 120.571379) (xy 78.869763 120.711414) (xy 79.022899 120.822674) (xy 79.022904 120.822677) @@ -34171,7 +35108,6 @@ (xy 84.202018 120.024277) (xy 84.202021 120.024284) (xy 84.296667 120.188216) - (xy 84.331395 120.226785) (xy 84.423329 120.328888) (xy 84.576465 120.440148) (xy 84.57647 120.440151) @@ -34192,7 +35128,7 @@ (xy 85.856379 119.287716) (xy 85.761733 119.123784) (xy 85.635071 118.983112) - (xy 85.63507 118.983111) + (xy 85.61706 118.970026) (xy 85.481934 118.871851) (xy 85.481929 118.871848) (xy 85.309007 118.794857) @@ -34232,7 +35168,7 @@ (xy 78.7431 119.506311) (xy 78.648455 119.670241) (xy 78.648452 119.670248) - (xy 78.593938 119.838026) + (xy 78.594588 119.836026) (xy 78.58996 119.85027) (xy 78.570174 120.038526) (xy 65.696674 120.038526) @@ -34243,7 +35179,7 @@ (xy 67.572852 118.924803) (xy 67.572855 118.92481) (xy 67.667501 119.088742) - (xy 67.700855 119.125785) + (xy 67.782535 119.2165) (xy 67.794163 119.229414) (xy 67.947299 119.340674) (xy 67.947304 119.340677) @@ -34264,7 +35200,7 @@ (xy 69.227213 118.188242) (xy 69.132567 118.02431) (xy 69.005905 117.883638) - (xy 68.996964 117.877142) + (xy 69.002646 117.88127) (xy 68.852768 117.772377) (xy 68.852763 117.772374) (xy 68.679841 117.695383) @@ -34281,7 +35217,7 @@ (xy 67.6675 118.024311) (xy 67.572855 118.188241) (xy 67.572852 118.188248) - (xy 67.520876 118.348216) + (xy 67.517155 118.359668) (xy 67.51436 118.36827) (xy 67.494574 118.556526) (xy 65.696674 118.556526) @@ -34313,7 +35249,7 @@ (xy 80.302813 117.130242) (xy 80.208167 116.96631) (xy 80.081505 116.825638) - (xy 80.030923 116.788888) + (xy 80.041817 116.796803) (xy 79.928368 116.714377) (xy 79.928363 116.714374) (xy 79.755441 116.637383) @@ -34330,7 +35266,7 @@ (xy 78.7431 116.966311) (xy 78.648455 117.130241) (xy 78.648452 117.130248) - (xy 78.590335 117.309115) + (xy 78.594983 117.29481) (xy 78.58996 117.31027) (xy 78.570174 117.498526) (xy 65.696674 117.498526) @@ -34341,7 +35277,7 @@ (xy 78.648452 115.326803) (xy 78.648455 115.32681) (xy 78.743101 115.490742) - (xy 78.791525 115.544522) + (xy 78.812109 115.567383) (xy 78.869763 115.631414) (xy 79.022899 115.742674) (xy 79.022904 115.742677) @@ -34367,7 +35303,7 @@ (xy 84.202018 114.842677) (xy 84.202021 114.842684) (xy 84.296667 115.006616) - (xy 84.422873 115.146782) + (xy 84.382876 115.10236) (xy 84.423329 115.147288) (xy 84.576465 115.258548) (xy 84.57647 115.258551) @@ -34388,7 +35324,7 @@ (xy 85.856379 114.106116) (xy 85.761733 113.942184) (xy 85.635071 113.801512) - (xy 85.570856 113.754857) + (xy 85.621679 113.791782) (xy 85.481934 113.690251) (xy 85.481929 113.690248) (xy 85.309007 113.613257) @@ -34428,7 +35364,7 @@ (xy 78.7431 114.426311) (xy 78.648455 114.590241) (xy 78.648452 114.590248) - (xy 78.610265 114.707777) + (xy 78.589961 114.770266) (xy 78.58996 114.77027) (xy 78.570174 114.958526) (xy 65.696674 114.958526) @@ -34439,7 +35375,7 @@ (xy 67.572852 113.971803) (xy 67.572855 113.97181) (xy 67.667501 114.135742) - (xy 67.724107 114.198609) + (xy 67.740907 114.217267) (xy 67.794163 114.276414) (xy 67.947299 114.387674) (xy 67.947304 114.387677) @@ -34460,15 +35396,15 @@ (xy 69.227213 113.235242) (xy 69.132567 113.07131) (xy 69.005905 112.930638) - (xy 69.001922 112.927744) + (xy 69.002646 112.92827) (xy 68.852768 112.819377) (xy 68.852763 112.819374) (xy 68.679841 112.742383) (xy 68.679836 112.742381) - (xy 68.516519 112.707668) + (xy 68.511226 112.706543) (xy 68.49468 112.703026) (xy 68.305388 112.703026) - (xy 68.283549 112.707668) + (xy 68.288842 112.706543) (xy 68.120231 112.742381) (xy 68.120226 112.742383) (xy 67.947304 112.819374) @@ -34488,7 +35424,7 @@ (xy 78.648452 112.786803) (xy 78.648455 112.78681) (xy 78.743101 112.950742) - (xy 78.846293 113.065348) + (xy 78.851661 113.07131) (xy 78.869763 113.091414) (xy 79.022899 113.202674) (xy 79.022904 113.202677) @@ -34509,7 +35445,7 @@ (xy 80.302813 112.050242) (xy 80.208167 111.88631) (xy 80.081505 111.745638) - (xy 80.031318 111.709175) + (xy 80.065678 111.734139) (xy 79.928368 111.634377) (xy 79.928363 111.634374) (xy 79.755441 111.557383) @@ -34526,7 +35462,7 @@ (xy 78.7431 111.886311) (xy 78.648455 112.050241) (xy 78.648452 112.050248) - (xy 78.590654 112.228134) + (xy 78.594984 112.214807) (xy 78.58996 112.23027) (xy 78.570174 112.418526) (xy 65.696674 112.418526) @@ -34537,7 +35473,7 @@ (xy 78.648452 109.513803) (xy 78.648455 109.51381) (xy 78.743101 109.677742) - (xy 78.807091 109.74881) + (xy 78.847482 109.793668) (xy 78.869763 109.818414) (xy 79.022899 109.929674) (xy 79.022904 109.929677) @@ -34566,7 +35502,7 @@ (xy 79.608073 108.253059) (xy 79.57028 108.245026) (xy 79.380988 108.245026) - (xy 79.350615 108.251482) + (xy 79.348531 108.251924) (xy 79.195831 108.284381) (xy 79.195826 108.284383) (xy 79.022904 108.361374) @@ -34575,7 +35511,7 @@ (xy 78.7431 108.613311) (xy 78.648455 108.777241) (xy 78.648452 108.777248) - (xy 78.596188 108.938102) + (xy 78.592406 108.949742) (xy 78.58996 108.95727) (xy 78.570174 109.145526) (xy 65.696674 109.145526) @@ -34586,7 +35522,7 @@ (xy 67.572852 108.031803) (xy 67.572855 108.03181) (xy 67.667501 108.195742) - (xy 67.744369 108.281112) + (xy 67.747312 108.284381) (xy 67.794163 108.336414) (xy 67.947299 108.447674) (xy 67.947304 108.447677) @@ -34607,15 +35543,15 @@ (xy 69.227213 107.295242) (xy 69.132567 107.13131) (xy 69.005905 106.990638) - (xy 68.998302 106.985114) + (xy 68.983126 106.974088) (xy 68.852768 106.879377) (xy 68.852763 106.879374) (xy 68.679841 106.802383) (xy 68.679836 106.802381) - (xy 68.534035 106.771391) + (xy 68.511146 106.766526) (xy 68.49468 106.763026) (xy 68.305388 106.763026) - (xy 68.272931 106.769924) + (xy 68.288922 106.766526) (xy 68.120231 106.802381) (xy 68.120226 106.802383) (xy 67.947304 106.879374) @@ -34673,7 +35609,7 @@ (xy 78.7431 106.073311) (xy 78.648455 106.237241) (xy 78.648452 106.237248) - (xy 78.609116 106.358313) + (xy 78.589961 106.417266) (xy 78.58996 106.41727) (xy 78.570174 106.605526) (xy 65.696674 106.605526) @@ -34684,7 +35620,7 @@ (xy 78.648452 103.925803) (xy 78.648455 103.92581) (xy 78.743101 104.089742) - (xy 78.862085 104.221887) + (xy 78.866262 104.226526) (xy 78.869763 104.230414) (xy 79.022899 104.341674) (xy 79.022904 104.341677) @@ -34702,7 +35638,7 @@ (xy 84.252818 104.784277) (xy 84.252821 104.784284) (xy 84.347467 104.948216) - (xy 84.465236 105.079011) + (xy 84.441385 105.052522) (xy 84.474129 105.088888) (xy 84.627265 105.200148) (xy 84.62727 105.200151) @@ -34722,7 +35658,7 @@ (xy 108.151092 105.418803) (xy 108.151095 105.41881) (xy 108.245741 105.582742) - (xy 108.323184 105.668751) + (xy 108.364543 105.714685) (xy 108.372403 105.723414) (xy 108.525539 105.834674) (xy 108.525544 105.834677) @@ -34760,7 +35696,7 @@ (xy 108.24574 104.518311) (xy 108.151095 104.682241) (xy 108.151092 104.682248) - (xy 108.107293 104.817049) + (xy 108.092601 104.862266) (xy 108.0926 104.86227) (xy 108.072814 105.050526) (xy 85.720412 105.050526) @@ -34772,7 +35708,7 @@ (xy 85.907179 104.047716) (xy 85.812533 103.883784) (xy 85.685871 103.743112) - (xy 85.675598 103.735648) + (xy 85.656787 103.721981) (xy 85.532734 103.631851) (xy 85.532729 103.631848) (xy 85.359807 103.554857) @@ -34789,7 +35725,7 @@ (xy 84.347466 103.883785) (xy 84.252821 104.047715) (xy 84.252818 104.047722) - (xy 84.20679 104.189383) + (xy 84.194721 104.226527) (xy 84.194326 104.227744) (xy 84.17454 104.416) (xy 79.761434 104.416) @@ -34820,7 +35756,7 @@ (xy 78.7431 103.025311) (xy 78.648455 103.189241) (xy 78.648452 103.189248) - (xy 78.589961 103.369266) + (xy 78.591223 103.365382) (xy 78.58996 103.36927) (xy 78.570174 103.557526) (xy 65.696674 103.557526) @@ -34869,7 +35805,7 @@ (xy 67.6675 101.797311) (xy 67.572855 101.961241) (xy 67.572852 101.961248) - (xy 67.514361 102.141266) + (xy 67.528749 102.096984) (xy 67.51436 102.14127) (xy 67.494574 102.329526) (xy 65.696674 102.329526) @@ -34929,7 +35865,7 @@ (xy 78.648452 98.845803) (xy 78.648455 98.84581) (xy 78.743101 99.009742) - (xy 78.858071 99.137429) + (xy 78.832147 99.108637) (xy 78.869763 99.150414) (xy 79.022899 99.261674) (xy 79.022904 99.261677) @@ -34967,7 +35903,7 @@ (xy 78.7431 97.945311) (xy 78.648455 98.109241) (xy 78.648452 98.109248) - (xy 78.590702 98.286985) + (xy 78.591223 98.285382) (xy 78.58996 98.28927) (xy 78.570174 98.477526) (xy 65.696674 98.477526) @@ -34978,7 +35914,6 @@ (xy 67.572852 97.617803) (xy 67.572855 97.61781) (xy 67.667501 97.781742) - (xy 67.774145 97.900182) (xy 67.794163 97.922414) (xy 67.947299 98.033674) (xy 67.947304 98.033677) @@ -35007,7 +35942,7 @@ (xy 68.534035 96.357391) (xy 68.49468 96.349026) (xy 68.305388 96.349026) - (xy 68.272931 96.355924) + (xy 68.284278 96.353513) (xy 68.120231 96.388381) (xy 68.120226 96.388383) (xy 67.947304 96.465374) @@ -35016,7 +35951,7 @@ (xy 67.6675 96.717311) (xy 67.572855 96.881241) (xy 67.572852 96.881248) - (xy 67.514361 97.061266) + (xy 67.522127 97.037365) (xy 67.51436 97.06127) (xy 67.494574 97.249526) (xy 65.696674 97.249526) @@ -35027,7 +35962,7 @@ (xy 78.648452 96.305803) (xy 78.648455 96.30581) (xy 78.743101 96.469742) - (xy 78.813758 96.548214) + (xy 78.846317 96.584375) (xy 78.869763 96.610414) (xy 79.022899 96.721674) (xy 79.022904 96.721677) @@ -35052,7 +35987,7 @@ (xy 84.288492 95.992467) (xy 84.288495 95.992474) (xy 84.383141 96.156406) - (xy 84.455732 96.237026) + (xy 84.456826 96.238241) (xy 84.509803 96.297078) (xy 84.662939 96.408338) (xy 84.662944 96.408341) @@ -35090,7 +36025,7 @@ (xy 84.38314 95.091975) (xy 84.288495 95.255905) (xy 84.288492 95.255912) - (xy 84.254613 95.360182) + (xy 84.247815 95.381105) (xy 84.23 95.435934) (xy 84.210214 95.62419) (xy 80.320667 95.62419) @@ -35114,7 +36049,7 @@ (xy 78.7431 95.405311) (xy 78.648455 95.569241) (xy 78.648452 95.569248) - (xy 78.604623 95.704142) + (xy 78.591223 95.745382) (xy 78.58996 95.74927) (xy 78.570174 95.937526) (xy 65.696674 95.937526) @@ -35163,7 +36098,7 @@ (xy 78.7431 92.865311) (xy 78.648455 93.029241) (xy 78.648452 93.029248) - (xy 78.591589 93.204256) + (xy 78.591223 93.205382) (xy 78.58996 93.20927) (xy 78.570174 93.397526) (xy 65.696674 93.397526) @@ -35174,7 +36109,6 @@ (xy 67.572852 92.664803) (xy 67.572855 92.66481) (xy 67.667501 92.828742) - (xy 67.741553 92.910985) (xy 67.794163 92.969414) (xy 67.947299 93.080674) (xy 67.947304 93.080677) @@ -35195,7 +36129,7 @@ (xy 69.227213 91.928242) (xy 69.132567 91.76431) (xy 69.005905 91.623638) - (xy 69.005904 91.623637) + (xy 69.002793 91.621377) (xy 68.852768 91.512377) (xy 68.852763 91.512374) (xy 68.679841 91.435383) @@ -35223,7 +36157,7 @@ (xy 78.648452 91.225803) (xy 78.648455 91.22581) (xy 78.743101 91.389742) - (xy 78.833938 91.490626) + (xy 78.85352 91.512374) (xy 78.869763 91.530414) (xy 79.022899 91.641674) (xy 79.022904 91.641677) @@ -35261,7 +36195,7 @@ (xy 78.7431 90.325311) (xy 78.648455 90.489241) (xy 78.648452 90.489248) - (xy 78.592508 90.661427) + (xy 78.592406 90.661742) (xy 78.58996 90.66927) (xy 78.570174 90.857526) (xy 65.696674 90.857526) @@ -35297,6 +36231,7 @@ (xy 84.288492 88.474067) (xy 84.288495 88.474074) (xy 84.383141 88.638006) + (xy 84.493328 88.760381) (xy 84.509803 88.778678) (xy 84.662939 88.889938) (xy 84.662944 88.889941) @@ -35314,9 +36249,6 @@ (xy 86.001348 88.294046) (xy 86.021134 88.10579) (xy 86.001348 87.917534) - (xy 85.946786 87.749613) - (xy 85.942855 87.737512) - (xy 85.942854 87.737511) (xy 85.942853 87.737506) (xy 85.848207 87.573574) (xy 85.721545 87.432902) @@ -35337,7 +36269,7 @@ (xy 84.38314 87.573575) (xy 84.288495 87.737505) (xy 84.288492 87.737512) - (xy 84.230001 87.91753) + (xy 84.23835 87.891834) (xy 84.23 87.917534) (xy 84.210214 88.10579) (xy 80.353679 88.10579) @@ -35927,21 +36859,19 @@ (xy 212.154806 80.722108) (xy 212.174033 80.697049) (xy 212.184714 80.684869) - (xy 215.428517 77.441066) - (xy 215.440697 77.430385) - (xy 215.465756 77.411158) - (xy 215.56201 77.285717) - (xy 215.622518 77.139638) - (xy 215.637974 77.022237) - (xy 215.643156 76.982876) - (xy 215.639035 76.951573) - (xy 215.637974 76.935388) - (xy 215.637974 76.908714) - (xy 215.657659 76.841675) - (xy 215.6636 76.833226) - (xy 215.667679 76.82791) - (xy 215.67481 76.818617) - (xy 215.735318 76.672538) + (xy 215.541317 77.328266) + (xy 215.553497 77.317585) + (xy 215.578556 77.298358) + (xy 215.67481 77.172917) + (xy 215.735318 77.026838) + (xy 215.750774 76.909437) + (xy 215.755956 76.870076) + (xy 215.754726 76.860736) + (xy 215.751835 76.838773) + (xy 215.750774 76.822588) + (xy 215.750774 76.563263) + (xy 215.751835 76.547077) + (xy 215.752698 76.540526) (xy 215.755956 76.515776) (xy 215.754542 76.505038) (xy 215.735318 76.359015) @@ -36016,7 +36946,7 @@ (xy 203.021558 75.700483) (xy 202.868575 75.534301) (xy 202.868572 75.534298) - (xy 202.802698 75.483026) + (xy 202.795269 75.477244) (xy 202.690319 75.395558) (xy 202.690318 75.395557) (xy 202.690315 75.395555) @@ -36061,110 +36991,9 @@ (xy 203.309945 71.46052) (xy 203.296627 71.299795) (xy 203.291292 71.235415) - (xy 203.285242 71.211526) - (xy 215.191615 71.211526) - (xy 215.21221 71.446929) - (xy 215.212212 71.446939) - (xy 215.273368 71.675181) - (xy 215.27337 71.675185) - (xy 215.273371 71.675189) - (xy 215.3446 71.827939) - (xy 215.373239 71.889356) - (xy 215.373241 71.88936) - (xy 215.462756 72.0172) - (xy 215.508775 72.082922) - (xy 215.50878 72.082928) - (xy 215.630704 72.204852) - (xy 215.664189 72.266175) - (xy 215.659205 72.335867) - (xy 215.617333 72.3918) - (xy 215.586357 72.408715) - (xy 215.454943 72.457729) - (xy 215.454938 72.457732) - (xy 215.339729 72.543978) - (xy 215.339726 72.543981) - (xy 215.25348 72.65919) - (xy 215.253476 72.659197) - (xy 215.203182 72.794043) - (xy 215.198378 72.83873) - (xy 215.196775 72.853649) - (xy 215.196774 72.853661) - (xy 215.196774 74.649396) - (xy 215.196775 74.649402) - (xy 215.203182 74.709009) - (xy 215.253476 74.843854) - (xy 215.25348 74.843861) - (xy 215.339726 74.95907) - (xy 215.339729 74.959073) - (xy 215.454938 75.045319) - (xy 215.454945 75.045323) - (xy 215.589791 75.095617) - (xy 215.58979 75.095617) - (xy 215.596718 75.096361) - (xy 215.649401 75.102026) - (xy 217.445146 75.102025) - (xy 217.504757 75.095617) - (xy 217.639605 75.045322) - (xy 217.75482 74.959072) - (xy 217.84107 74.843857) - (xy 217.891365 74.709009) - (xy 217.897774 74.649399) - (xy 217.897773 72.853654) - (xy 217.891365 72.794043) - (xy 217.889273 72.788435) - (xy 217.841071 72.659197) - (xy 217.841067 72.65919) - (xy 217.754821 72.543981) - (xy 217.754818 72.543978) - (xy 217.639609 72.457732) - (xy 217.639602 72.457728) - (xy 217.508191 72.408715) - (xy 217.452257 72.366844) - (xy 217.42784 72.301379) - (xy 217.442692 72.233106) - (xy 217.463837 72.204858) - (xy 217.585769 72.082927) - (xy 217.721309 71.889356) - (xy 217.821177 71.675189) - (xy 217.882337 71.446934) - (xy 217.902933 71.211526) - (xy 217.882337 70.976118) - (xy 217.821177 70.747863) - (xy 217.721309 70.533697) - (xy 217.665714 70.454298) - (xy 217.585768 70.340123) - (xy 217.418676 70.173032) - (xy 217.418669 70.173027) - (xy 217.225108 70.037493) - (xy 217.225104 70.037491) - (xy 217.164942 70.009437) - (xy 217.010937 69.937623) - (xy 217.010933 69.937622) - (xy 217.010929 69.93762) - (xy 216.782687 69.876464) - (xy 216.782677 69.876462) - (xy 216.547275 69.855867) - (xy 216.547273 69.855867) - (xy 216.31187 69.876462) - (xy 216.31186 69.876464) - (xy 216.083618 69.93762) - (xy 216.083609 69.937624) - (xy 215.869445 70.03749) - (xy 215.869443 70.037491) - (xy 215.675871 70.173031) - (xy 215.508779 70.340123) - (xy 215.373239 70.533695) - (xy 215.373238 70.533697) - (xy 215.273372 70.747861) - (xy 215.273368 70.74787) - (xy 215.212212 70.976112) - (xy 215.21221 70.976122) - (xy 215.191615 71.211525) - (xy 215.191615 71.211526) - (xy 203.285242 71.211526) (xy 203.235841 71.016444) (xy 203.145105 70.809587) - (xy 203.108465 70.753505) + (xy 203.077991 70.706861) (xy 203.021558 70.620483) (xy 202.868575 70.454301) (xy 202.868572 70.454298) @@ -36273,23 +37102,56 @@ (xy 208.434521 68.194188) (xy 208.432432 68.174309) (xy 208.415948 68.01747) - (xy 208.357453 67.837442) - (xy 208.262807 67.67351) - (xy 208.136145 67.532838) - (xy 208.122457 67.522893) - (xy 207.983008 67.421577) - (xy 207.983003 67.421574) - (xy 207.810081 67.344583) - (xy 207.810076 67.344581) - (xy 207.664275 67.313591) - (xy 207.62492 67.305226) - (xy 207.435628 67.305226) - (xy 207.403171 67.312124) - (xy 207.250471 67.344581) - (xy 207.250466 67.344583) - (xy 207.077544 67.421574) - (xy 207.077539 67.421577) - (xy 206.924403 67.532837) + (xy 208.371648 67.881132) + (xy 208.369654 67.811292) + (xy 208.401897 67.755136) + (xy 213.66569 62.491345) + (xy 213.727013 62.45786) + (xy 213.753371 62.455026) + (xy 213.847918 62.455026) + (xy 213.84792 62.455026) + (xy 214.033077 62.41567) + (xy 214.206004 62.338677) + (xy 214.359145 62.227414) + (xy 214.485807 62.086742) + (xy 214.580453 61.92281) + (xy 214.638948 61.742782) + (xy 214.658734 61.554526) + (xy 214.638948 61.36627) + (xy 214.580453 61.186242) + (xy 214.485807 61.02231) + (xy 214.359145 60.881638) + (xy 214.359144 60.881637) + (xy 214.206008 60.770377) + (xy 214.206003 60.770374) + (xy 214.033081 60.693383) + (xy 214.033076 60.693381) + (xy 213.887275 60.662391) + (xy 213.84792 60.654026) + (xy 213.658628 60.654026) + (xy 213.626171 60.660924) + (xy 213.473471 60.693381) + (xy 213.473466 60.693383) + (xy 213.300544 60.770374) + (xy 213.300539 60.770377) + (xy 213.147403 60.881637) + (xy 213.02074 61.022311) + (xy 212.926095 61.186241) + (xy 212.926092 61.186248) + (xy 212.867601 61.366266) + (xy 212.8676 61.36627) + (xy 212.847814 61.554526) + (xy 212.847814 61.554528) + (xy 212.847814 61.559388) + (xy 212.828129 61.626427) + (xy 212.811495 61.647069) + (xy 207.139239 67.319324) + (xy 207.127049 67.330015) + (xy 207.10199 67.349244) + (xy 207.018315 67.458293) + (xy 206.992826 67.483124) + (xy 206.924402 67.532838) + (xy 206.924397 67.532842) (xy 206.79774 67.673511) (xy 206.703095 67.837441) (xy 206.703092 67.837448) @@ -36300,85 +37162,150 @@ (xy 206.599442 68.258802) (xy 206.542145 68.298787) (xy 206.502706 68.305226) - (xy 199.845561 68.305226) - (xy 199.829376 68.304165) - (xy 199.798074 68.300044) - (xy 199.758713 68.305226) - (xy 199.641313 68.320681) - (xy 199.641311 68.320682) - (xy 199.495234 68.381189) - (xy 199.369788 68.477447) - (xy 199.350569 68.502495) - (xy 199.339874 68.51469) - (xy 196.860112 70.994451) - (xy 196.798789 71.027936) - (xy 196.729097 71.022952) - (xy 196.673164 70.98108) - (xy 196.660053 70.959182) - (xy 196.639309 70.914697) - (xy 196.639308 70.914695) - (xy 196.639307 70.914693) - (xy 196.503768 70.721123) - (xy 196.336676 70.554032) - (xy 196.336669 70.554027) - (xy 196.143108 70.418493) - (xy 196.143104 70.418491) - (xy 196.113115 70.404507) - (xy 195.928937 70.318623) - (xy 195.928933 70.318622) - (xy 195.928929 70.31862) - (xy 195.700687 70.257464) - (xy 195.700677 70.257462) - (xy 195.465275 70.236867) - (xy 195.465273 70.236867) - (xy 195.22987 70.257462) - (xy 195.22986 70.257464) - (xy 195.001618 70.31862) - (xy 195.001609 70.318624) - (xy 194.787445 70.41849) - (xy 194.787443 70.418491) - (xy 194.593871 70.554031) - (xy 194.426779 70.721123) - (xy 194.291239 70.914695) - (xy 194.291238 70.914697) - (xy 194.191372 71.128861) - (xy 194.191368 71.12887) - (xy 194.130212 71.357112) - (xy 194.13021 71.357122) - (xy 194.109615 71.592525) - (xy 194.109615 71.592526) - (xy 194.13021 71.827929) - (xy 194.130212 71.827939) - (xy 194.191368 72.056181) - (xy 194.19137 72.056185) - (xy 194.191371 72.056189) - (xy 194.260694 72.204852) - (xy 194.291239 72.270356) - (xy 194.291241 72.27036) - (xy 194.369428 72.382021) - (xy 194.422438 72.457728) - (xy 194.426775 72.463921) - (xy 194.42678 72.463928) - (xy 194.548704 72.585852) - (xy 194.582189 72.647175) - (xy 194.577205 72.716867) - (xy 194.535333 72.7728) - (xy 194.504357 72.789715) - (xy 194.372943 72.838729) - (xy 194.372938 72.838732) - (xy 194.257729 72.924978) - (xy 194.257726 72.924981) - (xy 194.17148 73.04019) - (xy 194.171476 73.040197) - (xy 194.121182 73.175043) - (xy 194.114775 73.234642) - (xy 194.114774 73.234661) - (xy 194.114774 74.122578) - (xy 194.095089 74.189617) - (xy 194.042285 74.235372) - (xy 193.973127 74.245316) - (xy 193.91789 74.222897) - (xy 193.899933 74.209851) + (xy 200.02317 68.305226) + (xy 199.956131 68.285541) + (xy 199.910376 68.232737) + (xy 199.900432 68.163579) + (xy 199.929457 68.100023) + (xy 199.935489 68.093545) + (xy 202.209429 65.819606) + (xy 204.64869 63.380345) + (xy 204.710013 63.34686) + (xy 204.736371 63.344026) + (xy 204.830918 63.344026) + (xy 204.83092 63.344026) + (xy 205.016077 63.30467) + (xy 205.189004 63.227677) + (xy 205.342145 63.116414) + (xy 205.468807 62.975742) + (xy 205.563453 62.81181) + (xy 205.621948 62.631782) + (xy 205.641734 62.443526) + (xy 205.621948 62.25527) + (xy 205.563453 62.075242) + (xy 205.468807 61.91131) + (xy 205.342145 61.770638) + (xy 205.316283 61.751848) + (xy 205.189008 61.659377) + (xy 205.189003 61.659374) + (xy 205.016081 61.582383) + (xy 205.016076 61.582381) + (xy 204.870275 61.551391) + (xy 204.83092 61.543026) + (xy 204.641628 61.543026) + (xy 204.609171 61.549924) + (xy 204.456471 61.582381) + (xy 204.456466 61.582383) + (xy 204.283544 61.659374) + (xy 204.283539 61.659377) + (xy 204.130403 61.770637) + (xy 204.00374 61.911311) + (xy 203.909095 62.075241) + (xy 203.909092 62.075248) + (xy 203.859651 62.227414) + (xy 203.8506 62.25527) + (xy 203.833742 62.415668) + (xy 203.830814 62.443528) + (xy 203.830814 62.448388) + (xy 203.811129 62.515427) + (xy 203.794495 62.536069) + (xy 197.081658 69.248907) + (xy 197.020335 69.282392) + (xy 196.993977 69.285226) + (xy 186.920532 69.285226) + (xy 186.853493 69.265541) + (xy 186.828383 69.244199) + (xy 186.800145 69.212838) + (xy 186.800138 69.212832) + (xy 186.647008 69.101577) + (xy 186.647003 69.101574) + (xy 186.474081 69.024583) + (xy 186.474076 69.024581) + (xy 186.328275 68.993591) + (xy 186.28892 68.985226) + (xy 186.099628 68.985226) + (xy 186.067171 68.992124) + (xy 185.914471 69.024581) + (xy 185.914466 69.024583) + (xy 185.741544 69.101574) + (xy 185.741539 69.101577) + (xy 185.588403 69.212837) + (xy 185.46174 69.353511) + (xy 185.367095 69.517441) + (xy 185.367092 69.517448) + (xy 185.311869 69.687408) + (xy 185.3086 69.69747) + (xy 185.288814 69.885726) + (xy 185.3086 70.073982) + (xy 185.308601 70.073985) + (xy 185.367092 70.254003) + (xy 185.367095 70.25401) + (xy 185.461741 70.417942) + (xy 185.574211 70.542852) + (xy 185.588403 70.558614) + (xy 185.741539 70.669874) + (xy 185.741544 70.669877) + (xy 185.914466 70.746868) + (xy 185.914471 70.74687) + (xy 186.099628 70.786226) + (xy 186.099629 70.786226) + (xy 186.288918 70.786226) + (xy 186.28892 70.786226) + (xy 186.474077 70.74687) + (xy 186.647004 70.669877) + (xy 186.800145 70.558614) + (xy 186.828383 70.527253) + (xy 186.887869 70.490605) + (xy 186.920532 70.486226) + (xy 197.068976 70.486226) + (xy 197.136015 70.505911) + (xy 197.18177 70.558715) + (xy 197.191714 70.627873) + (xy 197.162689 70.691429) + (xy 197.156657 70.697907) + (xy 196.852239 71.002324) + (xy 196.840049 71.013015) + (xy 196.81499 71.032244) + (xy 196.767607 71.093997) + (xy 196.718738 71.157684) + (xy 196.718735 71.157689) + (xy 196.658231 71.30376) + (xy 196.658229 71.303765) + (xy 196.637593 71.46052) + (xy 196.637592 71.460526) + (xy 196.640717 71.484266) + (xy 196.641713 71.491827) + (xy 196.642774 71.508013) + (xy 196.642774 74.354428) + (xy 196.623089 74.421467) + (xy 196.606455 74.442109) + (xy 194.756181 76.292383) + (xy 194.694858 76.325868) + (xy 194.625166 76.320884) + (xy 194.569233 76.279012) + (xy 194.544816 76.213548) + (xy 194.5445 76.204702) + (xy 194.5445 75.538287) + (xy 194.545561 75.522101) + (xy 194.549682 75.4908) + (xy 194.549682 75.490798) + (xy 194.529044 75.334039) + (xy 194.529042 75.334034) + (xy 194.512242 75.293476) + (xy 194.468536 75.187959) + (xy 194.449286 75.162872) + (xy 194.432668 75.141215) + (xy 194.378284 75.070339) + (xy 194.35309 75.005169) + (xy 194.35266 74.994853) + (xy 194.35266 74.994002) + (xy 194.350939 74.977626) + (xy 194.332874 74.805744) + (xy 194.274379 74.625716) + (xy 194.179733 74.461784) + (xy 194.053071 74.321112) + (xy 194.05307 74.321111) + (xy 193.899934 74.209851) (xy 193.899929 74.209848) (xy 193.727007 74.132857) (xy 193.727002 74.132855) @@ -36427,7 +37354,7 @@ (xy 181.371547 70.706861) (xy 181.371542 70.706855) (xy 181.207543 70.542856) - (xy 181.19446 70.533695) + (xy 181.18526 70.527253) (xy 181.017556 70.409825) (xy 181.006152 70.404507) (xy 180.953714 70.358336) @@ -36436,55 +37363,6 @@ (xy 181.006152 70.179744) (xy 181.017556 70.174427) (xy 181.207543 70.041396) - (xy 181.363213 69.885726) - (xy 185.288814 69.885726) - (xy 185.3086 70.073982) - (xy 185.308601 70.073985) - (xy 185.367092 70.254003) - (xy 185.367095 70.25401) - (xy 185.461741 70.417942) - (xy 185.494479 70.454301) - (xy 185.588403 70.558614) - (xy 185.741539 70.669874) - (xy 185.741544 70.669877) - (xy 185.914466 70.746868) - (xy 185.914471 70.74687) - (xy 186.099628 70.786226) - (xy 186.099629 70.786226) - (xy 186.288918 70.786226) - (xy 186.28892 70.786226) - (xy 186.474077 70.74687) - (xy 186.647004 70.669877) - (xy 186.800145 70.558614) - (xy 186.926807 70.417942) - (xy 187.021453 70.25401) - (xy 187.079948 70.073982) - (xy 187.099734 69.885726) - (xy 187.079948 69.69747) - (xy 187.021453 69.517442) - (xy 186.926807 69.35351) - (xy 186.800145 69.212838) - (xy 186.793364 69.207911) - (xy 186.647008 69.101577) - (xy 186.647003 69.101574) - (xy 186.474081 69.024583) - (xy 186.474076 69.024581) - (xy 186.328275 68.993591) - (xy 186.28892 68.985226) - (xy 186.099628 68.985226) - (xy 186.067171 68.992124) - (xy 185.914471 69.024581) - (xy 185.914466 69.024583) - (xy 185.741544 69.101574) - (xy 185.741539 69.101577) - (xy 185.588403 69.212837) - (xy 185.46174 69.353511) - (xy 185.367095 69.517441) - (xy 185.367092 69.517448) - (xy 185.311869 69.687408) - (xy 185.3086 69.69747) - (xy 185.288814 69.885726) - (xy 181.363213 69.885726) (xy 181.371544 69.877395) (xy 181.504575 69.687408) (xy 181.602594 69.477205) @@ -36505,7 +37383,7 @@ (xy 194.365928 68.376328) (xy 194.470436 68.36257) (xy 194.616515 68.302062) - (xy 194.654637 68.27281) + (xy 194.690766 68.245087) (xy 194.741956 68.205808) (xy 194.761184 68.180748) (xy 194.771856 68.168577) @@ -36785,111 +37663,19 @@ (xy 194.754082 53.2194) (xy 194.749961 53.188097) (xy 194.7489 53.171912) - (xy 194.7489 52.620124) - (xy 194.768585 52.553085) - (xy 194.821389 52.50733) - (xy 194.890547 52.497386) - (xy 194.947209 52.520857) - (xy 194.990261 52.553085) - (xy 195.007942 52.566321) - (xy 195.007945 52.566323) - (xy 195.142791 52.616617) - (xy 195.14279 52.616617) - (xy 195.149718 52.617361) - (xy 195.202401 52.623026) - (xy 196.998146 52.623025) - (xy 197.057757 52.616617) - (xy 197.192605 52.566322) - (xy 197.30782 52.480072) - (xy 197.39407 52.364857) - (xy 197.444365 52.230009) - (xy 197.450774 52.170399) - (xy 197.450773 50.374654) - (xy 197.444365 50.315043) - (xy 197.435913 50.292383) - (xy 197.394071 50.180197) - (xy 197.394067 50.18019) - (xy 197.307821 50.064981) - (xy 197.307818 50.064978) - (xy 197.192609 49.978732) - (xy 197.192602 49.978728) - (xy 197.061191 49.929715) - (xy 197.005257 49.887844) - (xy 196.98084 49.822379) - (xy 196.995692 49.754106) - (xy 197.016837 49.725858) - (xy 197.138769 49.603927) - (xy 197.274309 49.410356) - (xy 197.374177 49.196189) - (xy 197.435337 48.967934) - (xy 197.455933 48.732526) - (xy 197.435337 48.497118) - (xy 197.374177 48.268863) - (xy 197.274309 48.054697) - (xy 197.267821 48.04543) - (xy 197.138768 47.861123) - (xy 196.971676 47.694032) - (xy 196.971669 47.694027) - (xy 196.953407 47.68124) - (xy 196.886068 47.634088) - (xy 196.778108 47.558493) - (xy 196.778104 47.558491) - (xy 196.778102 47.55849) - (xy 196.563937 47.458623) - (xy 196.563933 47.458622) - (xy 196.563929 47.45862) - (xy 196.335687 47.397464) - (xy 196.335677 47.397462) - (xy 196.100275 47.376867) - (xy 196.100273 47.376867) - (xy 195.86487 47.397462) - (xy 195.86486 47.397464) - (xy 195.636618 47.45862) - (xy 195.636609 47.458624) - (xy 195.422445 47.55849) - (xy 195.422443 47.558491) - (xy 195.228871 47.694031) - (xy 195.061779 47.861123) - (xy 194.926239 48.054695) - (xy 194.926238 48.054697) - (xy 194.826372 48.268861) - (xy 194.826368 48.26887) - (xy 194.765212 48.497112) - (xy 194.76521 48.497122) - (xy 194.744615 48.732525) - (xy 194.744615 48.732526) - (xy 194.76521 48.967929) - (xy 194.765212 48.967939) - (xy 194.826368 49.196181) - (xy 194.82637 49.196185) - (xy 194.826371 49.196189) - (xy 194.883005 49.31764) - (xy 194.926239 49.410356) - (xy 194.926241 49.41036) - (xy 194.972129 49.475894) - (xy 195.061775 49.603922) - (xy 195.06178 49.603928) - (xy 195.183704 49.725852) - (xy 195.217189 49.787175) - (xy 195.212205 49.856867) - (xy 195.170333 49.9128) - (xy 195.139357 49.929715) - (xy 195.007943 49.978729) - (xy 195.007938 49.978732) - (xy 194.892729 50.064978) - (xy 194.892726 50.064981) - (xy 194.80648 50.18019) - (xy 194.806476 50.180197) - (xy 194.756182 50.315043) - (xy 194.750341 50.369375) - (xy 194.749775 50.374649) - (xy 194.749774 50.374661) - (xy 194.749774 51.816429) - (xy 194.730089 51.883468) - (xy 194.677285 51.929223) - (xy 194.608127 51.939167) - (xy 194.544571 51.910142) - (xy 194.538093 51.90411) + (xy 194.7489 52.411139) + (xy 194.749961 52.394953) + (xy 194.754082 52.363651) + (xy 194.754082 52.36365) + (xy 194.733444 52.206891) + (xy 194.733442 52.206886) + (xy 194.725229 52.187059) + (xy 194.672936 52.060811) + (xy 194.60085 51.966867) + (xy 194.587161 51.949027) + (xy 194.576681 51.935368) + (xy 194.551629 51.916146) + (xy 194.539434 51.905451) (xy 194.375053 51.74107) (xy 194.341568 51.679747) (xy 194.338734 51.653389) @@ -36931,7 +37717,7 @@ (xy 192.714528 52.324102) (xy 192.62122 52.485718) (xy 192.621218 52.485722) - (xy 192.576606 52.623025) + (xy 192.562727 52.66574) (xy 192.562726 52.665744) (xy 192.552575 52.76233) (xy 192.54294 52.854002) @@ -37006,21 +37792,174 @@ (xy 194.334074 46.772944) (xy 194.275579 46.592916) (xy 194.180933 46.428984) - (xy 194.054271 46.288312) - (xy 194.05427 46.288311) - (xy 193.901134 46.177051) - (xy 193.901129 46.177048) - (xy 193.728207 46.100057) - (xy 193.728202 46.100055) - (xy 193.582401 46.069065) - (xy 193.543046 46.0607) - (xy 193.353754 46.0607) - (xy 193.321297 46.067598) - (xy 193.168597 46.100055) - (xy 193.168592 46.100057) - (xy 192.99567 46.177048) - (xy 192.995665 46.177051) - (xy 192.842529 46.288311) + (xy 194.063302 46.298342) + (xy 194.054272 46.288313) + (xy 194.01808 46.262018) + (xy 193.975415 46.206688) + (xy 193.969436 46.137074) + (xy 194.002042 46.075279) + (xy 194.062881 46.040922) + (xy 194.090966 46.0377) + (xy 194.414851 46.0377) + (xy 194.48189 46.057385) + (xy 194.502532 46.074019) + (xy 203.554076 55.125563) + (xy 203.587561 55.186886) + (xy 203.589715 55.200277) + (xy 203.5966 55.265782) + (xy 203.596601 55.265785) + (xy 203.655092 55.445803) + (xy 203.655095 55.44581) + (xy 203.749741 55.609742) + (xy 203.852424 55.723783) + (xy 203.876403 55.750414) + (xy 204.029539 55.861674) + (xy 204.029544 55.861677) + (xy 204.202466 55.938668) + (xy 204.202471 55.93867) + (xy 204.387628 55.978026) + (xy 204.387629 55.978026) + (xy 204.576918 55.978026) + (xy 204.57692 55.978026) + (xy 204.762077 55.93867) + (xy 204.935004 55.861677) + (xy 205.088145 55.750414) + (xy 205.214807 55.609742) + (xy 205.309453 55.44581) + (xy 205.367948 55.265782) + (xy 205.387734 55.077526) + (xy 205.367948 54.88927) + (xy 205.309453 54.709242) + (xy 205.302111 54.696526) + (xy 211.958814 54.696526) + (xy 211.9786 54.884782) + (xy 211.978601 54.884785) + (xy 212.037092 55.064803) + (xy 212.037095 55.06481) + (xy 212.131741 55.228742) + (xy 212.237437 55.346129) + (xy 212.258403 55.369414) + (xy 212.411539 55.480674) + (xy 212.411544 55.480677) + (xy 212.584466 55.557668) + (xy 212.584471 55.55767) + (xy 212.769628 55.597026) + (xy 212.769629 55.597026) + (xy 212.958918 55.597026) + (xy 212.95892 55.597026) + (xy 213.144077 55.55767) + (xy 213.317004 55.480677) + (xy 213.470145 55.369414) + (xy 213.596807 55.228742) + (xy 213.691453 55.06481) + (xy 213.749948 54.884782) + (xy 213.769734 54.696526) + (xy 213.749948 54.50827) + (xy 213.691453 54.328242) + (xy 213.596807 54.16431) + (xy 213.470145 54.023638) + (xy 213.470144 54.023637) + (xy 213.317008 53.912377) + (xy 213.317003 53.912374) + (xy 213.144081 53.835383) + (xy 213.144076 53.835381) + (xy 212.998275 53.804391) + (xy 212.95892 53.796026) + (xy 212.769628 53.796026) + (xy 212.737171 53.802924) + (xy 212.584471 53.835381) + (xy 212.584466 53.835383) + (xy 212.411544 53.912374) + (xy 212.411539 53.912377) + (xy 212.258403 54.023637) + (xy 212.13174 54.164311) + (xy 212.037095 54.328241) + (xy 212.037092 54.328248) + (xy 211.978601 54.508266) + (xy 211.9786 54.50827) + (xy 211.958814 54.696526) + (xy 205.302111 54.696526) + (xy 205.214807 54.54531) + (xy 205.088145 54.404638) + (xy 205.088144 54.404637) + (xy 204.935008 54.293377) + (xy 204.935003 54.293374) + (xy 204.762081 54.216383) + (xy 204.762076 54.216381) + (xy 204.580034 54.177688) + (xy 204.57692 54.177026) + (xy 204.387628 54.177026) + (xy 204.387627 54.177026) + (xy 204.384511 54.177688) + (xy 204.382665 54.177547) + (xy 204.381162 54.177705) + (xy 204.381133 54.177429) + (xy 204.314844 54.172365) + (xy 204.271059 54.144076) + (xy 195.173147 45.046164) + (xy 195.162452 45.033969) + (xy 195.143231 45.008919) + (xy 195.138717 45.005455) + (xy 195.017789 44.912664) + (xy 194.99925 44.904985) + (xy 194.87171 44.852156) + (xy 194.871708 44.852155) + (xy 194.754309 44.8367) + (xy 194.714948 44.831518) + (xy 194.683645 44.835639) + (xy 194.667461 44.8367) + (xy 186.376858 44.8367) + (xy 186.309819 44.817015) + (xy 186.284709 44.795673) + (xy 186.256471 44.764312) + (xy 186.256464 44.764306) + (xy 186.103334 44.653051) + (xy 186.103329 44.653048) + (xy 185.930407 44.576057) + (xy 185.930402 44.576055) + (xy 185.784601 44.545065) + (xy 185.745246 44.5367) + (xy 185.555954 44.5367) + (xy 185.523497 44.543598) + (xy 185.370797 44.576055) + (xy 185.370792 44.576057) + (xy 185.19787 44.653048) + (xy 185.197865 44.653051) + (xy 185.044729 44.764311) + (xy 184.918066 44.904985) + (xy 184.823421 45.068915) + (xy 184.823418 45.068922) + (xy 184.764927 45.24894) + (xy 184.764926 45.248944) + (xy 184.74514 45.4372) + (xy 184.764926 45.625456) + (xy 184.764927 45.625459) + (xy 184.823418 45.805477) + (xy 184.823421 45.805484) + (xy 184.918067 45.969416) + (xy 185.035696 46.100056) + (xy 185.044729 46.110088) + (xy 185.197865 46.221348) + (xy 185.19787 46.221351) + (xy 185.370792 46.298342) + (xy 185.370797 46.298344) + (xy 185.555954 46.3377) + (xy 185.555955 46.3377) + (xy 185.745244 46.3377) + (xy 185.745246 46.3377) + (xy 185.930403 46.298344) + (xy 186.10333 46.221351) + (xy 186.256471 46.110088) + (xy 186.284709 46.078727) + (xy 186.344195 46.042079) + (xy 186.376858 46.0377) + (xy 192.805834 46.0377) + (xy 192.872873 46.057385) + (xy 192.918628 46.110189) + (xy 192.928572 46.179347) + (xy 192.899547 46.242903) + (xy 192.87872 46.262018) + (xy 192.842527 46.288313) (xy 192.715866 46.428985) (xy 192.621221 46.592915) (xy 192.621218 46.592922) @@ -37053,7 +37992,7 @@ (xy 181.371547 50.031261) (xy 181.371542 50.031255) (xy 181.207543 49.867256) - (xy 181.143452 49.822379) + (xy 181.174584 49.844178) (xy 181.017556 49.734225) (xy 181.006152 49.728907) (xy 180.953714 49.682736) @@ -37092,55 +38031,6 @@ (xy 181.662623 46.037576) (xy 181.682837 45.806526) (xy 181.662623 45.575476) - (xy 181.625572 45.4372) - (xy 184.74514 45.4372) - (xy 184.764926 45.625456) - (xy 184.764927 45.625459) - (xy 184.823418 45.805477) - (xy 184.823421 45.805484) - (xy 184.918067 45.969416) - (xy 185.035695 46.100055) - (xy 185.044729 46.110088) - (xy 185.197865 46.221348) - (xy 185.19787 46.221351) - (xy 185.370792 46.298342) - (xy 185.370797 46.298344) - (xy 185.555954 46.3377) - (xy 185.555955 46.3377) - (xy 185.745244 46.3377) - (xy 185.745246 46.3377) - (xy 185.930403 46.298344) - (xy 186.10333 46.221351) - (xy 186.256471 46.110088) - (xy 186.383133 45.969416) - (xy 186.477779 45.805484) - (xy 186.536274 45.625456) - (xy 186.55606 45.4372) - (xy 186.536274 45.248944) - (xy 186.477779 45.068916) - (xy 186.383133 44.904984) - (xy 186.256471 44.764312) - (xy 186.25647 44.764311) - (xy 186.103334 44.653051) - (xy 186.103329 44.653048) - (xy 185.930407 44.576057) - (xy 185.930402 44.576055) - (xy 185.784601 44.545065) - (xy 185.745246 44.5367) - (xy 185.555954 44.5367) - (xy 185.523497 44.543598) - (xy 185.370797 44.576055) - (xy 185.370792 44.576057) - (xy 185.19787 44.653048) - (xy 185.197865 44.653051) - (xy 185.044729 44.764311) - (xy 184.918066 44.904985) - (xy 184.823421 45.068915) - (xy 184.823418 45.068922) - (xy 184.764927 45.24894) - (xy 184.764926 45.248944) - (xy 184.74514 45.4372) - (xy 181.625572 45.4372) (xy 181.602594 45.351447) (xy 181.504575 45.141245) (xy 181.504573 45.141242) @@ -37148,7 +38038,7 @@ (xy 181.371547 44.951261) (xy 181.371542 44.951255) (xy 181.207543 44.787256) - (xy 181.174774 44.764311) + (xy 181.174767 44.764306) (xy 181.017556 44.654225) (xy 181.006152 44.648907) (xy 180.953714 44.602736) @@ -37699,7 +38589,7 @@ (xy 67.653656 75.345911) (xy 67.559011 75.509841) (xy 67.559008 75.509848) - (xy 67.500517 75.689866) + (xy 67.503311 75.681268) (xy 67.500516 75.68987) (xy 67.48073 75.878126) (xy 65.696674 75.878126) @@ -37806,7 +38696,7 @@ (xy 85.956609 71.304242) (xy 85.861963 71.14031) (xy 85.735301 70.999638) - (xy 85.728162 70.994451) + (xy 85.708049 70.979838) (xy 85.582164 70.888377) (xy 85.582159 70.888374) (xy 85.409237 70.811383) @@ -37845,7 +38735,7 @@ (xy 78.729256 71.747911) (xy 78.634611 71.911841) (xy 78.634608 71.911848) - (xy 78.579021 72.082928) + (xy 78.576117 72.091866) (xy 78.576116 72.09187) (xy 78.55633 72.280126) (xy 65.696674 72.280126) @@ -37894,7 +38784,7 @@ (xy 67.653656 70.392911) (xy 67.559011 70.556841) (xy 67.559008 70.556848) - (xy 67.505632 70.721125) + (xy 67.500517 70.736866) (xy 67.500516 70.73687) (xy 67.48073 70.925126) (xy 65.696674 70.925126) @@ -37905,7 +38795,7 @@ (xy 78.634608 70.108403) (xy 78.634611 70.10841) (xy 78.729257 70.272342) - (xy 78.806687 70.358336) + (xy 78.772781 70.32068) (xy 78.855919 70.413014) (xy 79.009055 70.524274) (xy 79.00906 70.524277) @@ -37954,7 +38844,7 @@ (xy 78.634608 67.568403) (xy 78.634611 67.56841) (xy 78.729257 67.732342) - (xy 78.745778 67.75069) + (xy 78.823889 67.837441) (xy 78.855919 67.873014) (xy 79.009055 67.984274) (xy 79.00906 67.984277) @@ -38395,7 +39285,7 @@ (xy 67.572852 55.346129) (xy 67.572855 55.346136) (xy 67.667501 55.510068) - (xy 67.668743 55.511447) + (xy 67.757248 55.609742) (xy 67.794163 55.65074) (xy 67.947299 55.762) (xy 67.947304 55.762003) @@ -38416,7 +39306,7 @@ (xy 69.227213 54.609568) (xy 69.132567 54.445636) (xy 69.005905 54.304964) - (xy 69.005904 54.304963) + (xy 68.989957 54.293377) (xy 68.852768 54.193703) (xy 68.852763 54.1937) (xy 68.679841 54.116709) @@ -38515,6 +39405,7 @@ (xy 84.302248 51.822403) (xy 84.302251 51.82241) (xy 84.396897 51.986342) + (xy 84.463953 52.060815) (xy 84.523559 52.127014) (xy 84.676695 52.238274) (xy 84.6767 52.238277) @@ -38689,7 +39580,7 @@ (xy 168.118353 47.898002) (xy 168.118354 47.898005) (xy 168.216373 48.108208) - (xy 168.32887 48.26887) + (xy 168.284742 48.205848) (xy 168.349404 48.298195) (xy 168.504708 48.453499) (xy 168.538193 48.514822) @@ -38773,8 +39664,6 @@ (xy 173.158994 47.898005) (xy 173.219023 47.673976) (xy 173.239237 47.442926) - (xy 173.235259 47.397462) - (xy 173.229312 47.329484) (xy 173.219023 47.211876) (xy 173.158994 46.987847) (xy 173.060975 46.777645) @@ -39387,18 +40276,18 @@ (filled_polygon (layer "In2.Cu") (pts - (xy 214.511274 91.345024) - (xy 214.403589 91.295846) - (xy 214.297037 91.280526) - (xy 214.225511 91.280526) - (xy 214.118959 91.295846) - (xy 214.011274 91.345024) - (xy 214.011274 89.676027) - (xy 214.118959 89.725206) - (xy 214.225511 89.740526) - (xy 214.297037 89.740526) - (xy 214.403589 89.725206) - (xy 214.511274 89.676027) + (xy 179.003781 141.989682) + (xy 178.963274 142.127637) + (xy 178.963274 142.271415) + (xy 179.003781 142.40937) + (xy 179.029588 142.449526) + (xy 177.35696 142.449526) + (xy 177.382767 142.40937) + (xy 177.423274 142.271415) + (xy 177.423274 142.127637) + (xy 177.382767 141.989682) + (xy 177.35696 141.949526) + (xy 179.029588 141.949526) ) ) (filled_polygon @@ -39496,6 +40385,54 @@ (xy 158.139629 33.236026) (xy 158.328918 33.236026) (xy 158.32892 33.236026) + (xy 158.340682 33.233526) + (xy 212.547814 33.233526) + (xy 212.5676 33.421782) + (xy 212.567601 33.421785) + (xy 212.626092 33.601803) + (xy 212.626095 33.60181) + (xy 212.720741 33.765742) + (xy 212.847403 33.906414) + (xy 213.000539 34.017674) + (xy 213.000544 34.017677) + (xy 213.173466 34.094668) + (xy 213.173471 34.09467) + (xy 213.358628 34.134026) + (xy 213.358629 34.134026) + (xy 213.547918 34.134026) + (xy 213.54792 34.134026) + (xy 213.733077 34.09467) + (xy 213.906004 34.017677) + (xy 214.059145 33.906414) + (xy 214.185807 33.765742) + (xy 214.280453 33.60181) + (xy 214.338948 33.421782) + (xy 214.358734 33.233526) + (xy 214.338948 33.04527) + (xy 214.280453 32.865242) + (xy 214.185807 32.70131) + (xy 214.059145 32.560638) + (xy 214.059144 32.560637) + (xy 213.906008 32.449377) + (xy 213.906003 32.449374) + (xy 213.733081 32.372383) + (xy 213.733076 32.372381) + (xy 213.587275 32.341391) + (xy 213.54792 32.333026) + (xy 213.358628 32.333026) + (xy 213.326171 32.339924) + (xy 213.173471 32.372381) + (xy 213.173466 32.372383) + (xy 213.000544 32.449374) + (xy 213.000539 32.449377) + (xy 212.847403 32.560637) + (xy 212.72074 32.701311) + (xy 212.626095 32.865241) + (xy 212.626092 32.865248) + (xy 212.579575 33.008414) + (xy 212.5676 33.04527) + (xy 212.547814 33.233526) + (xy 158.340682 33.233526) (xy 158.514077 33.19667) (xy 158.687004 33.119677) (xy 158.840145 33.008414) @@ -39535,6 +40472,106 @@ (xy 65.753894 159.847773) (xy 65.708008 159.795083) (xy 65.696674 159.743291) + (xy 65.696674 158.845396) + (xy 175.572774 158.845396) + (xy 175.572775 158.845402) + (xy 175.579182 158.905009) + (xy 175.629476 159.039854) + (xy 175.62948 159.039861) + (xy 175.715726 159.15507) + (xy 175.715729 159.155073) + (xy 175.830938 159.241319) + (xy 175.830945 159.241323) + (xy 175.965791 159.291617) + (xy 175.96579 159.291617) + (xy 175.972718 159.292361) + (xy 176.025401 159.298026) + (xy 177.821146 159.298025) + (xy 177.880757 159.291617) + (xy 178.015605 159.241322) + (xy 178.13082 159.155072) + (xy 178.21707 159.039857) + (xy 178.266084 158.908442) + (xy 178.307955 158.85251) + (xy 178.373419 158.828092) + (xy 178.441692 158.842943) + (xy 178.469947 158.864095) + (xy 178.591873 158.986021) + (xy 178.688658 159.053791) + (xy 178.785439 159.121558) + (xy 178.785441 159.121559) + (xy 178.785444 159.121561) + (xy 178.999611 159.221429) + (xy 179.227866 159.282589) + (xy 179.404308 159.298026) + (xy 179.463273 159.303185) + (xy 179.463274 159.303185) + (xy 179.463275 159.303185) + (xy 179.52224 159.298026) + (xy 179.698682 159.282589) + (xy 179.926937 159.221429) + (xy 180.141104 159.121561) + (xy 180.334675 158.986021) + (xy 180.501769 158.818927) + (xy 180.637309 158.625356) + (xy 180.737177 158.411189) + (xy 180.798337 158.182934) + (xy 180.818933 157.947526) + (xy 180.798337 157.712118) + (xy 180.737177 157.483863) + (xy 180.637309 157.269697) + (xy 180.501769 157.076125) + (xy 180.501768 157.076123) + (xy 180.334676 156.909032) + (xy 180.334669 156.909027) + (xy 180.141108 156.773493) + (xy 180.141104 156.773491) + (xy 180.141102 156.77349) + (xy 179.926937 156.673623) + (xy 179.926933 156.673622) + (xy 179.926929 156.67362) + (xy 179.698687 156.612464) + (xy 179.698677 156.612462) + (xy 179.463275 156.591867) + (xy 179.463273 156.591867) + (xy 179.22787 156.612462) + (xy 179.22786 156.612464) + (xy 178.999618 156.67362) + (xy 178.999609 156.673624) + (xy 178.785445 156.77349) + (xy 178.785443 156.773491) + (xy 178.591874 156.909029) + (xy 178.469947 157.030956) + (xy 178.408624 157.06444) + (xy 178.338932 157.059456) + (xy 178.282999 157.017584) + (xy 178.266084 156.986607) + (xy 178.217071 156.855197) + (xy 178.217067 156.85519) + (xy 178.130821 156.739981) + (xy 178.130818 156.739978) + (xy 178.015609 156.653732) + (xy 178.015602 156.653728) + (xy 177.880756 156.603434) + (xy 177.880757 156.603434) + (xy 177.821157 156.597027) + (xy 177.821155 156.597026) + (xy 177.821147 156.597026) + (xy 177.821138 156.597026) + (xy 176.025403 156.597026) + (xy 176.025397 156.597027) + (xy 175.96579 156.603434) + (xy 175.830945 156.653728) + (xy 175.830938 156.653732) + (xy 175.715729 156.739978) + (xy 175.715726 156.739981) + (xy 175.62948 156.85519) + (xy 175.629476 156.855197) + (xy 175.579182 156.990043) + (xy 175.572775 157.049642) + (xy 175.572774 157.049661) + (xy 175.572774 158.845396) + (xy 65.696674 158.845396) (xy 65.696674 154.340726) (xy 69.617214 154.340726) (xy 69.637 154.528982) @@ -40137,7 +41174,7 @@ (xy 114.958292 142.821803) (xy 114.958295 142.82181) (xy 115.052941 142.985742) - (xy 115.12928 143.070525) + (xy 115.153452 143.09737) (xy 115.179603 143.126414) (xy 115.332739 143.237674) (xy 115.332744 143.237677) @@ -40158,7 +41195,7 @@ (xy 116.612653 142.085242) (xy 116.518007 141.92131) (xy 116.391345 141.780638) - (xy 116.391344 141.780637) + (xy 116.382999 141.774574) (xy 116.238208 141.669377) (xy 116.238203 141.669374) (xy 116.065281 141.592383) @@ -40318,7 +41355,7 @@ (xy 132.37574 141.794311) (xy 132.281095 141.958241) (xy 132.281092 141.958248) - (xy 132.222601 142.138266) + (xy 132.226055 142.127637) (xy 132.2226 142.13827) (xy 132.202814 142.326526) (xy 132.2226 142.514782) @@ -40588,7 +41625,7 @@ (xy 150.166623 143.827576) (xy 150.186837 143.596526) (xy 150.186733 143.595342) - (xy 150.179605 143.513867) + (xy 150.176026 143.472955) (xy 150.166623 143.365476) (xy 150.106594 143.141447) (xy 150.008575 142.931245) @@ -40618,6 +41655,140 @@ (xy 162.66692 143.227026) (xy 162.852077 143.18767) (xy 163.025004 143.110677) + (xy 163.04332 143.09737) + (xy 175.573274 143.09737) + (xy 175.579675 143.156898) + (xy 175.579677 143.156905) + (xy 175.629919 143.291612) + (xy 175.629923 143.291619) + (xy 175.716083 143.406713) + (xy 175.716086 143.406716) + (xy 175.83118 143.492876) + (xy 175.831187 143.49288) + (xy 175.965894 143.543122) + (xy 175.965901 143.543124) + (xy 176.025429 143.549525) + (xy 176.025446 143.549526) + (xy 176.673274 143.549526) + (xy 176.673274 142.635027) + (xy 176.780959 142.684206) + (xy 176.887511 142.699526) + (xy 176.959037 142.699526) + (xy 177.065589 142.684206) + (xy 177.173274 142.635027) + (xy 177.173274 143.549526) + (xy 177.821102 143.549526) + (xy 177.821118 143.549525) + (xy 177.880646 143.543124) + (xy 177.880653 143.543122) + (xy 178.01536 143.49288) + (xy 178.015367 143.492876) + (xy 178.130461 143.406716) + (xy 178.130464 143.406713) + (xy 178.216624 143.291619) + (xy 178.216628 143.291612) + (xy 178.265888 143.159539) + (xy 178.307759 143.103605) + (xy 178.373223 143.079188) + (xy 178.441496 143.094039) + (xy 178.469751 143.115191) + (xy 178.592191 143.237631) + (xy 178.785695 143.373126) + (xy 178.999781 143.472955) + (xy 178.99979 143.472959) + (xy 179.213274 143.53016) + (xy 179.213274 142.635027) + (xy 179.320959 142.684206) + (xy 179.427511 142.699526) + (xy 179.499037 142.699526) + (xy 179.605589 142.684206) + (xy 179.713274 142.635027) + (xy 179.713274 143.530159) + (xy 179.926757 143.472959) + (xy 179.926766 143.472955) + (xy 180.140852 143.373126) + (xy 180.334356 143.237631) + (xy 180.501379 143.070608) + (xy 180.636874 142.877104) + (xy 180.736703 142.663018) + (xy 180.736706 142.663012) + (xy 180.79391 142.449526) + (xy 179.89696 142.449526) + (xy 179.922767 142.40937) + (xy 179.963274 142.271415) + (xy 179.963274 142.127637) + (xy 179.922767 141.989682) + (xy 179.89696 141.949526) + (xy 180.79391 141.949526) + (xy 180.793909 141.949525) + (xy 180.736706 141.736039) + (xy 180.736703 141.736033) + (xy 180.636874 141.521948) + (xy 180.636873 141.521946) + (xy 180.501387 141.328452) + (xy 180.501382 141.328446) + (xy 180.334356 141.16142) + (xy 180.140852 141.025925) + (xy 179.926766 140.926096) + (xy 179.92676 140.926093) + (xy 179.713274 140.86889) + (xy 179.713274 141.764024) + (xy 179.605589 141.714846) + (xy 179.499037 141.699526) + (xy 179.427511 141.699526) + (xy 179.320959 141.714846) + (xy 179.213274 141.764024) + (xy 179.213274 140.86889) + (xy 179.213273 140.86889) + (xy 178.999787 140.926093) + (xy 178.999781 140.926096) + (xy 178.785696 141.025925) + (xy 178.785694 141.025926) + (xy 178.5922 141.161412) + (xy 178.469751 141.283861) + (xy 178.408428 141.317345) + (xy 178.338736 141.312361) + (xy 178.282803 141.270489) + (xy 178.265888 141.239512) + (xy 178.216628 141.107439) + (xy 178.216624 141.107432) + (xy 178.130464 140.992338) + (xy 178.130461 140.992335) + (xy 178.015367 140.906175) + (xy 178.01536 140.906171) + (xy 177.880653 140.855929) + (xy 177.880646 140.855927) + (xy 177.821118 140.849526) + (xy 177.173274 140.849526) + (xy 177.173274 141.764024) + (xy 177.065589 141.714846) + (xy 176.959037 141.699526) + (xy 176.887511 141.699526) + (xy 176.780959 141.714846) + (xy 176.673274 141.764024) + (xy 176.673274 140.849526) + (xy 176.025429 140.849526) + (xy 175.965901 140.855927) + (xy 175.965894 140.855929) + (xy 175.831187 140.906171) + (xy 175.83118 140.906175) + (xy 175.716086 140.992335) + (xy 175.716083 140.992338) + (xy 175.629923 141.107432) + (xy 175.629919 141.107439) + (xy 175.579677 141.242146) + (xy 175.579675 141.242153) + (xy 175.573274 141.301681) + (xy 175.573274 141.949526) + (xy 176.489588 141.949526) + (xy 176.463781 141.989682) + (xy 176.423274 142.127637) + (xy 176.423274 142.271415) + (xy 176.463781 142.40937) + (xy 176.489588 142.449526) + (xy 175.573274 142.449526) + (xy 175.573274 143.09737) + (xy 163.04332 143.09737) (xy 163.178145 142.999414) (xy 163.304807 142.858742) (xy 163.399453 142.69481) @@ -40644,7 +41815,7 @@ (xy 161.83974 141.794311) (xy 161.745095 141.958241) (xy 161.745092 141.958248) - (xy 161.686601 142.138266) + (xy 161.690055 142.127637) (xy 161.6866 142.13827) (xy 161.666814 142.326526) (xy 150.026499 142.326526) @@ -40793,155 +41964,6 @@ (xy 144.849127 135.457686) (xy 144.785571 135.428661) (xy 144.779093 135.422629) - (xy 137.04039 127.683926) - (xy 191.523663 127.683926) - (xy 191.543449 127.872182) - (xy 191.54345 127.872185) - (xy 191.601941 128.052203) - (xy 191.601944 128.05221) - (xy 191.69659 128.216142) - (xy 191.800382 128.331414) - (xy 191.823252 128.356814) - (xy 191.976388 128.468074) - (xy 191.976393 128.468077) - (xy 192.149315 128.545068) - (xy 192.14932 128.54507) - (xy 192.334477 128.584426) - (xy 192.334478 128.584426) - (xy 192.523767 128.584426) - (xy 192.523769 128.584426) - (xy 192.708926 128.54507) - (xy 192.881853 128.468077) - (xy 193.034994 128.356814) - (xy 193.161656 128.216142) - (xy 193.256302 128.05221) - (xy 193.314797 127.872182) - (xy 193.334583 127.683926) - (xy 193.314797 127.49567) - (xy 193.256302 127.315642) - (xy 193.161656 127.15171) - (xy 193.034994 127.011038) - (xy 193.028561 127.006364) - (xy 192.881857 126.899777) - (xy 192.881852 126.899774) - (xy 192.70893 126.822783) - (xy 192.708925 126.822781) - (xy 192.563124 126.791791) - (xy 192.523769 126.783426) - (xy 192.334477 126.783426) - (xy 192.30202 126.790324) - (xy 192.14932 126.822781) - (xy 192.149315 126.822783) - (xy 191.976393 126.899774) - (xy 191.976388 126.899777) - (xy 191.823252 127.011037) - (xy 191.696589 127.151711) - (xy 191.601944 127.315641) - (xy 191.601941 127.315648) - (xy 191.551703 127.470266) - (xy 191.543449 127.49567) - (xy 191.523663 127.683926) - (xy 137.04039 127.683926) - (xy 134.50039 125.143926) - (xy 191.523663 125.143926) - (xy 191.543449 125.332182) - (xy 191.54345 125.332185) - (xy 191.601941 125.512203) - (xy 191.601944 125.51221) - (xy 191.69659 125.676142) - (xy 191.800382 125.791414) - (xy 191.823252 125.816814) - (xy 191.976388 125.928074) - (xy 191.976393 125.928077) - (xy 192.149315 126.005068) - (xy 192.14932 126.00507) - (xy 192.334477 126.044426) - (xy 192.334478 126.044426) - (xy 192.523767 126.044426) - (xy 192.523769 126.044426) - (xy 192.708926 126.00507) - (xy 192.881853 125.928077) - (xy 193.034994 125.816814) - (xy 193.161656 125.676142) - (xy 193.256302 125.51221) - (xy 193.314797 125.332182) - (xy 193.334583 125.143926) - (xy 193.314797 124.95567) - (xy 193.256302 124.775642) - (xy 193.161656 124.61171) - (xy 193.034994 124.471038) - (xy 193.034993 124.471037) - (xy 192.881857 124.359777) - (xy 192.881852 124.359774) - (xy 192.70893 124.282783) - (xy 192.708925 124.282781) - (xy 192.585632 124.256575) - (xy 192.551007 124.249215) - (xy 192.489526 124.216024) - (xy 192.45575 124.154861) - (xy 192.460402 124.085146) - (xy 192.502006 124.029014) - (xy 192.551007 124.006636) - (xy 192.708926 123.97307) - (xy 192.881853 123.896077) - (xy 193.034994 123.784814) - (xy 193.161656 123.644142) - (xy 193.256302 123.48021) - (xy 193.314797 123.300182) - (xy 193.334583 123.111926) - (xy 193.314797 122.92367) - (xy 193.256302 122.743642) - (xy 193.161656 122.57971) - (xy 193.034994 122.439038) - (xy 192.994578 122.409674) - (xy 192.881857 122.327777) - (xy 192.881852 122.327774) - (xy 192.70893 122.250783) - (xy 192.708925 122.250781) - (xy 192.563124 122.219791) - (xy 192.523769 122.211426) - (xy 192.334477 122.211426) - (xy 192.30202 122.218324) - (xy 192.14932 122.250781) - (xy 192.149315 122.250783) - (xy 191.976393 122.327774) - (xy 191.976388 122.327777) - (xy 191.823252 122.439037) - (xy 191.696589 122.579711) - (xy 191.601944 122.743641) - (xy 191.601941 122.743648) - (xy 191.543542 122.923383) - (xy 191.543449 122.92367) - (xy 191.523663 123.111926) - (xy 191.543449 123.300182) - (xy 191.54345 123.300185) - (xy 191.601941 123.480203) - (xy 191.601944 123.48021) - (xy 191.69659 123.644142) - (xy 191.779716 123.736462) - (xy 191.823252 123.784814) - (xy 191.976388 123.896074) - (xy 191.976393 123.896077) - (xy 192.149315 123.973068) - (xy 192.14932 123.97307) - (xy 192.307237 124.006636) - (xy 192.368719 124.039828) - (xy 192.402495 124.100991) - (xy 192.397843 124.170706) - (xy 192.356238 124.226838) - (xy 192.307237 124.249216) - (xy 192.14932 124.282781) - (xy 192.149315 124.282783) - (xy 191.976393 124.359774) - (xy 191.976388 124.359777) - (xy 191.823252 124.471037) - (xy 191.696589 124.611711) - (xy 191.601944 124.775641) - (xy 191.601941 124.775648) - (xy 191.556176 124.9165) - (xy 191.543449 124.95567) - (xy 191.523663 125.143926) - (xy 134.50039 125.143926) (xy 133.370854 124.01439) (xy 160.116424 124.01439) (xy 160.145695 124.205466) @@ -40964,6 +41986,9 @@ (xy 161.763068 124.62424) (xy 161.881396 124.471373) (xy 161.96653 124.297816) + (xy 162.004077 124.152803) + (xy 162.014983 124.110682) + (xy 162.014984 124.110676) (xy 162.014985 124.110674) (xy 162.024776 123.91761) (xy 161.995503 123.726526) @@ -40993,55 +42018,6 @@ (xy 160.126215 123.821323) (xy 160.116424 124.01439) (xy 133.370854 124.01439) - (xy 129.92839 120.571926) - (xy 191.523663 120.571926) - (xy 191.543449 120.760182) - (xy 191.54345 120.760185) - (xy 191.601941 120.940203) - (xy 191.601944 120.94021) - (xy 191.69659 121.104142) - (xy 191.797909 121.216668) - (xy 191.823252 121.244814) - (xy 191.976388 121.356074) - (xy 191.976393 121.356077) - (xy 192.149315 121.433068) - (xy 192.14932 121.43307) - (xy 192.334477 121.472426) - (xy 192.334478 121.472426) - (xy 192.523767 121.472426) - (xy 192.523769 121.472426) - (xy 192.708926 121.43307) - (xy 192.881853 121.356077) - (xy 193.034994 121.244814) - (xy 193.161656 121.104142) - (xy 193.256302 120.94021) - (xy 193.314797 120.760182) - (xy 193.334583 120.571926) - (xy 193.314797 120.38367) - (xy 193.256302 120.203642) - (xy 193.161656 120.03971) - (xy 193.034994 119.899038) - (xy 193.034993 119.899037) - (xy 192.881857 119.787777) - (xy 192.881852 119.787774) - (xy 192.70893 119.710783) - (xy 192.708925 119.710781) - (xy 192.563124 119.679791) - (xy 192.523769 119.671426) - (xy 192.334477 119.671426) - (xy 192.30202 119.678324) - (xy 192.14932 119.710781) - (xy 192.149315 119.710783) - (xy 191.976393 119.787774) - (xy 191.976388 119.787777) - (xy 191.823252 119.899037) - (xy 191.696589 120.039711) - (xy 191.601944 120.203641) - (xy 191.601941 120.203648) - (xy 191.54345 120.383666) - (xy 191.543449 120.38367) - (xy 191.523663 120.571926) - (xy 129.92839 120.571926) (xy 123.001053 113.644589) (xy 122.967568 113.583266) (xy 122.964734 113.556908) @@ -41069,18 +42045,19 @@ (xy 124.267453 112.200242) (xy 124.172807 112.03631) (xy 124.046145 111.895638) - (xy 124.046144 111.895637) + (xy 124.038238 111.889893) (xy 123.893008 111.784377) (xy 123.893006 111.784376) (xy 123.806405 111.745818) (xy 123.753169 111.700567) (xy 123.732848 111.633718) (xy 123.733521 111.619577) - (xy 123.733897 111.616) + (xy 123.733819 111.616741) (xy 123.735734 111.598526) (xy 123.715948 111.41027) (xy 123.657453 111.230242) (xy 123.562807 111.06631) + (xy 123.558251 111.06125) (xy 123.551462 111.05371) (xy 123.521232 110.990718) (xy 123.529858 110.921383) @@ -41088,148 +42065,375 @@ (xy 123.55317 110.885868) (xy 123.602807 110.830742) (xy 123.697453 110.66681) - (xy 123.755948 110.486782) - (xy 123.775734 110.298526) - (xy 123.774554 110.287302) - (xy 151.95254 110.287302) - (xy 151.972326 110.475558) - (xy 151.972327 110.475561) - (xy 152.030818 110.655579) - (xy 152.030821 110.655586) - (xy 152.125467 110.819518) - (xy 152.237679 110.944142) - (xy 152.252129 110.96019) - (xy 152.405265 111.07145) - (xy 152.40527 111.071453) - (xy 152.578192 111.148444) - (xy 152.578197 111.148446) - (xy 152.763354 111.187802) - (xy 152.763355 111.187802) - (xy 152.952644 111.187802) - (xy 152.952646 111.187802) - (xy 153.137803 111.148446) - (xy 153.185188 111.127348) - (xy 153.254434 111.118063) - (xy 153.317711 111.147691) - (xy 153.354926 111.206825) - (xy 153.358943 111.227668) - (xy 153.361091 111.248108) - (xy 153.348521 111.316838) - (xy 153.300788 111.367861) - (xy 153.263551 111.382358) - (xy 153.086197 111.420055) - (xy 153.086192 111.420057) - (xy 152.91327 111.497048) - (xy 152.913265 111.497051) - (xy 152.760129 111.608311) - (xy 152.633466 111.748985) - (xy 152.538821 111.912915) - (xy 152.538818 111.912922) - (xy 152.481028 112.090782) - (xy 152.480326 112.092944) - (xy 152.46054 112.2812) - (xy 152.480326 112.469456) - (xy 152.480327 112.469459) - (xy 152.538818 112.649477) - (xy 152.538821 112.649484) - (xy 152.633467 112.813416) - (xy 152.744572 112.93681) - (xy 152.760129 112.954088) - (xy 152.913265 113.065348) - (xy 152.91327 113.065351) - (xy 153.086192 113.142342) - (xy 153.086197 113.142344) - (xy 153.271354 113.1817) - (xy 153.271355 113.1817) - (xy 153.467145 113.1817) - (xy 153.467145 113.18382) - (xy 153.526131 113.194592) - (xy 153.577167 113.242311) - (xy 153.594302 113.310047) - (xy 153.572097 113.376294) - (xy 153.543277 113.405676) - (xy 153.395127 113.513313) - (xy 153.268466 113.653985) - (xy 153.173821 113.817915) - (xy 153.173818 113.817922) - (xy 153.115327 113.99794) - (xy 153.115326 113.997944) - (xy 153.09554 114.1862) - (xy 153.115326 114.374456) - (xy 153.115327 114.374459) - (xy 153.173818 114.554477) - (xy 153.173821 114.554484) - (xy 153.268467 114.718416) - (xy 153.371879 114.833266) - (xy 153.395129 114.859088) - (xy 153.548265 114.970348) - (xy 153.54827 114.970351) - (xy 153.721192 115.047342) - (xy 153.721193 115.047342) - (xy 153.721197 115.047344) - (xy 153.842635 115.073156) - (xy 153.904117 115.106348) - (xy 153.937894 115.167511) - (xy 153.934786 115.232763) - (xy 153.903927 115.327739) - (xy 153.903926 115.327741) - (xy 153.903926 115.327744) - (xy 153.88414 115.516) - (xy 153.903926 115.704256) - (xy 153.903927 115.704259) - (xy 153.962418 115.884277) - (xy 153.962421 115.884284) - (xy 154.057066 116.048215) - (xy 154.178451 116.183028) - (xy 154.208681 116.24602) - (xy 154.200055 116.315355) - (xy 154.178451 116.348972) - (xy 154.057066 116.483784) - (xy 153.962421 116.647715) - (xy 153.962418 116.647722) - (xy 153.90461 116.825638) - (xy 153.903926 116.827744) - (xy 153.88414 117.016) - (xy 153.903926 117.204256) - (xy 153.903927 117.204259) - (xy 153.962418 117.384277) - (xy 153.962421 117.384284) - (xy 154.057067 117.548216) - (xy 154.160997 117.663642) - (xy 154.183729 117.688888) - (xy 154.336865 117.800148) - (xy 154.33687 117.800151) - (xy 154.509792 117.877142) - (xy 154.509797 117.877144) - (xy 154.694954 117.9165) - (xy 154.694956 117.9165) - (xy 154.699538 117.917474) - (xy 154.761019 117.950666) - (xy 154.791688 118.000446) - (xy 154.851418 118.184277) - (xy 154.851421 118.184284) - (xy 154.946067 118.348216) - (xy 155.062155 118.477144) - (xy 155.072729 118.488888) - (xy 155.225865 118.600148) - (xy 155.22587 118.600151) - (xy 155.398792 118.677142) - (xy 155.398797 118.677144) - (xy 155.583954 118.7165) - (xy 155.583955 118.7165) - (xy 155.773244 118.7165) - (xy 155.773246 118.7165) - (xy 155.958403 118.677144) - (xy 156.13133 118.600151) - (xy 156.284471 118.488888) - (xy 156.411133 118.348216) - (xy 156.467722 118.2502) + (xy 123.726788 110.576526) + (xy 148.077814 110.576526) + (xy 148.0976 110.764782) + (xy 148.097601 110.764785) + (xy 148.156092 110.944803) + (xy 148.156095 110.94481) + (xy 148.250741 111.108742) + (xy 148.360146 111.230248) + (xy 148.377403 111.249414) + (xy 148.530539 111.360674) + (xy 148.530544 111.360677) + (xy 148.703466 111.437668) + (xy 148.703471 111.43767) + (xy 148.888628 111.477026) + (xy 148.888629 111.477026) + (xy 149.077918 111.477026) + (xy 149.07792 111.477026) + (xy 149.263077 111.43767) + (xy 149.263079 111.437668) + (xy 149.263747 111.437527) + (xy 149.333414 111.442843) + (xy 149.389148 111.48498) + (xy 149.407459 111.520499) + (xy 149.428508 111.585282) + (xy 149.428511 111.585289) + (xy 149.523157 111.749221) + (xy 149.636237 111.874809) + (xy 149.649819 111.889893) + (xy 149.802955 112.001153) + (xy 149.80296 112.001156) + (xy 149.975881 112.078147) + (xy 149.975883 112.078147) + (xy 149.975887 112.078149) + (xy 150.005105 112.084359) + (xy 150.066586 112.11755) + (xy 150.097255 112.167331) + (xy 150.137331 112.290672) + (xy 150.137334 112.290679) + (xy 150.23198 112.454611) + (xy 150.326347 112.559416) + (xy 150.358642 112.595283) + (xy 150.511778 112.706543) + (xy 150.511783 112.706546) + (xy 150.684705 112.783537) + (xy 150.68471 112.783539) + (xy 150.711154 112.78916) + (xy 150.772636 112.822352) + (xy 150.803304 112.872131) + (xy 150.844207 112.998018) + (xy 150.844209 112.998022) + (xy 150.938855 113.161954) + (xy 151.044847 113.27967) + (xy 151.065517 113.302626) + (xy 151.218653 113.413886) + (xy 151.218658 113.413889) + (xy 151.39158 113.49088) + (xy 151.391585 113.490882) + (xy 151.576742 113.530238) + (xy 151.576743 113.530238) + (xy 151.766032 113.530238) + (xy 151.766034 113.530238) + (xy 151.879467 113.506127) + (xy 151.949132 113.511443) + (xy 152.004866 113.55358) + (xy 152.012633 113.565417) + (xy 152.060739 113.64874) + (xy 152.187403 113.789414) + (xy 152.340539 113.900674) + (xy 152.340544 113.900677) + (xy 152.513465 113.977668) + (xy 152.517036 113.978828) + (xy 152.518839 113.98006) + (xy 152.519408 113.980314) + (xy 152.519361 113.980417) + (xy 152.574715 114.01826) + (xy 152.601919 114.082617) + (xy 152.602046 114.083776) + (xy 152.614709 114.204256) + (xy 152.61471 114.204259) + (xy 152.673201 114.384277) + (xy 152.673204 114.384284) + (xy 152.76785 114.548216) + (xy 152.844136 114.63294) + (xy 152.894512 114.688888) + (xy 153.047651 114.80015) + (xy 153.047655 114.800152) + (xy 153.092812 114.820257) + (xy 153.14605 114.865506) + (xy 153.166372 114.932355) + (xy 153.165699 114.946497) + (xy 153.157814 115.021525) + (xy 153.157814 115.021526) + (xy 153.1776 115.209782) + (xy 153.177601 115.209785) + (xy 153.236092 115.389803) + (xy 153.236095 115.38981) + (xy 153.330741 115.553742) + (xy 153.414955 115.647271) + (xy 153.457403 115.694414) + (xy 153.610539 115.805674) + (xy 153.610544 115.805677) + (xy 153.783465 115.882668) + (xy 153.783467 115.882668) + (xy 153.783471 115.88267) + (xy 153.810249 115.888361) + (xy 153.871728 115.921552) + (xy 153.902398 115.971333) + (xy 153.943201 116.096912) + (xy 153.943204 116.096919) + (xy 154.01158 116.21535) + (xy 154.028053 116.283251) + (xy 154.0052 116.349277) + (xy 153.996344 116.360321) + (xy 153.96574 116.394311) + (xy 153.871095 116.558241) + (xy 153.871092 116.558248) + (xy 153.84538 116.637383) + (xy 153.8126 116.73827) + (xy 153.792814 116.926526) + (xy 153.8126 117.114782) + (xy 153.812601 117.114785) + (xy 153.871092 117.294803) + (xy 153.871095 117.29481) + (xy 153.965741 117.458742) + (xy 154.036485 117.537311) + (xy 154.092403 117.599414) + (xy 154.245539 117.710674) + (xy 154.245544 117.710677) + (xy 154.36923 117.765747) + (xy 154.422467 117.810998) + (xy 154.442788 117.877847) + (xy 154.423742 117.94507) + (xy 154.371376 117.991325) + (xy 154.36923 117.992305) + (xy 154.245544 118.047374) + (xy 154.245539 118.047377) + (xy 154.092403 118.158637) + (xy 153.96574 118.299311) + (xy 153.871095 118.463241) + (xy 153.871092 118.463248) + (xy 153.816993 118.62975) + (xy 153.8126 118.64327) + (xy 153.792814 118.831526) + (xy 153.8126 119.019782) + (xy 153.812601 119.019785) + (xy 153.871092 119.199803) + (xy 153.871095 119.19981) + (xy 153.965741 119.363742) + (xy 153.990525 119.391267) + (xy 154.092403 119.504414) + (xy 154.245539 119.615674) + (xy 154.245544 119.615677) + (xy 154.418466 119.692668) + (xy 154.418471 119.69267) + (xy 154.603628 119.732026) + (xy 154.603629 119.732026) + (xy 154.799419 119.732026) + (xy 154.799419 119.734146) + (xy 154.858405 119.744918) + (xy 154.909441 119.792637) + (xy 154.926576 119.860373) + (xy 154.904371 119.92662) + (xy 154.875551 119.956002) + (xy 154.727401 120.063639) + (xy 154.60074 120.204311) + (xy 154.506095 120.368241) + (xy 154.506092 120.368248) + (xy 154.449058 120.543782) + (xy 154.4476 120.54827) + (xy 154.427814 120.736526) + (xy 154.4476 120.924782) + (xy 154.447601 120.924785) + (xy 154.506092 121.104803) + (xy 154.506095 121.10481) + (xy 154.600741 121.268742) + (xy 154.664754 121.339835) + (xy 154.727403 121.409414) + (xy 154.880539 121.520674) + (xy 154.880544 121.520677) + (xy 155.053466 121.597668) + (xy 155.053471 121.59767) + (xy 155.238628 121.637026) + (xy 155.238629 121.637026) + (xy 155.427918 121.637026) + (xy 155.42792 121.637026) + (xy 155.613077 121.59767) + (xy 155.786004 121.520677) + (xy 155.939145 121.409414) + (xy 156.065807 121.268742) + (xy 156.160453 121.10481) + (xy 156.218948 120.924782) + (xy 156.238734 120.736526) + (xy 156.218948 120.54827) + (xy 156.160453 120.368242) + (xy 156.065807 120.20431) + (xy 155.939145 120.063638) + (xy 155.939144 120.063637) + (xy 155.786008 119.952377) + (xy 155.786003 119.952374) + (xy 155.613081 119.875383) + (xy 155.613076 119.875381) + (xy 155.467275 119.844391) + (xy 155.42792 119.836026) + (xy 155.238628 119.836026) + (xy 155.232129 119.836026) + (xy 155.232129 119.833913) + (xy 155.173104 119.823114) + (xy 155.122085 119.775378) + (xy 155.104972 119.707636) + (xy 155.1272 119.641396) + (xy 155.155995 119.61205) + (xy 155.304145 119.504414) + (xy 155.430807 119.363742) + (xy 155.525453 119.19981) + (xy 155.583948 119.019782) + (xy 155.603734 118.831526) + (xy 155.583948 118.64327) + (xy 155.525453 118.463242) + (xy 155.430807 118.29931) + (xy 155.304145 118.158638) + (xy 155.304144 118.158637) + (xy 155.151008 118.047377) + (xy 155.151003 118.047374) + (xy 155.027317 117.992305) + (xy 154.97408 117.947055) + (xy 154.953759 117.880206) + (xy 154.972805 117.812982) + (xy 155.025171 117.766727) + (xy 155.027317 117.765747) + (xy 155.085382 117.739894) + (xy 155.151004 117.710677) + (xy 155.304145 117.599414) + (xy 155.430807 117.458742) + (xy 155.525453 117.29481) + (xy 155.583948 117.114782) + (xy 155.603734 116.926526) + (xy 155.583948 116.73827) + (xy 155.525453 116.558242) + (xy 155.457075 116.439808) + (xy 155.440603 116.37191) + (xy 155.463456 116.305883) + (xy 155.472306 116.294845) + (xy 155.502916 116.260851) + (xy 155.597562 116.096919) + (xy 155.656057 115.916891) + (xy 155.675843 115.728635) + (xy 155.656057 115.540379) + (xy 155.597562 115.360351) + (xy 155.502916 115.196419) + (xy 155.376254 115.055747) + (xy 155.329153 115.021526) + (xy 155.223117 114.944486) + (xy 155.223112 114.944483) + (xy 155.05019 114.867492) + (xy 155.050185 114.86749) + (xy 155.023408 114.861799) + (xy 154.961926 114.828607) + (xy 154.931258 114.778827) + (xy 154.928476 114.770266) + (xy 154.902035 114.688888) + (xy 154.890455 114.653247) + (xy 154.890452 114.653241) + (xy 154.878734 114.632944) + (xy 154.795807 114.48931) + (xy 154.669145 114.348638) + (xy 154.669144 114.348637) + (xy 154.516008 114.237377) + (xy 154.516006 114.237376) + (xy 154.470841 114.217267) + (xy 154.417605 114.172016) + (xy 154.397284 114.105167) + (xy 154.397957 114.091026) + (xy 154.405843 114.016) + (xy 154.386057 113.827744) + (xy 154.327562 113.647716) + (xy 154.232916 113.483784) + (xy 154.106254 113.343112) + (xy 154.106253 113.343111) + (xy 153.953117 113.231851) + (xy 153.953112 113.231848) + (xy 153.780189 113.154857) + (xy 153.776613 113.153695) + (xy 153.774808 113.15246) + (xy 153.774249 113.152212) + (xy 153.774294 113.152109) + (xy 153.718936 113.114259) + (xy 153.691737 113.049901) + (xy 153.691625 113.048892) + (xy 153.678948 112.92827) + (xy 153.620453 112.748242) + (xy 153.525807 112.58431) + (xy 153.399145 112.443638) + (xy 153.399144 112.443637) + (xy 153.246008 112.332377) + (xy 153.246003 112.332374) + (xy 153.073081 112.255383) + (xy 153.073076 112.255381) + (xy 152.927275 112.224391) + (xy 152.88792 112.216026) + (xy 152.698628 112.216026) + (xy 152.698626 112.216026) + (xy 152.585195 112.240136) + (xy 152.515528 112.23482) + (xy 152.459795 112.192682) + (xy 152.452028 112.180846) + (xy 152.449136 112.175837) + (xy 152.403921 112.097522) + (xy 152.277259 111.95685) + (xy 152.193008 111.895638) + (xy 152.124122 111.845589) + (xy 152.124117 111.845586) + (xy 151.951194 111.768595) + (xy 151.951192 111.768594) + (xy 151.951191 111.768594) + (xy 151.931617 111.764433) + (xy 151.924743 111.762972) + (xy 151.863262 111.729778) + (xy 151.832596 111.68) + (xy 151.791694 111.554116) + (xy 151.791691 111.55411) + (xy 151.770858 111.518026) + (xy 151.697046 111.390179) + (xy 151.570384 111.249507) + (xy 151.561498 111.243051) + (xy 151.417247 111.138246) + (xy 151.417242 111.138243) + (xy 151.24432 111.061252) + (xy 151.244315 111.06125) + (xy 151.215097 111.05504) + (xy 151.153615 111.021847) + (xy 151.122947 110.972068) + (xy 151.082871 110.848726) + (xy 151.082868 110.84872) + (xy 151.079763 110.843342) + (xy 150.988223 110.684789) + (xy 150.933013 110.623472) + (xy 150.902783 110.56048) + (xy 150.911408 110.491145) + (xy 150.95615 110.43748) + (xy 151.022802 110.416522) + (xy 151.025163 110.4165) + (xy 152.875651 110.4165) + (xy 152.94269 110.436185) + (xy 152.963332 110.452819) + (xy 159.648532 117.138019) + (xy 159.682017 117.199342) + (xy 159.677033 117.269034) + (xy 159.635161 117.324967) + (xy 159.569697 117.349384) + (xy 159.560851 117.3497) + (xy 159.494354 117.3497) + (xy 159.461897 117.356598) + (xy 159.309197 117.389055) + (xy 159.309192 117.389057) + (xy 159.13627 117.466048) + (xy 159.136265 117.466051) + (xy 158.983129 117.577311) + (xy 158.856466 117.717985) + (xy 158.761821 117.881915) + (xy 158.761818 117.881922) + (xy 158.708059 118.047377) + (xy 158.703326 118.061944) (xy 158.68354 118.2502) (xy 158.703326 118.438456) (xy 158.703327 118.438459) (xy 158.761818 118.618477) (xy 158.761821 118.618484) (xy 158.856467 118.782416) + (xy 158.981397 118.921164) (xy 158.983129 118.923088) (xy 159.136265 119.034348) (xy 159.13627 119.034351) @@ -41245,179 +42449,467 @@ (xy 160.321533 118.782416) (xy 160.416179 118.618484) (xy 160.474674 118.438456) - (xy 160.49446 118.2502) - (xy 160.474674 118.061944) - (xy 160.464921 118.031926) - (xy 191.523663 118.031926) - (xy 191.543449 118.220182) - (xy 191.54345 118.220185) - (xy 191.601941 118.400203) - (xy 191.601944 118.40021) - (xy 191.69659 118.564142) - (xy 191.745514 118.618477) - (xy 191.823252 118.704814) - (xy 191.976388 118.816074) - (xy 191.976393 118.816077) - (xy 192.149315 118.893068) - (xy 192.14932 118.89307) - (xy 192.334477 118.932426) - (xy 192.334478 118.932426) - (xy 192.523767 118.932426) - (xy 192.523769 118.932426) - (xy 192.708926 118.89307) - (xy 192.881853 118.816077) - (xy 193.034994 118.704814) - (xy 193.161656 118.564142) - (xy 193.256302 118.40021) - (xy 193.314797 118.220182) - (xy 193.334583 118.031926) - (xy 193.314797 117.84367) - (xy 193.256302 117.663642) - (xy 193.161656 117.49971) - (xy 193.034994 117.359038) - (xy 193.034993 117.359037) - (xy 192.881857 117.247777) - (xy 192.881852 117.247774) - (xy 192.70893 117.170783) - (xy 192.708925 117.170781) - (xy 192.563124 117.139791) - (xy 192.523769 117.131426) - (xy 192.334477 117.131426) - (xy 192.30202 117.138324) - (xy 192.14932 117.170781) - (xy 192.149315 117.170783) - (xy 191.976393 117.247774) - (xy 191.976388 117.247777) - (xy 191.823252 117.359037) - (xy 191.696589 117.499711) - (xy 191.601944 117.663641) - (xy 191.601941 117.663648) - (xy 191.545397 117.837674) - (xy 191.543449 117.84367) - (xy 191.523663 118.031926) - (xy 160.464921 118.031926) - (xy 160.416179 117.881916) - (xy 160.321533 117.717984) - (xy 160.194871 117.577312) - (xy 160.186972 117.571573) - (xy 160.041734 117.466051) - (xy 160.041729 117.466048) - (xy 159.868807 117.389057) - (xy 159.868802 117.389055) - (xy 159.723001 117.358065) - (xy 159.683646 117.3497) - (xy 159.494354 117.3497) - (xy 159.461897 117.356598) - (xy 159.309197 117.389055) - (xy 159.309192 117.389057) - (xy 159.13627 117.466048) - (xy 159.136265 117.466051) - (xy 158.983129 117.577311) - (xy 158.856466 117.717985) - (xy 158.761821 117.881915) - (xy 158.761818 117.881922) - (xy 158.713464 118.030742) - (xy 158.703326 118.061944) - (xy 158.68354 118.2502) - (xy 156.467722 118.2502) - (xy 156.505779 118.184284) - (xy 156.564274 118.004256) - (xy 156.58406 117.816) - (xy 156.564274 117.627744) - (xy 156.505779 117.447716) - (xy 156.411133 117.283784) - (xy 156.284471 117.143112) - (xy 156.240947 117.11149) - (xy 156.198283 117.056161) - (xy 156.192304 116.986547) - (xy 156.22491 116.924752) - (xy 156.253516 116.904799) - (xy 156.252707 116.903397) - (xy 156.258324 116.900153) - (xy 156.25833 116.900151) - (xy 156.411471 116.788888) - (xy 156.538133 116.648216) - (xy 156.632779 116.484284) - (xy 156.691274 116.304256) - (xy 156.71106 116.116) - (xy 156.691274 115.927744) - (xy 156.632779 115.747716) - (xy 156.538133 115.583784) - (xy 156.416746 115.448971) - (xy 156.386517 115.385981) - (xy 156.395142 115.316646) - (xy 156.416747 115.283028) - (xy 156.538133 115.148216) - (xy 156.632779 114.984284) - (xy 156.685769 114.8212) - (xy 158.78494 114.8212) - (xy 158.804726 115.009456) - (xy 158.804727 115.009459) - (xy 158.863218 115.189477) - (xy 158.863221 115.189484) - (xy 158.957867 115.353416) - (xy 159.051976 115.457934) - (xy 159.084529 115.494088) - (xy 159.237665 115.605348) - (xy 159.23767 115.605351) - (xy 159.410592 115.682342) - (xy 159.410597 115.682344) - (xy 159.595754 115.7217) - (xy 159.595755 115.7217) - (xy 159.785044 115.7217) - (xy 159.785046 115.7217) - (xy 159.970203 115.682344) + (xy 160.492608 118.267815) + (xy 160.519192 118.203202) + (xy 160.57649 118.163217) + (xy 160.646309 118.160557) + (xy 160.70361 118.193097) + (xy 168.591073 126.08056) + (xy 168.601767 126.092754) + (xy 168.620992 126.117808) + (xy 168.708311 126.18481) + (xy 168.746433 126.214062) + (xy 168.746436 126.214063) + (xy 168.746437 126.214064) + (xy 168.790485 126.232309) + (xy 168.892512 126.27457) + (xy 168.970893 126.284889) + (xy 169.049273 126.295208) + (xy 169.049274 126.295208) + (xy 169.080576 126.291086) + (xy 169.096761 126.290026) + (xy 170.355016 126.290026) + (xy 170.422055 126.309711) + (xy 170.447165 126.331053) + (xy 170.475402 126.362413) + (xy 170.475409 126.362419) + (xy 170.628539 126.473674) + (xy 170.628544 126.473677) + (xy 170.801466 126.550668) + (xy 170.801471 126.55067) + (xy 170.986628 126.590026) + (xy 170.986629 126.590026) + (xy 171.175918 126.590026) + (xy 171.17592 126.590026) + (xy 171.361077 126.55067) + (xy 171.534004 126.473677) + (xy 171.687145 126.362414) + (xy 171.813807 126.221742) + (xy 171.908453 126.05781) + (xy 171.966948 125.877782) + (xy 171.986734 125.689526) + (xy 171.966948 125.50127) + (xy 171.908453 125.321242) + (xy 171.813807 125.15731) + (xy 171.687145 125.016638) + (xy 171.687137 125.016632) + (xy 171.534008 124.905377) + (xy 171.534003 124.905374) + (xy 171.361081 124.828383) + (xy 171.361076 124.828381) + (xy 171.215275 124.797391) + (xy 171.17592 124.789026) + (xy 170.986628 124.789026) + (xy 170.954171 124.795924) + (xy 170.801471 124.828381) + (xy 170.801466 124.828383) + (xy 170.628544 124.905374) + (xy 170.628539 124.905377) + (xy 170.475409 125.016632) + (xy 170.475402 125.016638) + (xy 170.447165 125.047999) + (xy 170.387679 125.084647) + (xy 170.355016 125.089026) + (xy 169.349371 125.089026) + (xy 169.282332 125.069341) + (xy 169.26169 125.052707) + (xy 168.767428 124.558445) + (xy 179.088383 124.558445) + (xy 179.108169 124.746701) + (xy 179.110135 124.752754) + (xy 179.166661 124.926722) + (xy 179.166664 124.926729) + (xy 179.26131 125.090661) + (xy 179.343373 125.181801) + (xy 179.387972 125.231333) + (xy 179.541108 125.342593) + (xy 179.541113 125.342596) + (xy 179.714035 125.419587) + (xy 179.71404 125.419589) + (xy 179.899197 125.458945) + (xy 179.899198 125.458945) + (xy 180.088487 125.458945) + (xy 180.088489 125.458945) + (xy 180.273646 125.419589) + (xy 180.342783 125.388806) + (xy 180.412031 125.379521) + (xy 180.475308 125.409149) + (xy 180.512522 125.468284) + (xy 180.511858 125.53815) + (xy 180.511149 125.540404) + (xy 180.482601 125.628266) + (xy 180.4826 125.62827) + (xy 180.462814 125.816526) + (xy 180.4826 126.004782) + (xy 180.482601 126.004785) + (xy 180.541092 126.184803) + (xy 180.541094 126.184807) + (xy 180.541095 126.18481) + (xy 180.635741 126.348742) + (xy 180.718998 126.441208) + (xy 180.762403 126.489414) + (xy 180.915539 126.600674) + (xy 180.915544 126.600677) + (xy 181.088466 126.677668) + (xy 181.088471 126.67767) + (xy 181.273628 126.717026) + (xy 181.273629 126.717026) + (xy 181.462918 126.717026) + (xy 181.46292 126.717026) + (xy 181.648077 126.67767) + (xy 181.821004 126.600677) + (xy 181.974145 126.489414) + (xy 182.100807 126.348742) + (xy 182.195453 126.18481) + (xy 182.253948 126.004782) + (xy 182.273734 125.816526) + (xy 182.253948 125.62827) + (xy 182.195453 125.448242) + (xy 182.100807 125.28431) + (xy 181.974145 125.143638) + (xy 181.974144 125.143637) + (xy 181.821008 125.032377) + (xy 181.821003 125.032374) + (xy 181.648081 124.955383) + (xy 181.648076 124.955381) + (xy 181.502275 124.924391) + (xy 181.46292 124.916026) + (xy 181.273628 124.916026) + (xy 181.241171 124.922924) + (xy 181.088471 124.955381) + (xy 181.019333 124.986164) + (xy 180.950083 124.995448) + (xy 180.886807 124.96582) + (xy 180.849594 124.906684) + (xy 180.850259 124.836818) + (xy 180.850935 124.834666) + (xy 180.879517 124.746701) + (xy 180.899303 124.558445) + (xy 180.894097 124.508913) + (xy 189.222861 124.508913) + (xy 189.242647 124.697169) + (xy 189.242648 124.697172) + (xy 189.301139 124.87719) + (xy 189.301142 124.877197) + (xy 189.395788 125.041129) + (xy 189.440387 125.090661) + (xy 189.52245 125.181801) + (xy 189.675586 125.293061) + (xy 189.675591 125.293064) + (xy 189.848513 125.370055) + (xy 189.848518 125.370057) + (xy 190.033675 125.409413) + (xy 190.033676 125.409413) + (xy 190.222965 125.409413) + (xy 190.222967 125.409413) + (xy 190.408124 125.370057) + (xy 190.581051 125.293064) + (xy 190.734192 125.181801) + (xy 190.860854 125.041129) + (xy 190.9555 124.877197) + (xy 191.013995 124.697169) + (xy 191.033781 124.508913) + (xy 191.013995 124.320657) + (xy 190.9555 124.140629) + (xy 190.860854 123.976697) + (xy 190.734192 123.836025) + (xy 190.715458 123.822414) + (xy 190.581055 123.724764) + (xy 190.58105 123.724761) + (xy 190.408128 123.64777) + (xy 190.408123 123.647768) + (xy 190.262322 123.616778) + (xy 190.222967 123.608413) + (xy 190.033675 123.608413) + (xy 190.001218 123.615311) + (xy 189.848518 123.647768) + (xy 189.848513 123.64777) + (xy 189.675591 123.724761) + (xy 189.675586 123.724764) + (xy 189.52245 123.836024) + (xy 189.395787 123.976698) + (xy 189.301142 124.140628) + (xy 189.301139 124.140635) + (xy 189.243919 124.316742) + (xy 189.242647 124.320657) + (xy 189.222861 124.508913) + (xy 180.894097 124.508913) + (xy 180.879517 124.370189) + (xy 180.821022 124.190161) + (xy 180.726376 124.026229) + (xy 180.599714 123.885557) + (xy 180.599713 123.885556) + (xy 180.446577 123.774296) + (xy 180.446572 123.774293) + (xy 180.27365 123.697302) + (xy 180.273645 123.6973) + (xy 180.127844 123.66631) + (xy 180.088489 123.657945) + (xy 179.899197 123.657945) + (xy 179.86674 123.664843) + (xy 179.71404 123.6973) + (xy 179.714035 123.697302) + (xy 179.541113 123.774293) + (xy 179.541108 123.774296) + (xy 179.387972 123.885556) + (xy 179.261309 124.02623) + (xy 179.166664 124.19016) + (xy 179.166661 124.190167) + (xy 179.119805 124.334377) + (xy 179.108169 124.370189) + (xy 179.088383 124.558445) + (xy 168.767428 124.558445) + (xy 167.358509 123.149526) + (xy 170.175814 123.149526) + (xy 170.1956 123.337782) + (xy 170.195601 123.337785) + (xy 170.254092 123.517803) + (xy 170.254095 123.51781) + (xy 170.348741 123.681742) + (xy 170.474415 123.821317) + (xy 170.475403 123.822414) + (xy 170.628539 123.933674) + (xy 170.628544 123.933677) + (xy 170.801466 124.010668) + (xy 170.801471 124.01067) + (xy 170.986628 124.050026) + (xy 170.986629 124.050026) + (xy 171.175918 124.050026) + (xy 171.17592 124.050026) + (xy 171.361077 124.01067) + (xy 171.534004 123.933677) + (xy 171.687145 123.822414) + (xy 171.813807 123.681742) + (xy 171.908453 123.51781) + (xy 171.966948 123.337782) + (xy 171.986734 123.149526) + (xy 171.966948 122.96127) + (xy 171.908453 122.781242) + (xy 171.813807 122.61731) + (xy 171.687145 122.476638) + (xy 171.687144 122.476637) + (xy 171.534008 122.365377) + (xy 171.534003 122.365374) + (xy 171.361081 122.288383) + (xy 171.361076 122.288381) + (xy 171.215275 122.257391) + (xy 171.17592 122.249026) + (xy 170.986628 122.249026) + (xy 170.954171 122.255924) + (xy 170.801471 122.288381) + (xy 170.801466 122.288383) + (xy 170.628544 122.365374) + (xy 170.628539 122.365377) + (xy 170.475403 122.476637) + (xy 170.34874 122.617311) + (xy 170.254095 122.781241) + (xy 170.254092 122.781248) + (xy 170.200298 122.94681) + (xy 170.1956 122.96127) + (xy 170.175814 123.149526) + (xy 167.358509 123.149526) + (xy 164.818509 120.609526) + (xy 170.175814 120.609526) + (xy 170.1956 120.797782) + (xy 170.195601 120.797785) + (xy 170.254092 120.977803) + (xy 170.254095 120.97781) + (xy 170.348741 121.141742) + (xy 170.475403 121.282414) + (xy 170.628539 121.393674) + (xy 170.628544 121.393677) + (xy 170.801466 121.470668) + (xy 170.801471 121.47067) + (xy 170.986628 121.510026) + (xy 170.986629 121.510026) + (xy 171.175918 121.510026) + (xy 171.17592 121.510026) + (xy 171.361077 121.47067) + (xy 171.534004 121.393677) + (xy 171.687145 121.282414) + (xy 171.813807 121.141742) + (xy 171.908453 120.97781) + (xy 171.966948 120.797782) + (xy 171.986734 120.609526) + (xy 171.966948 120.42127) + (xy 171.908453 120.241242) + (xy 171.813807 120.07731) + (xy 171.687145 119.936638) + (xy 171.687144 119.936637) + (xy 171.534008 119.825377) + (xy 171.534003 119.825374) + (xy 171.361081 119.748383) + (xy 171.361076 119.748381) + (xy 171.215275 119.717391) + (xy 171.17592 119.709026) + (xy 170.986628 119.709026) + (xy 170.954171 119.715924) + (xy 170.801471 119.748381) + (xy 170.801466 119.748383) + (xy 170.628544 119.825374) + (xy 170.628539 119.825377) + (xy 170.475403 119.936637) + (xy 170.34874 120.077311) + (xy 170.254095 120.241241) + (xy 170.254092 120.241248) + (xy 170.200298 120.40681) + (xy 170.1956 120.42127) + (xy 170.175814 120.609526) + (xy 164.818509 120.609526) + (xy 163.64219 119.433207) + (xy 179.079817 119.433207) + (xy 179.099603 119.621463) + (xy 179.099604 119.621466) + (xy 179.158095 119.801484) + (xy 179.158098 119.801491) + (xy 179.252744 119.965423) + (xy 179.353488 120.07731) + (xy 179.379406 120.106095) + (xy 179.532542 120.217355) + (xy 179.532547 120.217358) + (xy 179.705469 120.294349) + (xy 179.705474 120.294351) + (xy 179.890631 120.333707) + (xy 179.890632 120.333707) + (xy 180.079921 120.333707) + (xy 180.079923 120.333707) + (xy 180.26508 120.294351) + (xy 180.438007 120.217358) + (xy 180.591148 120.106095) + (xy 180.71781 119.965423) + (xy 180.812456 119.801491) + (xy 180.870951 119.621463) + (xy 180.888617 119.45338) + (xy 189.239064 119.45338) + (xy 189.25885 119.641636) + (xy 189.258851 119.641639) + (xy 189.317342 119.821657) + (xy 189.317345 119.821664) + (xy 189.411991 119.985596) + (xy 189.494571 120.07731) + (xy 189.538653 120.126268) + (xy 189.691789 120.237528) + (xy 189.691794 120.237531) + (xy 189.864716 120.314522) + (xy 189.864721 120.314524) + (xy 190.049878 120.35388) + (xy 190.049879 120.35388) + (xy 190.239168 120.35388) + (xy 190.23917 120.35388) + (xy 190.424327 120.314524) + (xy 190.597254 120.237531) + (xy 190.750395 120.126268) + (xy 190.877057 119.985596) + (xy 190.971703 119.821664) + (xy 191.030198 119.641636) + (xy 191.049984 119.45338) + (xy 191.030198 119.265124) + (xy 190.971703 119.085096) + (xy 190.898628 118.958526) + (xy 194.559814 118.958526) + (xy 194.5796 119.146782) + (xy 194.579601 119.146785) + (xy 194.638092 119.326803) + (xy 194.638095 119.32681) + (xy 194.732741 119.490742) + (xy 194.806906 119.57311) + (xy 194.859403 119.631414) + (xy 195.012539 119.742674) + (xy 195.012544 119.742677) + (xy 195.185466 119.819668) + (xy 195.185471 119.81967) + (xy 195.370628 119.859026) + (xy 195.370629 119.859026) + (xy 195.559918 119.859026) + (xy 195.55992 119.859026) + (xy 195.745077 119.81967) + (xy 195.918004 119.742677) + (xy 196.071145 119.631414) + (xy 196.197807 119.490742) + (xy 196.292453 119.32681) + (xy 196.350948 119.146782) + (xy 196.370734 118.958526) + (xy 196.350948 118.77027) + (xy 196.292453 118.590242) + (xy 196.197807 118.42631) + (xy 196.071145 118.285638) + (xy 196.06707 118.282677) + (xy 195.918008 118.174377) + (xy 195.918003 118.174374) + (xy 195.745081 118.097383) + (xy 195.745076 118.097381) + (xy 195.599275 118.066391) + (xy 195.55992 118.058026) + (xy 195.370628 118.058026) + (xy 195.338171 118.064924) + (xy 195.185471 118.097381) + (xy 195.185466 118.097383) + (xy 195.012544 118.174374) + (xy 195.012539 118.174377) + (xy 194.859403 118.285637) + (xy 194.73274 118.426311) + (xy 194.638095 118.590241) + (xy 194.638092 118.590248) + (xy 194.582833 118.760319) + (xy 194.5796 118.77027) + (xy 194.559814 118.958526) + (xy 190.898628 118.958526) + (xy 190.877057 118.921164) + (xy 190.750395 118.780492) + (xy 190.736326 118.77027) + (xy 190.597258 118.669231) + (xy 190.597253 118.669228) + (xy 190.424331 118.592237) + (xy 190.424326 118.592235) + (xy 190.278525 118.561245) + (xy 190.23917 118.55288) + (xy 190.049878 118.55288) + (xy 190.017421 118.559778) + (xy 189.864721 118.592235) + (xy 189.864716 118.592237) + (xy 189.691794 118.669228) + (xy 189.691789 118.669231) + (xy 189.538653 118.780491) + (xy 189.41199 118.921165) + (xy 189.317345 119.085095) + (xy 189.317342 119.085102) + (xy 189.262342 119.254377) + (xy 189.25885 119.265124) + (xy 189.239064 119.45338) + (xy 180.888617 119.45338) + (xy 180.890737 119.433207) + (xy 180.870951 119.244951) + (xy 180.812456 119.064923) + (xy 180.71781 118.900991) + (xy 180.591148 118.760319) + (xy 180.591147 118.760318) + (xy 180.438011 118.649058) + (xy 180.438006 118.649055) + (xy 180.265084 118.572064) + (xy 180.265079 118.572062) + (xy 180.119278 118.541072) + (xy 180.079923 118.532707) + (xy 179.890631 118.532707) + (xy 179.858174 118.539605) + (xy 179.705474 118.572062) + (xy 179.705469 118.572064) + (xy 179.532547 118.649055) + (xy 179.532542 118.649058) + (xy 179.379406 118.760318) + (xy 179.252743 118.900992) + (xy 179.158098 119.064922) + (xy 179.158095 119.064929) + (xy 179.11427 119.19981) + (xy 179.099603 119.244951) + (xy 179.079817 119.433207) + (xy 163.64219 119.433207) + (xy 160.043202 115.834219) + (xy 160.009717 115.772896) + (xy 160.014701 115.703204) + (xy 160.056573 115.647271) + (xy 160.080439 115.633262) (xy 160.14313 115.605351) (xy 160.296271 115.494088) - (xy 160.298218 115.491926) - (xy 191.523663 115.491926) - (xy 191.543449 115.680182) - (xy 191.54345 115.680185) - (xy 191.601941 115.860203) - (xy 191.601944 115.86021) - (xy 191.69659 116.024142) - (xy 191.754562 116.088526) - (xy 191.823252 116.164814) - (xy 191.976388 116.276074) - (xy 191.976393 116.276077) - (xy 192.149315 116.353068) - (xy 192.14932 116.35307) - (xy 192.334477 116.392426) - (xy 192.334478 116.392426) - (xy 192.523767 116.392426) - (xy 192.523769 116.392426) - (xy 192.708926 116.35307) - (xy 192.881853 116.276077) - (xy 193.034994 116.164814) - (xy 193.161656 116.024142) - (xy 193.256302 115.86021) - (xy 193.314797 115.680182) - (xy 193.334583 115.491926) - (xy 193.314797 115.30367) - (xy 193.256302 115.123642) - (xy 193.161656 114.95971) - (xy 193.034994 114.819038) - (xy 193.034993 114.819037) - (xy 192.881857 114.707777) - (xy 192.881852 114.707774) - (xy 192.70893 114.630783) - (xy 192.708925 114.630781) - (xy 192.563124 114.599791) - (xy 192.523769 114.591426) - (xy 192.334477 114.591426) - (xy 192.30202 114.598324) - (xy 192.14932 114.630781) - (xy 192.149315 114.630783) - (xy 191.976393 114.707774) - (xy 191.976388 114.707777) - (xy 191.823252 114.819037) - (xy 191.696589 114.959711) - (xy 191.601944 115.123641) - (xy 191.601941 115.123648) - (xy 191.550156 115.283028) - (xy 191.543449 115.30367) - (xy 191.523663 115.491926) - (xy 160.298218 115.491926) (xy 160.422933 115.353416) (xy 160.517579 115.189484) (xy 160.576074 115.009456) @@ -41440,93 +42932,13 @@ (xy 159.23767 114.037048) (xy 159.237665 114.037051) (xy 159.084529 114.148311) - (xy 158.957866 114.288985) - (xy 158.863221 114.452915) - (xy 158.863218 114.452922) - (xy 158.805428 114.630782) - (xy 158.804726 114.632944) - (xy 158.78494 114.8212) - (xy 156.685769 114.8212) - (xy 156.691274 114.804256) - (xy 156.71106 114.616) - (xy 156.691274 114.427744) - (xy 156.632779 114.247716) - (xy 156.538133 114.083784) - (xy 156.411471 113.943112) - (xy 156.383821 113.923023) - (xy 156.258334 113.831851) - (xy 156.258329 113.831848) - (xy 156.085407 113.754857) - (xy 156.085402 113.754855) - (xy 155.939601 113.723865) - (xy 155.900246 113.7155) - (xy 155.79846 113.7155) - (xy 155.731421 113.695815) - (xy 155.685666 113.643011) - (xy 155.675722 113.573853) - (xy 155.691072 113.529502) - (xy 155.717179 113.484284) - (xy 155.775674 113.304256) - (xy 155.79546 113.116) - (xy 155.778216 112.951926) - (xy 191.523663 112.951926) - (xy 191.543449 113.140182) - (xy 191.54345 113.140185) - (xy 191.601941 113.320203) - (xy 191.601944 113.32021) - (xy 191.69659 113.484142) - (xy 191.785842 113.583266) - (xy 191.823252 113.624814) - (xy 191.976388 113.736074) - (xy 191.976393 113.736077) - (xy 192.149315 113.813068) - (xy 192.14932 113.81307) - (xy 192.334477 113.852426) - (xy 192.334478 113.852426) - (xy 192.523767 113.852426) - (xy 192.523769 113.852426) - (xy 192.708926 113.81307) - (xy 192.881853 113.736077) - (xy 193.034994 113.624814) - (xy 193.161656 113.484142) - (xy 193.256302 113.32021) - (xy 193.314797 113.140182) - (xy 193.334583 112.951926) - (xy 193.314797 112.76367) - (xy 193.256302 112.583642) - (xy 193.161656 112.41971) - (xy 193.034994 112.279038) - (xy 193.034993 112.279037) - (xy 192.881857 112.167777) - (xy 192.881852 112.167774) - (xy 192.70893 112.090783) - (xy 192.708925 112.090781) - (xy 192.550294 112.057064) - (xy 192.523769 112.051426) - (xy 192.334477 112.051426) - (xy 192.307952 112.057064) - (xy 192.14932 112.090781) - (xy 192.149315 112.090783) - (xy 191.976393 112.167774) - (xy 191.976388 112.167777) - (xy 191.823252 112.279037) - (xy 191.696589 112.419711) - (xy 191.601944 112.583641) - (xy 191.601941 112.583648) - (xy 191.545687 112.756782) - (xy 191.543449 112.76367) - (xy 191.523663 112.951926) - (xy 155.778216 112.951926) - (xy 155.775674 112.927744) - (xy 155.717179 112.747716) - (xy 155.653714 112.637791) - (xy 155.637241 112.56989) - (xy 155.660094 112.503864) - (xy 155.710662 112.462513) - (xy 155.85073 112.400151) - (xy 156.003871 112.288888) - (xy 156.130533 112.148216) - (xy 156.200401 112.0272) + (xy 158.957865 114.288985) + (xy 158.870331 114.440599) + (xy 158.819764 114.488815) + (xy 158.751157 114.502037) + (xy 158.686292 114.476069) + (xy 158.675263 114.46628) + (xy 156.236183 112.0272) (xy 158.93754 112.0272) (xy 158.957326 112.215456) (xy 158.957327 112.215459) @@ -41554,7 +42966,7 @@ (xy 160.670179 111.658916) (xy 160.575533 111.494984) (xy 160.448871 111.354312) - (xy 160.44887 111.354311) + (xy 160.359921 111.289686) (xy 160.295734 111.243051) (xy 160.295729 111.243048) (xy 160.122807 111.166057) @@ -41574,204 +42986,339 @@ (xy 158.964106 111.818077) (xy 158.957326 111.838944) (xy 158.93754 112.0272) - (xy 156.200401 112.0272) - (xy 156.225179 111.984284) - (xy 156.283674 111.804256) - (xy 156.30346 111.616) - (xy 156.283674 111.427744) - (xy 156.225179 111.247716) - (xy 156.130533 111.083784) - (xy 156.003871 110.943112) - (xy 156.00387 110.943111) - (xy 155.850734 110.831851) - (xy 155.850729 110.831848) - (xy 155.677807 110.754857) - (xy 155.677802 110.754855) - (xy 155.532001 110.723865) - (xy 155.492646 110.7155) - (xy 155.303354 110.7155) - (xy 155.303353 110.7155) - (xy 155.166493 110.74459) - (xy 155.096826 110.739274) - (xy 155.041093 110.697137) - (xy 155.033326 110.6853) - (xy 155.022647 110.666803) - (xy 154.987533 110.605984) - (xy 154.860871 110.465312) - (xy 154.856939 110.462455) - (xy 154.817977 110.411926) - (xy 191.523663 110.411926) - (xy 191.543449 110.600182) - (xy 191.54345 110.600185) - (xy 191.601941 110.780203) - (xy 191.601944 110.78021) - (xy 191.69659 110.944142) - (xy 191.806591 111.06631) - (xy 191.823252 111.084814) - (xy 191.976388 111.196074) - (xy 191.976393 111.196077) - (xy 192.149315 111.273068) - (xy 192.14932 111.27307) - (xy 192.334477 111.312426) - (xy 192.334478 111.312426) - (xy 192.523767 111.312426) - (xy 192.523769 111.312426) - (xy 192.708926 111.27307) - (xy 192.881853 111.196077) - (xy 193.034994 111.084814) - (xy 193.161656 110.944142) - (xy 193.256302 110.78021) - (xy 193.314797 110.600182) - (xy 193.334583 110.411926) - (xy 193.314797 110.22367) - (xy 193.256302 110.043642) - (xy 193.161656 109.87971) - (xy 193.034994 109.739038) - (xy 193.004641 109.716985) - (xy 192.881857 109.627777) - (xy 192.881852 109.627774) - (xy 192.70893 109.550783) - (xy 192.708925 109.550781) - (xy 192.537652 109.514377) - (xy 192.523769 109.511426) - (xy 192.334477 109.511426) - (xy 192.320594 109.514377) - (xy 192.14932 109.550781) - (xy 192.149315 109.550783) - (xy 191.976393 109.627774) - (xy 191.976388 109.627777) - (xy 191.823252 109.739037) - (xy 191.696589 109.879711) - (xy 191.601944 110.043641) - (xy 191.601941 110.043648) - (xy 191.543542 110.223383) - (xy 191.543449 110.22367) - (xy 191.523663 110.411926) - (xy 154.817977 110.411926) - (xy 154.814275 110.407125) - (xy 154.808297 110.337512) - (xy 154.840904 110.275717) - (xy 154.856946 110.261818) - (xy 154.859187 110.26019) - (xy 154.985849 110.119518) - (xy 155.080495 109.955586) - (xy 155.103512 109.884744) - (xy 155.142948 109.82707) - (xy 155.207307 109.799871) - (xy 155.276153 109.811785) - (xy 155.294328 109.822745) - (xy 155.400865 109.900148) - (xy 155.40087 109.900151) - (xy 155.573792 109.977142) - (xy 155.573797 109.977144) - (xy 155.758954 110.0165) - (xy 155.758955 110.0165) - (xy 155.948244 110.0165) - (xy 155.948246 110.0165) - (xy 156.133403 109.977144) - (xy 156.30633 109.900151) - (xy 156.459471 109.788888) - (xy 156.586133 109.648216) - (xy 156.680779 109.484284) - (xy 156.739274 109.304256) - (xy 156.75906 109.116) - (xy 156.739274 108.927744) - (xy 156.680779 108.747716) - (xy 156.586133 108.583784) - (xy 156.459471 108.443112) - (xy 156.445659 108.433077) - (xy 156.306334 108.331851) - (xy 156.306329 108.331848) - (xy 156.133407 108.254857) - (xy 156.133402 108.254855) - (xy 155.971995 108.220548) - (xy 155.948246 108.2155) - (xy 155.758954 108.2155) - (xy 155.735205 108.220548) - (xy 155.573797 108.254855) - (xy 155.573788 108.254858) - (xy 155.535673 108.271828) - (xy 155.466423 108.281112) - (xy 155.403147 108.251482) - (xy 155.377853 108.220548) - (xy 155.374939 108.2155) - (xy 155.314366 108.110585) - (xy 155.187704 107.969913) - (xy 155.187703 107.969912) - (xy 155.088298 107.89769) - (xy 155.045632 107.84236) - (xy 155.045103 107.8362) - (xy 158.81054 107.8362) - (xy 158.830326 108.024456) - (xy 158.830327 108.024459) - (xy 158.888818 108.204477) - (xy 158.888821 108.204484) - (xy 158.983467 108.368416) - (xy 159.050723 108.443111) - (xy 159.110129 108.509088) - (xy 159.263265 108.620348) - (xy 159.26327 108.620351) - (xy 159.436192 108.697342) - (xy 159.436197 108.697344) - (xy 159.621354 108.7367) - (xy 159.621355 108.7367) - (xy 159.810644 108.7367) - (xy 159.810646 108.7367) - (xy 159.995803 108.697344) - (xy 160.16873 108.620351) - (xy 160.321871 108.509088) + (xy 156.236183 112.0272) + (xy 154.042292 109.833309) + (xy 154.008807 109.771986) + (xy 154.013791 109.702294) + (xy 154.055663 109.646361) + (xy 154.121127 109.621944) + (xy 154.129973 109.621628) + (xy 159.700779 109.621628) + (xy 159.767818 109.641313) + (xy 159.78846 109.657947) + (xy 168.591073 118.46056) + (xy 168.601767 118.472754) + (xy 168.620989 118.497805) + (xy 168.62099 118.497806) + (xy 168.620992 118.497808) + (xy 168.717763 118.572063) + (xy 168.746431 118.594061) + (xy 168.746432 118.594061) + (xy 168.746433 118.594062) + (xy 168.892512 118.65457) + (xy 168.915953 118.657656) + (xy 169.049273 118.675208) + (xy 169.049274 118.675208) + (xy 169.080576 118.671086) + (xy 169.096761 118.670026) + (xy 170.355016 118.670026) + (xy 170.422055 118.689711) + (xy 170.447165 118.711053) + (xy 170.475402 118.742413) + (xy 170.475409 118.742419) + (xy 170.628539 118.853674) + (xy 170.628544 118.853677) + (xy 170.801466 118.930668) + (xy 170.801471 118.93067) + (xy 170.986628 118.970026) + (xy 170.986629 118.970026) + (xy 171.175918 118.970026) + (xy 171.17592 118.970026) + (xy 171.361077 118.93067) + (xy 171.534004 118.853677) + (xy 171.687145 118.742414) + (xy 171.813807 118.601742) + (xy 171.908453 118.43781) + (xy 171.966948 118.257782) + (xy 171.986734 118.069526) + (xy 171.966948 117.88127) + (xy 171.908453 117.701242) + (xy 171.813807 117.53731) + (xy 171.687145 117.396638) + (xy 171.676711 117.389057) + (xy 171.534008 117.285377) + (xy 171.534003 117.285374) + (xy 171.361081 117.208383) + (xy 171.361076 117.208381) + (xy 171.215275 117.177391) + (xy 171.17592 117.169026) + (xy 170.986628 117.169026) + (xy 170.954171 117.175924) + (xy 170.801471 117.208381) + (xy 170.801466 117.208383) + (xy 170.628544 117.285374) + (xy 170.628539 117.285377) + (xy 170.475409 117.396632) + (xy 170.475402 117.396638) + (xy 170.447165 117.427999) + (xy 170.387679 117.464647) + (xy 170.355016 117.469026) + (xy 169.349371 117.469026) + (xy 169.282332 117.449341) + (xy 169.26169 117.432707) + (xy 168.257509 116.428526) + (xy 193.162814 116.428526) + (xy 193.1826 116.616782) + (xy 193.182601 116.616785) + (xy 193.241092 116.796803) + (xy 193.241095 116.79681) + (xy 193.335741 116.960742) + (xy 193.441087 117.07774) + (xy 193.462403 117.101414) + (xy 193.615539 117.212674) + (xy 193.615544 117.212677) + (xy 193.788466 117.289668) + (xy 193.788471 117.28967) + (xy 193.973628 117.329026) + (xy 193.973629 117.329026) + (xy 194.162918 117.329026) + (xy 194.16292 117.329026) + (xy 194.348077 117.28967) + (xy 194.521004 117.212677) + (xy 194.674145 117.101414) + (xy 194.800807 116.960742) + (xy 194.895453 116.79681) + (xy 194.953948 116.616782) + (xy 194.973734 116.428526) + (xy 194.953948 116.24027) + (xy 194.895453 116.060242) + (xy 194.800807 115.89631) + (xy 194.674145 115.755638) + (xy 194.649947 115.738057) + (xy 194.521008 115.644377) + (xy 194.521003 115.644374) + (xy 194.348081 115.567383) + (xy 194.348076 115.567381) + (xy 194.201305 115.536185) + (xy 194.16292 115.528026) + (xy 193.973628 115.528026) + (xy 193.941171 115.534924) + (xy 193.788471 115.567381) + (xy 193.788466 115.567383) + (xy 193.615544 115.644374) + (xy 193.615539 115.644377) + (xy 193.462403 115.755637) + (xy 193.33574 115.896311) + (xy 193.241095 116.060241) + (xy 193.241092 116.060248) + (xy 193.185639 116.230916) + (xy 193.1826 116.24027) + (xy 193.162814 116.428526) + (xy 168.257509 116.428526) + (xy 166.850509 115.021526) + (xy 167.762814 115.021526) + (xy 167.7826 115.209782) + (xy 167.782601 115.209785) + (xy 167.841092 115.389803) + (xy 167.841095 115.38981) + (xy 167.935741 115.553742) + (xy 168.019955 115.647271) + (xy 168.062403 115.694414) + (xy 168.215539 115.805674) + (xy 168.215544 115.805677) + (xy 168.388466 115.882668) + (xy 168.388471 115.88267) + (xy 168.573628 115.922026) + (xy 168.573629 115.922026) + (xy 168.762918 115.922026) + (xy 168.76292 115.922026) + (xy 168.948077 115.88267) + (xy 169.121004 115.805677) + (xy 169.274145 115.694414) + (xy 169.400807 115.553742) + (xy 169.495453 115.38981) + (xy 169.553948 115.209782) + (xy 169.573734 115.021526) + (xy 169.553948 114.83327) + (xy 169.495453 114.653242) + (xy 169.400807 114.48931) + (xy 169.274145 114.348638) + (xy 169.274144 114.348637) + (xy 169.121008 114.237377) + (xy 169.121003 114.237374) + (xy 168.948081 114.160383) + (xy 168.948076 114.160381) + (xy 168.802275 114.129391) + (xy 168.76292 114.121026) + (xy 168.573628 114.121026) + (xy 168.541171 114.127924) + (xy 168.388471 114.160381) + (xy 168.388466 114.160383) + (xy 168.215544 114.237374) + (xy 168.215539 114.237377) + (xy 168.062403 114.348637) + (xy 167.93574 114.489311) + (xy 167.841095 114.653241) + (xy 167.841092 114.653248) + (xy 167.782601 114.833266) + (xy 167.7826 114.83327) + (xy 167.762814 115.021526) + (xy 166.850509 115.021526) + (xy 165.841509 114.012526) + (xy 193.162814 114.012526) + (xy 193.1826 114.200782) + (xy 193.182601 114.200785) + (xy 193.241092 114.380803) + (xy 193.241095 114.38081) + (xy 193.335741 114.544742) + (xy 193.415155 114.63294) + (xy 193.462403 114.685414) + (xy 193.615539 114.796674) + (xy 193.615544 114.796677) + (xy 193.788466 114.873668) + (xy 193.788471 114.87367) + (xy 193.973628 114.913026) + (xy 193.973629 114.913026) + (xy 194.162918 114.913026) + (xy 194.16292 114.913026) + (xy 194.348077 114.87367) + (xy 194.521004 114.796677) + (xy 194.674145 114.685414) + (xy 194.800807 114.544742) + (xy 194.895453 114.38081) + (xy 194.953948 114.200782) + (xy 194.973734 114.012526) + (xy 194.953948 113.82427) + (xy 194.896915 113.648742) + (xy 194.895455 113.644248) + (xy 194.895454 113.644247) + (xy 194.895453 113.644242) + (xy 194.800807 113.48031) + (xy 194.674145 113.339638) + (xy 194.645775 113.319026) + (xy 194.521008 113.228377) + (xy 194.521003 113.228374) + (xy 194.348081 113.151383) + (xy 194.348076 113.151381) + (xy 194.184091 113.116526) + (xy 194.16292 113.112026) + (xy 193.973628 113.112026) + (xy 193.952457 113.116526) + (xy 193.788471 113.151381) + (xy 193.788466 113.151383) + (xy 193.615544 113.228374) + (xy 193.615539 113.228377) + (xy 193.462403 113.339637) + (xy 193.33574 113.480311) + (xy 193.241095 113.644241) + (xy 193.241092 113.644248) + (xy 193.209883 113.740301) + (xy 193.1826 113.82427) + (xy 193.162814 114.012526) + (xy 165.841509 114.012526) + (xy 163.301509 111.472526) + (xy 193.162814 111.472526) + (xy 193.1826 111.660782) + (xy 193.182601 111.660785) + (xy 193.241092 111.840803) + (xy 193.241095 111.84081) + (xy 193.335741 112.004742) + (xy 193.449192 112.130742) + (xy 193.462403 112.145414) + (xy 193.615539 112.256674) + (xy 193.615544 112.256677) + (xy 193.788466 112.333668) + (xy 193.788471 112.33367) + (xy 193.973628 112.373026) + (xy 193.973629 112.373026) + (xy 194.162918 112.373026) + (xy 194.16292 112.373026) + (xy 194.348077 112.33367) + (xy 194.521004 112.256677) + (xy 194.674145 112.145414) + (xy 194.800807 112.004742) + (xy 194.895453 111.84081) + (xy 194.953948 111.660782) + (xy 194.973734 111.472526) + (xy 194.953948 111.28427) + (xy 194.896915 111.108742) + (xy 194.895455 111.104248) + (xy 194.895454 111.104247) + (xy 194.895453 111.104242) + (xy 194.800807 110.94031) + (xy 194.674145 110.799638) + (xy 194.674144 110.799637) + (xy 194.521008 110.688377) + (xy 194.521003 110.688374) + (xy 194.348081 110.611383) + (xy 194.348076 110.611381) + (xy 194.184091 110.576526) + (xy 194.16292 110.572026) + (xy 193.973628 110.572026) + (xy 193.952457 110.576526) + (xy 193.788471 110.611381) + (xy 193.788466 110.611383) + (xy 193.615544 110.688374) + (xy 193.615539 110.688377) + (xy 193.462403 110.799637) + (xy 193.33574 110.940311) + (xy 193.241095 111.104241) + (xy 193.241092 111.104248) + (xy 193.182601 111.284266) + (xy 193.1826 111.28427) + (xy 193.162814 111.472526) + (xy 163.301509 111.472526) + (xy 160.761509 108.932526) + (xy 193.162814 108.932526) + (xy 193.1826 109.120782) + (xy 193.182601 109.120785) + (xy 193.241092 109.300803) + (xy 193.241095 109.30081) + (xy 193.335741 109.464742) + (xy 193.462403 109.605414) + (xy 193.615539 109.716674) + (xy 193.615544 109.716677) + (xy 193.788466 109.793668) + (xy 193.788471 109.79367) + (xy 193.973628 109.833026) + (xy 193.973629 109.833026) + (xy 194.162918 109.833026) + (xy 194.16292 109.833026) + (xy 194.348077 109.79367) + (xy 194.521004 109.716677) + (xy 194.674145 109.605414) + (xy 194.800807 109.464742) + (xy 194.895453 109.30081) + (xy 194.953948 109.120782) + (xy 194.973734 108.932526) + (xy 194.953948 108.74427) + (xy 194.895453 108.564242) + (xy 194.800807 108.40031) + (xy 194.674145 108.259638) + (xy 194.665086 108.253056) + (xy 194.521008 108.148377) + (xy 194.521003 108.148374) + (xy 194.348081 108.071383) + (xy 194.348076 108.071381) + (xy 194.202275 108.040391) + (xy 194.16292 108.032026) + (xy 193.973628 108.032026) + (xy 193.941171 108.038924) + (xy 193.788471 108.071381) + (xy 193.788466 108.071383) + (xy 193.615544 108.148374) + (xy 193.615539 108.148377) + (xy 193.462403 108.259637) + (xy 193.33574 108.400311) + (xy 193.241095 108.564241) + (xy 193.241092 108.564248) + (xy 193.182601 108.744266) + (xy 193.1826 108.74427) + (xy 193.162814 108.932526) + (xy 160.761509 108.932526) + (xy 160.459075 108.630092) + (xy 160.448379 108.617895) + (xy 160.429159 108.592846) + (xy 160.427527 108.591594) + (xy 160.426498 108.590185) + (xy 160.423412 108.587099) + (xy 160.423893 108.586617) + (xy 160.386326 108.535165) + (xy 160.382173 108.465419) + (xy 160.410864 108.410251) (xy 160.448533 108.368416) (xy 160.543179 108.204484) (xy 160.601674 108.024456) - (xy 160.617705 107.871926) - (xy 191.523663 107.871926) - (xy 191.543449 108.060182) - (xy 191.54345 108.060185) - (xy 191.601941 108.240203) - (xy 191.601944 108.24021) - (xy 191.69659 108.404142) - (xy 191.791084 108.509088) - (xy 191.823252 108.544814) - (xy 191.976388 108.656074) - (xy 191.976393 108.656077) - (xy 192.149315 108.733068) - (xy 192.14932 108.73307) - (xy 192.334477 108.772426) - (xy 192.334478 108.772426) - (xy 192.523767 108.772426) - (xy 192.523769 108.772426) - (xy 192.708926 108.73307) - (xy 192.881853 108.656077) - (xy 193.034994 108.544814) - (xy 193.161656 108.404142) - (xy 193.256302 108.24021) - (xy 193.314797 108.060182) - (xy 193.334583 107.871926) - (xy 193.314797 107.68367) - (xy 193.256302 107.503642) - (xy 193.161656 107.33971) - (xy 193.034994 107.199038) - (xy 193.034993 107.199037) - (xy 192.881857 107.087777) - (xy 192.881852 107.087774) - (xy 192.70893 107.010783) - (xy 192.708925 107.010781) - (xy 192.563124 106.979791) - (xy 192.523769 106.971426) - (xy 192.334477 106.971426) - (xy 192.30202 106.978324) - (xy 192.14932 107.010781) - (xy 192.149315 107.010783) - (xy 191.976393 107.087774) - (xy 191.976388 107.087777) - (xy 191.823252 107.199037) - (xy 191.696589 107.339711) - (xy 191.601944 107.503641) - (xy 191.601941 107.503648) - (xy 191.543542 107.683383) - (xy 191.543449 107.68367) - (xy 191.523663 107.871926) - (xy 160.617705 107.871926) (xy 160.62146 107.8362) (xy 160.601674 107.647944) (xy 160.543179 107.467916) @@ -41794,40 +43341,116 @@ (xy 158.983466 107.303985) (xy 158.888821 107.467915) (xy 158.888818 107.467922) - (xy 158.840813 107.615668) + (xy 158.835059 107.633377) (xy 158.830326 107.647944) (xy 158.81054 107.8362) - (xy 155.045103 107.8362) - (xy 155.039653 107.772747) - (xy 155.072259 107.710952) - (xy 155.078215 107.705218) - (xy 155.079457 107.704097) - (xy 155.079471 107.704088) - (xy 155.206133 107.563416) - (xy 155.300779 107.399484) - (xy 155.327455 107.317383) - (xy 155.366892 107.259707) - (xy 155.43125 107.232508) - (xy 155.445386 107.2317) - (xy 155.548244 107.2317) - (xy 155.548246 107.2317) - (xy 155.733403 107.192344) - (xy 155.90633 107.115351) - (xy 156.059471 107.004088) - (xy 156.186133 106.863416) - (xy 156.280779 106.699484) - (xy 156.339274 106.519456) - (xy 156.35906 106.3312) - (xy 156.339274 106.142944) - (xy 156.280779 105.962916) - (xy 156.186133 105.798984) - (xy 156.059471 105.658312) - (xy 156.05947 105.658311) - (xy 156.054642 105.653964) - (xy 156.0565 105.6519) - (xy 156.021294 105.606243) - (xy 156.015316 105.536629) - (xy 156.044693 105.478287) + (xy 158.830326 108.024456) + (xy 158.830327 108.024459) + (xy 158.888818 108.204477) + (xy 158.888821 108.204484) + (xy 158.894116 108.213656) + (xy 158.906225 108.234628) + (xy 158.922698 108.302528) + (xy 158.899845 108.368555) + (xy 158.844924 108.411746) + (xy 158.798838 108.420628) + (xy 152.728721 108.420628) + (xy 152.661682 108.400943) + (xy 152.615927 108.348139) + (xy 152.605983 108.278981) + (xy 152.635008 108.215425) + (xy 152.636571 108.213656) + (xy 152.68117 108.164124) + (xy 152.775816 108.000192) + (xy 152.831245 107.8296) + (xy 152.87068 107.771927) + (xy 152.898738 107.75464) + (xy 152.901456 107.753429) + (xy 152.901464 107.753428) + (xy 153.074391 107.676435) + (xy 153.227532 107.565172) + (xy 153.354194 107.4245) + (xy 153.44884 107.260568) + (xy 153.44884 107.260566) + (xy 153.449615 107.259225) + (xy 153.500181 107.21101) + (xy 153.531219 107.199935) + (xy 153.708077 107.162344) + (xy 153.881004 107.085351) + (xy 154.034145 106.974088) + (xy 154.160807 106.833416) + (xy 154.199426 106.766526) + (xy 193.162814 106.766526) + (xy 193.1826 106.954782) + (xy 193.182601 106.954785) + (xy 193.241092 107.134803) + (xy 193.241095 107.13481) + (xy 193.335741 107.298742) + (xy 193.432054 107.405708) + (xy 193.462403 107.439414) + (xy 193.615539 107.550674) + (xy 193.615544 107.550677) + (xy 193.788466 107.627668) + (xy 193.788471 107.62767) + (xy 193.973628 107.667026) + (xy 193.973629 107.667026) + (xy 194.162918 107.667026) + (xy 194.16292 107.667026) + (xy 194.348077 107.62767) + (xy 194.521004 107.550677) + (xy 194.674145 107.439414) + (xy 194.800807 107.298742) + (xy 194.895453 107.13481) + (xy 194.953948 106.954782) + (xy 194.973734 106.766526) + (xy 194.953948 106.57827) + (xy 194.895453 106.398242) + (xy 194.800807 106.23431) + (xy 194.674145 106.093638) + (xy 194.674144 106.093637) + (xy 194.521008 105.982377) + (xy 194.521003 105.982374) + (xy 194.348081 105.905383) + (xy 194.348076 105.905381) + (xy 194.202275 105.874391) + (xy 194.16292 105.866026) + (xy 193.973628 105.866026) + (xy 193.941171 105.872924) + (xy 193.788471 105.905381) + (xy 193.788466 105.905383) + (xy 193.615544 105.982374) + (xy 193.615539 105.982377) + (xy 193.462403 106.093637) + (xy 193.33574 106.234311) + (xy 193.241095 106.398241) + (xy 193.241092 106.398248) + (xy 193.189062 106.558382) + (xy 193.1826 106.57827) + (xy 193.162814 106.766526) + (xy 154.199426 106.766526) + (xy 154.255453 106.669484) + (xy 154.291552 106.55838) + (xy 154.330989 106.500706) + (xy 154.395347 106.473507) + (xy 154.435263 106.475408) + (xy 154.558954 106.5017) + (xy 154.558955 106.5017) + (xy 154.748244 106.5017) + (xy 154.748246 106.5017) + (xy 154.933403 106.462344) + (xy 155.10633 106.385351) + (xy 155.259471 106.274088) + (xy 155.386133 106.133416) + (xy 155.480779 105.969484) + (xy 155.539274 105.789456) + (xy 155.539274 105.789452) + (xy 155.540359 105.784346) + (xy 155.573544 105.72286) + (xy 155.634704 105.689077) + (xy 155.635649 105.68887) + (xy 155.713403 105.672344) + (xy 155.88633 105.595351) + (xy 156.039471 105.484088) (xy 156.166133 105.343416) (xy 156.260779 105.179484) (xy 156.319274 104.999456) @@ -41851,61 +43474,60 @@ (xy 160.120587 105.608037) (xy 160.273728 105.496774) (xy 160.40039 105.356102) - (xy 160.414348 105.331926) - (xy 191.523663 105.331926) - (xy 191.543449 105.520182) - (xy 191.54345 105.520185) - (xy 191.601941 105.700203) - (xy 191.601944 105.70021) - (xy 191.69659 105.864142) - (xy 191.791441 105.969484) - (xy 191.823252 106.004814) - (xy 191.976388 106.116074) - (xy 191.976393 106.116077) - (xy 192.149315 106.193068) - (xy 192.14932 106.19307) - (xy 192.334477 106.232426) - (xy 192.334478 106.232426) - (xy 192.523767 106.232426) - (xy 192.523769 106.232426) - (xy 192.708926 106.19307) - (xy 192.881853 106.116077) - (xy 193.034994 106.004814) - (xy 193.161656 105.864142) - (xy 193.256302 105.70021) - (xy 193.314797 105.520182) - (xy 193.334583 105.331926) - (xy 193.314797 105.14367) - (xy 193.256302 104.963642) - (xy 193.161656 104.79971) - (xy 193.034994 104.659038) - (xy 193.004181 104.636651) - (xy 192.881857 104.547777) - (xy 192.881852 104.547774) - (xy 192.70893 104.470783) - (xy 192.708925 104.470781) - (xy 192.563124 104.439791) - (xy 192.523769 104.431426) - (xy 192.334477 104.431426) - (xy 192.30202 104.438324) - (xy 192.14932 104.470781) - (xy 192.149315 104.470783) - (xy 191.976393 104.547774) - (xy 191.976388 104.547777) - (xy 191.823252 104.659037) - (xy 191.696589 104.799711) - (xy 191.601944 104.963641) - (xy 191.601941 104.963648) - (xy 191.54345 105.143666) - (xy 191.543449 105.14367) - (xy 191.523663 105.331926) - (xy 160.414348 105.331926) (xy 160.495036 105.19217) (xy 160.553531 105.012142) (xy 160.573317 104.823886) (xy 160.553531 104.63563) (xy 160.495036 104.455602) (xy 160.40039 104.29167) + (xy 160.341734 104.226526) + (xy 193.162814 104.226526) + (xy 193.1826 104.414782) + (xy 193.182601 104.414785) + (xy 193.241092 104.594803) + (xy 193.241095 104.59481) + (xy 193.335741 104.758742) + (xy 193.462403 104.899414) + (xy 193.615539 105.010674) + (xy 193.615544 105.010677) + (xy 193.788466 105.087668) + (xy 193.788471 105.08767) + (xy 193.973628 105.127026) + (xy 193.973629 105.127026) + (xy 194.162918 105.127026) + (xy 194.16292 105.127026) + (xy 194.348077 105.08767) + (xy 194.521004 105.010677) + (xy 194.674145 104.899414) + (xy 194.800807 104.758742) + (xy 194.895453 104.59481) + (xy 194.953948 104.414782) + (xy 194.973734 104.226526) + (xy 194.953948 104.03827) + (xy 194.895453 103.858242) + (xy 194.800807 103.69431) + (xy 194.674145 103.553638) + (xy 194.674144 103.553637) + (xy 194.521008 103.442377) + (xy 194.521003 103.442374) + (xy 194.348081 103.365383) + (xy 194.348076 103.365381) + (xy 194.197372 103.333349) + (xy 194.16292 103.326026) + (xy 193.973628 103.326026) + (xy 193.941171 103.332924) + (xy 193.788471 103.365381) + (xy 193.788466 103.365383) + (xy 193.615544 103.442374) + (xy 193.615539 103.442377) + (xy 193.462403 103.553637) + (xy 193.33574 103.694311) + (xy 193.241095 103.858241) + (xy 193.241092 103.858248) + (xy 193.186246 104.027048) + (xy 193.1826 104.03827) + (xy 193.162814 104.226526) + (xy 160.341734 104.226526) (xy 160.273728 104.150998) (xy 160.273727 104.150997) (xy 160.120591 104.039737) @@ -41933,7 +43555,7 @@ (xy 156.260779 104.442916) (xy 156.166133 104.278984) (xy 156.039471 104.138312) - (xy 155.975651 104.091944) + (xy 156.03947 104.138311) (xy 155.886334 104.027051) (xy 155.886329 104.027048) (xy 155.713407 103.950057) @@ -42016,104 +43638,6 @@ (xy 159.997217 102.973471) (xy 160.182374 102.934115) (xy 160.355301 102.857122) - (xy 160.393284 102.829526) - (xy 172.842814 102.829526) - (xy 172.8626 103.017782) - (xy 172.862601 103.017785) - (xy 172.921092 103.197803) - (xy 172.921095 103.19781) - (xy 173.015741 103.361742) - (xy 173.073984 103.426427) - (xy 173.142403 103.502414) - (xy 173.295539 103.613674) - (xy 173.295544 103.613677) - (xy 173.468466 103.690668) - (xy 173.468471 103.69067) - (xy 173.653628 103.730026) - (xy 173.653629 103.730026) - (xy 173.842918 103.730026) - (xy 173.84292 103.730026) - (xy 174.028077 103.69067) - (xy 174.201004 103.613677) - (xy 174.354145 103.502414) - (xy 174.480807 103.361742) - (xy 174.575453 103.19781) - (xy 174.633948 103.017782) - (xy 174.653734 102.829526) - (xy 174.649782 102.791926) - (xy 191.523663 102.791926) - (xy 191.543449 102.980182) - (xy 191.543689 102.980922) - (xy 191.601941 103.160203) - (xy 191.601944 103.16021) - (xy 191.69659 103.324142) - (xy 191.777146 103.413608) - (xy 191.823252 103.464814) - (xy 191.976388 103.576074) - (xy 191.976393 103.576077) - (xy 192.149315 103.653068) - (xy 192.14932 103.65307) - (xy 192.334477 103.692426) - (xy 192.334478 103.692426) - (xy 192.523767 103.692426) - (xy 192.523769 103.692426) - (xy 192.708926 103.65307) - (xy 192.881853 103.576077) - (xy 193.034994 103.464814) - (xy 193.161656 103.324142) - (xy 193.256302 103.16021) - (xy 193.314797 102.980182) - (xy 193.334583 102.791926) - (xy 193.314797 102.60367) - (xy 193.256302 102.423642) - (xy 193.161656 102.25971) - (xy 193.034994 102.119038) - (xy 193.004641 102.096985) - (xy 192.881857 102.007777) - (xy 192.881852 102.007774) - (xy 192.70893 101.930783) - (xy 192.708925 101.930781) - (xy 192.563124 101.899791) - (xy 192.523769 101.891426) - (xy 192.334477 101.891426) - (xy 192.30202 101.898324) - (xy 192.14932 101.930781) - (xy 192.149315 101.930783) - (xy 191.976393 102.007774) - (xy 191.976388 102.007777) - (xy 191.823252 102.119037) - (xy 191.696589 102.259711) - (xy 191.601944 102.423641) - (xy 191.601941 102.423648) - (xy 191.544176 102.601433) - (xy 191.543449 102.60367) - (xy 191.523663 102.791926) - (xy 174.649782 102.791926) - (xy 174.633948 102.64127) - (xy 174.575453 102.461242) - (xy 174.480807 102.29731) - (xy 174.354145 102.156638) - (xy 174.354144 102.156637) - (xy 174.201008 102.045377) - (xy 174.201003 102.045374) - (xy 174.028081 101.968383) - (xy 174.028076 101.968381) - (xy 173.882275 101.937391) - (xy 173.84292 101.929026) - (xy 173.653628 101.929026) - (xy 173.621171 101.935924) - (xy 173.468471 101.968381) - (xy 173.468466 101.968383) - (xy 173.295544 102.045374) - (xy 173.295539 102.045377) - (xy 173.142403 102.156637) - (xy 173.01574 102.297311) - (xy 172.921095 102.461241) - (xy 172.921092 102.461248) - (xy 172.863858 102.637397) - (xy 172.8626 102.64127) - (xy 172.842814 102.829526) - (xy 160.393284 102.829526) (xy 160.508442 102.745859) (xy 160.635104 102.605187) (xy 160.72975 102.441255) @@ -42121,6 +43645,55 @@ (xy 160.808031 102.072971) (xy 160.788245 101.884715) (xy 160.72975 101.704687) + (xy 160.719265 101.686526) + (xy 193.162814 101.686526) + (xy 193.1826 101.874782) + (xy 193.182601 101.874785) + (xy 193.241092 102.054803) + (xy 193.241095 102.05481) + (xy 193.335741 102.218742) + (xy 193.398901 102.288888) + (xy 193.462403 102.359414) + (xy 193.615539 102.470674) + (xy 193.615544 102.470677) + (xy 193.788466 102.547668) + (xy 193.788471 102.54767) + (xy 193.973628 102.587026) + (xy 193.973629 102.587026) + (xy 194.162918 102.587026) + (xy 194.16292 102.587026) + (xy 194.348077 102.54767) + (xy 194.521004 102.470677) + (xy 194.674145 102.359414) + (xy 194.800807 102.218742) + (xy 194.895453 102.05481) + (xy 194.953948 101.874782) + (xy 194.973734 101.686526) + (xy 194.953948 101.49827) + (xy 194.895453 101.318242) + (xy 194.800807 101.15431) + (xy 194.674145 101.013638) + (xy 194.674144 101.013637) + (xy 194.521008 100.902377) + (xy 194.521003 100.902374) + (xy 194.348081 100.825383) + (xy 194.348076 100.825381) + (xy 194.202275 100.794391) + (xy 194.16292 100.786026) + (xy 193.973628 100.786026) + (xy 193.941171 100.792924) + (xy 193.788471 100.825381) + (xy 193.788466 100.825383) + (xy 193.615544 100.902374) + (xy 193.615539 100.902377) + (xy 193.462403 101.013637) + (xy 193.33574 101.154311) + (xy 193.241095 101.318241) + (xy 193.241092 101.318248) + (xy 193.188758 101.479317) + (xy 193.1826 101.49827) + (xy 193.162814 101.686526) + (xy 160.719265 101.686526) (xy 160.635104 101.540755) (xy 160.508442 101.400083) (xy 160.488797 101.38581) @@ -42175,432 +43748,27 @@ (xy 154.976971 101.057984) (xy 154.955181 100.990921) (xy 154.955178 100.990915) - (xy 154.938723 100.962414) (xy 154.860533 100.826984) (xy 154.733871 100.686312) - (xy 154.73387 100.686311) + (xy 154.682857 100.649248) (xy 154.580734 100.575051) (xy 154.580729 100.575048) (xy 154.407807 100.498057) (xy 154.407802 100.498055) - (xy 154.218902 100.457904) - (xy 154.15742 100.424712) - (xy 154.123644 100.363549) - (xy 154.123393 100.310832) - (xy 154.124673 100.30481) - (xy 154.124674 100.304808) - (xy 154.12628 100.289526) - (xy 172.842814 100.289526) - (xy 172.8626 100.477782) - (xy 172.862601 100.477785) - (xy 172.921092 100.657803) - (xy 172.921095 100.65781) - (xy 173.015741 100.821742) - (xy 173.125023 100.943112) - (xy 173.142403 100.962414) - (xy 173.295539 101.073674) - (xy 173.295544 101.073677) - (xy 173.468466 101.150668) - (xy 173.468471 101.15067) - (xy 173.653628 101.190026) - (xy 173.653629 101.190026) - (xy 173.842918 101.190026) - (xy 173.84292 101.190026) - (xy 174.028077 101.15067) - (xy 174.201004 101.073677) - (xy 174.354145 100.962414) - (xy 174.480807 100.821742) - (xy 174.575453 100.65781) - (xy 174.633948 100.477782) - (xy 174.653734 100.289526) - (xy 174.649782 100.251926) - (xy 191.523663 100.251926) - (xy 191.543449 100.440182) - (xy 191.54345 100.440185) - (xy 191.601941 100.620203) - (xy 191.601944 100.62021) - (xy 191.69659 100.784142) - (xy 191.782051 100.879056) - (xy 191.823252 100.924814) - (xy 191.976388 101.036074) - (xy 191.976393 101.036077) - (xy 192.149315 101.113068) - (xy 192.14932 101.11307) - (xy 192.334477 101.152426) - (xy 192.334478 101.152426) - (xy 192.523767 101.152426) - (xy 192.523769 101.152426) - (xy 192.708926 101.11307) - (xy 192.881853 101.036077) - (xy 193.034994 100.924814) - (xy 193.161656 100.784142) - (xy 193.256302 100.62021) - (xy 193.314797 100.440182) - (xy 193.334583 100.251926) - (xy 193.314797 100.06367) - (xy 193.256302 99.883642) - (xy 193.161656 99.71971) - (xy 193.034994 99.579038) - (xy 192.973211 99.53415) - (xy 192.881857 99.467777) - (xy 192.881852 99.467774) - (xy 192.70893 99.390783) - (xy 192.708925 99.390781) - (xy 192.563124 99.359791) - (xy 192.523769 99.351426) - (xy 192.334477 99.351426) - (xy 192.30202 99.358324) - (xy 192.14932 99.390781) - (xy 192.149315 99.390783) - (xy 191.976393 99.467774) - (xy 191.976388 99.467777) - (xy 191.823252 99.579037) - (xy 191.696589 99.719711) - (xy 191.601944 99.883641) - (xy 191.601941 99.883648) - (xy 191.54345 100.063666) - (xy 191.543449 100.06367) - (xy 191.523663 100.251926) - (xy 174.649782 100.251926) - (xy 174.633948 100.10127) - (xy 174.575453 99.921242) - (xy 174.480807 99.75731) - (xy 174.354145 99.616638) - (xy 174.334514 99.602375) - (xy 174.201008 99.505377) - (xy 174.201003 99.505374) - (xy 174.028081 99.428383) - (xy 174.028076 99.428381) - (xy 173.882275 99.397391) - (xy 173.84292 99.389026) - (xy 173.653628 99.389026) - (xy 173.621171 99.395924) - (xy 173.468471 99.428381) - (xy 173.468466 99.428383) - (xy 173.295544 99.505374) - (xy 173.295539 99.505377) - (xy 173.142403 99.616637) - (xy 173.01574 99.757311) - (xy 172.921095 99.921241) - (xy 172.921092 99.921248) - (xy 172.874818 100.063666) - (xy 172.8626 100.10127) - (xy 172.842814 100.289526) - (xy 154.12628 100.289526) - (xy 154.137863 100.179319) - (xy 154.164446 100.114707) - (xy 154.221744 100.074722) - (xy 154.235382 100.070998) - (xy 154.280803 100.061344) - (xy 154.280807 100.061342) - (xy 154.280808 100.061342) - (xy 154.339058 100.035406) - (xy 154.45373 99.984351) - (xy 154.606871 99.873088) - (xy 154.733533 99.732416) - (xy 154.780354 99.651318) - (xy 154.83092 99.603104) - (xy 154.899527 99.58988) - (xy 154.93859 99.602375) - (xy 154.939333 99.600708) - (xy 155.118192 99.680342) - (xy 155.118197 99.680344) - (xy 155.303354 99.7197) - (xy 155.303355 99.7197) - (xy 155.492644 99.7197) - (xy 155.492646 99.7197) - (xy 155.677803 99.680344) - (xy 155.85073 99.603351) - (xy 156.003871 99.492088) - (xy 156.130533 99.351416) - (xy 156.225179 99.187484) - (xy 156.283674 99.007456) - (xy 156.30346 98.8192) - (xy 156.283674 98.630944) - (xy 156.225179 98.450916) - (xy 156.130533 98.286984) - (xy 156.003871 98.146312) - (xy 155.971708 98.122944) - (xy 155.881219 98.0572) - (xy 158.68354 98.0572) - (xy 158.703326 98.245456) - (xy 158.703327 98.245459) - (xy 158.761818 98.425477) - (xy 158.761821 98.425484) - (xy 158.856467 98.589416) - (xy 158.927211 98.667985) - (xy 158.983129 98.730088) - (xy 159.136265 98.841348) - (xy 159.13627 98.841351) - (xy 159.309192 98.918342) - (xy 159.309197 98.918344) - (xy 159.494354 98.9577) - (xy 159.494355 98.9577) - (xy 159.683644 98.9577) - (xy 159.683646 98.9577) - (xy 159.868803 98.918344) - (xy 160.04173 98.841351) - (xy 160.194871 98.730088) - (xy 160.321533 98.589416) - (xy 160.416179 98.425484) - (xy 160.474674 98.245456) - (xy 160.49446 98.0572) - (xy 160.475471 97.876526) - (xy 172.715814 97.876526) - (xy 172.7356 98.064782) - (xy 172.735601 98.064785) - (xy 172.794092 98.244803) - (xy 172.794095 98.24481) - (xy 172.888741 98.408742) - (xy 172.970423 98.499459) - (xy 173.015403 98.549414) - (xy 173.168539 98.660674) - (xy 173.168544 98.660677) - (xy 173.341466 98.737668) - (xy 173.341471 98.73767) - (xy 173.526628 98.777026) - (xy 173.526629 98.777026) - (xy 173.715918 98.777026) - (xy 173.71592 98.777026) - (xy 173.901077 98.73767) - (xy 174.074004 98.660677) - (xy 174.227145 98.549414) - (xy 174.353807 98.408742) - (xy 174.448453 98.24481) - (xy 174.506948 98.064782) - (xy 174.526734 97.876526) - (xy 174.509434 97.711926) - (xy 191.523663 97.711926) - (xy 191.543449 97.900182) - (xy 191.54345 97.900185) - (xy 191.601941 98.080203) - (xy 191.601944 98.08021) - (xy 191.69659 98.244142) - (xy 191.816344 98.377142) - (xy 191.823252 98.384814) - (xy 191.976388 98.496074) - (xy 191.976393 98.496077) - (xy 192.149315 98.573068) - (xy 192.14932 98.57307) - (xy 192.334477 98.612426) - (xy 192.334478 98.612426) - (xy 192.523767 98.612426) - (xy 192.523769 98.612426) - (xy 192.708926 98.57307) - (xy 192.881853 98.496077) - (xy 193.034994 98.384814) - (xy 193.161656 98.244142) - (xy 193.256302 98.08021) - (xy 193.314797 97.900182) - (xy 193.334583 97.711926) - (xy 193.314797 97.52367) - (xy 193.256302 97.343642) - (xy 193.161656 97.17971) - (xy 193.034994 97.039038) - (xy 193.034993 97.039037) - (xy 192.881857 96.927777) - (xy 192.881852 96.927774) - (xy 192.70893 96.850783) - (xy 192.708925 96.850781) - (xy 192.545288 96.816) - (xy 192.523769 96.811426) - (xy 192.334477 96.811426) - (xy 192.312958 96.816) - (xy 192.14932 96.850781) - (xy 192.149315 96.850783) - (xy 191.976393 96.927774) - (xy 191.976388 96.927777) - (xy 191.823252 97.039037) - (xy 191.696589 97.179711) - (xy 191.601944 97.343641) - (xy 191.601941 97.343648) - (xy 191.567367 97.450057) - (xy 191.543449 97.52367) - (xy 191.523663 97.711926) - (xy 174.509434 97.711926) - (xy 174.506948 97.68827) - (xy 174.448453 97.508242) - (xy 174.353807 97.34431) - (xy 174.227145 97.203638) - (xy 174.216711 97.196057) - (xy 174.074008 97.092377) - (xy 174.074003 97.092374) - (xy 173.901081 97.015383) - (xy 173.901076 97.015381) - (xy 173.752419 96.983784) - (xy 173.71592 96.976026) - (xy 173.526628 96.976026) - (xy 173.494171 96.982924) - (xy 173.341471 97.015381) - (xy 173.341466 97.015383) - (xy 173.168544 97.092374) - (xy 173.168539 97.092377) - (xy 173.015403 97.203637) - (xy 172.88874 97.344311) - (xy 172.794095 97.508241) - (xy 172.794092 97.508248) - (xy 172.739215 97.677144) - (xy 172.7356 97.68827) - (xy 172.715814 97.876526) - (xy 160.475471 97.876526) - (xy 160.474674 97.868944) - (xy 160.420112 97.701023) - (xy 160.416181 97.688922) - (xy 160.41618 97.688921) - (xy 160.416179 97.688916) - (xy 160.321533 97.524984) - (xy 160.194871 97.384312) - (xy 160.19487 97.384311) - (xy 160.041734 97.273051) - (xy 160.041729 97.273048) - (xy 159.868807 97.196057) - (xy 159.8688 97.196055) - (xy 159.750876 97.17099) - (xy 159.689394 97.137798) - (xy 159.655617 97.076635) - (xy 159.660269 97.00692) - (xy 159.701873 96.950788) - (xy 159.767221 96.926059) - (xy 159.776656 96.9257) - (xy 159.810644 96.9257) - (xy 159.810646 96.9257) - (xy 159.995803 96.886344) - (xy 160.16873 96.809351) - (xy 160.321871 96.698088) - (xy 160.448533 96.557416) - (xy 160.543179 96.393484) - (xy 160.601674 96.213456) - (xy 160.62146 96.0252) - (xy 160.601674 95.836944) - (xy 160.543179 95.656916) - (xy 160.448533 95.492984) - (xy 160.321871 95.352312) - (xy 160.317274 95.348972) - (xy 160.168734 95.241051) - (xy 160.168729 95.241048) - (xy 159.995807 95.164057) - (xy 159.995802 95.164055) - (xy 159.850001 95.133065) - (xy 159.810646 95.1247) - (xy 159.621354 95.1247) - (xy 159.588897 95.131598) - (xy 159.436197 95.164055) - (xy 159.436192 95.164057) - (xy 159.26327 95.241048) - (xy 159.263265 95.241051) - (xy 159.110129 95.352311) - (xy 158.983466 95.492985) - (xy 158.888821 95.656915) - (xy 158.888818 95.656922) - (xy 158.833315 95.827744) - (xy 158.830326 95.836944) - (xy 158.81054 96.0252) - (xy 158.830326 96.213456) - (xy 158.830327 96.213459) - (xy 158.888818 96.393477) - (xy 158.888821 96.393484) - (xy 158.983467 96.557416) - (xy 159.101845 96.688888) - (xy 159.110129 96.698088) - (xy 159.263265 96.809348) - (xy 159.26327 96.809351) - (xy 159.436192 96.886342) - (xy 159.436193 96.886342) - (xy 159.436197 96.886344) - (xy 159.518891 96.903921) - (xy 159.554124 96.91141) - (xy 159.615606 96.944602) - (xy 159.649383 97.005765) - (xy 159.644731 97.07548) - (xy 159.603127 97.131612) - (xy 159.537779 97.156341) - (xy 159.528344 97.1567) - (xy 159.494354 97.1567) - (xy 159.461897 97.163598) - (xy 159.309197 97.196055) - (xy 159.309192 97.196057) - (xy 159.13627 97.273048) - (xy 159.136265 97.273051) - (xy 158.983129 97.384311) - (xy 158.856466 97.524985) - (xy 158.761821 97.688915) - (xy 158.761818 97.688922) - (xy 158.704524 97.865256) - (xy 158.703326 97.868944) - (xy 158.68354 98.0572) - (xy 155.881219 98.0572) - (xy 155.850734 98.035051) - (xy 155.850729 98.035048) - (xy 155.677807 97.958057) - (xy 155.677802 97.958055) - (xy 155.532001 97.927065) - (xy 155.492646 97.9187) - (xy 155.303354 97.9187) - (xy 155.270897 97.925598) - (xy 155.118197 97.958055) - (xy 155.118192 97.958057) - (xy 154.94527 98.035048) - (xy 154.945265 98.035051) - (xy 154.792129 98.146311) - (xy 154.665467 98.286983) - (xy 154.618645 98.368081) - (xy 154.568077 98.416296) - (xy 154.49947 98.429518) - (xy 154.460407 98.417029) - (xy 154.459667 98.418692) - (xy 154.280807 98.339057) - (xy 154.280802 98.339055) - (xy 154.135001 98.308065) - (xy 154.095646 98.2997) - (xy 153.906354 98.2997) - (xy 153.873897 98.306598) - (xy 153.721197 98.339055) - (xy 153.721192 98.339057) - (xy 153.54827 98.416048) - (xy 153.548265 98.416051) - (xy 153.395129 98.527311) - (xy 153.268466 98.667985) - (xy 153.173821 98.831915) - (xy 153.173818 98.831922) - (xy 153.124377 98.984088) - (xy 153.115326 99.011944) - (xy 153.107776 99.083784) - (xy 153.102137 99.137429) - (xy 153.075552 99.202044) - (xy 153.018254 99.242028) - (xy 153.004599 99.245757) - (xy 152.959194 99.255408) - (xy 152.959192 99.255409) - (xy 152.78627 99.3324) - (xy 152.786265 99.332403) - (xy 152.633129 99.443663) - (xy 152.506466 99.584337) - (xy 152.411821 99.748267) - (xy 152.411818 99.748274) - (xy 152.371264 99.873088) - (xy 152.353326 99.928296) - (xy 152.33354 100.116552) - (xy 152.353326 100.304808) - (xy 152.353327 100.30481) - (xy 152.353327 100.304811) - (xy 152.411818 100.484829) - (xy 152.411821 100.484836) - (xy 152.506467 100.648768) - (xy 152.60199 100.754857) - (xy 152.633129 100.78944) - (xy 152.786265 100.9007) - (xy 152.78627 100.900703) - (xy 152.959192 100.977694) - (xy 152.959193 100.977694) - (xy 152.959197 100.977696) - (xy 153.144354 101.017052) - (xy 153.144356 101.017052) - (xy 153.148096 101.017847) - (xy 153.209578 101.051039) - (xy 153.243355 101.112202) - (xy 153.243608 101.164908) - (xy 153.242327 101.170933) - (xy 153.233864 101.251456) + (xy 154.262001 100.467065) + (xy 154.222646 100.4587) + (xy 154.033354 100.4587) + (xy 154.000897 100.465598) + (xy 153.848197 100.498055) + (xy 153.848192 100.498057) + (xy 153.67527 100.575048) + (xy 153.675265 100.575051) + (xy 153.522129 100.686311) + (xy 153.395466 100.826985) + (xy 153.300821 100.990915) + (xy 153.300818 100.990922) + (xy 153.24773 101.154311) + (xy 153.242326 101.170944) (xy 153.22254 101.3592) (xy 153.242326 101.547456) (xy 153.242327 101.547459) @@ -42616,129 +43784,187 @@ (xy 152.633466 102.096985) (xy 152.538821 102.260915) (xy 152.538818 102.260922) - (xy 152.480327 102.44094) + (xy 152.489377 102.413088) (xy 152.480326 102.440944) (xy 152.46054 102.6292) (xy 152.480326 102.817456) (xy 152.480327 102.817459) (xy 152.538818 102.997477) (xy 152.538821 102.997484) - (xy 152.633467 103.161416) - (xy 152.760129 103.302088) - (xy 152.768604 103.308245) - (xy 152.81127 103.363574) - (xy 152.817251 103.433187) - (xy 152.784646 103.494983) - (xy 152.768606 103.508882) - (xy 152.633127 103.607313) - (xy 152.506466 103.747985) - (xy 152.411821 103.911915) - (xy 152.411818 103.911922) - (xy 152.361776 104.065937) - (xy 152.353326 104.091944) - (xy 152.33354 104.2802) - (xy 152.353326 104.468456) - (xy 152.353327 104.468459) - (xy 152.411818 104.648477) - (xy 152.411821 104.648484) - (xy 152.506467 104.812416) - (xy 152.57505 104.888585) - (xy 152.633129 104.953088) - (xy 152.786265 105.064348) - (xy 152.78627 105.064351) - (xy 152.959192 105.141342) - (xy 152.959197 105.141344) - (xy 153.144354 105.1807) - (xy 153.144355 105.1807) - (xy 153.333644 105.1807) - (xy 153.333646 105.1807) - (xy 153.518803 105.141344) - (xy 153.658803 105.07901) - (xy 153.728053 105.069726) - (xy 153.791329 105.099354) - (xy 153.828543 105.158488) - (xy 153.827879 105.228355) - (xy 153.82717 105.230608) - (xy 153.786395 105.356102) - (xy 153.767926 105.412944) - (xy 153.74814 105.6012) - (xy 153.767926 105.789456) - (xy 153.767927 105.789459) - (xy 153.826418 105.969477) - (xy 153.826421 105.969484) - (xy 153.921064 106.133412) - (xy 153.921065 106.133414) - (xy 153.921067 106.133416) - (xy 153.930239 106.143603) - (xy 153.960468 106.206591) - (xy 153.951844 106.275927) - (xy 153.910975 106.326892) - (xy 153.867727 106.358313) - (xy 153.741066 106.498985) - (xy 153.646421 106.662915) - (xy 153.646418 106.662922) - (xy 153.594996 106.821184) - (xy 153.587926 106.842944) - (xy 153.56814 107.0312) - (xy 153.578081 107.125788) - (xy 153.583752 107.179739) - (xy 153.571182 107.248469) - (xy 153.52345 107.299492) - (xy 153.460431 107.3167) - (xy 153.271354 107.3167) - (xy 153.238897 107.323598) - (xy 153.086197 107.356055) - (xy 153.086192 107.356057) - (xy 152.91327 107.433048) - (xy 152.913265 107.433051) - (xy 152.760129 107.544311) - (xy 152.633466 107.684985) - (xy 152.538821 107.848915) - (xy 152.538818 107.848922) - (xy 152.48573 108.012311) - (xy 152.480326 108.028944) - (xy 152.46054 108.2172) - (xy 152.480326 108.405456) - (xy 152.480327 108.405459) - (xy 152.538818 108.585477) - (xy 152.538821 108.585484) - (xy 152.633467 108.749416) - (xy 152.723089 108.848951) - (xy 152.760129 108.890088) - (xy 152.913265 109.001348) - (xy 152.91327 109.001351) - (xy 153.086192 109.078342) - (xy 153.086197 109.078344) - (xy 153.271354 109.1177) - (xy 153.271355 109.1177) - (xy 153.288386 109.1177) - (xy 153.355425 109.137385) - (xy 153.40118 109.190189) - (xy 153.411124 109.259347) - (xy 153.406318 109.280013) - (xy 153.388969 109.333409) - (xy 153.373455 109.381155) - (xy 153.334017 109.43883) - (xy 153.269658 109.466028) - (xy 153.205088 109.456115) - (xy 153.137807 109.426159) - (xy 153.137802 109.426157) - (xy 152.95855 109.388057) - (xy 152.952646 109.386802) - (xy 152.763354 109.386802) - (xy 152.75745 109.388057) - (xy 152.578197 109.426157) - (xy 152.578192 109.426159) - (xy 152.40527 109.50315) - (xy 152.405265 109.503153) - (xy 152.252129 109.614413) - (xy 152.125466 109.755087) - (xy 152.030821 109.919017) - (xy 152.030818 109.919024) - (xy 151.984707 110.06094) - (xy 151.972326 110.099046) - (xy 151.95254 110.287302) - (xy 123.774554 110.287302) + (xy 152.633466 103.161416) + (xy 152.633548 103.161528) + (xy 152.633574 103.161603) + (xy 152.636716 103.167044) + (xy 152.635721 103.167618) + (xy 152.657027 103.227334) + (xy 152.641201 103.295388) + (xy 152.591095 103.344082) + (xy 152.55901 103.355702) + (xy 152.513471 103.365381) + (xy 152.513466 103.365383) + (xy 152.340544 103.442374) + (xy 152.340539 103.442377) + (xy 152.187403 103.553637) + (xy 152.06074 103.694311) + (xy 151.966095 103.858241) + (xy 151.966092 103.858248) + (xy 151.911246 104.027048) + (xy 151.9076 104.03827) + (xy 151.887814 104.226526) + (xy 151.9076 104.414782) + (xy 151.907601 104.414785) + (xy 151.966092 104.594803) + (xy 151.966095 104.59481) + (xy 152.060741 104.758742) + (xy 152.187403 104.899414) + (xy 152.340539 105.010674) + (xy 152.340544 105.010677) + (xy 152.513466 105.087668) + (xy 152.513471 105.08767) + (xy 152.698628 105.127026) + (xy 152.698629 105.127026) + (xy 152.887918 105.127026) + (xy 152.88792 105.127026) + (xy 153.073077 105.08767) + (xy 153.246004 105.010677) + (xy 153.399145 104.899414) + (xy 153.525807 104.758742) + (xy 153.620453 104.59481) + (xy 153.678948 104.414782) + (xy 153.698734 104.226526) + (xy 153.693437 104.176135) + (xy 153.706006 104.107411) + (xy 153.753737 104.056387) + (xy 153.821477 104.039268) + (xy 153.887719 104.061489) + (xy 153.899727 104.071025) + (xy 153.90313 104.074089) + (xy 154.056265 104.185348) + (xy 154.05627 104.185351) + (xy 154.229192 104.262342) + (xy 154.229197 104.262344) + (xy 154.414354 104.3017) + (xy 154.414355 104.3017) + (xy 154.481633 104.3017) + (xy 154.548672 104.321385) + (xy 154.594427 104.374189) + (xy 154.604371 104.443347) + (xy 154.599564 104.464018) + (xy 154.547927 104.622938) + (xy 154.546838 104.628066) + (xy 154.513646 104.689548) + (xy 154.452483 104.723325) + (xy 154.451328 104.723576) + (xy 154.373797 104.740055) + (xy 154.373792 104.740057) + (xy 154.20087 104.817048) + (xy 154.200865 104.817051) + (xy 154.047729 104.928311) + (xy 153.921066 105.068985) + (xy 153.826421 105.232915) + (xy 153.826419 105.232919) + (xy 153.790321 105.344019) + (xy 153.750883 105.401694) + (xy 153.686525 105.428892) + (xy 153.64661 105.426991) + (xy 153.52292 105.4007) + (xy 153.333628 105.4007) + (xy 153.328952 105.401694) + (xy 153.148471 105.440055) + (xy 153.148466 105.440057) + (xy 152.975544 105.517048) + (xy 152.975539 105.517051) + (xy 152.822403 105.628311) + (xy 152.695739 105.768985) + (xy 152.600319 105.934258) + (xy 152.549752 105.982474) + (xy 152.518713 105.993548) + (xy 152.341858 106.031139) + (xy 152.341853 106.031141) + (xy 152.168931 106.108132) + (xy 152.168926 106.108135) + (xy 152.01579 106.219395) + (xy 151.889127 106.360069) + (xy 151.794482 106.523999) + (xy 151.79448 106.524003) + (xy 151.739053 106.69459) + (xy 151.699615 106.752265) + (xy 151.671559 106.76955) + (xy 151.495904 106.847758) + (xy 151.495903 106.847758) + (xy 151.411585 106.909019) + (xy 151.345779 106.932498) + (xy 151.277725 106.916672) + (xy 151.265816 106.909018) + (xy 151.214008 106.871377) + (xy 151.214003 106.871374) + (xy 151.041081 106.794383) + (xy 151.041076 106.794381) + (xy 150.895275 106.763391) + (xy 150.85592 106.755026) + (xy 150.666628 106.755026) + (xy 150.634171 106.761924) + (xy 150.481471 106.794381) + (xy 150.481466 106.794383) + (xy 150.308544 106.871374) + (xy 150.308539 106.871377) + (xy 150.155403 106.982637) + (xy 150.02874 107.123311) + (xy 149.934095 107.287241) + (xy 149.934092 107.287248) + (xy 149.887273 107.431344) + (xy 149.847835 107.48902) + (xy 149.783477 107.516218) + (xy 149.769342 107.517026) + (xy 149.650628 107.517026) + (xy 149.618171 107.523924) + (xy 149.465471 107.556381) + (xy 149.465466 107.556383) + (xy 149.292544 107.633374) + (xy 149.292539 107.633377) + (xy 149.139403 107.744637) + (xy 149.01274 107.885311) + (xy 148.918095 108.049241) + (xy 148.918092 108.049248) + (xy 148.859601 108.229266) + (xy 148.8596 108.22927) + (xy 148.839968 108.416059) + (xy 148.839814 108.417528) + (xy 148.841084 108.429615) + (xy 148.828513 108.498345) + (xy 148.780779 108.549367) + (xy 148.743547 108.563863) + (xy 148.71142 108.570692) + (xy 148.703471 108.572382) + (xy 148.70347 108.572382) + (xy 148.703467 108.572383) + (xy 148.703466 108.572383) + (xy 148.530544 108.649374) + (xy 148.530539 108.649377) + (xy 148.377403 108.760637) + (xy 148.25074 108.901311) + (xy 148.156095 109.065241) + (xy 148.156092 109.065248) + (xy 148.106651 109.217414) + (xy 148.0976 109.24527) + (xy 148.077814 109.433526) + (xy 148.0976 109.621782) + (xy 148.097601 109.621785) + (xy 148.156092 109.801803) + (xy 148.156094 109.801807) + (xy 148.156095 109.80181) + (xy 148.174118 109.833026) + (xy 148.237626 109.943027) + (xy 148.254098 110.010927) + (xy 148.237626 110.067025) + (xy 148.156094 110.208244) + (xy 148.156092 110.208248) + (xy 148.101215 110.377144) + (xy 148.0976 110.38827) + (xy 148.077814 110.576526) + (xy 123.726788 110.576526) + (xy 123.755948 110.486782) + (xy 123.775734 110.298526) (xy 123.755948 110.11027) (xy 123.697453 109.930242) (xy 123.602807 109.76631) @@ -42775,7 +44001,7 @@ (xy 122.21574 108.012311) (xy 122.121095 108.176241) (xy 122.121092 108.176248) - (xy 122.073918 108.321436) + (xy 122.079325 108.304796) (xy 122.0626 108.35627) (xy 122.042814 108.544526) (xy 122.0626 108.732782) @@ -42784,7 +44010,7 @@ (xy 122.121095 108.91281) (xy 122.215741 109.076742) (xy 122.342403 109.217414) - (xy 122.344611 109.219018) + (xy 122.380744 109.24527) (xy 122.450627 109.296043) (xy 122.493292 109.351373) (xy 122.499271 109.420986) @@ -42804,7 +44030,7 @@ (xy 122.043092 110.666803) (xy 122.043095 110.66681) (xy 122.137741 110.830742) - (xy 122.138738 110.831849) + (xy 122.147246 110.841299) (xy 122.149086 110.843342) (xy 122.179315 110.906333) (xy 122.170689 110.975669) @@ -42813,7 +44039,7 @@ (xy 122.097739 111.066311) (xy 122.003095 111.230241) (xy 122.003092 111.230248) - (xy 121.947997 111.399814) + (xy 121.944601 111.410266) (xy 121.9446 111.41027) (xy 121.924814 111.598526) (xy 121.9446 111.786782) @@ -42829,9 +44055,8 @@ (xy 122.517378 112.466483) (xy 122.537699 112.533332) (xy 122.537026 112.547469) - (xy 122.535771 112.559416) - (xy 122.534814 112.568526) - (xy 122.534957 112.56989) + (xy 122.534814 112.568522) + (xy 122.534814 112.568523) (xy 122.535467 112.574736) (xy 122.522898 112.643466) (xy 122.475166 112.69449) @@ -42865,14 +44090,14 @@ (xy 115.738859 113.943011) (xy 115.728915 113.873853) (xy 115.733722 113.853182) - (xy 115.740653 113.831851) + (xy 115.741987 113.827744) (xy 115.770674 113.739456) (xy 115.79046 113.5512) (xy 115.770674 113.362944) (xy 115.712179 113.182916) (xy 115.617533 113.018984) (xy 115.490871 112.878312) - (xy 115.49087 112.878311) + (xy 115.482364 112.872131) (xy 115.337734 112.767051) (xy 115.337729 112.767048) (xy 115.164807 112.690057) @@ -42914,7 +44139,7 @@ (xy 110.948453 110.716242) (xy 110.853807 110.55231) (xy 110.727145 110.411638) - (xy 110.727144 110.411637) + (xy 110.694982 110.38827) (xy 110.574008 110.300377) (xy 110.574003 110.300374) (xy 110.459066 110.2492) @@ -42924,7 +44149,7 @@ (xy 113.803818 110.617477) (xy 113.803821 110.617484) (xy 113.898467 110.781416) - (xy 113.954226 110.843342) + (xy 113.994225 110.887766) (xy 114.025129 110.922088) (xy 114.178265 111.033348) (xy 114.17827 111.033351) @@ -42976,15 +44201,15 @@ (xy 110.1726 109.930248) (xy 110.091807 109.79031) (xy 109.965145 109.649638) - (xy 109.935056 109.627777) + (xy 109.953687 109.641313) (xy 109.812008 109.538377) (xy 109.812003 109.538374) (xy 109.639081 109.461383) (xy 109.639076 109.461381) - (xy 109.473364 109.426159) + (xy 109.490565 109.429815) (xy 109.45392 109.422026) (xy 109.264628 109.422026) - (xy 109.245184 109.426159) + (xy 109.232171 109.428924) (xy 109.079471 109.461381) (xy 109.079466 109.461383) (xy 108.906544 109.538374) @@ -43020,9 +44245,9 @@ (xy 105.644713 111.587877) (xy 105.645774 111.604063) (xy 105.645774 112.088988) - (xy 105.644712 112.105173) + (xy 105.644713 112.105173) + (xy 105.640592 112.136474) (xy 105.640592 112.136476) - (xy 105.644712 112.167774) (xy 105.645774 112.175836) (xy 105.645774 112.175838) (xy 105.654575 112.242692) @@ -43037,7 +44262,7 @@ (xy 104.94374 112.743305) (xy 104.849095 112.907235) (xy 104.849092 112.907242) - (xy 104.797721 113.065348) + (xy 104.803122 113.048724) (xy 104.7906 113.087264) (xy 104.770814 113.27552) (xy 104.7906 113.463776) @@ -43046,7 +44271,7 @@ (xy 104.849095 113.643804) (xy 104.943741 113.807736) (xy 104.984661 113.853182) - (xy 105.065633 113.943111) + (xy 105.065543 113.943011) (xy 105.070403 113.948408) (xy 105.104932 113.973494) (xy 105.147596 114.028822) @@ -43067,7 +44292,7 @@ (xy 104.595092 115.389803) (xy 104.595095 115.38981) (xy 104.689741 115.553742) - (xy 104.803591 115.680185) + (xy 104.773955 115.647271) (xy 104.820752 115.699244) (xy 104.818907 115.700904) (xy 104.849687 115.7509) @@ -43077,7 +44302,7 @@ (xy 104.68974 116.013311) (xy 104.595095 116.177241) (xy 104.595092 116.177248) - (xy 104.536601 116.357266) + (xy 104.539197 116.349277) (xy 104.5366 116.35727) (xy 104.516814 116.545526) (xy 104.5366 116.733782) @@ -43085,7 +44310,7 @@ (xy 104.595092 116.913803) (xy 104.595095 116.91381) (xy 104.689741 117.077742) - (xy 104.773514 117.170781) + (xy 104.807369 117.208381) (xy 104.816403 117.218414) (xy 104.969539 117.329674) (xy 104.969544 117.329677) @@ -43106,14 +44331,13 @@ (xy 105.623495 117.708543) (xy 103.507239 119.824798) (xy 103.495049 119.835489) - (xy 103.46999 119.854718) - (xy 103.469989 119.85472) - (xy 103.451149 119.879273) - (xy 103.394721 119.920475) - (xy 103.324975 119.92463) - (xy 103.264055 119.890417) - (xy 103.231303 119.8287) - (xy 103.228774 119.803786) + (xy 103.469993 119.854716) + (xy 103.451149 119.879275) + (xy 103.394721 119.920477) + (xy 103.324975 119.924631) + (xy 103.264055 119.890418) + (xy 103.231302 119.8287) + (xy 103.228774 119.803787) (xy 103.228774 111.700623) (xy 103.248459 111.633584) (xy 103.265093 111.612942) @@ -43124,7 +44348,7 @@ (xy 113.803818 108.204477) (xy 113.803821 108.204484) (xy 113.898467 108.368416) - (xy 113.965723 108.443111) + (xy 113.985809 108.465419) (xy 114.025129 108.509088) (xy 114.178265 108.620348) (xy 114.17827 108.620351) @@ -43162,7 +44386,7 @@ (xy 113.898466 107.303985) (xy 113.803821 107.467915) (xy 113.803818 107.467922) - (xy 113.755813 107.615668) + (xy 113.750059 107.633377) (xy 113.745326 107.647944) (xy 113.72554 107.8362) (xy 107.041835 107.8362) @@ -43181,13 +44405,13 @@ (xy 109.880297 105.811834) (xy 109.890991 105.824028) (xy 109.910215 105.849081) - (xy 109.993072 105.912659) - (xy 109.993073 105.912661) - (xy 110.027618 105.939167) + (xy 109.910216 105.849082) + (xy 109.98359 105.905383) + (xy 110.003529 105.920683) + (xy 110.00353 105.920684) (xy 110.035657 105.945336) - (xy 110.035659 105.945336) (xy 110.035661 105.945338) - (xy 110.078113 105.962922) + (xy 110.093938 105.969477) (xy 110.181736 106.005844) (xy 110.260117 106.016163) (xy 110.338497 106.026482) @@ -43199,7 +44423,7 @@ (xy 117.597687 106.093789) (xy 117.607631 106.162947) (xy 117.602824 106.183618) - (xy 117.582474 106.24625) + (xy 117.585399 106.237248) (xy 117.576497 106.264644) (xy 117.556711 106.4529) (xy 117.576497 106.641156) @@ -43207,6 +44431,7 @@ (xy 117.634989 106.821177) (xy 117.634992 106.821184) (xy 117.729638 106.985116) + (xy 117.773524 107.033856) (xy 117.8563 107.125788) (xy 118.009436 107.237048) (xy 118.009441 107.237051) @@ -43246,7 +44471,7 @@ (xy 117.7406 107.983953) (xy 117.645955 108.147883) (xy 117.645952 108.14789) - (xy 117.589564 108.321436) + (xy 117.588457 108.324843) (xy 117.58746 108.327912) (xy 117.567674 108.516168) (xy 117.58746 108.704424) @@ -43257,7 +44482,7 @@ (xy 117.676929 109.062101) (xy 117.627502 109.147711) (xy 117.627501 109.147713) - (xy 117.569007 109.32774) + (xy 117.577757 109.30081) (xy 117.569006 109.327744) (xy 117.54922 109.516) (xy 117.569006 109.704256) @@ -43416,17 +44641,394 @@ (xy 120.371803 100.188344) (xy 120.371807 100.188342) (xy 120.371808 100.188342) - (xy 120.46194 100.148212) + (xy 120.443592 100.156381) (xy 120.54473 100.111351) (xy 120.697871 100.000088) (xy 120.824533 99.859416) + (xy 120.869503 99.781526) + (xy 151.887814 99.781526) + (xy 151.9076 99.969782) + (xy 151.907601 99.969785) + (xy 151.966092 100.149803) + (xy 151.966095 100.14981) + (xy 152.060741 100.313742) + (xy 152.129685 100.390312) + (xy 152.187403 100.454414) + (xy 152.340539 100.565674) + (xy 152.340544 100.565677) + (xy 152.513466 100.642668) + (xy 152.513471 100.64267) + (xy 152.698628 100.682026) + (xy 152.698629 100.682026) + (xy 152.887918 100.682026) + (xy 152.88792 100.682026) + (xy 153.073077 100.64267) + (xy 153.246004 100.565677) + (xy 153.399145 100.454414) + (xy 153.525807 100.313742) + (xy 153.620453 100.14981) + (xy 153.678948 99.969782) + (xy 153.698734 99.781526) + (xy 153.678948 99.59327) + (xy 153.660566 99.536699) + (xy 153.658572 99.466861) + (xy 153.694652 99.407028) + (xy 153.757352 99.376199) + (xy 153.804279 99.377093) + (xy 153.808669 99.378026) + (xy 153.968628 99.412026) + (xy 153.968629 99.412026) + (xy 154.157918 99.412026) + (xy 154.15792 99.412026) + (xy 154.343077 99.37267) + (xy 154.516004 99.295677) + (xy 154.669145 99.184414) + (xy 154.70326 99.146526) + (xy 193.162814 99.146526) + (xy 193.1826 99.334782) + (xy 193.182601 99.334785) + (xy 193.241092 99.514803) + (xy 193.241095 99.51481) + (xy 193.335741 99.678742) + (xy 193.448755 99.804256) + (xy 193.462403 99.819414) + (xy 193.615539 99.930674) + (xy 193.615544 99.930677) + (xy 193.788466 100.007668) + (xy 193.788471 100.00767) + (xy 193.973628 100.047026) + (xy 193.973629 100.047026) + (xy 194.162918 100.047026) + (xy 194.16292 100.047026) + (xy 194.348077 100.00767) + (xy 194.521004 99.930677) + (xy 194.674145 99.819414) + (xy 194.800807 99.678742) + (xy 194.895453 99.51481) + (xy 194.953948 99.334782) + (xy 194.973734 99.146526) + (xy 194.953948 98.95827) + (xy 194.895453 98.778242) + (xy 194.800807 98.61431) + (xy 194.674145 98.473638) + (xy 194.663711 98.466057) + (xy 194.521008 98.362377) + (xy 194.521003 98.362374) + (xy 194.348081 98.285383) + (xy 194.348076 98.285381) + (xy 194.202275 98.254391) + (xy 194.16292 98.246026) + (xy 193.973628 98.246026) + (xy 193.941171 98.252924) + (xy 193.788471 98.285381) + (xy 193.788466 98.285383) + (xy 193.615544 98.362374) + (xy 193.615539 98.362377) + (xy 193.462403 98.473637) + (xy 193.33574 98.614311) + (xy 193.241095 98.778241) + (xy 193.241092 98.778248) + (xy 193.19491 98.920383) + (xy 193.1826 98.95827) + (xy 193.162814 99.146526) + (xy 154.70326 99.146526) + (xy 154.795807 99.043742) + (xy 154.890453 98.87981) + (xy 154.902279 98.843414) + (xy 154.909683 98.820627) + (xy 154.94912 98.762951) + (xy 155.013478 98.735752) + (xy 155.053395 98.737654) + (xy 155.131264 98.754205) + (xy 155.238628 98.777026) + (xy 155.238629 98.777026) + (xy 155.427918 98.777026) + (xy 155.42792 98.777026) + (xy 155.613077 98.73767) + (xy 155.786004 98.660677) + (xy 155.939145 98.549414) + (xy 156.065807 98.408742) + (xy 156.160453 98.24481) + (xy 156.218948 98.064782) + (xy 156.219745 98.0572) + (xy 158.68354 98.0572) + (xy 158.703326 98.245456) + (xy 158.703327 98.245459) + (xy 158.761818 98.425477) + (xy 158.761821 98.425484) + (xy 158.856467 98.589416) + (xy 158.937559 98.679477) + (xy 158.983129 98.730088) + (xy 159.136265 98.841348) + (xy 159.13627 98.841351) + (xy 159.309192 98.918342) + (xy 159.309197 98.918344) + (xy 159.494354 98.9577) + (xy 159.494355 98.9577) + (xy 159.683644 98.9577) + (xy 159.683646 98.9577) + (xy 159.868803 98.918344) + (xy 160.04173 98.841351) + (xy 160.194871 98.730088) + (xy 160.321533 98.589416) + (xy 160.416179 98.425484) + (xy 160.474674 98.245456) + (xy 160.49446 98.0572) + (xy 160.474674 97.868944) + (xy 160.418654 97.696532) + (xy 160.416181 97.688922) + (xy 160.41618 97.688921) + (xy 160.416179 97.688916) + (xy 160.321533 97.524984) + (xy 160.194871 97.384312) + (xy 160.19487 97.384311) + (xy 160.173144 97.368526) + (xy 172.207814 97.368526) + (xy 172.2276 97.556782) + (xy 172.227601 97.556785) + (xy 172.286092 97.736803) + (xy 172.286095 97.73681) + (xy 172.380741 97.900742) + (xy 172.451485 97.979311) + (xy 172.507403 98.041414) + (xy 172.660539 98.152674) + (xy 172.660544 98.152677) + (xy 172.833466 98.229668) + (xy 172.833471 98.22967) + (xy 173.018628 98.269026) + (xy 173.018629 98.269026) + (xy 173.207918 98.269026) + (xy 173.20792 98.269026) + (xy 173.393077 98.22967) + (xy 173.566004 98.152677) + (xy 173.719145 98.041414) + (xy 173.845807 97.900742) + (xy 173.940453 97.73681) + (xy 173.998948 97.556782) + (xy 174.018734 97.368526) + (xy 173.998948 97.18027) + (xy 173.940453 97.000242) + (xy 173.845807 96.83631) + (xy 173.719145 96.695638) + (xy 173.719144 96.695637) + (xy 173.596493 96.606526) + (xy 193.162814 96.606526) + (xy 193.1826 96.794782) + (xy 193.182601 96.794785) + (xy 193.241092 96.974803) + (xy 193.241095 96.97481) + (xy 193.335741 97.138742) + (xy 193.387346 97.196055) + (xy 193.462403 97.279414) + (xy 193.615539 97.390674) + (xy 193.615544 97.390677) + (xy 193.788466 97.467668) + (xy 193.788471 97.46767) + (xy 193.973628 97.507026) + (xy 193.973629 97.507026) + (xy 194.162918 97.507026) + (xy 194.16292 97.507026) + (xy 194.348077 97.46767) + (xy 194.521004 97.390677) + (xy 194.674145 97.279414) + (xy 194.800807 97.138742) + (xy 194.895453 96.97481) + (xy 194.953948 96.794782) + (xy 194.973734 96.606526) + (xy 194.953948 96.41827) + (xy 194.895453 96.238242) + (xy 194.800807 96.07431) + (xy 194.674145 95.933638) + (xy 194.649749 95.915913) + (xy 194.521008 95.822377) + (xy 194.521003 95.822374) + (xy 194.348081 95.745383) + (xy 194.348076 95.745381) + (xy 194.202275 95.714391) + (xy 194.16292 95.706026) + (xy 193.973628 95.706026) + (xy 193.941171 95.712924) + (xy 193.788471 95.745381) + (xy 193.788466 95.745383) + (xy 193.615544 95.822374) + (xy 193.615539 95.822377) + (xy 193.462403 95.933637) + (xy 193.33574 96.074311) + (xy 193.241095 96.238241) + (xy 193.241092 96.238248) + (xy 193.184719 96.411748) + (xy 193.1826 96.41827) + (xy 193.162814 96.606526) + (xy 173.596493 96.606526) + (xy 173.566008 96.584377) + (xy 173.566003 96.584374) + (xy 173.393081 96.507383) + (xy 173.393076 96.507381) + (xy 173.247275 96.476391) + (xy 173.20792 96.468026) + (xy 173.018628 96.468026) + (xy 172.986171 96.474924) + (xy 172.833471 96.507381) + (xy 172.833466 96.507383) + (xy 172.660544 96.584374) + (xy 172.660539 96.584377) + (xy 172.507403 96.695637) + (xy 172.38074 96.836311) + (xy 172.286095 97.000241) + (xy 172.286092 97.000248) + (xy 172.235375 97.156341) + (xy 172.2276 97.18027) + (xy 172.207814 97.368526) + (xy 160.173144 97.368526) + (xy 160.041734 97.273051) + (xy 160.041729 97.273048) + (xy 159.868807 97.196057) + (xy 159.8688 97.196055) + (xy 159.750876 97.17099) + (xy 159.689394 97.137798) + (xy 159.655617 97.076635) + (xy 159.660269 97.00692) + (xy 159.701873 96.950788) + (xy 159.767221 96.926059) + (xy 159.776656 96.9257) + (xy 159.810644 96.9257) + (xy 159.810646 96.9257) + (xy 159.995803 96.886344) + (xy 160.16873 96.809351) + (xy 160.321871 96.698088) + (xy 160.448533 96.557416) + (xy 160.543179 96.393484) + (xy 160.601674 96.213456) + (xy 160.62146 96.0252) + (xy 160.601674 95.836944) + (xy 160.543179 95.656916) + (xy 160.448533 95.492984) + (xy 160.321871 95.352312) + (xy 160.317274 95.348972) + (xy 160.168734 95.241051) + (xy 160.168729 95.241048) + (xy 159.995807 95.164057) + (xy 159.995802 95.164055) + (xy 159.850001 95.133065) + (xy 159.810646 95.1247) + (xy 159.621354 95.1247) + (xy 159.588897 95.131598) + (xy 159.436197 95.164055) + (xy 159.436192 95.164057) + (xy 159.26327 95.241048) + (xy 159.263265 95.241051) + (xy 159.110129 95.352311) + (xy 158.983466 95.492985) + (xy 158.888821 95.656915) + (xy 158.888818 95.656922) + (xy 158.833315 95.827744) + (xy 158.830326 95.836944) + (xy 158.81054 96.0252) + (xy 158.830326 96.213456) + (xy 158.830327 96.213459) + (xy 158.888818 96.393477) + (xy 158.888821 96.393484) + (xy 158.983467 96.557416) + (xy 159.101845 96.688888) + (xy 159.110129 96.698088) + (xy 159.263265 96.809348) + (xy 159.26327 96.809351) + (xy 159.436192 96.886342) + (xy 159.436193 96.886342) + (xy 159.436197 96.886344) + (xy 159.518891 96.903921) + (xy 159.554124 96.91141) + (xy 159.615606 96.944602) + (xy 159.649383 97.005765) + (xy 159.644731 97.07548) + (xy 159.603127 97.131612) + (xy 159.537779 97.156341) + (xy 159.528344 97.1567) + (xy 159.494354 97.1567) + (xy 159.461897 97.163598) + (xy 159.309197 97.196055) + (xy 159.309192 97.196057) + (xy 159.13627 97.273048) + (xy 159.136265 97.273051) + (xy 158.983129 97.384311) + (xy 158.856466 97.524985) + (xy 158.761821 97.688915) + (xy 158.761818 97.688922) + (xy 158.704524 97.865256) + (xy 158.703326 97.868944) + (xy 158.68354 98.0572) + (xy 156.219745 98.0572) + (xy 156.238734 97.876526) + (xy 156.218948 97.68827) + (xy 156.160453 97.508242) + (xy 156.065807 97.34431) + (xy 155.939145 97.203638) + (xy 155.928711 97.196057) + (xy 155.786008 97.092377) + (xy 155.786003 97.092374) + (xy 155.613081 97.015383) + (xy 155.613076 97.015381) + (xy 155.464419 96.983784) + (xy 155.42792 96.976026) + (xy 155.238628 96.976026) + (xy 155.206171 96.982924) + (xy 155.053471 97.015381) + (xy 155.053466 97.015383) + (xy 154.880544 97.092374) + (xy 154.880539 97.092377) + (xy 154.727403 97.203637) + (xy 154.60074 97.344311) + (xy 154.506095 97.508241) + (xy 154.506094 97.508243) + (xy 154.486864 97.567427) + (xy 154.447425 97.625102) + (xy 154.383066 97.652299) + (xy 154.343153 97.650397) + (xy 154.157921 97.611026) + (xy 154.15792 97.611026) + (xy 153.968628 97.611026) + (xy 153.94343 97.616382) + (xy 153.783471 97.650381) + (xy 153.783466 97.650383) + (xy 153.610544 97.727374) + (xy 153.610539 97.727377) + (xy 153.457403 97.838637) + (xy 153.33074 97.979311) + (xy 153.236095 98.143241) + (xy 153.236092 98.143248) + (xy 153.177601 98.323266) + (xy 153.1776 98.32327) + (xy 153.157814 98.511526) + (xy 153.1776 98.699782) + (xy 153.177601 98.699785) + (xy 153.19598 98.75635) + (xy 153.197975 98.826191) + (xy 153.161895 98.886024) + (xy 153.099194 98.916852) + (xy 153.052269 98.915959) + (xy 153.026136 98.910404) + (xy 152.88792 98.881026) + (xy 152.698628 98.881026) + (xy 152.675114 98.886024) + (xy 152.513471 98.920381) + (xy 152.513466 98.920383) + (xy 152.340544 98.997374) + (xy 152.340539 98.997377) + (xy 152.187403 99.108637) + (xy 152.06074 99.249311) + (xy 151.966095 99.413241) + (xy 151.966092 99.413248) + (xy 151.907601 99.593266) + (xy 151.9076 99.59327) + (xy 151.887814 99.781526) + (xy 120.869503 99.781526) (xy 120.919179 99.695484) (xy 120.9716 99.534147) (xy 121.011036 99.476475) (xy 121.075394 99.449276) (xy 121.144241 99.46119) (xy 121.162412 99.472147) - (xy 121.208146 99.505375) + (xy 121.215266 99.510548) (xy 121.21527 99.510551) (xy 121.388192 99.587542) (xy 121.388197 99.587544) @@ -43442,10 +45044,13 @@ (xy 122.553674 98.914656) (xy 122.57346 98.7264) (xy 122.553674 98.538144) + (xy 122.499112 98.370223) + (xy 122.495181 98.358122) + (xy 122.49518 98.358121) (xy 122.495179 98.358116) (xy 122.400533 98.194184) (xy 122.273871 98.053512) - (xy 122.248459 98.035049) + (xy 122.266579 98.048214) (xy 122.120734 97.942251) (xy 122.120729 97.942248) (xy 121.947807 97.865257) @@ -43481,6 +45086,7 @@ (xy 119.725266 98.339721) (xy 119.740443 98.27152) (xy 119.776106 98.231147) + (xy 119.778142 98.229668) (xy 119.834271 98.188888) (xy 119.960933 98.048216) (xy 120.055579 97.884284) @@ -43525,7 +45131,7 @@ (xy 163.614418 95.335477) (xy 163.614421 95.335484) (xy 163.709067 95.499416) - (xy 163.824485 95.6276) + (xy 163.801458 95.602026) (xy 163.835729 95.640088) (xy 163.988865 95.751348) (xy 163.98887 95.751351) @@ -43551,107 +45157,108 @@ (xy 165.679246 95.4867) (xy 165.864403 95.447344) (xy 166.03733 95.370351) - (xy 166.083886 95.336526) - (xy 172.715814 95.336526) - (xy 172.7356 95.524782) - (xy 172.735601 95.524785) - (xy 172.794092 95.704803) - (xy 172.794095 95.70481) - (xy 172.888741 95.868742) - (xy 173.015403 96.009414) - (xy 173.168539 96.120674) - (xy 173.168544 96.120677) - (xy 173.341466 96.197668) - (xy 173.341471 96.19767) - (xy 173.526628 96.237026) - (xy 173.526629 96.237026) - (xy 173.715918 96.237026) - (xy 173.71592 96.237026) - (xy 173.901077 96.19767) - (xy 174.074004 96.120677) - (xy 174.227145 96.009414) - (xy 174.353807 95.868742) - (xy 174.448453 95.70481) - (xy 174.506948 95.524782) - (xy 174.526734 95.336526) - (xy 174.509434 95.171926) - (xy 191.523663 95.171926) - (xy 191.543449 95.360182) - (xy 191.54345 95.360185) - (xy 191.601941 95.540203) - (xy 191.601944 95.54021) - (xy 191.69659 95.704142) - (xy 191.807882 95.827744) - (xy 191.823252 95.844814) - (xy 191.976388 95.956074) - (xy 191.976393 95.956077) - (xy 192.149315 96.033068) - (xy 192.14932 96.03307) - (xy 192.334477 96.072426) - (xy 192.334478 96.072426) - (xy 192.523767 96.072426) - (xy 192.523769 96.072426) - (xy 192.708926 96.03307) - (xy 192.881853 95.956077) - (xy 193.034994 95.844814) - (xy 193.161656 95.704142) - (xy 193.256302 95.54021) - (xy 193.314797 95.360182) - (xy 193.334583 95.171926) - (xy 193.314797 94.98367) - (xy 193.256302 94.803642) - (xy 193.161656 94.63971) - (xy 193.034994 94.499038) - (xy 193.034993 94.499037) - (xy 192.881857 94.387777) - (xy 192.881852 94.387774) - (xy 192.70893 94.310783) - (xy 192.708925 94.310781) - (xy 192.563124 94.279791) - (xy 192.523769 94.271426) - (xy 192.334477 94.271426) - (xy 192.30202 94.278324) - (xy 192.14932 94.310781) - (xy 192.149315 94.310783) - (xy 191.976393 94.387774) - (xy 191.976388 94.387777) - (xy 191.823252 94.499037) - (xy 191.696589 94.639711) - (xy 191.601944 94.803641) - (xy 191.601941 94.803648) - (xy 191.552934 94.954477) - (xy 191.543449 94.98367) - (xy 191.523663 95.171926) - (xy 174.509434 95.171926) - (xy 174.506948 95.14827) - (xy 174.448453 94.968242) - (xy 174.353807 94.80431) - (xy 174.227145 94.663638) - (xy 174.216711 94.656057) - (xy 174.074008 94.552377) - (xy 174.074003 94.552374) - (xy 173.901081 94.475383) - (xy 173.901076 94.475381) - (xy 173.755275 94.444391) - (xy 173.71592 94.436026) - (xy 173.526628 94.436026) - (xy 173.494171 94.442924) - (xy 173.341471 94.475381) - (xy 173.341466 94.475383) - (xy 173.168544 94.552374) - (xy 173.168539 94.552377) - (xy 173.015403 94.663637) - (xy 172.88874 94.804311) - (xy 172.794095 94.968241) - (xy 172.794092 94.968248) - (xy 172.7453 95.118416) - (xy 172.7356 95.14827) - (xy 172.715814 95.336526) - (xy 166.083886 95.336526) (xy 166.190471 95.259088) (xy 166.317133 95.118416) (xy 166.411779 94.954484) (xy 166.470274 94.774456) + (xy 166.477939 94.701526) + (xy 172.207814 94.701526) + (xy 172.2276 94.889782) + (xy 172.227601 94.889785) + (xy 172.286092 95.069803) + (xy 172.286095 95.06981) + (xy 172.380741 95.233742) + (xy 172.484495 95.348972) + (xy 172.507403 95.374414) + (xy 172.660539 95.485674) + (xy 172.660544 95.485677) + (xy 172.833466 95.562668) + (xy 172.833471 95.56267) + (xy 173.018628 95.602026) + (xy 173.018629 95.602026) + (xy 173.207918 95.602026) + (xy 173.20792 95.602026) + (xy 173.393077 95.56267) + (xy 173.566004 95.485677) + (xy 173.719145 95.374414) + (xy 173.845807 95.233742) + (xy 173.940453 95.06981) + (xy 173.998948 94.889782) + (xy 174.018734 94.701526) + (xy 173.998948 94.51327) + (xy 173.940453 94.333242) + (xy 173.845807 94.16931) + (xy 173.75326 94.066526) + (xy 193.162814 94.066526) + (xy 193.1826 94.254782) + (xy 193.182601 94.254785) + (xy 193.241092 94.434803) + (xy 193.241095 94.43481) + (xy 193.335741 94.598742) + (xy 193.430747 94.704256) + (xy 193.462403 94.739414) + (xy 193.615539 94.850674) + (xy 193.615544 94.850677) + (xy 193.788466 94.927668) + (xy 193.788471 94.92767) + (xy 193.973628 94.967026) + (xy 193.973629 94.967026) + (xy 194.162918 94.967026) + (xy 194.16292 94.967026) + (xy 194.348077 94.92767) + (xy 194.521004 94.850677) + (xy 194.674145 94.739414) + (xy 194.800807 94.598742) + (xy 194.895453 94.43481) + (xy 194.953948 94.254782) + (xy 194.973734 94.066526) + (xy 194.953948 93.87827) + (xy 194.895453 93.698242) + (xy 194.800807 93.53431) + (xy 194.674145 93.393638) + (xy 194.674144 93.393637) + (xy 194.521008 93.282377) + (xy 194.521003 93.282374) + (xy 194.348081 93.205383) + (xy 194.348076 93.205381) + (xy 194.176549 93.168923) + (xy 194.16292 93.166026) + (xy 193.973628 93.166026) + (xy 193.959999 93.168923) + (xy 193.788471 93.205381) + (xy 193.788466 93.205383) + (xy 193.615544 93.282374) + (xy 193.615539 93.282377) + (xy 193.462403 93.393637) + (xy 193.33574 93.534311) + (xy 193.241095 93.698241) + (xy 193.241092 93.698248) + (xy 193.182601 93.878266) + (xy 193.1826 93.87827) + (xy 193.162814 94.066526) + (xy 173.75326 94.066526) + (xy 173.719145 94.028638) + (xy 173.657409 93.983784) + (xy 173.566008 93.917377) + (xy 173.566003 93.917374) + (xy 173.393081 93.840383) + (xy 173.393076 93.840381) + (xy 173.247275 93.809391) + (xy 173.20792 93.801026) + (xy 173.018628 93.801026) + (xy 172.986171 93.807924) + (xy 172.833471 93.840381) + (xy 172.833466 93.840383) + (xy 172.660544 93.917374) + (xy 172.660539 93.917377) + (xy 172.507403 94.028637) + (xy 172.38074 94.169311) + (xy 172.286095 94.333241) + (xy 172.286092 94.333248) + (xy 172.2373 94.483416) + (xy 172.2276 94.51327) + (xy 172.207814 94.701526) + (xy 166.477939 94.701526) (xy 166.49006 94.5862) (xy 166.490059 94.586198) (xy 166.490739 94.579737) @@ -43662,7 +45269,7 @@ (xy 166.753403 94.431344) (xy 166.753407 94.431342) (xy 166.753408 94.431342) - (xy 166.851259 94.387775) + (xy 166.811658 94.405406) (xy 166.92633 94.354351) (xy 167.079471 94.243088) (xy 167.206133 94.102416) @@ -43692,7 +45299,7 @@ (xy 165.646418 93.201922) (xy 165.587927 93.38194) (xy 165.587926 93.381944) - (xy 165.570451 93.548215) + (xy 165.571912 93.53431) (xy 165.567461 93.576663) (xy 165.565842 93.576492) (xy 165.548455 93.635707) @@ -43742,7 +45349,7 @@ (xy 120.884666 95.095385) (xy 120.790021 95.259315) (xy 120.790018 95.259322) - (xy 120.757247 95.360182) + (xy 120.753944 95.370348) (xy 120.731526 95.439344) (xy 120.71174 95.6276) (xy 120.724746 95.751351) @@ -43761,7 +45368,7 @@ (xy 119.892532 96.143109) (xy 119.892529 96.143111) (xy 119.892529 96.143112) - (xy 119.843407 96.197668) + (xy 119.850232 96.190088) (xy 119.832213 96.2101) (xy 119.772726 96.246748) (xy 119.702869 96.245417) @@ -43776,8 +45383,9 @@ (xy 119.302517 95.285981) (xy 119.311142 95.216646) (xy 119.332747 95.183028) + (xy 119.349829 95.164057) (xy 119.454133 95.048216) - (xy 119.491401 94.983666) + (xy 119.501008 94.967026) (xy 119.531796 94.9137) (xy 119.582363 94.865484) (xy 119.639183 94.8517) @@ -43799,7 +45407,7 @@ (xy 145.580418 93.811477) (xy 145.580421 93.811484) (xy 145.675067 93.975416) - (xy 145.75726 94.0667) + (xy 145.757103 94.066526) (xy 145.801729 94.116088) (xy 145.954865 94.227348) (xy 145.95487 94.227351) @@ -43830,7 +45438,6 @@ (xy 159.052692 93.418803) (xy 159.052695 93.41881) (xy 159.147341 93.582742) - (xy 159.236229 93.681462) (xy 159.274003 93.723414) (xy 159.427139 93.834674) (xy 159.427144 93.834677) @@ -43852,56 +45459,56 @@ (xy 160.707055 92.682248) (xy 160.707054 92.682247) (xy 160.707053 92.682242) - (xy 160.649366 92.582325) - (xy 191.571141 92.582325) - (xy 191.590927 92.770581) - (xy 191.590928 92.770584) - (xy 191.649419 92.950602) - (xy 191.649422 92.950609) - (xy 191.744068 93.114541) - (xy 191.855938 93.238785) - (xy 191.87073 93.255213) - (xy 192.023866 93.366473) - (xy 192.023871 93.366476) - (xy 192.196793 93.443467) - (xy 192.196798 93.443469) - (xy 192.381955 93.482825) - (xy 192.381956 93.482825) - (xy 192.571245 93.482825) - (xy 192.571247 93.482825) - (xy 192.756404 93.443469) - (xy 192.929331 93.366476) - (xy 193.082472 93.255213) - (xy 193.209134 93.114541) - (xy 193.30378 92.950609) - (xy 193.362275 92.770581) - (xy 193.382061 92.582325) - (xy 193.362275 92.394069) - (xy 193.30378 92.214041) - (xy 193.209134 92.050109) - (xy 193.082472 91.909437) - (xy 193.069687 91.900148) - (xy 192.929335 91.798176) - (xy 192.92933 91.798173) - (xy 192.756408 91.721182) - (xy 192.756403 91.72118) - (xy 192.610602 91.69019) - (xy 192.571247 91.681825) - (xy 192.381955 91.681825) - (xy 192.349498 91.688723) - (xy 192.196798 91.72118) - (xy 192.196793 91.721182) - (xy 192.023871 91.798173) - (xy 192.023866 91.798176) - (xy 191.87073 91.909436) - (xy 191.744067 92.05011) - (xy 191.649422 92.21404) - (xy 191.649419 92.214047) - (xy 191.590928 92.394065) - (xy 191.590927 92.394069) - (xy 191.571141 92.582325) - (xy 160.649366 92.582325) (xy 160.612407 92.51831) + (xy 160.510856 92.405526) + (xy 172.207814 92.405526) + (xy 172.2276 92.593782) + (xy 172.227601 92.593785) + (xy 172.286092 92.773803) + (xy 172.286095 92.77381) + (xy 172.380741 92.937742) + (xy 172.504252 93.074915) + (xy 172.507403 93.078414) + (xy 172.660539 93.189674) + (xy 172.660544 93.189677) + (xy 172.833466 93.266668) + (xy 172.833471 93.26667) + (xy 173.018628 93.306026) + (xy 173.018629 93.306026) + (xy 173.207918 93.306026) + (xy 173.20792 93.306026) + (xy 173.393077 93.26667) + (xy 173.566004 93.189677) + (xy 173.719145 93.078414) + (xy 173.845807 92.937742) + (xy 173.940453 92.77381) + (xy 173.998948 92.593782) + (xy 174.018734 92.405526) + (xy 173.998948 92.21727) + (xy 173.940453 92.037242) + (xy 173.845807 91.87331) + (xy 173.719145 91.732638) + (xy 173.699917 91.718668) + (xy 173.566008 91.621377) + (xy 173.566003 91.621374) + (xy 173.393081 91.544383) + (xy 173.393076 91.544381) + (xy 173.247275 91.513391) + (xy 173.20792 91.505026) + (xy 173.018628 91.505026) + (xy 172.986171 91.511924) + (xy 172.833471 91.544381) + (xy 172.833466 91.544383) + (xy 172.660544 91.621374) + (xy 172.660539 91.621377) + (xy 172.507403 91.732637) + (xy 172.38074 91.873311) + (xy 172.286095 92.037241) + (xy 172.286092 92.037248) + (xy 172.236661 92.189382) + (xy 172.2276 92.21727) + (xy 172.207814 92.405526) + (xy 160.510856 92.405526) (xy 160.485745 92.377638) (xy 160.469644 92.36594) (xy 160.332608 92.266377) @@ -43995,7 +45602,7 @@ (xy 153.506687 89.624812) (xy 153.524033 89.654856) (xy 153.549067 89.698216) - (xy 153.604878 89.7602) + (xy 153.665691 89.82774) (xy 153.675729 89.838888) (xy 153.828865 89.950148) (xy 153.82887 89.950151) @@ -44068,11 +45675,60 @@ (xy 167.332579 91.684284) (xy 167.391074 91.504256) (xy 167.41086 91.316) + (xy 167.40461 91.256529) + (xy 193.162814 91.256529) + (xy 193.1826 91.444785) + (xy 193.182601 91.444788) + (xy 193.241092 91.624806) + (xy 193.241095 91.624813) + (xy 193.335741 91.788745) + (xy 193.411884 91.87331) + (xy 193.462403 91.929417) + (xy 193.615539 92.040677) + (xy 193.615544 92.04068) + (xy 193.788466 92.117671) + (xy 193.788471 92.117673) + (xy 193.973628 92.157029) + (xy 193.973629 92.157029) + (xy 194.162918 92.157029) + (xy 194.16292 92.157029) + (xy 194.348077 92.117673) + (xy 194.521004 92.04068) + (xy 194.674145 91.929417) + (xy 194.800807 91.788745) + (xy 194.895453 91.624813) + (xy 194.953948 91.444785) + (xy 194.973734 91.256529) + (xy 194.953948 91.068273) + (xy 194.895453 90.888245) + (xy 194.800807 90.724313) + (xy 194.674145 90.583641) + (xy 194.674144 90.58364) + (xy 194.521008 90.47238) + (xy 194.521003 90.472377) + (xy 194.348081 90.395386) + (xy 194.348076 90.395384) + (xy 194.202275 90.364394) + (xy 194.16292 90.356029) + (xy 193.973628 90.356029) + (xy 193.941171 90.362927) + (xy 193.788471 90.395384) + (xy 193.788466 90.395386) + (xy 193.615544 90.472377) + (xy 193.615539 90.47238) + (xy 193.462403 90.58364) + (xy 193.33574 90.724314) + (xy 193.241095 90.888244) + (xy 193.241092 90.888251) + (xy 193.189117 91.048216) + (xy 193.1826 91.068273) + (xy 193.162814 91.256529) + (xy 167.40461 91.256529) (xy 167.391074 91.127744) (xy 167.332579 90.947716) (xy 167.237933 90.783784) (xy 167.111271 90.643112) - (xy 167.11127 90.643111) + (xy 167.08131 90.621344) (xy 166.958134 90.531851) (xy 166.958129 90.531848) (xy 166.785207 90.454857) @@ -44109,191 +45765,106 @@ (xy 165.174471 90.433088) (xy 165.301133 90.292416) (xy 165.395779 90.128484) - (xy 165.407657 90.091926) - (xy 191.523663 90.091926) - (xy 191.543449 90.280182) - (xy 191.54345 90.280185) - (xy 191.601941 90.460203) - (xy 191.601944 90.46021) - (xy 191.69659 90.624142) - (xy 191.806656 90.746382) - (xy 191.823252 90.764814) - (xy 191.976388 90.876074) - (xy 191.976393 90.876077) - (xy 192.149315 90.953068) - (xy 192.14932 90.95307) - (xy 192.334477 90.992426) - (xy 192.334478 90.992426) - (xy 192.523767 90.992426) - (xy 192.523769 90.992426) - (xy 192.708926 90.95307) - (xy 192.881853 90.876077) - (xy 193.034994 90.764814) - (xy 193.161656 90.624142) - (xy 193.256302 90.46021) - (xy 193.314797 90.280182) - (xy 193.329702 90.13837) - (xy 212.911274 90.13837) - (xy 212.917675 90.197898) - (xy 212.917677 90.197905) - (xy 212.967919 90.332612) - (xy 212.967923 90.332619) - (xy 213.054083 90.447713) - (xy 213.054086 90.447716) - (xy 213.16918 90.533876) - (xy 213.169187 90.53388) - (xy 213.30126 90.58314) - (xy 213.357194 90.625011) - (xy 213.381611 90.690475) - (xy 213.36676 90.758748) - (xy 213.345609 90.787003) - (xy 213.22316 90.909452) - (xy 213.087674 91.102946) - (xy 213.087673 91.102948) - (xy 212.987844 91.317033) - (xy 212.987841 91.317039) - (xy 212.930638 91.530525) - (xy 212.930638 91.530526) - (xy 213.827588 91.530526) - (xy 213.801781 91.570682) - (xy 213.761274 91.708637) - (xy 213.761274 91.852415) - (xy 213.801781 91.99037) - (xy 213.827588 92.030526) - (xy 212.930638 92.030526) - (xy 212.987841 92.244012) - (xy 212.987844 92.244018) - (xy 213.087673 92.458104) - (xy 213.223168 92.651608) - (xy 213.390191 92.818631) - (xy 213.583695 92.954126) - (xy 213.797781 93.053955) - (xy 213.79779 93.053959) - (xy 214.011274 93.11116) - (xy 214.011274 92.216027) - (xy 214.118959 92.265206) - (xy 214.225511 92.280526) - (xy 214.297037 92.280526) - (xy 214.403589 92.265206) - (xy 214.511274 92.216027) - (xy 214.511274 93.111159) - (xy 214.724757 93.053959) - (xy 214.724766 93.053955) - (xy 214.938852 92.954126) - (xy 215.132356 92.818631) - (xy 215.299379 92.651608) - (xy 215.434874 92.458104) - (xy 215.534703 92.244018) - (xy 215.534706 92.244012) - (xy 215.59191 92.030526) - (xy 214.69496 92.030526) - (xy 214.720767 91.99037) - (xy 214.761274 91.852415) - (xy 214.761274 91.708637) - (xy 214.720767 91.570682) - (xy 214.69496 91.530526) - (xy 215.59191 91.530526) - (xy 215.591909 91.530525) - (xy 215.534706 91.317039) - (xy 215.534703 91.317033) - (xy 215.434874 91.102948) - (xy 215.434873 91.102946) - (xy 215.299387 90.909452) - (xy 215.299382 90.909446) - (xy 215.176939 90.787003) - (xy 215.143454 90.72568) - (xy 215.148438 90.655988) - (xy 215.19031 90.600055) - (xy 215.221287 90.58314) - (xy 215.35336 90.53388) - (xy 215.353367 90.533876) - (xy 215.468461 90.447716) - (xy 215.468464 90.447713) - (xy 215.554624 90.332619) - (xy 215.554628 90.332612) - (xy 215.60487 90.197905) - (xy 215.604872 90.197898) - (xy 215.611273 90.13837) - (xy 215.611274 90.138353) - (xy 215.611274 89.490526) - (xy 214.69496 89.490526) - (xy 214.720767 89.45037) - (xy 214.761274 89.312415) - (xy 214.761274 89.168637) - (xy 214.720767 89.030682) - (xy 214.69496 88.990526) - (xy 215.611274 88.990526) - (xy 215.611274 88.342698) - (xy 215.611273 88.342681) - (xy 215.604872 88.283153) - (xy 215.60487 88.283146) - (xy 215.554628 88.148439) - (xy 215.554624 88.148432) - (xy 215.468464 88.033338) - (xy 215.468461 88.033335) - (xy 215.353367 87.947175) - (xy 215.35336 87.947171) - (xy 215.218653 87.896929) - (xy 215.218646 87.896927) - (xy 215.159118 87.890526) - (xy 214.511274 87.890526) - (xy 214.511274 88.805024) - (xy 214.403589 88.755846) - (xy 214.297037 88.740526) - (xy 214.225511 88.740526) - (xy 214.118959 88.755846) - (xy 214.011274 88.805024) - (xy 214.011274 87.890526) - (xy 213.363429 87.890526) - (xy 213.303901 87.896927) - (xy 213.303894 87.896929) - (xy 213.169187 87.947171) - (xy 213.16918 87.947175) - (xy 213.054086 88.033335) - (xy 213.054083 88.033338) - (xy 212.967923 88.148432) - (xy 212.967919 88.148439) - (xy 212.917677 88.283146) - (xy 212.917675 88.283153) - (xy 212.911274 88.342681) - (xy 212.911274 88.990526) - (xy 213.827588 88.990526) - (xy 213.801781 89.030682) - (xy 213.761274 89.168637) - (xy 213.761274 89.312415) - (xy 213.801781 89.45037) - (xy 213.827588 89.490526) - (xy 212.911274 89.490526) - (xy 212.911274 90.13837) - (xy 193.329702 90.13837) - (xy 193.334583 90.091926) - (xy 193.314797 89.90367) - (xy 193.256302 89.723642) - (xy 193.161656 89.55971) - (xy 193.034994 89.419038) - (xy 192.997664 89.391916) - (xy 192.881857 89.307777) - (xy 192.881852 89.307774) - (xy 192.70893 89.230783) - (xy 192.708925 89.230781) - (xy 192.563124 89.199791) - (xy 192.523769 89.191426) - (xy 192.334477 89.191426) - (xy 192.30202 89.198324) - (xy 192.14932 89.230781) - (xy 192.149315 89.230783) - (xy 191.976393 89.307774) - (xy 191.976388 89.307777) - (xy 191.823252 89.419037) - (xy 191.696589 89.559711) - (xy 191.601944 89.723641) - (xy 191.601941 89.723648) - (xy 191.556668 89.862985) - (xy 191.543449 89.90367) - (xy 191.523663 90.091926) - (xy 165.407657 90.091926) (xy 165.454274 89.948456) (xy 165.47406 89.7602) + (xy 165.459485 89.621526) + (xy 172.207814 89.621526) + (xy 172.2276 89.809782) + (xy 172.227601 89.809785) + (xy 172.286092 89.989803) + (xy 172.286095 89.98981) + (xy 172.380741 90.153742) + (xy 172.465355 90.247715) + (xy 172.507403 90.294414) + (xy 172.660539 90.405674) + (xy 172.660544 90.405677) + (xy 172.833466 90.482668) + (xy 172.833471 90.48267) + (xy 173.018628 90.522026) + (xy 173.018629 90.522026) + (xy 173.207918 90.522026) + (xy 173.20792 90.522026) + (xy 173.393077 90.48267) + (xy 173.566004 90.405677) + (xy 173.719145 90.294414) + (xy 173.845807 90.153742) + (xy 173.940453 89.98981) + (xy 173.998948 89.809782) + (xy 174.018734 89.621526) + (xy 173.998948 89.43327) + (xy 173.940453 89.253242) + (xy 173.845807 89.08931) + (xy 173.75326 88.986526) + (xy 193.162814 88.986526) + (xy 193.1826 89.174782) + (xy 193.182601 89.174785) + (xy 193.241092 89.354803) + (xy 193.241095 89.35481) + (xy 193.335741 89.518742) + (xy 193.458298 89.654855) + (xy 193.462403 89.659414) + (xy 193.615539 89.770674) + (xy 193.615544 89.770677) + (xy 193.788466 89.847668) + (xy 193.788471 89.84767) + (xy 193.973628 89.887026) + (xy 193.973629 89.887026) + (xy 194.162918 89.887026) + (xy 194.16292 89.887026) + (xy 194.348077 89.84767) + (xy 194.521004 89.770677) + (xy 194.674145 89.659414) + (xy 194.800807 89.518742) + (xy 194.895453 89.35481) + (xy 194.953948 89.174782) + (xy 194.973734 88.986526) + (xy 194.953948 88.79827) + (xy 194.895453 88.618242) + (xy 194.800807 88.45431) + (xy 194.674145 88.313638) + (xy 194.662058 88.304856) + (xy 194.521008 88.202377) + (xy 194.521003 88.202374) + (xy 194.348081 88.125383) + (xy 194.348076 88.125381) + (xy 194.202275 88.094391) + (xy 194.16292 88.086026) + (xy 193.973628 88.086026) + (xy 193.941171 88.092924) + (xy 193.788471 88.125381) + (xy 193.788466 88.125383) + (xy 193.615544 88.202374) + (xy 193.615539 88.202377) + (xy 193.462403 88.313637) + (xy 193.33574 88.454311) + (xy 193.241095 88.618241) + (xy 193.241092 88.618248) + (xy 193.19491 88.760383) + (xy 193.1826 88.79827) + (xy 193.162814 88.986526) + (xy 173.75326 88.986526) + (xy 173.719145 88.948638) + (xy 173.719144 88.948637) + (xy 173.566008 88.837377) + (xy 173.566003 88.837374) + (xy 173.393081 88.760383) + (xy 173.393076 88.760381) + (xy 173.247275 88.729391) + (xy 173.20792 88.721026) + (xy 173.018628 88.721026) + (xy 172.986171 88.727924) + (xy 172.833471 88.760381) + (xy 172.833466 88.760383) + (xy 172.660544 88.837374) + (xy 172.660539 88.837377) + (xy 172.507403 88.948637) + (xy 172.38074 89.089311) + (xy 172.286095 89.253241) + (xy 172.286092 89.253248) + (xy 172.227601 89.433266) + (xy 172.2276 89.43327) + (xy 172.207814 89.621526) + (xy 165.459485 89.621526) (xy 165.454274 89.571944) (xy 165.395779 89.391916) (xy 165.301133 89.227984) @@ -44315,6 +45886,8 @@ (xy 163.836066 89.227985) (xy 163.741421 89.391915) (xy 163.741418 89.391921) + (xy 163.711571 89.483784) + (xy 163.700213 89.518742) (xy 163.696614 89.529818) (xy 163.657177 89.587493) (xy 163.592818 89.614692) @@ -44394,7 +45967,6 @@ (xy 158.900292 88.186403) (xy 158.900295 88.18641) (xy 158.994941 88.350342) - (xy 159.086858 88.452426) (xy 159.121603 88.491014) (xy 159.274739 88.602274) (xy 159.274744 88.602277) @@ -44412,55 +45984,6 @@ (xy 160.613148 88.006382) (xy 160.632934 87.818126) (xy 160.613148 87.62987) - (xy 160.587822 87.551926) - (xy 191.523663 87.551926) - (xy 191.543449 87.740182) - (xy 191.54345 87.740185) - (xy 191.601941 87.920203) - (xy 191.601944 87.92021) - (xy 191.69659 88.084142) - (xy 191.822048 88.223477) - (xy 191.823252 88.224814) - (xy 191.976388 88.336074) - (xy 191.976393 88.336077) - (xy 192.149315 88.413068) - (xy 192.14932 88.41307) - (xy 192.334477 88.452426) - (xy 192.334478 88.452426) - (xy 192.523767 88.452426) - (xy 192.523769 88.452426) - (xy 192.708926 88.41307) - (xy 192.881853 88.336077) - (xy 193.034994 88.224814) - (xy 193.161656 88.084142) - (xy 193.256302 87.92021) - (xy 193.314797 87.740182) - (xy 193.334583 87.551926) - (xy 193.314797 87.36367) - (xy 193.256302 87.183642) - (xy 193.161656 87.01971) - (xy 193.034994 86.879038) - (xy 193.034993 86.879037) - (xy 192.881857 86.767777) - (xy 192.881852 86.767774) - (xy 192.70893 86.690783) - (xy 192.708925 86.690781) - (xy 192.563124 86.659791) - (xy 192.523769 86.651426) - (xy 192.334477 86.651426) - (xy 192.30202 86.658324) - (xy 192.14932 86.690781) - (xy 192.149315 86.690783) - (xy 191.976393 86.767774) - (xy 191.976388 86.767777) - (xy 191.823252 86.879037) - (xy 191.696589 87.019711) - (xy 191.601944 87.183641) - (xy 191.601941 87.183648) - (xy 191.556668 87.322985) - (xy 191.543449 87.36367) - (xy 191.523663 87.551926) - (xy 160.587822 87.551926) (xy 160.556778 87.456381) (xy 160.554655 87.449848) (xy 160.554654 87.449847) @@ -44507,7 +46030,7 @@ (xy 152.612866 87.783785) (xy 152.518221 87.947715) (xy 152.518218 87.947722) - (xy 152.473893 88.084142) + (xy 152.460493 88.125382) (xy 152.459726 88.127744) (xy 152.43994 88.316) (xy 152.459726 88.504256) @@ -44518,7 +46041,7 @@ (xy 152.378837 88.713684) (xy 152.357692 88.7155) (xy 152.281954 88.7155) - (xy 152.249497 88.722398) + (xy 152.255956 88.721026) (xy 152.096797 88.754855) (xy 152.096792 88.754857) (xy 151.92387 88.831848) @@ -44618,8 +46141,8 @@ (xy 145.50214 93.4432) (xy 120.484114 93.4432) (xy 120.470133 93.418984) - (xy 120.343471 93.278312) - (xy 120.289067 93.238785) + (xy 120.347129 93.282375) + (xy 120.343472 93.278313) (xy 120.269067 93.224254) (xy 120.226402 93.168923) (xy 120.220423 93.09931) @@ -44636,7 +46159,7 @@ (xy 120.879803 92.314344) (xy 120.879807 92.314342) (xy 120.879808 92.314342) - (xy 120.938058 92.288406) + (xy 120.987537 92.266377) (xy 121.05273 92.237351) (xy 121.205871 92.126088) (xy 121.332533 91.985416) @@ -44647,7 +46170,7 @@ (xy 121.427179 91.084916) (xy 121.332533 90.920984) (xy 121.205871 90.780312) - (xy 121.18454 90.764814) + (xy 121.20587 90.780311) (xy 121.052734 90.669051) (xy 121.052729 90.669048) (xy 120.879807 90.592057) @@ -44779,6 +46302,104 @@ (xy 156.437274 85.122456) (xy 156.45706 84.9342) (xy 156.437274 84.745944) + (xy 156.412119 84.668526) + (xy 206.878814 84.668526) + (xy 206.8986 84.856782) + (xy 206.898601 84.856785) + (xy 206.957092 85.036803) + (xy 206.957095 85.03681) + (xy 207.051741 85.200742) + (xy 207.143344 85.302477) + (xy 207.178403 85.341414) + (xy 207.331539 85.452674) + (xy 207.331544 85.452677) + (xy 207.504466 85.529668) + (xy 207.504471 85.52967) + (xy 207.689628 85.569026) + (xy 207.689629 85.569026) + (xy 207.878918 85.569026) + (xy 207.87892 85.569026) + (xy 208.064077 85.52967) + (xy 208.237004 85.452677) + (xy 208.390145 85.341414) + (xy 208.516807 85.200742) + (xy 208.611453 85.03681) + (xy 208.669948 84.856782) + (xy 208.689734 84.668526) + (xy 208.676386 84.541526) + (xy 212.212814 84.541526) + (xy 212.2326 84.729782) + (xy 212.232601 84.729785) + (xy 212.291092 84.909803) + (xy 212.291095 84.90981) + (xy 212.385741 85.073742) + (xy 212.454685 85.150312) + (xy 212.512403 85.214414) + (xy 212.665539 85.325674) + (xy 212.665544 85.325677) + (xy 212.838466 85.402668) + (xy 212.838471 85.40267) + (xy 213.023628 85.442026) + (xy 213.023629 85.442026) + (xy 213.212918 85.442026) + (xy 213.21292 85.442026) + (xy 213.398077 85.40267) + (xy 213.571004 85.325677) + (xy 213.724145 85.214414) + (xy 213.850807 85.073742) + (xy 213.945453 84.90981) + (xy 214.003948 84.729782) + (xy 214.023734 84.541526) + (xy 214.003948 84.35327) + (xy 213.945453 84.173242) + (xy 213.850807 84.00931) + (xy 213.724145 83.868638) + (xy 213.724144 83.868637) + (xy 213.571008 83.757377) + (xy 213.571003 83.757374) + (xy 213.398081 83.680383) + (xy 213.398076 83.680381) + (xy 213.252275 83.649391) + (xy 213.21292 83.641026) + (xy 213.023628 83.641026) + (xy 212.991171 83.647924) + (xy 212.838471 83.680381) + (xy 212.838466 83.680383) + (xy 212.665544 83.757374) + (xy 212.665539 83.757377) + (xy 212.512403 83.868637) + (xy 212.38574 84.009311) + (xy 212.291095 84.173241) + (xy 212.291092 84.173248) + (xy 212.232601 84.353266) + (xy 212.2326 84.35327) + (xy 212.212814 84.541526) + (xy 208.676386 84.541526) + (xy 208.669948 84.48027) + (xy 208.611453 84.300242) + (xy 208.516807 84.13631) + (xy 208.390145 83.995638) + (xy 208.379711 83.988057) + (xy 208.237008 83.884377) + (xy 208.237003 83.884374) + (xy 208.064081 83.807383) + (xy 208.064076 83.807381) + (xy 207.918275 83.776391) + (xy 207.87892 83.768026) + (xy 207.689628 83.768026) + (xy 207.657171 83.774924) + (xy 207.504471 83.807381) + (xy 207.504466 83.807383) + (xy 207.331544 83.884374) + (xy 207.331539 83.884377) + (xy 207.178403 83.995637) + (xy 207.05174 84.136311) + (xy 206.957095 84.300241) + (xy 206.957092 84.300248) + (xy 206.924036 84.401985) + (xy 206.8986 84.48027) + (xy 206.878814 84.668526) + (xy 156.412119 84.668526) (xy 156.378779 84.565916) (xy 156.284133 84.401984) (xy 156.157471 84.261312) @@ -44799,7 +46420,7 @@ (xy 154.819066 84.401985) (xy 154.724421 84.565915) (xy 154.724418 84.565922) - (xy 154.693544 84.660944) + (xy 154.671177 84.729782) (xy 154.665926 84.745944) (xy 154.64614 84.9342) (xy 153.79535 84.9342) @@ -44901,7 +46522,7 @@ (xy 118.116066 86.560985) (xy 118.021421 86.724915) (xy 118.021418 86.724922) - (xy 117.971343 86.879038) + (xy 117.97642 86.863414) (xy 117.962926 86.904944) (xy 117.94314 87.0932) (xy 117.962926 87.281456) @@ -44919,7 +46540,7 @@ (xy 118.116066 88.083785) (xy 118.021421 88.247715) (xy 118.021418 88.247722) - (xy 117.967694 88.41307) + (xy 117.97603 88.387414) (xy 117.962926 88.427744) (xy 117.94314 88.616) (xy 117.962926 88.804256) @@ -44935,7 +46556,7 @@ (xy 118.045247 89.483784) (xy 117.950602 89.647715) (xy 117.950599 89.647722) - (xy 117.892108 89.82774) + (xy 117.897943 89.809782) (xy 117.892107 89.827744) (xy 117.872321 90.016) (xy 117.892107 90.204256) @@ -44943,7 +46564,7 @@ (xy 117.950599 90.384277) (xy 117.950602 90.384284) (xy 118.045248 90.548216) - (xy 118.114395 90.625011) + (xy 118.111093 90.621344) (xy 118.17191 90.688888) (xy 118.325046 90.800148) (xy 118.325051 90.800151) @@ -44957,7 +46578,7 @@ (xy 118.978465 91.148214) (xy 119.08357 91.264944) (xy 119.105129 91.288888) - (xy 119.143876 91.317039) + (xy 119.142446 91.316) (xy 119.210827 91.365682) (xy 119.253492 91.421012) (xy 119.259471 91.490626) @@ -44972,7 +46593,7 @@ (xy 118.753348 92.114692) (xy 118.739213 92.1155) (xy 118.626954 92.1155) - (xy 118.614741 92.118096) + (xy 118.616731 92.117673) (xy 118.441797 92.154855) (xy 118.441792 92.154857) (xy 118.26887 92.231848) @@ -44981,7 +46602,7 @@ (xy 117.989066 92.483785) (xy 117.894421 92.647715) (xy 117.894418 92.647722) - (xy 117.838887 92.818631) + (xy 117.835927 92.82774) (xy 117.835926 92.827744) (xy 117.81614 93.016) (xy 117.835926 93.204256) @@ -44996,7 +46617,7 @@ (xy 117.989066 93.983784) (xy 117.894421 94.147715) (xy 117.894418 94.147722) - (xy 117.841437 94.310782) + (xy 117.843529 94.304344) (xy 117.835926 94.327744) (xy 117.81614 94.516) (xy 117.835926 94.704256) @@ -45011,7 +46632,7 @@ (xy 117.989066 95.483784) (xy 117.894421 95.647715) (xy 117.894418 95.647722) - (xy 117.835927 95.82774) + (xy 117.83767 95.822377) (xy 117.835926 95.827744) (xy 117.81614 96.016) (xy 117.835926 96.204256) @@ -45024,14 +46645,13 @@ (xy 118.268865 96.800148) (xy 118.268866 96.800148) (xy 118.26887 96.800151) - (xy 118.382588 96.850782) (xy 118.407979 96.862087) (xy 118.461216 96.907337) (xy 118.481537 96.974186) (xy 118.464931 97.037365) (xy 118.40122 97.147717) (xy 118.401218 97.147722) - (xy 118.342727 97.32774) + (xy 118.358429 97.279414) (xy 118.342726 97.327744) (xy 118.32294 97.516) (xy 118.342726 97.704256) @@ -45076,7 +46696,7 @@ (xy 117.898023 99.083785) (xy 117.803378 99.247715) (xy 117.803375 99.247722) - (xy 117.756892 99.390783) + (xy 117.761037 99.378026) (xy 117.744883 99.427744) (xy 117.725097 99.616) (xy 117.744883 99.804256) @@ -45111,7 +46731,7 @@ (xy 117.770064 102.016983) (xy 117.675419 102.180913) (xy 117.675416 102.18092) - (xy 117.616925 102.360938) + (xy 117.61742 102.359414) (xy 117.616924 102.360942) (xy 117.597138 102.549198) (xy 117.616924 102.737454) @@ -45129,7 +46749,7 @@ (xy 117.735066 103.721982) (xy 117.640421 103.885912) (xy 117.640418 103.885919) - (xy 117.59044 104.039737) + (xy 117.581927 104.065937) (xy 117.581926 104.065941) (xy 117.56214 104.254197) (xy 117.581926 104.442453) @@ -45146,13 +46766,13 @@ (xy 115.831067 104.800615) (xy 115.785312 104.747811) (xy 115.774785 104.683339) - (xy 115.778206 104.65079) + (xy 115.779692 104.636649) (xy 115.79046 104.5342) (xy 115.770674 104.345944) (xy 115.712179 104.165916) (xy 115.617533 104.001984) (xy 115.490871 103.861312) - (xy 115.49087 103.861311) + (xy 115.486654 103.858248) (xy 115.337734 103.750051) (xy 115.337729 103.750048) (xy 115.164807 103.673057) @@ -45172,7 +46792,7 @@ (xy 114.000713 104.341674) (xy 113.999326 104.345944) (xy 113.97954 104.5342) - (xy 113.991551 104.648484) + (xy 113.990307 104.636648) (xy 113.995215 104.683339) (xy 113.982645 104.752069) (xy 113.934913 104.803092) @@ -45183,7 +46803,7 @@ (xy 109.726423 103.95949) (xy 109.715728 103.947295) (xy 109.696507 103.922245) - (xy 109.683045 103.911915) + (xy 109.696503 103.922242) (xy 109.571065 103.82599) (xy 109.424986 103.765482) (xy 109.424984 103.765481) @@ -45204,15 +46824,14 @@ (xy 100.967239 111.134324) (xy 100.955049 111.145015) (xy 100.92999 111.164244) - (xy 100.865937 111.247722) + (xy 100.861324 111.253734) (xy 100.846708 111.272782) - (xy 100.836755 111.285753) + (xy 100.846706 111.272785) (xy 100.833737 111.289686) (xy 100.77323 111.435763) (xy 100.773229 111.435765) - (xy 100.753204 111.587877) - (xy 100.752592 111.592526) - (xy 100.75578 111.616741) + (xy 100.752592 111.592524) + (xy 100.752592 111.592525) (xy 100.756713 111.623827) (xy 100.757774 111.640013) (xy 100.757774 134.603226) @@ -45286,7 +46905,7 @@ (xy 86.053092 142.821803) (xy 86.053095 142.82181) (xy 86.147741 142.985742) - (xy 86.22408 143.070525) + (xy 86.248252 143.09737) (xy 86.274403 143.126414) (xy 86.427539 143.237674) (xy 86.427544 143.237677) @@ -45307,7 +46926,7 @@ (xy 87.707453 142.085242) (xy 87.612807 141.92131) (xy 87.486145 141.780638) - (xy 87.486144 141.780637) + (xy 87.477799 141.774574) (xy 87.333008 141.669377) (xy 87.333003 141.669374) (xy 87.160081 141.592383) @@ -45638,7 +47257,7 @@ (xy 78.7431 124.586311) (xy 78.648455 124.750241) (xy 78.648452 124.750248) - (xy 78.594434 124.9165) + (xy 78.589961 124.930266) (xy 78.58996 124.93027) (xy 78.570174 125.118526) (xy 65.696674 125.118526) @@ -45687,7 +47306,7 @@ (xy 78.7431 122.046311) (xy 78.648455 122.210241) (xy 78.648452 122.210248) - (xy 78.610265 122.327777) + (xy 78.61818 122.303417) (xy 78.58996 122.39027) (xy 78.570174 122.578526) (xy 65.696674 122.578526) @@ -45698,7 +47317,7 @@ (xy 78.648452 120.406803) (xy 78.648455 120.40681) (xy 78.743101 120.570742) - (xy 78.754226 120.583097) + (xy 78.80654 120.641198) (xy 78.869763 120.711414) (xy 79.022899 120.822674) (xy 79.022904 120.822677) @@ -45736,7 +47355,7 @@ (xy 78.7431 119.506311) (xy 78.648455 119.670241) (xy 78.648452 119.670248) - (xy 78.591823 119.844535) + (xy 78.594588 119.836026) (xy 78.58996 119.85027) (xy 78.570174 120.038526) (xy 65.696674 120.038526) @@ -45747,7 +47366,7 @@ (xy 78.648452 117.866803) (xy 78.648455 117.86681) (xy 78.743101 118.030742) - (xy 78.785676 118.078026) + (xy 78.803105 118.097383) (xy 78.869763 118.171414) (xy 79.022899 118.282674) (xy 79.022904 118.282677) @@ -45765,13 +47384,13 @@ (xy 80.361308 117.686782) (xy 80.381094 117.498526) (xy 80.361308 117.31027) - (xy 80.303198 117.131426) + (xy 80.30534 117.138019) (xy 80.302815 117.130248) (xy 80.302814 117.130247) (xy 80.302813 117.130242) (xy 80.208167 116.96631) (xy 80.081505 116.825638) - (xy 80.030923 116.788888) + (xy 80.041817 116.796803) (xy 79.928368 116.714377) (xy 79.928363 116.714374) (xy 79.755441 116.637383) @@ -45788,7 +47407,7 @@ (xy 78.7431 116.966311) (xy 78.648455 117.130241) (xy 78.648452 117.130248) - (xy 78.589961 117.310266) + (xy 78.594983 117.29481) (xy 78.58996 117.31027) (xy 78.570174 117.498526) (xy 65.696674 117.498526) @@ -45799,7 +47418,7 @@ (xy 78.648452 115.326803) (xy 78.648455 115.32681) (xy 78.743101 115.490742) - (xy 78.799825 115.55374) + (xy 78.812109 115.567383) (xy 78.869763 115.631414) (xy 79.022899 115.742674) (xy 79.022904 115.742677) @@ -45848,7 +47467,7 @@ (xy 78.648452 112.786803) (xy 78.648455 112.78681) (xy 78.743101 112.950742) - (xy 78.846293 113.065348) + (xy 78.866023 113.08726) (xy 78.869763 113.091414) (xy 79.022899 113.202674) (xy 79.022904 113.202677) @@ -45869,7 +47488,7 @@ (xy 80.302813 112.050242) (xy 80.208167 111.88631) (xy 80.081505 111.745638) - (xy 80.031318 111.709175) + (xy 80.065678 111.734139) (xy 79.928368 111.634377) (xy 79.928363 111.634374) (xy 79.755441 111.557383) @@ -45886,7 +47505,7 @@ (xy 78.7431 111.886311) (xy 78.648455 112.050241) (xy 78.648452 112.050248) - (xy 78.590654 112.228134) + (xy 78.594773 112.215456) (xy 78.58996 112.23027) (xy 78.570174 112.418526) (xy 65.696674 112.418526) @@ -45918,7 +47537,7 @@ (xy 80.302813 108.777242) (xy 80.208167 108.61331) (xy 80.081505 108.472638) - (xy 80.040866 108.443112) + (xy 80.071569 108.465419) (xy 79.928368 108.361377) (xy 79.928363 108.361374) (xy 79.755441 108.284383) @@ -45926,7 +47545,7 @@ (xy 79.608073 108.253059) (xy 79.57028 108.245026) (xy 79.380988 108.245026) - (xy 79.350615 108.251482) + (xy 79.348531 108.251924) (xy 79.195831 108.284381) (xy 79.195826 108.284383) (xy 79.022904 108.361374) @@ -45967,15 +47586,15 @@ (xy 80.302813 106.237242) (xy 80.208167 106.07331) (xy 80.081505 105.932638) - (xy 80.054008 105.91266) + (xy 80.065052 105.920684) (xy 79.928368 105.821377) (xy 79.928363 105.821374) (xy 79.755441 105.744383) (xy 79.755436 105.744381) - (xy 79.577219 105.706501) + (xy 79.599383 105.711212) (xy 79.57028 105.705026) (xy 79.380988 105.705026) - (xy 79.374049 105.706501) + (xy 79.351885 105.711212) (xy 79.195831 105.744381) (xy 79.195826 105.744383) (xy 79.022904 105.821374) @@ -45984,7 +47603,7 @@ (xy 78.7431 106.073311) (xy 78.648455 106.237241) (xy 78.648452 106.237248) - (xy 78.609116 106.358313) + (xy 78.589961 106.417266) (xy 78.58996 106.41727) (xy 78.570174 106.605526) (xy 65.696674 106.605526) @@ -45995,7 +47614,7 @@ (xy 78.648452 103.925803) (xy 78.648455 103.92581) (xy 78.743101 104.089742) - (xy 78.811688 104.165915) + (xy 78.829188 104.185351) (xy 78.869763 104.230414) (xy 79.022899 104.341674) (xy 79.022904 104.341677) @@ -46033,7 +47652,7 @@ (xy 78.7431 103.025311) (xy 78.648455 103.189241) (xy 78.648452 103.189248) - (xy 78.589961 103.369266) + (xy 78.591223 103.365382) (xy 78.58996 103.36927) (xy 78.570174 103.557526) (xy 65.696674 103.557526) @@ -46165,7 +47784,7 @@ (xy 113.803818 98.679477) (xy 113.803821 98.679484) (xy 113.898467 98.843416) - (xy 113.941551 98.891265) + (xy 113.965931 98.918342) (xy 114.025129 98.984088) (xy 114.178265 99.095348) (xy 114.17827 99.095351) @@ -46186,7 +47805,7 @@ (xy 115.458179 97.942916) (xy 115.363533 97.778984) (xy 115.236871 97.638312) - (xy 115.230797 97.633899) + (xy 115.218689 97.625102) (xy 115.083734 97.527051) (xy 115.083729 97.527048) (xy 114.910807 97.450057) @@ -46228,7 +47847,7 @@ (xy 78.7431 97.945311) (xy 78.648455 98.109241) (xy 78.648452 98.109248) - (xy 78.590702 98.286985) + (xy 78.591223 98.285382) (xy 78.58996 98.28927) (xy 78.570174 98.477526) (xy 65.696674 98.477526) @@ -46239,7 +47858,7 @@ (xy 78.648452 96.305803) (xy 78.648455 96.30581) (xy 78.743101 96.469742) - (xy 78.85663 96.595828) + (xy 78.846317 96.584375) (xy 78.869763 96.610414) (xy 79.022899 96.721674) (xy 79.022904 96.721677) @@ -46329,7 +47948,7 @@ (xy 78.7431 95.405311) (xy 78.648455 95.569241) (xy 78.648452 95.569248) - (xy 78.604623 95.704142) + (xy 78.591223 95.745382) (xy 78.58996 95.74927) (xy 78.570174 95.937526) (xy 65.696674 95.937526) @@ -46392,7 +48011,7 @@ (xy 115.712179 92.227916) (xy 115.617533 92.063984) (xy 115.490871 91.923312) - (xy 115.471774 91.909437) + (xy 115.49087 91.923311) (xy 115.337734 91.812051) (xy 115.337729 91.812048) (xy 115.164807 91.735057) @@ -46427,7 +48046,7 @@ (xy 78.7431 92.865311) (xy 78.648455 93.029241) (xy 78.648452 93.029248) - (xy 78.591589 93.204256) + (xy 78.591223 93.205382) (xy 78.58996 93.20927) (xy 78.570174 93.397526) (xy 65.696674 93.397526) @@ -46438,7 +48057,6 @@ (xy 78.648452 91.225803) (xy 78.648455 91.22581) (xy 78.743101 91.389742) - (xy 78.833938 91.490626) (xy 78.869763 91.530414) (xy 79.022899 91.641674) (xy 79.022904 91.641677) @@ -46525,7 +48143,7 @@ (xy 113.771466 89.015985) (xy 113.676821 89.179915) (xy 113.676818 89.179922) - (xy 113.635276 89.307777) + (xy 113.629346 89.326028) (xy 113.618326 89.359944) (xy 113.59854 89.5482) (xy 65.696674 89.5482) @@ -46585,7 +48203,7 @@ (xy 113.803818 87.122477) (xy 113.803821 87.122484) (xy 113.898467 87.286416) - (xy 113.968027 87.36367) + (xy 114.014695 87.4155) (xy 114.025129 87.427088) (xy 114.178265 87.538348) (xy 114.17827 87.538351) @@ -46626,7 +48244,7 @@ (xy 115.458179 84.480916) (xy 115.363533 84.316984) (xy 115.236871 84.176312) - (xy 115.200726 84.150051) + (xy 115.232654 84.173248) (xy 115.083734 84.065051) (xy 115.083729 84.065048) (xy 114.910807 83.988057) @@ -46764,9 +48382,6 @@ (xy 116.763068 83.32424) (xy 116.881396 83.171373) (xy 116.96653 82.997816) - (xy 117.014337 82.813177) - (xy 117.014983 82.810682) - (xy 117.014984 82.810676) (xy 117.014985 82.810674) (xy 117.019868 82.71439) (xy 160.116424 82.71439) @@ -46783,103 +48398,6 @@ (xy 160.973944 83.6165) (xy 161.118807 83.6165) (xy 161.262922 83.601845) - (xy 161.277325 83.597326) - (xy 206.854214 83.597326) - (xy 206.874 83.785582) - (xy 206.874001 83.785585) - (xy 206.932492 83.965603) - (xy 206.932495 83.96561) - (xy 207.027141 84.129542) - (xy 207.145787 84.261311) - (xy 207.153803 84.270214) - (xy 207.306939 84.381474) - (xy 207.306944 84.381477) - (xy 207.479866 84.458468) - (xy 207.479871 84.45847) - (xy 207.665028 84.497826) - (xy 207.665029 84.497826) - (xy 207.854318 84.497826) - (xy 207.85432 84.497826) - (xy 208.039477 84.45847) - (xy 208.212404 84.381477) - (xy 208.365545 84.270214) - (xy 208.492207 84.129542) - (xy 208.586853 83.96561) - (xy 208.645348 83.785582) - (xy 208.665134 83.597326) - (xy 212.188214 83.597326) - (xy 212.208 83.785582) - (xy 212.208001 83.785585) - (xy 212.266492 83.965603) - (xy 212.266495 83.96561) - (xy 212.361141 84.129542) - (xy 212.479787 84.261311) - (xy 212.487803 84.270214) - (xy 212.640939 84.381474) - (xy 212.640944 84.381477) - (xy 212.813866 84.458468) - (xy 212.813871 84.45847) - (xy 212.999028 84.497826) - (xy 212.999029 84.497826) - (xy 213.188318 84.497826) - (xy 213.18832 84.497826) - (xy 213.373477 84.45847) - (xy 213.546404 84.381477) - (xy 213.699545 84.270214) - (xy 213.826207 84.129542) - (xy 213.920853 83.96561) - (xy 213.979348 83.785582) - (xy 213.999134 83.597326) - (xy 213.979348 83.40907) - (xy 213.920853 83.229042) - (xy 213.826207 83.06511) - (xy 213.699545 82.924438) - (xy 213.673449 82.905478) - (xy 213.546408 82.813177) - (xy 213.546403 82.813174) - (xy 213.373481 82.736183) - (xy 213.373476 82.736181) - (xy 213.227675 82.705191) - (xy 213.18832 82.696826) - (xy 212.999028 82.696826) - (xy 212.966571 82.703724) - (xy 212.813871 82.736181) - (xy 212.813866 82.736183) - (xy 212.640944 82.813174) - (xy 212.640939 82.813177) - (xy 212.487803 82.924437) - (xy 212.36114 83.065111) - (xy 212.266495 83.229041) - (xy 212.266492 83.229048) - (xy 212.208001 83.409066) - (xy 212.208 83.40907) - (xy 212.188214 83.597326) - (xy 208.665134 83.597326) - (xy 208.645348 83.40907) - (xy 208.586853 83.229042) - (xy 208.492207 83.06511) - (xy 208.365545 82.924438) - (xy 208.339449 82.905478) - (xy 208.212408 82.813177) - (xy 208.212403 82.813174) - (xy 208.039481 82.736183) - (xy 208.039476 82.736181) - (xy 207.893675 82.705191) - (xy 207.85432 82.696826) - (xy 207.665028 82.696826) - (xy 207.632571 82.703724) - (xy 207.479871 82.736181) - (xy 207.479866 82.736183) - (xy 207.306944 82.813174) - (xy 207.306939 82.813177) - (xy 207.153803 82.924437) - (xy 207.02714 83.065111) - (xy 206.932495 83.229041) - (xy 206.932492 83.229048) - (xy 206.874001 83.409066) - (xy 206.874 83.40907) - (xy 206.854214 83.597326) - (xy 161.277325 83.597326) (xy 161.447359 83.543977) (xy 161.44736 83.543976) (xy 161.447368 83.543974) @@ -46887,14 +48405,11 @@ (xy 161.763068 83.32424) (xy 161.881396 83.171373) (xy 161.96653 82.997816) - (xy 162.014337 82.813177) - (xy 162.014983 82.810682) - (xy 162.014984 82.810676) (xy 162.014985 82.810674) (xy 162.024776 82.61761) (xy 161.995503 82.426526) (xy 161.928364 82.245247) - (xy 161.87562 82.160627) + (xy 161.902429 82.203638) (xy 161.826111 82.081196) (xy 161.82611 82.081195) (xy 161.826109 82.081193) @@ -46945,56 +48460,80 @@ (xy 181.284757 83.041217) (xy 181.419605 82.990922) (xy 181.53482 82.904672) + (xy 181.55589 82.876526) + (xy 191.638814 82.876526) + (xy 191.6586 83.064782) + (xy 191.658601 83.064785) + (xy 191.717092 83.244803) + (xy 191.717095 83.24481) + (xy 191.811741 83.408742) + (xy 191.938403 83.549414) + (xy 192.091539 83.660674) + (xy 192.091544 83.660677) + (xy 192.264466 83.737668) + (xy 192.264471 83.73767) + (xy 192.449628 83.777026) + (xy 192.449629 83.777026) + (xy 192.638918 83.777026) + (xy 192.63892 83.777026) + (xy 192.824077 83.73767) + (xy 192.997004 83.660677) + (xy 193.150145 83.549414) + (xy 193.276807 83.408742) + (xy 193.371453 83.24481) + (xy 193.429948 83.064782) + (xy 193.449734 82.876526) + (xy 193.429948 82.68827) + (xy 193.371453 82.508242) + (xy 193.276807 82.34431) + (xy 193.150145 82.203638) + (xy 193.150144 82.203637) + (xy 192.997008 82.092377) + (xy 192.997003 82.092374) + (xy 192.824081 82.015383) + (xy 192.824076 82.015381) + (xy 192.678275 81.984391) + (xy 192.63892 81.976026) + (xy 192.449628 81.976026) + (xy 192.417171 81.982924) + (xy 192.264471 82.015381) + (xy 192.264466 82.015383) + (xy 192.091544 82.092374) + (xy 192.091539 82.092377) + (xy 191.938403 82.203637) + (xy 191.81174 82.344311) + (xy 191.717095 82.508241) + (xy 191.717092 82.508248) + (xy 191.669537 82.654609) + (xy 191.6586 82.68827) + (xy 191.638814 82.876526) + (xy 181.55589 82.876526) (xy 181.62107 82.789457) (xy 181.671365 82.654609) (xy 181.677774 82.594999) - (xy 181.677773 81.518926) - (xy 184.87014 81.518926) - (xy 184.889926 81.707182) - (xy 184.889927 81.707185) - (xy 184.948418 81.887203) - (xy 184.948421 81.88721) - (xy 185.043067 82.051142) - (xy 185.156575 82.177205) - (xy 185.169729 82.191814) - (xy 185.322865 82.303074) - (xy 185.32287 82.303077) - (xy 185.495792 82.380068) - (xy 185.495797 82.38007) - (xy 185.680954 82.419426) - (xy 185.680955 82.419426) - (xy 185.870244 82.419426) - (xy 185.870246 82.419426) - (xy 186.055403 82.38007) - (xy 186.22833 82.303077) - (xy 186.381471 82.191814) - (xy 186.508133 82.051142) - (xy 186.602779 81.88721) - (xy 186.661274 81.707182) - (xy 186.68106 81.518926) - (xy 186.670382 81.417326) - (xy 190.20414 81.417326) - (xy 190.223926 81.605582) - (xy 190.223927 81.605585) - (xy 190.282418 81.785603) - (xy 190.282421 81.78561) - (xy 190.377067 81.949542) - (xy 190.480673 82.064608) - (xy 190.503729 82.090214) - (xy 190.656865 82.201474) - (xy 190.65687 82.201477) - (xy 190.829792 82.278468) - (xy 190.829797 82.27847) - (xy 191.014954 82.317826) - (xy 191.014955 82.317826) - (xy 191.204244 82.317826) - (xy 191.204246 82.317826) - (xy 191.389403 82.27847) - (xy 191.56233 82.201477) - (xy 191.715471 82.090214) - (xy 191.842133 81.949542) - (xy 191.936779 81.78561) - (xy 191.990417 81.620531) + (xy 181.677773 81.366526) + (xy 183.637814 81.366526) + (xy 183.6576 81.554782) + (xy 183.657601 81.554785) + (xy 183.716092 81.734803) + (xy 183.716095 81.73481) + (xy 183.810741 81.898742) + (xy 183.915765 82.015383) + (xy 183.937403 82.039414) + (xy 184.090539 82.150674) + (xy 184.090544 82.150677) + (xy 184.263466 82.227668) + (xy 184.263471 82.22767) + (xy 184.448628 82.267026) + (xy 184.448629 82.267026) + (xy 184.637918 82.267026) + (xy 184.63792 82.267026) + (xy 184.823077 82.22767) + (xy 184.996004 82.150677) + (xy 185.149145 82.039414) + (xy 185.275807 81.898742) + (xy 185.370453 81.73481) + (xy 185.407585 81.620531) (xy 198.034603 81.620531) (xy 198.053255 81.845633) (xy 198.108707 82.064608) @@ -47171,9 +48710,6 @@ (xy 203.021558 75.700483) (xy 202.868575 75.534301) (xy 202.868572 75.534298) - (xy 202.802698 75.483026) - (xy 202.690319 75.395558) - (xy 202.690318 75.395557) (xy 202.690315 75.395555) (xy 202.690313 75.395554) (xy 202.660796 75.379581) @@ -47223,7 +48759,7 @@ (xy 213.488253 72.717842) (xy 213.393607 72.55391) (xy 213.266945 72.413238) - (xy 213.250137 72.401026) + (xy 213.251805 72.402238) (xy 213.113808 72.301977) (xy 213.113803 72.301974) (xy 212.940881 72.224983) @@ -47240,7 +48776,7 @@ (xy 211.92854 72.553911) (xy 211.833895 72.717841) (xy 211.833892 72.717848) - (xy 211.789766 72.853655) + (xy 211.794339 72.839581) (xy 211.7754 72.89787) (xy 211.755614 73.086126) (xy 202.953107 73.086126) @@ -47264,110 +48800,9 @@ (xy 203.309945 71.46052) (xy 203.291292 71.235418) (xy 203.291292 71.235415) - (xy 203.285242 71.211526) - (xy 215.191615 71.211526) - (xy 215.21221 71.446929) - (xy 215.212212 71.446939) - (xy 215.273368 71.675181) - (xy 215.27337 71.675185) - (xy 215.273371 71.675189) - (xy 215.3446 71.827939) - (xy 215.373239 71.889356) - (xy 215.373241 71.88936) - (xy 215.481555 72.044047) - (xy 215.508775 72.082922) - (xy 215.50878 72.082928) - (xy 215.630704 72.204852) - (xy 215.664189 72.266175) - (xy 215.659205 72.335867) - (xy 215.617333 72.3918) - (xy 215.586357 72.408715) - (xy 215.454943 72.457729) - (xy 215.454938 72.457732) - (xy 215.339729 72.543978) - (xy 215.339726 72.543981) - (xy 215.25348 72.65919) - (xy 215.253476 72.659197) - (xy 215.203182 72.794043) - (xy 215.196775 72.853642) - (xy 215.196775 72.853649) - (xy 215.196774 72.853661) - (xy 215.196774 74.649396) - (xy 215.196775 74.649402) - (xy 215.203182 74.709009) - (xy 215.253476 74.843854) - (xy 215.25348 74.843861) - (xy 215.339726 74.95907) - (xy 215.339729 74.959073) - (xy 215.454938 75.045319) - (xy 215.454945 75.045323) - (xy 215.589791 75.095617) - (xy 215.58979 75.095617) - (xy 215.596718 75.096361) - (xy 215.649401 75.102026) - (xy 217.445146 75.102025) - (xy 217.504757 75.095617) - (xy 217.639605 75.045322) - (xy 217.75482 74.959072) - (xy 217.84107 74.843857) - (xy 217.891365 74.709009) - (xy 217.897774 74.649399) - (xy 217.897773 72.853654) - (xy 217.891365 72.794043) - (xy 217.889273 72.788435) - (xy 217.841071 72.659197) - (xy 217.841067 72.65919) - (xy 217.754821 72.543981) - (xy 217.754818 72.543978) - (xy 217.639609 72.457732) - (xy 217.639602 72.457728) - (xy 217.508191 72.408715) - (xy 217.452257 72.366844) - (xy 217.42784 72.301379) - (xy 217.442692 72.233106) - (xy 217.463837 72.204858) - (xy 217.585769 72.082927) - (xy 217.721309 71.889356) - (xy 217.821177 71.675189) - (xy 217.882337 71.446934) - (xy 217.902933 71.211526) - (xy 217.882337 70.976118) - (xy 217.821177 70.747863) - (xy 217.721309 70.533697) - (xy 217.665714 70.454298) - (xy 217.585768 70.340123) - (xy 217.418676 70.173032) - (xy 217.418669 70.173027) - (xy 217.225108 70.037493) - (xy 217.225104 70.037491) - (xy 217.225102 70.03749) - (xy 217.010937 69.937623) - (xy 217.010933 69.937622) - (xy 217.010929 69.93762) - (xy 216.782687 69.876464) - (xy 216.782677 69.876462) - (xy 216.547275 69.855867) - (xy 216.547273 69.855867) - (xy 216.31187 69.876462) - (xy 216.31186 69.876464) - (xy 216.083618 69.93762) - (xy 216.083609 69.937624) - (xy 215.869445 70.03749) - (xy 215.869443 70.037491) - (xy 215.675871 70.173031) - (xy 215.508779 70.340123) - (xy 215.373239 70.533695) - (xy 215.373238 70.533697) - (xy 215.273372 70.747861) - (xy 215.273368 70.74787) - (xy 215.212212 70.976112) - (xy 215.21221 70.976122) - (xy 215.191615 71.211525) - (xy 215.191615 71.211526) - (xy 203.285242 71.211526) (xy 203.235841 71.016444) (xy 203.145105 70.809587) - (xy 203.108465 70.753505) + (xy 203.077991 70.706861) (xy 203.021558 70.620483) (xy 202.868575 70.454301) (xy 202.868572 70.454298) @@ -47427,7 +48862,7 @@ (xy 198.108707 71.904608) (xy 198.199442 72.111464) (xy 198.322989 72.300568) - (xy 198.473374 72.463928) + (xy 198.475972 72.46675) (xy 198.475976 72.466754) (xy 198.650887 72.602893) (xy 198.654232 72.605496) @@ -47451,7 +48886,7 @@ (xy 198.108707 74.444608) (xy 198.199442 74.651464) (xy 198.322989 74.840568) - (xy 198.432079 74.95907) + (xy 198.464235 74.994) (xy 198.475976 75.006754) (xy 198.650887 75.142893) (xy 198.654232 75.145496) @@ -47519,59 +48954,34 @@ (xy 198.053255 81.395418) (xy 198.034603 81.62052) (xy 198.034603 81.620531) - (xy 191.990417 81.620531) - (xy 191.995274 81.605582) - (xy 192.01506 81.417326) - (xy 191.995274 81.22907) - (xy 191.936779 81.049042) - (xy 191.842133 80.88511) - (xy 191.715471 80.744438) - (xy 191.70217 80.734774) - (xy 191.562334 80.633177) - (xy 191.562329 80.633174) - (xy 191.389407 80.556183) - (xy 191.389402 80.556181) - (xy 191.219136 80.519991) - (xy 191.204246 80.516826) - (xy 191.014954 80.516826) - (xy 191.000064 80.519991) - (xy 190.829797 80.556181) - (xy 190.829792 80.556183) - (xy 190.65687 80.633174) - (xy 190.656865 80.633177) - (xy 190.503729 80.744437) - (xy 190.377066 80.885111) - (xy 190.282421 81.049041) - (xy 190.282418 81.049048) - (xy 190.223927 81.229066) - (xy 190.223926 81.22907) - (xy 190.20414 81.417326) - (xy 186.670382 81.417326) - (xy 186.661274 81.33067) - (xy 186.602779 81.150642) - (xy 186.508133 80.98671) - (xy 186.381471 80.846038) - (xy 186.38147 80.846037) - (xy 186.228334 80.734777) - (xy 186.228329 80.734774) - (xy 186.055407 80.657783) - (xy 186.055402 80.657781) - (xy 185.909601 80.626791) - (xy 185.870246 80.618426) - (xy 185.680954 80.618426) - (xy 185.648497 80.625324) - (xy 185.495797 80.657781) - (xy 185.495792 80.657783) - (xy 185.32287 80.734774) - (xy 185.322865 80.734777) - (xy 185.169729 80.846037) - (xy 185.043066 80.986711) - (xy 184.948421 81.150641) - (xy 184.948418 81.150648) - (xy 184.910598 81.267047) - (xy 184.889926 81.33067) - (xy 184.87014 81.518926) - (xy 181.677773 81.518926) + (xy 185.407585 81.620531) + (xy 185.428948 81.554782) + (xy 185.448734 81.366526) + (xy 185.428948 81.17827) + (xy 185.370453 80.998242) + (xy 185.275807 80.83431) + (xy 185.149145 80.693638) + (xy 185.149144 80.693637) + (xy 184.996008 80.582377) + (xy 184.996003 80.582374) + (xy 184.823081 80.505383) + (xy 184.823076 80.505381) + (xy 184.665113 80.471806) + (xy 184.63792 80.466026) + (xy 184.448628 80.466026) + (xy 184.421435 80.471806) + (xy 184.263471 80.505381) + (xy 184.263466 80.505383) + (xy 184.090544 80.582374) + (xy 184.090539 80.582377) + (xy 183.937403 80.693637) + (xy 183.81074 80.834311) + (xy 183.716095 80.998241) + (xy 183.716092 80.998248) + (xy 183.658193 81.176444) + (xy 183.6576 81.17827) + (xy 183.637814 81.366526) + (xy 181.677773 81.366526) (xy 181.677773 80.849254) (xy 181.671365 80.789643) (xy 181.667949 80.780485) @@ -47648,105 +49058,23 @@ (xy 194.080386 75.65715) (xy 194.10816 75.605704) (xy 194.179733 75.526216) - (xy 194.209352 75.474913) - (xy 194.259918 75.426699) - (xy 194.328525 75.413475) - (xy 194.364189 75.424411) - (xy 194.364633 75.423223) - (xy 194.507791 75.476617) - (xy 194.50779 75.476617) - (xy 194.514718 75.477361) - (xy 194.567401 75.483026) - (xy 196.363146 75.483025) - (xy 196.422757 75.476617) - (xy 196.557605 75.426322) - (xy 196.67282 75.340072) - (xy 196.75907 75.224857) - (xy 196.809365 75.090009) - (xy 196.815774 75.030399) - (xy 196.815773 73.234654) - (xy 196.809365 73.175043) - (xy 196.803935 73.160485) - (xy 196.759071 73.040197) - (xy 196.759067 73.04019) - (xy 196.672821 72.924981) - (xy 196.672818 72.924978) - (xy 196.557609 72.838732) - (xy 196.557602 72.838728) - (xy 196.426191 72.789715) - (xy 196.370257 72.747844) - (xy 196.34584 72.682379) - (xy 196.360692 72.614106) - (xy 196.381837 72.585858) - (xy 196.503769 72.463927) - (xy 196.639309 72.270356) - (xy 196.739177 72.056189) - (xy 196.800337 71.827934) - (xy 196.820933 71.592526) - (xy 196.800337 71.357118) - (xy 196.739177 71.128863) - (xy 196.639309 70.914697) - (xy 196.628743 70.899606) - (xy 196.503768 70.721123) - (xy 196.336676 70.554032) - (xy 196.336669 70.554027) - (xy 196.143108 70.418493) - (xy 196.143104 70.418491) - (xy 196.113115 70.404507) - (xy 195.928937 70.318623) - (xy 195.928933 70.318622) - (xy 195.928929 70.31862) - (xy 195.700687 70.257464) - (xy 195.700677 70.257462) - (xy 195.465275 70.236867) - (xy 195.465273 70.236867) - (xy 195.22987 70.257462) - (xy 195.22986 70.257464) - (xy 195.001618 70.31862) - (xy 195.001609 70.318624) - (xy 194.787445 70.41849) - (xy 194.787443 70.418491) - (xy 194.593871 70.554031) - (xy 194.426779 70.721123) - (xy 194.291239 70.914695) - (xy 194.291238 70.914697) - (xy 194.191372 71.128861) - (xy 194.191368 71.12887) - (xy 194.130212 71.357112) - (xy 194.13021 71.357122) - (xy 194.109615 71.592525) - (xy 194.109615 71.592526) - (xy 194.13021 71.827929) - (xy 194.130212 71.827939) - (xy 194.191368 72.056181) - (xy 194.19137 72.056185) - (xy 194.191371 72.056189) - (xy 194.271212 72.227408) - (xy 194.291239 72.270356) - (xy 194.291241 72.27036) - (xy 194.369428 72.382021) - (xy 194.426775 72.463922) - (xy 194.42678 72.463928) - (xy 194.548704 72.585852) - (xy 194.582189 72.647175) - (xy 194.577205 72.716867) - (xy 194.535333 72.7728) - (xy 194.504357 72.789715) - (xy 194.372943 72.838729) - (xy 194.372938 72.838732) - (xy 194.257729 72.924978) - (xy 194.257726 72.924981) - (xy 194.17148 73.04019) - (xy 194.171476 73.040197) - (xy 194.121183 73.175042) - (xy 194.120631 73.180175) - (xy 194.09389 73.244725) - (xy 194.036496 73.284571) - (xy 193.966671 73.287062) - (xy 193.924457 73.267234) - (xy 193.89641 73.246857) - (xy 193.847371 73.211228) - (xy 193.847369 73.211227) + (xy 194.274379 75.362284) + (xy 194.332874 75.182256) + (xy 194.35266 74.994) + (xy 194.332874 74.805744) + (xy 194.274379 74.625716) + (xy 194.208246 74.511171) + (xy 194.191774 74.443272) + (xy 194.208247 74.387171) + (xy 194.22182 74.363663) + (xy 194.280315 74.183635) + (xy 194.300101 73.995379) + (xy 194.280315 73.807123) + (xy 194.22182 73.627095) + (xy 194.127174 73.463163) + (xy 194.000512 73.322491) + (xy 194.000511 73.32249) + (xy 193.847375 73.21123) (xy 193.84737 73.211227) (xy 193.674448 73.134236) (xy 193.674443 73.134234) @@ -47839,7 +49167,7 @@ (xy 181.371547 70.706861) (xy 181.371542 70.706855) (xy 181.207543 70.542856) - (xy 181.19446 70.533695) + (xy 181.207537 70.542852) (xy 181.017556 70.409825) (xy 181.006152 70.404507) (xy 180.953714 70.358336) @@ -47848,6 +49176,55 @@ (xy 181.006152 70.179744) (xy 181.017556 70.174427) (xy 181.207543 70.041396) + (xy 181.363213 69.885726) + (xy 185.288814 69.885726) + (xy 185.3086 70.073982) + (xy 185.308601 70.073985) + (xy 185.367092 70.254003) + (xy 185.367095 70.25401) + (xy 185.461741 70.417942) + (xy 185.494479 70.454301) + (xy 185.588403 70.558614) + (xy 185.741539 70.669874) + (xy 185.741544 70.669877) + (xy 185.914466 70.746868) + (xy 185.914471 70.74687) + (xy 186.099628 70.786226) + (xy 186.099629 70.786226) + (xy 186.288918 70.786226) + (xy 186.28892 70.786226) + (xy 186.474077 70.74687) + (xy 186.647004 70.669877) + (xy 186.800145 70.558614) + (xy 186.926807 70.417942) + (xy 187.021453 70.25401) + (xy 187.079948 70.073982) + (xy 187.099734 69.885726) + (xy 187.079948 69.69747) + (xy 187.021453 69.517442) + (xy 186.926807 69.35351) + (xy 186.800145 69.212838) + (xy 186.793364 69.207911) + (xy 186.647008 69.101577) + (xy 186.647003 69.101574) + (xy 186.474081 69.024583) + (xy 186.474076 69.024581) + (xy 186.310787 68.989874) + (xy 186.28892 68.985226) + (xy 186.099628 68.985226) + (xy 186.077761 68.989874) + (xy 185.914471 69.024581) + (xy 185.914466 69.024583) + (xy 185.741544 69.101574) + (xy 185.741539 69.101577) + (xy 185.588403 69.212837) + (xy 185.46174 69.353511) + (xy 185.367095 69.517441) + (xy 185.367092 69.517448) + (xy 185.311869 69.687408) + (xy 185.3086 69.69747) + (xy 185.288814 69.885726) + (xy 181.363213 69.885726) (xy 181.371544 69.877395) (xy 181.504575 69.687408) (xy 181.602594 69.477205) @@ -47858,10 +49235,139 @@ (xy 181.504575 68.356845) (xy 181.504573 68.356842) (xy 181.504572 68.35684) + (xy 181.398761 68.205726) + (xy 206.624814 68.205726) + (xy 206.6446 68.393982) + (xy 206.644601 68.393985) + (xy 206.703092 68.574003) + (xy 206.703095 68.57401) + (xy 206.797741 68.737942) + (xy 206.845588 68.791081) + (xy 206.924403 68.878614) + (xy 207.077539 68.989874) + (xy 207.077544 68.989877) + (xy 207.250466 69.066868) + (xy 207.250471 69.06687) + (xy 207.435628 69.106226) + (xy 207.435629 69.106226) + (xy 207.624918 69.106226) + (xy 207.62492 69.106226) + (xy 207.810077 69.06687) + (xy 207.983004 68.989877) + (xy 208.136145 68.878614) + (xy 208.262807 68.737942) + (xy 208.357453 68.57401) + (xy 208.415948 68.393982) + (xy 208.435734 68.205726) + (xy 208.415948 68.01747) + (xy 208.37925 67.904526) + (xy 211.553414 67.904526) + (xy 211.5732 68.092782) + (xy 211.573201 68.092785) + (xy 211.631692 68.272803) + (xy 211.631695 68.27281) + (xy 211.726341 68.436742) + (xy 211.846154 68.569807) + (xy 211.853003 68.577414) + (xy 212.006139 68.688674) + (xy 212.006144 68.688677) + (xy 212.179066 68.765668) + (xy 212.179071 68.76567) + (xy 212.364228 68.805026) + (xy 212.364229 68.805026) + (xy 212.553518 68.805026) + (xy 212.55352 68.805026) + (xy 212.738677 68.76567) + (xy 212.911604 68.688677) + (xy 213.020489 68.609568) + (xy 213.086295 68.586088) + (xy 213.154349 68.601913) + (xy 213.166259 68.609568) + (xy 213.275139 68.688674) + (xy 213.275144 68.688677) + (xy 213.448066 68.765668) + (xy 213.448071 68.76567) + (xy 213.633228 68.805026) + (xy 213.633229 68.805026) + (xy 213.822518 68.805026) + (xy 213.82252 68.805026) + (xy 214.007677 68.76567) + (xy 214.180604 68.688677) + (xy 214.333745 68.577414) + (xy 214.460407 68.436742) + (xy 214.555053 68.27281) + (xy 214.613548 68.092782) + (xy 214.633334 67.904526) + (xy 214.613548 67.71627) + (xy 214.555053 67.536242) + (xy 214.460407 67.37231) + (xy 214.333745 67.231638) + (xy 214.321805 67.222963) + (xy 214.180608 67.120377) + (xy 214.180603 67.120374) + (xy 214.007681 67.043383) + (xy 214.007676 67.043381) + (xy 213.859405 67.011866) + (xy 213.82252 67.004026) + (xy 213.633228 67.004026) + (xy 213.600771 67.010924) + (xy 213.448071 67.043381) + (xy 213.448066 67.043383) + (xy 213.275145 67.120374) + (xy 213.166259 67.199484) + (xy 213.100452 67.222963) + (xy 213.032398 67.207137) + (xy 213.020489 67.199484) + (xy 212.948812 67.147408) + (xy 212.911604 67.120375) + (xy 212.911602 67.120374) + (xy 212.911603 67.120374) + (xy 212.738681 67.043383) + (xy 212.738676 67.043381) + (xy 212.590405 67.011866) + (xy 212.55352 67.004026) + (xy 212.364228 67.004026) + (xy 212.331771 67.010924) + (xy 212.179071 67.043381) + (xy 212.179066 67.043383) + (xy 212.006144 67.120374) + (xy 212.006139 67.120377) + (xy 211.853003 67.231637) + (xy 211.72634 67.372311) + (xy 211.631695 67.536241) + (xy 211.631692 67.536248) + (xy 211.573385 67.7157) + (xy 211.5732 67.71627) + (xy 211.553414 67.904526) + (xy 208.37925 67.904526) + (xy 208.357453 67.837442) + (xy 208.262807 67.67351) + (xy 208.136145 67.532838) + (xy 208.092869 67.501396) + (xy 207.983008 67.421577) + (xy 207.983003 67.421574) + (xy 207.810081 67.344583) + (xy 207.810076 67.344581) + (xy 207.664275 67.313591) + (xy 207.62492 67.305226) + (xy 207.435628 67.305226) + (xy 207.403171 67.312124) + (xy 207.250471 67.344581) + (xy 207.250466 67.344583) + (xy 207.077544 67.421574) + (xy 207.077539 67.421577) + (xy 206.924403 67.532837) + (xy 206.79774 67.673511) + (xy 206.703095 67.837441) + (xy 206.703092 67.837448) + (xy 206.649348 68.002856) + (xy 206.6446 68.01747) + (xy 206.624814 68.205726) + (xy 181.398761 68.205726) (xy 181.371547 68.166861) (xy 181.371542 68.166855) (xy 181.207543 68.002856) - (xy 181.185178 67.987196) + (xy 181.207537 68.002852) (xy 181.017556 67.869825) (xy 181.006152 67.864507) (xy 180.953714 67.818336) @@ -47873,12 +49379,158 @@ (xy 181.371544 67.337395) (xy 181.504575 67.147408) (xy 181.602594 66.937205) + (xy 181.635285 66.8152) + (xy 191.83054 66.8152) + (xy 191.850326 67.003456) + (xy 191.850327 67.003459) + (xy 191.908818 67.183477) + (xy 191.908821 67.183484) + (xy 192.003467 67.347416) + (xy 192.130129 67.488088) + (xy 192.283265 67.599348) + (xy 192.28327 67.599351) + (xy 192.456192 67.676342) + (xy 192.456197 67.676344) + (xy 192.641354 67.7157) + (xy 192.641355 67.7157) + (xy 192.830644 67.7157) + (xy 192.830646 67.7157) + (xy 193.015803 67.676344) + (xy 193.18873 67.599351) + (xy 193.341871 67.488088) + (xy 193.468533 67.347416) + (xy 193.563179 67.183484) + (xy 193.600312 67.0692) + (xy 194.11654 67.0692) + (xy 194.136326 67.257456) + (xy 194.136327 67.257459) + (xy 194.194818 67.437477) + (xy 194.194821 67.437484) + (xy 194.289467 67.601416) + (xy 194.392369 67.7157) + (xy 194.416129 67.742088) + (xy 194.569265 67.853348) + (xy 194.56927 67.853351) + (xy 194.742192 67.930342) + (xy 194.742197 67.930344) + (xy 194.927354 67.9697) + (xy 194.927355 67.9697) + (xy 195.116644 67.9697) + (xy 195.116646 67.9697) + (xy 195.301803 67.930344) + (xy 195.47473 67.853351) + (xy 195.627871 67.742088) + (xy 195.754533 67.601416) + (xy 195.849179 67.437484) + (xy 195.907674 67.257456) + (xy 195.92746 67.0692) + (xy 195.907674 66.880944) + (xy 195.849179 66.700916) + (xy 195.754533 66.536984) + (xy 195.627871 66.396312) + (xy 195.62787 66.396311) + (xy 195.474734 66.285051) + (xy 195.474729 66.285048) + (xy 195.301807 66.208057) + (xy 195.301802 66.208055) + (xy 195.156001 66.177065) + (xy 195.116646 66.1687) + (xy 194.927354 66.1687) + (xy 194.894897 66.175598) + (xy 194.742197 66.208055) + (xy 194.742192 66.208057) + (xy 194.56927 66.285048) + (xy 194.569265 66.285051) + (xy 194.416129 66.396311) + (xy 194.289466 66.536985) + (xy 194.194821 66.700915) + (xy 194.194818 66.700922) + (xy 194.15228 66.831842) + (xy 194.136326 66.880944) + (xy 194.11654 67.0692) + (xy 193.600312 67.0692) + (xy 193.621674 67.003456) + (xy 193.64146 66.8152) + (xy 193.621674 66.626944) + (xy 193.563179 66.446916) + (xy 193.468533 66.282984) + (xy 193.341871 66.142312) + (xy 193.34187 66.142311) + (xy 193.188734 66.031051) + (xy 193.188729 66.031048) + (xy 193.015807 65.954057) + (xy 193.015802 65.954055) + (xy 192.870001 65.923065) + (xy 192.830646 65.9147) + (xy 192.641354 65.9147) + (xy 192.608897 65.921598) + (xy 192.456197 65.954055) + (xy 192.456192 65.954057) + (xy 192.28327 66.031048) + (xy 192.283265 66.031051) + (xy 192.130129 66.142311) + (xy 192.003466 66.282985) + (xy 191.908821 66.446915) + (xy 191.908818 66.446922) + (xy 191.854257 66.614846) + (xy 191.850326 66.626944) + (xy 191.83054 66.8152) + (xy 181.635285 66.8152) (xy 181.662623 66.713176) (xy 181.682837 66.482126) (xy 181.679756 66.446915) - (xy 181.674234 66.383795) + (xy 181.670314 66.338983) (xy 181.662623 66.251076) (xy 181.602594 66.027047) + (xy 181.549005 65.912126) + (xy 183.891814 65.912126) + (xy 183.9116 66.100382) + (xy 183.911601 66.100385) + (xy 183.970092 66.280403) + (xy 183.970095 66.28041) + (xy 184.064741 66.444342) + (xy 184.174878 66.566661) + (xy 184.191403 66.585014) + (xy 184.344539 66.696274) + (xy 184.344544 66.696277) + (xy 184.517466 66.773268) + (xy 184.517471 66.77327) + (xy 184.702628 66.812626) + (xy 184.702629 66.812626) + (xy 184.891918 66.812626) + (xy 184.89192 66.812626) + (xy 185.077077 66.77327) + (xy 185.250004 66.696277) + (xy 185.403145 66.585014) + (xy 185.529807 66.444342) + (xy 185.624453 66.28041) + (xy 185.682948 66.100382) + (xy 185.702734 65.912126) + (xy 185.682948 65.72387) + (xy 185.624453 65.543842) + (xy 185.529807 65.37991) + (xy 185.403145 65.239238) + (xy 185.367183 65.21311) + (xy 185.250008 65.127977) + (xy 185.250003 65.127974) + (xy 185.077081 65.050983) + (xy 185.077076 65.050981) + (xy 184.931275 65.019991) + (xy 184.89192 65.011626) + (xy 184.702628 65.011626) + (xy 184.670171 65.018524) + (xy 184.517471 65.050981) + (xy 184.517466 65.050983) + (xy 184.344544 65.127974) + (xy 184.344539 65.127977) + (xy 184.191403 65.239237) + (xy 184.06474 65.379911) + (xy 183.970095 65.543841) + (xy 183.970092 65.543848) + (xy 183.94312 65.626861) + (xy 183.9116 65.72387) + (xy 183.891814 65.912126) + (xy 181.549005 65.912126) (xy 181.504575 65.816845) (xy 181.504573 65.816842) (xy 181.504572 65.81684) @@ -47897,6 +49549,55 @@ (xy 181.371544 64.797395) (xy 181.504575 64.607408) (xy 181.602594 64.397205) + (xy 181.615638 64.348526) + (xy 187.955814 64.348526) + (xy 187.9756 64.536782) + (xy 187.975601 64.536785) + (xy 188.034092 64.716803) + (xy 188.034095 64.71681) + (xy 188.128741 64.880742) + (xy 188.24659 65.011626) + (xy 188.255403 65.021414) + (xy 188.408539 65.132674) + (xy 188.408544 65.132677) + (xy 188.581466 65.209668) + (xy 188.581471 65.20967) + (xy 188.766628 65.249026) + (xy 188.766629 65.249026) + (xy 188.955918 65.249026) + (xy 188.95592 65.249026) + (xy 189.141077 65.20967) + (xy 189.314004 65.132677) + (xy 189.467145 65.021414) + (xy 189.593807 64.880742) + (xy 189.688453 64.71681) + (xy 189.746948 64.536782) + (xy 189.766734 64.348526) + (xy 189.746948 64.16027) + (xy 189.688453 63.980242) + (xy 189.593807 63.81631) + (xy 189.467145 63.675638) + (xy 189.467144 63.675637) + (xy 189.314008 63.564377) + (xy 189.314003 63.564374) + (xy 189.141081 63.487383) + (xy 189.141076 63.487381) + (xy 188.995275 63.456391) + (xy 188.95592 63.448026) + (xy 188.766628 63.448026) + (xy 188.734171 63.454924) + (xy 188.581471 63.487381) + (xy 188.581466 63.487383) + (xy 188.408544 63.564374) + (xy 188.408539 63.564377) + (xy 188.255403 63.675637) + (xy 188.12874 63.816311) + (xy 188.034095 63.980241) + (xy 188.034092 63.980248) + (xy 187.990567 64.114206) + (xy 187.9756 64.16027) + (xy 187.955814 64.348526) + (xy 181.615638 64.348526) (xy 181.662623 64.173176) (xy 181.682837 63.942126) (xy 181.662623 63.711076) @@ -48174,7 +49875,7 @@ (xy 117.024776 82.61761) (xy 116.995503 82.426526) (xy 116.928364 82.245247) - (xy 116.87562 82.160627) + (xy 116.902429 82.203638) (xy 116.826111 82.081196) (xy 116.82611 82.081195) (xy 116.826109 82.081193) @@ -48205,7 +49906,7 @@ (xy 80.288969 82.071842) (xy 80.194323 81.90791) (xy 80.067661 81.767238) - (xy 80.050009 81.754413) + (xy 80.023028 81.73481) (xy 79.914524 81.655977) (xy 79.914519 81.655974) (xy 79.741597 81.578983) @@ -48233,7 +49934,7 @@ (xy 78.634608 80.268403) (xy 78.634611 80.26841) (xy 78.729257 80.432342) - (xy 78.840763 80.556182) + (xy 78.806687 80.518336) (xy 78.855919 80.573014) (xy 79.009055 80.684274) (xy 79.00906 80.684277) @@ -48364,7 +50065,10 @@ (xy 173.071775 76.189808) (xy 173.169794 75.979605) (xy 173.229823 75.755576) - (xy 173.250037 75.524526) + (xy 173.249889 75.526216) + (xy 173.250037 75.524527) + (xy 173.250037 75.524524) + (xy 173.238754 75.395558) (xy 173.229823 75.293476) (xy 173.169794 75.069447) (xy 173.071775 74.859245) @@ -48373,7 +50077,7 @@ (xy 172.938747 74.669261) (xy 172.938742 74.669255) (xy 172.774743 74.505256) - (xy 172.736113 74.478207) + (xy 172.712659 74.461784) (xy 172.584756 74.372225) (xy 172.573352 74.366907) (xy 172.520914 74.320736) @@ -48409,8 +50113,6 @@ (xy 173.169794 70.899605) (xy 173.229823 70.675576) (xy 173.250037 70.444526) - (xy 173.247759 70.418493) - (xy 173.238754 70.315556) (xy 173.229823 70.213476) (xy 173.169794 69.989447) (xy 173.071775 69.779245) @@ -48441,7 +50143,7 @@ (xy 172.938747 67.049261) (xy 172.938742 67.049255) (xy 172.774743 66.885256) - (xy 172.703353 66.835268) + (xy 172.744041 66.863758) (xy 172.584756 66.752225) (xy 172.573352 66.746907) (xy 172.520914 66.700736) @@ -48478,6 +50180,104 @@ (xy 173.229823 63.055576) (xy 173.250037 62.824526) (xy 173.229823 62.593476) + (xy 173.189644 62.443526) + (xy 203.830814 62.443526) + (xy 203.8506 62.631782) + (xy 203.850601 62.631785) + (xy 203.909092 62.811803) + (xy 203.909095 62.81181) + (xy 204.003741 62.975742) + (xy 204.044155 63.020626) + (xy 204.130403 63.116414) + (xy 204.283539 63.227674) + (xy 204.283544 63.227677) + (xy 204.456466 63.304668) + (xy 204.456471 63.30467) + (xy 204.641628 63.344026) + (xy 204.641629 63.344026) + (xy 204.830918 63.344026) + (xy 204.83092 63.344026) + (xy 205.016077 63.30467) + (xy 205.189004 63.227677) + (xy 205.342145 63.116414) + (xy 205.468807 62.975742) + (xy 205.563453 62.81181) + (xy 205.621948 62.631782) + (xy 205.641734 62.443526) + (xy 205.621948 62.25527) + (xy 205.563453 62.075242) + (xy 205.468807 61.91131) + (xy 205.342145 61.770638) + (xy 205.316283 61.751848) + (xy 205.189008 61.659377) + (xy 205.189003 61.659374) + (xy 205.016081 61.582383) + (xy 205.016076 61.582381) + (xy 204.885024 61.554526) + (xy 212.847814 61.554526) + (xy 212.8676 61.742782) + (xy 212.867601 61.742785) + (xy 212.926092 61.922803) + (xy 212.926095 61.92281) + (xy 213.020741 62.086742) + (xy 213.142547 62.222021) + (xy 213.147403 62.227414) + (xy 213.300539 62.338674) + (xy 213.300544 62.338677) + (xy 213.473466 62.415668) + (xy 213.473471 62.41567) + (xy 213.658628 62.455026) + (xy 213.658629 62.455026) + (xy 213.847918 62.455026) + (xy 213.84792 62.455026) + (xy 214.033077 62.41567) + (xy 214.206004 62.338677) + (xy 214.359145 62.227414) + (xy 214.485807 62.086742) + (xy 214.580453 61.92281) + (xy 214.638948 61.742782) + (xy 214.658734 61.554526) + (xy 214.638948 61.36627) + (xy 214.580453 61.186242) + (xy 214.485807 61.02231) + (xy 214.359145 60.881638) + (xy 214.359144 60.881637) + (xy 214.206008 60.770377) + (xy 214.206003 60.770374) + (xy 214.033081 60.693383) + (xy 214.033076 60.693381) + (xy 213.887275 60.662391) + (xy 213.84792 60.654026) + (xy 213.658628 60.654026) + (xy 213.626171 60.660924) + (xy 213.473471 60.693381) + (xy 213.473466 60.693383) + (xy 213.300544 60.770374) + (xy 213.300539 60.770377) + (xy 213.147403 60.881637) + (xy 213.02074 61.022311) + (xy 212.926095 61.186241) + (xy 212.926092 61.186248) + (xy 212.869058 61.361782) + (xy 212.8676 61.36627) + (xy 212.847814 61.554526) + (xy 204.885024 61.554526) + (xy 204.870275 61.551391) + (xy 204.83092 61.543026) + (xy 204.641628 61.543026) + (xy 204.609171 61.549924) + (xy 204.456471 61.582381) + (xy 204.456466 61.582383) + (xy 204.283544 61.659374) + (xy 204.283539 61.659377) + (xy 204.130403 61.770637) + (xy 204.00374 61.911311) + (xy 203.909095 62.075241) + (xy 203.909092 62.075248) + (xy 203.859651 62.227414) + (xy 203.8506 62.25527) + (xy 203.830814 62.443526) + (xy 173.189644 62.443526) (xy 173.169794 62.369447) (xy 173.071775 62.159245) (xy 173.071773 62.159242) @@ -48495,11 +50295,305 @@ (xy 172.584756 61.436827) (xy 172.774743 61.303796) (xy 172.938744 61.139795) + (xy 173.004052 61.046526) + (xy 183.383814 61.046526) + (xy 183.4036 61.234782) + (xy 183.403601 61.234785) + (xy 183.462092 61.414803) + (xy 183.462095 61.41481) + (xy 183.556741 61.578742) + (xy 183.640913 61.672224) + (xy 183.683403 61.719414) + (xy 183.836539 61.830674) + (xy 183.836544 61.830677) + (xy 184.009466 61.907668) + (xy 184.009471 61.90767) + (xy 184.194628 61.947026) + (xy 184.194629 61.947026) + (xy 184.383918 61.947026) + (xy 184.38392 61.947026) + (xy 184.569077 61.90767) + (xy 184.742004 61.830677) + (xy 184.895145 61.719414) + (xy 185.021807 61.578742) + (xy 185.116453 61.41481) + (xy 185.174948 61.234782) + (xy 185.181386 61.173526) + (xy 191.765814 61.173526) + (xy 191.7856 61.361782) + (xy 191.785601 61.361785) + (xy 191.844092 61.541803) + (xy 191.844095 61.54181) + (xy 191.938741 61.705742) + (xy 192.028344 61.805256) + (xy 192.065403 61.846414) + (xy 192.218539 61.957674) + (xy 192.218544 61.957677) + (xy 192.391466 62.034668) + (xy 192.391471 62.03467) + (xy 192.576628 62.074026) + (xy 192.576629 62.074026) + (xy 192.765918 62.074026) + (xy 192.76592 62.074026) + (xy 192.951077 62.03467) + (xy 193.124004 61.957677) + (xy 193.277145 61.846414) + (xy 193.403807 61.705742) + (xy 193.498453 61.54181) + (xy 193.556948 61.361782) + (xy 193.576734 61.173526) + (xy 193.556948 60.98527) + (xy 193.498453 60.805242) + (xy 193.403807 60.64131) + (xy 193.277145 60.500638) + (xy 193.195432 60.44127) + (xy 193.124008 60.389377) + (xy 193.124003 60.389374) + (xy 192.951081 60.312383) + (xy 192.951076 60.312381) + (xy 192.805275 60.281391) + (xy 192.76592 60.273026) + (xy 192.576628 60.273026) + (xy 192.544171 60.279924) + (xy 192.391471 60.312381) + (xy 192.391466 60.312383) + (xy 192.218544 60.389374) + (xy 192.218539 60.389377) + (xy 192.065403 60.500637) + (xy 191.93874 60.641311) + (xy 191.844095 60.805241) + (xy 191.844092 60.805248) + (xy 191.797122 60.949808) + (xy 191.7856 60.98527) + (xy 191.765814 61.173526) + (xy 185.181386 61.173526) + (xy 185.194734 61.046526) + (xy 185.174948 60.85827) + (xy 185.116453 60.678242) + (xy 185.021807 60.51431) + (xy 184.895145 60.373638) + (xy 184.882257 60.364274) + (xy 184.742008 60.262377) + (xy 184.742003 60.262374) + (xy 184.569081 60.185383) + (xy 184.569076 60.185381) + (xy 184.423275 60.154391) + (xy 184.38392 60.146026) + (xy 184.194628 60.146026) + (xy 184.162171 60.152924) + (xy 184.009471 60.185381) + (xy 184.009466 60.185383) + (xy 183.836544 60.262374) + (xy 183.836539 60.262377) + (xy 183.683403 60.373637) + (xy 183.55674 60.514311) + (xy 183.462095 60.678241) + (xy 183.462092 60.678248) + (xy 183.403601 60.858266) + (xy 183.4036 60.85827) + (xy 183.383814 61.046526) + (xy 173.004052 61.046526) (xy 173.071775 60.949808) (xy 173.169794 60.739605) (xy 173.229823 60.515576) (xy 173.250037 60.284526) (xy 173.229823 60.053476) + (xy 173.189644 59.903526) + (xy 195.829814 59.903526) + (xy 195.8496 60.091782) + (xy 195.849601 60.091785) + (xy 195.908092 60.271803) + (xy 195.908095 60.27181) + (xy 196.002741 60.435742) + (xy 196.073485 60.514311) + (xy 196.129403 60.576414) + (xy 196.282539 60.687674) + (xy 196.282544 60.687677) + (xy 196.455466 60.764668) + (xy 196.455471 60.76467) + (xy 196.640628 60.804026) + (xy 196.640629 60.804026) + (xy 196.829918 60.804026) + (xy 196.82992 60.804026) + (xy 197.015077 60.76467) + (xy 197.188004 60.687677) + (xy 197.341145 60.576414) + (xy 197.467807 60.435742) + (xy 197.562453 60.27181) + (xy 197.620948 60.091782) + (xy 197.640734 59.903526) + (xy 197.620948 59.71527) + (xy 197.562453 59.535242) + (xy 197.467807 59.37131) + (xy 197.341145 59.230638) + (xy 197.341144 59.230637) + (xy 197.188008 59.119377) + (xy 197.188003 59.119374) + (xy 197.015081 59.042383) + (xy 197.015076 59.042381) + (xy 196.888653 59.01551) + (xy 196.857158 59.008815) + (xy 196.795677 58.975624) + (xy 196.761901 58.914461) + (xy 196.763698 58.887526) + (xy 209.291814 58.887526) + (xy 209.3116 59.075782) + (xy 209.311601 59.075785) + (xy 209.370092 59.255803) + (xy 209.370095 59.25581) + (xy 209.464741 59.419742) + (xy 209.591403 59.560414) + (xy 209.744539 59.671674) + (xy 209.744544 59.671677) + (xy 209.917466 59.748668) + (xy 209.917471 59.74867) + (xy 210.102628 59.788026) + (xy 210.102629 59.788026) + (xy 210.291918 59.788026) + (xy 210.29192 59.788026) + (xy 210.477077 59.74867) + (xy 210.650004 59.671677) + (xy 210.803145 59.560414) + (xy 210.929807 59.419742) + (xy 211.024453 59.25581) + (xy 211.082948 59.075782) + (xy 211.102734 58.887526) + (xy 211.082948 58.69927) + (xy 211.024453 58.519242) + (xy 210.929807 58.35531) + (xy 210.803145 58.214638) + (xy 210.803144 58.214637) + (xy 210.650008 58.103377) + (xy 210.650003 58.103374) + (xy 210.477081 58.026383) + (xy 210.477076 58.026381) + (xy 210.331275 57.995391) + (xy 210.29192 57.987026) + (xy 210.102628 57.987026) + (xy 210.070171 57.993924) + (xy 209.917471 58.026381) + (xy 209.917466 58.026383) + (xy 209.744544 58.103374) + (xy 209.744539 58.103377) + (xy 209.591403 58.214637) + (xy 209.46474 58.355311) + (xy 209.370095 58.519241) + (xy 209.370092 58.519248) + (xy 209.311601 58.699266) + (xy 209.3116 58.69927) + (xy 209.291814 58.887526) + (xy 196.763698 58.887526) + (xy 196.766553 58.844746) + (xy 196.808157 58.788614) + (xy 196.857158 58.766236) + (xy 197.015077 58.73267) + (xy 197.188004 58.655677) + (xy 197.341145 58.544414) + (xy 197.467807 58.403742) + (xy 197.562453 58.23981) + (xy 197.620948 58.059782) + (xy 197.640734 57.871526) + (xy 197.620948 57.68327) + (xy 197.562453 57.503242) + (xy 197.481788 57.363526) + (xy 215.006814 57.363526) + (xy 215.0266 57.551782) + (xy 215.026601 57.551785) + (xy 215.085092 57.731803) + (xy 215.085095 57.73181) + (xy 215.179741 57.895742) + (xy 215.251619 57.97557) + (xy 215.306403 58.036414) + (xy 215.459539 58.147674) + (xy 215.459544 58.147677) + (xy 215.632466 58.224668) + (xy 215.632471 58.22467) + (xy 215.817628 58.264026) + (xy 215.817629 58.264026) + (xy 216.006918 58.264026) + (xy 216.00692 58.264026) + (xy 216.192077 58.22467) + (xy 216.365004 58.147677) + (xy 216.518145 58.036414) + (xy 216.644807 57.895742) + (xy 216.739453 57.73181) + (xy 216.797948 57.551782) + (xy 216.817734 57.363526) + (xy 216.797948 57.17527) + (xy 216.739453 56.995242) + (xy 216.644807 56.83131) + (xy 216.518145 56.690638) + (xy 216.499645 56.677197) + (xy 216.365008 56.579377) + (xy 216.365003 56.579374) + (xy 216.192081 56.502383) + (xy 216.192076 56.502381) + (xy 216.046275 56.471391) + (xy 216.00692 56.463026) + (xy 215.817628 56.463026) + (xy 215.785171 56.469924) + (xy 215.632471 56.502381) + (xy 215.632466 56.502383) + (xy 215.459544 56.579374) + (xy 215.459539 56.579377) + (xy 215.306403 56.690637) + (xy 215.17974 56.831311) + (xy 215.085095 56.995241) + (xy 215.085092 56.995248) + (xy 215.026601 57.175266) + (xy 215.0266 57.17527) + (xy 215.006814 57.363526) + (xy 197.481788 57.363526) + (xy 197.467807 57.33931) + (xy 197.341145 57.198638) + (xy 197.341144 57.198637) + (xy 197.188008 57.087377) + (xy 197.188003 57.087374) + (xy 197.015081 57.010383) + (xy 197.015076 57.010381) + (xy 196.858491 56.977099) + (xy 196.82992 56.971026) + (xy 196.640628 56.971026) + (xy 196.612061 56.977098) + (xy 196.455471 57.010381) + (xy 196.455466 57.010383) + (xy 196.282544 57.087374) + (xy 196.282539 57.087377) + (xy 196.129403 57.198637) + (xy 196.00274 57.339311) + (xy 195.908095 57.503241) + (xy 195.908092 57.503248) + (xy 195.86 57.651261) + (xy 195.8496 57.68327) + (xy 195.829814 57.871526) + (xy 195.8496 58.059782) + (xy 195.849601 58.059785) + (xy 195.908092 58.239803) + (xy 195.908095 58.23981) + (xy 196.002741 58.403742) + (xy 196.129403 58.544414) + (xy 196.282539 58.655674) + (xy 196.282544 58.655677) + (xy 196.455466 58.732668) + (xy 196.455471 58.73267) + (xy 196.613388 58.766236) + (xy 196.67487 58.799428) + (xy 196.708646 58.860591) + (xy 196.703994 58.930306) + (xy 196.662389 58.986438) + (xy 196.613388 59.008816) + (xy 196.455471 59.042381) + (xy 196.455466 59.042383) + (xy 196.282544 59.119374) + (xy 196.282539 59.119377) + (xy 196.129403 59.230637) + (xy 196.00274 59.371311) + (xy 195.908095 59.535241) + (xy 195.908092 59.535248) + (xy 195.858113 59.68907) + (xy 195.8496 59.71527) + (xy 195.829814 59.903526) + (xy 173.189644 59.903526) (xy 173.169794 59.829447) (xy 173.071775 59.619245) (xy 173.071773 59.619242) @@ -48507,7 +50601,7 @@ (xy 172.938747 59.429261) (xy 172.938742 59.429255) (xy 172.774743 59.265256) - (xy 172.699584 59.212629) + (xy 172.761243 59.255803) (xy 172.584756 59.132225) (xy 172.573352 59.126907) (xy 172.520914 59.080736) @@ -48517,1038 +50611,7 @@ (xy 172.584756 58.896827) (xy 172.774743 58.763796) (xy 172.938744 58.599795) - (xy 173.071775 58.409808) - (xy 173.169794 58.199605) - (xy 173.229823 57.975576) - (xy 173.250037 57.744526) - (xy 173.229823 57.513476) - (xy 173.169794 57.289447) - (xy 173.071775 57.079245) - (xy 173.071773 57.079242) - (xy 173.071772 57.07924) - (xy 172.938747 56.889261) - (xy 172.938742 56.889255) - (xy 172.774743 56.725256) - (xy 172.706098 56.67719) - (xy 172.584756 56.592225) - (xy 172.374553 56.494206) - (xy 172.37455 56.494205) - (xy 172.374548 56.494204) - (xy 172.150525 56.434177) - (xy 172.150518 56.434176) - (xy 171.919476 56.413963) - (xy 171.919472 56.413963) - (xy 171.688429 56.434176) - (xy 171.688422 56.434177) - (xy 171.464391 56.494207) - (xy 171.254192 56.592225) - (xy 171.254188 56.592227) - (xy 171.064209 56.725252) - (xy 170.9089 56.880561) - (xy 170.847577 56.914045) - (xy 170.777885 56.909061) - (xy 170.721952 56.867189) - (xy 170.702991 56.818969) - (xy 170.70035 56.819594) - (xy 170.698566 56.812046) - (xy 170.648271 56.677197) - (xy 170.648267 56.67719) - (xy 170.562021 56.561981) - (xy 170.562018 56.561978) - (xy 170.446809 56.475732) - (xy 170.446802 56.475728) - (xy 170.311956 56.425434) - (xy 170.311957 56.425434) - (xy 170.252357 56.419027) - (xy 170.252355 56.419026) - (xy 170.252347 56.419026) - (xy 170.252338 56.419026) - (xy 168.506603 56.419026) - (xy 168.506597 56.419027) - (xy 168.44699 56.425434) - (xy 168.312145 56.475728) - (xy 168.312138 56.475732) - (xy 168.196929 56.561978) - (xy 168.196926 56.561981) - (xy 168.11068 56.67719) - (xy 168.110676 56.677197) - (xy 168.060382 56.812043) - (xy 168.053975 56.871642) - (xy 168.053974 56.871661) - (xy 168.053974 58.617396) - (xy 168.053975 58.617402) - (xy 168.060382 58.677009) - (xy 168.110676 58.811854) - (xy 168.11068 58.811861) - (xy 168.196926 58.92707) - (xy 168.196929 58.927073) - (xy 168.312138 59.013319) - (xy 168.312145 59.013323) - (xy 168.446991 59.063617) - (xy 168.454536 59.0654) - (xy 168.453857 59.068272) - (xy 168.505636 59.089722) - (xy 168.545482 59.147115) - (xy 168.547974 59.21694) - (xy 168.515509 59.273952) - (xy 168.3602 59.429261) - (xy 168.227175 59.61924) - (xy 168.227173 59.619244) - (xy 168.129155 59.829443) - (xy 168.069125 60.053474) - (xy 168.069124 60.053481) - (xy 168.048911 60.284524) - (xy 168.048911 60.284527) - (xy 168.069124 60.51557) - (xy 168.069125 60.515577) - (xy 168.129152 60.7396) - (xy 168.129153 60.739602) - (xy 168.129154 60.739605) - (xy 168.227173 60.949808) - (xy 168.360204 61.139795) - (xy 168.524205 61.303796) - (xy 168.714192 61.436827) - (xy 168.725595 61.442144) - (xy 168.778034 61.488316) - (xy 168.797186 61.55551) - (xy 168.77697 61.622391) - (xy 168.725597 61.666907) - (xy 168.714194 61.672224) - (xy 168.714188 61.672227) - (xy 168.524209 61.805252) - (xy 168.524203 61.805257) - (xy 168.360205 61.969255) - (xy 168.3602 61.969261) - (xy 168.227175 62.15924) - (xy 168.227173 62.159244) - (xy 168.129155 62.369443) - (xy 168.069125 62.593474) - (xy 168.069124 62.593481) - (xy 168.048911 62.824524) - (xy 168.048911 62.824527) - (xy 168.069124 63.05557) - (xy 168.069125 63.055577) - (xy 168.129152 63.2796) - (xy 168.129153 63.279602) - (xy 168.129154 63.279605) - (xy 168.227173 63.489808) - (xy 168.360204 63.679795) - (xy 168.524205 63.843796) - (xy 168.714192 63.976827) - (xy 168.725595 63.982144) - (xy 168.778034 64.028316) - (xy 168.797186 64.09551) - (xy 168.77697 64.162391) - (xy 168.725597 64.206907) - (xy 168.714194 64.212224) - (xy 168.714188 64.212227) - (xy 168.524209 64.345252) - (xy 168.524203 64.345257) - (xy 168.360205 64.509255) - (xy 168.3602 64.509261) - (xy 168.227175 64.69924) - (xy 168.227173 64.699244) - (xy 168.129155 64.909443) - (xy 168.069125 65.133474) - (xy 168.069124 65.133481) - (xy 168.048911 65.364524) - (xy 168.048911 65.364527) - (xy 168.069124 65.59557) - (xy 168.069125 65.595577) - (xy 168.129152 65.8196) - (xy 168.129153 65.819602) - (xy 168.129154 65.819605) - (xy 168.227173 66.029808) - (xy 168.360204 66.219795) - (xy 168.524205 66.383796) - (xy 168.714192 66.516827) - (xy 168.725595 66.522144) - (xy 168.778034 66.568316) - (xy 168.797186 66.63551) - (xy 168.77697 66.702391) - (xy 168.725597 66.746907) - (xy 168.714194 66.752224) - (xy 168.714188 66.752227) - (xy 168.524209 66.885252) - (xy 168.524203 66.885257) - (xy 168.360205 67.049255) - (xy 168.3602 67.049261) - (xy 168.227175 67.23924) - (xy 168.227173 67.239244) - (xy 168.129155 67.449443) - (xy 168.069125 67.673474) - (xy 168.069124 67.673481) - (xy 168.048911 67.904524) - (xy 168.048911 67.904527) - (xy 168.069124 68.13557) - (xy 168.069125 68.135577) - (xy 168.129152 68.3596) - (xy 168.129153 68.359602) - (xy 168.129154 68.359605) - (xy 168.227173 68.569808) - (xy 168.360204 68.759795) - (xy 168.524205 68.923796) - (xy 168.714192 69.056827) - (xy 168.725595 69.062144) - (xy 168.778034 69.108316) - (xy 168.797186 69.17551) - (xy 168.77697 69.242391) - (xy 168.725597 69.286907) - (xy 168.714194 69.292224) - (xy 168.714188 69.292227) - (xy 168.524209 69.425252) - (xy 168.524203 69.425257) - (xy 168.360205 69.589255) - (xy 168.3602 69.589261) - (xy 168.227175 69.77924) - (xy 168.227173 69.779244) - (xy 168.129155 69.989443) - (xy 168.069125 70.213474) - (xy 168.069124 70.213481) - (xy 168.048911 70.444524) - (xy 168.048911 70.444527) - (xy 168.069124 70.67557) - (xy 168.069125 70.675577) - (xy 168.129152 70.8996) - (xy 168.129153 70.899602) - (xy 168.129154 70.899605) - (xy 168.227173 71.109808) - (xy 168.360204 71.299795) - (xy 168.524205 71.463796) - (xy 168.714192 71.596827) - (xy 168.725595 71.602144) - (xy 168.778034 71.648316) - (xy 168.797186 71.71551) - (xy 168.77697 71.782391) - (xy 168.725597 71.826907) - (xy 168.714194 71.832224) - (xy 168.714188 71.832227) - (xy 168.524209 71.965252) - (xy 168.524203 71.965257) - (xy 168.360205 72.129255) - (xy 168.3602 72.129261) - (xy 168.227175 72.31924) - (xy 168.227173 72.319244) - (xy 168.129155 72.529443) - (xy 168.069125 72.753474) - (xy 168.069124 72.753481) - (xy 168.048911 72.984524) - (xy 168.048911 72.984527) - (xy 168.069124 73.21557) - (xy 168.069125 73.215577) - (xy 168.129152 73.4396) - (xy 168.129153 73.439602) - (xy 168.129154 73.439605) - (xy 168.227173 73.649808) - (xy 168.360204 73.839795) - (xy 168.524205 74.003796) - (xy 168.714192 74.136827) - (xy 168.725595 74.142144) - (xy 168.778034 74.188316) - (xy 168.797186 74.25551) - (xy 168.77697 74.322391) - (xy 168.725597 74.366907) - (xy 168.714194 74.372224) - (xy 168.714188 74.372227) - (xy 168.524209 74.505252) - (xy 168.524203 74.505257) - (xy 168.360205 74.669255) - (xy 168.3602 74.669261) - (xy 168.227175 74.85924) - (xy 168.227173 74.859244) - (xy 168.129155 75.069443) - (xy 168.069125 75.293474) - (xy 168.069124 75.293481) - (xy 168.048911 75.524524) - (xy 168.048911 75.524527) - (xy 168.069124 75.75557) - (xy 168.069125 75.755577) - (xy 168.129152 75.9796) - (xy 168.129153 75.979602) - (xy 168.129154 75.979605) - (xy 168.227173 76.189808) - (xy 168.360204 76.379795) - (xy 168.524205 76.543796) - (xy 168.714192 76.676827) - (xy 168.725595 76.682144) - (xy 168.778034 76.728316) - (xy 168.797186 76.79551) - (xy 168.77697 76.862391) - (xy 168.725597 76.906907) - (xy 168.714194 76.912224) - (xy 168.714188 76.912227) - (xy 168.524209 77.045252) - (xy 168.524203 77.045257) - (xy 168.360205 77.209255) - (xy 168.3602 77.209261) - (xy 168.227175 77.39924) - (xy 168.227173 77.399244) - (xy 168.129155 77.609443) - (xy 168.069125 77.833474) - (xy 168.069124 77.833481) - (xy 168.048911 78.064524) - (xy 168.048911 78.064527) - (xy 80.024287 78.064527) - (xy 80.067661 78.033014) - (xy 80.194323 77.892342) - (xy 80.288969 77.72841) - (xy 80.347464 77.548382) - (xy 80.36725 77.360126) - (xy 80.347464 77.17187) - (xy 80.288969 76.991842) - (xy 80.194323 76.82791) - (xy 80.067661 76.687238) - (xy 80.06065 76.682144) - (xy 79.914524 76.575977) - (xy 79.914519 76.575974) - (xy 79.741597 76.498983) - (xy 79.741592 76.498981) - (xy 79.595791 76.467991) - (xy 79.556436 76.459626) - (xy 79.367144 76.459626) - (xy 79.334687 76.466524) - (xy 79.181987 76.498981) - (xy 79.181982 76.498983) - (xy 79.00906 76.575974) - (xy 79.009055 76.575977) - (xy 78.855919 76.687237) - (xy 78.729256 76.827911) - (xy 78.634611 76.991841) - (xy 78.634608 76.991848) - (xy 78.576117 77.171866) - (xy 78.576116 77.17187) - (xy 78.55633 77.360126) - (xy 65.696674 77.360126) - (xy 65.696674 74.820126) - (xy 78.55633 74.820126) - (xy 78.576116 75.008382) - (xy 78.576117 75.008385) - (xy 78.634608 75.188403) - (xy 78.634611 75.18841) - (xy 78.729257 75.352342) - (xy 78.795869 75.426322) - (xy 78.855919 75.493014) - (xy 79.009055 75.604274) - (xy 79.00906 75.604277) - (xy 79.181982 75.681268) - (xy 79.181987 75.68127) - (xy 79.367144 75.720626) - (xy 79.367145 75.720626) - (xy 79.556434 75.720626) - (xy 79.556436 75.720626) - (xy 79.741593 75.68127) - (xy 79.91452 75.604277) - (xy 80.067661 75.493014) - (xy 80.194323 75.352342) - (xy 80.288969 75.18841) - (xy 80.347464 75.008382) - (xy 80.36725 74.820126) - (xy 80.347464 74.63187) - (xy 80.288969 74.451842) - (xy 80.194323 74.28791) - (xy 80.067661 74.147238) - (xy 80.06065 74.142144) - (xy 79.914524 74.035977) - (xy 79.914519 74.035974) - (xy 79.741597 73.958983) - (xy 79.741592 73.958981) - (xy 79.595791 73.927991) - (xy 79.556436 73.919626) - (xy 79.367144 73.919626) - (xy 79.334687 73.926524) - (xy 79.181987 73.958981) - (xy 79.181982 73.958983) - (xy 79.00906 74.035974) - (xy 79.009055 74.035977) - (xy 78.855919 74.147237) - (xy 78.729256 74.287911) - (xy 78.634611 74.451841) - (xy 78.634608 74.451848) - (xy 78.578115 74.625717) - (xy 78.576116 74.63187) - (xy 78.55633 74.820126) - (xy 65.696674 74.820126) - (xy 65.696674 72.280126) - (xy 78.55633 72.280126) - (xy 78.576116 72.468382) - (xy 78.576117 72.468385) - (xy 78.634608 72.648403) - (xy 78.634611 72.64841) - (xy 78.729257 72.812342) - (xy 78.806267 72.89787) - (xy 78.855919 72.953014) - (xy 79.009055 73.064274) - (xy 79.00906 73.064277) - (xy 79.181982 73.141268) - (xy 79.181987 73.14127) - (xy 79.367144 73.180626) - (xy 79.367145 73.180626) - (xy 79.556434 73.180626) - (xy 79.556436 73.180626) - (xy 79.741593 73.14127) - (xy 79.91452 73.064277) - (xy 80.067661 72.953014) - (xy 80.194323 72.812342) - (xy 80.288969 72.64841) - (xy 80.347464 72.468382) - (xy 80.36725 72.280126) - (xy 80.347464 72.09187) - (xy 80.288969 71.911842) - (xy 80.194323 71.74791) - (xy 80.067661 71.607238) - (xy 80.06065 71.602144) - (xy 79.914524 71.495977) - (xy 79.914519 71.495974) - (xy 79.741597 71.418983) - (xy 79.741592 71.418981) - (xy 79.595791 71.387991) - (xy 79.556436 71.379626) - (xy 79.367144 71.379626) - (xy 79.334687 71.386524) - (xy 79.181987 71.418981) - (xy 79.181982 71.418983) - (xy 79.00906 71.495974) - (xy 79.009055 71.495977) - (xy 78.855919 71.607237) - (xy 78.729256 71.747911) - (xy 78.634611 71.911841) - (xy 78.634608 71.911848) - (xy 78.579021 72.082928) - (xy 78.576116 72.09187) - (xy 78.55633 72.280126) - (xy 65.696674 72.280126) - (xy 65.696674 69.740126) - (xy 78.55633 69.740126) - (xy 78.576116 69.928382) - (xy 78.576117 69.928385) - (xy 78.634608 70.108403) - (xy 78.634611 70.10841) - (xy 78.729257 70.272342) - (xy 78.806687 70.358336) - (xy 78.855919 70.413014) - (xy 79.009055 70.524274) - (xy 79.00906 70.524277) - (xy 79.181982 70.601268) - (xy 79.181987 70.60127) - (xy 79.367144 70.640626) - (xy 79.367145 70.640626) - (xy 79.556434 70.640626) - (xy 79.556436 70.640626) - (xy 79.741593 70.60127) - (xy 79.91452 70.524277) - (xy 80.067661 70.413014) - (xy 80.194323 70.272342) - (xy 80.288969 70.10841) - (xy 80.347464 69.928382) - (xy 80.36725 69.740126) - (xy 80.347464 69.55187) - (xy 80.288969 69.371842) - (xy 80.194323 69.20791) - (xy 80.067661 69.067238) - (xy 80.06766 69.067237) - (xy 79.914524 68.955977) - (xy 79.914519 68.955974) - (xy 79.741597 68.878983) - (xy 79.741592 68.878981) - (xy 79.595791 68.847991) - (xy 79.556436 68.839626) - (xy 79.367144 68.839626) - (xy 79.334687 68.846524) - (xy 79.181987 68.878981) - (xy 79.181982 68.878983) - (xy 79.00906 68.955974) - (xy 79.009055 68.955977) - (xy 78.855919 69.067237) - (xy 78.729256 69.207911) - (xy 78.634611 69.371841) - (xy 78.634608 69.371848) - (xy 78.587302 69.517442) - (xy 78.576116 69.55187) - (xy 78.55633 69.740126) - (xy 65.696674 69.740126) - (xy 65.696674 67.200126) - (xy 78.55633 67.200126) - (xy 78.576116 67.388382) - (xy 78.576117 67.388385) - (xy 78.634608 67.568403) - (xy 78.634611 67.56841) - (xy 78.729257 67.732342) - (xy 78.832385 67.846877) - (xy 78.855919 67.873014) - (xy 79.009055 67.984274) - (xy 79.00906 67.984277) - (xy 79.181982 68.061268) - (xy 79.181987 68.06127) - (xy 79.367144 68.100626) - (xy 79.367145 68.100626) - (xy 79.556434 68.100626) - (xy 79.556436 68.100626) - (xy 79.741593 68.06127) - (xy 79.91452 67.984277) - (xy 80.067661 67.873014) - (xy 80.194323 67.732342) - (xy 80.288969 67.56841) - (xy 80.347464 67.388382) - (xy 80.36725 67.200126) - (xy 80.347464 67.01187) - (xy 80.288969 66.831842) - (xy 80.194323 66.66791) - (xy 80.067661 66.527238) - (xy 80.06065 66.522144) - (xy 79.914524 66.415977) - (xy 79.914519 66.415974) - (xy 79.741597 66.338983) - (xy 79.741592 66.338981) - (xy 79.595791 66.307991) - (xy 79.556436 66.299626) - (xy 79.367144 66.299626) - (xy 79.334687 66.306524) - (xy 79.181987 66.338981) - (xy 79.181982 66.338983) - (xy 79.00906 66.415974) - (xy 79.009055 66.415977) - (xy 78.855919 66.527237) - (xy 78.729256 66.667911) - (xy 78.634611 66.831841) - (xy 78.634608 66.831848) - (xy 78.576117 67.011866) - (xy 78.576116 67.01187) - (xy 78.55633 67.200126) - (xy 65.696674 67.200126) - (xy 65.696674 64.660126) - (xy 78.55633 64.660126) - (xy 78.576116 64.848382) - (xy 78.576117 64.848385) - (xy 78.634608 65.028403) - (xy 78.634611 65.02841) - (xy 78.729257 65.192342) - (xy 78.804226 65.275603) - (xy 78.855919 65.333014) - (xy 79.009055 65.444274) - (xy 79.00906 65.444277) - (xy 79.181982 65.521268) - (xy 79.181987 65.52127) - (xy 79.367144 65.560626) - (xy 79.367145 65.560626) - (xy 79.556434 65.560626) - (xy 79.556436 65.560626) - (xy 79.741593 65.52127) - (xy 79.91452 65.444277) - (xy 80.067661 65.333014) - (xy 80.194323 65.192342) - (xy 80.288969 65.02841) - (xy 80.347464 64.848382) - (xy 80.36725 64.660126) - (xy 80.347464 64.47187) - (xy 80.288969 64.291842) - (xy 80.194323 64.12791) - (xy 80.067661 63.987238) - (xy 80.06065 63.982144) - (xy 79.914524 63.875977) - (xy 79.914519 63.875974) - (xy 79.741597 63.798983) - (xy 79.741592 63.798981) - (xy 79.595791 63.767991) - (xy 79.556436 63.759626) - (xy 79.367144 63.759626) - (xy 79.334687 63.766524) - (xy 79.181987 63.798981) - (xy 79.181982 63.798983) - (xy 79.00906 63.875974) - (xy 79.009055 63.875977) - (xy 78.855919 63.987237) - (xy 78.729256 64.127911) - (xy 78.634611 64.291841) - (xy 78.634608 64.291848) - (xy 78.576117 64.471866) - (xy 78.576116 64.47187) - (xy 78.55633 64.660126) - (xy 65.696674 64.660126) - (xy 65.696674 62.120126) - (xy 78.55633 62.120126) - (xy 78.576116 62.308382) - (xy 78.576117 62.308385) - (xy 78.634608 62.488403) - (xy 78.634611 62.48841) - (xy 78.729257 62.652342) - (xy 78.764789 62.691804) - (xy 78.855919 62.793014) - (xy 79.009055 62.904274) - (xy 79.00906 62.904277) - (xy 79.181982 62.981268) - (xy 79.181987 62.98127) - (xy 79.367144 63.020626) - (xy 79.367145 63.020626) - (xy 79.556434 63.020626) - (xy 79.556436 63.020626) - (xy 79.741593 62.98127) - (xy 79.91452 62.904277) - (xy 80.067661 62.793014) - (xy 80.194323 62.652342) - (xy 80.288969 62.48841) - (xy 80.347464 62.308382) - (xy 80.36725 62.120126) - (xy 80.347464 61.93187) - (xy 80.288969 61.751842) - (xy 80.194323 61.58791) - (xy 80.067661 61.447238) - (xy 80.06065 61.442144) - (xy 79.914524 61.335977) - (xy 79.914519 61.335974) - (xy 79.741597 61.258983) - (xy 79.741592 61.258981) - (xy 79.595791 61.227991) - (xy 79.556436 61.219626) - (xy 79.367144 61.219626) - (xy 79.334687 61.226524) - (xy 79.181987 61.258981) - (xy 79.181982 61.258983) - (xy 79.00906 61.335974) - (xy 79.009055 61.335977) - (xy 78.855919 61.447237) - (xy 78.729256 61.587911) - (xy 78.634611 61.751841) - (xy 78.634608 61.751848) - (xy 78.576117 61.931866) - (xy 78.576116 61.93187) - (xy 78.55633 62.120126) - (xy 65.696674 62.120126) - (xy 65.696674 59.580126) - (xy 78.55633 59.580126) - (xy 78.576116 59.768382) - (xy 78.576117 59.768385) - (xy 78.634608 59.948403) - (xy 78.634611 59.94841) - (xy 78.729257 60.112342) - (xy 78.818615 60.211584) - (xy 78.855919 60.253014) - (xy 79.009055 60.364274) - (xy 79.00906 60.364277) - (xy 79.181982 60.441268) - (xy 79.181987 60.44127) - (xy 79.367144 60.480626) - (xy 79.367145 60.480626) - (xy 79.556434 60.480626) - (xy 79.556436 60.480626) - (xy 79.741593 60.44127) - (xy 79.91452 60.364277) - (xy 80.067661 60.253014) - (xy 80.194323 60.112342) - (xy 80.288969 59.94841) - (xy 80.347464 59.768382) - (xy 80.36725 59.580126) - (xy 80.347464 59.39187) - (xy 80.288969 59.211842) - (xy 80.194323 59.04791) - (xy 80.067661 58.907238) - (xy 80.06065 58.902144) - (xy 79.914524 58.795977) - (xy 79.914519 58.795974) - (xy 79.741597 58.718983) - (xy 79.741592 58.718981) - (xy 79.595791 58.687991) - (xy 79.556436 58.679626) - (xy 79.367144 58.679626) - (xy 79.334687 58.686524) - (xy 79.181987 58.718981) - (xy 79.181982 58.718983) - (xy 79.00906 58.795974) - (xy 79.009055 58.795977) - (xy 78.855919 58.907237) - (xy 78.729256 59.047911) - (xy 78.634611 59.211841) - (xy 78.634608 59.211848) - (xy 78.578718 59.383861) - (xy 78.576116 59.39187) - (xy 78.55633 59.580126) - (xy 65.696674 59.580126) - (xy 65.696674 56.459852) - (xy 78.570174 56.459852) - (xy 78.58996 56.648108) - (xy 78.589961 56.648111) - (xy 78.648452 56.828129) - (xy 78.648455 56.828136) - (xy 78.743101 56.992068) - (xy 78.84759 57.108114) - (xy 78.869763 57.13274) - (xy 79.022899 57.244) - (xy 79.022904 57.244003) - (xy 79.195826 57.320994) - (xy 79.195831 57.320996) - (xy 79.380988 57.360352) - (xy 79.380989 57.360352) - (xy 79.570278 57.360352) - (xy 79.57028 57.360352) - (xy 79.755437 57.320996) - (xy 79.928364 57.244003) - (xy 80.081505 57.13274) - (xy 80.208167 56.992068) - (xy 80.302813 56.828136) - (xy 80.361308 56.648108) - (xy 80.381094 56.459852) - (xy 80.361308 56.271596) - (xy 80.302813 56.091568) - (xy 80.208167 55.927636) - (xy 80.081505 55.786964) - (xy 80.081504 55.786963) - (xy 79.928368 55.675703) - (xy 79.928363 55.6757) - (xy 79.755441 55.598709) - (xy 79.755436 55.598707) - (xy 79.609635 55.567717) - (xy 79.57028 55.559352) - (xy 79.380988 55.559352) - (xy 79.348531 55.56625) - (xy 79.195831 55.598707) - (xy 79.195826 55.598709) - (xy 79.022904 55.6757) - (xy 79.022899 55.675703) - (xy 78.869763 55.786963) - (xy 78.7431 55.927637) - (xy 78.648455 56.091567) - (xy 78.648452 56.091574) - (xy 78.61401 56.197577) - (xy 78.58996 56.271596) - (xy 78.570174 56.459852) - (xy 65.696674 56.459852) - (xy 65.696674 53.792852) - (xy 78.570174 53.792852) - (xy 78.58996 53.981108) - (xy 78.589961 53.981111) - (xy 78.648452 54.161129) - (xy 78.648455 54.161136) - (xy 78.743101 54.325068) - (xy 78.851805 54.445796) - (xy 78.869763 54.46574) - (xy 79.022899 54.577) - (xy 79.022904 54.577003) - (xy 79.195826 54.653994) - (xy 79.195831 54.653996) - (xy 79.380988 54.693352) - (xy 79.380989 54.693352) - (xy 79.570278 54.693352) - (xy 79.57028 54.693352) - (xy 79.755437 54.653996) - (xy 79.928364 54.577003) - (xy 80.081505 54.46574) - (xy 80.208167 54.325068) - (xy 80.302813 54.161136) - (xy 80.361308 53.981108) - (xy 80.381094 53.792852) - (xy 80.361308 53.604596) - (xy 80.302813 53.424568) - (xy 80.208167 53.260636) - (xy 80.081505 53.119964) - (xy 80.081504 53.119963) - (xy 79.928368 53.008703) - (xy 79.928363 53.0087) - (xy 79.755441 52.931709) - (xy 79.755436 52.931707) - (xy 79.609635 52.900717) - (xy 79.57028 52.892352) - (xy 79.380988 52.892352) - (xy 79.348531 52.89925) - (xy 79.195831 52.931707) - (xy 79.195826 52.931709) - (xy 79.022904 53.0087) - (xy 79.022899 53.008703) - (xy 78.869763 53.119963) - (xy 78.7431 53.260637) - (xy 78.648455 53.424567) - (xy 78.648452 53.424574) - (xy 78.589961 53.604592) - (xy 78.58996 53.604596) - (xy 78.570174 53.792852) - (xy 65.696674 53.792852) - (xy 65.696674 51.153526) - (xy 78.844965 51.153526) - (xy 78.864751 51.341782) - (xy 78.864752 51.341785) - (xy 78.923243 51.521803) - (xy 78.923246 51.52181) - (xy 79.017892 51.685742) - (xy 79.14172 51.823266) - (xy 79.144554 51.826414) - (xy 79.29769 51.937674) - (xy 79.297695 51.937677) - (xy 79.470617 52.014668) - (xy 79.470622 52.01467) - (xy 79.655779 52.054026) - (xy 79.65578 52.054026) - (xy 79.845069 52.054026) - (xy 79.845071 52.054026) - (xy 80.030228 52.01467) - (xy 80.203155 51.937677) - (xy 80.356296 51.826414) - (xy 80.482958 51.685742) - (xy 80.577604 51.52181) - (xy 80.636099 51.341782) - (xy 80.655885 51.153526) - (xy 80.636099 50.96527) - (xy 80.577604 50.785242) - (xy 80.482958 50.62131) - (xy 80.356296 50.480638) - (xy 80.356295 50.480637) - (xy 80.203159 50.369377) - (xy 80.203154 50.369374) - (xy 80.030232 50.292383) - (xy 80.030227 50.292381) - (xy 79.880558 50.260569) - (xy 79.845071 50.253026) - (xy 79.655779 50.253026) - (xy 79.623322 50.259924) - (xy 79.470622 50.292381) - (xy 79.470617 50.292383) - (xy 79.297695 50.369374) - (xy 79.29769 50.369377) - (xy 79.144554 50.480637) - (xy 79.017891 50.621311) - (xy 78.923246 50.785241) - (xy 78.923243 50.785248) - (xy 78.864752 50.965266) - (xy 78.864751 50.96527) - (xy 78.844965 51.153526) - (xy 65.696674 51.153526) - (xy 65.696674 48.574126) - (xy 78.794965 48.574126) - (xy 78.814751 48.762382) - (xy 78.814752 48.762385) - (xy 78.873243 48.942403) - (xy 78.873246 48.94241) - (xy 78.967892 49.106342) - (xy 79.069997 49.219741) - (xy 79.094554 49.247014) - (xy 79.24769 49.358274) - (xy 79.247695 49.358277) - (xy 79.420617 49.435268) - (xy 79.420622 49.43527) - (xy 79.605779 49.474626) - (xy 79.60578 49.474626) - (xy 79.795069 49.474626) - (xy 79.795071 49.474626) - (xy 79.980228 49.43527) - (xy 80.153155 49.358277) - (xy 80.306296 49.247014) - (xy 80.432958 49.106342) - (xy 80.527604 48.94241) - (xy 80.586099 48.762382) - (xy 80.605885 48.574126) - (xy 80.586099 48.38587) - (xy 80.527604 48.205842) - (xy 80.432958 48.04191) - (xy 80.306296 47.901238) - (xy 80.301848 47.898006) - (xy 80.153159 47.789977) - (xy 80.153154 47.789974) - (xy 79.980232 47.712983) - (xy 79.980227 47.712981) - (xy 79.830902 47.681242) - (xy 79.795071 47.673626) - (xy 79.605779 47.673626) - (xy 79.573322 47.680524) - (xy 79.420622 47.712981) - (xy 79.420617 47.712983) - (xy 79.247695 47.789974) - (xy 79.24769 47.789977) - (xy 79.094554 47.901237) - (xy 78.967891 48.041911) - (xy 78.873246 48.205841) - (xy 78.873243 48.205848) - (xy 78.827534 48.346527) - (xy 78.814751 48.38587) - (xy 78.794965 48.574126) - (xy 65.696674 48.574126) - (xy 65.696674 47.442927) - (xy 168.038111 47.442927) - (xy 168.058324 47.67397) - (xy 168.058325 47.673977) - (xy 168.118352 47.898) - (xy 168.118353 47.898002) - (xy 168.118354 47.898005) - (xy 168.216373 48.108208) - (xy 168.32887 48.26887) - (xy 168.349404 48.298195) - (xy 168.504708 48.453499) - (xy 168.538193 48.514822) - (xy 168.533209 48.584514) - (xy 168.491337 48.640447) - (xy 168.443121 48.659422) - (xy 168.443742 48.66205) - (xy 168.436194 48.663833) - (xy 168.301345 48.714128) - (xy 168.301338 48.714132) - (xy 168.186129 48.800378) - (xy 168.186126 48.800381) - (xy 168.09988 48.91559) - (xy 168.099876 48.915597) - (xy 168.049582 49.050443) - (xy 168.043175 49.110042) - (xy 168.043174 49.110061) - (xy 168.043174 50.855796) - (xy 168.043175 50.855802) - (xy 168.049582 50.915409) - (xy 168.099876 51.050254) - (xy 168.09988 51.050261) - (xy 168.186126 51.16547) - (xy 168.186129 51.165473) - (xy 168.301338 51.251719) - (xy 168.301345 51.251723) - (xy 168.436191 51.302017) - (xy 168.43619 51.302017) - (xy 168.443118 51.302761) - (xy 168.495801 51.308426) - (xy 170.241546 51.308425) - (xy 170.301157 51.302017) - (xy 170.436005 51.251722) - (xy 170.55122 51.165472) - (xy 170.63747 51.050257) - (xy 170.687765 50.915409) - (xy 170.687765 50.915407) - (xy 170.689548 50.907864) - (xy 170.692421 50.908542) - (xy 170.713865 50.856769) - (xy 170.771256 50.816919) - (xy 170.841081 50.814423) - (xy 170.8981 50.846891) - (xy 171.053405 51.002196) - (xy 171.243392 51.135227) - (xy 171.453595 51.233246) - (xy 171.677624 51.293275) - (xy 171.842659 51.307713) - (xy 171.908672 51.313489) - (xy 171.908674 51.313489) - (xy 171.908676 51.313489) - (xy 171.966568 51.308424) - (xy 172.139724 51.293275) - (xy 172.363753 51.233246) - (xy 172.573956 51.135227) - (xy 172.763943 51.002196) - (xy 172.927944 50.838195) - (xy 173.060975 50.648208) - (xy 173.158994 50.438005) - (xy 173.219023 50.213976) - (xy 173.239237 49.982926) - (xy 173.219023 49.751876) - (xy 173.158994 49.527847) - (xy 173.060975 49.317645) - (xy 173.060973 49.317642) - (xy 173.060972 49.31764) - (xy 172.927947 49.127661) - (xy 172.927942 49.127655) - (xy 172.763943 48.963656) - (xy 172.695298 48.91559) - (xy 172.573956 48.830625) - (xy 172.562552 48.825307) - (xy 172.510114 48.779136) - (xy 172.490962 48.711942) - (xy 172.511178 48.645061) - (xy 172.562552 48.600544) - (xy 172.573956 48.595227) - (xy 172.763943 48.462196) - (xy 172.927944 48.298195) - (xy 173.060975 48.108208) - (xy 173.060982 48.108191) - (xy 173.062578 48.10543) - (xy 173.063606 48.104449) - (xy 173.06408 48.103773) - (xy 173.064215 48.103868) - (xy 173.113144 48.057213) - (xy 173.169968 48.043426) - (xy 174.489577 48.043426) - (xy 174.556616 48.063111) - (xy 174.577258 48.079745) - (xy 176.505073 50.00756) - (xy 176.515767 50.019754) - (xy 176.534992 50.044808) - (xy 176.608676 50.101347) - (xy 176.649879 50.157775) - (xy 176.654034 50.227521) - (xy 176.645572 50.252128) - (xy 176.561955 50.431443) - (xy 176.501925 50.655474) - (xy 176.501924 50.655481) - (xy 176.481711 50.886524) - (xy 176.481711 50.886527) - (xy 176.501924 51.11757) - (xy 176.501925 51.117577) - (xy 176.561952 51.3416) - (xy 176.561953 51.341602) - (xy 176.561954 51.341605) - (xy 176.659973 51.551808) - (xy 176.793004 51.741795) - (xy 176.957005 51.905796) - (xy 177.146992 52.038827) - (xy 177.158395 52.044144) - (xy 177.210834 52.090316) - (xy 177.229986 52.15751) - (xy 177.20977 52.224391) - (xy 177.158397 52.268907) - (xy 177.146994 52.274224) - (xy 177.146988 52.274227) - (xy 176.957009 52.407252) - (xy 176.957003 52.407257) - (xy 176.793005 52.571255) - (xy 176.793 52.571261) - (xy 176.659975 52.76124) - (xy 176.659973 52.761244) - (xy 176.561955 52.971443) - (xy 176.501925 53.195474) - (xy 176.501924 53.195481) - (xy 176.481711 53.426524) - (xy 176.481711 53.426527) - (xy 176.501924 53.65757) - (xy 176.501925 53.657577) - (xy 176.561952 53.8816) - (xy 176.561953 53.881602) - (xy 176.561954 53.881605) - (xy 176.659973 54.091808) - (xy 176.793004 54.281795) - (xy 176.957005 54.445796) - (xy 177.146992 54.578827) - (xy 177.158395 54.584144) - (xy 177.210834 54.630316) - (xy 177.229986 54.69751) - (xy 177.20977 54.764391) - (xy 177.158397 54.808907) - (xy 177.146994 54.814224) - (xy 177.146988 54.814227) - (xy 176.957009 54.947252) - (xy 176.957003 54.947257) - (xy 176.793005 55.111255) - (xy 176.793 55.111261) - (xy 176.659975 55.30124) - (xy 176.659973 55.301244) - (xy 176.561955 55.511443) - (xy 176.501925 55.735474) - (xy 176.501924 55.735481) - (xy 176.481711 55.966524) - (xy 176.481711 55.966527) - (xy 176.501924 56.19757) - (xy 176.501925 56.197577) - (xy 176.561952 56.4216) - (xy 176.561953 56.421602) - (xy 176.561954 56.421605) - (xy 176.659973 56.631808) - (xy 176.793004 56.821795) - (xy 176.957005 56.985796) - (xy 177.146992 57.118827) - (xy 177.158395 57.124144) - (xy 177.210834 57.170316) - (xy 177.229986 57.23751) - (xy 177.20977 57.304391) - (xy 177.158397 57.348907) - (xy 177.146994 57.354224) - (xy 177.146988 57.354227) - (xy 176.957009 57.487252) - (xy 176.957003 57.487257) - (xy 176.793005 57.651255) - (xy 176.793 57.651261) - (xy 176.659975 57.84124) - (xy 176.659973 57.841244) - (xy 176.561955 58.051443) - (xy 176.501925 58.275474) - (xy 176.501924 58.275481) - (xy 176.481711 58.506524) + (xy 173.004051 58.506527) (xy 176.481711 58.506527) (xy 176.501924 58.73757) (xy 176.501925 58.737577) @@ -49596,7 +50659,7 @@ (xy 181.677774 59.379399) (xy 181.677773 57.633654) (xy 181.671365 57.574043) - (xy 181.648774 57.513474) + (xy 181.663063 57.551785) (xy 181.621071 57.439197) (xy 181.621067 57.43919) (xy 181.534821 57.323981) @@ -49622,6 +50685,55 @@ (xy 181.504572 55.30124) (xy 181.371547 55.111261) (xy 181.371542 55.111255) + (xy 181.337813 55.077526) + (xy 203.576814 55.077526) + (xy 203.5966 55.265782) + (xy 203.596601 55.265785) + (xy 203.655092 55.445803) + (xy 203.655095 55.44581) + (xy 203.749741 55.609742) + (xy 203.80913 55.6757) + (xy 203.876403 55.750414) + (xy 204.029539 55.861674) + (xy 204.029544 55.861677) + (xy 204.202466 55.938668) + (xy 204.202471 55.93867) + (xy 204.387628 55.978026) + (xy 204.387629 55.978026) + (xy 204.576918 55.978026) + (xy 204.57692 55.978026) + (xy 204.762077 55.93867) + (xy 204.935004 55.861677) + (xy 205.088145 55.750414) + (xy 205.214807 55.609742) + (xy 205.309453 55.44581) + (xy 205.367948 55.265782) + (xy 205.387734 55.077526) + (xy 205.367948 54.88927) + (xy 205.309453 54.709242) + (xy 205.214807 54.54531) + (xy 205.088145 54.404638) + (xy 205.088144 54.404637) + (xy 204.935008 54.293377) + (xy 204.935003 54.293374) + (xy 204.762081 54.216383) + (xy 204.762076 54.216381) + (xy 204.616275 54.185391) + (xy 204.57692 54.177026) + (xy 204.387628 54.177026) + (xy 204.355171 54.183924) + (xy 204.202471 54.216381) + (xy 204.202466 54.216383) + (xy 204.029544 54.293374) + (xy 204.029539 54.293377) + (xy 203.876403 54.404637) + (xy 203.74974 54.545311) + (xy 203.655095 54.709241) + (xy 203.655092 54.709248) + (xy 203.596601 54.889266) + (xy 203.5966 54.88927) + (xy 203.576814 55.077526) + (xy 181.337813 55.077526) (xy 181.207543 54.947256) (xy 181.207537 54.947252) (xy 181.017556 54.814225) @@ -49655,546 +50767,88 @@ (xy 181.017556 52.038827) (xy 181.207543 51.905796) (xy 181.371544 51.741795) - (xy 181.504575 51.551808) - (xy 181.602594 51.341605) - (xy 181.662623 51.117576) - (xy 181.682837 50.886526) - (xy 181.679369 50.846891) - (xy 181.673976 50.785241) - (xy 181.662623 50.655476) - (xy 181.602594 50.431447) - (xy 181.602591 50.43144) - (xy 181.584867 50.39343) - (xy 181.574375 50.324352) - (xy 181.602895 50.260569) - (xy 181.661372 50.22233) - (xy 181.697249 50.217026) - (xy 182.973177 50.217026) - (xy 183.040216 50.236711) - (xy 183.060858 50.253345) - (xy 184.287455 51.479942) - (xy 184.32094 51.541265) - (xy 184.323774 51.567623) - (xy 184.323774 64.682688) - (xy 184.322713 64.698873) - (xy 184.320055 64.719066) - (xy 184.318592 64.730176) - (xy 184.322784 64.762021) - (xy 184.323774 64.769536) - (xy 184.323774 64.769537) - (xy 184.339229 64.886936) - (xy 184.33923 64.886938) - (xy 184.370071 64.961396) - (xy 184.399738 65.033017) - (xy 184.495992 65.158458) - (xy 184.510789 65.169812) - (xy 184.551991 65.226236) - (xy 184.556148 65.295982) - (xy 184.527453 65.351159) - (xy 184.44574 65.44191) - (xy 184.351095 65.605841) - (xy 184.351092 65.605848) - (xy 184.292601 65.785866) - (xy 184.2926 65.78587) - (xy 184.272814 65.974126) - (xy 184.2926 66.162382) - (xy 184.292601 66.162385) - (xy 184.351092 66.342403) - (xy 184.351095 66.34241) - (xy 184.445741 66.506342) - (xy 184.500053 66.566661) - (xy 184.572403 66.647014) - (xy 184.725539 66.758274) - (xy 184.725544 66.758277) - (xy 184.898466 66.835268) - (xy 184.898471 66.83527) - (xy 185.083628 66.874626) - (xy 185.083629 66.874626) - (xy 185.272918 66.874626) - (xy 185.27292 66.874626) - (xy 185.443995 66.838263) - (xy 185.51366 66.843579) - (xy 185.569394 66.885716) - (xy 185.593499 66.951296) - (xy 185.593774 66.959553) - (xy 185.593774 69.159273) - (xy 185.574089 69.226312) - (xy 185.561924 69.242245) - (xy 185.46174 69.353511) - (xy 185.367095 69.517441) - (xy 185.367092 69.517448) - (xy 185.311869 69.687408) - (xy 185.3086 69.69747) - (xy 185.288814 69.885726) - (xy 185.3086 70.073982) - (xy 185.308601 70.073985) - (xy 185.367092 70.254003) - (xy 185.367095 70.25401) - (xy 185.461741 70.417942) - (xy 185.494479 70.454301) - (xy 185.588403 70.558614) - (xy 185.741539 70.669874) - (xy 185.741544 70.669877) - (xy 185.914466 70.746868) - (xy 185.914471 70.74687) - (xy 186.099628 70.786226) - (xy 186.099629 70.786226) - (xy 186.288918 70.786226) - (xy 186.28892 70.786226) - (xy 186.474077 70.74687) - (xy 186.647004 70.669877) - (xy 186.800145 70.558614) - (xy 186.926807 70.417942) - (xy 187.021453 70.25401) - (xy 187.079948 70.073982) - (xy 187.099734 69.885726) - (xy 187.079948 69.69747) - (xy 187.021453 69.517442) - (xy 186.926807 69.35351) - (xy 186.836466 69.253176) - (xy 186.826624 69.242245) - (xy 186.796394 69.179253) - (xy 186.794774 69.159273) - (xy 186.794774 66.8152) - (xy 191.83054 66.8152) - (xy 191.850326 67.003456) - (xy 191.850327 67.003459) - (xy 191.908818 67.183477) - (xy 191.908821 67.183484) - (xy 192.003467 67.347416) - (xy 192.130129 67.488088) - (xy 192.283265 67.599348) - (xy 192.28327 67.599351) - (xy 192.456192 67.676342) - (xy 192.456197 67.676344) - (xy 192.641354 67.7157) - (xy 192.641355 67.7157) - (xy 192.830644 67.7157) - (xy 192.830646 67.7157) - (xy 193.015803 67.676344) - (xy 193.18873 67.599351) - (xy 193.341871 67.488088) - (xy 193.468533 67.347416) - (xy 193.563179 67.183484) - (xy 193.600312 67.0692) - (xy 194.11654 67.0692) - (xy 194.136326 67.257456) - (xy 194.136327 67.257459) - (xy 194.194818 67.437477) - (xy 194.194821 67.437484) - (xy 194.289467 67.601416) - (xy 194.392369 67.7157) - (xy 194.416129 67.742088) - (xy 194.569265 67.853348) - (xy 194.56927 67.853351) - (xy 194.742192 67.930342) - (xy 194.742197 67.930344) - (xy 194.927354 67.9697) - (xy 194.927355 67.9697) - (xy 195.116644 67.9697) - (xy 195.116646 67.9697) - (xy 195.301803 67.930344) - (xy 195.47473 67.853351) - (xy 195.627871 67.742088) - (xy 195.754533 67.601416) - (xy 195.849179 67.437484) - (xy 195.907674 67.257456) - (xy 195.92746 67.0692) - (xy 195.907674 66.880944) - (xy 195.849179 66.700916) - (xy 195.754533 66.536984) - (xy 195.627871 66.396312) - (xy 195.62787 66.396311) - (xy 195.474734 66.285051) - (xy 195.474729 66.285048) - (xy 195.301807 66.208057) - (xy 195.301802 66.208055) - (xy 195.156001 66.177065) - (xy 195.116646 66.1687) - (xy 194.927354 66.1687) - (xy 194.894897 66.175598) - (xy 194.742197 66.208055) - (xy 194.742192 66.208057) - (xy 194.56927 66.285048) - (xy 194.569265 66.285051) - (xy 194.416129 66.396311) - (xy 194.289466 66.536985) - (xy 194.194821 66.700915) - (xy 194.194818 66.700922) - (xy 194.138379 66.874626) - (xy 194.136326 66.880944) - (xy 194.11654 67.0692) - (xy 193.600312 67.0692) - (xy 193.621674 67.003456) - (xy 193.64146 66.8152) - (xy 193.621674 66.626944) - (xy 193.563179 66.446916) - (xy 193.468533 66.282984) - (xy 193.341871 66.142312) - (xy 193.34187 66.142311) - (xy 193.188734 66.031051) - (xy 193.188729 66.031048) - (xy 193.015807 65.954057) - (xy 193.015802 65.954055) - (xy 192.870001 65.923065) - (xy 192.830646 65.9147) - (xy 192.641354 65.9147) - (xy 192.608897 65.921598) - (xy 192.456197 65.954055) - (xy 192.456192 65.954057) - (xy 192.28327 66.031048) - (xy 192.283265 66.031051) - (xy 192.130129 66.142311) - (xy 192.003466 66.282985) - (xy 191.908821 66.446915) - (xy 191.908818 66.446922) - (xy 191.854257 66.614846) - (xy 191.850326 66.626944) - (xy 191.83054 66.8152) - (xy 186.794774 66.8152) - (xy 186.794774 66.047663) - (xy 186.795835 66.031477) - (xy 186.795891 66.031049) - (xy 186.799956 66.000176) - (xy 186.796526 65.974126) - (xy 186.779318 65.843415) - (xy 186.779316 65.84341) - (xy 186.76831 65.81684) - (xy 186.71881 65.697335) - (xy 186.710222 65.686143) - (xy 186.664732 65.626858) - (xy 186.664728 65.626855) - (xy 186.640727 65.595576) - (xy 186.622556 65.571894) - (xy 186.597502 65.552669) - (xy 186.585308 65.541975) - (xy 185.950659 64.907326) - (xy 188.489214 64.907326) - (xy 188.509 65.095582) - (xy 188.509001 65.095585) - (xy 188.567492 65.275603) - (xy 188.567495 65.27561) - (xy 188.662141 65.439542) - (xy 188.759549 65.547724) - (xy 188.788803 65.580214) - (xy 188.941939 65.691474) - (xy 188.941944 65.691477) - (xy 189.114866 65.768468) - (xy 189.114871 65.76847) - (xy 189.300028 65.807826) - (xy 189.300029 65.807826) - (xy 189.489318 65.807826) - (xy 189.48932 65.807826) - (xy 189.674477 65.76847) - (xy 189.847404 65.691477) - (xy 190.000545 65.580214) - (xy 190.127207 65.439542) - (xy 190.221853 65.27561) - (xy 190.280348 65.095582) - (xy 190.300134 64.907326) - (xy 190.280348 64.71907) - (xy 190.221853 64.539042) - (xy 190.127207 64.37511) - (xy 190.000545 64.234438) - (xy 190.000544 64.234437) - (xy 189.847408 64.123177) - (xy 189.847403 64.123174) - (xy 189.674481 64.046183) - (xy 189.674476 64.046181) - (xy 189.528675 64.015191) - (xy 189.48932 64.006826) - (xy 189.300028 64.006826) - (xy 189.267571 64.013724) - (xy 189.114871 64.046181) - (xy 189.114866 64.046183) - (xy 188.941944 64.123174) - (xy 188.941939 64.123177) - (xy 188.788803 64.234437) - (xy 188.66214 64.375111) - (xy 188.567495 64.539041) - (xy 188.567492 64.539048) - (xy 188.515441 64.699246) - (xy 188.509 64.71907) - (xy 188.489214 64.907326) - (xy 185.950659 64.907326) - (xy 185.561093 64.51776) - (xy 185.527608 64.456437) - (xy 185.524774 64.430079) - (xy 185.524774 61.7683) - (xy 185.544459 61.701261) - (xy 185.597263 61.655506) - (xy 185.648774 61.6443) - (xy 185.821044 61.6443) - (xy 185.821046 61.6443) - (xy 186.006203 61.604944) - (xy 186.17913 61.527951) - (xy 186.332271 61.416688) - (xy 186.458933 61.276016) - (xy 186.553579 61.112084) - (xy 186.612074 60.932056) - (xy 186.63186 60.7438) - (xy 190.15494 60.7438) - (xy 190.174726 60.932056) - (xy 190.174727 60.932059) - (xy 190.233218 61.112077) - (xy 190.233221 61.112084) - (xy 190.327867 61.276016) - (xy 190.454529 61.416688) - (xy 190.607665 61.527948) - (xy 190.60767 61.527951) - (xy 190.780592 61.604942) - (xy 190.780597 61.604944) - (xy 190.965754 61.6443) - (xy 190.965755 61.6443) - (xy 191.155044 61.6443) - (xy 191.155046 61.6443) - (xy 191.340203 61.604944) - (xy 191.51313 61.527951) - (xy 191.666271 61.416688) - (xy 191.792933 61.276016) - (xy 191.887579 61.112084) - (xy 191.946074 60.932056) - (xy 191.96586 60.7438) - (xy 191.946074 60.555544) - (xy 191.887579 60.375516) - (xy 191.792933 60.211584) - (xy 191.666271 60.070912) - (xy 191.66627 60.070911) - (xy 191.513134 59.959651) - (xy 191.513129 59.959648) - (xy 191.340207 59.882657) - (xy 191.340202 59.882655) - (xy 191.194401 59.851665) - (xy 191.155046 59.8433) - (xy 190.965754 59.8433) - (xy 190.933297 59.850198) - (xy 190.780597 59.882655) - (xy 190.780592 59.882657) - (xy 190.60767 59.959648) - (xy 190.607665 59.959651) - (xy 190.454529 60.070911) - (xy 190.327866 60.211585) - (xy 190.233221 60.375515) - (xy 190.233218 60.375522) - (xy 190.199068 60.480626) - (xy 190.174726 60.555544) - (xy 190.15494 60.7438) - (xy 186.63186 60.7438) - (xy 186.612074 60.555544) - (xy 186.553579 60.375516) - (xy 186.458933 60.211584) - (xy 186.332271 60.070912) - (xy 186.33227 60.070911) - (xy 186.179134 59.959651) - (xy 186.179129 59.959648) - (xy 186.006207 59.882657) - (xy 186.006202 59.882655) - (xy 185.860401 59.851665) - (xy 185.821046 59.8433) - (xy 185.648774 59.8433) - (xy 185.581735 59.823615) - (xy 185.53598 59.770811) - (xy 185.524774 59.7193) - (xy 185.524774 51.31502) - (xy 185.525835 51.298834) - (xy 185.529957 51.267526) - (xy 185.529957 51.267525) - (xy 185.524671 51.227374) - (xy 185.509318 51.110764) - (xy 185.464347 51.002195) - (xy 185.448812 50.964689) - (xy 185.448809 50.964684) - (xy 185.411001 50.915411) - (xy 185.410998 50.915408) - (xy 185.40521 50.907864) - (xy 185.352556 50.839244) - (xy 185.351189 50.838195) - (xy 185.327502 50.820019) - (xy 185.315308 50.809325) - (xy 183.731473 49.22549) - (xy 183.720777 49.213293) - (xy 183.70156 49.188248) - (xy 183.701557 49.188246) - (xy 183.701556 49.188244) - (xy 183.576115 49.09199) - (xy 183.430036 49.031482) - (xy 183.430034 49.031481) - (xy 183.312635 49.016026) - (xy 183.273274 49.010844) - (xy 183.241971 49.014965) - (xy 183.225787 49.016026) - (xy 181.697249 49.016026) - (xy 181.63021 48.996341) - (xy 181.584455 48.943537) - (xy 181.574511 48.874379) - (xy 181.584867 48.839622) - (xy 181.602591 48.801611) - (xy 181.602594 48.801605) - (xy 181.662623 48.577576) - (xy 181.682837 48.346526) - (xy 181.662623 48.115476) - (xy 181.602594 47.891447) - (xy 181.588454 47.861123) - (xy 181.584867 47.85343) - (xy 181.574375 47.784352) - (xy 181.602895 47.720569) - (xy 181.661372 47.68233) - (xy 181.697249 47.677026) - (xy 185.169279 47.677026) - (xy 185.236318 47.696711) - (xy 185.25696 47.713345) - (xy 206.140375 68.59676) - (xy 206.151069 68.608954) - (xy 206.170291 68.634005) - (xy 206.170292 68.634006) - (xy 206.170294 68.634008) - (xy 206.295735 68.730262) - (xy 206.441814 68.79077) - (xy 206.520195 68.801089) - (xy 206.598575 68.811408) - (xy 206.598576 68.811408) - (xy 206.629878 68.807286) - (xy 206.646063 68.806226) - (xy 206.804016 68.806226) - (xy 206.871055 68.825911) - (xy 206.896165 68.847253) - (xy 206.924402 68.878613) - (xy 206.924409 68.878619) - (xy 207.077539 68.989874) - (xy 207.077544 68.989877) - (xy 207.250466 69.066868) - (xy 207.250471 69.06687) - (xy 207.435628 69.106226) - (xy 207.435629 69.106226) - (xy 207.624918 69.106226) - (xy 207.62492 69.106226) - (xy 207.810077 69.06687) - (xy 207.983004 68.989877) - (xy 208.136145 68.878614) - (xy 208.262807 68.737942) - (xy 208.357453 68.57401) - (xy 208.415948 68.393982) - (xy 208.435734 68.205726) - (xy 208.415948 68.01747) - (xy 208.357453 67.837442) - (xy 208.262807 67.67351) - (xy 208.136145 67.532838) - (xy 208.092869 67.501396) - (xy 207.983008 67.421577) - (xy 207.983003 67.421574) - (xy 207.810081 67.344583) - (xy 207.810076 67.344581) - (xy 207.664275 67.313591) - (xy 207.62492 67.305226) - (xy 207.435628 67.305226) - (xy 207.403171 67.312124) - (xy 207.250471 67.344581) - (xy 207.250466 67.344583) - (xy 207.077544 67.421574) - (xy 207.077539 67.421577) - (xy 206.923504 67.53349) - (xy 206.857697 67.55697) - (xy 206.789644 67.541144) - (xy 206.762938 67.520853) - (xy 206.304811 67.062726) - (xy 211.577814 67.062726) - (xy 211.5976 67.250982) - (xy 211.597601 67.250985) - (xy 211.656092 67.431003) - (xy 211.656095 67.43101) - (xy 211.750741 67.594942) - (xy 211.832655 67.685916) - (xy 211.877403 67.735614) - (xy 212.030539 67.846874) - (xy 212.030544 67.846877) - (xy 212.203466 67.923868) - (xy 212.203471 67.92387) - (xy 212.388628 67.963226) - (xy 212.388629 67.963226) - (xy 212.577918 67.963226) - (xy 212.57792 67.963226) - (xy 212.688399 67.939743) - (xy 212.758066 67.945059) - (xy 212.8138 67.987196) - (xy 212.8375 68.048068) - (xy 212.8422 68.092782) - (xy 212.842201 68.092785) - (xy 212.900692 68.272803) - (xy 212.900695 68.27281) - (xy 212.995341 68.436742) - (xy 213.115154 68.569807) - (xy 213.122003 68.577414) - (xy 213.275139 68.688674) - (xy 213.275144 68.688677) - (xy 213.448066 68.765668) - (xy 213.448071 68.76567) - (xy 213.633228 68.805026) - (xy 213.633229 68.805026) - (xy 213.822518 68.805026) - (xy 213.82252 68.805026) - (xy 214.007677 68.76567) - (xy 214.180604 68.688677) - (xy 214.333745 68.577414) - (xy 214.460407 68.436742) - (xy 214.555053 68.27281) - (xy 214.613548 68.092782) - (xy 214.633334 67.904526) - (xy 214.613548 67.71627) - (xy 214.555053 67.536242) - (xy 214.460407 67.37231) - (xy 214.333745 67.231638) - (xy 214.333744 67.231637) - (xy 214.180608 67.120377) - (xy 214.180603 67.120374) - (xy 214.007681 67.043383) - (xy 214.007676 67.043381) - (xy 213.859405 67.011866) - (xy 213.82252 67.004026) - (xy 213.633228 67.004026) - (xy 213.522748 67.027509) - (xy 213.45308 67.022193) - (xy 213.397347 66.980056) - (xy 213.373647 66.919182) - (xy 213.368948 66.87447) - (xy 213.365467 66.863758) - (xy 213.310455 66.694448) - (xy 213.310454 66.694447) - (xy 213.310453 66.694442) - (xy 213.215807 66.53051) - (xy 213.089145 66.389838) - (xy 213.080829 66.383796) - (xy 212.936008 66.278577) - (xy 212.936003 66.278574) - (xy 212.763081 66.201583) - (xy 212.763076 66.201581) - (xy 212.608378 66.1687) - (xy 212.57792 66.162226) - (xy 212.388628 66.162226) - (xy 212.35817 66.1687) - (xy 212.203471 66.201581) - (xy 212.203466 66.201583) - (xy 212.030544 66.278574) - (xy 212.030539 66.278577) - (xy 211.877403 66.389837) - (xy 211.75074 66.530511) - (xy 211.656095 66.694441) - (xy 211.656092 66.694448) - (xy 211.597601 66.874466) - (xy 211.5976 66.87447) - (xy 211.577814 67.062726) - (xy 206.304811 67.062726) - (xy 193.196179 53.954094) - (xy 193.162694 53.892771) - (xy 193.167678 53.823079) - (xy 193.20955 53.767146) - (xy 193.275014 53.742729) - (xy 193.309636 53.745122) + (xy 181.436852 51.648526) + (xy 192.527814 51.648526) + (xy 192.5476 51.836782) + (xy 192.547601 51.836785) + (xy 192.606092 52.016803) + (xy 192.606095 52.01681) + (xy 192.70074 52.180741) + (xy 192.704559 52.185997) + (xy 192.703097 52.187059) + (xy 192.729521 52.242133) + (xy 192.72089 52.311467) + (xy 192.714528 52.324102) + (xy 192.62122 52.485718) + (xy 192.621218 52.485722) + (xy 192.566858 52.653026) + (xy 192.562726 52.665744) + (xy 192.54294 52.854) + (xy 192.562726 53.042256) + (xy 192.562727 53.042259) + (xy 192.621218 53.222277) + (xy 192.621221 53.222284) + (xy 192.715867 53.386216) + (xy 192.842529 53.526888) + (xy 192.995665 53.638148) + (xy 192.99567 53.638151) + (xy 193.168592 53.715142) + (xy 193.168597 53.715144) (xy 193.353754 53.7545) - (xy 193.353756 53.7545) + (xy 193.353755 53.7545) (xy 193.543044 53.7545) (xy 193.543046 53.7545) (xy 193.728203 53.715144) (xy 193.90113 53.638151) + (xy 194.017607 53.553526) + (xy 205.608814 53.553526) + (xy 205.6286 53.741782) + (xy 205.628601 53.741785) + (xy 205.687092 53.921803) + (xy 205.687095 53.92181) + (xy 205.781741 54.085742) + (xy 205.863934 54.177026) + (xy 205.908403 54.226414) + (xy 206.061539 54.337674) + (xy 206.061544 54.337677) + (xy 206.234466 54.414668) + (xy 206.234471 54.41467) + (xy 206.419628 54.454026) + (xy 206.419629 54.454026) + (xy 206.608918 54.454026) + (xy 206.60892 54.454026) + (xy 206.794077 54.41467) + (xy 206.967004 54.337677) + (xy 207.120145 54.226414) + (xy 207.246807 54.085742) + (xy 207.341453 53.92181) + (xy 207.399948 53.741782) + (xy 207.419734 53.553526) + (xy 207.399948 53.36527) + (xy 207.341453 53.185242) + (xy 207.246807 53.02131) + (xy 207.120145 52.880638) + (xy 207.083481 52.854) + (xy 206.967008 52.769377) + (xy 206.967003 52.769374) + (xy 206.794081 52.692383) + (xy 206.794076 52.692381) + (xy 206.648275 52.661391) + (xy 206.60892 52.653026) + (xy 206.419628 52.653026) + (xy 206.387171 52.659924) + (xy 206.234471 52.692381) + (xy 206.234466 52.692383) + (xy 206.061544 52.769374) + (xy 206.061539 52.769377) + (xy 205.908403 52.880637) + (xy 205.78174 53.021311) + (xy 205.687095 53.185241) + (xy 205.687092 53.185248) + (xy 205.628601 53.365266) + (xy 205.6286 53.36527) + (xy 205.608814 53.553526) + (xy 194.017607 53.553526) (xy 194.054271 53.526888) (xy 194.180933 53.386216) (xy 194.275579 53.222284) @@ -50224,7 +50878,7 @@ (xy 194.260453 50.137242) (xy 194.165807 49.97331) (xy 194.039145 49.832638) - (xy 194.025025 49.822379) + (xy 194.039144 49.832637) (xy 193.886008 49.721377) (xy 193.886003 49.721374) (xy 193.713081 49.644383) @@ -50241,7 +50895,7 @@ (xy 192.70074 49.973311) (xy 192.606095 50.137241) (xy 192.606092 50.137248) - (xy 192.547601 50.317266) + (xy 192.555686 50.292383) (xy 192.5476 50.31727) (xy 192.527814 50.505526) (xy 192.5476 50.693782) @@ -50258,190 +50912,59 @@ (xy 192.586099 51.341782) (xy 192.5476 51.46027) (xy 192.527814 51.648526) - (xy 192.5476 51.836782) - (xy 192.547601 51.836785) - (xy 192.606092 52.016803) - (xy 192.606095 52.01681) - (xy 192.70074 52.180741) - (xy 192.704559 52.185997) - (xy 192.703097 52.187059) - (xy 192.729521 52.242133) - (xy 192.72089 52.311467) - (xy 192.714528 52.324102) - (xy 192.62122 52.485718) - (xy 192.621218 52.485722) - (xy 192.576606 52.623025) - (xy 192.562726 52.665744) - (xy 192.54294 52.854) - (xy 192.555283 52.971443) - (xy 192.55882 53.005091) - (xy 192.54625 53.073821) - (xy 192.498518 53.124844) - (xy 192.430778 53.141962) - (xy 192.364536 53.119739) - (xy 192.347818 53.105733) - (xy 187.974611 48.732526) - (xy 194.744615 48.732526) - (xy 194.76521 48.967929) - (xy 194.765212 48.967939) - (xy 194.826368 49.196181) - (xy 194.82637 49.196185) - (xy 194.826371 49.196189) - (xy 194.883005 49.31764) - (xy 194.926239 49.410356) - (xy 194.926241 49.41036) - (xy 194.971241 49.474626) - (xy 195.061775 49.603922) - (xy 195.06178 49.603928) - (xy 195.183704 49.725852) - (xy 195.217189 49.787175) - (xy 195.212205 49.856867) - (xy 195.170333 49.9128) - (xy 195.139357 49.929715) - (xy 195.007943 49.978729) - (xy 195.007938 49.978732) - (xy 194.892729 50.064978) - (xy 194.892726 50.064981) - (xy 194.80648 50.18019) - (xy 194.806476 50.180197) - (xy 194.756182 50.315043) - (xy 194.750341 50.369375) - (xy 194.749775 50.374649) - (xy 194.749774 50.374661) - (xy 194.749774 52.170396) - (xy 194.749775 52.170402) - (xy 194.756182 52.230009) - (xy 194.806476 52.364854) - (xy 194.80648 52.364861) - (xy 194.892726 52.48007) - (xy 194.892729 52.480073) - (xy 195.007938 52.566319) - (xy 195.007945 52.566323) - (xy 195.142791 52.616617) - (xy 195.14279 52.616617) - (xy 195.149718 52.617361) - (xy 195.202401 52.623026) - (xy 196.998146 52.623025) - (xy 197.057757 52.616617) - (xy 197.192605 52.566322) - (xy 197.30782 52.480072) - (xy 197.39407 52.364857) - (xy 197.444365 52.230009) - (xy 197.450774 52.170399) - (xy 197.450774 52.011526) - (xy 215.187814 52.011526) - (xy 215.2076 52.199782) - (xy 215.207601 52.199785) - (xy 215.266092 52.379803) - (xy 215.266095 52.37981) - (xy 215.360741 52.543742) - (xy 215.487403 52.684414) - (xy 215.640539 52.795674) - (xy 215.640544 52.795677) - (xy 215.813466 52.872668) - (xy 215.813471 52.87267) - (xy 215.998628 52.912026) - (xy 215.998629 52.912026) - (xy 216.187918 52.912026) - (xy 216.18792 52.912026) - (xy 216.373077 52.87267) - (xy 216.546004 52.795677) - (xy 216.699145 52.684414) - (xy 216.825807 52.543742) - (xy 216.920453 52.37981) - (xy 216.978948 52.199782) - (xy 216.998734 52.011526) - (xy 216.978948 51.82327) - (xy 216.920453 51.643242) - (xy 216.825807 51.47931) - (xy 216.699145 51.338638) - (xy 216.699144 51.338637) - (xy 216.546008 51.227377) - (xy 216.546003 51.227374) - (xy 216.373081 51.150383) - (xy 216.373076 51.150381) - (xy 216.21874 51.117577) - (xy 216.18792 51.111026) - (xy 215.998628 51.111026) - (xy 215.973769 51.11631) - (xy 215.813471 51.150381) - (xy 215.813466 51.150383) - (xy 215.640544 51.227374) - (xy 215.640539 51.227377) - (xy 215.487403 51.338637) - (xy 215.36074 51.479311) - (xy 215.266095 51.643241) - (xy 215.266092 51.643248) - (xy 215.207601 51.823266) - (xy 215.2076 51.82327) - (xy 215.187814 52.011526) - (xy 197.450774 52.011526) - (xy 197.450773 50.374654) - (xy 197.444365 50.315043) - (xy 197.435913 50.292383) - (xy 197.394071 50.180197) - (xy 197.394067 50.18019) - (xy 197.307821 50.064981) - (xy 197.307818 50.064978) - (xy 197.192609 49.978732) - (xy 197.192602 49.978728) - (xy 197.061191 49.929715) - (xy 197.005257 49.887844) - (xy 196.98084 49.822379) - (xy 196.995692 49.754106) - (xy 197.016837 49.725858) - (xy 197.138769 49.603927) - (xy 197.274309 49.410356) - (xy 197.374177 49.196189) - (xy 197.435337 48.967934) - (xy 197.455933 48.732526) - (xy 197.435337 48.497118) - (xy 197.382036 48.298195) - (xy 197.374179 48.26887) - (xy 197.374178 48.268869) - (xy 197.374177 48.268863) - (xy 197.274309 48.054697) - (xy 197.265357 48.041911) - (xy 197.138768 47.861123) - (xy 196.971676 47.694032) - (xy 196.971669 47.694027) - (xy 196.943033 47.673976) - (xy 196.886068 47.634088) - (xy 196.778108 47.558493) - (xy 196.778104 47.558491) - (xy 196.767905 47.553735) - (xy 196.563937 47.458623) - (xy 196.563933 47.458622) - (xy 196.563929 47.45862) - (xy 196.335687 47.397464) - (xy 196.335677 47.397462) - (xy 196.100275 47.376867) - (xy 196.100273 47.376867) - (xy 195.86487 47.397462) - (xy 195.86486 47.397464) - (xy 195.636618 47.45862) - (xy 195.636609 47.458624) - (xy 195.422445 47.55849) - (xy 195.422443 47.558491) - (xy 195.228871 47.694031) - (xy 195.061779 47.861123) - (xy 194.926239 48.054695) - (xy 194.926238 48.054697) - (xy 194.826372 48.268861) - (xy 194.826368 48.26887) - (xy 194.765212 48.497112) - (xy 194.76521 48.497122) - (xy 194.744615 48.732525) - (xy 194.744615 48.732526) - (xy 187.974611 48.732526) - (xy 186.203285 46.9612) + (xy 181.436852 51.648526) + (xy 181.504575 51.551808) + (xy 181.602594 51.341605) + (xy 181.662623 51.117576) + (xy 181.682837 50.886526) + (xy 181.679369 50.846891) + (xy 181.673976 50.785241) + (xy 181.662623 50.655476) + (xy 181.602594 50.431447) + (xy 181.504575 50.221245) + (xy 181.504573 50.221242) + (xy 181.504572 50.22124) + (xy 181.371547 50.031261) + (xy 181.371542 50.031255) + (xy 181.207543 49.867256) + (xy 181.207537 49.867252) + (xy 181.017556 49.734225) + (xy 181.006152 49.728907) + (xy 180.953714 49.682736) + (xy 180.934562 49.615542) + (xy 180.954778 49.548661) + (xy 181.006152 49.504144) + (xy 181.017556 49.498827) + (xy 181.207543 49.365796) + (xy 181.371544 49.201795) + (xy 181.504575 49.011808) + (xy 181.602594 48.801605) + (xy 181.662623 48.577576) + (xy 181.682837 48.346526) + (xy 181.678608 48.298193) + (xy 181.662623 48.115481) + (xy 181.662623 48.115476) + (xy 181.602594 47.891447) + (xy 181.504575 47.681245) + (xy 181.504573 47.681242) + (xy 181.504572 47.68124) + (xy 181.371547 47.491261) + (xy 181.371542 47.491255) + (xy 181.207543 47.327256) + (xy 181.207537 47.327252) + (xy 181.017556 47.194225) + (xy 181.006152 47.188907) + (xy 180.953714 47.142736) + (xy 180.934562 47.075542) + (xy 180.954778 47.008661) + (xy 181.006152 46.964144) + (xy 181.012466 46.9612) (xy 192.54294 46.9612) (xy 192.562726 47.149456) (xy 192.562727 47.149459) (xy 192.621218 47.329477) (xy 192.621221 47.329484) (xy 192.715867 47.493416) - (xy 192.770179 47.553735) (xy 192.842529 47.634088) (xy 192.995665 47.745348) (xy 192.99567 47.745351) @@ -50482,21 +51005,32 @@ (xy 192.575375 46.734014) (xy 192.562726 46.772944) (xy 192.54294 46.9612) - (xy 186.203285 46.9612) - (xy 185.927575 46.68549) - (xy 185.916879 46.673293) - (xy 185.897662 46.648248) - (xy 185.897659 46.648246) - (xy 185.897658 46.648244) - (xy 185.772217 46.55199) - (xy 185.772215 46.551989) - (xy 185.765768 46.547042) - (xy 185.766781 46.54572) - (xy 185.72521 46.502118) - (xy 185.711989 46.433511) - (xy 185.737958 46.368647) - (xy 185.794874 46.32812) - (xy 185.809643 46.324012) + (xy 181.012466 46.9612) + (xy 181.017556 46.958827) + (xy 181.207543 46.825796) + (xy 181.371544 46.661795) + (xy 181.504575 46.471808) + (xy 181.602594 46.261605) + (xy 181.662623 46.037576) + (xy 181.682837 45.806526) + (xy 181.662623 45.575476) + (xy 181.625572 45.4372) + (xy 184.74514 45.4372) + (xy 184.764926 45.625456) + (xy 184.764927 45.625459) + (xy 184.823418 45.805477) + (xy 184.823421 45.805484) + (xy 184.918067 45.969416) + (xy 185.035695 46.100055) + (xy 185.044729 46.110088) + (xy 185.197865 46.221348) + (xy 185.19787 46.221351) + (xy 185.370792 46.298342) + (xy 185.370797 46.298344) + (xy 185.555954 46.3377) + (xy 185.555955 46.3377) + (xy 185.745244 46.3377) + (xy 185.745246 46.3377) (xy 185.930403 46.298344) (xy 186.10333 46.221351) (xy 186.256471 46.110088) @@ -50515,18 +51049,44 @@ (xy 185.930402 44.576055) (xy 185.784601 44.545065) (xy 185.745246 44.5367) - (xy 185.745245 44.5367) - (xy 185.650697 44.5367) - (xy 185.583658 44.517015) - (xy 185.563016 44.500381) - (xy 185.271835 44.2092) + (xy 185.555954 44.5367) + (xy 185.523497 44.543598) + (xy 185.370797 44.576055) + (xy 185.370792 44.576057) + (xy 185.19787 44.653048) + (xy 185.197865 44.653051) + (xy 185.044729 44.764311) + (xy 184.918066 44.904985) + (xy 184.823421 45.068915) + (xy 184.823418 45.068922) + (xy 184.764927 45.24894) + (xy 184.764926 45.248944) + (xy 184.74514 45.4372) + (xy 181.625572 45.4372) + (xy 181.602594 45.351447) + (xy 181.504575 45.141245) + (xy 181.504573 45.141242) + (xy 181.504572 45.14124) + (xy 181.371547 44.951261) + (xy 181.371542 44.951255) + (xy 181.207543 44.787256) + (xy 181.142074 44.741414) + (xy 181.017556 44.654225) + (xy 181.006152 44.648907) + (xy 180.953714 44.602736) + (xy 180.934562 44.535542) + (xy 180.954778 44.468661) + (xy 181.006152 44.424144) + (xy 181.017556 44.418827) + (xy 181.207543 44.285796) + (xy 181.284139 44.2092) (xy 192.59254 44.2092) (xy 192.612326 44.397456) (xy 192.612327 44.397459) (xy 192.670818 44.577477) (xy 192.670821 44.577484) (xy 192.765467 44.741416) - (xy 192.786082 44.764311) + (xy 192.806742 44.787256) (xy 192.892129 44.882088) (xy 193.045265 44.993348) (xy 193.04527 44.993351) @@ -50540,6 +51100,55 @@ (xy 193.95073 44.993351) (xy 194.103871 44.882088) (xy 194.230533 44.741416) + (xy 194.241901 44.721726) + (xy 212.718814 44.721726) + (xy 212.7386 44.909982) + (xy 212.738601 44.909985) + (xy 212.797092 45.090003) + (xy 212.797095 45.09001) + (xy 212.891741 45.253942) + (xy 212.919308 45.284558) + (xy 213.018403 45.394614) + (xy 213.171539 45.505874) + (xy 213.171544 45.505877) + (xy 213.344466 45.582868) + (xy 213.344471 45.58287) + (xy 213.529628 45.622226) + (xy 213.529629 45.622226) + (xy 213.718918 45.622226) + (xy 213.71892 45.622226) + (xy 213.904077 45.58287) + (xy 214.077004 45.505877) + (xy 214.230145 45.394614) + (xy 214.356807 45.253942) + (xy 214.451453 45.09001) + (xy 214.509948 44.909982) + (xy 214.529734 44.721726) + (xy 214.509948 44.53347) + (xy 214.451453 44.353442) + (xy 214.356807 44.18951) + (xy 214.230145 44.048838) + (xy 214.228525 44.047661) + (xy 214.077008 43.937577) + (xy 214.077003 43.937574) + (xy 213.904081 43.860583) + (xy 213.904076 43.860581) + (xy 213.758275 43.829591) + (xy 213.71892 43.821226) + (xy 213.529628 43.821226) + (xy 213.497171 43.828124) + (xy 213.344471 43.860581) + (xy 213.344466 43.860583) + (xy 213.171544 43.937574) + (xy 213.171539 43.937577) + (xy 213.018403 44.048837) + (xy 212.89174 44.189511) + (xy 212.797095 44.353441) + (xy 212.797092 44.353448) + (xy 212.738601 44.533466) + (xy 212.7386 44.53347) + (xy 212.718814 44.721726) + (xy 194.241901 44.721726) (xy 194.325179 44.577484) (xy 194.383674 44.397456) (xy 194.40346 44.2092) @@ -50567,26 +51176,9 @@ (xy 192.614796 44.013342) (xy 192.612326 44.020944) (xy 192.59254 44.2092) - (xy 185.271835 44.2092) - (xy 185.208125 44.14549) - (xy 185.197429 44.133293) - (xy 185.178212 44.108248) - (xy 185.178209 44.108246) - (xy 185.178208 44.108244) - (xy 185.052767 44.01199) - (xy 185.041596 44.007363) - (xy 184.906688 43.951482) - (xy 184.906686 43.951481) - (xy 184.789287 43.936026) - (xy 184.749926 43.930844) - (xy 184.718623 43.934965) - (xy 184.702439 43.936026) - (xy 181.697249 43.936026) - (xy 181.63021 43.916341) - (xy 181.584455 43.863537) - (xy 181.574511 43.794379) - (xy 181.584867 43.759622) - (xy 181.602591 43.721611) + (xy 181.284139 44.2092) + (xy 181.371544 44.121795) + (xy 181.504575 43.931808) (xy 181.602594 43.721605) (xy 181.662623 43.497576) (xy 181.682837 43.266526) @@ -50598,7 +51190,7 @@ (xy 181.371547 42.411261) (xy 181.371542 42.411255) (xy 181.207543 42.247256) - (xy 181.155303 42.210677) + (xy 181.207537 42.247252) (xy 181.017556 42.114225) (xy 181.006152 42.108907) (xy 180.953714 42.062736) @@ -50630,60 +51222,60 @@ (xy 186.02146 41.9232) (xy 186.001674 41.734944) (xy 185.943179 41.554916) - (xy 185.869053 41.426526) - (xy 188.463814 41.426526) - (xy 188.4836 41.614782) - (xy 188.483601 41.614785) - (xy 188.542092 41.794803) - (xy 188.542095 41.79481) - (xy 188.636741 41.958742) - (xy 188.688482 42.016206) - (xy 188.763403 42.099414) - (xy 188.916539 42.210674) - (xy 188.916544 42.210677) - (xy 189.089466 42.287668) - (xy 189.089471 42.28767) - (xy 189.274628 42.327026) - (xy 189.274629 42.327026) - (xy 189.463918 42.327026) - (xy 189.46392 42.327026) - (xy 189.649077 42.28767) - (xy 189.822004 42.210677) - (xy 189.975145 42.099414) - (xy 190.101807 41.958742) - (xy 190.196453 41.79481) - (xy 190.254948 41.614782) - (xy 190.274734 41.426526) - (xy 190.254948 41.23827) - (xy 190.196453 41.058242) - (xy 190.101807 40.89431) - (xy 189.975145 40.753638) - (xy 189.93783 40.726527) - (xy 189.822008 40.642377) - (xy 189.822003 40.642374) - (xy 189.649081 40.565383) - (xy 189.649076 40.565381) - (xy 189.503275 40.534391) - (xy 189.46392 40.526026) - (xy 189.274628 40.526026) - (xy 189.242171 40.532924) - (xy 189.089471 40.565381) - (xy 189.089466 40.565383) - (xy 188.916544 40.642374) - (xy 188.916539 40.642377) - (xy 188.763403 40.753637) - (xy 188.63674 40.894311) - (xy 188.542095 41.058241) - (xy 188.542092 41.058248) - (xy 188.492684 41.210311) - (xy 188.4836 41.23827) - (xy 188.463814 41.426526) - (xy 185.869053 41.426526) (xy 185.848533 41.390984) (xy 185.721871 41.250312) - (xy 185.705297 41.23827) + (xy 185.72187 41.250311) (xy 185.568734 41.139051) (xy 185.568729 41.139048) + (xy 185.468676 41.094501) + (xy 188.222839 41.094501) + (xy 188.242625 41.282757) + (xy 188.242626 41.28276) + (xy 188.301117 41.462778) + (xy 188.30112 41.462785) + (xy 188.395766 41.626717) + (xy 188.493211 41.73494) + (xy 188.522428 41.767389) + (xy 188.675564 41.878649) + (xy 188.675569 41.878652) + (xy 188.848491 41.955643) + (xy 188.848496 41.955645) + (xy 189.033653 41.995001) + (xy 189.033654 41.995001) + (xy 189.222943 41.995001) + (xy 189.222945 41.995001) + (xy 189.408102 41.955645) + (xy 189.581029 41.878652) + (xy 189.73417 41.767389) + (xy 189.860832 41.626717) + (xy 189.955478 41.462785) + (xy 190.013973 41.282757) + (xy 190.033759 41.094501) + (xy 190.013973 40.906245) + (xy 189.955478 40.726217) + (xy 189.860832 40.562285) + (xy 189.73417 40.421613) + (xy 189.734169 40.421612) + (xy 189.581033 40.310352) + (xy 189.581028 40.310349) + (xy 189.408106 40.233358) + (xy 189.408101 40.233356) + (xy 189.2623 40.202366) + (xy 189.222945 40.194001) + (xy 189.033653 40.194001) + (xy 189.019652 40.196977) + (xy 188.848496 40.233356) + (xy 188.848491 40.233358) + (xy 188.675569 40.310349) + (xy 188.675564 40.310352) + (xy 188.522428 40.421612) + (xy 188.395765 40.562286) + (xy 188.30112 40.726216) + (xy 188.301117 40.726223) + (xy 188.250703 40.881383) + (xy 188.242625 40.906245) + (xy 188.222839 41.094501) + (xy 185.468676 41.094501) (xy 185.395807 41.062057) (xy 185.395802 41.062055) (xy 185.250001 41.031065) @@ -50710,8 +51302,8 @@ (xy 181.602594 41.181605) (xy 181.662623 40.957576) (xy 181.682837 40.726526) - (xy 181.681568 40.712026) - (xy 181.675475 40.642374) + (xy 181.68281 40.726223) + (xy 181.677061 40.660511) (xy 181.662623 40.495476) (xy 181.602594 40.271447) (xy 181.504575 40.061245) @@ -50719,57 +51311,8 @@ (xy 181.504572 40.06124) (xy 181.371547 39.871261) (xy 181.371542 39.871255) - (xy 181.311813 39.811526) - (xy 215.087814 39.811526) - (xy 215.1076 39.999782) - (xy 215.107601 39.999785) - (xy 215.166092 40.179803) - (xy 215.166095 40.17981) - (xy 215.260741 40.343742) - (xy 215.351617 40.44467) - (xy 215.387403 40.484414) - (xy 215.540539 40.595674) - (xy 215.540544 40.595677) - (xy 215.713466 40.672668) - (xy 215.713471 40.67267) - (xy 215.898628 40.712026) - (xy 215.898629 40.712026) - (xy 216.087918 40.712026) - (xy 216.08792 40.712026) - (xy 216.273077 40.67267) - (xy 216.446004 40.595677) - (xy 216.599145 40.484414) - (xy 216.725807 40.343742) - (xy 216.820453 40.17981) - (xy 216.878948 39.999782) - (xy 216.898734 39.811526) - (xy 216.878948 39.62327) - (xy 216.820453 39.443242) - (xy 216.725807 39.27931) - (xy 216.599145 39.138638) - (xy 216.565253 39.114014) - (xy 216.446008 39.027377) - (xy 216.446003 39.027374) - (xy 216.273081 38.950383) - (xy 216.273076 38.950381) - (xy 216.127275 38.919391) - (xy 216.08792 38.911026) - (xy 215.898628 38.911026) - (xy 215.866171 38.917924) - (xy 215.713471 38.950381) - (xy 215.713466 38.950383) - (xy 215.540544 39.027374) - (xy 215.540539 39.027377) - (xy 215.387403 39.138637) - (xy 215.26074 39.279311) - (xy 215.166095 39.443241) - (xy 215.166092 39.443248) - (xy 215.117506 39.592782) - (xy 215.1076 39.62327) - (xy 215.087814 39.811526) - (xy 181.311813 39.811526) (xy 181.207543 39.707256) - (xy 181.087593 39.623266) + (xy 181.207537 39.707252) (xy 181.017556 39.574225) (xy 180.807353 39.476206) (xy 180.80735 39.476205) @@ -50799,7 +51342,7 @@ (xy 178.831547 39.871261) (xy 178.831542 39.871255) (xy 178.667543 39.707256) - (xy 178.547593 39.623266) + (xy 178.667537 39.707252) (xy 178.477556 39.574225) (xy 178.267353 39.476206) (xy 178.26735 39.476205) @@ -50854,44 +51397,1160 @@ (xy 176.501924 43.49757) (xy 176.501925 43.497577) (xy 176.561952 43.7216) - (xy 176.561956 43.721611) - (xy 176.579681 43.759622) - (xy 176.590173 43.8287) - (xy 176.561653 43.892483) - (xy 176.503176 43.930722) - (xy 176.467299 43.936026) - (xy 175.826371 43.936026) - (xy 175.759332 43.916341) - (xy 175.73869 43.899707) - (xy 174.841473 43.00249) - (xy 174.830778 42.990295) - (xy 174.811557 42.965245) - (xy 174.70684 42.884893) - (xy 174.686115 42.86899) - (xy 174.576775 42.8237) - (xy 174.540036 42.808482) - (xy 174.540034 42.808481) - (xy 174.422635 42.793026) - (xy 174.383274 42.787844) - (xy 174.351971 42.791965) - (xy 174.335787 42.793026) - (xy 171.636761 42.793026) - (xy 171.620576 42.791965) - (xy 171.589274 42.787844) - (xy 171.549913 42.793026) - (xy 171.432513 42.808481) - (xy 171.432511 42.808482) - (xy 171.286431 42.86899) - (xy 171.160992 42.965242) - (xy 171.141763 42.990301) - (xy 171.131072 43.002491) - (xy 170.312788 43.820775) - (xy 170.251465 43.85426) - (xy 170.181773 43.849276) - (xy 170.153984 43.834669) - (xy 170.03396 43.750627) + (xy 176.561953 43.721602) + (xy 176.561954 43.721605) + (xy 176.659973 43.931808) + (xy 176.793004 44.121795) + (xy 176.957005 44.285796) + (xy 177.146992 44.418827) + (xy 177.158395 44.424144) + (xy 177.210834 44.470316) + (xy 177.229986 44.53751) + (xy 177.20977 44.604391) + (xy 177.158397 44.648907) + (xy 177.146994 44.654224) + (xy 177.146988 44.654227) + (xy 176.957009 44.787252) + (xy 176.957003 44.787257) + (xy 176.793005 44.951255) + (xy 176.793 44.951261) + (xy 176.659975 45.14124) + (xy 176.659973 45.141244) + (xy 176.561955 45.351443) + (xy 176.501925 45.575474) + (xy 176.501924 45.575481) + (xy 176.481711 45.806524) + (xy 176.481711 45.806527) + (xy 176.501924 46.03757) + (xy 176.501925 46.037577) + (xy 176.561952 46.2616) + (xy 176.561953 46.261602) + (xy 176.561954 46.261605) + (xy 176.659973 46.471808) + (xy 176.793004 46.661795) + (xy 176.957005 46.825796) + (xy 177.146992 46.958827) + (xy 177.158395 46.964144) + (xy 177.210834 47.010316) + (xy 177.229986 47.07751) + (xy 177.20977 47.144391) + (xy 177.158397 47.188907) + (xy 177.146994 47.194224) + (xy 177.146988 47.194227) + (xy 176.957009 47.327252) + (xy 176.957003 47.327257) + (xy 176.793005 47.491255) + (xy 176.793 47.491261) + (xy 176.659975 47.68124) + (xy 176.659973 47.681244) + (xy 176.561955 47.891443) + (xy 176.501925 48.115474) + (xy 176.501924 48.115481) + (xy 176.481711 48.346524) + (xy 176.481711 48.346527) + (xy 176.501924 48.57757) + (xy 176.501925 48.577577) + (xy 176.561952 48.8016) + (xy 176.561953 48.801602) + (xy 176.561954 48.801605) + (xy 176.659973 49.011808) + (xy 176.793004 49.201795) + (xy 176.957005 49.365796) + (xy 177.146992 49.498827) + (xy 177.158395 49.504144) + (xy 177.210834 49.550316) + (xy 177.229986 49.61751) + (xy 177.20977 49.684391) + (xy 177.158397 49.728907) + (xy 177.146994 49.734224) + (xy 177.146988 49.734227) + (xy 176.957009 49.867252) + (xy 176.957003 49.867257) + (xy 176.793005 50.031255) + (xy 176.793 50.031261) + (xy 176.659975 50.22124) + (xy 176.659973 50.221244) + (xy 176.561955 50.431443) + (xy 176.501925 50.655474) + (xy 176.501924 50.655481) + (xy 176.481711 50.886524) + (xy 176.481711 50.886527) + (xy 176.501924 51.11757) + (xy 176.501925 51.117577) + (xy 176.561952 51.3416) + (xy 176.561953 51.341602) + (xy 176.561954 51.341605) + (xy 176.659973 51.551808) + (xy 176.793004 51.741795) + (xy 176.957005 51.905796) + (xy 177.146992 52.038827) + (xy 177.158395 52.044144) + (xy 177.210834 52.090316) + (xy 177.229986 52.15751) + (xy 177.20977 52.224391) + (xy 177.158397 52.268907) + (xy 177.146994 52.274224) + (xy 177.146988 52.274227) + (xy 176.957009 52.407252) + (xy 176.957003 52.407257) + (xy 176.793005 52.571255) + (xy 176.793 52.571261) + (xy 176.659975 52.76124) + (xy 176.659973 52.761244) + (xy 176.561955 52.971443) + (xy 176.501925 53.195474) + (xy 176.501924 53.195481) + (xy 176.481711 53.426524) + (xy 176.481711 53.426527) + (xy 176.501924 53.65757) + (xy 176.501925 53.657577) + (xy 176.561952 53.8816) + (xy 176.561953 53.881602) + (xy 176.561954 53.881605) + (xy 176.659973 54.091808) + (xy 176.793004 54.281795) + (xy 176.957005 54.445796) + (xy 177.146992 54.578827) + (xy 177.158395 54.584144) + (xy 177.210834 54.630316) + (xy 177.229986 54.69751) + (xy 177.20977 54.764391) + (xy 177.158397 54.808907) + (xy 177.146994 54.814224) + (xy 177.146988 54.814227) + (xy 176.957009 54.947252) + (xy 176.957003 54.947257) + (xy 176.793005 55.111255) + (xy 176.793 55.111261) + (xy 176.659975 55.30124) + (xy 176.659973 55.301244) + (xy 176.561955 55.511443) + (xy 176.501925 55.735474) + (xy 176.501924 55.735481) + (xy 176.481711 55.966524) + (xy 176.481711 55.966527) + (xy 176.501924 56.19757) + (xy 176.501925 56.197577) + (xy 176.561952 56.4216) + (xy 176.561953 56.421602) + (xy 176.561954 56.421605) + (xy 176.659973 56.631808) + (xy 176.793004 56.821795) + (xy 176.957005 56.985796) + (xy 177.146992 57.118827) + (xy 177.158395 57.124144) + (xy 177.210834 57.170316) + (xy 177.229986 57.23751) + (xy 177.20977 57.304391) + (xy 177.158397 57.348907) + (xy 177.146994 57.354224) + (xy 177.146988 57.354227) + (xy 176.957009 57.487252) + (xy 176.957003 57.487257) + (xy 176.793005 57.651255) + (xy 176.793 57.651261) + (xy 176.659975 57.84124) + (xy 176.659973 57.841244) + (xy 176.561955 58.051443) + (xy 176.501925 58.275474) + (xy 176.501924 58.275481) + (xy 176.481711 58.506524) + (xy 176.481711 58.506527) + (xy 173.004051 58.506527) + (xy 173.071775 58.409808) + (xy 173.169794 58.199605) + (xy 173.229823 57.975576) + (xy 173.250037 57.744526) + (xy 173.229823 57.513476) + (xy 173.169794 57.289447) + (xy 173.071775 57.079245) + (xy 173.071773 57.079242) + (xy 173.071772 57.07924) + (xy 172.938747 56.889261) + (xy 172.938742 56.889255) + (xy 172.774743 56.725256) + (xy 172.774737 56.725252) + (xy 172.584756 56.592225) + (xy 172.374553 56.494206) + (xy 172.37455 56.494205) + (xy 172.374548 56.494204) + (xy 172.150525 56.434177) + (xy 172.150518 56.434176) + (xy 171.919476 56.413963) + (xy 171.919472 56.413963) + (xy 171.688429 56.434176) + (xy 171.688422 56.434177) + (xy 171.464391 56.494207) + (xy 171.254192 56.592225) + (xy 171.254188 56.592227) + (xy 171.064209 56.725252) + (xy 170.9089 56.880561) + (xy 170.847577 56.914045) + (xy 170.777885 56.909061) + (xy 170.721952 56.867189) + (xy 170.702991 56.818969) + (xy 170.70035 56.819594) + (xy 170.698566 56.812046) + (xy 170.648271 56.677197) + (xy 170.648267 56.67719) + (xy 170.562021 56.561981) + (xy 170.562018 56.561978) + (xy 170.446809 56.475732) + (xy 170.446802 56.475728) + (xy 170.311956 56.425434) + (xy 170.311957 56.425434) + (xy 170.252357 56.419027) + (xy 170.252355 56.419026) + (xy 170.252347 56.419026) + (xy 170.252338 56.419026) + (xy 168.506603 56.419026) + (xy 168.506597 56.419027) + (xy 168.44699 56.425434) + (xy 168.312145 56.475728) + (xy 168.312138 56.475732) + (xy 168.196929 56.561978) + (xy 168.196926 56.561981) + (xy 168.11068 56.67719) + (xy 168.110676 56.677197) + (xy 168.060382 56.812043) + (xy 168.053975 56.871642) + (xy 168.053974 56.871661) + (xy 168.053974 58.617396) + (xy 168.053975 58.617402) + (xy 168.060382 58.677009) + (xy 168.110676 58.811854) + (xy 168.11068 58.811861) + (xy 168.196926 58.92707) + (xy 168.196929 58.927073) + (xy 168.312138 59.013319) + (xy 168.312145 59.013323) + (xy 168.446991 59.063617) + (xy 168.454536 59.0654) + (xy 168.453857 59.068272) + (xy 168.505636 59.089722) + (xy 168.545482 59.147115) + (xy 168.547974 59.21694) + (xy 168.515509 59.273952) + (xy 168.3602 59.429261) + (xy 168.227175 59.61924) + (xy 168.227173 59.619244) + (xy 168.129155 59.829443) + (xy 168.069125 60.053474) + (xy 168.069124 60.053481) + (xy 168.048911 60.284524) + (xy 168.048911 60.284527) + (xy 168.069124 60.51557) + (xy 168.069125 60.515577) + (xy 168.129152 60.7396) + (xy 168.129153 60.739602) + (xy 168.129154 60.739605) + (xy 168.227173 60.949808) + (xy 168.360204 61.139795) + (xy 168.524205 61.303796) + (xy 168.714192 61.436827) + (xy 168.725595 61.442144) + (xy 168.778034 61.488316) + (xy 168.797186 61.55551) + (xy 168.77697 61.622391) + (xy 168.725597 61.666907) + (xy 168.714194 61.672224) + (xy 168.714188 61.672227) + (xy 168.524209 61.805252) + (xy 168.524203 61.805257) + (xy 168.360205 61.969255) + (xy 168.3602 61.969261) + (xy 168.227175 62.15924) + (xy 168.227173 62.159244) + (xy 168.129155 62.369443) + (xy 168.069125 62.593474) + (xy 168.069124 62.593481) + (xy 168.048911 62.824524) + (xy 168.048911 62.824527) + (xy 168.069124 63.05557) + (xy 168.069125 63.055577) + (xy 168.129152 63.2796) + (xy 168.129153 63.279602) + (xy 168.129154 63.279605) + (xy 168.227173 63.489808) + (xy 168.360204 63.679795) + (xy 168.524205 63.843796) + (xy 168.714192 63.976827) + (xy 168.725595 63.982144) + (xy 168.778034 64.028316) + (xy 168.797186 64.09551) + (xy 168.77697 64.162391) + (xy 168.725597 64.206907) + (xy 168.714194 64.212224) + (xy 168.714188 64.212227) + (xy 168.524209 64.345252) + (xy 168.524203 64.345257) + (xy 168.360205 64.509255) + (xy 168.3602 64.509261) + (xy 168.227175 64.69924) + (xy 168.227173 64.699244) + (xy 168.129155 64.909443) + (xy 168.069125 65.133474) + (xy 168.069124 65.133481) + (xy 168.048911 65.364524) + (xy 168.048911 65.364527) + (xy 168.069124 65.59557) + (xy 168.069125 65.595577) + (xy 168.129152 65.8196) + (xy 168.129153 65.819602) + (xy 168.129154 65.819605) + (xy 168.227173 66.029808) + (xy 168.360204 66.219795) + (xy 168.524205 66.383796) + (xy 168.714192 66.516827) + (xy 168.725595 66.522144) + (xy 168.778034 66.568316) + (xy 168.797186 66.63551) + (xy 168.77697 66.702391) + (xy 168.725597 66.746907) + (xy 168.714194 66.752224) + (xy 168.714188 66.752227) + (xy 168.524209 66.885252) + (xy 168.524203 66.885257) + (xy 168.360205 67.049255) + (xy 168.3602 67.049261) + (xy 168.227175 67.23924) + (xy 168.227173 67.239244) + (xy 168.129155 67.449443) + (xy 168.069125 67.673474) + (xy 168.069124 67.673481) + (xy 168.048911 67.904524) + (xy 168.048911 67.904527) + (xy 168.069124 68.13557) + (xy 168.069125 68.135577) + (xy 168.129152 68.3596) + (xy 168.129153 68.359602) + (xy 168.129154 68.359605) + (xy 168.227173 68.569808) + (xy 168.360204 68.759795) + (xy 168.524205 68.923796) + (xy 168.714192 69.056827) + (xy 168.725595 69.062144) + (xy 168.778034 69.108316) + (xy 168.797186 69.17551) + (xy 168.77697 69.242391) + (xy 168.725597 69.286907) + (xy 168.714194 69.292224) + (xy 168.714188 69.292227) + (xy 168.524209 69.425252) + (xy 168.524203 69.425257) + (xy 168.360205 69.589255) + (xy 168.3602 69.589261) + (xy 168.227175 69.77924) + (xy 168.227173 69.779244) + (xy 168.129155 69.989443) + (xy 168.069125 70.213474) + (xy 168.069124 70.213481) + (xy 168.048911 70.444524) + (xy 168.048911 70.444527) + (xy 168.069124 70.67557) + (xy 168.069125 70.675577) + (xy 168.129152 70.8996) + (xy 168.129153 70.899602) + (xy 168.129154 70.899605) + (xy 168.227173 71.109808) + (xy 168.360204 71.299795) + (xy 168.524205 71.463796) + (xy 168.714192 71.596827) + (xy 168.725595 71.602144) + (xy 168.778034 71.648316) + (xy 168.797186 71.71551) + (xy 168.77697 71.782391) + (xy 168.725597 71.826907) + (xy 168.714194 71.832224) + (xy 168.714188 71.832227) + (xy 168.524209 71.965252) + (xy 168.524203 71.965257) + (xy 168.360205 72.129255) + (xy 168.3602 72.129261) + (xy 168.227175 72.31924) + (xy 168.227173 72.319244) + (xy 168.129155 72.529443) + (xy 168.069125 72.753474) + (xy 168.069124 72.753481) + (xy 168.048911 72.984524) + (xy 168.048911 72.984527) + (xy 168.069124 73.21557) + (xy 168.069125 73.215577) + (xy 168.129152 73.4396) + (xy 168.129153 73.439602) + (xy 168.129154 73.439605) + (xy 168.227173 73.649808) + (xy 168.360204 73.839795) + (xy 168.524205 74.003796) + (xy 168.714192 74.136827) + (xy 168.725595 74.142144) + (xy 168.778034 74.188316) + (xy 168.797186 74.25551) + (xy 168.77697 74.322391) + (xy 168.725597 74.366907) + (xy 168.714194 74.372224) + (xy 168.714188 74.372227) + (xy 168.524209 74.505252) + (xy 168.524203 74.505257) + (xy 168.360205 74.669255) + (xy 168.3602 74.669261) + (xy 168.227175 74.85924) + (xy 168.227173 74.859244) + (xy 168.129155 75.069443) + (xy 168.069125 75.293474) + (xy 168.069124 75.293481) + (xy 168.048911 75.524524) + (xy 168.048911 75.524527) + (xy 168.069124 75.75557) + (xy 168.069125 75.755577) + (xy 168.129152 75.9796) + (xy 168.129153 75.979602) + (xy 168.129154 75.979605) + (xy 168.227173 76.189808) + (xy 168.360204 76.379795) + (xy 168.524205 76.543796) + (xy 168.714192 76.676827) + (xy 168.725595 76.682144) + (xy 168.778034 76.728316) + (xy 168.797186 76.79551) + (xy 168.77697 76.862391) + (xy 168.725597 76.906907) + (xy 168.714194 76.912224) + (xy 168.714188 76.912227) + (xy 168.524209 77.045252) + (xy 168.524203 77.045257) + (xy 168.360205 77.209255) + (xy 168.3602 77.209261) + (xy 168.227175 77.39924) + (xy 168.227173 77.399244) + (xy 168.129155 77.609443) + (xy 168.069125 77.833474) + (xy 168.069124 77.833481) + (xy 168.048911 78.064524) + (xy 168.048911 78.064527) + (xy 80.024287 78.064527) + (xy 80.067661 78.033014) + (xy 80.194323 77.892342) + (xy 80.288969 77.72841) + (xy 80.347464 77.548382) + (xy 80.36725 77.360126) + (xy 80.347464 77.17187) + (xy 80.288969 76.991842) + (xy 80.194323 76.82791) + (xy 80.067661 76.687238) + (xy 80.06065 76.682144) + (xy 79.914524 76.575977) + (xy 79.914519 76.575974) + (xy 79.741597 76.498983) + (xy 79.741592 76.498981) + (xy 79.595791 76.467991) + (xy 79.556436 76.459626) + (xy 79.367144 76.459626) + (xy 79.334687 76.466524) + (xy 79.181987 76.498981) + (xy 79.181982 76.498983) + (xy 79.00906 76.575974) + (xy 79.009055 76.575977) + (xy 78.855919 76.687237) + (xy 78.729256 76.827911) + (xy 78.634611 76.991841) + (xy 78.634608 76.991848) + (xy 78.576117 77.171866) + (xy 78.576116 77.17187) + (xy 78.55633 77.360126) + (xy 65.696674 77.360126) + (xy 65.696674 74.820126) + (xy 78.55633 74.820126) + (xy 78.576116 75.008382) + (xy 78.576117 75.008385) + (xy 78.634608 75.188403) + (xy 78.634611 75.18841) + (xy 78.729257 75.352342) + (xy 78.770051 75.397648) + (xy 78.855919 75.493014) + (xy 79.009055 75.604274) + (xy 79.00906 75.604277) + (xy 79.181982 75.681268) + (xy 79.181987 75.68127) + (xy 79.367144 75.720626) + (xy 79.367145 75.720626) + (xy 79.556434 75.720626) + (xy 79.556436 75.720626) + (xy 79.741593 75.68127) + (xy 79.91452 75.604277) + (xy 80.067661 75.493014) + (xy 80.194323 75.352342) + (xy 80.288969 75.18841) + (xy 80.347464 75.008382) + (xy 80.36725 74.820126) + (xy 80.347464 74.63187) + (xy 80.288969 74.451842) + (xy 80.194323 74.28791) + (xy 80.067661 74.147238) + (xy 80.06065 74.142144) + (xy 79.914524 74.035977) + (xy 79.914519 74.035974) + (xy 79.741597 73.958983) + (xy 79.741592 73.958981) + (xy 79.595791 73.927991) + (xy 79.556436 73.919626) + (xy 79.367144 73.919626) + (xy 79.334687 73.926524) + (xy 79.181987 73.958981) + (xy 79.181982 73.958983) + (xy 79.00906 74.035974) + (xy 79.009055 74.035977) + (xy 78.855919 74.147237) + (xy 78.729256 74.287911) + (xy 78.634611 74.451841) + (xy 78.634608 74.451848) + (xy 78.576117 74.631866) + (xy 78.576116 74.63187) + (xy 78.55633 74.820126) + (xy 65.696674 74.820126) + (xy 65.696674 72.280126) + (xy 78.55633 72.280126) + (xy 78.576116 72.468382) + (xy 78.576117 72.468385) + (xy 78.634608 72.648403) + (xy 78.634611 72.64841) + (xy 78.729257 72.812342) + (xy 78.806267 72.89787) + (xy 78.855919 72.953014) + (xy 79.009055 73.064274) + (xy 79.00906 73.064277) + (xy 79.181982 73.141268) + (xy 79.181987 73.14127) + (xy 79.367144 73.180626) + (xy 79.367145 73.180626) + (xy 79.556434 73.180626) + (xy 79.556436 73.180626) + (xy 79.741593 73.14127) + (xy 79.91452 73.064277) + (xy 80.067661 72.953014) + (xy 80.194323 72.812342) + (xy 80.288969 72.64841) + (xy 80.347464 72.468382) + (xy 80.36725 72.280126) + (xy 80.347464 72.09187) + (xy 80.288969 71.911842) + (xy 80.194323 71.74791) + (xy 80.067661 71.607238) + (xy 80.06065 71.602144) + (xy 79.914524 71.495977) + (xy 79.914519 71.495974) + (xy 79.741597 71.418983) + (xy 79.741592 71.418981) + (xy 79.595791 71.387991) + (xy 79.556436 71.379626) + (xy 79.367144 71.379626) + (xy 79.334687 71.386524) + (xy 79.181987 71.418981) + (xy 79.181982 71.418983) + (xy 79.00906 71.495974) + (xy 79.009055 71.495977) + (xy 78.855919 71.607237) + (xy 78.729256 71.747911) + (xy 78.634611 71.911841) + (xy 78.634608 71.911848) + (xy 78.576117 72.091866) + (xy 78.576116 72.09187) + (xy 78.55633 72.280126) + (xy 65.696674 72.280126) + (xy 65.696674 69.740126) + (xy 78.55633 69.740126) + (xy 78.576116 69.928382) + (xy 78.576117 69.928385) + (xy 78.634608 70.108403) + (xy 78.634611 70.10841) + (xy 78.729257 70.272342) + (xy 78.806687 70.358336) + (xy 78.855919 70.413014) + (xy 79.009055 70.524274) + (xy 79.00906 70.524277) + (xy 79.181982 70.601268) + (xy 79.181987 70.60127) + (xy 79.367144 70.640626) + (xy 79.367145 70.640626) + (xy 79.556434 70.640626) + (xy 79.556436 70.640626) + (xy 79.741593 70.60127) + (xy 79.91452 70.524277) + (xy 80.067661 70.413014) + (xy 80.194323 70.272342) + (xy 80.288969 70.10841) + (xy 80.347464 69.928382) + (xy 80.36725 69.740126) + (xy 80.347464 69.55187) + (xy 80.288969 69.371842) + (xy 80.194323 69.20791) + (xy 80.067661 69.067238) + (xy 80.06766 69.067237) + (xy 79.914524 68.955977) + (xy 79.914519 68.955974) + (xy 79.741597 68.878983) + (xy 79.741592 68.878981) + (xy 79.595791 68.847991) + (xy 79.556436 68.839626) + (xy 79.367144 68.839626) + (xy 79.334687 68.846524) + (xy 79.181987 68.878981) + (xy 79.181982 68.878983) + (xy 79.00906 68.955974) + (xy 79.009055 68.955977) + (xy 78.855919 69.067237) + (xy 78.729256 69.207911) + (xy 78.634611 69.371841) + (xy 78.634608 69.371848) + (xy 78.587302 69.517442) + (xy 78.576116 69.55187) + (xy 78.55633 69.740126) + (xy 65.696674 69.740126) + (xy 65.696674 67.200126) + (xy 78.55633 67.200126) + (xy 78.576116 67.388382) + (xy 78.576117 67.388385) + (xy 78.634608 67.568403) + (xy 78.634611 67.56841) + (xy 78.729257 67.732342) + (xy 78.746185 67.751142) + (xy 78.855919 67.873014) + (xy 79.009055 67.984274) + (xy 79.00906 67.984277) + (xy 79.181982 68.061268) + (xy 79.181987 68.06127) + (xy 79.367144 68.100626) + (xy 79.367145 68.100626) + (xy 79.556434 68.100626) + (xy 79.556436 68.100626) + (xy 79.741593 68.06127) + (xy 79.91452 67.984277) + (xy 80.067661 67.873014) + (xy 80.194323 67.732342) + (xy 80.288969 67.56841) + (xy 80.347464 67.388382) + (xy 80.36725 67.200126) + (xy 80.347464 67.01187) + (xy 80.288969 66.831842) + (xy 80.194323 66.66791) + (xy 80.067661 66.527238) + (xy 80.06065 66.522144) + (xy 79.914524 66.415977) + (xy 79.914519 66.415974) + (xy 79.741597 66.338983) + (xy 79.741592 66.338981) + (xy 79.595791 66.307991) + (xy 79.556436 66.299626) + (xy 79.367144 66.299626) + (xy 79.334687 66.306524) + (xy 79.181987 66.338981) + (xy 79.181982 66.338983) + (xy 79.00906 66.415974) + (xy 79.009055 66.415977) + (xy 78.855919 66.527237) + (xy 78.729256 66.667911) + (xy 78.634611 66.831841) + (xy 78.634608 66.831848) + (xy 78.576117 67.011866) + (xy 78.576116 67.01187) + (xy 78.55633 67.200126) + (xy 65.696674 67.200126) + (xy 65.696674 64.660126) + (xy 78.55633 64.660126) + (xy 78.576116 64.848382) + (xy 78.576117 64.848385) + (xy 78.634608 65.028403) + (xy 78.634611 65.02841) + (xy 78.729257 65.192342) + (xy 78.780296 65.249026) + (xy 78.855919 65.333014) + (xy 79.009055 65.444274) + (xy 79.00906 65.444277) + (xy 79.181982 65.521268) + (xy 79.181987 65.52127) + (xy 79.367144 65.560626) + (xy 79.367145 65.560626) + (xy 79.556434 65.560626) + (xy 79.556436 65.560626) + (xy 79.741593 65.52127) + (xy 79.91452 65.444277) + (xy 80.067661 65.333014) + (xy 80.194323 65.192342) + (xy 80.288969 65.02841) + (xy 80.347464 64.848382) + (xy 80.36725 64.660126) + (xy 80.347464 64.47187) + (xy 80.288969 64.291842) + (xy 80.194323 64.12791) + (xy 80.067661 63.987238) + (xy 80.058032 63.980242) + (xy 79.914524 63.875977) + (xy 79.914519 63.875974) + (xy 79.741597 63.798983) + (xy 79.741592 63.798981) + (xy 79.595791 63.767991) + (xy 79.556436 63.759626) + (xy 79.367144 63.759626) + (xy 79.334687 63.766524) + (xy 79.181987 63.798981) + (xy 79.181982 63.798983) + (xy 79.00906 63.875974) + (xy 79.009055 63.875977) + (xy 78.855919 63.987237) + (xy 78.729256 64.127911) + (xy 78.634611 64.291841) + (xy 78.634608 64.291848) + (xy 78.576117 64.471866) + (xy 78.576116 64.47187) + (xy 78.55633 64.660126) + (xy 65.696674 64.660126) + (xy 65.696674 62.120126) + (xy 78.55633 62.120126) + (xy 78.576116 62.308382) + (xy 78.576117 62.308385) + (xy 78.634608 62.488403) + (xy 78.634611 62.48841) + (xy 78.729257 62.652342) + (xy 78.764789 62.691804) + (xy 78.855919 62.793014) + (xy 79.009055 62.904274) + (xy 79.00906 62.904277) + (xy 79.181982 62.981268) + (xy 79.181987 62.98127) + (xy 79.367144 63.020626) + (xy 79.367145 63.020626) + (xy 79.556434 63.020626) + (xy 79.556436 63.020626) + (xy 79.741593 62.98127) + (xy 79.91452 62.904277) + (xy 80.067661 62.793014) + (xy 80.194323 62.652342) + (xy 80.288969 62.48841) + (xy 80.347464 62.308382) + (xy 80.36725 62.120126) + (xy 80.347464 61.93187) + (xy 80.288969 61.751842) + (xy 80.194323 61.58791) + (xy 80.067661 61.447238) + (xy 80.06065 61.442144) + (xy 79.914524 61.335977) + (xy 79.914519 61.335974) + (xy 79.741597 61.258983) + (xy 79.741592 61.258981) + (xy 79.595791 61.227991) + (xy 79.556436 61.219626) + (xy 79.367144 61.219626) + (xy 79.334687 61.226524) + (xy 79.181987 61.258981) + (xy 79.181982 61.258983) + (xy 79.00906 61.335974) + (xy 79.009055 61.335977) + (xy 78.855919 61.447237) + (xy 78.729256 61.587911) + (xy 78.634611 61.751841) + (xy 78.634608 61.751848) + (xy 78.576117 61.931866) + (xy 78.576116 61.93187) + (xy 78.55633 62.120126) + (xy 65.696674 62.120126) + (xy 65.696674 59.580126) + (xy 78.55633 59.580126) + (xy 78.576116 59.768382) + (xy 78.576117 59.768385) + (xy 78.634608 59.948403) + (xy 78.634611 59.94841) + (xy 78.729257 60.112342) + (xy 78.795022 60.185381) + (xy 78.855919 60.253014) + (xy 79.009055 60.364274) + (xy 79.00906 60.364277) + (xy 79.181982 60.441268) + (xy 79.181987 60.44127) + (xy 79.367144 60.480626) + (xy 79.367145 60.480626) + (xy 79.556434 60.480626) + (xy 79.556436 60.480626) + (xy 79.741593 60.44127) + (xy 79.91452 60.364277) + (xy 80.067661 60.253014) + (xy 80.194323 60.112342) + (xy 80.288969 59.94841) + (xy 80.347464 59.768382) + (xy 80.36725 59.580126) + (xy 80.347464 59.39187) + (xy 80.288969 59.211842) + (xy 80.194323 59.04791) + (xy 80.067661 58.907238) + (xy 80.06065 58.902144) + (xy 79.914524 58.795977) + (xy 79.914519 58.795974) + (xy 79.741597 58.718983) + (xy 79.741592 58.718981) + (xy 79.595791 58.687991) + (xy 79.556436 58.679626) + (xy 79.367144 58.679626) + (xy 79.334687 58.686524) + (xy 79.181987 58.718981) + (xy 79.181982 58.718983) + (xy 79.00906 58.795974) + (xy 79.009055 58.795977) + (xy 78.855919 58.907237) + (xy 78.729256 59.047911) + (xy 78.634611 59.211841) + (xy 78.634608 59.211848) + (xy 78.578718 59.383861) + (xy 78.576116 59.39187) + (xy 78.55633 59.580126) + (xy 65.696674 59.580126) + (xy 65.696674 56.459852) + (xy 78.570174 56.459852) + (xy 78.58996 56.648108) + (xy 78.589961 56.648111) + (xy 78.648452 56.828129) + (xy 78.648455 56.828136) + (xy 78.743101 56.992068) + (xy 78.84759 57.108114) + (xy 78.869763 57.13274) + (xy 79.022899 57.244) + (xy 79.022904 57.244003) + (xy 79.195826 57.320994) + (xy 79.195831 57.320996) + (xy 79.380988 57.360352) + (xy 79.380989 57.360352) + (xy 79.570278 57.360352) + (xy 79.57028 57.360352) + (xy 79.755437 57.320996) + (xy 79.928364 57.244003) + (xy 80.081505 57.13274) + (xy 80.208167 56.992068) + (xy 80.302813 56.828136) + (xy 80.361308 56.648108) + (xy 80.381094 56.459852) + (xy 80.361308 56.271596) + (xy 80.302813 56.091568) + (xy 80.208167 55.927636) + (xy 80.081505 55.786964) + (xy 80.081504 55.786963) + (xy 79.928368 55.675703) + (xy 79.928363 55.6757) + (xy 79.755441 55.598709) + (xy 79.755436 55.598707) + (xy 79.609635 55.567717) + (xy 79.57028 55.559352) + (xy 79.380988 55.559352) + (xy 79.348531 55.56625) + (xy 79.195831 55.598707) + (xy 79.195826 55.598709) + (xy 79.022904 55.6757) + (xy 79.022899 55.675703) + (xy 78.869763 55.786963) + (xy 78.7431 55.927637) + (xy 78.648455 56.091567) + (xy 78.648452 56.091574) + (xy 78.61401 56.197577) + (xy 78.58996 56.271596) + (xy 78.570174 56.459852) + (xy 65.696674 56.459852) + (xy 65.696674 53.792852) + (xy 78.570174 53.792852) + (xy 78.58996 53.981108) + (xy 78.589961 53.981111) + (xy 78.648452 54.161129) + (xy 78.648455 54.161136) + (xy 78.743101 54.325068) + (xy 78.851805 54.445796) + (xy 78.869763 54.46574) + (xy 79.022899 54.577) + (xy 79.022904 54.577003) + (xy 79.195826 54.653994) + (xy 79.195831 54.653996) + (xy 79.380988 54.693352) + (xy 79.380989 54.693352) + (xy 79.570278 54.693352) + (xy 79.57028 54.693352) + (xy 79.755437 54.653996) + (xy 79.928364 54.577003) + (xy 80.081505 54.46574) + (xy 80.208167 54.325068) + (xy 80.302813 54.161136) + (xy 80.361308 53.981108) + (xy 80.381094 53.792852) + (xy 80.361308 53.604596) + (xy 80.302813 53.424568) + (xy 80.208167 53.260636) + (xy 80.081505 53.119964) + (xy 80.081504 53.119963) + (xy 79.928368 53.008703) + (xy 79.928363 53.0087) + (xy 79.755441 52.931709) + (xy 79.755436 52.931707) + (xy 79.609635 52.900717) + (xy 79.57028 52.892352) + (xy 79.380988 52.892352) + (xy 79.348531 52.89925) + (xy 79.195831 52.931707) + (xy 79.195826 52.931709) + (xy 79.022904 53.0087) + (xy 79.022899 53.008703) + (xy 78.869763 53.119963) + (xy 78.7431 53.260637) + (xy 78.648455 53.424567) + (xy 78.648452 53.424574) + (xy 78.589961 53.604592) + (xy 78.58996 53.604596) + (xy 78.570174 53.792852) + (xy 65.696674 53.792852) + (xy 65.696674 51.153526) + (xy 78.844965 51.153526) + (xy 78.864751 51.341782) + (xy 78.864752 51.341785) + (xy 78.923243 51.521803) + (xy 78.923246 51.52181) + (xy 79.017892 51.685742) + (xy 79.144554 51.826414) + (xy 79.29769 51.937674) + (xy 79.297695 51.937677) + (xy 79.470617 52.014668) + (xy 79.470622 52.01467) + (xy 79.655779 52.054026) + (xy 79.65578 52.054026) + (xy 79.845069 52.054026) + (xy 79.845071 52.054026) + (xy 80.030228 52.01467) + (xy 80.203155 51.937677) + (xy 80.356296 51.826414) + (xy 80.482958 51.685742) + (xy 80.577604 51.52181) + (xy 80.636099 51.341782) + (xy 80.655885 51.153526) + (xy 80.636099 50.96527) + (xy 80.577604 50.785242) + (xy 80.482958 50.62131) + (xy 80.356296 50.480638) + (xy 80.356295 50.480637) + (xy 80.203159 50.369377) + (xy 80.203154 50.369374) + (xy 80.030232 50.292383) + (xy 80.030227 50.292381) + (xy 79.884426 50.261391) + (xy 79.845071 50.253026) + (xy 79.655779 50.253026) + (xy 79.623322 50.259924) + (xy 79.470622 50.292381) + (xy 79.470617 50.292383) + (xy 79.297695 50.369374) + (xy 79.29769 50.369377) + (xy 79.144554 50.480637) + (xy 79.017891 50.621311) + (xy 78.923246 50.785241) + (xy 78.923243 50.785248) + (xy 78.864752 50.965266) + (xy 78.864751 50.96527) + (xy 78.844965 51.153526) + (xy 65.696674 51.153526) + (xy 65.696674 48.574126) + (xy 78.794965 48.574126) + (xy 78.814751 48.762382) + (xy 78.814752 48.762385) + (xy 78.873243 48.942403) + (xy 78.873246 48.94241) + (xy 78.967892 49.106342) + (xy 79.053839 49.201795) + (xy 79.094554 49.247014) + (xy 79.24769 49.358274) + (xy 79.247695 49.358277) + (xy 79.420617 49.435268) + (xy 79.420622 49.43527) + (xy 79.605779 49.474626) + (xy 79.60578 49.474626) + (xy 79.795069 49.474626) + (xy 79.795071 49.474626) + (xy 79.980228 49.43527) + (xy 80.153155 49.358277) + (xy 80.306296 49.247014) + (xy 80.432958 49.106342) + (xy 80.527604 48.94241) + (xy 80.586099 48.762382) + (xy 80.605885 48.574126) + (xy 80.586099 48.38587) + (xy 80.527604 48.205842) + (xy 80.432958 48.04191) + (xy 80.306296 47.901238) + (xy 80.301848 47.898006) + (xy 80.153159 47.789977) + (xy 80.153154 47.789974) + (xy 79.980232 47.712983) + (xy 79.980227 47.712981) + (xy 79.834426 47.681991) + (xy 79.795071 47.673626) + (xy 79.605779 47.673626) + (xy 79.573322 47.680524) + (xy 79.420622 47.712981) + (xy 79.420617 47.712983) + (xy 79.247695 47.789974) + (xy 79.24769 47.789977) + (xy 79.094554 47.901237) + (xy 78.967891 48.041911) + (xy 78.873246 48.205841) + (xy 78.873243 48.205848) + (xy 78.827534 48.346527) + (xy 78.814751 48.38587) + (xy 78.794965 48.574126) + (xy 65.696674 48.574126) + (xy 65.696674 47.442927) + (xy 168.038111 47.442927) + (xy 168.058324 47.67397) + (xy 168.058325 47.673977) + (xy 168.118352 47.898) + (xy 168.118353 47.898002) + (xy 168.118354 47.898005) + (xy 168.216373 48.108208) + (xy 168.284742 48.205848) + (xy 168.349404 48.298195) + (xy 168.504708 48.453499) + (xy 168.538193 48.514822) + (xy 168.533209 48.584514) + (xy 168.491337 48.640447) + (xy 168.443121 48.659422) + (xy 168.443742 48.66205) + (xy 168.436194 48.663833) + (xy 168.301345 48.714128) + (xy 168.301338 48.714132) + (xy 168.186129 48.800378) + (xy 168.186126 48.800381) + (xy 168.09988 48.91559) + (xy 168.099876 48.915597) + (xy 168.049582 49.050443) + (xy 168.043175 49.110042) + (xy 168.043174 49.110061) + (xy 168.043174 50.855796) + (xy 168.043175 50.855802) + (xy 168.049582 50.915409) + (xy 168.099876 51.050254) + (xy 168.09988 51.050261) + (xy 168.186126 51.16547) + (xy 168.186129 51.165473) + (xy 168.301338 51.251719) + (xy 168.301345 51.251723) + (xy 168.436191 51.302017) + (xy 168.43619 51.302017) + (xy 168.443118 51.302761) + (xy 168.495801 51.308426) + (xy 170.241546 51.308425) + (xy 170.301157 51.302017) + (xy 170.436005 51.251722) + (xy 170.55122 51.165472) + (xy 170.63747 51.050257) + (xy 170.687765 50.915409) + (xy 170.687765 50.915407) + (xy 170.689548 50.907864) + (xy 170.692421 50.908542) + (xy 170.713865 50.856769) + (xy 170.771256 50.816919) + (xy 170.841081 50.814423) + (xy 170.8981 50.846891) + (xy 171.053405 51.002196) + (xy 171.243392 51.135227) + (xy 171.453595 51.233246) + (xy 171.677624 51.293275) + (xy 171.842659 51.307713) + (xy 171.908672 51.313489) + (xy 171.908674 51.313489) + (xy 171.908676 51.313489) + (xy 171.966568 51.308424) + (xy 172.139724 51.293275) + (xy 172.363753 51.233246) + (xy 172.573956 51.135227) + (xy 172.763943 51.002196) + (xy 172.927944 50.838195) + (xy 173.060975 50.648208) + (xy 173.158994 50.438005) + (xy 173.219023 50.213976) + (xy 173.239237 49.982926) + (xy 173.219023 49.751876) + (xy 173.158994 49.527847) + (xy 173.060975 49.317645) + (xy 173.060973 49.317642) + (xy 173.060972 49.31764) + (xy 172.927947 49.127661) + (xy 172.927942 49.127655) + (xy 172.763943 48.963656) + (xy 172.733591 48.942403) + (xy 172.573956 48.830625) + (xy 172.562552 48.825307) + (xy 172.510114 48.779136) + (xy 172.490962 48.711942) + (xy 172.511178 48.645061) + (xy 172.562552 48.600544) + (xy 172.573956 48.595227) + (xy 172.763943 48.462196) + (xy 172.927944 48.298195) + (xy 173.060975 48.108208) + (xy 173.158994 47.898005) + (xy 173.219023 47.673976) + (xy 173.239237 47.442926) + (xy 173.219023 47.211876) + (xy 173.158994 46.987847) + (xy 173.060975 46.777645) + (xy 173.060973 46.777642) + (xy 173.060972 46.77764) + (xy 172.927947 46.587661) + (xy 172.927942 46.587655) + (xy 172.763943 46.423656) + (xy 172.741535 46.407966) + (xy 172.573956 46.290625) + (xy 172.562552 46.285307) + (xy 172.510114 46.239136) + (xy 172.490962 46.171942) + (xy 172.511178 46.105061) + (xy 172.562552 46.060544) + (xy 172.573956 46.055227) + (xy 172.763943 45.922196) + (xy 172.927944 45.758195) + (xy 173.060975 45.568208) + (xy 173.158994 45.358005) + (xy 173.219023 45.133976) + (xy 173.239237 44.902926) + (xy 173.219023 44.671876) + (xy 173.158994 44.447847) + (xy 173.060975 44.237645) + (xy 173.060973 44.237642) + (xy 173.060972 44.23764) + (xy 172.927947 44.047661) + (xy 172.927942 44.047655) + (xy 172.763943 43.883656) + (xy 172.741535 43.867966) + (xy 172.573956 43.750625) + (xy 172.363753 43.652606) + (xy 172.36375 43.652605) + (xy 172.363748 43.652604) + (xy 172.139725 43.592577) + (xy 172.139718 43.592576) + (xy 171.908676 43.572363) + (xy 171.908672 43.572363) + (xy 171.677629 43.592576) + (xy 171.677622 43.592577) + (xy 171.453591 43.652607) + (xy 171.243392 43.750625) + (xy 171.243388 43.750627) + (xy 171.053409 43.883652) + (xy 171.053403 43.883657) + (xy 170.889405 44.047655) + (xy 170.8894 44.047661) + (xy 170.756375 44.23764) + (xy 170.756372 44.237646) + (xy 170.751055 44.249049) + (xy 170.704881 44.301488) + (xy 170.637687 44.320638) + (xy 170.570807 44.300421) + (xy 170.526293 44.249049) + (xy 170.520975 44.237646) + (xy 170.520972 44.23764) + (xy 170.387947 44.047661) + (xy 170.387942 44.047655) + (xy 170.223943 43.883656) + (xy 170.201535 43.867966) (xy 170.033956 43.750625) - (xy 169.971722 43.721605) (xy 169.823753 43.652606) (xy 169.82375 43.652605) (xy 169.823748 43.652604) @@ -50950,7 +52609,7 @@ (xy 78.592766 46.429403) (xy 78.592769 46.42941) (xy 78.687415 46.593342) - (xy 78.770386 46.68549) + (xy 78.749051 46.661795) (xy 78.814077 46.734014) (xy 78.967213 46.845274) (xy 78.967218 46.845277) @@ -50971,15 +52630,15 @@ (xy 80.247127 45.692842) (xy 80.152481 45.52891) (xy 80.025819 45.388238) - (xy 80.023182 45.386322) + (xy 80.025818 45.388237) (xy 79.872682 45.276977) (xy 79.872677 45.276974) (xy 79.699755 45.199983) (xy 79.69975 45.199981) - (xy 79.519924 45.161759) + (xy 79.553949 45.168991) (xy 79.514594 45.160626) (xy 79.325302 45.160626) - (xy 79.319972 45.161759) + (xy 79.292845 45.167524) (xy 79.140145 45.199981) (xy 79.14014 45.199983) (xy 78.967218 45.276974) @@ -50999,7 +52658,7 @@ (xy 78.580766 43.849403) (xy 78.580769 43.84941) (xy 78.675415 44.013342) - (xy 78.794402 44.14549) + (xy 78.707376 44.048838) (xy 78.802077 44.154014) (xy 78.955213 44.265274) (xy 78.955218 44.265277) @@ -51020,7 +52679,7 @@ (xy 80.235127 43.112842) (xy 80.140481 42.94891) (xy 80.013819 42.808238) - (xy 80.013818 42.808237) + (xy 79.980929 42.784342) (xy 79.860682 42.696977) (xy 79.860677 42.696974) (xy 79.687755 42.619983) @@ -51108,7 +52767,7 @@ (xy 155.87074 41.210311) (xy 155.776095 41.374241) (xy 155.776092 41.374248) - (xy 155.730899 41.51334) + (xy 155.747325 41.462785) (xy 155.7176 41.55427) (xy 155.697814 41.742526) (xy 79.903992 41.742526) @@ -51138,7 +52797,7 @@ (xy 78.687414 40.448911) (xy 78.592769 40.612841) (xy 78.592766 40.612848) - (xy 78.547021 40.753638) + (xy 78.55583 40.726527) (xy 78.534274 40.79287) (xy 78.514488 40.981126) (xy 65.696674 40.981126) @@ -51172,7 +52831,7 @@ (xy 144.727092 39.951803) (xy 144.727095 39.95181) (xy 144.821741 40.115742) - (xy 144.894883 40.196974) + (xy 144.927642 40.233357) (xy 144.948403 40.256414) (xy 145.101539 40.367674) (xy 145.101544 40.367677) @@ -51236,7 +52895,7 @@ (xy 138.37574 38.872311) (xy 138.281095 39.036241) (xy 138.281092 39.036248) - (xy 138.247824 39.138638) + (xy 138.222934 39.215241) (xy 138.2226 39.21627) (xy 138.202814 39.404526) (xy 65.696674 39.404526) @@ -51375,4 +53034,10 @@ ) ) ) + (group "" (id 05c0bc17-a3f4-408f-a451-a30a7288de61) + (members + 041def8b-7d41-4889-8001-9c164de3f0d2 + f12ea0f9-8c4f-4f76-8817-20b8eb2c3b82 + ) + ) ) diff --git a/LCB-CTB-01/LCB-CTB-01.kicad_pro b/LCB-CTB-01/LCB-CTB-01.kicad_pro index aa5ae1d..0a57496 100644 --- a/LCB-CTB-01/LCB-CTB-01.kicad_pro +++ b/LCB-CTB-01/LCB-CTB-01.kicad_pro @@ -73,7 +73,7 @@ "extra_footprint": "warning", "footprint": "error", "footprint_type_mismatch": "error", - "hole_clearance": "error", + "hole_clearance": "ignore", "hole_near_hole": "error", "invalid_outline": "error", "isolated_copper": "warning", @@ -87,18 +87,18 @@ "missing_courtyard": "ignore", "missing_footprint": "warning", "net_conflict": "warning", - "npth_inside_courtyard": "ignore", + "npth_inside_courtyard": "warning", "padstack": "error", - "pth_inside_courtyard": "ignore", + "pth_inside_courtyard": "warning", "shorting_items": "error", - "silk_edge_clearance": "warning", - "silk_over_copper": "warning", - "silk_overlap": "warning", + "silk_edge_clearance": "error", + "silk_over_copper": "error", + "silk_overlap": "error", "skew_out_of_range": "error", "solder_mask_bridge": "error", "starved_thermal": "error", - "text_height": "warning", - "text_thickness": "warning", + "text_height": "error", + "text_thickness": "error", "through_hole_pad_without_hole": "error", "too_many_vias": "error", "track_dangling": "warning", diff --git a/LCB-CTB-01/LCB-CTB-01.kicad_sch b/LCB-CTB-01/LCB-CTB-01.kicad_sch index b82f333..3a10894 100644 --- a/LCB-CTB-01/LCB-CTB-01.kicad_sch +++ b/LCB-CTB-01/LCB-CTB-01.kicad_sch @@ -6,8 +6,8 @@ (title_block (title "LCB-CTB-01: Test Board") - (date "2024-03-02") - (rev "1.0.0") + (date "2024-04-05") + (rev "1.1.0") (company "PADERBORN UNIVERSITY DEPARTMENT OF POWER ELECTRONICS AND ELECTRICAL DRIVES") ) @@ -145,84099 +145,23877 @@ ) - (image (at 82.55 161.29) (scale 0.127435) - (uuid 830f2019-239f-4565-8ca2-f3b542716dc1) + (image (at 102.87 88.9) (scale 1.8315) + (uuid e8d12ddf-3cf2-4c22-afc9-17b48585f29c) (data - iVBORw0KGgoAAAANSUhEUgAAKT4AABjFCAIAAAAqFrUyAAAAA3NCSVQICAjb4U/gAAAACXBIWXMA - ATNsAAEzbAGXq37TAAAgAElEQVR4nOzd2a9s2X3Y9+9vrb2r6gy37+1mU5wkWaJkwooSIYEtiRQ4 - irLGxBHiBwNBgDzkr8pDXgwEMGLACIJYIilR4iRrRAYFkZU4juVIsin2cKdzatp7rV8equrcc5tT - N9XNvk19PyicU7X3rr3XWlWPG/WNzESSJEmSJEmSJEmSJEmSpHecDkB0YoKe0BmgQjnsLxBAdnoj - IMbNdne2XEJnnhmCgGBqLeqwbX1VFxU67CFghN2+xaImM+zPmdlMPLpi19isKZ15x3b+05//5fe8 - sns+IvsO2uscewYtAGoSeRgoJHNhWi1f7W19cfmhz/5zxiXLCsHZHcoLXFyySkpjrEnftPl8XDIH - mYzDDMB27uNQ5uxnkdAPp6+U4DCxcpj1YXUS4rCO2clyWk8apVHyNJ8CAwwd+vGNLahvyocoSZIk - SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkvdnCdLckSZIkSZIkSZIkSZIk6R0pIQ+p6Q6Z0Ako - hQLE4ea4ADp5qFNXIoA+7cpYaY0IaklKP2Sq29w2u/PVit5JmHYEtA4zObHd0vK/+/lfvPP46vtW - izptWa/fVcvl4+29q+kis5CnnPjrGHvQA6DkraEmrbAhtsOwWY6784tX5tYuL+bF4q+20+b8hf/6 - s5+hdFYDUajBstADBkplVR7tdufjxVDLHAADHIvmSWttKJUepcRxBHHqhd+MuZfjEsZhJuWwxofd - FephwYHCTW1ckiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJetaY7pYkSZIkSZIkSZIkSZIk - vWOdotc3helDy/pU9S4EDZIeh/J0n6klSXqPUqH0XgJaY+7TalnpE7uJ+9cwk1dst7/x6f9sef/6 - Ylwssy2h7vdnhf3m6nI1Trste959Z9WvtuMhHv7Gxl6IHk+/K4OMOmWOF3devnpc6llZnq+32+Hi - bNuneVnXJWdqW8/7e3c/+sVf42xBLqmVd58zLJlHtq0txjIQMwAD88SwoCUUsrehBHSepM1LoxSI - m+x4Oa1tPx1Tbg7vZD9Vu8vpUEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJOkZYrpbkiRJ - kiRJkiRJkiRJkvQOdax1v+Y2uKA/CXlTGjQoMCQ57/s4JD1bH+vAYd8MvdG25MSDlxjG//EX/9Py - ytfuXsTFo6sfXJfn92WcG7Xu5quRCpn0ulwy7zNz31kuY9rn8B2ku4+jPW0JgEzquNxPuzEWEQNl - nOdtIWHe0hdnY2ss2vLBEP/6crh67s7D9Ty/+O5/+Pn/id3ExfPkwGpkGBhGAmYYAbIw0QsEvXKq - nlOIcmx8P4l5n9rn/RT0Pta7+2mMHQpU092SJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEl6 - BpnuliRJkiRJkiRJkiRJkiS9Ex373I0BqKdb4TLYQ0JAhQGABjfHbIOAhFWD6x2bDW1mv2V3/c8/ - 9bH3zPML0bm6ulwM83a36DHs897qnO3+EfOdxXLuPed5sTzb7NZL6o5WSo1a5nlaZbnd4X4dbrrX - /RjEDjJoyaLW1lrrLCg7+tnybN5tykBUXt1xd2CoZw92m2kx7mJYLi4eTlN77u4rtPuFX/nCb3Be - uDhndbedX1baYa2urh5fXN7ZE5UhGCqnvvnhoqdXFU67OgXo3NxmGLmDoC+ALITpbkmSJEmSJEmS - JEmSJEmSJEmSJEmSJEmSJEmSJEmSJD2LTHdLkiRJkiRJkiRJkiRJkt6pEho9oGY5vg52QTslqIfT - xoRI6LDeUSrzxH7HdvPf//IvXl49ete0v7e+vrvZvCdz2E0NhkqUQgbD8nq7vqgjQ3202y5LLT2H - KFPOi2HZI7OWR9vtxTgOU/tO091kdE7pbgrbiTurSk/ouz2LSu9ksO1crgqtX09cnK363CJKn3LO - Hgz71fhy9Ifnw4OL8pdnq//yS78HwdmKCkOhVuo4MQQjlApxSne3oHEsdFd6PazVaVTBcV8GOwBW - xy0D8df59CRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkqS3hOluSZIkSZIkSZIkSZIkSdI7 - T8IEeexzTzDTIQeiUsrhxrib5vThxbSbx0weXvPqg899/KMfyCmmh8O8u7NaTI/2d8e6nClTGykz - fSjRKeveBuJsubzebUdiMY70nNo0RmW53G7XwDiUQ8A65jfU7SZvda9PqWyAUgp0YNdIWF2Utuvz - zHIIos7T3GA5jvtp2sBqjEjanEMpc88c61TLo2lf71682nNz587X6vgrn/9Nzs64OGdc9OV5LwNQ - T5du0ACo9KBBFG6S3OVmCRt0SoeAZR6D6Ka7JUmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS - 9Awy3S1JkiRJkiRJkiRJkiRJeue5CU6PEDkTEwmUY8v7EJZOaJ3WmPe0iT6xXv+zj/3sBx+uP9T2 - i4evNlgs2UycLdhtGWBYrOb9rsYIfZ9tXC12230hF4vFfr9fjsvdtFuOwzTPLVkt6zy1ubMamOcn - MezXI4N+08fOY7r7oEMtZd/7UCgj2x21MAzs9yzH5TTtxmHR5n2DelZ3uzYUCrTGcrmYt/uEcVjM - 874WHiaP71z8u9XZXz3//D/49c9wfoflksXIOFBq1tKgw6nVPVdOCfEoh6EBCR3aKZQehwFjt1uS - JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEnPKNPdkiRJkiRJkiRJkiRJkqR3ngTo2Sm9ABRa - tlJ7EORw7FHv9rSJ9RWPH/36z378XftHd/e7iz2XU55NjL23QgsyAIYOSY9C1pIlshON6GQp9MNF - I2mFVuhBQCQ1Tx3rPI7p9Y4/mAoZ1CQOJ8ly2hmnc3XguDmJJIMWADUBpvLkeQugjI04DadHtsIc - sR2GzVCvh9X1sHp5dfbzX/wt7lxwPnBxvoGYWTESfY5pKIV1UhcMZH0yGmCGgNoBWnmS8ZYkSZIk - SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZKeNaa7JUmSJEmSJEmSJEmSJEnvRH03bZfjirkww5I5 - APo8LaKyn9ntmfdsr/7JJz76t7e7922vn7u+Xs0A5dD95ljCPtxFVxIoABklS9Ahb6LdB0+lu5OA - sRM3d+G9CenuePo0SfQex+FFloRWAOJQ9YY4TacFPahJ6eWQ/m4lgNrzcHCLsqv1/vLszy9W/9/l - 2T/68pdYLjk/o47sktWCMXtmmSpUBnadTMbxmAY/9sRnDm30ZrpbkiRJkiRJkiRJkiRJkiRJkiRJ - kiRJkiRJkiRJkiRJzyrT3ZIkSZIkSZIkSZIkSZKkd548tLd7GyJJrtfr1eVzMywTrndsNjx49Uuf - /sTz1w/P1lf3xuV8vb0sy5oEU9BrQlDymOZOSosAahK0cuvGun5qe0eWm+1PctpPYtvHQvYbmELQ - gyfXOqW7DyfPQ4kcMhKIjLGXw8VboUdCr8fmN8Tx8od31YSkMUKp2YMOrRXmyr6ygXju7r/f5kur - y5/7yu+wqLz7BcZhjqkOy7lFxlBO0fLDmGpC72QnIQoDSbHbLUmSJEmSJEmSJEmSJEmSJEmSJEmS - JEmSJEmSJEmSpGeT6W5JkiRJkiRJkiRJkiRJ0jtSQuttKEk2WmeGbWO7o+3/6ad+9oOvvPT+q8fv - HWpfb1gOcyu9D1CCKWI6xq8BKAlZplKh18xCJwCik0Er9GOlm9JLcCp5Hw6iHCLiRC/5huvdT+Zy - OFkWoEfwVLobIJKxc7h6K9lKB4ZOgZuJZNAOBwNJ5k26u0HPQisAtTN1yrB8tLrzrxb1qy/c+9Uv - fI6zJePIUDlb7RgrZb/jbMG+UQcqRJs53HAYUA6zH76zyUqSJEmSJEmSJEmSJEmSJEmSJEmSJEmS - JEmSJEmSJElvKdPdkiRJkiRJkiRJkiRJkqR3oKTvtmW12MM4b2I38e9f+rVf/pX3XV8N61fvZd6Z - YrnrQ8tCC2KiV0Yoh9A20VvQSwJjoyYJPWill6QmkRC3YthJOcW8e8Ap430IZ7cCZM0nOfDvcE63 - At7AocF9vFxm0A9Xb+VmI/VWvfswhZshRJaSHGvicTwAKNQs455y1ed+efkg9/ux3j9bffTzX+Ks - 8oF7bVhGG0ss2SWLmCudvqAf093JKVw+HPPlkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJ - 0rPEdLckSZIkSZIkSZIkSZIk6R2od/Y7opPJZsPLr3zxH/zqD/z5n793uz4f2O+o0KDDalj2eV/G - Iad2SFgfYtstyLhJd5+q2MHtdHeLQ5absRMJeSvdnadgdjDdPuYNKBCnoHbnlO5++iTl1vPjIJ8E - xTmOlpvBUA4HRFLoh7MdXt6cMCk75nE8j8r1dn2xWrbtbr1cfu35F/9kOfzqVz7H5TnL56hLGBjr - HHT6eJw3UMjTwEx3S5IkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk6dljuluSJEmSJEmSJEmS - JEmS9A7UJnbXPL7i0fqzf/8X33/9+MX1+rn9dEbrc68QywXkbp6is6gxzTlQgIReeguIY367JEAP - khL0ciuDfSh8A0OnJjfxbG6lu1thLqdj+uufwKHbXaAfBnXcfNPyviUDshwy2cfQd3ntlUoSWU4H - BCTRe1CSw19OUfBYrXK77ZCF1lmuFvN2PwWbMmzPL+/X8z87X/3KH3yJ8wXni/3yolLqkzHTTmOo - lrslSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZL0TDLdLUmSJEmSJEmSJEmSJEl6SxzuTovj - vydB6psttw56zVuf7lJnOR6Tnd6YZ6aJ3Y7N43/66Z/7wQfXf2tqq/uvXMKwXO52u2Ud5zbVEo2c - kqFQGrXWbAlk9B5kANRDfjs5BrmzFHqcBpqUHnFId4/9yQwy+pNZQCu0QsKiPdn4OnzLdPfTAe/D - aA9l7tv7DnM5nq6X16ziYW/p5Um6mw7MMA7MM8NYc2oJpVZ6z8xO6fXyaxfnv38R/8UffIWLC5Yr - FgvqCMPhyi1oACwAevKkIl6O/49x89e/FpIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSdKb - yHS3JEmSJEmSJEmSJEmSJOnNl9DoQal5bFEfks8FhgYJFeBYga5kEElPetsNY02yZRtiIKEPJAyw - 3dD3XF/x6qtf/PTff9d2d3e3P5/bau7L1mr2147h1LJ+TVH7G24/5bFfM4tjfzrgtUHxp9/Yg/qN - 938LN3HrN/TOcvv4bzbHm72Rx7/fVpShkZve+uXi1aHcr4vt4u5HvvAF3nOXO5fE8lAMn6ZelqW3 - /Zgzte5imKmHCHmBYD49Kcfm+pMLvJFZSpIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSd8p - 092SJEmSJEmSJEmSJEmSpDffKd1NzXKT7p6hntLdOQDEDEClBQX6NNexQG+91VLnNg91QS/0zrRl - 3vP40T/+yZ/88evND039Yrddtva2zvJ7SoNSh02f68h2z+Wd881++It7z//Y7/4Wlysu7rI8662V - cUwyotETci61UQ9R9rbbXiwXp7J4IQsBh3Y7ENa7JUmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS - JEmS9N1guluSJEmSJEmSJEmSJEmS9BY5JZwBSOhQ6JEQ7CBg0SFLqzQYaIWY9vtKLXUkyMIEBYb1 - fXZbXn3wxQ9/9G/P5cWy2L360ln0mqa73zQd6rDYzNNiOc67/QRnq9VfbLfbF9/7p+fL//yPfofL - C84udlnq1Idh7FMrtVIg+m6/Wy6XzIXWGCulJ51jqrvQCgnVdLckSZIkSZIkSZIkSZIkSZIkSZIk - SZIkSZIkSZIkSZK+G0x3S5IkSZIkSZIkSZIkSZLeIsd09+k2tX473b0HYNELwT5Kp4/0bPNQF0yw - D2oQEJ2+Zv34n3zqkz/08qsfvL++u9lBLBhgf7qE3gQNhnHVk+28PR+X2fYTubg4e3Q1ffXy8k8+ - 8OKvfvbXWN3hzl3GkRgokOR6H2eFApn0kTkZggoxNxKoBH0goZjuliRJkiRJkiRJkiRJkiRJkiRJ - kiRJkiRJkiRJkiRJ0neD6W5JkiRJkiRJkiRJkiRJ0luqH25T6/SESkZyDDkDPSisKQ0G+tjbMAVl - wQTAbsduzcOXvvBLv/Diyy+/ezc9B3Xal8ghyPa2Tel7UYky7Po+htpaG5PhfHG13e86q0K5OHs5 - 4+XF2YPzFz/1xS/yfXfms7P9Ls/PCp1smxjH3lvrZawjHQJKz+iN7MTIYLNbkiRJkiRJkiRJkiRJ - kiRJkiRJkiRJkiRJkiRJkiRJ3zWmuyVJkiRJkiRJkiRJkiRJb42E4JDu7vTDpgKRQBCQ0AuFfTBD - hQFqwm6mFR5dMU3/wyc+9sHN4/c8fvziPJ8F691mObKbOB/J6W2d3fecqOO+TR1W58v1elegLEoZ - IltrM9FgPHslz/70zp2f/eM/5N45wxnZWFZKn9scdTH1PpahzgBU5uidnpRKCSiHr4MkSZIkSZIk - SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZL0FjPdLUmSJEmSJEmSJEmSJEl6CyQkQJbeIekB9cnO0ihx - CHUfot6Fbd8NdYQybNbcf8T19R99/BPvXV+fzfNit4+2H2E5lv3UF2cxbXJ4m2b2vWoHq4uYd9lb - IYcahZj3fc4KcD5Ea3XH2YOzO3++XPz0b32O58+4e0nJvLgzUzvRYIDFDDAPzBAQUOkFgvL2TlCS - JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEl/Q5juliRJkiRJkiRJkiRJkiS9BQ7p7qBFb1Dp - FcgCEKVBgwLDId2dEJ0K2w0k15t//JGP/Njjxz/w0svvydz2PFuu+jz3NgfUkWliiGMaXG+OIAbW - E2OFrMEyksxdHdnM7fyyXD/uKyAWjzPn8fylexd/cmfxD7/8Be5dsjybWPQ6dAhYdYC50KFCgbjJ - s0uSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJElvPdPdkiRJkiRJkiRJkiRJkqS3RpLBng4s - IBJaASjH/5GUJJMoQKN19jtefvmzH/2ZH7pe37n/8P0XF+3qOsrxbECLAgS9JOHtb2+igCCDBj0r - DCVLzQ5zKwm9JpEkpRX2lfVQ75fFV9/z4se//Js8/zzLi7ms5t5rKdHbUCoJ7Xjmnq0M9W2eoCRJ - kiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkv7GMN0tSZIkSZIkSZIkSZIkSXrzJXRokFDpA9AK - HYDK1MmWi0UQQJ8ePhyXKzY7Hjz64kc+8qObqxd267Poj7b9TiE5drs7pUUANbPQTXe/ubIA9ONi - 1+jl0EzvQPSSGfQMetAKCXVY/Bnxr77/vb/8pS9w55LFisV5oyS0aT/ue1mu2Ow5W1CgvH0TkyRJ - kiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJ0t8wprslSZIkSZIkSZIkSZIkSW++BhMAI9QEOnlK - d8fxMbc5hqxtz67xysMvfPIXPvC1+8/P0/m8qfN6CHowjjHvI6lE75HQS1J7ieN1+tszve85SelU - ohcacdxEVo7Z7R60jN4K/bT0NPo43h/O/uXl6tN//Ls89xzjeQ6rfevLWmgNIKI1Sh0oxDe5tCRJ - kiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkvTmKm/3ACRJkiRJkiRJkiRJkiRJ35sCyqHb3SFP - rwtzy94Bhtpq37B5zCsPPvezP/8jf/kXH7x65fnt1XnGsgwtGcfY7/J4uiwloyQBxKHYbbf7zVXI - gTzeWNgLRIMGc9ATehw/SaAmJTnr7fvW139nvftnP/UR7j/g+jrWV8toPae2uSI6JRmHzWaK/BbX - lSRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkt5MkenPYUqSJEmSJEmSJEmSJEmS3nwJcdPt - rp0AymFDoce8ZntNzDzY/ouf+vkPfO3l99Xtou3pZep9XFy0adrm/mKovTWArDAAMEe04wX0pjlU - 0QvQo7XSCcabNnrSqUnp0YlWoSSlluupL4J+tro6v/N/kx/5nX/BvUueP2McaUGpuygznLWxzLCA - eBsnKEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSpL8pTHdLkiRJkiRJkiRJkiRJkt4CeXoA - AdEJGiTMzOP6qmZhfc3DVz/7c7/4E19bf9/mOpg6NFgux8e76c5wxlinzdVwPF/p1ALQKS3SdPeb - rhxj60Er7Zukuym0So8kYmAxTtMmk11nXxd//sK9/+vOxT/6vS+zXHJ2QZRHNRexWvUkg2K6W5Ik - SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSd8NprslSZIkSZIkSZIkSZIkSW+Bm7R2Obzu0Gfo - ZKEP12te2n/mZz7xA7urFzaPn5uvLjLJoWXfZBuGWA31ejOPMAKUjD4VMqhJ5GHT8YnePAXIOPa6 - e1BurXCnZPR4svJlhi39zuXF9up6dRYdHvXh1Yt7/2Z179O//Vu8/91cLvdJDcq8iVqI8ebbIEmS - JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJL11THdLkiRJkiRJkiRJkiRJkl6PY9E5b9WXA0iI - frM9XvOOoAUJA0BvtMqezZ5H68/9J5/48avdvetH530TdWqdfXJ2cefR9ePFgtoYC32iRGRGwlT7 - U+nuXuI0pO+CPE3s63vh32xXxl8/Lv6a0PVbPd8CPQPi8JGSAVkCEnrp3CqmJzXrkCVa32frY1Bg - Svry/Gvc+bcvvvtjX/oNvu95VmMvlNIAiKTEcVL98IXpp0nGUxMs+ZrvkiRJkiRJkiRJkiRJkiRJ - kiRJkiRJkiRJkiRJkiRJkvS6me6WJEmSJEmSJEmSJEmSJL0eMzSgsTx0mCvUww1oMQGNCqUmJFkA - Iju0OepMKckYBBPrK155+Bsf+/gHX3npffvpnJz2fWQgIrMn+ZpE9I0MelBOG//aVezXowAZHejx - ZNPx0vlkVEmBXvNUm87TaPvtnPmpSx3H2vdTU3hqOjfF7tfUq/Otr3d/U68ZcyvMUTIYej/01DNo - QYvaWN5fXf7ZnYuPfvm3ec9d7pzNfaDUnlONKBlARDZKozQYIQ4Ly0R2oiRjP26RJEmSJEmSJEmS - JEmSJEmSJEmSJEmSJEmSJEmSJEmS3rDy7Q+RJEmSJEmSJEmSJEmSJOl4v1lJKFB5UtGGgCiUyjFB - 3Q+J6ei994GySGoQ08wrD3iw/uInf+5DDx//UFD2LVsHiJizHULYtVP7NyhzRx63x9dVvd8yAXHs - kL9+eaxck6VHHE8CUDJu+tbHRwYJCYddxzee1o/TztPzt63bDd9o2aMHPSCSpHRKD4K27Nt7m0cf - evjoSx/+KI+uWG8GEqgxBhFJkECQ+/1+fE3a/Fas2263JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS - JEmSJEmSvjOR+V36BVNJkiRJkiRJkiRJkiRJ0jvXIRydEFA5vShk0KFA3Ow+RKkBes2IOcicynac - J/7q0Zc+9qkX//Ivf2Q5zptHSxgWZb/vi3Fo0/wGE9nfBRU4lLOTwwy/vhpevj4yfnvvTZc6oQcZ - 2YO8darD+2/e8/WnI47x7u9WsPx1yUO1G0ovQA9aAXoGEdF6lHrnwfm9/33gF/7wK7z3cj8uSjsb - ShCd6PN+Nywv6NDgmO+egaQEhZuZmu+WJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEnSG2e6 - W5IkSZIkSZIkSZIkSZL07SVMUGAAcqYnQK2N0qBCbceYN6UDh+1MbbFv1KBtePzo13/ip398vfv+ - SK4eFApj3Uy71TBG6dO+DW/rBL9entLdT/ejD6XyfnpZbh3Pa1rTt15kEj3yULw+pbsLN+nuPKbB - b6e7+9MXrp1nUklohR7H8fXCNHFeVtd9vLr3wv+2jF/5P77M3QvK8wR9uy3nA1HoQQ96MuRh7sTQ - Dhn47NCJcnt5JUmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSpNfJdLckSZIkSZIkSZIkSZIk - 6ds7pLvrISCdE2WGQoyNMkHAEjgUl4EcCNZTWy5qZebV+zzcfO7vffjHpu172367vl7BuLqYt2so - Udj1tlrARDwzd7Rl0KICkZR8KsINcex0w62G95PE9zeYRUA+OeDQ5C69PJ36zltHHwrfx+2dKGTN - /uysD5AUIIiEHsf8dgaxoEQp8/hgvxvLvZdevPeVs/1/9Ue/T32+PX8Ryb5N4zC2nrS+GIPeAKhE - aXFId8+QRDXdLUmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSpO/A8HYPQJIkSZIkSZIkSZIk - SZL0zlCh5E1guhAlKXmqKzeoceh2c/h7Plbmxrxmv/vyxz71H67X99pmP+3vLIM599vrDqvlctqt - a/B0D/vt14MWmRGlA7fr3Yf/kYfRxmvfGLcC3If2duc4uXLYm9QEyOhk+fpz5K1TZXyjazxj4vDF - OAy4cL1hpj+/2N1bnuVus/ra9sdePOfBY979XN1ObTXGMG6zD6UkmUTEIXt+rHR3qM/6jCVJkiRJ - kiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkvRMi8xn6KdOJUmSJEmSJEmSJEmSJEnPridN6Q4kZQ/A - MgHmmCGHY8e5APQ5rq64f/W5D//Mhx49/P7om+3VxbLs131ZgOg9IUqUWC632/XybZjSNzUXdgOd - MnaGTu1xOyed0CM55LfjmOsuvcQxs53QM+hBKyRkEElNaj8efNh7UE4LG7du6MtvEQV/BtyMv/Ry - GmkHCMbsI1IAACAASURBVGIV8z4zGRfDbjuXxeoR8a/v3vmp3/sK7373NAzT2WWHAgPzAshySJgf - YucdyjF3Xt6WqUmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJOmdzt+1lCRJkiRJkiRJkiRJ - kiS9DqdudAaN0igNgHrYlQScwtV0iNZju+bq+vMf/uh/tJ1e3K379dWisF735Ri9A1kKEZmZu+16 - FeMzdUtbQCSFHoci9WGSxydAZtBKP+xu5RuUto/ymKPOoAU9yCA5xaq/+eWD1z6eTb309nTVfNrk - UIjOvJ/HoOx37+r5gcdXn/nkp3h0f0zOsw2NAQq1d6DczLBD0hulPwmaS5IkSZIkSZIkSZIkSZIk - SZIkSZIkSZIkSZIkSZIkSW9MZPrjlpIkSZIkSZIkSZIkSZKkb6tDg2gMDToUWBx61gGZRGTvcdhR - O9cbXrn/+Y99/IdffvTe/e6s7Hf7eaxEQCMSOOWuswQVgMOJnwl5ymxHUvI4YCjQZyg1GOpmNw8D - w8B+z7JS5jpnG8dlm3Z1GGhtm7kY2PMk7F06JcvhnI1eqUAhkt7ph5p31Npaq0PJuU+wGKEx9+Ma - PTsOSzQXgMWtz7QHHDLst9ZtW+sri/r/vuuFj//+H3D3HuMFAcPhy3M8YQtuevDlGa6VS5IkSZIk - SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk6RlX3u4BSJIkSZIkSZIkSZIkSZLeSQrUr88q904SFCq0 - HS+9wsNHX/zUJ3/00cO/FZR5zdwqVOjzU43nTulB0p+daPdBJLUzdGq/6U8DPYNxqL3nejdfnC1q - MO2gM01M2cYy7KbdBGTPzNVikTO1Uzpx7FiXQxG8B0MMZRhnWqclWRerGaKOc2sd5rlHLYvl4npi - 7gzPWrib28tyzHU/5Um3m4Qxp/dtth+6evw/f/Tj/MVX2e1oSdJmMmhBCxLK6XH75JIkSZIkSZIk - SZIkSZIkSZIkSZIkSZIkSZIkSZIkSdIbEpn+vKUkSZIkSZIkSZIkSZIk6dtI6PRCiQ4JlUZPekDN - 4aa7vWW9mvZ89dFXPv7JF//dv/nh1ThfTyuiDot53g3jME9z5VBrLp3IIJKxZzxj6e6jU5E6D08S - oBR6pywW024f0GFxfjZtNlkDKKUMUeZ969kTllEPd+md4tZxOltma0MQY7R91sXAPG86S0ojx/OL - zfqqEEmslmfTfl8LtPasrVIWpgCoSUnIcrPrpuWd0ErvwTK46twf7/w/73nfp/6X3+XOOXXVCz1o - dKBShvy690uSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJElvUPn2h0iSJEmSJEmSJEmSJEmS - BFACyMOjV/qxZQ05tzZ1sq+mmYdXn/vJn/7gK6/8nYvleD2dF+q42M27oS6IuOlYd6JHmUuZa/bS - n9FUc5Jw6Ir3w7CD3tkmJAWGMhRiu94ksZ8zSrbeNrupjiWC5cX5OhuZZJZO6Rm9R+/0TrZaiGC/ - zzqw38+tc7YcyhARsV1fFWIYhiCATU69vd1L8Q0lNSmHsvvpEwwiiCRaREIvvQcE25nLyg9M0w8/ - esTLL7HbknMEwVw5VcmT49cqv8VVJUmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSpG8lMv15 - S0mSJEmSJEmSJEmSJEnS65C3/sZMJASQ6xarsZVWHz7gweZzf/en/oPd/n19u1lvljCeL/brfaHW - iH3Oi7Hm3DplLjGXMpdeaGczQ38Wa80Z9KAFGQA1iWQoQQzTNFVKxBCL1fVuu1iUIbZ9oi6Z92wb - F0umiRpRkkignKrUZAGIGn2fZRHzPofF0PZzwB5W4zBN87ha5n7fezZYjEv6lK2/nWvxdfLU6u5x - /OhqElkgElqhBUFCrwkwwVgYsvwVw1+8/wf/7hd+k/ffnZfDQIWSUTulZjl9wW79lSRJkiRJkiRJ - kiRJkiRJkiRJkiRJkiRJkiRJkiRJkt4I092SJEmSJEmSJEmSJEmSpNfnUI4OiE52gCgADfqetuXh - 1Zf/3sd+5OX7L7TrnOazBXS2Mx3Ol2fTbhMw1LG31oMWMddspRdYNob2DKa7yyFB3aPfTndnZ1wu - pt0+xlUszr56/aBcPDcxj2O73mzrYtHGYV4srqZpGJfb7X5ZxjjmqLNk79GBDOZeIBZ1WNbat9vS - 293lqu+2wzQtgmmzf/flee52bWrjYpj3c307l+IbSAoQkNF7wGG9DrMkWqFFBr1A7RBkJYZo29zA - w8Wdf/ued3/0D36bu5eUJXVBDSjHqvmB3W5JkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiR9 - R0x3S5IkSZIkSZIkSZIkSZJelzzFuyudXoAsdCh9H4+ueHD1+Q//zI8+evwDZd5t1mdL9hsWDFna - nJlBKQzD+W67XjAmHbKXngFB7UQ+a+nuApGUHj0je/Tjayi1Xk8Nop+ffa1P24uzq9ViPYyPpjq8 - 8OJXt+v/5jO/zuWSoZDBONKD4+wSOnF4FMqSLLTO5ppSmKb/9hMff+8w3Nvv7k7zxWa9XK/fVYay - 3QJnY82pvd1rcls5tbUTyOjAMeDdSxymWvox5n04Lsuafrkcr3bTuDr7q2H5f56d/9If/j4v3uN8 - BYUAGtCoSanGuyVJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkiRJkvQdMd0tSZIkSZIkSZIkSZIk - SX9zff0NZK+NJedx06HbfXhVIDoEc1Ah2ob7j37jJ37qP15vLh7fX/SZgWnmrC5aazXIaHMAtMYq - xkygQ3vtxb6j29kyAOLbvTdP1/q2Rz71lixAD1rEXMqull2t22Foy9UVPK7l8Wr45c/8GstCLBju - 0YOLFYtK33Hnco6YiUoJqJmRED2jJzlRG3WGFSyys9vTGts9857dhjax3X32l37h3mZ/Z+rPUYbN - ZpXzcu5j7yWT6N9oLuXW8w7lFFv/VmvSg/qtjvpmnkp3Q894Kt391KUPn1EUKJveVqvVfrvZl3r9 - 3Av/6+XZL/3xH/Hcc5RKlKT3/5+9e/+RJT/v+/55nm9Vdff0zJzb3ngRRUsWbCe2IzA2JYsiqV3u - cnUBbdOKgAQBAvgPCxAgCBLYjiBEkSBSIrnLJZdXmbokUQRRpklxuUvu5Zwzl75VfZ8nP1T3zOxZ - rpRdcg7JM+8XCudMVVdXfetb9WOh39K2kD5+L3fXYvc+jX7hcU063wAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAANhpftQDAAAAAAAAAAAAAAAAAAD8aOT3CTuHv0kC2eSRclNJSUpXlaqi6Zfa - rHR0+725mp+82oa8NH2GPKMOLmWGUsUkqZEy6+tG8APxlMJCY7Paxp7194l5pyukapLUxu6jXQra - 3KKmmSJlLpNqqGm1HjSZRqwlucr0tJl+3WLx2CN/0/q//cNPqmtVGllq0qmY0uWN5HKTSz6RqcjL - 2QSaySQVk5nUSbH7t5qXyVQ11EyUVXWuImnz9B9/SdFqMfzPH3r6PQe6tXjt1nJxbT1MY9jEui1m - mTXUFFvVnDZdDIPLew3jIEw2TpG+/z2NMFVTmnycrrd2O2JXCt8+RJbbdXvjY5WSKTOqhflsvbFG - 7Syrn778WLev5SuaSt1+lOlGTUozSRq2x6+SYpfp9vFQIQ2SSe32NkZspx4AAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAACQZf6gP30KAAAAAAAAAAAAAAAAAPiJc7Hb7eebQ2+S7k75ttU8qK/Z - TmyxPJnPJlqc6vadT//Kr/zMN7/9zr52xTc1fDLr+77NKPmGivMP8xI8TGOv28cIdyqlMOmsWT1e - lSlN1WR5Id09HsS1CU1aZS+TrCsyi82wllaths5W1txNr/u3Xmrapz/9jJqi+VR7e8rQ/jxlYTZG - zd+sev7mQtKwzY6rbDcoXWvVqiyq00E66bVILVYaTn/nl3/xkcxHWh9eeemnrx/Y3eN2kKRSPMM2 - WSVN2olMm8260dgNz4uzZKmzG149xnR3F28j3f3WmdLU50yyNntTn64X9sv/8973PP27v68bj9SD - m2upDjooUh3U7NLdGSqSuXaV9nRtpLGArlQa6W4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AACcI90NAAAAAAAAAAAAAAAAAFfRWbq7jCvjq2R24d8Lu40fxrDumsl54LkO2qx1+/az73v/P1qt - h6PbD03azjfrpaw0Q42pZLqsdHeawpRSSUnnNe4x0X1Wp7ZUWlSXpJIqOTasy27nGiabtJtNP7Nu - PWxccmkt5Xzyiu+/sr/3zb3ybz7zB2pNB3P1rtmBrFUNTds0H+rQlkabjUon97fY7g5JVa6zbneV - JBWF10EpZafQcqOh0SbkLvXSqY5e+8RvfOz6Cy+++3j9aGka+elmvdd1VTlEn1VKNSZZCXnJejYD - Tahsb4jr7LZ6lEsMrN8rVcLGsHqGadXYd4Z84e//3ONf/fJqb9Y2rSk9TFK6YnurokouWZXSx+FX - l2+L5JKPO5DuBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgES6GwAAAAAAAAAAAAAAAACu - prHJ7WP0+qzO7ZJJptz1uy98GEVR62DpqireabXU8dH/8Uu/9L7vvvLw6iSbZqgbT+21imjdmhjW - l53uluT5um53yHMbcDaNL8lt94x23DMlFclTCovq2Uc0RT7I5ENpV5PmbquXy+TF9sa/fO457YWu - T7XXbsxcXcrqsp9O52F1U4dJKVkH70NtKy8yfyvXEDKlXNoNrO4G7qo2hIUri6SjhWb7iqJGKr02 - p7p9qpPFsx99+ubJ6eTu0UNt05wuOlO4ZpPSL2rXqh88TCVjlzNXE/LdDS3hpvMOuyzs0l8n9F0v - frxq2ybV1fzlwcE/+tM/0cM31TZqbOiz6dqzZ2/8t1GUKoVkLtvl17ex80jJ9FYmHwAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8u0t0AAAAAAAAAAAAAAAAAcBWdp7tj10Y2qYz1a2lX8R63 - V0mKqJvi5iZVVxTdXfzuP/7H/2yzun56NKtrzZphsXGphtq9/cXiZHYh1Xwpl2CS3tjtHovd8vOX - 41KSLOx1G9qU9660MIumpNZh89kLVS/ND79589p/86lnNDuQF01buaktkenN9mwmrVar6bSTQhlS - qqaaTm+pHp0h0/lX4kKqukhFsqiKtfpGTY1+ZhOpZEqDrKY0qJ7qzsv//smn/ovV+sa3Xnoo5RMt - 1jqc2Gqd3dlY8jxzHqZ1UZomg0p4mHalc5W81Hr3+EClLMYhpby6hRQRR/NrfzE/+JUvfkG3rmtW - 1HYpt1Sa+t3ENFIXodjW5eW+nStJrjTZ33p6AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - XB2kuwEAAAAAAAAAAAAAAADgKro33S3Jla5++6caXehu+1h/DqlKVZuqpemlV//6Fz/wjjsv7nV+ - uhlMmpkiVZpGtUbmZaeU0yTblaB33e6QSspTpnvejovztnNK8qoyuA+u5bAu+9Pbfb+YH76yf+3x - T31KB9c0nWg2l+2K0IPUqYbWNaxYU6w1STGsls20k6JGFn+L6e7zSPq2nK7cLSENG03a8Firhtyk - idxzG7TemFLq63CQa738iparLzz+1KOnJ3uL471+2JM0qPj2YlNSepg8rS+5bkLSZFBJpRTmSnOp - xFm3/TKcp7vH0+Tu2q00J5HH3f5fXb/2xJ9+VQczTbphqE2ZSBpcVUrJpU5x/qyOMxb+ulUAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABg/G1VAAAAAAAAAAAAAAAAAMCVFJJkPlaV07SRqqTx - 3bIxIL3rX5u5VDf9unST0i/10u2vPfXrjx3f3Wvbk816PpdJ9VRlNh2WK78vHWXbje1it3u3QXpD - hfr1LfFIC7mGUvqD638z3fvrbvpbz35W85maRpNGTSszubSsmhS1kikblcZTCmVmmKyZzFL1eOin - zdTlb++qz2Y6TG7KvralyDqFvGraNrfXp3uTg7U0Mylk/dBNmk0dDqzRUPTQT2uz+Rd/8n/p7tGn - /6uf/6ddzIdjDcP2HqTCVK2k3OSpwUPycEmmMCmjZPG8f+nrMPUuSU1ECfX95vpk2m3uvrIKrU81 - 61T6xtvx2WtCxbePZWqMfUfdHskb320HAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAdiyT - n6wEAAAAAAAAAAAAAAAAgKsoFZIsXVKaemmQUipSIzWx63hLKpJJ2UuhzUqni8/+8w/89LdefMyt - qZva1fVGU6l11cFC2ZYStd6HFPSu0X3e7XZlybBUmmSvy3f77o9qWhctmnLadrcns5e7+dOf/qwO - DjWfqS057ZTVzBSmTMmVKU+51jHIS1U0Ko3SUmaW8l4KxeQtp7t3Q5aqVKVBcsmlJuQp1e16unpJ - UpPyscntKZlqI9O6UZUmoXJ8pFePPv3BX7q+ePXRWN9c1ekgpaqpapJyU0gR3pvU5HYqUuoGN9mu - IX4pUh5mUroiTH2RpLaqhKxphmFoGvtG2/zZu3/qXz33WZVWN29I7fhN2TYtH1Iq4sIgXW7y8c7e - t/Q4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAfsyR7gYAAAAAAAAAAAAAAACAK+tN09Ft - ykLahFqXq1qkWZOp9Vp3j/+3jzzxz7/34s2XXzss1tesndLUVrVVSte9yezLkmNce3sJZqmxDO1S - mPqQtfJWq145qC0qqUbaVNle+W7bfsP85Ucf+80//Iym+5rM1LbqPIs2u8a3KYrCt03oVEoymVKq - 8thNXRlP/zbG/5bS6b79jiLO69WlkWtjClMrlfVSw0rrjRaL3/nQ47/w6tHDR3ebMqm1r6rdZLpZ - LxtTmGTyVNjFdLcur9stqZoPblI2GX725KUsFVKZ6HitfjI52j/42nz28T//Wh4eDPJGjYU0bGdg - MA0aXNo+Z7KQu7zQ7QYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMAFzY96AAAAAAAAAAAA - AAAAAACAH7GUJLnU7P5VSCl5SlEtBvOiVA2drHS8escLL93qF9cbqbVYZYbCXBlh8pSk6tIuzHz5 - XJKlPLf95l4qjcxUU8uFvNF06grLTT2VNvt733Z9ezb79c9/XgfXNZlpuqemkW/75ffMTEhFkmw7 - TykzL1LItSudW90N5P93QXo8su9WTGq3UXDFWLa283OO+6RJFjaeNkIa09VqpV4haZiUMtlLl0/2 - /vWzz3/iAx/6B+3cXn31lk/2p75cHKfUzYud1rPDbgPeLku5LvN+2fnlWqjYbhZMlqobzaftehi6 - o9vvsdBiadNp25XjzXLPJ6W0Yw7eTI37GIb3N04o+W4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAABIkizzfvwwKgAAAAAAAAAAAAAAAADgx09IGiPI2/BxSiltqpoy1qWzsY1ipWE/VJap146e - f//7/+HieHJ0W1IrdRMNm5JqwkJW24gw9S5JbajE5V5Amqq5ZCVk44BdVWpnWi3krtZlpTtabaYq - jfz48ODP9mbfuHnjf/jMM+oaDaHr+7JtdbvKxnB5GV+su5iCPnvVznTvdMXu0/LGoPSbj3yX7rY4 - vw/p6rd/7gLq2q4P0rDriDdSyZBCNh5GfWwa74YI97Zko1WVUutjHZ8++wu/8lN37zza9PXoaH9f - xyc6KLIYB+CeVl2bkp5qIy7vfqUpTJI83BTbaRy3FOWgPpVFfdEL070/u/HYb33pi7o5qW0rNUVF - 1WS2zZkrlOOkW5qHfBsdJ90NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAASeNvewIAAAAA - AAAAAAAAAAAArqILteMxiLytUJeizUazTmbLflnadqZSYqM7J7/9xEd+/uR47/R42jbSoE6rU3XK - kKTGUxdy0z8y4To61V6rpviwimW/Obz5rjun62PzF9rpBz/96Q8+fF0Hh+paScqUD1Kk5HJTKH17 - EXY+P/m3ZKFtN5M/SDo6pZCZWtuePCXz7ZHrhWlNqUpubtIu/x3KjKitt31fvWlsWpbLfnb9hiI+ - /Pwzn/3Ik6sXv/nOqa/6ONhTrOUmpTzNJEt5vsmofngst1nzsdatjLPp2vTqineKTchSN7L8veOF - bh+rizJda7bfK1qfqEq+q6SbFCaTmbbdbgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAGDH - f9QDAAAAAAAAAAAAAAAAAAD8KOVYQ87diiSTpl1anKxPZ203UXbLtU7WWpy8++6rN91qDjEM0Wtz - qmmr8EjvS1rJZvy6pzz9PgShJVnK8vxMIVUppaZ4vwrTZDp95Ot3T/9yPv+Td/30L37xK3r4lvam - 8kE2SFKmVKQiuUnKOE9nS2mqpmEXz7bcLpKqNJiqK4vUSOVtX8CF7HfKUkXysw22vRxJrTSTWqkd - Y9XpqaaqXac3Zb/YRFHa0g6rlUyzvVYh7d/So4cfeub/vHPrHQsdtGW+WShTVVK6aTxKtlVtxGXf - r3Hqcnc5o5C6bm9VI6RiKumTk83P9fGlX/6A7ixUXeo32aeFihRSPybN/eKM/UDRdAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAADxwLPO+/DYqAAAAAAAAAAAAAAAAAODHTkiqco3h6bF6Lako - PTaqJnUKLdaqrY6Onn3/+95757WDZX9zOl0uT2eNyZvlpvdGSpU6cUm2lm3j176rXF+eMa0teQkz - pRTVtW40mZR6XBubrmx6Z77/f0/yo194XvNr2ttTO8gGzaY1s1hXa5ZiZ7OxK0u7JJnSFNJZG7qc - Xc6ForYUto1t+1sY+fZ8Kucn3Z3G7t1tHEBRnA8lfbz8kIZQ4yqpYdU301YWkUMdsvVJDJmToaxO - 9cLdZz/4+K3Tkxvr5bVaJ7EZv30f7tH2QkySwnQxED7euwhzRVPSivqhNGVv1S++007+7LF3fvzL - n9N82BxcT021yYk3ktSM0xDKkC5kvAEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABJUvOj - HgAAAAAAAAAAAAAAAAAA4EdpLClXqezC0+laaJC8VebdU/OZjvr/6YNP/sLy7iO5Vqou1zPvhjqs - h750GiRPudYKKYul3Krs8rvdrpCqS4oSF7LZVetF7eSnactrB1+ftx/9yh9qLrWmNuSNvF1kuHUn - tZ+V1jUO1TWGwE1SuMIkU5ZtF3qXiM7trJVdRTtNdZxAvZ2EdJXczr4Zev1BbGx7n11whhQypW2r - 1S5NTMtltlOzWZtSKs2ibU0avPgmVdqZ3tF++Gtf1GrxyQ8/8U++ezRZayhLmdpQifMI+eUJUzVP - iyblOfbIS1Uji8b7xlUHDVXFct0fzybdI237M2vptYUOD4vyNNfTbk9Vw6pGU8aLby88Y/m2Jh8A - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPJP+7dwEAAAAAAAAAAAAAAAAAPJDSx7fI4uJG - 00Yydau67BQ2mWmx0OL451anN1fLsspZN+k1yEySm9zN87ygbHLJLd9OtzvHAvg9G01pqr794+LG - 7RlTloptzVqSmkarxl85vPbN69e+Oms+/LlndbCnw+uatWsN1bLKi3W9si3t+TBzW7CuUmpsS+/+ - V26b2boQiB4/VLy9YrRdeIEvLixvnJR7v3b+rRjPnlWzqZkppbrdq9SoKdWM1tosk5zt6dYNXb/+ - 0c8999fXD1/amy2b8vpDv27mxxk+m+fxFox34QfkF67IJEs1rn5QaSeT0qWia7RZb/z05Nbx8R88 - 9ZRWm5KxZ41LSjWzIimkQd6rGTvrP+iYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8GCx - zLf+86gAAAAAAAAAAAAAAAAAgJ90Z6VqV0pFYelKybQwuTRR2NBrudbRneff976fvXvnWq1dHZvc - Lm1T2Wfl6l3AeyxAv+Wacsqrm6QSabuvpylM1ZQmS3mqpM5O6il3j4h0qzXdVWRVuUq9dv3an873 - P/b557V/qLbTtJWnSnN2rovjK2cTYhdL2W9scl+IW1988273rbdRtX7jC3z3HiRfHwuXtCuU5zaU - fu+hTJIipSo3qWQoJPNta3y11N3bv/fhD/6Tl196bLVoQ1nlaqpyt8d22s/O7Kkw9UWS2qqS24C3 - vZWbPH4lTCV2q+m7AZ8dyMeHylLVPNru/z3c+6d//CXduKbZYc1Sytjq1mBaSybNFJYha/JtzT8A - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeSP537wIAAAAAAAAAAAAAAAAAeHCVs+bx+F+q - k2rf2xBaD9qs/5fHP/RT69NHo06GMc4tKaSwlKVKbJeLH729kcT2uNvM8xv4PTFtb9uTGtY2m5rd - tDRtkXQSunvj1tdn+x97/vOaT3Vtngd7Kq2sU7rkGoPWFxZduHw7X7Y7X1guuLCfzv9/y+wNy/fZ - 4569dXYVrjd8eBZSlzy2Pe+QYgxx11bam+nG4W/84e998+Dg5a47rvJi6tpekVLaebf7oot3ZBvh - fqtXuntazlcV43Jhr+1DJalkNMNq//T4tz/6a1qGFsvSePqYFleTaiQfh7G7BQAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAMCIdDcAAAAAAAAAAAAAAAAAXEnb1HNIgyt6+aBtALnZxLy0KlJd - 6/joPSen7XKjetnjiZJZVNPiYr3bU231pnpbrYQrfdzoqdz0nekkh9iz9aau1rVGDtcf+vJk+sSX - T44JUQAAIABJREFUv6T9Qx1ck1VTv+6X8iuXd/Yx2m0u374rWKVapGnRw7c++OVn//zW9eX+4arm - alhlyb5ENfWuajKppDwlqZqUaqraKk8pVW0b+b48aQrpWlPeeedYt+/KPTSk0saThiZSK1X5oEYK - ZdzbGwcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBVRbobAAAAAAAAAAAAAAAAAK4qC1mc - rW3/ylCRNiudnup08cmnnnjsZHFo7RBvcpAf1lhSrvCUTGkKUx1fcEs3WUkzydMkhdxSlgqpm07a - abNYZNepnTcvzaZ/Pu0+/pWv6Np1tTO1rbysh00zaVPD5V7AjxlTFEVRpCTzbaldGhThrqbRwfVf - /dzzL+5fW+3dqI27K03Vt0HuMdpt23q3S17CS7ilh41J8Es3hCZ9fddi9YmPPqXN2iNdtUYdL091 - KDloO5IxUn4fBgUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAICfAKS7AQAAAAAAAAAAAAAA - AOBqihy7zvLxXbIiKUMWKiFVLYbffvo3Hnn5lRvrZVujsfayXzmzlEwlJWlwDa6Q2/ff11MesuPl - ulkNByYb7IXBvvbOhx//2pd0sL9eD9qbDubHkdl0Ukp5X3rTP0Ysq2XdTqBJUqsoirC2TueaHurw - 1s8/8+xfTdp1Yx6qpmoqub0FYduMdwmVMJNJljKla9f2vlSTtpR1f3O5eOToSItTrVbqa/GyfQwz - FdmNz63GpwcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACQSHcDAAAAAAAAAAAAAAAAwNU0 - ho5DqvKQyphANsmzqlf0+u73HnvhpUf6YdZnW5qaw6WPybb95YsV5pSq5eBZLbejtqim8HD3g2lT - Qmr1vfn+f37okY8997z2DzWfN7eunURupM47V+k3mzdJgD+48mxxSTLJZIpGGiI26oZuJpvocP6t - R6/fnu8fSyn3lKU8FSZJYedL7hLvui/dbkv1fZ2azaPe6vvfefJXtVip7zX25i221xjjmHwbJwcA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABIdwMAAAAAAAAAAAAAAADAFZa7GrZKShkyl6wo - tFl88V997B8ulvPVpmtctVZdfq75ghIqsW1IrxutmxhKVI+x2dyX6Itk0a+GoerOZP/Z/emHvvA5 - zW+o2a/KlYamsSJNpC58UmbK9mq9MmeSXOljwLtKVaFMpabelF5NlSYz3Tr4zec+9eeZy2s3Qm1b - y5jlTlPaNtHdF/VFsTuq59gBv3SdKSNrxA2zd3zvFd2+Ow4iNMhCVmRFCktVeVWTV+r+AgAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4M3xO5UAAAAAAAAAAAAAAAAAcBWZJJm9/i2yKiml9VqL - 1fXXXruxXh5YU/uIYehKq22++RKlnSeiS8oUaXF+3rNktElSZG6a9tX9638xP/ytr/5H3XpI3mri - KqWVm4aIpSKVMrlC9zc+/mPATWWb2N5eum1XulZ10CBlN9F89q//+I//cjK5O5n03oSKJEtZSqY0 - hamatL0RaQpP+bjDZcqUSaVp8uTkPTX+6KO/ps3a+lVRplw2LiEbdPXuLQAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAP4WpLsBAAAAAAAAAAAAAAAA4GpyU+PyJlWkVKZpPWw0VB2t/t0HHz+0 - fsih1mE6m68VNSPtkkeUUqqaqrnSxzK0SU2qhGxQ63LXIjTzYr02odf29798/fDxr35V+zfUTLTf - 9ZJLnaJTztzlg+wqhp2rfK1mrSZdsu0cVFk1pSlNmsgmklztvm7ceOJLX/jmwfzu/nyVxbNRyKUI - ueSKknV7eyRdSKhf8iXIXDnE3LprJ8uHT061OlUdVAfJZYrINFVJUl56Vh4AAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAA/MUh3AwAAAAAAAAAAAAAAAMAVlVXRS7VGDOs6hLTnjZZrHS1/drXJ - 45P2YGLSZnk6a7sa9X6NyyV5nq90rqlrMtGq13rQ4aT064jZ/M7NW3+1t/cvv/B5zaaazGvXrqW0 - MA1S2lmu20N+X1rTP2bG1PaYtC4Kk1Keu/cGx08tJW+1d6hrh7/83Ge+7tlP9wcVl3ljTZGN30nJ - IrfLfRp/M+v60FpRmnYS/eFi8R8++rSOj1RzuVoOg6xYlUIZKfcreIcBAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAADw/ZHuBgAAAAAAAAAAAAAAAIArKWVScSkH97SmrZuNlisdn372F3/5sTvH - N2bTO8druVq3vlaZKy//lbP0EipxXmG2VN1IoWEtk7qukU821r2o5svT9okvPa/5XPsHclVpVdep - yLFYHaYoqTLI6zj2q9R29t1StM10F8nkJjdJitAgDQopvZZGe4e6sf83j1x/rZmUctDL1KtWudSG - SiqlaqqmMN2HeneaFsuNZNNuqn4t6dFJ99ALL+hkqT72JrOmHW+omVq/SncWAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAfyfS3QAAAAAAAAAAAAAAAABwVQ3SMOa7a19XnUyny//9w0/+1Hrz - aOTqZDVp1OxN+sga1S8/fJ3bV9pMFyrbabJGm0FNo0nr/SZfWfbf6bpvP3rr41/+iq4dqm3U+lC0 - rjktkyKZYnsYa0IeUipi3HhlmNRKXUopaax3e9mVvE1ypY2Nc6lKKo3m8//umWe+c/3gG8pmel1Z - ximzlOf2joSp3q9O9qxp0xSbzYmG0rRxfPddi8UffeQpnS51eqqMyCgqmRJvQwIAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAOACfqwSAAAAAAAAAAAAAAAAAK6qIjWSWQ51vxRt1vrOi+89Odpf - LWK9nLc2nbTHJ+sqTSezzLzUZHOawlTf8FJbmFauvtVmUPTeNTdWk8O/fuetD3/+UzrcX/WD5p2s - LmPTFptITTaSS57u1VQll4qiqOpK1btTNkh1THeHTNtOd2wz3o2sSCqSqxu3+1xN+8HPf+o/vePw - taZdK1qzMfEtyVOWnvK0+zGPlp5DKKVGeyblpov699rJO48XOjlRpqLWWi3VSkWK2l/+oAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPCTgXQ3AAAAAAAAAAAAAAAAAFxVLpky3MpE/VrL02f/ - zW8+ery4ZuoaG/o8Oe1nE7WNNutl20zvz6DSlKY8W5UiNJmVldSXybeH1XevXfvVZz6pa3PtzSc3 - H9oMdcjaubtiWPYKKVqpVPmu260Lx7tKxou2kGm7pJTStsHukqXOViVvdfCQrs+e/OwnvmU1p/ur - zNzNnOXuhcPxj/sxo96oiYh09TU8c3O6mJ8s/sMTT2ix1MlpadpI9etUDqXoaqXZAQAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAA8OZIdwMAAAAAAAAAAAAAAADAlWQhH2r2Kq5oVActjx9ZLW+t - VnWzij7mjQ4aNSGv6tQMw+Jyh5NyhSmqZbUM2za8S2gmLY/q/vTgFbM7733nf/25T2qv02yiKm2i - a6dmTaNsVUtXJCmkcJNcUTSYetWiWpRX7JU5D3lUi420kapJLrmqqZoklxqTpCF9yCJJSlfnuj57 - 5dbsOyW6aweLKuWY+JanWZpJfl9K6KF0dzP1VU2jptXebH4g/5nNoNuvqZ2ktKhqpibVXBwpSXcD - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAIt0NAAAAAAAAAAAAAAAAAA+MlPLiyj0fpJQX - /9Qmq0rZhFQHHR3/+yc/MrnzcqdN58WL6qBhkORDSpZFLl1uGtlSnjLJdlvCFKYSmhQ/irxz4/pX - inRzX4c30lo1rTUueR16U5pk7tL2+67xUGcTcfXelzPJI7ehbU9ta+h5z6MihTIUcmlS6nRfXfv0 - M5/+xsHe9yKmzThv43TKlX6/CtmNl4hQVSOZKXqtl6ezGg8f3/ndp5/UEJLaViEpwiZ792dUAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+PF39X6KFAAAAAAAAAAAAAAAAAAeRCmFIhR5tp7K - 3R8KKUIZoegVoQh5b2WQm4c2C92+8w/u3Dn0vveht1zLzeSuoYa7QvWyu90jM8saE28yY0hloyiS - aTB7rWm+OZv92899Toe35FNTe1b57pqJq5GKTPLtYpLLpSIVuV+51+VMMpe8yBt5K5Vty3yckbM+ - ukvuKpJX0yoUajQ51EMPfeTLX3ix8b408rZXpNKLW8QY/TZvLnX4KQ0RYWGmImXvptKpbYfV9dXJ - o3WlITNkKZdkE2UrXe6QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8JPiqv0WKQAAAAAA - AAAAAAAAAAA8qOLCv5KklOXrd7Exu7zNWzdqitTVqldf/cJTv/ro0akvammVZkobj1BN1XQWyb5U - VZLUyZW1dXWNatWq16nptenkxYdu/vqnP6kbh4vGQ0WDjVd04YJ8u2U3VDv76H5dwo8Xk+R2Idp9 - tvn1M+Emd2lV04samWyittX+9KWb176RsfS2mx56M6kZwxgDd/UxXP74Qzp7gkuqSclVD6T90xMt - 1+1GnZSqkqk0V+7+AgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4E2Q7gYAAAAAAAAAAAAA - AACAB4Gdx6t36/d8VrYbi9zCLVWkJgbdOVaUwzuLm9lea9Qv1USWlKQwhSv8Yg/88njTTteRWTIt - VqHVoGlROyt32ul3bz7ygU/+vh45lPWNDdmUbAup5h+urliRbJAGU5FK/tqzn3nhve++7Xm6OloP - m3VUb2xIRai55MGYwjNdMebnUxE29KVW1zDohrr/9f2/oFduazOESnWLezP1AAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAuLpIdwMAAAAAAAAAAAAAAADAg8FNsnveCktpLBqbqnyQm7xICilU - a2ioWvef+MhTh6shNpti7cxVQp55Twr5PoSR+34z7eZ9zWWf01mZuOpGJ9VfPrz1z37v9/XYo5rP - 1XorS2XclyFdKWP6PXupmPpe3UTXrj35B39wdLA/mR+GW9u0ke7exH2ZejvrdpvCMq1KEVIvXVP7 - X0avxbE2NYdIZSp5HgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAi3Q0AAAAAAAAAAAAA - AAAAD4p0pdvYtDbJdttNVRqkut1tW70uxVV7nZy+6/bRdfeJtRpyCJnCFJI81VY1IUlhSnvjKX+Y - GrX9ZtlIe/O239TTUGmny+7gL+f7euwd6vZWatPcFCXlqUseztViUmyyVmmmmqFmpmam6Z5uPPTi - /v4318tqat1XtdqQJllzn8cXY5fepbbtju+8+p6j289+6F/o5KhtXDEog+cBAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAI9LdAAAAAAAAAAAAAAAAAPCg2TaMLcb/U6rnwW4pt2HvotDdO595 - 8qlHVou2DjLdjaGdzLZ7mcZYchm/lpf+vlmqNiqNWSz7RVU7b18x+3Y7/W+ffU6zuaaduVs26tPi - sjPiV1HbWinaSNm6NoOiGZq5JtOPfOqPvrO3Vw7262Z9TdOmnUpaDfdlTBdus+1i7TX1yOywWRw/ - Gmt5qkZjpRjvQwIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAGCLn6oEAAAAAAAAAAAAAAAA - gAdLSorzZRfwlmTjS2MmFclk2astB6ujg1jXWK4V15pZXa8lpSlM599Ml+yS692Rqla8z4zQtcPJ - y+v+W7du/NIXP6/JTG0boVwOqo2iyF20u3/YMiIyjuvpRpHNTJoUSXLN91559zu+u15Lsracbk57 - aTpvLjufnrZdJHnKJU9ZytyPlkezRtNmrc1K641q1PWwS9MDAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADgqiPdDQAAAAAAAAAAAAAAAAAPitellEOSLMaNLrlUJElpqiZp0HKj09PDurbNumu9 - Zq0ZsiblsUsm30/FtK7rdjbfSK+erk9vXPuL+UzX9jXfi5qZmk4bbaqslbTJ/n6P70FnRX30e6Wr - 6q11mYZNqpvocP/jn/zE0d7e2pta1zN5abVYDJc6mLN4fJgkWcpClpLUbzbz2cRc67sn/+OvfVTr - jTa1tN2ljgcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA/QUh3AwAAAAAAAAAAAAAAAMAD - ZOxtp5SSclyNjCJpHU3KpE2NZfaKXsfLf/f4k/PsJdV13ZvPl3U9FPVFKVmev2HmKU9desvb003r - 5emm85OD/a93k//++ed0fa7WfWKlCVloUtRoMKWVvOzxXC2RiknxiWJfJSUVta3JpNJob/bK/sHJ - fH4ag3ehqvbyy+4pVVPKQ759GLfPYdRh7Y2moYePjrQ81Xp96aMBAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAADATw7S3QAAAAAAAAAAAAAAAADwgNgGuyUpzrZUyeQ5ZNe6QhFqimYmrTc6Wf3s - MnS8mh56I61OTmfdLIY6ftdTtjuc6dK73WlaVLWz0jTed7MXJnu/8dzzmu3XUtSMp49UqChdVZI8 - LnlIV5BJJWXpknK85a7aNZpMnvjEJ/9z43YwHTZSyv8/9u7tR9Ysz+/yd631vhGRmftQfZhpz4BP - IK658AUYZM94PJ5Tg7GEsIWMhPm7EHAByBxkIwGeQ0/3jE/iIHGNZISwPMbjme6u6q7ae2dGxPu+ - a3ERmXtXddtY9lTunsp5HoWyIiIjI34Ra+2rWopP2uOPUz97yrFeMt6HuWXke7f54HD4yfOW29u0 - FiF3AAAAAAAAAAAAAAAAAAAAAAAAAAAeSHcDAAAAAAAAAAA8WVsyklpSa8mWLFutvWVr25K786/9 - Wz/9Ex+9+do8337SW8m+ppxPU0brpYxaRjJSPhXwfmzXu3p7u53XfjvN/8/18zz/IO2qZZfSR1lH - 0pNz+nIpTDsA93krucTeW7Zakl6ylWzJlqQlX/7gt3/8x783+jRlStv6dil8P6o6Ukapo5SUkdJL - SXJctv1Unu/a9ur8k6f1r/+bfzLrOUXJHQAAAAAAAAAAAAAAAAAAAAAAAACAe765FAAAAAAAAAAA - 4GkpSalJMkpP7an9UvAeydySLedjTms+fv0vLcvXxjjdLVcl5ar1np4+pdSROspIHeX+KUcycmln - P6LlPObDfrm5+e719X/0G7+Zw7OkpiTpydt+eE/WOZkuqWk+R6Omt2RK3n2443LOcG65OfyFb37z - 27v961LPI7u6e+xxSnoZqeMyxjtXLbfHUTLNW3l5Xv6Vw1XWY5ZThno3AAAAAAAAAAAAAAAAAAAA - AAAAAACJdDcAAAAAAAAAAMCTUUaSbJcb963jOpJak57UpPR1O2c7583yX//Mz12fXvfzq+vSym4+ - 3W49mXbTlq2kJnWr2cp98rvX3msfj9nKLqOW5C7T35+nP/HLfyMvnmeeU2rWZKQkPTWpc8bu8k77 - Z3vO/B6NmlGTmpq0JL2m16QkW8ZWdzkccnP17edf+fDqed/t1n5+1JT7Jdpd05P0kl5Gr1vKltJL - yfV+ujufruo83R7nTz7J7V3WJX17vHkAAAAAAAAAAAAAAAAAAAAAAAAAAPgCke4GAAAAAAAAAAB4 - KkqS+9h2koz69ohY70nJ+XjXWlJLPn7zxzO/rNs8525st6dlP2duOZ/XaTcnNam9XJLJ72/8qU7f - 2/p3fvIP5WtfzWGfuWasmZNMI+1SlC6jZFzeD4+gZjwk20t6GWnJLnNP3UrJfv9Lv/6t376+Oc2t - Pf4sl63XS0bJ9lCOr8m65nRan+2f9b7sy/S81v/m61/P6WRXAAAAAAAAAAAAAAAAAAAAAAAAAABw - Id0NAAAAAAAAAADwVIyUpCdbknF/PKwkp1PqlPOy7a73PVu2LefcfPjxePNqWdeyuyq7/SjpSZty - Oi8jSWodpY4k6SV1pI6U8aiz59z78dnNz/5P/0Ne3uSqLnU97uu55lSypJbLg3pNrylJ0Wn+XJWM - krXknKyXe0Yyenpqz5TWSs3W8/LLv/3yy9/bznk/TfdLt7ukl2wlKSkj05yW5Hza7a6O49jf3H7l - bsnrN9lsCQAAAAAAAAAAAAAAAAAAAAAAAAAAEuluAAAAAAAAAACAJ6ZeftSa1GlkN7Lf5e5u2R3a - mrFlzt3yra//0te287OptZZ1PS/LUpJ1S5JWk/SklzFKklHr77XY/XBQreSh91zHD51eO7f2yfXL - f3R1kw++nMN+KelJaj1ljE8/T62p9f6p3k89+g+YLRmf/mhLSlJGtqXn6iqH+u9/45df3bxc5sNW - 3i3iKJ+63K/vDyzxv/B5xVHG/WpftuK6ZJqmpB/Prw9192PXV1/9+Ps5Ldn6pTWfrONSHr9/hp70 - dzcfs0APAAAAAAAAAAAAAAAAAAAAAAAAAMDvB9LdAAAAAAAAAAAAT0NPWZM+jbSR7dI6XpMl6Tk8 - m5N1ZJyyz+762fn7z08fTtuWLVdjXCd9yVxSttSRkq1kqel1pKaXkcvln9eol5BzGXmIbdekpKcu - yZb0klKyJWW3+7jNf+/FV3/mb/3v6bv0MlJfbXc9mVPmZHcpMZe6lYe3xuetJC1pSU3PSEYdtV4+ - 7bs3W5un1OR5zTw+evbVj9qLte1KTU/WpO5yHhk1vaRn7plHyvhMYb38cx9ZHCk9bfS5j6ln3lJ6 - MtKSsa5jbPvU0Zfp9Sd//M3rv/XTP5N1bMk436afevpljyW57LXtcvN+G/ekf16fGwAAAAAAAAAA - AAAAAAAAAAAAAAAAv99IdwMAAAAAAAAAADwZ4zPXSu77xDVb0jPmlOdbcrdc3766KaP1lJE2ehu9 - jORdorvn91Ds/rReLpcySkZJklFTattP+zantWRuS/Kmj+/uD//3YZ+rq+wP4/Vdkqt2NXKek9aT - npL0S8D79zQR/3/eBtYvG+eSuz6dcnXVzscttWyl5+XVT//yr/5u3b1et97Tap1bxsjUkmTrSWpS - +6e63SP1X3jVykhJv+zVH9KTvuvby9PpK8dTTn2628pcLkcj1/NWtvGwY0Y+Heu2hwAAAAAAAAAA - AAAAAAAAAAAAAAAAnjTpbgAAAAAAAAAAgCejJH0t2cqlmJxMNXMfZSvpS5K159sf/pd/4k9+NXXb - Hn+aS/653F96MkYycttPy3oqo92tOZ23dnP47vXhd14++4//7rfyYk5bysubJC3jJlPrDwXpnvYu - Bs0jKBlJTVoeFi8ZyX6fvmY3t/Ptqaaltezy5qsvy82zOu1OvZ+2lJGWbFv2876m1/RRxn1qvWSr - 2erj9rIP25Y3pyw9p/M5o6Vet9aWZPtMqHsUewgAAAAAAAAAAAAAAAAAAAAAAAAA4OmT7gYAAAAA - AAAAAHg6RpL0/nA4bJRsJSl9ZN3GyHnN67t/fd2+POrjl7uT1Iya5G3FuSc9uZnLNJd17YfS9ofp - kzJ+a7f7s7/5rTxrmbZc70fq+XTcp6XX9M+8vfrpc2+P2oL+A2gknw5bl/72s681GdkdrrYt6SMv - b/5+Th+PLGvf1bI/tNOSdctuPy3Lqdyvc0ZJLoteei/jbQ78Mey39b//+T+bN68y7Vr265KUZC45 - nZKSUcpnTkw6PAkAAAAAAAAAAAAAAAAAAAAAAAAA8JT59kkAAAAAAAAAAICnoyQlW8vak0ucuyY9 - a8Z6XaZ88vo3//S//Uc//t7rjz/atfmRZ6n345SR0u9T4qkj6X2sy1ZSSz28Pq7/6Hh69Yd/Mi+u - crM7X+1PKXfL+dn+poya4/m+z13uf74LS/N5u5S22+XG/afcy9sUfE9GndoubZeb+S//xjdeH67G - 4eZNH/287a9rTZbT2u4fupX0XP6oJEkdj9jtTtLGOh2/l3KX81hPPXNejXFu2W72KTWpNb0lZSQj - 474CDwAAAAAAAAAAAAAAAAAAAAAAAADA0+SrJwEAAAAAAAAAAJ6GejkS1tJbRvKued1Sp7QsWzJ+ - YjvNdx9/ZX897oPMj2jc/7eXkcslKUktI62mld1pW8dul698+Zf+2l/L8+drq3fZSurVfJV1ZBvZ - 7bJtaUlL6tsnfCDi/RhGcslsl1HTa3pt9xH2LFvSsjtkP+WD63881++U7K4Ox55x11tNKw9d7NJz - 6WQnZaSOR1+r/bb90brl7ja3p/28X9bsWjlt63h4UyW51MQven5oOwEAAAAAAAAAAAAAAAAAAAAA - AAAA8FRIdwMAAAAAAAAAADwR43IkbLyLEpeR9OS4ZU2Opxxvr9ty1XJ7uk2rj5ouHslWM8poI3NP - Ham9ZtSk9J5as47jOf2TWj+5epb9dXb7lN0hu6mnrMmWpKQkh7bWnEtWueX37tLs7iO9j7Rkbr3X - LW1rc/a7n/+7v/Hhi6uPTsfrZ/s+svTUtkvGJdZec7/otdd2qXc/5uLdLOtPfPuj//FP/BupLSPT - aex7ntdp6iPj8u+iJxklW0mSqvwOAAAAAAAAAAAAAAAAAAAAAAAAAPB0SXcDAAAAAAAAAAA8JTW9 - ZpTP3DMfctqynP6rX/y548ffLzXX+3Zel5T+iIOU3svopdeRcomIl17SyxjTVE5rpqmuU3118/xP - /co38uz5qFPS6kjNlNT0kpJRc07W3He7I7f8mMq7j7e+vaclI71M5bz01NSadcvdSHa7XO/+312t - N8+X16eezDfzeT230i5/WUbKSEkpKeWRu91Jdn378nL6Y4ddlvPY+nxV1u3yHsqn3ti7DW9RNz93 - AAAgAElEQVQjAQAAAAAAAAAAAAAAAAAAAAAAAAA8YdLdAAAAAAAAAAAAT0RJMmrSktpGSrKVpCal - Zhu5u/vacrouZV3St+2x08W9ZJQ+SvrDK5WRmi2lryP7q+m4buuufefZ81zdZIyUNqXMo2ZLRjLV - lGwlPdkenrMmGcm4/OARXD7W+9Z1udyspW5J9nUtGcmu1asyp0y53n/75nBqu6lMS7Idl928X8d2 - yWKPklHe60rNLW/efJhpK/M415znnErWknF5Ow/x8Hq5ag8BAAAAAAAAAAAAAAAAAAAAAAAAADxd - 0t0AAAAAAAAAAABPxEhSklrfng3bkjXZxkhLjncvvvfJddo0p6/ZzVN5zHRxHSkj5bPH1C6veNzG - 8dznlo+39bcPV5l3ub7e+vaZlHJJSnrSc/8k89vicpKkKy9/7j7zgdYkGfVy52UhLg31MtJGkpb9 - 4a/86q++Hq0cnpekzdPdcmoP0e6e9JJeRpLx2KH4JCV9y9ee3+T2lNu7lpxG35Il6Z95VN5t+/cw - FQAAAAAAAAAAAAAAAAAAAAAAAAAAPwrS3QAAAAAAAAAAAE/BeBtXLknJVu5DzFv62kqy/Y2f/bk/ - vJX5OLYlU8l5WR91njIyj8z9vrd9yTmnZJTM1/s+tZFpu3r+l3791/Oll+N8arWMS6O7JS2pSUlN - pmRK9knZku1tsrs6//ZYSh4+3prUjHef82WPZSRbMmpqy+H6tu2/c/dmmvbred0lZa492crDpWar - 76PeXUZKz/q7H//PP/OL+eTUzst1qcu2tKRd5h7lbYn8sg8BAAAAAAAAAAAAAAAAAAAAAAAAAHiq - fHUpAAAAAAAAAADA0zEuYeWHbndNWnrJku34wfH4/PXp+XTVMqWW3fzow5TxrtudpD/Ekk+n05tl - +2Te/07b5eoqu325ue5jvU+P16QkNSMpSUvm3D/P/bvikd1/zA/R7ssqlEvU+3L7Uk9vu8yHj3aH - +Ss/cRyjj7SWZel5W8oul6u9l/THrmWPtJIfu7n+yumc8zHbeUr2pbUkpSdJ6v0bEO0GAAAAAAAA - AAAAAAAAAAAAAAAAAHjqpLsBAAAAAAAAAACeoEuseBqZxtiN2yyvn4/M29jO6zlrknV5/CE+Fdve - Sh3JKCkju1rKzfU/fPHip/6Xv5vdYb086vKAZMt947ncp8cfut15yEdLLz+S0lP6pZj+mVD6SEum - pN0/7GEJSkuvf+5vfvP/2k63c6u1rFumkjrS+v3pxDZSkq3UrTz6ecWlZz1vvd9mfZ1xrlnnUdrl - rVx68Kn3VftLVB4AAAAAAAAAAAAAAAAAAAAAAAAAgCdKuhsAAAAAAAAAAOApuISu31atL9Hr9GTp - Ob3Jctqf10Pb15SWNsaoj398bJT7CPdDObleguLZxkfL8R+8fJ4XLzNNo6WnjpSH4Phn3tS7a/Xh - Un7ocXwO+kjGD3y0byvdIy19Sh/pW95utSlXh8zj28/39cWzu21Mh2wjZdQ6Shm1jPsVSy674VH3 - XJ3319txfX46pi/pvWS0UrJc9mAfqZdad4/6OwAAAAAAAAAAAAAAAAAAAAAAAADAEyfdDQAAAAAA - AAAA8ESU9Jae9Jo+JaUnPVl7bo//+Z/60/OWu20tbUrGqOl56Go/jlGylWyljtSklF4z2iXePJW0 - Lz//89/4G3l5lXmax/34LZnSW3rJZfT7yyh9lD5qRs0o2R5x6j+4xsPH/e72D/xuu79zSx+1pyXL - llLzwbPvvNh/uJxay/mUqV3+prae9vAkdZT6uN3ujOT2dPxgf/1HTuVv/tTP5bTcb/5WMvrbBPll - iO2RNz8AAAAAAAAAAAAAAAAAAAAAAAAAAD9a0t0AAAAAAAAAAABPw33o+r5SfKkml2TreX3818o8 - r0stWbdjm6fTmvL+0sWlpNb0km2UvtYcW77Ta16+zNUhU9Zla0kZ/TMTjc88xadT3hf1IcbM56R+ - 6meSpPxQ33rcf+Y9SUl2La3lav+f/Nqvnmo7HK4yMvrlT3sdqSNlJEl5uPKo9m1fl2X36uMPtiWj - ZF0z1YzLa//gy/cfvgsAAAAAAAAAAAAAAAAAAAAAAAAAgKdi+lEPAAAAAAAAAAAAwOdjJOu2zm2X - LWkZJdvIlJHb9WsffXKznFsdZap3p/OhtDLKGOvjDVNGWlLKWFPmttu2Nz1pLbdt+mh3/bu7F2lX - W2ovY9qVrL2V6Z+W4y6fCUq/u5PPUUlqat5+sCUpKW9vl1wWoX5qLXoddTflPGV+Vsr++ObVvk4l - ueyrtwtURupIHnnJSlL6to3z9S7b8jqlZHeVviXbyEhqScrIdj/EZefXf9LOAgAAAAAAAAAAAAAA - AAAAAAAAAADgC8+XTgIAAAAAAAAAADwdU5uSpNbTabm7W1pLjrd/++t//kvn/qX9fNrWbTuPpJS6 - 9vWxj5BtI0mmTBnb1LKbsm15tfXvPH/x733j19PmkZy2U09Sau6On/nj8oO3fuDC5+4zH2z5oV88 - xLzLu5x3Wfqa3SHT7sNdO724WXf7u76OhweNy+W9LNlI1rHu5t1Yc92S88jrU5Ylrdy/+kgednxN - aoZdBAAAAAAAAAAAAAAAAAAAAAAAAADwVEl3AwAAAAAAAAAAPBHbspRkXdetb/vdfD1NZUvqdPzw - +4e0V69v98ncaktSS3nkmPIomfft1EfKltJPW05Ldq3W65t/MNd88Cznu5bs2/68rElyODzqPHzO - Rsq6zWXKSObyZ/7Or/+9m/KP++3h+qqXy+/Tk6VmaRn3tx5RLynz9Ho5j2R/u/zVP/1zuU36btyu - WWu2+3R3Sdq7CjkAAAAAAAAAAAAAAAAAAAAAAAAAAE+TdDcAAAAAAAAAAMCTMOo07ddlG21KaxlJ - HdmWLNu//PJLOR5vapt2892pz3NLKe+hpnw6bYfDbhnrcTvv9/OuZlnGq1H+g299Mzdznl1ty11N - 2c27lKRvjzoMn7OStJa+pSS1pLWPD4ccDq9v75KMH+hil57H32/Ltu5385Zc1/nH1y3HY7aU/VUu - le6SUTLuE9714QIAAAAAAAAAAAAAAAAAAAAAAAAAwBPkeycBAAAAAAAAAACeipGp1FLqSFKSN29y - Pud8Pn7nw3ntbbdfz8uUjN5Py2lq82OP02pOx3NNDtfzOC23PXX//M3uJtfXKUnNVGtN76OnJFN7 - 7Hn4HI1kKznWsZaeVlOf78fzQ9vt5oySnvSSJK2n9SQZ5XG73XWkjNRSRk/p27a9Sn+d9ZSStJqW - lN6Tc7IkSc2ol4g3AAAAAAAAAAAAAAAAAAAAAAAAAABPj3Q3AAAAAAAAAADAU9H75VRYT+6Ob3LY - 5bz8Fz/7cz9+dXOo83K8XZPd1bxuo+XyqMc1emk1rWXcLcdkf3jxu6X8zjzl6iY3L1KTmpGtljpK - UpPy2BPxOVv6tqWnTZkOr9vh409uy5ZySWKPmlHrSB3JQ8n78fTa19Fra2sybdtXz8ecbjNNW80o - SUrSW3ryEOzW7QYAAAAAAAAAAAAAAAAAAAAAAAAAeLqkuwEAAAAAAAAAAJ6KOo1Sek+S+eoqd69z - On31vPTXr9O3uZQ5WY7L1DJd7U7b9qizlJGSMbVp3dJHrm6ef/d4/J0PXvzS3/nbabu7uyVLzxg1 - pWdbRlYx5S+UktQtz6f9tp0z1Vzv/51v/Mr1V39sGqmfupRRk1z62eOR691tP31yd3d92N2c869+ - cvrbP/uLefN6TfrlqOSa9N4ugXhbDQAAAAAAAAAAAAAAAAAAAAAAAADgSZPuBgAAAAAAAAAAeBJK - UlJqnWuWZenpOcw5nz44Hp9N85YtSStpSek53p337dEnmjKdl3W+yUiWN6/K85vf2k25vk6Zrg5X - mfbpSUZNSUlXU/5iGSklGblqu5SS66s8u/qtu1frbu7vEt1lK+mPXOx+N9G6zbWs5/NujBfHu+vX - rzPPfaQmGfV+ZucmAQAAAAAAAAAAAAAAAAAAAAAAAAD+APAVlAAAAAAAAAAAAE9ELyMlZeRqms85 - Zyz53kc/vm5tOdXUMcYYKSNJppp1ZDx+U7kl25tMNfM8f/d0evNjP579PtOUkZSatktS02tS31fg - mc9HSUqS9HUdqae5ZKyvv/zBd+e61NpLtpqlZq1lKylJe9h7j6SO7JPdGOlJyqg9Zck4X42UniRp - Sbv/b/ojTgIAAAAAAAAAAAAAAAAAAAAAAAAAwI+cdDcAAAAAAAAAAMBTMJKerNsYS2pySMvtm9/8 - S3/x5s3rXX/MZvI/VU3SWmtz1p7jshy+/OW//N/+dzlcp9X78HOpSUrGpaos3v3FM0abdksfa5KX - z3/+V3/5o/3+1Nr9b+9j3TWP3O2+f6lttJ5W2po+St/NI1nSTylJ6uVnvTzS2UkAAAAAAAAAAAAA - AAAAAAAAAAAAgCfN108CAAAAAAAAAAA8GaWUUlrSU05vUuv88fc/KH3qa5JRMi4N41Efrj+uY9aM - si5Zk931sw/vjrl+lpK0rCVb8rbmXB4/7cznbFwuI6nbNuYkmdLqR8+enetUR9robfQ2UkeS97Hf - WiupZUkfuQzWU+/OUz+VvpZk1Gy1jIySrWSr72MkAAAAAAAAAAAAAAAAAAAAAAAAAAB+JKS7AQAA - AAAAAAAAnojetyRjGRlpdZfT8jLbuHvdRk+SUTNq8v56xYe2S8o0t558b12X65uUZJ63T3W7R9r9 - SONTKW++CPq6pNaecZinOclIrr90Ww9rbUnKSB2XKPt72nLLNpKxO+xG0tpUTmuO512/2+Xt/r/f - YyMZlzsBAAAAAAAAAAAAAAAAAAAAAAAAAHiKpLsBAAAAAAAAAACegpLMtdSazCWj57jluO6X0/M5 - 5TNN7JqUMlIev5O9bf3cl2zbWvP6sH91mDKX9GVLkvtkd08dmR59FD5vo2Ts2u1yqillS1m3HI85 - T+M0Z0y9ZNT0klEyUutIefxS9jzX3nO8Ox3avJyXL435r/+Zr+fDUzlv9zvsoVw/pU/pRb0bAAAA - AAAAAAAAAAAAAAAAAAAAAOCJku4GAAAAAAAAAAB4IkqSMUpNtp51/Gd/7uevsh2XJP3S6e6lJCmp - daTmfdS75zL1kRymV9P0C7/yKyk9c6mXbvdIRkayJSn9fbSd+VytGdNu7mtSkjZy2OVw9f1pPtc6 - Ui/d7q1kq+nv5bDisvSRHFrNGC37cupfPi559TpL/3Sku4wk22XfAQAAAAAAAAAAAAAAAAAAAAAA - AADwJEl3AwAAAAAAAAAAPAkj6aOUMUqyLVn6T+yux+m4Kz/wuJqkjPfR7W6Z1tFT0qfp42lKX3JV - RtlKMo1k6+m9J2syot79xVOTllpbznenZGTeclP+w//1m693rT/surVmrRmlvIfzinNNSdbRt4yR - UkeWfsquZvQkKUm5bLVs6Vv6iP0GAAAAAAAAAAAAAAAAAAAAAAAAAPA0SXcDAAAAAAAAAAA8CaWn - jIysI2lzjnfrxx/WpM5JUjKS1JGRPtLH5fYjG9mmlFrzvWX56IMX+cqXMk3LGDXJyCXUXZMSCeUv - npLMadm2UTLf7FOSWvJsn7md2nQpZ5eHjZe8j/3We5K0lp4t6fNUr7Yl6+nda7/L2NdkcoQSAAAA - AAAAAAAAAAAAAAAAAAAAAOCp8r2TAAAAAAAAAAAAT0Tva0rv50sY+3bfTldX8/GcUZP0kpJkq8vS - tq3UnjrKP+sZf2/jJMuWbaxZrp//u7/2jbz4cspV63NJUjNaRutTsh9pqUNK+YtlJGtaaVtyTrYk - dUqmlEPGfmrXS8/UclNqX5Z5nrbSH3m/1VrmVsqyZJ6TumW5+8rpnFNPLv8E1mS51Ltb5pa52G8A - AAAAAAAAAAAAAAAAAAAAAAAAAE+U750EAAAAAAAAAAB4ImorSfa7ZOlZ+tdSXn24HG7SS95Vk0uS - 9JRRyiVo/HhKybRra8l52uXZ83PvaXNrLclItoyekZH7C19MPZ9aw5HU9p3av13T9rvX52QbL6Z2 - PJ1ae/TzisvYUlpNxpZj3z447F4u/Te+/ucz0pOULVlzKYjbcgAAAAAAAAAAAAAAAAAAAAAAAAAA - T5p0NwAAAAAAAAAAwBOxndeMZE2Oyy//1C9+9aPTTxzq+TYj2WpGUpLW00baSHn8dPE6klLvRnpt - 6WOaplGy5WGSlHoJiZekpPyzno3fX0oyZdTUZLpfw6QnLb/wv33jH/7Y7tvL+dlhl4x13XYlpY9H - 3XIjWTO2uaak1OyT21evPtjK+t2Ps71t1NeRbMlashXxbgAAAAAAAAAAAAAAAAAAAAAAAACAJ0u6 - GwAAAAAAAAAA4Ilou12StCT56lqev16Od313KL1kK+klIykjraeO1PG4texRsibHdev7+fvrmjHq - NF+63Rf3sef7//AFc2lgb/cJ77Q8LO1Us6/fLevuxXU/ne+2PrVaW+v9cUvZvaRnpJQ253zONM83 - dSq35598+aWk/xPnBwAAAAAAAAAAAAAAAAAAAAAAAADgqZLuBgAAAAAAAAAAeCpGMsaWpG71dH55 - uLlJ1vMYl5B2HVsdoyRJyXgPvexdm7ZW3+z3r+eWac5ISW6Xc383bc3biLKW8hfNZcVqkp4syVbu - I96jXdXD3d3pNHK1v0opb9at7Mpj77ndbnd7PPWelmzL0nb7OvonH32UrFvelcPbw0UyHgAAAAAA - AAAAAAAAAAAAAAAAAADgqZLuBgAAAAAAAAAAeCq2rY9t1KT1w1y2u9clKZ9KFPfPxIpH0h91nDHG - 987rm6urX/rWN1NKTueRHObdZZbLATbB7i+u+901LhX2kpEtSU3Wsi3lcLi+2h/W0922bte76Xh+ - 3KWuI2VkKulr2qGsyXJ8s6/tg2c36duUrSQjtaReZtbtBgAAAAAAAAAAAAAAAAAAAAAAAAB4wqS7 - AQAAAAAAAAAAnoo21dbOOaWst7evWqmt1rFl7pl6SlLfYyi7jGx9+9JXv/rbZUsdmVp2uzbS1y2X - YvdDVLxHwfuLpyQ1veVh7WrSksvNaVfnq7vjcj4dLw8ey3o1l/LIq3xezleH66mlH8ecTLVs2c63 - r7KuWdfLzBkPA9tyAAAAAAAAAAAAAAAAAAAAAAAAAABPl3Q3AAAAAAAAAADAkzG2db1K0s9X13PK - tvY+lZSe1tN6PtVO7u9hminlO68/ef3ieV6+SBnZtrLlMLVPHVyr/dPn2NSUv1DehtdTklZTUy/X - 5/bhXPvuMM27kbQ2j5GxPu7qlvTrOh3vbrOllNSSZGx9vdntctpy2rL1Tz/6Mj0AAAAAAAAAAAAA - AAAAAAAAAAAAAE+SdDcAAAAAAAAAAMBTUUorKeuapde+ni+15FaSlJE6Usd7rXeXlKVO3z3ss5sy - t7SWJGtK0pOROhxi+wLrly00araac6nrpZfdal7u/uJv/trtblqXlJTReyulP3KXfZSso0+ljpKM - jJExUkudTstf/ZlfyJs1S9KT0kfJmqxK8QAAAAAAAAAAAAAAAAAAAAAAAAAAT5dvPQUAAAAAAAAA - AHga6raNjJpXp//0F78+bdtuN7eSZb0vFJekvN95Sp3Gfv9XfuWXc31I31KS8a6Z3B/i4e+mer/z - 8XnoPdmScb+aPS2ZW/bz3TqmaVfrrpSSUtp7m2jU5H67j9Kn0V+cl5y3T6fq+3sI1wMAAAAAAAAA - AAAAAAAAAAAAAAAA8KMj3Q0AAAAAAAAAAPBEtNZye84pL7/zenpzm20dI3OdPvuomtRRMt5LJ/t2 - 3VKmbMnhcF/mnpLS355dK7kveaeIKX/hjDys3i6Zk+1yqbu0/fPnL0/r8dyXU1+33ssjH1csIzW9 - jnf9955sNcm639asPT1JzaglaelNvBsAAAAAAAAAAAAAAAAAAAAAAAAA4OmS7gYAAAAAAAAAAHhC - pl3O4w9Nu5f7XV9HLW3r249kkJG86efdixfZHXL9PGP0dUm9Tz2XS0I8ut1feCWZkzJSUmt6UrZR - spY3r4+77A9X1/M8J9kef4XLfbe73J+NvPTpy2hjS9bU3Ge9R1rSkvcSrwcAAAAAAAAAAAAAAAAA - AAAAAAAA4EdAuhsAAAAAAAAAAOCJGFsykts3y6tP6uhLUqa2JeOHGsVlpIzHHWar2Vp7M3qOa0ZL - neo8r8mYL7P2+xkexnjkcXgsrac81OFL0pLd2CW73dX1Mevd6Xi3LG2u77GT/bbRfdnkfW09radm - LTVJerIl3Z4DAAAAAAAAAAAAAAAAAAAAAAAAAHiypLsBAAAAAAAAAACeiFJrTqfs2pe++qXTcpxK - zmMb9e05sf5DVx5RL1l37fXYcvU8va6nJSVby/ntIz7b7e7pSspfLP/k9RrJqBnjt86fHD+4Odd6 - eVh9/OOKo9zvqftXHGkjZaSXnjpSs/0zngAAAAAAAAAAAAAAAAAAAAAAAAAAgCdCuhsAAAAAAAAA - AOAJOeyzr//gw39c91Pdp5ece+8luYSNSx/JKO9jkF6yTOWUkVEzMu322+in5Hj59bjvdV8yy/2f - 1oHm97U+MlKTep/NvleTm/kX/49v/Z/zm3G9e7Y7rEtfHrkXP0q2mq1mK+klo6SMtJE2kvSUntJH - kpLLwKnJe/mHAAAAAAAAAAAAAAAAAAAAAAAAAADA+yfdDQAAAAAAAAAA8CSMpPf0NW8++aPPb7Ku - r4/pve/3c0YdJT21p6Y8ckL5wVLLq2n3C9/8ZupIkpKUmmR6N23u70+SWpxn++KpuUTYy/1iXvLd - oyQtaVmf3ZzWbTsfSzLvHj2U3ZNeesq7EHwZ2fX1g9Mpp1PWtPt7u2g3AAAAAAAAAAAAAAAAAAAA - AAAAAMDT5qtOAQAAAAAAAAAAnoqSnE9/86f/7B/59kfXa3ZTdkk9r3Uko22lbaVcHjVqxiMfH1vq - 7sP9ixyeZ79s9XaUdfTteXKTpF9euyc9tY9yCT1XPeUvkHG/fqWMlJE2Usal452kZyTb9eF0KL3V - MvWkn8djj1RHqyMlWy299Msuz82y/bHXr771Uz+du21eS0ZPes8Yzk8CwGPqvf/AlW3bfnTjAAAA - AAAA/IsYY4wxkmzbtq5rPvX/PgAAAAAAAAAAAAAAAPj9z1dPAgAAAAAAAAAAPBUl6eMrx+XLx9P/ - x979/EiS7vtd/3yfiMzqnh/nF1zQRQYELNC1tyAhwYKlJbxnhWQJwb+FACEW7LHAyF6CvPDSxgI2 - RxcDvvY995yZ6e6qzHi+LCKrurqn55zuOd3V09Wvl1JZkZGREU9NRmlCiuh4H7YkWbqXnpXMSpKu - 5KH62FuW3801NTIyxkx6GUvN5NSpZIzUTG17Afo2+cwno5KRGhldr3511XOe0slcb77bknHd53XJ - uPrAA7pt0Y+k+vIzyXHmF9enX764zjbtZgDwYMYYc87z+TzGuLm5SbIsy+l0+tjjAgAAAAAAeAfb - tlXVtm1jjHVd93MfH3tQAAAAAAAAAAAAAAAAvC3/FAQAAAAAAAAAAOBRqMtjJkmNzuhkz3Xf94Dp - 4qurpxmH3My+OVWqkvOcfahzZatkSZbMfUQPFRTnPapOdbbkVLdV+DFSNWpNV859/Oqr8eRJrev1 - ltP1g4zn9719+8ioHvY4APig7goWp9PpeDyeTqc55+Fw+NjjAgAAAAAAeFs3Nzfrur548WJZlqo6 - n8/rus45P/a4AAAAAAAAAAAAAAAAeFvrxx4AAAAAAAAAAAAA70cnleoa+V7GeHRvlepXl/6QtpFn - cyYjy3Gsh+35qQ7LuozrmR6pZFReGxGfkk7mJYa9Ty6XfWp0z6qRJ8ffbdt31zdPz+djZR3J9iHH - UzMZbxzn2AP2I9vIkpFO9e+vfAMA78fpdDocDlV1OBxOp9OyLNv2QQ8IAAAAAAAA3qd1XU+n0z7d - 3UnGeNPFCQAAAAAAAAAAAAAAAPwk+acgAAAAAAAAAAAAj0EnMyOVWUmP0fevD5uX59ugd80PHs3e - ajy7WnJ1yLalxnK8GqltS43MexHniobyp6wv3+C42wP3u1Rfv0j186+ebst48uSLMerjR9rHPI27 - va0+dLoeAD5ze7ViXdf95d600O0GAAAAAAA+IVU1537JTeach8Ph7iUAAAAAAAAAAAAAAACfhPVj - DwAAAAAAAAAAAID3Y7z6svoSKu7KrDkyHjJXfFryz5fOWnl6PF3fHJZDKsuSeRnnqCQ9lz2lrKP8 - yakkI/Vyr6vbb7ZPN/XlF8n8i7VvMs8vrq+W9Xqejh90OLet+u+X4Ovyt9Cd2fuOBwB8YFW1bdse - 8N5fjjG2bavyv2IAAAAAAODTsJ/dSHI+n9d1PZ1Oh8Nhn/7YQwMAAAAAAAAAAAAAAOCt+HcgAAAA - AAAAAAAAj0ElyfxDDex5b3q8+vI9uxnjv/z7fzdfH7uyPnmaU2VLKktSS2ofS4/Um2LLfBKWJKnO - ksuXWPvut6x59l2+uPov/t7/9I/+xr93yMicV2P0/ID7W5La9/97u1NnJHN0Up2auQvHt70OAB7C - Xai7u1+bAAAAAAAA+Inbtu3q6qq791b34XB4/vz506dPP/a4AAAAAAAAAAAAAAAAeFvjYw8AAAAA - AAAAAACA92JmJt3jez3EWa/+TJKR1Ae9hOw8kuOSkW09Xs+Z7izJTY+RZY8+90jnD7XG+YnqjG3/ - 9vreY395fZMvnuRQWXo5Hk45ZTmcP3C3+7XBvZbmXmYy5/rKnwAA8AF197Isd6Huqtq27a7kDQAA - AAAA8NNXVdfX11U15+zubduePn16d/oDAAAAAAAAAAAAAACAnz7pbgAAAAAAAAAAgEehkxcvsp17 - Oz+b18vVsTvVmZ0kXXngUuI2RpZxPhy31DrWrCOVHF8bxXh5GZuS46frtbtSH4+pOo9jrp682E7H - LH2+WR7qesVO+t6+NCtjXU83L7KdD+dzn85JMnI+bQ8zHgD4PO2V7qrq7v05iZQFAFT/sl0AACAA - SURBVAAAAADwCbk7tTHGqKplWfYTHx93VAAAAAAAAAAAAAAAALw96W4AAAAAAAAAAIBHYc6Mym// - 6uunT54s6831TSdbZz0e7hYZnXrIYGKNZKmMykjl7jH31vO4V+52W+NPTSUjefnNJqn07dwkM0uy - zqRfL3t/QF2ZlZlxf6M3p/NXx2O+/S7dNdbzqZOsh+XBRgUAAAAAAAAAAAAAAAAAAAAAAAAAwAOT - 7gYAAAAAAAAAAHgUOvn2Wa6e1vlmbufDyLLUMtbnN6ck1RkPGe1OxqxsY+1xzFj2TVd65JxsySn3 - Ms+VzuzMBx0ff7Tqkb6NeCednJJzLunuY0a2JcmsB2x3J53RdTuZjM468nRJas1ffpvzeV0rDxsU - BwAAAAAAAAAAAAAAAAAAAAAAAADggUl3AwAAAAAAAAAAPAqzM5b/7m/9rfOzb/cZp623eX56eDre - 3O3ufOha9r6Fu43UK9vbbh8Syp+kfvmzM1MzyUy2JJnpZEvmSM2uy7sfXL1havfsr3773/zN/ySH - q8ublarXlwEAAAAAAAAAAAAAAAAAAAAAAAAA4NGQ7gYAAAAAAAAAAHgUeuZ8yl/95pjz8ZjZORxG - J6fT8+pRnf3Rla588Gj3Hk/uehl4rrllJrMyl2TZZz5EP5wP4/eUr/e3Ll9tpzIr/TD17uwV8XGZ - 3Iez5udPnz759llutpy382mb2dp+BwAAAAAAAAAAAAAAAAAAAAAAAADweEl3AwAAAAAAAAAAPApz - 5jS/mv31k6tnN8nIzWmuy1VlJPXwV4t1bjdbSc0tc48lL8nSWTrL/s4DD4v3Z8/AX77EnpU5Ll/o - 3mRPMkb/vjW8d7Muo5r3dqybm+R8+mWteXFKsq6jUuec1bsBAAAAAAAAAAAAAAAAAAAAAAAAAB4r - 6W4AAAAAAAAAAIBHoZPt/Mur47x5/sVVnm05HKrntmZ9uUhl1iVvnA/cLZ6VjGwj58pNsiUzvWRm - JluypWaWznAd26dpS26SU/Yd7zJzSZZ9alwelSyd0amHa3iP9GWf2vvdY2Tt+nqOS6179Mycedio - OAAAAAAAAAAAAAAAAAAAAAAAAAAAD8gtTwEAAAAAAAAAAB6L82k7XW/b1ud8fVWnUyeZOedSKX7P - F4x1XR77mm+n05WtxlZrRvpeIXzsJeW7YnInt3FlPn0jyTKzdJJ0ahtJpfuwf9H9AJcrXhrhszLH - ZTebSQ6H9Jy9nVLJ+SbJ1r1mcQklAAAAAAAAAAAAAAAAAAAAAAAAAMBjtX7sAQAAAAAAAAAAAPCe - HNZzatSanE43PUZ6zrrfzu6Rnr9vDW9lD3XPWZcgcyWjlnHoZzfzizU1xvVpPdchPZZkSZIx7zrJ - 414vue6eRJQ/MSNZ7srrY2w1R1JzJjmvy3e5fpos5+e1/qxz/bv+q18+yXyR6t+70j9adQ7Z0n0/ - CX8+pZ4er3POuuVq7YxDXfZbAOAnbiyHOWf65TFEJctYtrkl+cBHFgAAAAAAwKepZqqqqufcr2xZ - liXJtm2vLHPHKQcAAAAAAAAAAAAAAIBHSrobAAAAAAAAAADgUaikMiuvZLBrpm8bxZ0kozPrPReU - R62zz6eb+cUX6et8dzNPh+VmPaTGXR15uRuVYPKjUHfp7k4qnZHMZKZyzlhzHDnnavnLrf5F6l/6 - +otn3zx78sG/+pHM6iTz/l/Bvs/PSsZMZV5y8gDAJ2DeS2iMMZL0nNvcRo0kXcmrNQ1HmgAAAAAA - wOyZ7u5O1agx53wl2g0AAAAAAAAAAAAAAMBnQ7obAAAAAAAAAACAd1Z7q7lmepz7PJKrQ+Yp3235 - +mdf/NM+frOUduLnY90L8Ws6GRnVp7VGavzHf//v/J//0X9Yv/vNnxzTNx97lADAJ2d0ulOV7jln - VY1lbNu29Uxuq933jjm737gWAAAAAADgs9RdSy3Lsr+6DXjPjzgiAAAAAAAAAAAAAAAAHpJ0NwAA - AAAAAAAAAD/S6Myah15ntt5Sa75e8xffPPvtz6/+5v/8P2aMjz1APqBXyuydVM7JzEjmMSPXN6nk - Kr/9+vin48ub33x3+FgDBQA+Xa+2uLt727aqVw9D7r165Q0AAAAAAOCzVFX72YRt225z3QAAAAAA - AAAAAAAAAHyOpLsBAAAAAAAAAAB4K11Jj0olndxLIy41t66Z3tKV5Wdf/O645LhGufuRm+lx2Q06 - 6Ww1OlkzKslylXHK0/X/Pn/3p9fX/9qSdjdsAOBdVe317mVdq7NtW3VGjW1uuRySJv3yuPTV0jcA - AAAAAPBZ6u6kUpUkM0lq6dfOIjinAAAAAAAAAAAAAAAA8BmQ7gYAAAAAAAAAAODt9Ost7pkkebGd - nnz59c1339SWOi7Ptn62rllrLzjzmNXMXmivpPZo5liz3+G6cz6ntvVnX2/ffHPZVwAA3sU6ljnn - nHOb55Ha29w9t5HMl8Hul8VuR58AAAAAAMBa6U7fnkAYNbrq9XQ3AAAAAAAAAAAAAAAAnwHpbgAA - AAAAAAAAAN5eJSPZ9hfbSJLDTJ5/tybjuHxzs11nqy+/zGztxMdsv6N1XXaFZSQZh8wkp+t5WEeW - JU+u8uJwvjltW2dZct4+3nABgE/S+Xy+Oq7b1tvWI12V2TmMcZ5zSXJ7SLKbyfg4wwQAAAAAAH4q - KpdkdyXHZWxbbz2757qu5/O8vJFXzzEAAAAAAAAAAAAAAADwSEl3AwAAAAAAAAAA8JZeaXHPSlfS - yVLnbS7JdrN9uRy++uLrb589z/FKPPFz0MlMkox9/+gc1pHKrB6VzF57WdfDvH6m5A4AvKt/59/8 - N/7sz/7s51//7MWLF8dl7e6bm5urq6ueM691uytJhswGAAAAAAB89iqzK+tyvD6ffv3rP//H/+R/ - //b5s23bXrvuBQAAAAAAAAAAAAAAgEdPuhsAAAAAAAAAAIC38v0bGHcyR2rrZaQ71alZ8/q0fv2V - +x1/JuZtujvJMsflRaX3HaDHsvXalR73FgQA+MMq+Rt/9tf/2//6v5rnraqqk2R8bzFHGAAAAAAA - wBvN9N/5u//Lf/a3/3al5n5dy6v2S1tenwsAAAAAAAAAAAAAAMBjId0NAAAAAAAAAADAW+qXQe5K - klnp/XEbZq7OSKqTdm/jz8jrX3XfZjX7DX1NAIC3NDLHTHUql5rG+N4RZtX3PwcAAAAAAHzWupKk - +w1nFgAAAAAAAAAAAAAAAPh8SHcDAAAAAAAAAADw9npPdO9GZ6t0xqwsl5sdzyRLz7SbH38uKlmS - kWTMZGQmndr3lOqt9mXmH1gLAMCrRlKdkZ7dtR9sJN/vdO9HoS3gDQAAAAAA3OnM1Ejq9uGqBQAA - AAAAAAAAAAAAgM+QdDcAAAAAAAAAAABvaSaj07mNJlayfO/extVz9Exmyn2PH69KOknGXui+P3/X - lwW6ZkrHHQD4Mar3encqlwMKhxUAAAAAAMDbGMmsV2f1/esbAAAAAAAAAAAAAAAAePykuwEAAAAA - AAAAAHhbXXNmpObojM66pZOtKncNxUtTcSa63Z+FSkYykiRbksqyv8hMWr4dAPgQxr2A917dkPQG - AAAAAADu69HVqc5wCQsAAAAAAAAAAAAAAMDnanzsAQAAAAAAAAAAAPAp6Zp7GLE6o1O3ocRZ6aT3 - vHd16qOOkg/tto45ksrl2953gMuViXdzo+MOAAAAAAAAADyQ0cnlapb+/Vcs3F3gAAAAAAAAAAAA - AAAAwKO0fuwBAAAAAAAAAAAA8GnoyqzMSpLl9jbHI+mMJF1bV1LZRm9jZqg1P3b7PlD7PpC7u11v - yRiXt9JzvyN21yXxDgDw9rq6a86aVTXuzZ8/0NBwuAEAAAAAAJ+5/RzCSGaP8fKEgnMIAAAAAAAA - AAAAAAAAn6PxhxcBAAAAAAAAAACAe/YY891tjfei9z6jayZJj7Tr0x67/Q7XnUr2HeDultfzruPd - Y7j9NQDwR9uPKOr2uKJvD0UdaAAAAAAAAG/UdXvpQpJ7lzQkL08wzFdeAQAAAAAAAAAAAAAA8Nis - H3sAAAAAAAAAAAAAfDJG33W7x6XSnYzuJDMjmZVUsswlc1Xvfszu7mm9lzPr5ezlMnsklR7VY/Qo - N7oGAH6MSka6U1V9SXd33T735Tl3Ve/6wRUBAAAAAACfhU46s9KprnSNmSS3ZxT69WUBAAAAAAAA - AAAAAAB4xNwXFQAAAAAAAAAAgHdwF028K3NXZnJJeu9t79Hj0lTkkerbx31jvyrx+2/YGQCAP8J+ - 0Fnfq2fcNbwdawAAAAAAALvXzhp8/xKGSurNLwAAAAAAAAAAAAAAAHhspLsBAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAB4JKS7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeCSkuwEA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHgkpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAB4JKS7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeCSkuwEAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAHgkpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4JKS7AQAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeCSkuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAHgkpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4JKS7AQAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAeCSkuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHgkpLsBAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4JNaPPQAAAAAAAAAAAADeh37DvFkZSd171cl4ueR4 - x23MH3qjXm6/Z2W7t1UAAAAAAAAAAAAAAAAAAAAAAAAAAICHJN0NAAAAAAAAAADweNzLcqcrM+nK - 0qnL/FmvdLvfLa9dPe7VuyvJvbWlktGZI1vN85gZrd4NAAAAAAAAAAAAAAAAAAAAAAAAAAA8vPGx - BwAAAAAAAAAAAMD7UEkyK0mqLw3vrmx1mZmMZHTtM8dW6XSyx7ff8vmu233RL9f8ilnvmgUHAAAA - AAAAAAAAAAAAAAAAAAAAAAB4P9aPPQAAAAAAAAAAAADek0rv2exOJdW3/exOJ5Wlk5naRp9rSebV - 3JY93l1v8Xwb6q5OV2bSlUrGvqHL9lOdkSzztvcNAAAAAAAAAAAAAAAAAAAAAAAAAADwsKS7AQAA - AAAAAAAAHqUas2pPd2fem7/3u5Ok6zbMXW/1fD/gvde7RzIrI7N63G23etTdpgAAAAAAAAAAAAAA - AAAAAAAAAAAAAB6WdDcAAAAAAAAAAMBj0VU9Ro+kOqnUMrtrjktC+1TJqIzOMmcn1bf57bd73oPf - ozMr8169+36iu3pf/8ish/8PAAAAAAAAAAAAAAAAAAAAAAAAAAAAIN0NAAAAAAAAAADwKNz1s3tv - ZtesJLXs8+veApWlk07nR/W1f99HKrf17vs9bwAAAAAAAAAAAAAAAAAAAAAAAAAAgAcj3Q0AAAAA - AAAAAPBo9Nh/VGYnlWUmGamZTmek5t7qHkntje13eb6ojE5Xz1zeumz7Za27kpF7nwAAAAAAAAAA - AAAAAAAAAAAAAAAAAHgwbo0KAAAAAAAAAADwKFRS2WrOsRe0uzrJqFR6pEeypJdLYfu2s31Z5m2f - k6Tm5YPLfKXbPSsz6XqwXxgAAAAAAAAAAAAAAAAAAAAAAAAAAOANpLsBAAAAAAAAAPi8dPdrEw+/ - BvggamaZ52V2zeoeyehUsl8nNparc1K5yjzUPHYfO1edpTM69dpzaqSWu+d9/kyNVHdmUp21xpLq - mXWk+jboneTSBAcAAAAAAAAAAAAAAAAAAAAAAAAAAPg4pLsBAAAAAAAAAPgsbNuWZM5ZVXtye5/z - o1fV3VU15/xjVgXv25h1maok6a3mecwelWxr1hpjzbLUstTSdbvopbX98nnr8+w5e5s9u/cmd1cq - tYxkJJ3MOdO91iul7q6+GwAAAAAAAAAAAAAAAAAAAAAAAAAAAMBHsX7sAQAAAAAAAAAAwEMYY9w9 - 7+nu3f3pt1FV+6f2dPf91cLHNjJrzLV63yF7jr5e0iOHzvVpOxzGts3aq96dLVkr9aa/gCW5K3LX - vRT3nDMZozrdW+aSUUvmOZdFau4fmqNnzWR+sN8UAAAAAAAAAAAAAAAAAAAAAAAAAADgB0l3AwAA - AAAAAADwWaiqPbZ9Op0Oh0OSdV1zm+J+J3cf3Fe1r/a9DxjeWSc9ljnS93bISvb8dmUc5jlZOyPp - kbWTzhvb9fd36O7upJKubKmuLJ1lrGPOTlfXTC+vfPKNqwQAAAAAAAAAAAAAAAAAAAAAAAAAAHgg - 0t0AAAAAAAAAAHwu9sb24XCYc84513Xdtm1Zlj/8yXv2j5zP5zHGngCX7uYnY6TH6ErSlXRGss6k - 0ueM5HROKl2pTuaoqh8od1/cf7eTmXFeU1V9Oi/JyDjnPGbetPfPZKY0vAEAAAAAAAAAAAAAAAAA - AAAAAAAAgI9AuhsAAAAAAAAAgM/Izc3N8XhcluVwOJzP5+4fkxauqnVdT6dTd9/c3Kyri3D4aeiZ - LaOzjZxGlpnqLDNdORxyfvLk/zpdn598sXRGHc/nTo+rZbwxsP3an0ZVVdWht/rmd7+6Ova2jfRh - rHOekywjPR/oVwQAAAAAAAAAAAAAAAAAAAAAAAAAAPiD3DUYAAAAAAAAAIDPwh7tPh6Pp9NpD28n - ORwO+8Tb2z9yt5Lj8Xi38g8ybngnfV56Oy19WpIta4+k0uuLLf/f8uR/eP7i/7n+7qaS5frmvCyp - w7ypvCG7Xakknd6nd//K3P6D5N+vua7jdJpjpJOM5A3t74w3rRYAAAAAAAAAAAAAAAAAAAAAAAAA - AOABSHcDAAAAAAAAAPBZOB6P27ZV1eFwOJ/Pe4r4XbvdSU6n0xiju8/n8+FwmHN2t243Pw0ztc3a - m9l1l9SuHod6+t04/K/JP5q5TrbtPHOu5JjUm1ZU6Xs97k53Ov968lXy73b/ajnOm+uqWmqZtW0z - Ne4tW5WkutM3EfAGAAAAAAAAAAAAAAAAAAAAAAAAAAAenHQ3AAAAAAAAAACfi2VZknR3VXV3328T - v4s5Z5J9JWOMP7g8PJBKDufT2J70k3H9opPx5XL+7nQcc855qvpd8hfJliRj5jAykudvvwc/Sf5Z - 8rsvDr98NrY8H6mxLt+ctuMhVTnd5Msvn15/9/x6y1iOo18k15etAQAAAAAAAAAAAAAAAAAAAAAA - AAAAPCDpbgAAAAAAAAAAgEdhGXny9J/+/Ff1/Df/9l/7t7759p9vdXr61Zfn66zzqz//1S/+/Nv/ - 97s1h+Px9HyOWnrOzkjmW67+t8mvK//HL/7kL87P/tov/uV/8pt/kTp/8a/+6uZ8fXM+X61X2/Ob - +vkXT3/+i18/+/Yvf/5lrp5mLB/0NwYAAAAAAAAAAAAAAAAAAAAAAAAAAPg+6W4AAAAAAAAAAIBH - Yb3K01/95//bP8z5lBff5lipmUrmmuvDf/qnv/xnSSqnm3MqNV+MzC3pt17975K/1/nv/8E/znnm - dJ1DkpnzTY57n3ukKxm5mX/9yVXWNZUcDh/mVwUAAAAAAAAAAAAAAAAAAAAAAAAAAPhB0t0AAAAA - AAAAAACPQo0crzLy7akOP/+TNXPr7VS5ymH9bv66vng2toxKn5PzOrKd3231Nxl/mWOeXp3nXJ88 - 7Znubaw1+zyynLctY1nG0p3r6+3J1ZJOjQ/zmwIAAAAAAAAAAAAAAAAAAAAAAAAAAPww6W4AAAAA - AAAAAIDH4jyzjKfHdcvczueq5Wo5zs725fJdz2zJPCfnVK6TGulO+u3XPkdusmatkcpWp2WpTlfV - 7G1Zx808nbbzcbl68mSpTmZSSX243xYAAAAAAAAAAAAAAAAAAAAAAAAAAOANxsceAAAAAAAAAAAA - AO/JmtR5u37e5+vjejgsa5+2pfL8lIwcDsfqPF3XmlnXHxPVrmX0PKe27m0Zy0w6fd62qnG9ndax - HpfDzfnF9c3zVLLodgMAAAAAAAAAAAAAAAAAAAAAAAAAAB/B+rEHAAAAAAAAAAAAwHvQNa/HzVUt - x7Gmk5yScViWbOenhzXZTqftMA6n0+nJcnVzc/3u6891zqfDOCQ9Z+ZclsPWcyyHc8+r5Wl1UvPp - etySm8xzzk9zFO8GAAAAAAAAAAAAAAAAAAAAAAAAAAAe2PjYAwAAAAAAAAAAAOA9mBmpJ1uWnCo3 - nR7bdk51RpKZbKNqzvPI8WbLun6ZrD/uErJtbmOM0SOd6jGStUYlfT5njpy3mjPJWut7/g0BAAAA - AAAAAAAAAAAAAAAAAAAAAADeglujAgAAAAAAAAAAPBKVVEZy3JPcY1k6PdNbZjIPfeosNzkl2c7n - pN5t7Z3acsxMLZnJWJKMSvp268u6/xjJsedlQD+qDg4AAAAAAAAAAAAAAAAAAAAAAAAAAPCjSXcD - AAAAAAAAAAA8IntIu5Jky6jMTt/O68o5ScZItnSSeRfe/oNqr3B30kmNV964t9FkZO92553j4AAA - AAAAAAAAAAAAAAAAAAAAAAAAAH886W4AAAAAAAAAAIDHY6ssa5L0y2x2JZ1K5fLozIykk/mD6/m+ - 28XXjFc+2kndbmvs0z2Skcz0UO8GAAAAAAAAAAAAAAAAAAAAAAAAAAAemHQ3AAAAAAAAAADAYzCS - JbOSVJIxk2SMjC2zk1ROldF/1CY66Zq3K8++spmMZN/sZbr22bLdAAAAAAAAAAAAAAAAAAAAAAAA - AADARyDdDQAAAAAAAAAA8BhU5potSbZKZVnGdnlnzCS1npfOtqWTeZvdfscNZGTLXDKX23lbMpLK - yL2ZnX0cWS5vAQAAAAAAAAAAAAAAAAAAAAAAAAAAPBzpbgAAAAAAAAAAgEekZ3IX0U51lsqaZCYZ - e7K7Mrovs26f33LlqaRupy/J7iSZbwp0j+h2AwAAAAAAAAAAAAAAAAAAAAAAAAAAD066GwAAAAAA - AAAA4JHoVNWSZSSj91mV6hyTdTtXqtPbZfbI3vF+p7X3WOZ6aXfXTFLfr3P3qGStP+43AQAAAAAA - AAAAAAAAAAAAAAAAAAAA+LGkuwEAAAAAAAAAAB6DzphJkqUuNe2XUe05l6TSM9mSzrwta8+3X//L - SncnlfTY59YbE+B9+xkAAAAAAAAAAAAAAAAAAAAAAAAAAICHJd0NAAAAAAAAAADwGFQy7tW665U3 - xjmp1Jbem9r9LtHuXD6SmXlZ76tN7vrBFwAAAAAAAAAAAAAAAAAAAAAAAAAAAA9NuhsAAAAAAAAA - AOCR+MFqdmVLqm7D3T9W/75tAAAAAAAAAAAAAAAAAAAAAAAAAAAA/CSMjz0AAAAAAAAAAAAAAAAA - AAAAAAAAAAAA+P/Zu7dfybLDvu+/tfauqnNOd88MSZE0JdG8zfAqUjZN2MlDHoMYCPIP5C0PRh4S - KTdAQGSLIQRLsggiiQQjCGDk/wgCJAHyFCSQIVKUYjlAFNhJDEMhZ7r7nK7L3nutPFTV6dPTM4QU - jkn18eeDQnXt29prr6rHxvkCAAAAAAAAAAB8MKS7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAuCekuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALgnpLsBAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAC4J6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuCekuwEAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALgnpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAC4J6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuCekuwEAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAALgnpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4J6S7AQAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuCekuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - ALgnpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4J6S7AQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAuCekuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALgnpLsBAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4J6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - uCekuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALgnpLsBAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAC4J6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuCekuwEAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAALgnpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4 - J6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuCekuwEAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAALgnpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4J6S7AQAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAuCekuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALgn - pLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4J6S7AQAAAAAAAAAApApHlwAAIABJREFU - AAAAAAAAAAAAAAAAAAAAAAAAAAAAuCfGn/YEAAAAAAAAAAAAAAAAAAAAAAAAAP6i6Hc+l9O/7Ude - UX/0IC+N9i9QT8r5HQAAAAAAAAAAAADgX1rS3QAAAAAAAAAAAAAAAAAAAAAAAABJ0pPl/LkkNa0k - 6XfS3eVY5W45FbKH9HoqdZck6eV8+N1aPZ317tT3e3a+z+Olv284/D2S4S2p5/d3jfP8ZkXiGwAA - AAAAAAAAAAC456S7AQAAAAAAAAAAAAAAAAAAAAAAAE5uY9b1PcPWvaQkqf1cyC53L3ufEnZ93/z2 - 6aL+0p53Hf2zJLb7eT7DiwO+MHhJuzPb935GAAAAAAAAAAAAAIBXnHQ3AAAAAAAAAAAAAAAAAAAA - AAAAQJKUnuGU2a4vvJfk3MBud2LYLRnKlDKfd9SSJLUmxyh2OY2QJOl3Pr/rvu8KaL9Q267l5bh3 - kvLuHHg5XtjPA7405/bS2CVZneYMAAAAAAAAAAAAAHB/SHcDAAAAAAAAAAAAAAAAAAAAAAAAnN0W - sc/167sN7KOhPz8l5faamrTj+wvF7tPFd/YcU9x3g9z9fK/+4jl5cecLR28HfLHhXd5jazjdoSW1 - p7XzVN+3JQ4AAAAAAAAAAAAA8CqT7gYAAAAAAAAAAAAAAAAAAAAAAAC4VV8IaZ8T2iWnD0POsezj - 0XE1ZyjHC58P8cIluf1QktJ6UkqO7+9Wnp9eSpL6rjB3Xr7kxSj4ct71/O496Sn9OMuakpT6/ML3 - GBAAAAAAAAAAAAAA4NUm3Q0AAAAAAAAAAAAAAAAAAAAAAABwVvM83V2S2+j23Z73XT2lvDvanZeL - 2KcB2/miOyO/z0RONyx35vPy5sntsPV4YTvWu0teKH/3enp/3vB+z9EAAAAAAAAAAAAAAF5t0t0A - AAAAAAAAAAAAAAAAAAAAAAAASc6t7pLcaV6fAtvltK+WVup5dx/S6/CeCe5jpfvU6r7NfZd+50/A - vXBFf2Hv80Ol3Qa/j2eVcntuv73iHOBuQ8Z+3tNzKnS/+xYvPTIAAAAAAAAAAAAAwH0i3Q0AAAAA - AAAAAAAAAAAAAAAAAACQJD1p71O4Ttox3d3S67nrXUqSerrghYB3S0/SzpvlfLy++37lpfvdjnPK - cbeepSQ99TiJeicrXp+P/Hz8uzHuO2ceO+L1dozyrskAAAAAAAAAAAAAANwX0t0AAAAAAAAAAAAA - AAAAAAAAAAAAJ8s5mV3P7zkVtEvqcaslQ09r6TVZyrL0ZaxjTXbb7eXlZZK2LHUY0scXstznpHbv - x+Z35jnjmPTbSvf5tDtXtfSeWs8Z8J4sKS29ZEjSU2pPktKTpJe00pLW0oaUklLvjHqYp9W4Sdqh - zUMtPb2m1NS7qW8AAAAAAAAAAAAAgHtAuhsAAAAAAAAAAAAAAAAAAAAAAADgpKa15Bi0Pta7T2nt - lCwlNUltvZU6Jq0lSUodpzYPtV5cbg7zfj1u6jA8H7EkPb0kPaUn231Zr4757rEnT3bZXLwwg7sN - 77Ta5ww1ybD09Jb1+rC9WV89TErKaV7Hk0+TSU9aTS+prbeh1Gmeaq1DXa3GTZLWM9ShZFDsBgAA - AAAAAAAAAADuK+luAAAAAAAAAAAAAAAAAAAAAAAAgCQpyZCMxwp2r8kx2p3jh94zHbJa57DUsaal - 7qelz8vV5bq1UuvY+lwz5HR5T5aemp5eaktSyrgsyZxn2yRZluz2ubrK9pBlSR1OM7idyvHuvZ66 - 32NNKZn263GTJze5vEhNqbXV2lKWpCdD+thTWlKHlFpaz1BX42VP5qUPQ1mW1FqHntbSWoYhpf6k - FhcAAAAAAAAAAAAA4CdFuhsAAAAAAAAAAAAAAAAAAAAAAAAgSdKPyex6u/n8SE8Zsh7Sk80qrWWo - ebQaUofMbUzJdpv0lJLDPklKP43WU0o9jTjN2W//w699tS1LTblYrZd53m63j64eLMtyPKWV53cu - va7LeDjM4+Xm+rCbUto4/oM//H5qyc2SIam1ltRSx5Jz7rsmNX1JWeowZF4y9Gmeh9WYDMOQ/Xa5 - 2Aw1Oc2p3+mFAwAAAAAAAAAAAADcC9LdAAAAAAAAAAAAAAAAAAAAAAAAAGft3O0up1dPWpKatMzL - YbNa9+kwjDWtZ15y/SzDOm1Jliw90z5t/pW/8a/27c3Y2tj70FJ6alJ6ht6Gtv/MMEz7wziOWVpJ - Hlxc7n7wg3que/eSnAPeSbL0Wut0s9TNqtdhOfTvvPnpwzK31F5yfD8r+2H8rX/4h7l8kKFmSMY5 - Q0kr69WY0tOXw36+uNhkSZ9TxvSpl41wNwAAAAAAAAAAAABw30h3AwAAAAAAAAAAAAAAAAAAAAAA - AJyVOx/K6d8hLb2lL0Natk9L69ku6UvmJXP+vTc/v6rlchgOTx4/HMeL9I+3Zd3a2JdxydAz9JSe - 2lsraUOfD8vDq4vr692jy/U728OjvhuWtlpakp7cvrckSU1qWZZkebYvQ9kvfVWztAz1zpR7rWlJ - tsPq21/7hbd7L+vNzXLolxc3vf03f/T9HLvg69W6DHn6NOuLUkuWXsZyvMNPZGUBAAAAAAAAAAAA - AH5CpLsBAAAAAAAAAAAAAAAAAAAAAAAAkqSXtJIk/ZzwHtLSWpYl+216y2HJbvurf/Ubw83NRWsP - NhdtyScPh81Q05ex9YvWlu1uVVLSak/JKcTdkyW1J4el95ofXO/WNU+3h1XNfmljrWn9OIF3vbdW - UmtvrdSSnqvNuN/v16thnpeS1J4kJa0mJVkv06P5yWbIfP3Ox4ax7m9a6n/5lz+zbf0wrKbN6ulQ - fuf7382yy1hz2Obha6mXKdLdAAAAAAAAAAAAAMC9It0NAAAAAAAAAAAAAAAAAAAAAAAAvMJ6Ws6l - 7WMnO6lJUp4XuG+PvHjaafv5Vs+wtPSW9PSW1tKWLHPm5W/9wlcu99PVbvvR1eYj7zz+yHpTDoe2 - 282pKXWV8XDYr2oth/1VqUtrw/E+JSlpx4R3KT3ZrDePd/vXLzattekwjWXs6Utr9Xnm+1jxPm0M - tc7L3FKHkrm1w35/td7sDvuhpvak5BjwPj7XmLRprnMuhlLmqc25HFc3++1Hh4unu+2Qh2+36dc+ - 99n9xfg0c7+8+K+/+72MLXWVmpSakvOHmpKUklLTny/mcYnuLt1L38Vz5bynvN+BF76Cdt7SEQcA - AAAAAAAAAAAAflzS3QAAAAAAAAAAAAAAAAAAAAAAAMAr4xSQbkk59qRbS0v6kJbUtGMuO6k1JS0Z - knk+DOPYkpY2pp5K0i2pNUkrfW4ZaunJuEx59jTPblJKev6jr3z1QfpVr2W7+0pv69bG1oftvpSS - addLesnQk7Q2HcZSSl9SMveWZL4z3X7sXfelJMtheVizHPZJxpq0ued4t+d167vx6tZaPeas+7Iq - tZW+TPtVeZ6+Pj7K7eehZ+hZWj/2vHfzNCRTO6xL2vbm9ZoHS5ZpWkqWZ9Nvf/KtXav98upJmf/z - 3/+H2awy1gxjVkOGIRkybjK3DGOSuaTWDD2ZD1mtj1HuZVlKKbXWU3T8zszreU89Br9faKff2ezp - pbW0Y+S7qncDAAAAAAAAAAAAAD826W4AAAAAAAAAAAAAAAAAAAAAAADgVVL68wJ0OzWgS89w3ndq - RvfUnixJGceeXjMMqYfDYb1aT/NuVYb0lpa6P6yHIdOUMmTa/8df+fLm6ZOHm/U4zT+33Y5ze321 - aodpc77nMUK9lCwlSUpptb8wvV7yI7zr5D+zYxT73fd6+Y53T7izv9Wk9jYu2Sx3B12GcbO7vn6j - tP/ia3/lh9P+sFk/+MQnvvk//PdZrTOs8nSbR2+kJ0NKydJTkrpaJ5kOh2EYhnFYliXnxbnNdd++ - H8viw901ufP1Jc8//8hlAwAAAAAAAAAAAAD4c5DuBgAAAAAAAAAAAAAAAAAAAAAAAF4VraSl9PSS - UntqT23nXHVJhnouQ5fW0loyJ0ubH2QsLalZDeulpKwvkjnTPrslhzmPr//ON74x3jxbpf9MydXF - Zv/O9VjyaLVZrcrh2bOrc6A6xxZ1SU+WmtpT/n+muP+iuJm2Qx0ftmW1L29cbn74w22Z//S33vrF - /ZLrWr7zj/9R9je5XLUyJMNUeivrkpSW9Wadnr60oaa3Qyk1Sek1JUNqSpbTV9F6cihJqUMy5NRa - Tz1VvZPU1OH5jOpPfhEAAAAAAAAAAAAAgHtGuhsAAAAAAAAAAAAAAAAAAAAAAAB4hSxJSzkGnmtJ - khzfe7KU88a55z0kYx3r3JNkO5XVMCxL2py2ZHvzS1//xqObmwePr99cb9rh5uH68vFhmaZ2Vcdx - KPvttiRjzdSW87DpJenpJffDqgyrYbgsq+2yHbfLz242P3j89jqrD40X+/XqW1/64v9d53/wx9+v - Vxc1fRzXKSV9SGkpdbfbXlxeJqWUMT2nV5LSktTUlnbMc/fUnnbuqtccv6ykJfX4jfWa2wD7fVlb - AAAAAAAAAAAAAOCnRbobAAAAAAAAAAAAAAAAAAAAAAAAeIXU2/eSVlPr+cCSLHf70cmQlN08rMZk - SZkyzNlt8+zwt37+U5+8vLicDm+WeWzzmHnaTg/WeXzYXma17tPSep/bo/FiydKzzK2VF3vSpWfo - NUnt7Sf25B+4mjaUYZr2T5KHDx8+ub5e7+dHtZah3sw34zQ+OvSvPnr4229+9Xo+LJv1b37vexkP - GYZcrrIaLi5Wc3Jz2D9YX445J7fLMd3dSpYhOTbPxxxj3ilJyvNu9/nbTHrSdLsBAAAAAAAAAAAA - gA+GdDcAAAAAAAAAAAAAAAAAAAAAAADwCinJcBvnLmlpp/zzWHO4e1IyHoPRz/apLdub7Hb/wde/ - 8bFp/qub1eb6ySZtSUvJlAxD3jnk448u908PV+PFzby9WF2+M20vxuHZ3FZjen9hBrXnHig969Rk - WVb17evrIbnYDMt+ntpyuRqmZX81rqa3f7hOPpShlvJ3//KnDg8fPru6+s7/+j/n0cOsxnG9fn3c - TNOScUjO7e1eU05F8yE59rmPC9bPbe7h/OH5seh2AwAAAAAAAAAAAAAfDOluAAAAAAAAAAAAAAAA - AAAAAAAA4NXQU5fUYxR6OBa8W0tr6TnuXtcsqfXYgF5qpin7ffaHX/r8lz82Dq8dpre2T8u8uxjK - lP7gali26SWbVW3JxVj/6dPtGyn7+WZI9tNuSJZluViVaemlpPTUfup23zaml6S/ysHpniXJOnUc - 2tCz38/r5GEt+2kpJe0wvX65PkzTnH6zvX5jU69vnnziwfirn/1Mee313/j9P8jFVcZpdXWZPvSa - ltSklCRjTytJ5pqejFnK8Wgbk9KT2wD7rSG9iHcDAAAAAAAAAAAAAB8A6W4AAAAAAAAAAAAAAAAA - AAAAAADglVGSenej1KSdgs+9p7ehT1la5uTQMx1++Yuf/3Drn1na+vGz15Ihh5q01ldDnj5b1kNK - zbN960lf5dHFetodHqxW8zzP6UPJ3LNMfbMqfe6nu/eUnG/401iBfyHmOT29ZDjGyHtPsiqZenbb - QytZSh+TsbV1ze6f/fDTl+Oz65tvf/mrf9qWN774xb/93/23Wa/LajXUIbWm1JQ8/6JK0jOcoty1 - J6W0tJp2vntNapakJ0PUuwEAAAAAAAAAAACAH5d0NwAAAAAAAAAAAAAAAAAAAAAAAPBqKD1DS0pa - SxnTk9bS0lfjkJL0KX3KYZ9nh+zb3/7clz7S21t9P7a59gxDWkvp6Ul6ypJ10luW46GStCz9UIds - 23SsTpeeUrJOMvfhnOm+G+1+1dPdveSQVkrSU5JDyTykl5SedcvQUpOlpNWU5HJJ3WUpGUv6bn5Y - apuuH9ay//73/+6nPv1kvfr2H30/45CrBxk3vdRS66GljmlL1j1lypj0VXbpq9SxnJLexxD6cSVF - uwEAAAAAAAAAAACAD4R0NwAAAAAAAAAAAAAAAAAAAAAAAPDqaD2l1CFLSympQ4Y+5LBPSZ4+SW3/ - 9hc//9GpfXSaP5O63m9r70mWkqWmlbSS2lNOze3azqMOLSUtPe3FjHTtKef3JP2c625Jvy+h6eND - LSWtZKnpSSlZ+vOQdmk1SU1KMvRaepKWtJqWJQ/m6dGUj9SH3/zMZx5fPfydP/heHrxWas36Yj3U - p8u8GcYsydSzLr2l1rLvbSi11FO6u5e0HGvp6t0AAAAAAAAAAAAAwAdAuhsAAAAAAAAAAAAAAAAA - AAAAAAB4dfRkyNyXUstu2l4N6xzmHKZs51/52tcfTfsvPN5+/NHVtLtuNc96LktqP13YS3oy19NI - tbckpWe1ZEiGpCX7mlZSktIz9OfR7uOdj8XuYwL8mP0e+3n8V1NJenIY0pNWMrTT4/RkrnXoKT2r - 1JYsSVJKaj1dl5zWIGPP8vj648nP9PE3Pv8LTx5c/Pb3vptll/Xq0cXFoU/T7rC6eJCSaZrKZjWW - uhzHKqdRatp5nWsAAAAAAAAAAAAAAH480t0AAAAAAAAAAAAAAAAAAAAAAADAq2MomaextMztKsnN - 0+wOv/zlX3zj2f6j+8ODZfnQ1eXN48c16cnDB+vD9rCk1pwq3Smp/ZSJbuVU5q5JOceoa08vKe8T - 5L7tdi/ldHl/lbvdt3qy1Awt5Zwq78eEdq/HxRmSOSXntepJUluS88I+GkpvpR3mzdLK7ubXPv/m - 43X53f/tDzMv69UqF7Uv1xnXm82YZGlJTU+WPF9/AAAAAAAAAAAAAIAPinQ3AAAAAAAAAAAAAAAA - AAAAAAAA8IooLX1O6Znm7HY5TN/8639jfPL4Z589e32ope2v1uPTZ082yWZcPZ2n/c2y1E2vGVsb - +jL0lqSVU4H7Nrq9lLSe+RirThtacux8Jy2pxzx1XriqJLW38uo3p0+P03N86iTpNcmQJBlyesae - DKlJq1l60pKe2kpNapLas12WmrJf9mn1Yw/W+/2z15/M3/7Um1c/+5l//3/6H/OolqvVbj5c1AfZ - 9aGuMg5LfX73JEs53uWVX1IAAAAAAAAAAAAA4KdOuhsAAAAAAAAAAAAAAAAAAAAAAAB4RbSWeZ95 - ynb69r/+b8x/8k8vn7zz4WFYl77b7T/y6OLtp7ursQwp23m6zLCUupRTiLu0WpKSVnp6T2puW9Xn - BHdLUs9B734uSC93T8txsAw9vZya0/dASUp/3jKvSenHFao97bi/PV+w9HLcOHa7S5LNuJnn+cF6 - sz/s2/Xu4ap8aKzX17v2f/6Tb7311rf+5I9S5otxnWXKsErpKacmek3Sk3JbSAcAAAAAAAAAAAAA - +HFJdwMAAAAAAAAAAAAAAAAAAAAAAACviGXJ/ll+8IP/7F/5197Y9c3Td37u6kPzYft43l6O9el2 - N9Zs5345ZqjZteWiZt2WnELUtacmtackKS01vZX00lLabYT7ts+dpKfevfnxnCEpvSWn8nQveaWV - XpMMPUn6MXOeVp4/eWslS0kvpyct/bQOtbfzOtQkbZ5L+nSYr1abeT4cpp6aWrLMNx8uq29/8gvP - Hj741ve/m9WSq8u+WqeM7dwI7zUlGX7izw4AAAAAAAAAAAAA3FfS3QAAAAAAAAAAAAAAAAAAAAAA - AMAHpr/XzvKeh8v7XFCe7ytpaS3LkmXO0nPY/vJbn/v5Ul978uxqLm+sLp8+++FlWT0s47N5TjKM - WdUc5j7WbMZymJfVeaiWVlOXlJq0U5m6ne7Sz/O5M5n3fJB6qoCfTq9J6698vTvnDHl56Znb+bs4 - PmNL6jngnSQltR93pycXq/Wy9GfTfpV6uV7fHPbr1VB6Wx2m11OebJ/8xme//PaHX/vO7/8v5WHP - 0Ia6Sqkp9bR+raYkx3x4P6fDz2v78g/nuPPVX3sAAAAAAAAAAAAA4IMn3Q0AAAAAAAAAAAAAAAAA - AAAAAAB8MPptDTt389vPW9envceTxpYky5JhyLxkWCWZdofV5XpJeklNht4yb3N9nWn61ue/8HA/ - vZky9jYuGXvfTbsxdd+nJKuSVpKW9NSS1pOl13KeT08vab31coxtL8fd9Zj07i/O+DjHkjtPczo5 - OY5z55Ff8XZ0Ly3vFSA/PuPxQev5ez33tI/F7he+7iS76ZBklfTSpmm/LmnLkp7aUzO/MazWN9eb - /e7Xv/iVb3739/LoIuOYzUXKOm2VJCW9pM2HoZb0VVKPMfWlpY5ZTvH1lGRIvb17Ve8GAAAAAAAA - AAAAAF4i3Q0AAAAAAAAAAAAAAAAAAAAAAAB8wG4bz+38footH5VTb7knZRyW/WFYr6fdbrW6WF2u - e8mQlJ7sd+lT9ru//2/+W//v7/3ez5dcLZna82F6yXL3pi+2t0/n3N7xnKl+V6P6Pa/6EftfHuEe - ePmJ/oyr9KOXovaUUkotbWll2dfkL602//wHb/+9v/L1f9Km/+of/3EOSzZLhsyHZby8SDKM63na - j2PtUytDTU2taS2pz39Uz8fX7QYAAAAAAAAAAAAA3ot0NwAAAAAAAAAAAAAAAAAAAAAAAPBBqklp - p2r3UJIh87HeXU6h5aVmSWpqScuyDJt1T62XF4eeXjK36UEtmfeZ9nm6/c1f/Prlk+tPtNVU+tvL - fFl/VFSbv2im1oeht2SzGtdDeTpvLx9eTD94+63x4W/+3Ff++Tj8zp/8cV7bj1dj+lzasNu31eXl - s97W6zr0pbfWSoY6lF6TmpJ+zrGXUxf+5aI3AAAAAAAAAAAAAPAvO+luAAAAAAAAAAAAAAAAAAAA - AAAA4INRkuEYVO5JSXo9lpZLOZ3QS1oyn8+vqW2ou3kqQymlpKQuhwe15+Ym0/TLn//SJ7aHN7bT - sByuyno3lHpx0Q+HUxWcV0FPxnFYyvJkmlc105Rpevaxy8tle/Naffjhiwe/8vkvf/t//4Nsatab - ZDWOmyVpSzImpZchNT3H1Pvtb6kkaektOX5W7wYAAAAAAAAAAAAAXuA/GQMAAAAAAAAAAAAAAAAA - AAAAAAAfkH58tQwtQ8vYMraUNvTUpCXTuds95ngkLcm4Sik1yf5m1ZZc3+Rm/+tvfemzT68//OzZ - ejk8XK/mPm1aabvd0H+qD8if07pkv1+WkmFIWj766OLjJZvtbp2UHKa3//RnHt/86ic+90uf/Uoe - /zDZD6vsd+1hreM07ZfDlNZTSkrKOQnfkpb4GQAAAAAAAAAAAAAA70+6GwAAAAAAAAAAAAAAAAAA - AAAAAPhAlSRZkiWtpyU5dpf7Obg8JsN5oxw358O4TOve8/T63/nYJ37rC1/+0A8fv7abPrweV8nu - MA3D0Nu0yVA0m18dpWddx82QacrlZlimPH66S09J3wy19sOD2v/SZvWpDB9/5+mv/LW/nneelKfX - j4aa3T61rIZVUpfeWkt6TcnpdVJTqj+pBwAAAAAAAAAAAAC8zP8zBgAAAAAAAAAAAAAAAAAAAAAA - AD4YvWQpWVJ7ak2tqUntp4x3kozJJhla0pOalIwt4+4wzktubvL46TfffOuvDaufefz0taHMyfU0 - 3SQPLi/aMi9pF5frXtpP+SH587he5gfrq1WyPFseberrm2G1HuZku8y9t1Xa7tk7y+HJh4d89J+9 - /etvfi1P93n6NKvW61SToZehbEodk6QnpaW21KbbDQAAAAAAAAAAAAD8CONPewIAAAAAAAAAAAAA - AAAAAAAAAADA/XFMdNek3O7qdSin/S+cWTL05DBlf8h8+Hc/++lPTPPHe7vY79c9bUlJluTRxfpm - uxtLVjXX220tLw3EX2BXGZ5td2PSk3lqU0tNxpp1y4PN+u394aKUeazzYfeRVqebZ3/vrTf/n8vV - 7/4ff1QuL1LHoZeMWeYMx5/U+dvvJceE+wu/NAAAAAAAAAAAAACAJEn9aU8AAAAAAAAAAAAAAAAA - AAAAAAAAuE/q6dVrWs1cMyVThsNckyU5pLXMczskLYdnuX6Spze/9snPfeGQn219M027nmVTp5Iy - JKmHw5yapaS1DCrNr5jaUnpqz7jUOidlSGp6T012+8ODZOi9zvPY29DnVZs+kv3Hr9/5Tz71Zp5s - M+9TlrQM/dTtXqaW1J66JFPeowcPAAAAAAAAAAAAABDpbgAAAAAAAAAAAAAAAAAAAAAAAOADNCQ1 - aUmOme1+ftUxbV7atmfutY9DH26us53//t/8m9/58hc/sRxe2+3bfnc1ri/Gsptae17pbjmPxKul - l7SknX4KtZd6+3M4VrdbUpOxZ9WSkprWnm0/Wtqn5/affvqzud7m+iaH/fHP5i0tw2pcWlrS00u6 - v6YHAAAAAAAAAAAAALyn8ac9AQAAAAAAAAAAAAAAAAAAAAAAAOCeKMnQk5I5mY9/7KwkQ1KS1kvP - 5TD2zEuWtDnv7P/OW1/68Pbtj4x16inr1cP68PHu+jLDWDO3pZccu8+lp/Yk6ecsOK+ElvSa0rPq - KS3TkKXUkvTS0tJ7SlKSMVmSqWSu6ckqrVw//VRdf/vnP/d/rVe/+yf/KK+9lj4ef1xLzzL39VjG - zNN0GFcXiYQ3AAAAAAAAAAAAAPAC/8kYAAAAAAAAAAAAAID/j717e5LtPO/7/nue912ru2dmY28Q - FCFKPIDgASRAnSJVfOOq3PjOuc+NL5JKUqkkVkJbEqPIEhVZkeNEkkupxE7KScW5SC7yj+QirqQs - kqJEkTIplSWXKJHA3jPT3evwPk8uVvfs2TgRoADM7I3vp7oa3atXr37XYe9pALPWFwAAAAAAAAAA - AACAd0geb8dss8ox3e0ppcbB9ru6H/TdB3//pZ/40ak9Y9ooxhZtGoZhOLG+yFfqaqimPGV5WHao - hpxLqD1GXMvuyyW3bg/3pjfT5JpNIbVl0hJoD8WYH+j7uzF+dJw/ern72R//Se0uNM9F0SZ1RZtq - 3sLm1tf+htYMAAAAAAAAAAAAAAAAAAAAwK3G750DAAAAAAAAAAAAAACdYfnAAAAgAElEQVQAAAAA - AAAAAAAAAAAAAAAAeOdYSKqSpJ002FXPe5LPaqP27X/763/zt3/kxWcu7q/7WWv95axn76zX7l3K - UqkcYl+lGupCLqWp2c2uFX4QlrHK6DKmEkMJS/WhPmSpZj65xqKhaHDNUpdaN61Mc+jlcZyliN2z - rh/507/4L59/Uef3NZzXOo37rcaw9Hk3Sa7kknoAAAAAAAAAAAAAAAAAAAAAXo3fMwYAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAADwzgqlOklSSnLJQuYam3bzz3/mpZf/3//nE7Kztt9tt9tBm07b - y/3YoroGjcXUecmrheXVUiTFDawN/krCFJbhy4GQh5tLdqxuh6m5JJnUW910Zd15KTZKMe0+sV5/ - 8Pzi77z0ooa97n93VeyQhz89i3DRdAcAAAAAAAAAAAAAAAAAAADwGqS7AQAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAALxDLGQhhTIsdSJVaZDk0m7S94Zf+9xf+9jlfK9f3W8XpdPGddp1bVaYrTvf - xnRWrdk8RJtNo3kzl7ykl5RrdgX17sdImmZTSqvQukXJkCJNLtVQH+qb1/Aa7umzNEvDPO+nFuYX - Leuqy1ovhovNPPzIy/f/6xde0n7Ufqtsc7TzQVGU338UAAAAAAAAAAAAAAAAAAAAAN53SHcDAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeBOha8HslJrUrl7M4+3hPC65TFIoVTNWmjTstB/+3k/8 - xOl3vnO238W4V9U8qZeGYVp167HlNMWms/2cZkopTGkKudIlWVLsfiw1U5hMMklS2mG65SM3Sc3V - XLXrO/NhnNer1cUwhZo8u2wfbO0DL9//1U9+7t//yHMadtXizlopxbVj8JDxfuTJtSP20ekAAAAA - AAAAAAAAAAAAAAAAnmCkuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8kUhFquXhgUKapXkp - IC8h5JAilMd0d3o0S/M5W+ao2Gl7rvMHX/rUc88++Mt7Ze+5d5c1reQlvJe3aVyZzDTNaaYWcpOn - TOEKWUhxlXzG48VTeTxmjvX1ZYc+cpPCU0ofW4R5sRrjtDJXpCQ3eeR6mj865mdm/9nnPqntA80X - JYeM1qRJumxzSPM8K0IRy8G5dLsHaZaUoSAADwAAAAAAAAAAAAAAAAAAALwv1JseAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAIDb63oyuy3F7kcnSiGT5JIkV8qrhbKaqY3a7v7WRz72sdSHp+l0 - mktKUklZelMxSWqu1w8qe0pv8BIeL2+3vO4pk9wijoecu9R02lK7SWb/8cc+8T/98dd9s/LuqWma - vKubUlMyr5KW4LdMS6zb5UVS+mFZAAAAAAAAAAAAAAAAAAAAAJ50ftMDAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAHBrueTKoqhKTymkKvVLFtlm+Zwl5JL5kkZOV8TsbdDuUufDFz714gt7fUr9 - ao48ZphrSop9aZelNSfOjQNXeEbNKIqisGNpO01Tk0tzjpb7uy0/GvULz72oi6bz+51FnVvZTyZd - znO60kwmWTRFKtaKGpJx+T0AAAAAAAAAAAAAAAAAAADg/YLfHQYAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADwBlJKP1y1LFWkTiopKaSWylRKSnmTmilNOTfPpmnW+eXPPvf8j2zHp6e9Lh70Ibta - 5hJjLtFK5Bt9NN6XSkbJcMUS2vbj8WFFkxSKs7L2/eUHx/bRXfu5jzyvObTbaRpVLaZ20tcmqejq - aCtKZWgJxNvVdAAAAAAAAAAAAAAAAAAAAABPMtLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AN5UKl0yWZPNkkKKWREKU1geasiT1CS30PkDvXLxpR//mQ9fbj/YplP3XqoZfaikSz665qIu1AUl - ZTxkKb92jTxbpqQslSYr8s7HtuvUNuP2h8d4Ibtf+sjz2m6lQaV1VnKfJg1NzZRSL9VlWUXSIRsP - AAAAAAAAAAAAAAAAAAAA4IlHuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAG1jC2n58vJSP - c3nmD18LlZRJNaXdTk1f+vxPPnO+/RErtt8qmmrmteXpmGSuIaemjCsmSXm8SXKphEoom9x1PkW4 - NqVWxVrTajh/rvZf/PQLGkZNkzR3vcWserjMnitNaTJPW0rgN7ZmAAAAAAAAAAAAAAAAAAAAAN5L - pLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvL6UZtO8JI9T8lAJeUgqKkVdqpOqQpq1Smma - tJ9+5bMvPXV+0e0eaN5Xqevtctbkmk2pKIouVEMlbnr1cMukFKa23KQml9zlJdW5pqZupW3qIufR - YqfR1Wx/8YH99IufeEn3d7Jh9rlz1VRJWUpZJW/yJrrdAAAAAAAAAAAAAAAAAAAAwPsI6W4AAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAb+hhX9tCHrJlgitc6aGliByKSftBl5e/+NnPnbxy/27G - iUovc8lSRUpTmJbZXSoppYh347XS1EyzezMPuS23JksNk/rTsg9NRVmUSpvHe7IPT+2Ln3tR+30d - LqRJmYqrY9dzWayodwMAAAAAAAAAAAAAAAAAAADvF6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAALyh5YJlqUi1Wa0pl253SFPKU22WapMG3X/l7z3/yQ9dXtxTRE7N1ZZO95RryfJQ707JJZPP - 1oVqcEk0vEYc6t02m6XMVExeU71pHJuvNLlGKUxV1k1TN+zubXc/9/FP63JQ7FRGTbPyWO9uMik0 - N8304gEAAAAAAAAAAAAAAAAAAID3A35PHQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDrM6lo - yR7HsXgcywvpMtduVinSPOri/L968cUf3W7PpqGP2TJSaiopd7lJJWVSmpqpSSGXXCo3uHa4bSzl - Kcsl3e3N1MxDnpLJi9xTJQ63RWfF1Tamu9PwsX37+ec+qYsLjfvlyIrUOKm6ihRqdLsBAAAAAAAA - AAAAAAAAAACA9wnS3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADekKWKlJKkKhV5ypvJU9Ms - dVJO+ov7v/b5n372Yrse9zVDpprhKcmbyqyS8pLqmsI0Fe2LRldJ74ILouERLnnKU1pC7652PPxM - 6kKrWatZfVPfVFNzztWrzUOZ56fa9KNzfuEjn9H5qBjkzTp1vXKSUvM4FqXd6NoBAAAAAAAAAAAA - AAAAAAAAeG/wm+oAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3lxIkkxZlLbEvE3aFJ20Qbvt - F3/qp5++f3E67e9KJWV5qC+HRZOWW0phClMzTa6UiqJIlje8brhVXDLJUn446iIt4viSS0WqqZqq - ksldPses1FN9yf3uqdZ+dD//Zx95XsOkcR/DKCksZLEqnXH9PQAAAAAAAAAAAAAAAAAAAOD9gV8d - BgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPAmQodGcpWqWtUszRGxV7vUd1/+wic+/cGL7Xrc - mzRINdWFLCULKWUtLJdc9+xqpjB56ljxbje9drh17FjvNjVXpmVaC0UcDsXDbbmYXlO626r6NLaU - chrupj7e9//BRz6h3VAsFWN22k6De1WTkkvwAQAAAAAAAAAAAAAAAAAAAE++etMDAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAHBrhSwkL3KllJJJIUVzzbo8/+KLn39ubOXyQScrXjKaDlnlq/ce - pJRyCxWLJd2ditf9SLx/mSKVkqeKQikpTAqT5atm9ZSnFJr74udTrIr66tMUXcZ4cfn8U3e1HxXN - 7p2ZvO9WCle+eikAAAAAAAAAAAAAAAAAAAAAnkh+0wMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAcOuFlGqmdKlKEfre+a98+vMf214+dXn/ROr7+iDbhSzkr7rKmS8l5vTavIbX5p5K01Q0FqW9 - 0Ufi/SiOgfiSqqEaMsmldDXX5BqLRtdQtHeNriK1ObqVLpvGOSS1nDaW/YPzX37pxyXp4qK0yaRh - DhUTxxsAAAAAAAAAAAAAAAAAAADwPlBvegAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3jsp - Sa/XL87jg0dec0lKv3piKU2T9rtffuknPnRxcTqNnbSp5XycVIp7bVMrikffLzsuv6TSpFQsS02F - yVPvnri2Op6yq/VPpb36Vek4gyQdsuKvnee6q/mvGuRX81/NbPnwE2f3Zh5SmtIUsqs32qMLX4Z3 - 6FiHSmZJlZBnXEujP9zUh0951PGT39Ay2sO6H99yfRWWUbkUcklp8TpLeYdc/2i7vrXtsJ5pyqun - JleE5KZp1MlJHbZzNZVSM/OZYnlx+Xc/+al/9C+/qamVGNRvhlBvx6PR4uGfhXTZ1VaK5bA9zvbu - rS4AAAAAAAAAAAAAAAAAAACAdwvpbgAAbpF5nmutEeHukjKztVYrP68fP621Uspyf9NjwRPi6qBy - dzOTNI5j3/c3PS4AT6DdbrfZbDJT0vIXDvBWRERmXv/yw3chAO+gzLz+Uykzx3FcrVY3OCQAAAAA - AAAAAAAAAAAAAAAAAAAAeHylFArJ/dGi81V1+tGZfakaR5MvJ4+2nVroYv9Ln3nhQ9vtaZvTlaFx - bp08wiNDFpGR14LQy+fqYfI5lpciHpnn3RCmMI9DdDy0PJCUSmmyZYbDMJZMtV9/u9SORefDNR8i - z1b95TCuzNxra02K3kuLmDJL8TljCnVVkqZZZ+tut5+KVFNm2qUG73bVWyk7tVZrdnW7H7tVrzDP - h/HsZfBzicnSMu56n+fbe17Xc9RhWrlFm3uvLaam6Ku1lilVqZjGkF9Fu12emlOSus52U66K5qau - 2jBnqYrj9i952BdTUdihX+1NvjyQhzykyHe93v26U5f9Esdj1RVLCr0VLYdn7LRSDWlWuGIztQ9K - /YPyq5/+7K998w90sirqJq8hlWU1x1321aUaRbPUexw+IZrkUk1/49A9AAAAAAAAAAAAAAAAAAAA - gFuNFCgAALdIrbW1lpl93y+lQ3efpummx4W3Z+krS+q6bpqm1Wo1DMM8z6Qr8VdRSpmmqeu6zJzn - udZKtxvAOysi3F3SZrOJCEnuTnoZb9FyqCzF99Zaa63v+6spwJu73mMG3oiZLd+Hl3szo9sNAAAA - AAAAAAAAAAAAAAAAAAAAAD8wk3ypE0vSIYdshz7xMu3qFV/a3hnyoqYo02A56cHll37ip8/uPzhR - lgxJYWopSX48wzTf8AzCR5LP72q0+7UfaVdruiTM7dC3XoZx9VLYw3mu3iiptazFVl25HMbO1K3q - y/vprstSU7SUSvExshUvp5uLcVCtg8/3Sxdn3TQv53HX2excGmv5na99VcUPFfFuJUlpr85Wu3So - eYcuBpVOU/uFz//4ndPTabs9W5+Nw16hTd/FMJysemvzvB9WUi3K0KrzavbK0J5Zuc8xNg1T3jnt - Ly/HWeqsnKy77X7n5rLwR1ffUldnm4cdSufHbfkudru/r0ePmVja6p4q4SaT5Hk40TkUa6mXDy/f - /8ILL/7ON/9AZay1pjSluoyu72fNoZAVWS6l+tDVmvobVMQBAAAAAAAAAAAAAAAAAAAAPAZIdwMA - cItk5lLHXE79WcpktdZ5nm96aHgblm63pKV7OgxDKWUpLt/ouPDY6/t+t9u5eyllGIbVakVSF8A7 - yN13u916vTazq+8ky+ObHhoeA8sBM89zrbWUcvWdliQzgHdKa63rOklX9W5Jl5eXp6enNz00AAAA - AAAAAAAAAAAAAAAAAAAAAHgMpSy9mEZTSv0S7A7JlEWTJLlLNV06NKsnUzHFPBSFHkxf/MTnnt7u - num71sbZvWvh0mwekitcutm082uVPNwvce6wY7c7ZanVcbApb6bmoUcj2h5eUi6deJ3auGvt7KS/ - 3I4xTc+c+sVl9JJLWTQXu2gRpbsYWju5c24+nHTbUv/ZV39PpUiuWmWhNstS86RNr+IyV6S8HD71 - ejB8GfEwqriefkrZNM2/+a//WPud1r3GvUpRSsP0H/4bP1MuxzPzszveTfsTizJO+92uRJyYhiEm - qRRl6OXL8c7ZZrjYXU5ZpnDVTUqpWWoWo0tSCS2rnNJsmnzpeUeN96i2/raUkClM8kOJPiwiTUNV - hCznu91qeGX3n3z8s//kT/6gnvk+e3dfEva1ecjG4t55DVmquJrcJTssDQAAAAAAAAAAAAAAAAAA - AMBjiXQ3AAC3yJI2HMcxIvq+H8dREt3ux85SW3f3q4Y33VO8I8ZxvAp1u3tELAfbzY4Kj4WI23VK - M26nzNxsNkt62d0l7ff79Xp90+PC4yEi3H0p6V5NyczlWALeHIl3vBWllNaamZlZ13Xb7fbk5IRu - NwAAAAAAAAAAAAAAAAAAAAAAAAD84ELyQ5b7tVIKeTOV5YnJXK3NK5MenH/xuc99vOnOajXsL0qx - kFI1pNlDCg/Ztfb0beApy5COVWcppWaHTPah6i2FXJKlXN4slrb38va0UMqkjNGkZ/r+u9uxumbT - d8eoZ+W7s0UtQ4voVtOdbqvy333ly/JOXa/i6lYqRe5y7Zo2ndRWilGbTXqG1+UaJcvwSsh02Owp - 5TKG0mkOVZekdaZbbDap0GZTFJahtf6X3/uammsKtdC8/fc+98Iz65On1ifrcdjtdpvMqkxLL1Yi - Li93vXWSn27OYhzKvJeiPRyFLGVL2vpYYW8uT4VLt6zevQzGpCUvfjW0MI2p2mnt3W4/f3i1mfaj - plnn5+un7k2KeY5aqqy6adTcyauOfzQOC9StO5oBAAAAAAAAAAAAAAAAAAAAvGWkuwEAuEWWVnff - 95KmaSqlmBld3sfOPM9LeX29Xu/3+2UK3Ur8FS3p5VLK9WI3fz/gLbqKvgNvIjMlLT/Caq3b7ZYj - B2+du+eRpFIKX34AvOOWH0zjOPZ9f3JyMgxD13X8bQMAAAAAAAAAAAAAAAAAAAAAAAAAPyCTpHIo - RB8zxRYm9VLKmzRJs9SbJM05n1jqLx586a//Wz88Tv18ua7r6r6PTPMwW2rfSzvZU2G3qHdsqXqo - UB8y1GFKU8jd1KSQSh5S5kXKlLKGyRVpIZNMrchDLbWS2jieyVrdtK785X53kauLp+/eN/s/v/JV - RaovcpdMXZW7MhWp4pqbvGyKNEuRqn22sFqWlLilzA4dceUhF20mS8m0G9t6XSwVITez1H43npys - Q5KiRSvV1Belqy7N7dN/9p3v6PJSXv7Wpz71Q/fWT89ttRs203C3633era30m9XF9uJiO4R0etxW - JcNyOXfPcxmpXArP6Nt7vN/ehpLLXtISIG8uSWnqi3aDUlOVhv0rT/cnf/e5T/+jb39D2/Pu5FRe - NEX23qSqWrX8QThU3k1+OIjtDSP3AAAAAAAAAAAAAAAAAAAAAG4z0t0AANwifd+31nSsZkZEZpZS - lol4XJjZssv2+727932/PKCyjL+iq0NrmqbrE29uRHhsLCVd4M0tXznGcZQ0z/Pdu3d3u12tlZ9f - eIv6vt/tdqWUzByGYbVatdYIwAN4p8zzXGttrfV9v/zLcq2VbjcAAAAAAAAAAAAAAAAAAAAAAAAA - /OBMskO6OyVbAtUpNclkx/O3lglVOrHUftCc/id/ek+xkbbz3qROaunNlCYpLOV52zPHeRjfI2ep - pUWT7HB2vi8B8sM2kcIkqZkipXV9sJ+nbnVZu++lpg/80H//ta9pvVHtDgX0aCquYjLN81y72lpz - m21VNc0xzb5Zq9o4DP16tZzObVIxKY6J6KuPNsmUpn5dmhQptbnvqqTTzbq1TIt0t1KlVFl67FWm - aM27jbpekf/Ht76lNE2TpulXf/KnvvPydz+wPtnNk20v7qw6a80jIh6upjJcrmPmXJKll5QUaVfV - c/mtuZLB1fG21NnTlMe93Eb90Fm3v5isqJaVqT07xBc+9onf+dNvadhLRf3ZOCtSXSc/LiWv/QMA - AAAAAAAAAAAAAAAAAADA44t0NwAAt8g8z2Y2juM0TUsps+u6641ePBYys7Xm7kuue7/f67hzb3po - eIxl5mq12u/3VxldMyulkNQF8E5prZ2dnV1cXLh7ROx2O0n8JYO3yN3Hcez7vrUmaflpRfodb1Em - 1y3A91drnaaplCIpM5cHEUG9GwAAAAAAAAAAAAAAAAAAAAAAAAB+EHYsQ6fMNCpcUVOKJVd8iFav - pJCaJM3a7nR++Q8+95PPNpWSW+n0pAy7ZqmiuVmVVENFkm5d8jhN86HGvOSoXXkoT7tkKVeEqZnC - NRV5RteihCtDJg+ZKU2ja1zXf93VP193+6ee/qdf/oqsqK7UQutVG1tZFZnS3VwyRcRyQlwpNs2T - 2VT76n2Z265412qbNUsKpS35aQtZlULuspAO9yGlNEzDqutrL81TxOxd5zFb10uZUlN4dVNIoUj3 - opCsyKU7TykkzRr3v/b1L8vz3/3Upz4Y9mx0+/uXd1KnrpCaa3aFVFIlomSUhxtpufe42rUZ19Le - NyylMJXj2Cwl0+RyaZW6uJjOTBdNcwyl754NXzf/uU9/9rf/8Gt66t6DeX+nri21HVR7dRZ21QK3 - 23coAwAAAAAAAAAAAAAAAAAAAHg7SHcDAHCLLCHeUkpmLqXnaZrMjJTdY2fZa/M8l1KWjLeZLSVL - 4Ae2ZOCvDqSlDX+jIwLwRHH3i4uLq8cRYWbuzs8vvBURsVqthmGQdPW1h4MHb5GZ3fQQ8HiotUZE - REjKzGEYVqvVTQ8KAAAAAAAAAAAAAAAAAAAAAAAAAB5nhzJxpCKWpxbKh5cms1RRFEnjpP3wX7z4 - +Y9PUdq2ycvK/3Lb7vTKcVnSLKmkXAqTbl/yOE06ZMilY4zaDmHqCFOa0g6Dt5QvPW8ppdF9dB9K - uezqy135ra99VScn6juVXrVKNaWU/KSklCEvysycw6tJNo1j19eudktje47ZSw2pq/0ymCKbc+6s - mh3b4vbwvh0L2euuZswyl8tLJ8lqldRas+KS7+exr71LMpmUEdZ5SGPIq5pqV8/qZqU2/+/f/KYy - tB9+6XMvzW0+H8diKhkuecqbwmSS53JcxLLBUp6yZWVv29mhaWqSUiYVeUtJCoUVs0iXnXjeD2ma - urDNpA+V1G5Wt71z+nQblKHV5hCqN0WR37ojGAAAAAAAAAAAAAAAAAAAAMDbR7obAIBbZIl2vypc - R7f7cXS115Zi5dKWA94Rrzq6AOCdcvXT6upBZvJXDd66pdutaz+q+B4L4J01z/PVY4rveLtWq9Uw - DMvPpuVLTq38/5En3DRNZlaP1x4qpUiKCHdf7nX8N+vX/S9yAAAAAAAAAAAAAAAAAAAAAAAAT77l - TFBTSkWS1KTiymmyvosMmdLC9qPm1H76pc989tndzuZwr6E2t+g6DalaVELd8dIacVjwMUF9i7ik - djyJpKRKhqSQwjVKVpVNnXSnaTnN2otFs136sDp5pXbfqfZPvvENrTrVquryIjss1izssOpmLklm - snIIhnddf7W1Teqs02vS5sWqHSbF9TL21WxlSWh7d5h0NU968SVErk1dH+a2kAbrQ6ouW5Uax1p5 - s66UTqedxr3q6T/49h9rP/ynf+1nfL892w8fGq3stpvSF2/71kqVzTopZpH7zDCp63fj/qT0uk1n - oocpTGbKUE0VqU+fQ821T3WeU6SlTqWWCmV1PTVMf/+lH/vSt/7Itru6Oh1nuTRnk6Ud/0QsG3mJ - vnP2EQAAAAAAAAAAAAAAAAAAAPA4Ik0BAAAAAAAAAACeWO4+DIOkWuuScHb3aZpuelx4d61Wq3me - lzj3Um2/vLw8PT1d2u3uPs/zEvam2w0AAAAAAAAAAAAAAAAAAAAAAN6PUtf70Cal1KSU196jtVZi - btPazTy0HX/5c5//0HY4m6alkSy5UrJ4WKROSWqmZlK6tNSkb1G921J5zDBLCkVJmbTu6/1xPr27 - udztsmmWulJmtcti+757MIbu3RvO7v3GP//n6lfqO9W6ZLSlq/x5PEyWX20RSXYoaiuvHjy8Nynt - WhD6YZDbD1NNeW25S3vbDst2va6UDrlwP75pNlVTuHx5HiFJpVStzxShXerp03/8u1+VpXbDr/zU - v7np1uN2f2ouazHrTu/jGIN0Wu18jjLv76xXwzBUe01+/EbFcaNZuskl1ZDkaRHms6IuQe7U7EqT - zfPdYf7bH//M//itb6tGv/JhN/abftD88A9HHpacerjPAQAAAAAAAAAAAAAAAAAAADxGSHcDAAAA - AAAAAIAnVkSUUnTsN5vZNE211nmeb3poeBcNw+B+uALR6enp+fn56enp8rTrutbacjxIMjPq3QAA - AAAAAAAAAAAAAAAAAAAA4P0rZSaXmhTylDJmWci8L8U1adj9r3/j3/7w9y5PNcjm2byZl5BJGTKp - hJYGdkhTUUglvMStCx2nKeSWkSYp8hjDHsc5pYv7u6XYfe+pp7/z4OWyOf12X165s/mfv/wV5azS - 6+xUzeRVLl2tnS/d7iZJWQ7B7GvJ7SVd7ia7Cm/nsZR+Pf59xQ7TX7WE41x+WI4dKtTSw0XlMTK9 - vKWoLLnuZecsn1bSD29MaVbI/PTOOEV/9rTGWT79+u99RW3+wks/du9y+8OtrqZxP4+TdLqx3S5P - TBGa90Pvt6vbLclTIZeWeLwkdanSNLunxegeEctF95bA/Mmmtu32+Vp+8bM/9g+/+WXV1WpV2jyq - 1pAOcfo4/LNJtpS/AQAAAAAAAAAAAAAAAAAAADxWSHcDAAAAADfGdd0AACAASURBVAAAAIAnlrvX - WodhkLRarZYHdLufeGa21LjdfbvdllIyc57npdpea12C7vM8m9nyGAAAAAAAAAAAAAAAAAAAAAAA - 4H3Ol/tSUy3UqiYNg3bDy1/53U9YN2REqmWUJTCdMsnz0O1OqfmhNm23LOqspVydSgtJlkvbWnM5 - dMc/sDoZhjGi23t+++LS733gL0r5h7//NZ1s1Luqj2aTvJTiUp+SP9retquO9xt8ug69bx0z3st7 - 3yhufrX97LjQOEy8WpKnwsyvD8Nevbh6/Ig4LjQO742QV1tpbuYurXw3alOrrGizUpt+5/d/T1P7 - lRdefNpLGfOk5naa3TWG7mzsfJfVNbe3tOXfM7ZUyaU0zRaWKulVUqiZh8fs7hE6bt79br7T9Xfm - 3H7ve5omjZJ3pevaq/bL7TuYAQAAAAAAAAAAAAAAAAAAALx1pLsBAAAAAAAAAMATKyKGYTg5Odlu - t9M0ubu7k+5+4mVma63v+3Eca62ttatdb8erEA3DsFqtbnacAAAAAAAAAAAAAAAAAAAAAAAAN+PR - zPNSYe5TSh/mufYebVCk7o9f+uinn6/W5n0omqmkQpFSmkoeAt7LEpaFlHQ/lLLjvV2lN7OUxSXV - kEthClNzpVSyng/bU50M2V925ZWnN7/xu/+37pzJzlQ6TU2l9KYijWqSNVNZWuUphct86WunHcLa - kkwqelh9Xl5KKaRZWtrf/TJDXnW1JT88NpO0hKivcuBx/T6P96/KdZeHD/0wwpTyWPg2yUMezdXU - JFPx7X5/tlp7UTN5Z0qzdN29o/321//k6//Osz/64ulT0/n2Tnp1+bp9b9eukuC3hz8MbEczza6S - yqYiraQ5NaSaafRDb95TJlmb55zPov+FT37qN//oD1UndWe9/LD1lnC6qVxrqAMAAAAAAAAAAAAA - AAAAAAB4vPCbwAAAAAAAAAAA4Il1enoqabvddl0XEZk5z3Ot9abHhXeXu0sax9HMlv3e9/0S8Ja0 - tNtXq9XyIOIWXQcKAAAAAAAAAAAAAAAAAAAAAADgPWLHm2RSlau5Qn2pJbWJqu38xedf+LDZPO8H - zZJb1sOFy+xYgpZSPstnr1KtrdaQZchu1/kay1BLxpIV96viuGnvnt3Z97z/s1X9puI3vvZ7untX - J2dadyrSqiikuVnMa1lRSJEKWVylnZcPuN7WlnStnC1LlXw4+7Xsdcgki6WoLelhC/1qeVf57ZDC - FZLcpEMv/PpK5qO3V63/cm8+ZpsVko0xFunOeu1SdaXrwXaQKWs3l06np1pv/q+//Itf/fofnn/w - g39eV+er9ctjhOt0XefptsW7ZSlL6doWDotQSFGkGiopLd30Y7q7RXTF7q38wy3+o489JzfNs9q1 - reeSPdx9AAAAAAAAAAAAAAAAAAAAAB47pCkAAAAAAAAAAMAT6/LycnkwTVPXdVf3NzsqvNsiwt27 - rhuGITNLKcMwLLv+3r17L7/8cmstM2utrbVSyk2PFwAAAAAAAAAAAAAAAAAAAAAA4D21tImbFFKR - SnPlIXpski5G7dtv/Y2/+Wx2MZxvTmSjYnZXGS3SrkrYSmlwbyqe7qmVZpdmqdlr6tE3qoRWCkkh - hR16zDU0ur+S83C2+VfZ/ukffVPrlWovq0pvc5TqypRbZrp7RlimH09FSfM4rqXpUIY+PLmaesXi - 4RksGTJPucxfNVcqdIh4S3KXzK5S3H410+t0pF/T6s6lCy4dU99KeUjFVsNuf7Lx3tdtnlukZZa+ - Wkx3T0rEbJJHUamh9FUnL7/2h1/Vfv9zL770oXJ3PY67/b6T2usO44ZYHrZOpFzqQ5KaKaVMmaKX - 19As5bFVLylN563VYaudnvvgBzU0qWn1sGqfCpMUrryWaQcAAAAAAAAAAAAAAAAAAADw+PDvPwsA - AAAAAAAAAMBja7VamZmkpdg9TdPyFE8wd4+IYRhKKe7eWrtKtl9cXKzXa3evtS5XTbrpwQIAAAAA - AAAAAAAAAAAAAAAAANyApQ/tiuPJFX4oE0+T0rSf97//9dNxf1p9mHQxX3+TTLI8PM9jDtkVrrTj - PO8Ny+XmV1dUs2PEOuxwkw6vXsWXQ5q8XtbVK6vN9+49/aU//P1/+iff0lmvdR+1qrpSpfNpHuQZ - OZv7PE1m7l6WSPaSwc6lBf6qMV1veJtkIQtJyjjeUnnsiEspz2OeO651ux9dzzf4iNffKIcNEGqh - CEU7ZtolRep0vS4pk2qptetKXzOjuDc1d5m7uynkXZeltnWnOxvdPfntb/zBv+rqd1cnr9h68JNm - 9TV74Wrkbrnc3nSc77xY1r7E8fg0tePWujpE7PhUqZONl96eKuruv/J3PvWCplmtPbq14+F7AAAA - AAAAAAAAAAAAAAAAADxu6vefBQAAPH7eKDh07VSv62cEvdenOX0fnKyEJw4NMPzA3tNzkt8FHPxP - slv29UGP/58XAADwLhqG4VVTMm/f1xm8oyIO3w9ba8uDpdstqZTSWnP3zIyIUsoyf2aWUjJzKbvP - 81wr/x8NAAAAAAAAAAAAAAAAAAAAAAA8mZb2drElGZ0q1jJkXqamCI2X/82PffYD04ONWu3ssimL - 5ghLmcJSnkqpmVLyXALZLov52Et+L85GNlmqSCZPeculIB7FFKYxlNJ61Q/DNGf2KlONedapWwuT - b86t3L9z59d/9//T2YlWRbXKi+Ruxw0kdd1KkrtLqt3q+krZ8XT6cn3S6/NXz2CPLMGuzfOqU/Tt - dZdsrzPttZ9u8odR9uujsWvzHvaUyzVLoZJSkdvxJXOl6i53m3Wnov/hW3+kXfzCZz4fyjvj/TLM - fd+Nw3RqNmem1ORKv/6RoWjLGr6bh0SaIkPHinwcjszDSy2VOrTSJaVU8nABvos5wrVJ3Yu57Lc/ - /+kXfuuPv6Xm8qJUjqOtPH2epY5L9gEAAAAAAAAAAAAAAAAAAACPITpqAAAAAHA70SEGAAAAgHfY - 0u02s/V6bWallGmaIsLdr14axzEza61XtW8AAAAAAAAAAAAAAAAAAAAAAIAnj5uySZEym6PJXJKy - abv7z1/8fHnwyjPrlUsXU65XtRQPi7TwfNhgXv7pipKxpJ+bxdLzfg9YHsYQilRIsUxp0i60WpVS - dL4fM/PO6kRq86x+7Q+kP1P8+dn6z+6d/fqX/4Xu3dHJWqtNli7NDynrN1oBO94efWZvku1+hD96 - e503vtky384nLR9nh9tbWKwOvW171YXpUpLcV/djzm6lzUonq9/8xtf/ZcYfZz5Yrc7HedN1kbku - HpLZEnK3WKLqj3zEuyvt0O3Wa3ZgmpqpXZvh2kuudJdWTU+N0zPDTvtBw6BZkrxfy/xif2nK5BIQ - AAAAAAAAAAAAAAAAAAAAwGOo3vQAAADAu2E51cffbJb35iw34DXe7qH3dk++u5WHNmff4X2Lgx8A - AADA7bLEuTOztSaplBIRmTlNU9d17i6p7/ul3r1arW56vAAAAAAAAAAAAAAAAAAAAAAAAO+a0DRN - 3bqLnKsXtTk0K5vmeGq7f+bk9PLyFUnrTjm3mLK++/Xlt85SLg/F6EqTKUqopCKlvi9TGweZ1Y1n - X30cLou0Vne+1/be2Sub8t9+9V/oZCNzrTyPFW3p+kUr4vtcueXJYtcvSHf9yh0pt2zZinlIJSf1 - Lvk//vY3lMPf/vSnXygn+8v7K2nforr2kVkiVDLcUkWpDL99lwIJaZYml6VMh1WuodNp/rnnP/Xb - 3/qWUtmfKCTVk/XJ0MZN2dzsmAEAAAAAAAAAAAAAAAAAAAD8/+zdS6wt2Xkf9v/3rara59x7m91N - 0mRk2aJEiWqRFGlRlp1BkACBRxkFBjIWkHjgyIgsI4AoWY4Uy3pYiiToASWOA2QQCIbzQCA5yiAD - DTLJLIGsiBLVfFsvSrEssu89r1211vdl8FXVrl27ap99Lm/3Pd33/8NB9T61V621atVj73vIVf+n - 8AL9/8KJiIiIiIiIiIiIiIjoBZdSEpGu61TVzACISF3XALquK6W4e9M0zO0mIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIqJ3OIFoZcBNmwHATbsON9vv//CH35Vze3XZAS4AZNv5eZWec28XKKAuKAoXmPSr - 2rarUm2QJtXFStd1AKSuHmvzZ9XDLyb96d/5FB6e4ay52tTXEAMAePwIIDase5E4UIAy5HZPYtrV - pZH6TDYGeNOgSUCLBwl1+uUvfOFLqo/P33VV1TeAVKoJRayIF7E4eQDtB/c+cUERuCAZkgFeGaoE - POjKe6+uvvdD34zk4ugyCuBm5+leJdcTERERERERERERERERERERERERERHRqarn3QEiIiJ686xN - A9OTSz4rhy0ec88mW9Gt3tzz5/6dD3c6n1+82ZhERERERERE91hVVQDcHUBKycxSSqUUEck5N00D - IOdcVdV2u2V6NxERERERERERERERERERERERERERERERvYO12etGsuNsc9aVtkbBtvu7H3rt/ZdX - r0iSSqVoowmlbOBtLuleZherQwziUEAAgZ5BK0sCvc4tIFJpnfAnbf7js4c/+4Uv4lxxltBoBz1H - EihcIchAAQAI0DznfXreBA6IDM/McJTttjrbbC0XVYjh0aaShLRBKT/3pdf/9sc+/k2P/T3bmz9r - t6+cJSvFJRdADeoQaAYM0Hv2CA4TiKNyAGpICgjszPJfapr25go3NyieHj3KwEYTSotUP+8uExER - ERERERERERERERERERERERER0Z3dLUeTiIiIiIjugt+3iYiIiIiIiO6RnLOIAEgpdV3XNE0pJfK8 - 67qOSO8osNlscs7Pt7dERERERERERERERERERERERERERERERERvEgdSLQa4AYY6KbYtrrZff5O/ - rqnb7ROzzgVd7jqzWtNZfb+en+CCIuZiyVEZkkMcpY+Ilku7rCutta6bB5fQP8jlK+955Wf/4Et4 - 1zmaxqCGykzEK5jCAR8rNgB+z0Km31KCgv4HEdbuqLRBsY022SxDilRX7qUYRPHyu/7pZz/9g7/7 - O18+f+APHl4UcYEACVkkA+Yw8aSu9+0RHOpQR3Kow6U/5AkoN+3L1v5nf+VjUEmGBKBzCHO7iYiI - iIiIiIiIiIiIiIiIiIiIiIiI3paq590BIiIieqsNc4V205l8rSjRSe7X1Lh7R553B4iI3iT37gsE - P4/e2V7gBxwQERHRM1VVVdd1IlJKAdC27cOHDy8vLzebzXa7rarq5uZmzPCOSG8iIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIqJ3JAfazppaxczfeCzAj37rh//CxeMt/JXz+qLtRNIZmgRcWav3bHKxCVxM - HLX1z3UoQFa42EbkHM11vtC0uc7+p/DLr3v/z37qt1AlbBqoKNBt203ToBgAqAKoYGlX/Ys3c1mA - BAyh3Q4IoAIBYIA5krZX12lTC7QASVI6Ezg8F4Hiva/8yBc/+/0ffO19rg/zdWO5cqijqMGRvAZg - bn5vHsEhDgUE8AgpB1zM3QyoE2q3R288xr/5Ct7XVHUNTzCF8BEiRERERERERERERERERERERERE - REREbz8v3v87nIiIiJ4DRi0SEX0NXN+uSyIiIiIionsm55xScve6rgGIyOXlZUppu93Gr2dnZyIi - IgAiwJuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOgdSYCm1mymDkmbv/0tH375pn1V9SWBl84dZtYh - iybt463vnYiCjvTlov3P1stFblO9eaLyp2f1j/7h7//sp34bjx75o4dblZvs7qjrBsWQdIinBgwS - Px6Jzvdyh99kDkyn0xiGsOpa4WjOzmutFJKgCeIwc5O6wYNHfnaG8/Of+cLn/nTTXFW1A+qQoS6B - yf17+IxOk7ilQEoRGNApPOMvmP39f/u7cPFVdB0gMM4zIiIiIiIiIiIiIiIiIiIiIiIiIiIieluq - nncHiIiI6NlTVTMDkFIqpQDQBLPdjCYAgEk/WcwAxKSxE5eyUt5taT0gIoBPs47eyvSjW9sSkXg3 - Sj61sQlVdfdnvndj9+5U87h3p9cZ+VWzXThSz2zc3qahVofnSYxDXEprZQ5rGO2KPVWA8X1ICIug - shiB2aly/LzCyf0/8fw8vd2Z8Wb4rBzeJd6i+xgS4o7tAPT0pQxb3bWGKB9TTZ+i3ckSgAF64lK1 - ci/x2l0AE0nj0l3Gd7/2ZdQJ6JE6VavFdsdtb61hXIr4dL8W67m1zqdo9079uXsNd9r28MQWx9d6 - BQmmV+Xq9X7YkED6efhf2/eTu96XZm1Nf326+9VhB9a+zyz2MzZf3ORZ3d9O/wJzyufs9DvbU380 - LLZy+G3w9GpP6cOJ/YwOnHg+PN2XwzD7iLz1+/Db9AseERHRmvhzWdd1GD7mYk0wM1WNz8fxXRFR - 1ZyziMRfDL7GvyMRERERERERERERERERERERERERERERERE9XwIkwMxqBdoOl937L9pHYp0VEZSC - SgCIQ7fWJcALZIjKvg/UURwpISdsC6ozcXfrAKATSJOuRf/8rP7RL34GmxrnL2WpAKmAlKSffJy0 - CFxc4AmAoZ8pL7NU5xeFAzY8aGBcU4BUTTK9HeqiAggcpto/VaNIqs4foE4/+6XP/PAHX9sUy2V7 - LmgExeDoEqpy79K71YECLWqAxendCTpDXUMvr1+FfM+HX/snr38GD9+L6kU8JYiIiIiIiIiIiIiI - iIiIiIiIiIiIiN4BGN1NRET0DmRmkbkbsUNVDe8jtPeKuffzolTgMkwQmiw1YZc+HDVo1L+bT7W3 - PKhhmHQ0BgE6sMs9GtfMlilVZtl9HnCouhcCemLC5UEkZ9+H6evDkk9NBGPHRCCikYkJrPZXRCJC - 1ffDz2fdGX9fG7fF7h/fqf3BgYgCNk2r2m/x9kqme/QUCaSRVvkMsyGj53EUSjlpKMajdjgOpw/m - pHW4L2fW3smt0enrrd8hdjReTK+ItavjlGpPiX6/65DemvM9KxBxa9jfqePdjvJrmxxuPpafeXaR - 4YpIHXYBIEgiAqjtzqvlpSABMe/ZAR1riDxvkSqC6LrcLdYwlHe4RPlYv9au9POysbfsj/ukV5JE - RCSZ2UJ5xPrxtgZA3eNGHePps3pKKcv9kb4/Y8/d3T2y93Ra81jn4nI4jh4tjjWXUvZvwseORX8Q - +2MhUcOk/wu3dBGIpNno7fe5b3fc08Vl9Dn2fa0/sxFbW8ZIDh/NfQ26eNyRfHlMoLK7Hh1+19zu - SOme3qwOKlmuUEXjKsJe0YX7wfFbxPT+8LV8eRizmZ+6nviaN/m+IdO31u4/qtoP/sFt7a6tL25y - 18jnxc+dI+0edvuwJ4fx3oeO359PH4pxPMem7/qhdqTYiZ93d/1ucKQ/z/b7MBER0dtaKSWl+GaL - s7Ozm5sbd481ZlZV/f+sxuhuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJ6+zPb3mhd42aLm/ID3/qR - b9Kk266p0BnEIQIHssIcjd/L2GKHO0pBXSFV6asX+ZUGxdBpeuz46oPqJ3/vd/DwLDcPMmoAOsyI - 7olheI6Jj09liamW93Fv3wrTyHIf0szL8EyB3Yzt4Tk2PiwBZCBVSc42P/ap3/kvPvqR9zWNXz05 - KzirpGRvke/boBpg0KJwQTU+YEcgmvJNec95ky+uXs036Dq0N96c3bf+ExERERERERERERERERER - ERERERER0SkY3U1ERPTOFGGQIvjrf/2v/bv/3r9TaSolT94XdZjBzLLb9fXlWtqlu5dSSik551KK - mbn7GFM08/jx46XVMvRHSulK8ZzbUtwsjyHcs+V225nlUty9ROi1SAKsFB9fu0uUKaXL2RbriTI5 - W7Q7tiiSIio7SrqXaOvycnunQW6ayiybYRoBOc6zGqbkRUCk48i8vD64cwymBfqw3MOCe/+ZLWXa - 7uFWB+o62TSn2yNkGiq7eiIWNarQlR1ISd1tL+fa3R2y35ldDDwWk2rRp6rGlt5ntIrC5wnaPZGV - XROI9rW5OQRuhhjPpXZVxHwXm+7oy6v2a0ThFutXew5BXSXzYiX2ApBp+OVCSujxiNPprg3R6WsX - 6fL4rK1fb9dmxVZC2WW4vdyh/6pSik03eYpMcRyNpJ2Gvo/9BGCWV8ovW6t/rFAGMQ631j9m9Iac - l8uvjee42oeM3xNHzsc6h27vYlkBd9jKlRX2I4pt1uhhb2fnz9gJEQFskgh7av9nbaWUfM8t9exu - OL68frGVI+vdzezp42xn43mkJ2PLi9dIVVUeXZkM6RGHB+7wMjy8Zm+tajyf92/9t5sO4TSH2xbP - n8M+YDmrWPpA9NV6putl6D6AfPwyOKzn4P4wi9A+0TjyY0/iRTl5PI9kfh+5P8/6OU0QX6zqeP9x - cEYduX/i4Cqb7sIsw/v0CHB3V+1PzuGGN4/3XiOTbztD+eXr7vTxPB6YfRhVrqpx3GdDdNc89cN2 - T9l28Tge2ZCR3kRE9CJQVTObfjHbbrcppVLKdrvdbDaqut1uq6pKKY1f8omIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiN6uHFonPH4DVfM9H//EX7y60nxTK24ykgCCZMiKojBHXXD/ZlNok+qrsk3AeZWu - LvJ7K6CDOc4evPRHUn7yX30eD8+RNoLaDKrTFGqLYOqk/cNHCpBUMTxD4sUkrsDwfAuBCFRQ0P8k - QZLZ8zMUsAxDPMzOAVekBq/qj3/m937w27/j/VLb5ZOcu5caIKPcp5F10Q7qgiJQWDIIUAQC1Kgr - kevr9tWEBvr3P/xt//j3/wjuC490ISIiIiIiIiIiIiIiIiIiIiIiIiIionuP0d1ERETvQHVdd10H - QFW+869+x9/6W/9JU9XFuoj8FBG4KuCGSACVSiEGny8FyVHitZvEmvH1Yfnl9QeRjWNY41oU4jSa - dBYyOq3KBmtR4qo6DXrdRY0OUYuzLq31Z03OeYw2nwapRnrT2K6ZRYE4KIdSSmaWc+66ruu6sbaU - EiZxjOO4XV5eHtnfaC6qih5GPYeiQM55HJDZOEw7Hz1crCcKlGKlZLNhBh48XkvEaEMi/dfdLi4u - XRbir+u6ida6ru26nHNn5u5WabUYl315eRU5kkOucN+WWR++GbWVkkuxIXZ3oZ5SLOcu52JWYk3U - KaIR6B31DyNRci6L9eRczKQUK8XM4MNEROxFik4ThZfnFKY0PS1jEx9rOBQR5oeVjSFi8dYp7U7O - YoyTSfcj0ndZq2v1RLuzPY3YM3efZEnfYkyvn6S77mpYbLcf9r5vPg1znezArv6VemSaZDyZOzmN - tt1FR996XGYJrGvl18bTPQ8byhA0eyzidz+Ft99qHMNpSHCUWYvanUWSjxtG+dPzW/cHXERcJIl4 - zqdGHcdBPxp5vnA9zqKvo/XZMMe2x8/nhZRoERG/Y1LzYcRv1LRaz1p/puMQPQFUxMvKHGVVBcx9 - vLP5Ws13MtajEIjD58vViPT+OtIhxdvcYyb78lKQZmscAphKFfvlKIA6ylDPCZ1H3FuBu0RE9/2f - ZDPfNVz5eEPHvxetbTh+z1mrdmb8foJnuguzr2drvT09E/pIycMPkSPdX7/fzpfjO6d076CVeTOn - n1fTL2C3NnSknslHzBBjf5eb1LO6MxAREb0DmFnTNG3bRlz3+Oe18d9ZpZTNZoPh37lrf48iIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiJ6mzBsOwC4uH7v1dW7klfFFOYxcTcm5LqZRmj3qfN530oiskFV - nemTm/YcsAzR6hL6r3P+sX/1eZydtWlToSqdn9eSM7RCvxcCzKeE6nQOv+AeRpW/VeI4O6DD9N5h - hQO7Kf4AXCEwWD9WEd0tKSer3vXyT/3m//sDn/jOV3L3SpHHbdvcswE1wAQmAEyGM0IdDu26XAEJ - SAVnJT/ANbpWSo1UQ+7ZbhAREREREREREREREREREREREREREdFtmCtARET0DtR1BQIBSnEROT8/ - d8uiapbdXR3DhDEFIArxAjfAZ8tSMmAiKZYCjxBY68tgthRNwDC9arLMuQOGOEERFYHgSPRs6fr1 - gl2KorurKhwiEktVuIjr6uw+LwXD5ChRASL010X6fETBkDQ5pNneaZzrVGM/VHsxaHwsc0qUYz9E - quP4zIK0sR4JWUqJDVVPmugVKbxjnZFEdRj1vYtoXal2iA4V97IXsOp9rGyscS/uUlCq1CxGv5fs - 0zWaIEia4NmXI10lRZ2xjLZcXaUaY+atwFHcxFGS1ovtJq3NsxXEVqIe5aOeiPCGa9QDsVi/FnUf - ZcYY+yHfS2Yng7tfXFysHZooEHHppZT4de16ubq6mp6E48Edy/sQwT5WtVhP27aHUe5mVtf1NJk+ - ehUdO975Usq0tpzz7AIJV1dXi/UMEdFeBtGNtf5Hc4f9n7Y4HYfjUfRjaP3YnKoejkMppW3bxXqi - /NjzsfNr/X/8+HJx/a74JOLXAV25XaUk7tgFOcetaXi3D07225OnqyQ+Lda37rN2b41Cn/QffXo0 - Cg5nMY893IuK322rfWz5NBN9r2OzZUq7KPexdWBXT7/xbWG1VaXDbXJvl+72aTG0OKYOjym9a8dx - HM/9rHGMPZkkspuv92c4hLf0Oe7uu1E9QRwLM+/Hdn95W0R9GXs1fIIvL70v2S8lwsEB9wLp6xJ4 - xLJj8eQZejt0YG+NrUZ0r/Z/6aTx418fFhsZT4lpl8xOOgAx+FiKhF++gnY9GW+Pe92YnWbHe76/ - 4V70+PE+H1Idc9D3unR0F1bXzN46Us84gMfPeTnhTnFKmVnhMf19tv7w7Drle+lh6vnpIdzT73VM - 7yYiIgqq2ratqkZE93a7xfClq67ry8vLCPZumsbMmNtNRERERERERERERERERERERERERERERERv - ewVoO2T/4Y9+/OWr62TX157PRWKitg/P60hu6jDo/UvvJz6dUQAAIABJREFUti7fQNOTm/a8rpsM - eHrD9U/O6p/48udxtoFumlJ7Lk2VYKgVKAY1iBagDBPZdRLk/aLPtxxjuacz+CePkJHhd5dxfTxr - xgCHAgUQraoNHHj54U+//qm/983frK02pd00Wram92+IdYju7rmeaWOe4eiACnhvVf+nr33ov/3s - Z/HgJVSM7iYiIiIiIiIiIiIiIiIiIiIiIiIiInqbYbQAERHRO5REwKWVUrpu62aAVVXl7igWc+Em - iYDLkZmbTT1EzYqZucOswy7q7yBi0/ciNsflpJ4Iz+3nUc0iokdjduB+lqED5u5mPs0+PKKq0ljh - EH5s7t6PA8aq+npOjMxcNHSmD/B2H2PCI7NZjuQhqqY+f9UsxsddsBvnXfasKoaaF9R1GkZsLyR4 - baBUNYLMo49jIK7ZPNJ4qHY5qrkbo9ZFpsddVYZNFYC7ursiFc9wADZbNpt6GIc+RBxSSsEwa21+ - vom4SKSG69C+ANKWVkRUHQ5RESgUQNVHlsp8Waxz9zgLRF1EoA6XmEfn7mKRCw5RB/r1h/2PMpiU - hLgIMJwMw2F0Adz95VcerR+XKOjTrOi16wXDJTPLAY0c97FA1GZmaxHsm81mDJkeL7HFbNGIo26a - 5kj/d1f7cBKO/R+rPez21JiCNlY1G5/D8hGVPTvtZ1Gp4zjUdb1YT0ppLDPb98NxMLNbx2EchFiu - 9X+NIEW3x8T0tdD0sN1uD3PHsX9cop6IOT+S1TrGtE9HY5bUO95n1qLo43Cbec5dNOsOdxPRyZzd - 3fLy8qpP+HaLNd6nbsu4vhSL2syKalqsZ7tth2Eo0xpKsXgdd7xScpTpurxYj5mblZxLzl2UjPUp - VbNeAeJu0f/DeuJdMy+lH/5SbNzHw+XFxeXiuylV7vFxXIZxsL2Q8n2q/VuxHBOLxxs+xhBr271e - M20lNh/LjzHJ0+XS+RA/Mk1rPhKxnJJMT9LhUML7mHBMXh+PDF9YM81TnxW7U4TxrfnWR7aaDema - qtK4jqZj7g7VWZr73XoO7B3EW7txuOF4ggFQlVJWN16sdrH8iR1YjLfHfiz3kfNhcav9JPT519Gl - ehbiro98O1371Fu7Dx+5P88+4w7LH35sHZpFvwdVXVxPRET0QolPz+122zRN/BtTRHLOm82maZrt - dltKSSl1Xbf270oiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqK3B3e4/Off/lfec3Hx0EqjUtXSdt5o - yuYGA6BAZQDggmMT3Z+TApxvqrbzS/crabZ1k/7S1//E//V/opKy2SSrsS2ySWXbpaaGF0BgQIJD - x3hyDOndGB4MYcALmM/sseMC0T6JG0M+d4xPwjBA0r+fJonX8ZQNqEZFbfbmwQYtfuG3fvOTn/jE - e/Hwq5eXD0XV79dUVoWpI01XQK7sWuBnIptKb7rSXlx948NzXDzG5hwVpxQRERERERERERERERER - ERERERERERG9zTC6m4iI6B1IU3IUKwZBSklVRWNelAEuOkZTWsyAWov069p2fFdFhhRqrAXHrtXT - bq/j3aA61tMt15N0SDeEiDjc4RBIRES6RyT2mIW8Fm24bVsAEWAsIqKS+jDpqNIj23GsR/WWLPA1 - Q7I4hsxgnfwq7rdEFOcyJnxDVMahznkeoQ2gH4clXdfKnv6orUUwOgr6xG7z3TAgVWnaW5++tyQi - lg/3cTy+swToaiWCent1ud99xGDYyry7w/MwGqkiGhYRDIuxD2vjoKpRGgCsAIg49z5cFnGqyLh3 - unKe9/MwfX5aqsh0/MZiguV6Stv1wdtAFSG3/fWydD5Mo6D36vPcbrEfXK2ACtZO8/b6aqxmOAT9 - 9T7+GuuqKqFKa+NpXR6P4HDF7Z3P42BER9LK+Zy3N9OToRrGwWwlQv5mON/6FPdh3KLdoZ6+V5ps - ZTzHcU6ToRNB13X7pydSUiT1lf6U3MXmuru6FStRqcdpDHtTeZ1ujZKtqldnoe8hEtmnW80yzg/N - apju+7TM8Xoi5Q5Q9+Iu/VxdcUCHCct7S5HkXqL87F0RF0njmrG2xWVKtVl2l7GeKF/Xm2nN7sUM - Zlm1Wqsnto2tog8iXorPehLLtXqmez3t1ZHyYw/HkQGsFJ+Owziqa/WU4ma5FM+5jdfRel1v4nWU - jDKldDnbYj2q1VhPzlZKF/UYFGKCBDG4OoqbOMrlxXWs2Vvuzgrk3HVdzrkzi8/05Sj3rssRnT6G - pkdQekSnj+HrEYju7ke+n0TsfQSnxwXi3n8PGM9eH1xfX6/VE63knLuuixzHI+f/48ePF68m1Yji - tqgqejVcLAsiHjJ6PlZoZnVdTy/2qDDqXKwnOj+Mg0dljmHO/EoY9irB9PtBMV/5WMPu69tiNSe3 - W1eplNJ321dD32+9yx62GLVNPzExub8didDGcDSnZdbK13U9PYizb0eLa1b6v1xs7El8i4iT5Eh/ - xu8PY//jO/OtHSAiInoHM7OHDx9eXl4CqOu6bdtYn1Ia18Rncc6Zud1ERERERERERERERERERERE - RERERERERPS2Z4bL7j3Zziw36lXpUFBV1U22CkmhhixAbTBBAeIpCXriXNS3RJNws92qpu3m/I+0 - +rnPvo5H52hqpORd8cpxLoDJRqCGHHNK49ELfVpzAsSHR4/EQxYEqX9KxIsV4W1AzFJuYpAAAA7D - GNE9TuZOEc+twwPs1Ps3NQ2P0mga6bquVsG7H/1X//L/+eGPf1fVqXXXwH2J7lZArT/ok8OsJnBF - s2naq7Z05qk+V+Q//Tc/8onv/Edf/P3n118iIiIiIiIiIiIiIiIiIiIiIiIiIiJ6SozuJiIiegey - UiAGICKS27atUhJxwMQtJkiJCMTF53GDU1VVTTMLb41oXRMRR9MoxEia1JXI3llQ4hgWO+vAmFy4 - 1p9pitKYxzktcJhi+xRm/ZxGRI89j66u7e+stnHziF087O1aV5umGQucEjZ5mBIakY0RfXr6UZ4F - Q06CjdPhylv73wdmu2MIG15rd3xrsebpCMzCOA/7P55gseZwHGan3KLD87bfBGXWE/S5octVpSrG - KmqzSA8XEU1r/c+zcYjmmk3lOzYWMF+JAE97x6gPdI+ZkXDAzd2t3wuRteRxaNrt3bT/cnD6D8O+ - HLU7jEPfHRvqOdru9F5hsQNVnQCYme8y4CNIe7meSd/iJCwxglVdDYPTp/6eMA5j/w2A2dPcP90c - 2A3F9CAvlm/bm3EXprGsqrueTPZxtZ5ZSLe7A262EC08nPNr+xX3sTjKcR66iAwR7POliA2D3y+H - 3PmI/p2W91nJ6TLndrojIgmA+7h+15ZqvLtcz3h9Rf/d4Z6H0dvrofuYR47DpXskT5dxzEUckP09 - WlhORq8AUI2h7kdpuK7ScGTny6ap3JOZudcYzor4nBpvSvFZE4nC8Xm9ZrzLxcmvqnlyb8Hko/bI - 57uIqFSIU0BMkEQ9Xs+jvsWq1BTrSnZHUalEXZAcxQritahHZLgVuHtVLf+JI+7e417HIPSf1yoK - mcSve7TtAnGsLQ0er5MoVLzYYslZzYvvHmllXDZVna1YLtE3SRrrx3bHvbBciludqrX+GDz2MbaK - /lsu03Eobl7M4NeXV8f3K1vJbdeVvDYCsby6uDR41Anz2ZjHeFouXcmlyxH/vliPZWtz123b4qYQ - qERv61QVt6hZHMWt27Zt7o4cl+hPm7vcdtlKjAYs/itxCyhmcdLcXF8v3yCGZZf7MHo3O1LSzdqu - 69q2mKkIRKL+sUVzt1K6nCOvPi65w3pUJJdScu5ytlLi/HIgqUafIRJlctdt2/ZIPdFi23UR5z6u - Xyz/+I0nx8eBSy7fRksiojUicnl5Of23f0qplFJKaZpmzO2e/uWHiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIjoXhunVMkkhdoBGKxDt/3kxz/6/svrdzVVe3W1EYiizVlSY8WfY2a1CRwqHt2GOsQBwEUN - /crkAFAM2FRvWPoy/Oe+9FmcN7mpLG2q7FWqiuCmu9nUtXtWT4iHNggwiWqW3RAN89AAOETshZ2P - ZkCazMfTYVRmhscZQAVlP+M8Nq3rGp4hNV5514/99m//4Ec+YmIvdajMUpyEgMXDBASCWNlvboi5 - 5W/uLB6J/gMQeN8uAE9JLq/alzd1aUvrVkn1LiBfXqG9RlMj1S46bo7dqaKACYDx6lkcOCIiIiIi - IiIiIiIiIiIiIiIiIiIiInprMbqbiIjonUgMInAvBeKoq0odcIdAoDHDyT1mAAkgQ8znXHlmQUR9 - 6urYPwhE1lPTPAr1r/fSqycFInX0SKuznO6F0r7/9lNNm9vVOfRKkMY1086fFg6+y8WNRNRd9dMB - XGJlWmAYqvVRGnd52qJj6P8dR+PWHPRbw9EP48ZPDDk+UvOteeHTMrP02adLdp/1eUjzxfTUvdXi - Ob/When5NlmJkn14uXfhrI7p4rU2qVb2zs4793+92HqPTqtnWv6ws8N1oYd7dLyqoaIUGc17mddj - 7SePA+54Duw1dpczcBqZfLjV6cHhiy0ubn5bnfsDN9S9ttFhlPuRXT/y1uzy3R3Qwwv0qdx1wzHP - fvHX2zbs23yKDpRi+/X4LGLQHaVEkDlSWv0+MK1k6Lyb2Tibui8w/ufIFGh3eAZMoX2xMnm9v7Tc - CqxS7We695+Lpqr9xGczwIc1goPzZ2gUEvPD+y5azJxWwByIOGfHeL9WdxuS2BeXkH7atHgxWy0p - Q53WB0P3W/XL21oZl6XbuiAJJLbyEjWnsc6IEgcqhYqq21p/RKB9PTDx6H+SXQ0KQKGiJjh7+SXr - S3LJJWpN2Q3FXCVBOit5225zh2KL5SvRzop1uS3Zc8lusV7MpyULPF5fPn6y1rqroFhnpbRdW3L0 - Ya2fpe22uSttV+AJgqRint0SJLYqcM+lLbm0XezRWrtj/1Es+hn7XuAohqTxOm/btmTrlq+7JJLN - vJQ259J12SwSX9eu9ydvvLFcD3TsVeyj5xL7uNh/z2U6Dq4S4zDbiyjTWTly9LPb7DiONYj5+Lof - +e7UO9uwXyiH91gFrH/tghSPqbBT6xxr9v16xHfvHjd8+9r9uvaxmxLM1r+lS1/Vrf+qPmwito0N - xy8DY5n+X3inBZXL+j7P9nTkkwKnjENVaSk2fXdW+MShUIX7woaLW6kurF/bo+m74am/BK45Mj5v - hhgZ3/1hZLf+Vmv9nI3/8cFc6pI8/XfruxrO8OnuDv/EEBEx6/O2RSb/RsSJ/xLc/V2i6zpg/LYs - Y263DsZdjhfjv4xKKSmlxfqJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjedB4hxAZAIj+4n/lrEFhR - FWyv2s2ZIF9g++TV9uKl3GlnG0XxiKwGLLvAHB4zgyRmoZoB+iZPIhGgCLKoi4pHaLT14cpA50hN - c9nenNdogG0H1+artvnDjfzClz6HRxurU5EGgFYSW23qDWBVqgAfkrknj4DYezV5Ldh7RsGLQYcH - 0vWzyPugc90rMdjNnxHI5Nd4tsJurpMotMamwqvnP/XFz3//B7/ZTR56+1DF8hYQV/WUipfkVlt/ - BLIga3JAHHr7vMCnZi7YTX2LGe1iCni2c0VpOwC1K7quFmxK+b7XPviLn/scHr6ylU0FJEC6FrWa - oPTDYikywF37nXnRTiMiIiIiIiIiIiIiIiIiIiIiIiIiIqL7h9HdRERELxB5C6OkiIiIiJbYm7a8 - s3g6AJdccnnr0szF3eHqIqq1ijZVSlLXNZZEuGkY1wCYRpnOEk/XiEhsa2buLiKqaisJwJHVGu+q - 6tiNaT3RYjgSrTrtv0xE5dNelVJUl//EqqrTqmKradrriUrpd3ysbRyctXbHcZh2NbJmxzXuPo7q - Wj2Hx3F6cMdeRXNVdbc/Ncd45pxzzlFDNBTtjkc5BrmUEjG6a/WUUsaqonvRt8Pj/saTJ2v7O1bV - tm3Oebb7Mznn6P/Y1fG8ihdjr8bDscjMzCznknNXipmVyA4W0XEJiLu5w90urq4WY7pF1N1KsZy7 - nEsp2cwBV+hi+SdPLtwtap6shyR1dzfJpc2d5dJagaPAFWKHy64txbrcmaO4CcTcxFGS1rM1JXux - rmvLYj1uYp6jxZLhQKQupyTm+310mEM1HukisQcWsdPjo0wO9tinayZl4r99zLn3r48Eosuw7bTk - cIWcGqk+j1ePHtpe/bNlUjU3K/2+jK2L7JW8vf+ya2tsXRRRM2Z7tx4H7sO7Q4L4Lbe1iAYfNzx0 - Ylh4quDePwtn+BQBgKpSs7iLeEp1hGeX0vV79DUbdzA+g8YbrLtfXV09ePAAwHiZM7ebiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIieO4MClhARwgDguZNmYw5P2DxscHOBq8tPfsuH/nJnzThDaloB+tzu - 6Xq929y4pxGNKmBDW+IQQIEC1Km+brdJpRTPBigeV5uvPHr0C6//NhpFk26gKSK3Y/7LLnnadvuz - iPnK2EvgXi2x8HLh1wmFKBKQALWf+ezr/+AjH9U3Lnx79Z7moZfusuTs7klEYII0HDh12FtxUAzT - M1/6NWlyqo/Z4Y3ZK1eX2F5j88CbDYDcok5Nd/1EHpx7xMzvVUVERERERERERERERERERERERERE - RET3AqO7iYiIiIiIiIiIaNU0kzUCm6uqOp57PWZdT2sopSxWGxHLh8xsWsn4ei1qOuqfplNHrmqf - 5rofCTvmcB+Kbcdejc2N2dLTuOuUkshq/8duT3t+vN3FmgAZRhUit9Tj7qqYBVdHnncM0UFDx8Zz - cgQAuJmP2d+RVS0iKUlKae/BLCcwK+6ekqRUA/XYsZTSuGtjUriZrZ1yYxI5JifV9LQZh6V/Ny0f - r/G8PQxuX98FG1PMZ5XMztVpGPlM7FdEXMNV1GNpBRATpIi1Hpex5jD6elw/BmYLkqiPNR8pv1u/ - G1WN4RxDs1XTYhy0ahouMpsGjadURdy4DGHUUWatnogeH6PERfo+3NxsIwA96o/azErOZazZHaXk - Uix6slh/lIlQ8ygZffP9sOuIP3e3q6vrtfjraGUekb6yX2+88Xht3KJXZiUC10uxUvKsP+Oybbso - WUqe7Ve8jn2JvTMrXZfXxiFGL1qMcZj2P0Y19tHMnqxH3ceLnPMYdR+Xz2L5qGd2nY71zKLuZx8W - Uzl3UcBsyPkWACjZMFzcVrokaqVrmqZt2zvemVaJSNxIU0qllKZp4kPq1Vdf/fKXv7zZbFR1ejcg - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJ6DsagboFBkwwrAak2pXipcJNtU+FM8d/9B//RN1zomS/P - e3ouxqjmTTEARQAgOWLakgJXZbtpKkvSXncArrX+opRffP230QiSAFWDpA4FUCL/GUngEeYdXJmp - /NwkRS0/8al/+Q+/7WOpyxft5UNogtV1c2PZoUX62OzkUC8GuNyj87MqeHepvv/bP/Ezn/9M3Zxj - W9UV0Ob6wcMME6COM00ADJP1eLIRERERERERERERERERERERERERERHdA4zuJiIiIiIiIiIiolVj - GjGGOG0MmcqL5ccy4+ZRsq7rWbWzFzMRaTzGbx8pOevnNHoZQFVV024cpjsfNyZ2Ryj4tKqxucUN - D0OjY3kk9XxRH+28v1NH+l9KmR6vcZO6rqfdOD6YwC78eywfDtdPw7NPN0b/znYq5zzdwdiXtXx3 - TCLSp0f2cHB2EexHz9tZ5vfxiPex/HhuAIj+H6a8x3m40n+DKGCQiMp2N4OoiAMGmKi6m2g8YSbK - Y7Y0d7gBCphD4BZJzWPNs6UDbqUv7wY3kbTbd4lR8ji2ImLWLfe/DMdLRSSufQek625mAyX9M22W - U5m9332PQg64FwAPHm7M6vEojxe4TMSaUsp4fh6KWOXpFTE9aji4YNeur75+1zFMPaLWS/bFiPS1 - ZQSrj2sicN1RqtQslk9am2crMM/R4jTWfaxtLLNWT5UaRxnrGbeNqPhxzdiftfvVdKhjVKdXxKHp - HePwrWm10/vMoaS1DdCfEv0dqaqa3/iN3/je7/3erutUxTp0bbtYyVOo67rrusgUL6XUdd0OlX/l - K185Ozsb92u73W42m2fVLhERERERERERERERERERERERERERERER0dMR9HHXY4iwGzSlAjysVLdP - cHn9//3Wp78hq500ze4tpQ4BBBaTnAQKQGAFeFhrW3LbwiDXenbx8OEvfu538bCGODZnXSlVqmMS - 1WGtb+1O0BIVPHqAOv3D3/y///EnvuulJ9p2V6qybduUAKijcofDBCawmCzn9+YUrQxnW3t0XdCW - qr5B9QgCU1FXEaSInneF7Pp8b/pORERERERERERERERERERERERERET0QmN0NxEREREREREREd1i - llp9PCJ3usm4jNDTqTEAe7GeiFKelTkSlT2NlB6Td0Wk67pZZ27Nrp7Fe49bzWLLh2KrUbWzAYlN - jkRBr3SmHHYJwFryeErRydjEI3cZQNdtj7Sy1O68byJRbRl/HfPEj1Z70jNNZhHvh/HJh+dPX/tB - pPpY4az+cWcW65mmDk+rOpIajuGsGKPl3X0akT6tZ/24e5wXQKRKW7wWSd7HafdrIrc7ISKW50vV - SlTh6nC3OFQQRRlOg8Of2N3JOLuIiHmM5mwcqpVx2O2X94vYZFNV2B3H4e31664f5/2oeADWtTKG - irujj/aGlRJjFwdUgASHQFfORLcigMpQfpBz7lscV45tLSlt3E+SiAMq4iIQd4mGY+MTlqVkN4sa - AFVx0QSIWwb6CPbpshRzL+4isGgx9spFhyB2i15pEnGBLEe8t91N1CniEIh4JMRr0uHouIgLYrDU - bCVqfbiViYiqiPSXYUTXHzpyi5hcmn1VWL9ezLPDIa5puGajs6pXVxd/42/8+7/6q//rd3/3d//5 - n38FQF2ntiuTW9Bynafouq5pmrZtq6rKOeecz8/Pb25umqbZbvtba3xmbTabUlYjz4mIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiN5cEhOONMXsE0EZZnV4UgC1o+q2uPG/920f/0ZVr4Dl6SPPhzpiMkgR - S94HkAMogIl07tZZ3UhbvDp/6c+8/lzboVGcVZDaIXWqSmdJYqZSP5EnJq94zCtyZik/V6Iuat1l - eve7fr+WCvZuiFbYiOjWBcgQE4irwixmDq1OA30OFLZxfbkrf/e1j/3S5z6L867UtW4SDMn7U85l - N4uJcfFERERERERERERERERERERERERERET3BKO7iYiIiIiIiIiI6CSqekIU8V6OcqSrLub1ToOx - D01jg4+XPOzPNPA7op2n2x6P7p7Ge49rIuJ3Vg/62OPl/pRSpiMwVns8Cvpwt4C9/PKxAyeO/7hy - jDafdh5Hx3+6nEawT/doGne9sgsrEcilYDI4YwJ3rD88RmtRuNPjIpNU5lmxcU1Zf1ZH7Oa0M1iP - DJ/luI9rZlHxY1Urx8ty7iaDgEipFklmkdns44kMAFC4AYDMl213szcODhGHi3g0XHCwjKTw/TWA - 2XjJiEAm5//iOKQqjSPQn1GxY553B3Ey5GvHcez/MBYSTeecx1jovUj1JJPH5sT4R3zycj+rqpqG - ghezMSrefRcxPp44a9dX0zRjgdjKrJucbzZbui+MfNTj+4Z3fbEeEY9MayCJSNwW3KFuJrNW4mqd - 1xDLlASIQyD9UJQWQEq74wiUsYaUlu8PqmkYAXOHez8g65HVe9fd9IKNFPDZLetoPXp48xGRBw/O - t9vta6+99mu/9mvf8z3f8+lPf7rryjN8OE90r5Ty6NGji4uL6+trAJHbXVWVmcXnY9u240lCRERE - RERERERERERERERERERERERERET0FnMYYBCIDfHVg64UEdkoUDIutv/W5XZTbJvbSu5jwLADRZAc - BjjgQAfXGmZ43PqmOXtSbb6c6v/+i1/Co/pGtEKyXJqElNQzpBpylIepNcrY7nvAoQWoXnoZuf0n - r3/6B77hg2e11uVqU+JBeCZIcHVYp3ABBGIR6H5fKORRsQ+0jjcuUVelrtvOzivtz9SE6bQ0g6X7 - eHkRERERERERERERERERERERERERERG9cBjdTURERERERERERKvGdNVZ2OpaBPUsZPcwgXtqFtc6 - tR8le6xkGGPFx1bGyORpZ8aq1qJhp2HM062m5fcDsJcjfqtKJ/nlfbOAH4/cXl4vBnhkOPtt/R/7 - M9YXravGfs2HQlaeNxL1R6pxX7G7O0RjNQCB7IZLdeW5JSsHbajfJ+m/06TzGJDdoTRbjtCu6zTs - eNTjZtGf5fNz7UEX/Y70fYENPauq5T+hW7H+ipjUICI6nLd9f6wfPl05vlVd78bBzI+FoGNI016w - qZtZEDLcTVyGwOfDqnCQOw5gmpNtQ7411qOULc+PS4yDu6vsPd/naIQ5zprN3k3DDPGsElF4X0ec - cH2XYrGfTw/3tLy7aG+202TwSiMiXdw8zvLY52jJ3deOV9fuRaSrRsy4rEa8r1wX7fZ6OlxJ+wbX - xgc+2dnxAMGrqooTF5NL/kg9PlwdfT66aFwTpZShEgFchmDvtXOx67pJxjpEpKqqIxHv8/tbfKB4 - fz9UoB/KIep+bTytjBnn42eNwf3yyeWDBw+aSpPgPa++8r/8T//jJz/5yX/x6/8HANl73M1TJnlX - VdV1XdM0bdteXFxE6ymlcX9jRx48eHB1dRUx3k/VDhERERERERERERERERERERERERERERER0dfE - gAIHrIlZOY4kfXj1uSYXFL+pvPvhD33L119fG6yqKpTl+SDPkaGflFRktyJVsu08beBJL1P9ZbNf - +v3XcVZlrQQpAVXSPri8QQEK4DCJqSvDrLLV2XT0lhBAC6AJqNDUP/2Fz/7Qax9635/joe8lrGdF - UYWY3L8H5HVu0naP2sc/+tGP/5d/+nlFp3Xdz1kPs64YAAAgAElEQVSSPmZ+OMdsEhxPRERERERE - REREREREREREREREREREz9N9+38mExERERERERER0T0SKbxhjF89Euo6S86eJmHjMMB1PbJ6sYlp - H24tH8HDY84uhpTcsfxiPWNk7DSgd9bEdM1af2Zdmg3LYuHF9aIO77eKONjxcCyXHwZ81u0xj3na - jTHv/FBE0s5GDyvnw5H+Yz2I+rAz48pxLw4H8LCfs05O65lWuNaNEMm703RnDPHVi+XHKOvpsXD3 - Mcp3dvIcb3faz2nr05J9VbY8nmOU8rRdFbGVqOLZ8O76UxbGYXYVT40RxbNzcvZ6uneL9cR1t3i4 - 3b2UEkc2mjOz6W5OC68dr3qISMfBhTnr25HodABDlLu6F0DNikgSMfd4iJHOlmvr63oTr6Me92IG - 96JaLZZXraJkrBFxd1GYFTgMrqLuJrGEmCBBDK6zZdLaUeCKftsc5ZPWon09UdJNgLJ2vOJYxOiZ - WRyRMXj70PQUGo/CeLWOByXnHC/WouJV0+wkiWz6hw8fAui6zszOzprttvv5n//5D3zgA7/0y//0 - yNE8Xdxn4ipz96qqcs4553hRSlFVM7u+vj5ysRAREREREREREREREREREREREREREREREb3ZFCgw - IEVGtQskwoQBAFJypQXtzcO8fVm0a6rr7XWtWJmB9LxYH4EsGGbTADF1Cyim13XzZ3Xz05/5DM5r - 32xiyo04YMDWcKYFyECBKUwAARIQmdHok7zpuVGFO6SqIYIH8pO/9+mf+sAHu+3W4eJQcxOzmEgn - SPfrzAQAEamTNrl7JRds2yol1LU5NE41qADqPMmIiIiIiIiIiIiIiIiIiIiIiIiIiIjuF0Z3ExER - vUP10VPok5OYG0RERERERE9lMfn41kBirCTgLqZxn96Z4+0edvKw/K3NHd/k9HEYo6CnlRwpvx7p - vYsiXoslXuztYrdnrRwZjTFSd7H+WxPQT+/n4ppbE7uP9HM58fo2s6zoWzuwdlac2Nykor7/w4BG - 5XvrhhZj1XL9KS0crzhWRxqf7HP/38XjfqSexWFwh0iavIETR2WxmMguKH24qvZynacHfPbWQRk5 - bGXa7X7Fem/7Mi4QhasI4OowgUIEfurSCiCAAyJRm0BF410cLq2MJQUuLt6/hgoAUbgB6maAwrG2 - tP5QCrzfVnSIGHcBxMs4mipYfe6SAN7HwosgxYi54eh5IuO2w9q986fvmQwjs1gPDu8SZTwuKQng - pXRVpe7l+77v+77xgx/6oR/6oe22HQu4ibu7QETMLCK34wSL/Pg100s+5zx9Mcutj4+etm1TSpHk - LSKllPE07rquruvT73JEREREREREREREREREREREREREREREREQnEqCBesy4EAiQJNKSDWZwx9X2 - 73z021+rcdVtU64qiN6zp5E0KtfFPWlX/EHTbNubqkZn/dwa9eaJbn7q87+Ld22QEoAm5qXEvJCN - QlD6/PIiQB3vOgCo4PbpefQmK44Us4esQnOGYn+Q7cHZ+Zm3dpOrlKuq8W1rwMPzqt3m593fOXNz - Rwc0qfydb/3If/P5z0NzSeoCAAlIPsyN4pwhIiIiIiIiIiIiIiIiIiIiIiIiIiKie2M5f4WIiIiI - iIiIiIiIiIh2XPu09fuzxJu9fDuKxyjZ3/yb/+Gv/Mr/8OjRQxGoohQ3N4dHnHYAUEoppVRV9aya - d/eXXnopErvH3O6cc87Z3eu6BhDx3iIyBoETERERERERERERERERERERERERERERERE9AwZxCGDA - mOENBZJhe4Wr7t1vXPnV9uGDeluyJLX7FDAsAMwbILkIkNvcpMYLxACDNJs/b85+9PXX8dKDyyRb - t1K8T+aW3Y/DFJaABBMYDDDD/Qoof0E5ADEXbFughqcKm81//cd/+EW1r7jLJm0LrrftS5uzl+tN - vs4w6D07cCJwFQXK9dX7W8N1h+5GxQrgb+PZWERERERERERERERERERERERERERERO9w/D/7EhER - ERERERERERE9F3q3H7/jz5td/4v288K5+yn05v6czgBcXTz5a3/1O3/91371G//y16fUP0fq7Kxp - 6iQwdy+lAHp29gDQUp7lg3xubm4itBtASglAVVVVVUVY+MXFhbtvNpuu655hZDgRERERERERERER - EREREREREREREREREb3oHDBB1uRQwIACtECGmWSI/ci3fuSbWnlfksurzhO6Su5ZMjLM0QJnkl45 - e1RQcilJKnXUOV15/Q++8Ht45Ry6eSBnjVYpiWPIJtfI7e5/a4AKQAYK4IDjPmWUv7hUcN1u9QxF - kDs4El4+//k/+tLlw5duOjw6q19K6WZ7g67U0PqePR/PBNmRmroFHm6qV7bd937jN0EM6GogRW+l - PxXheFGnpBEREREREREREREREREREREREREREd07/P/1EhERERERERERERER0TuJvfvdrzx58sYH - v/kDv/6//28f+9i317UAuLlpu66U4iISodrb7baqKvdn+aSpzSB+bdsWgLtfX1+7+6NHjyLV+9k2 - SkREREREREREREREREREREREREREREREL7xdVHAaHi6mQAWoF1h7dnVx1l2l4g0gQN7m59jXRQY8 - StKV9urmSZOaum5KcUPKL737T1Tx4BxaQet2W6w4YAYrAAQQuMAABRIgAGwYDVdwDsc9EOnpm2bj - QHGkBKurN8Sx2fyjT33qq0htlutSNqKG0sEUuG/Z8ptN8/jy5qxGuWmrm4tXRZC75CZu0VXHeK4x - t5uIiIiIiIiIiIiIiIiIiIiIiIiIiOi+qJ53B4iIiIiIiIiIiIhoBZ/OQBMub3b99uY2QG8zb+/7 - z+PHX91sarPcNNU//2e/8uM//pP/7J//z+6oa3WXtisioipmVswg8gyfwdR1nZmdnZ2JSM65aRoA - InJ+ft51XV3XVVUBaJomfn1mDRMRERERERERERERERERERERERERERER0QsuRUy1CSDQGpACFKB0 - //FHPvodDyp7o81ABZxDvUpeuufd4wlHXel1tg1wVjdfKe2281frR2+k9Hvd1S//0R+i2aDeQLDZ - 1HCU0iElwHwyC0agCRGhbJBhgt6znDhCT8/NzLNo03UlNakAOW2QHFWb/+LXXf/xv35flS7LNQQv - /f/s3WuwZOtd3/ff//88a/Vlz5w74iJLChICIgQkNgWBgO0UZSAvEhOHSgylOJeqUHFMIAk4sUUB - rhCEYlPYAhlbVJQSiVWAYpdvKTu8zqukLAojbEAYDMRUwNKRdM7M3t291vP8/3mxeu/Zcy5CI+19 - Zs7M91OrerpXd6+1Wr1aI03383zXm8Nud7+P94Vaa+tiQ6hkeik3N655r9vSaiWXqrpCx3j8q3tk - FgAAAAAAAAAAAAAAAAAAAPAw4de9AAAAAAAAAADcFyHF+ZUH5BJ4SKw342a7unX7ufV6XK2Gt7/9 - z33/93+vpGmKiC6ptVZKkZRxxSe/mZnZfr9fKt3jOPbeW2vTNA3D0HuX1HvPTLrdAAAAAAAAAAAA - AAAAAAAAAADgypjkUpEUUnfJJKU0S89Pn5+13zprUh2Upt6jtwep2y2lad9SUjGb5skzNyerj/TD - R9erd/+L39Z2JRunufUmSbv9rpTiCleYwiRJfpxSzSWXVanKXO5MtPaAMK9DqWqH7Vgs5NJKY9dK - N27+4Ac/+Int5mNSdzfTs7tdN6Xd7yO+xFPWs4Znz0zJ++65577jLV+mMPXLafgeCpmOCwAAAAAA - AAAAAAAAAAAAAID7rd7vAwAAAAAAAACAVy275tpxvtonBXmwjt/y93/MK8fuOa2dy7PyU720vMft - MxXIqwqt9U/CU/M8t2k6Odmm+jTN2+36T37rf/Da17727W//3o985OO11oiY5/MZpvIq/9thiXMP - w7Bsf57nzWYzTdNybyklItzdjI8cAAAAAAAAAAAAAAAAAAAAAAC4Mkuk26VqKYUUKTeXur7/S77i - yWeffWow97zd1VKPjWObWuQDNKQo5D4MQ/GP73dVenLjv3l6ePbJGz/8a7+o7UbDSmajVZnm3sbN - Zu7TUFzng0LMjle75CaZzCUpGYbzYFjeiCIfSum3ni/rjWs4abIi+aCT9ff/sw/9d69/wxvDtl7z - 0KJIIX9ghgRaqirXXve917E8H/3Gpu5v7bXvGiSXUsUUCsmXk/CB+WwBAAAAAAAAAAAAAAAAAAAA - j7QHq5sCAAAAAAAAAMAj5lOua9s9Xt5Lt/uqXgzw4NhsNhE5TVMppbU2DMMf/bf+8Hvf+z9/3ue9 - prfm7qZwd/dr+bKstbZcMbN5npdQd2tt6XYvd+WVJsMBAAAAAAAAAAAAAAAAAAAAAMCjLKSQuiTZ - sV6doWjq+xu3z55SrMKyKaXVaLen6bqnH7NUSbf0MHVT2HGlvXA4hcd54nieD7vDfj3Iiz52Fnlz - 8xvWdbLSMCh9KSG3nlbK8QmXN3Vpyxf/UXTGTT1IcnnHUmW7UTVNs0nq0lAjQzdW+9c8s1PZ75sk - Oz8rlnMm5HF+Fkmy8/Xl/H2P6w9lV5V9PxTZNHd31bl9ro//1Rd8obItJ6dJLpds6ZQzcAgAAAAA - AAAAAAAAAAAAAAB4ENT7fQAAAOC6mClT7h4R1ZwSEAAAAABcvbzm6Ule9R6siU3y+mffuHf3cgrd - 4/+1v+d/CeCfDl5V+G+fT85UWoSpXEzTo95c/mVvfctPv/9v/Lf/zXd/8Bc+VGRmaj1MSqmOQ5tn - c69eLmLbn3Zd++KJF1eWf6Zb1pjZNE3jOErqvZdS5nkehmG56zN65QAAAAAAAAAAAAAAAAAAAAAA - 4FFlUipT1TI0T7LUdPpfvPVf/WKdFal3uVTTo8Xg6gpd5yAGlw/yLh1M3WMIeR5HxETqYnBMN186 - x64Yq261XPlwu8dhe/P/G8af/Y1/omGj8ONrc6mYpKIoXo/Hv4zyu9NOflmM2bi/TAqpuCRLk62L - JsnUpLbdrLP+2C/+0g9/7hc09VoyeqQU8kGS1MzTZBlhKinP4waL1KXpGIAPv7Yhcmmaj+XxlGns - KqGcD0+fnCgOSpeGDFkZpJjaflVHRoABAAAAAAAAAAAAAAAAAAAADwJ+1wsAAAAAAAAAAICHTr74 - W7CY5/n1r/8D/+v/9lPf8PV/NJXR22YcpJDU5nlcrTJznmdJmflpd7tfUillyXKb2TzP4zj23pf1 - y8rl8vT09Ap3CgAAAAAAAAAAAAAAAAAAAAAAHhEuFfWqbEtbuBb1WYf9E2enQ86pSClVLd3TQ4rr - D1mnTLKSvgSVw16wU1/mQLPzARyt6fHRpzlPNXzssZs/+uFf1fpEVmS+RLnjvNZ8vgOXXHZXlNte - fsF9ZOfvd5qnqV+sPabca7dBZs+uajz2VM9icRFiP54nIaVJkuedNzSkPF9/rcK0fGqWfZXU2LXq - bd2a5km9SZHmETLZWEuqL0OWAAAAAAAAAAAAAAAAAAAAANxfpLsBAAAAAAAAAADwSDCzaZrW6/VP - /uRP/tnv+W5J03TQ0s9OTfuDIodhWHLaq9XqqvY7DENrbal3T9M0DMOyPiJ679M01VqXmycnJxHM - ywMAAAAAAAAAAAAAAAAAAAAAAO6NpWqW0mWm2bLnpIjveuNbXtuqZ0yuydQtdJHKzk++vc9UN03K - UK4itk2eClNzzb7EjyMt0qJklDw+XlW3p4gwf+Lx//Hn/y/dcKVr1+XqRZOUUknZMvCCHPerS8pC - lgqpy49vnUuuGhpCfZ60GX/k13/pH0/Pt802ZSXcMkLRLaTwF25P3XRwTS5L1ZBf5yntKZc8/c4H - xyTl2ONPf8m/prNJym7hLk+ztKJiTPEHAAAAAAAAAAAAAAAAAAAAPAD4XS8AAAAAAAAAAAAeCbXW - WmtmRva3ve3bfuQvvTOlYSjRu7vXWtfr9TzPvfdSyuFwuKr9zvNcSum9j+O4Wq1Wq9VS8nb3Uso4 - jle4LwAAAAAAAAAAAAAAAAAAAAAA8Iiau7nPvRepmOswfU4Mw+2zIaK70iIuPTZNcZ3p67BoHt2i - SFXHrHJKYQpTdy0HUyRXLDnubKYy7LYnv9V2evKGSkjSMMjVLlLjebx2zeVxXI9UyuNi8juXTC4V - qfgghW7Uv/o7v/47Z2fS2iWXukc3SbI8VufD1O142Vzd5QrLePm9Xg1Lt/PTbvnsmGIV/cl909yV - TZZ9bkoVq0ugHgAAAAAAAAAAAAAAAAAAAMB9R7obAAAAAAAAAAAAj4jI7NO0X62G7cnmj3/zv/t3 - /+7fXK2GUiwiWmv7/d7MJC2Z7avaa601IiRN01RKmaZpGAZJmSmptbZarXrv7j7Pszvf3wEAAAAA - AAAAAAAAAAAAAAAAgHtnLmldStnvNXXt+rbFE2Vlx0SyXJEWaa9YVjiWxSTLY71b5/XutDi/Kk+Z - ZDJbPfbrQ//x3/qwhqK60uCqPkmSqlQl+XHiNMLIrz5LeFvS+duXF6emVErJYTwUabtuzzzTNtuQ - 2xLq9jBFjSgpT3U7LksDfjmZXbLrzLlbXpx6CjuezK5Y9fbY6fTtb36LDntXlFp3t06Vau3aU+IA - AAAAAAAAAAAAAAAAAAAAPhVM/Q8AAAAAAAAAAIBHwn6/H8fx8ccfv3XrVkSbpv2b3/ymv/d3/vbr - Xvc6SUurOzO3262k3vtV7be1lpnuviS6Ja1Wq6URLqnWKqmUImkYhqXnDQAAAAAAAAAAAAAAAAAA - AAAAcA9MqtZba/NBpej08D1f/gfLPO36WQl5qOTSNo6wUB47ytd9RJJC6ktxO+/ElS3v3Ls80tNN - 249L7/qNX9XJMO8mqXa3fh7qLpJSqUvdcUZgvLqYZEsA25WulBTLe9gOc8pnlV42quUdv/ihfzFP - s1vqGOf2VJHqEv82NT+vvp8Xu+OVbLnnnf3WiM+q9akIybLtlLG5eaKmoZZX8IAAAAAAAAAAAAAA - AAAAAAAAvCzS3QAAAAAAAAAAAHgkjOOYmc8//4nVaqjV1+txvR7f8IbX/ezP/vQf+cNfO09TLWbS - 7uzMzC6lu/1Fy71xd0kRcTgcluuHw8HM3P1wOEjqvV/s7iLpDQAAAAAAAAAAAAAAAAAAAAAA8KkL - yYdqnupN+3xyN0U0K9WkMVRTS0z4rvr1tfGUpyQ1qUmSWy6LPFVSJWXS7Gq2ZMV9Gte/M80ai4Y6 - 3HhcsmZ1koo0Lq3xO9FuVzJ/2quKSaY0FalKCinu5NvLZti1SfIWXWWloU6f88zZ4LMfm9wlVaQi - mdRNzdVNksauGpJd+1mdthzynV6451KU77G7dbOn5l5lira8ojvDkgAAAAAAAAAAAAAAAAAAAADc - V/z0HAAAAAAAAAAAAI+EzJzneRiG1lpmRsR+v3f3Z5555t3vfvef+BPf3Hsuj6y1Xu1+Jbl7rTUi - 3L2Ukplmtl6vJZlZKSUirnCnAAAAAAAAAAAAAAAAAAAAAADg0ZFSmFpvtRS19va3vnVzutus66G3 - cinaraWhnP4KzT9mSjs2lS/2d5Hu9lSYmiul2ctHLN/1//5zrddNwzS3FpaSS2Xpduf5C7i0cbxa - pNRNsbxpd72Pka4pc6yjy7e+UVaNwzs/+H8/P46H4imVkJ93u5cefJrC5KkaGkI6L2q/Ai9hOfal - 270c/1a2nuf/5M1fqKmrNym9ypneDwAAAAAAAAAAAAAAAAAAAHgw8NteAAAeWpmSVEoxs4gwY8AZ - AAAAAAAAHnVmZp5elOqyGMYS0Xpv6/X4F//iO7/v+/788rBazKTln9RqdSlKKRdbuNedLunuiGit - LVd678td7m5m7n65271cX541z/PFGgAAAAAAAAAAAAAAAAAAAAAAgJdkUpE8QzEp5m2bR02jrNqx - edxMs8tSnrI8Xrk+YequbpJkKUkhpYWkwY7Z49VQp65ea6vj817HL3qTVoM0uEqWQV6qVONFxe7l - 1TKNyqtNl47DaS7e0JRJeT5ap0g1imLQaq3N5ve8n66q5+D9+KSQ0mWSh0r40oB/ZebRC1Nc6tAv - J2Ae16ems8+tgw6zIlqf0tQZCQQAAAAAAAAAAAAAAAAAAAA8GEh3AwAAAAAAAAAA4JE2DEPvrZTy - tre97Sd+4sdu3Fjv99MwFDMzs9aamfXeh2G42v0uce5lL+v1eplm6HA4uPvZ2dlycxiGzHT3JfsN - AAAAAAAAAAAAAAAAAAAAAADwkg7ToRRT6//xl335Vvl4GebDrqckpY7JYZnsTu34eqcgu7PT850t - weN9yE2bWs/mZlKk3/Ly/M3N9/zc/6HRdWguLz5I8q5y8fzzhjdN5FejF71r/oIbtiTec6nQu4by - 4//swx+V0qvJV75aNjKFSmgIueTpx5P6OiP0F9Ii7a4jX2Lec07bsegjH9N+kuQZkqy+RHEeAAAA - AAAAAAAAAAAAAAAAwCuPdDcAAAAAAAAAAAAeLhayl5+IKV1513dkh93pzZPNYXe2Guo3fuM3/NRP - ve+1r/3see4ZoUwzWxrb8zxLcr+y79dqrRGRmaWUeZ5LKZm5Wq1aa9vtdpomSb13M5vnudZ6VfsF - AAAAAAAAAAAAAAAAAAAAAAAPmVQM46Cz2zo9PHM6Tbc/cej7rlivSz8PJ7vk+crNOxamkOK83m3S - ECqhUrU3faK1QWWtIXP97Gr8C7/8S3pso2Jab5Su1otk/Xx4yJJBzgipX16DVwk/XyTJlhsuudKr - VKWS53ctJ0yp8vLcZrO30bW6FYdJ2kubk7GkaqiGtOThz7d/3fJ8sJJfOvdCyqLVMPyBzY3/+ove - ov3OS+3z9R8NAAAAAAAAAAAAAAAAAAAAgE8N6W4AAAAAAAAAAAA8ei7Vu7fb9fPPP1+KZXZJb3nL - Wz7wgQ/8oT/05ZJKMTOTtN1ux3GUFPHyUfB71FpbrVaSll1k5jAMkmqtvfdxHJeetyR3b61d1X4B - AAAAAAAAAAAAAAAAAAAAAMBDxiRXV6nax5O3d8+cbEv13nW670u621KWx5z2K8PzGDnO83r3Em+e - U81VbKk2D21cP7veyLv6pPWotJx6rbX3zFyeLylksUSdmTft1cikIpXlzbS778tLIfblFDHJTCfb - v/Yrv3arDvOwMq1CPgz2/OlkUsnj+XzxVLv+lLulpLBUnJ/ax6B8and66ruzJ8zlrj7XqiAtDwAA - AAAAAAAAAAAAAAAAADwY+Ak6AAAAAAAAAAAAHnp+9yJJSl8C3r339XosxWr1w+70ZLN6zWs+693v - /vGv//o/ImVmSDo7uz1N++2NTapf4WEdDoflAEop4zj23peM91LsXi6XeaZqrVe4XwAAAAAAAAAA - AAAAAAAAAAAA8HCJ2O/U+p974xc+fWhbxa7FetBmVbvVbr7UhtPUXXpFZh/z1KVmt6SQju1wK2rS - LvPMy+/19u5f/WU98bhu3kwpDgcbhi5lMV8V9X4sJBelq0h1aT3bS+8UDyw7D8cvb1/aCxreIYu8 - aF67K6Vh/F3po8pcrboiW27L8tCL5/grk6JfTmY/P7gu73JJLg2mG4NlO6wj1Jpa0zRdOvkBAAAA - AAAAAAAAAAAAAAAA3E+kuwEAAAAAAAAAAPCo8ctfk7U+edE8z2dnZ9vtdp7n3vvrXve697znPd/2 - bd+aqXGskjabzdnp6TCOV3gcq9VqtVplZu+9tSZpGIal3h0R7t5aMzN3vtQDAAAAAAAAAAAAAAAA - AAAAAAAvL1S86PnTp0u94fb86e7xlWzWfGgp6+YhhanbMXptqUsF5Ku3RI5LqIRbKqQuzaZuqlI/ - aBjG29n2T978yHpQNFmZW8sM36xl2s9zSl2ShY695zgebl7fUePa5HExKc6Xu9jxwhRpkS6tt6r1 - J/75r+4e2z57OFuP28HkXSl1V1osZ3Ka5ytyUpSUpyyVtnyUXJKlSqjP+dhqPSi//Uu+VCkVL4wE - AgAAAAAAAAAAAAAAAAAAAB4M/LYXAAAAAAAAAAAADyO7mMPHX7RcrJekodT5cCjVVuuhFOt9roPf - uv1ca/MP/MAP/OiP/sgS1d7tdpLmabqqAxzH8XA4TNM0jqOkpdg9z7O7r1arUoqk3ntmmlnv/ar2 - CwAAAAAAAAAAAAAAAAAAAAAAHjZd2us//9I/mGr7mNeuftBN85VkUjdr7s3VXN1kGX6sd18vS43S - KElqrt2g5qpdn1WLzb0N42+X+Sd/81d086Z8HMrgabJMizoModxNe9Uik/xS6Nlk+UocPK6SXQS7 - w89D3sfgtiltuRrKUEZXTFJXlVzr+oltOUjZo8Sx272cxscN57FJf72HnyqpksfBSGGWMslLqqYG - yd13p2cbc+0PisjkBAUAAAAAAAAAAAAAAAAAAAAeCKS7AQAAAAAAAAAA8NBbJvfR+eVdeu9mvl6v - e+9nZ2fr9bq1tl6vzbMU/4Y/9vXv+6n/5YnHT0qV6XxWoKswTVOtNTOnaVri3KUUd4+I5S4zW61W - F3dd2Y4BAAAAAAAAAAAAAAAAAAAAAMCrwAsGQUQq8iJ7fPdKRer07HOkIZoG66li2mWsNUjpeQwl - W8pfqaawHzvHIcnlaZ5Smkaptf5c9unGjXf9yi9rcJWi6GopKyl1pUku24xjRJzPlOa6ylEduE9S - S2Xb7qy4dKLH8ma75LOk9UbV3vXz/8+NJ25Gn2ZpXYqkNOnSszxVXpEzwyTLsAwp01LpKW/SWMvz - u7Nntpsn9k1NUpfl5Q9qKvK8XH73ek5pAAAAAAAAAAAAAAAAAAAA4HqR7gYA4FGRyVAdAAAAAAAA - PBrSj8tRvPwiSbJiXg/7Wem11nmeB7eYp1Ut0aaTzfg1X/2Vf/tvfeANr/88SavRhuommTSUKnmt - o1kxK8vEQOfbvLS8vNba8ZAzJfXeI0LSkuuW5O6Xu93LvZefcnkNAAAAAAAAAAAAAAAAAAAAAAB4 - WMR57vfOzVB0RZO61I8J4N7UuqTWNZ199iVIUocAACAASURBVHxWpt08Nx/sYAppr9kVNaNk1FAN - eSpN3SI/6ZCHz9yy+S51SbLaS+1eQzLdkqaTx59drWUrrW7IvSs1FMnNSlEZpEFyuXuVXOYmd7ld - bPqaDx5XzWUuOw69WQbhLO+jSS7ZMirHXeZFvjygm7Raq9huuuWK1HjazcKHLpNk0T2kGNKHdLvO - mXXSjpltl1xharKQrMt3UnO/KW1Oz96wy+9/67+uiEmzdExzL5/cuJPujqXXnZdHNzEtEAAAAAAA - AAAAAAAAAAAAAHA9SHcDAAAAAAAAAADgERGXLj+FR0dIMU37sfjnfu5n/52/9Te/5qu+vE251LKL - FzPTeX57CWlfFXfPzFqru6/X69u3b1+s770vezSz3W7nzvd9AAAAAAAAAAAAAAAAAAAAAAA8hI59 - 6qUYnG7nU4alNKfSFdKscFm2kPKHvuor4tbtx9ZjS7WWPZTV080yLMNSlvKUp8IU19/t9pSkbuqK - ZU1JWWpK9VX9iOtH/9E/0nrbwlNW6pLovvPC7+S5TRehZ7ya+Qve4gt28QCT5CYV6ZjiNtNqeM0X - ven2oNms2KpkKeH+ireuQx4XR246H6BkpY5n01ylleLkcKjP3Vabq/wFNW4/73hf2iDBbgAAAAAA - AAAAAAAAAAAAAODaMZU/AAAAAAAAAAAA8BLMrLW2Wq0yc71eD8Pwvve971u+5d+JUK3eo89tLiZX - Lpntu56cn/70OWbWe6+1ttZ675KeeOKJJQ2emaWUWmvvfb/fbzabz/RFAgAAAAAAAAAAAAAAAAAA - AACAB1NKIXWpL+MU6pI0LtJgMimkUJmzDx7q89nzz93cjtPhcHMzDENNKTN73Oc6cDd1VyhTaYo0 - zdKhjrfXg7ajXLXIjCo37mJSlUoqp1k9/rOf+wf/8sZml7NyKudz57k0hFw+Wxw88ppPoovt+50A - d5ry0KabmzFMkyTTUF29l4yL/rxJfvzTLkfpnRo9AAAAAAAAAAAAAAAAAAAAcP1IdwMAAAAAAAAA - AAAvYb/fr9drSbvdLiK2262kd7zjHd/7vf99azEMZXlYKpfI91Xtd6l0L9Xw5Yokd89MM8vM1lop - Zb1e9953u91V7RcAAAAAAAAAAAAAAAAAAAAAADxILk0Rdsz9ukkWrUrZs0c0aWVVu1mH+cn15vmz - aW7K1s8Obaw+lnp/w91hSinl3ULWPKVUrFYfk737l/+p1qPmg0wRMbX5vh4pHjjzlJKsVm1W2p58 - dLudvWzKeLzbLi7UTWGK+9TBvlGHW7vpkFqXEhbeu1robKe8qIm73amNu+QXyW5nHkAAAAAAAAAA - AAAAAAAAAADgmvGTXQAAAAAAAAAAAOAlbDabi2h3rXWe51prKeVP/an/6C//5b80DGUcPTK223Vm - l+J8uSSlT2uOq1JKrfVwOCw3zWxZaWZm5u6S5nkupWw2m8/wZQIAAAAAAAAAAAAAAAAAAAAAgAdN - SmlKl4pU1E1dUroy3NR2t6vZ2ryqWpf283e/6c11P92o9uTNrSJXJovcTXO93y9E8jSlRZhKKs0/ - If/YuNJq0FC1GSSZa6jD/T5OPFjG0ZSa96fyIqvv+vlf8BsnZ/30YqyOpVIKKV+Rbveyoxdwxdzm - xzajSXP2W7HzbP/lW79ULaXoF8eaflzksjvjjWypj39ag48AAAAAAAAAAAAAAAAAAAAAfCpIdwMA - AAAAAAAAAAAvISLW63XvvZRy69atpZ/d2lSqffM3//G//tf/2pNPPlmKzs72kmq9ssmszKz33lqT - NAxDKWW5npm11iXdfTgchmGQtNwFAAAAAAAAAAAAAAAAAAAAAAAeMl3qS8Dbjte1lIOz13G15H/n - w0EttZ8/e4o6zb3lx2+dzT034zhFrtyvcLzDvbm7Rrw0j03q5rc32x/78IdVRrnLFdkknR529+Mo - 8eCaW5/bfthu5EXbx3XjiWf7nGXsUigsJSlMaSHJr7l+vaTBw+4+ry0kraTbu6kOdhYqKo/fOHn8 - MKuHIkOK5ZEp5XHGv36+8hjtptsNAAAAAAAAAAAAAAAAAAAAXCfS3QAAAAAAAAAAAMBLmKaplDLP - 8zzPJycnSzO7lJKZPeZ/82u/+md+5qe/+Iu/cBy9FvWXTmj7p/F9XGYumfDleu99GAYzu2h4r1ar - 1Wq12+0kufN9HwAAAAAAAAAAAAAAAAAAAAAAD6G4ezkWfjM1T+oHzbNMN1Yr9XjX133tU72tem7X - 4+Pr8cY4HA6TS9vV+vSlxztcu7Rj59jSLSU7dscnL7+j1HqtYZQPLbo8Q9qsTu7LceKBNQxWx9oy - e/qtXUjr353mj1uby50cdnN1l6c8/Lrr3UuoWxc1bskyTFHMXOqZdVAZ/PbHP/J4hG7fVr7oo5fH - i5RCcWlLx08HAAAAAAAAAAAAAAAAAAAAgCvHVP4AAAAAAAAAAADASyilSBrHsfduZrXWw+FgZhFR - a93tdp//xje8//3v/+qv/jd6v8r9mllmllLcfWl1996Xhrek1Wo1TVOtdb1eRwTpbgAAAAAAAAAA - AAAAAAAAAAAAHkbuUpGK5C8I+w6uWrQacgl6H3b7j/7ups8rs2k/7fdTa80kl852Z9v71wReSsqe - KilLKdVMZ3V872/8moaqrJKKX3SY4+W3hEdQ9GxzdllNG2+sR6n85G//9tnjN6cimTxdUprSjufY - tfokXfDMHKUWal1zbzdW6/Fs9/av/Er1XhRSHJ96aQt3zvW8az0AAAAAAAAAAAAAAAAAAACAK8dU - /gAAPOTc3ey+DaIDAAAAAAAAXr3cfZqm5V/Yeu+ttVprRFTTtDu7efPm7du3bz528ld/4se//dv/ - U0kmbdZVkpnGcVw2svS/70lmSuq9Rxyn4rm4Umud53l5jLsvMe8l791aW24eDoeLjQAAgGty8QVc - Zl78XXxfjwgAAAAAAAAAAAAAAAAAADxUTKpSSanLQlUqklIyKUOuQ5uiyNRVVby3nDJ7kQZJkUu6 - O6R+X8vAwzCEevWirkzNq83z46DVqHGlIqXsvE3uxnxouIubFStSjZCFVEzV/uVY9opIjXXTUy5F - yGWmtOs/0z2X6rZLfnl3uUTEXWmm1k6yj/u9Wisxu5TLid6lVLQXhLzj7psAAAAAAAAAAAAAAAAA - AAAArhg/VQcAAAAAAAAAAADuQUSM43jr1q3HHnvM3dfr9Xd8x3f80A/9BTPt961Wz9Q0Tev1WtKS - 074qF03QzByGYbVajeNYa52mqdZaStnv96vVSpd6ogAA4DpkHufHG8exlCKp1npfjwgAAAAAAAAA - AAAAAAAAADxcUgopliywPFWOv140lZqpMozKUIT2+9vP3VoPD9yEYnPqbJqLLHp7+uTGLvUx9x/6 - Jx9SHbotDXJJYvwDXlLvLXozafDjbd08edcv/uP62GMu7dphtFVvGlyZ6aXmNZ9Jnud/miwl+XLu - xnl4e7l0xRBt3WbNoan1+WBKmeYMSe7LUcb1HisAAAAAAAAAAAAAAAAAAACAcw/aL+0BAAAAAAAA - AACAB5q7S1oPddqdzfudRX/yqcf//W/599773vfcvLmOHsv8UYf9vla/2sl0xnGMCEmZGRGZOc9z - KeVi/dLt3u/3V7hTAADwcsxst9tJiojW2v0+HAAAAAAAAAAAAAAAAAAA8HBZxie4ZLKLmyaFR5oU - pc/a7b7jK7/ymRtjm3+fjaXpom3sedEhvkbrWk5WYx3LpHzu9Pa4Pnm2Vm1Wck+dv5aUZMZkaHiR - 6sNQaklF09yltbcIrbcfPTv0MqRqUVlLq1Sadv33+wBckTSF/PL0fXn+h6W7ZBlDaNWbdntJtfph - OnRpGF0mxcVnOgh4AwAAAAAAAAAAAAAAAAAAAK8Afq0OAAAAAAAAAAAA3IMl0rndbpdadinlox/9 - 6I0bN77u677uAx/4wNNPP7HdjssjM694IqtpmoZhWPrcvfdxHCVFRCllCYqbWUSs1+ur3S8AAHiB - UoqkYRhaa+7u7rXW5X8bAAAAAAAAAAAAAAAAAAAAXIGLbvelTHBKksuH4uPcu+S6vRuf/cSqP4i/ - Yjy0fnqYeu9jqU31tIzPjoPGlczOm90hHV+VfdJN4ZHkfTfnrFKUNbtn2WwUOW1u3DKfzMNC0qHL - TO6Kaz6Hlk9kSHlpRymlll27p1v68rCa+Z1f8RU62ynCB++KvjzZL7rduqh+c/YDAAAAAAAAAAAA - AAAAAAAA14d0NwAAAAAAAAAAAHAPShnMym63Ozk5cfd5np966omzs9uR7U1f8Pn/8B/+gze96Y3j - 6JKUfahX9n3ckgid5/lwOEgax3GaJklLKHQJikty99baVe0UAAC8pN67pNbaOI6ZKSki3PkdDgAA - AAAAAAAAAAAAAAAAuBopdamdL2mSQoqUt6YIH72oNYVeazV2zaQw5csvl1nK8qX3e4XMdGO92vWY - ovf15qPSez/86+qSvEihyGPA2HX9B4NXmXR1ldXGTGkK77fnU0kaVu/80C9NTz01r+xW7Ic6uGRS - cffrPItM8pSn0o5n68UnKEwpWbql2fIYydXG/UFZFFHMU94luXLulse5/1LnPXAAAAAAAAAAAAAA - AAAAAAAA14YpgwEAAAAAAAAAAIB7EBHb7Xae52malk527733Xmt196effvr973//N33TN7krQhFx - Vfvtva/Xa0lmVkpZut1m1lo7OTmR9MQTT0jKzFrrVe0UAAC8nM1ms/xFb2aS3H2/39/vgwIAAAAA - AAAAAAAAAAAAAA+NuPyHqZliuVWLu0mRinj7l7z1ZL8fi+rwwPWvS/Hn9oenN2MZ6u8d9qfrleqg - zVZyKaTelTI/prsftKPHfeeulKoOrZt0MqwtUxo0bH63x8f7flgNt9q8GVcWUovrrtFfJLbjUmw7 - lrK4STJL9zRLyWSpTe/LyKI5Dl1xyC6T1bJs6rxXH5Ly4tMOAAAAAAAAAAAAAAAAAAAA4KqR7gYA - AAAAAAAAAADuwTRNmTmO4+FwqLVut9vD2e7GZhvRpmkf2Z588sl3vOMdf+bP/Olx9Ksrd6vWut/v - h2HIzN67u7t7ZprZ6enpcmCllMyUrjIZDgAAXszdd7vder1urS1rMnO9Xt/fowIAAAAAAAAAAAAA - AAAAAA8Nk4pUpUGqkjKVfUkGRyolL0XzYZyndeS+63ZX2CdbXmFh6qFt8cNuuj3Nw2ue/Cv/9Jdk - VeaSlJGKUHSdJ5Gpd+NFTk8PaarVumb1SdMsSeP6t+fD5rMe39mcw/CJ6bAyO7n+CfVMMilNkint - YncXA3j8/FwOk0nrSPVQxuClS25lnkItlXHnia/4BxMAAAAAAAAAAAAAAAAAAAB41JDuBgBcl3yp - 5SXuVkjxkoOnXvSsuLS8cBcvsdfzB+fdzwIAAAAAAACAz8Q4jtM0XVxpra1Wq2XNer3OzFu3n1uv - V9/1Xd/5znf+8GpVrmoWnaUM2lpzd0nuHhGllIsHmFlE1FprrctjAADANYmIYRj2+32tNTNba2bW - e7/fxwUAAAAAAAAAAAAAAAAAAB5SKaVr6Qeb8iBrszJPslvbF6lUe+DK1xkRUczk9rEM1apaL/rG - pnDFcfCDnS/AwqTUyc3VMmGQS7VU2aguuf/vv/lbH/3EcybzWkPKzHb9R7RMaGQpKfWiT5sfJzta - 7lYJbef+Z7/8S9V7l5sspbpyDbZsy6SyPNQiKNcDAAAAAAAAAAAAAAAAAAAA14Yp+wEA1yIvRba7 - 1M+vHweX3BksEsqQIhShyIsn511buPPINim6sit7KlrGvs8HqV964vluLrrdPdRTPV9Q785LT7m7 - 850PR+TbTJK73D0z6fQAAAAAAAAAVyWiuSszM9PMJGWmpCKb94dq2oxDtGms/m9/4x/76Z/5G695 - zVPL/FG1mEnVi8lNruNyVKuXTyHznZkRofOSd+992fvFYWRm793MLtYvGdFl/dX+RwEAwKNs+btY - kpmVUiQtlwAAAAAAAAAAAAAAAAAAAFdomblFXmSmdKWiy106233nm79gM5+ZNFZFS5M8X3a5LE15 - 751se1GwOOxlF09ZylM9PVc3Tl7/r8hDg83HkQ3V5UWy7Eo102Ski3E3k3RMvVd5ylWqViutBilP - tk94L/vd7sZmM5tmKa4z/Z5SN4VkihphucxTpDz/0Mm6rKdFmpQau24eTp+IJumgMsiqNEvdQyaF - K4vSlClFkyY9HBMeAQAAAAAAAAAAAAAAAAAAAA8cKp4AgKuXdw8FKZKdJ2heMP5KdhwkI0ly00XS - +66nhPI4jqaObZr61KUyHeZqPpahSia16NPhIJPsItG9/DVXXGbSxfisY63bdGnA1vL4hyXaDQAA - AAAAAOB+cHd317Gu3SLajRvbL3zTF/zMz/z0G9/4ejNlppv36JJM5ueWp7cWEVnrlX1/t1qtDoeD - zjOivfdSCvVuAAAAAAAAAAAAAAAAAAAAAABeDe6ML0gp5TJf0t2lSPNBh8Nju8O2WJVak6X7A9a+ - XrnMfW/+XPQf+Ln/U9v12e7gw8X9bpJCSi2TxTDtC+5iFxfScnosUxVlaKi393Md1uthdbbbhekV - GC2zTFjkKVf4S5ytd53FlhoknZ3J66BSpCKl1LKfT6p0vlHlA/bBBQAAAAAAAAAAAAAAAAAAAB4q - pLsBANeoSCVloRKylOX5ABg7lrhTalKXF3nJi5Z2SE3ZLFufd27RM5s0WZnkuT7Raq3UylfLljUf - ilrxGNaeNqd3eUjLYLNq4RZVUZTHeHdIXeoX9e7znaY85CY3/n4EAAAAAAAAcO8iQlJmunuttbXW - e7958+brXve6v//3/97XfM1XRciO82BFqi9574gws6Xfnam8uvl25nnebrfL9cysteo84w0AAAAA - AAAAAAAAAAAAAAAAAF4F0u1SvfiOPmvfnl5t9vvo0nYYlPehfO35soukOXQW8bzF/mSjIrW2vbGa - pryUZPblj3JXzRh4MZfsOG1RdfVZN05OU633odgwlPKAnT1pqoNnds2xjsymCBVptKKUTN2Uywe7 - q0qM9gEAAAAAAAAAAAAAAAAAAACuyQP2W2MAwEMmpQhFKJqySSFFXr5THstgqzhPaFucDxdLKcv5 - jeX+qaunpkkyqUg9o821FinneT9rDkVIeT4u67jNkMKPy3m3+85os4zlVpeOfzNeWRkHAAAAAAAA - wCMkM80sIi462YfDYZr2qV5Ked/73ve2t/3J3tN9mStIksxseaIkM0nq/cr+gdLdly54a23ZRe/9 - qjYOAAAAAAAAAAAAAAAAAAAAAACuUR6nQCmXZwq7NBHLe/7Db+3Pnd4Y3aRpnt0euPnE6uC92uHm - yQ996BdUq9brqc2l3hlUcewxS066GC/JlAopTObyY/S6N61W/9MHP3g2uIbSe54eeosHa8agMO0j - VsOo052mPhQVV7bo8yxJpnac7EhKq1JlQkAAAAAAAAAAAAAAAAAAAADgevBLXQDAtciLP1LKLqUs - Zb0r4rzefRxFJbdLweyUpzytSoM0WF1HS5csoyhPitbSZlBapLdJOx8ly97aOGxGjUWDq2jJgS/7 - WEZppRS+HE9K/RgOv9Ptzot6eN4ZugYAAAAAAAAAnzozK6Vk5jRNEeHutdZhGNzdi3pvP/iD/8P3 - fd+fj5AXuauYVy9LvTtCmceS91WJiFKKpNVqtVqtJJVSIuIKdwH8/+zdfaxs+13f98/39/utNTN7 - 73Puub6+vtc2AWMIakIpaWJAELWlplFVoaqKK1UtQo3byjSVKA9RUpFGJKpJjME22I7BYITcNqL2 - PwQUVyIJoaG04iE1DwaMzfXFNtdP9/E87IeZWWv9ft9v/1h777PPfTC27973nHvv+6WlmTVr1qz1 - mzlr9hytme/3AwAAAAAAAAAAAAAAAAAAAAC4KHHm8ua1xzQo2Sc+9KFLStPoTcopeVx4vUCY4ta6 - B4tnnCTdmHzIaX/Za7VQVyavuXR5bntmfhLYXebt5NB51lTgBeBmUL3SaYciKXdJddCyv+axrW23 - 73f6nO+8o8eTpnH7Pd/0LdoOaopQl1MpC2mOJFfTyXN0jn8AAAAAAAAAAAAAAAAAAADgohDdDQC4 - aC6Tksu8nWRmm47jsfPpR5EdV5KY5FKT2kmxVkxjbt7VVtZrGzY6OtB4ZNOR1U2v0Djq4CjXUIsY - J0muNMdx31LrZTejwu0ZP/8SkTUAAAAAAAAAvmStNTPrum5OyI4IM0sppZTMrJSy3W5f//q/8d73 - /kxKcpeH11ZTSnPAtnTO0d3zkOaZWquZzYHi57sLAAAAAAAAAAAAAAAAAAAAAABwUeKWAOO5g4qF - 1HyvxZ7lZVJJCpOlfDvH+XQuL5dld++TR/vqs0pJZTHWreShkxYvcVLjEM+0DbyIHR8VkRSmdMtB - 0vfqunrXXrdaXh/HOnm78w6hadIyd/nwSFNT1GKS5NM053ZrfmebyY4bMfEuAAAAAAAAAAAAAAAA - AAAAAC4CrfkBABfCTq+S5oDuUZp0Ujg114q45CrzgnnNkFw5JGmSRnmLIXdJN/a1PtJ2q8N9ra9p - c13rQ1090P5WN7YakwbX0cZSkbzJmzyeNJqi05zwfPbz75xzcAAAAAAAAAC8eEXEHJVdSplzsltr - 4zi2cciKJC9JUx2+5Vu++QMf+MArX3nfctlL8ta6cnzO8nyju+dE8K7r3I9Pze7s7EzTdI67AAAA - AAAAAAAAAAAAAAAAAAAAFybNKb9+0iKlyWWuJB3s78hSnSZXhIbmoze/4D4qbjrdhYXs8yYNu9L+ - drtf4/946CGV4mZNaVl6i3rcdGZuAGMnk4guxtM6DXqXJJmmNqrLMn/7hz50dX10KeflcnkH9hBa - 9Tl5dOMohaJ5eEip78LkJ82QZFJK5HYDAAAAAAAAAAAAAAAAAAAAF6fc7gEAAF6wTqJmkqw1pblk - KiuZ0nFNmKSzZSNxc6aoFg951dQ0jv/mHe/cqWMZx6RqfXaTqWw3dW+xs9kM/c7u/ji03Z1v/N7v - Vlf6zpSLUpKZTIqklEZJpl5SHFethHQ8CLs53puR3gAAAAAAAADwRer7fhzH1tqcmW1mcxT3fFlr - Xa1W62FbSvqqr3r1+9///u/6ru968MGPT1ObA7913tHd80hqrZJyzq21YRgWi8VpkjcAAAAAAAAA - AAAAAAAAAAAAALjDuRRySSY1KSs0Tv/9N33TX/TaNO6WcqPWrssuU6u3e7A3hanrdw6mqlLUd82S - y00yS1KEnlpB4bR+wS3szPVpYyLzuWxHi4WmaXX3PcO1q5vNZplSizusXsbDp+3dl3fVJrWc+uxK - Mad1n0mrN7v5TAEAAAAAAAAAAAAAAAAAAACcO6K7AQDn77g+JI7LQppsjp3JSlkpqus0vbvXfJeF - VOXZk7napHHU0fB773jnlU3dbe1rwnO45BGRti6lojS6DdPhXrK8PrgvrH/8+tHf/aG6XD5hevXf - /h91eUd9p5KVkiu2UsiyKYfUlLPcQrpZxmVni7deQAUt7iqlRET82esCAAAAAAAAeFZOQ7tnZ3O4 - IyJnG8dtMam5TPfff98//ac///3f/7f++T//V2bRlVSrp7nfTkrubmYRMUduz5df2pCeNDNvc5qm - lNJ8MyLm+dk0TV3XnZ5TPN80cQAAAAAAAAAAAAAAAAAAAAAA8IVyqajJJclDpjCbG7OspqmLFoqp - VZNaeITdruBr03EjGZNSTlNzk2R5Kssxh3InK83VpaRWb6YWS5LC5i246I2Cp2NPvuHuHikrp9yv - DsJ3wxaW7rQDKIVajZ2un9YbtSr56FNOx03/7JYVddveugAAAAAAAAAAAAAAAAAAAMCLAD/XBQBc - CDspZgklKSWlolSUclNJ6bjWKiukJk1tkLs0pTZoc6Sr137nbT/+uXf+xCueuPHK9eG92/Vd42Y1 - HvXDdmca96Zpb6rLzfpyHe6JerePe8Ph3np/uT7cHbd3Xb325zbbx975k7/75rfo4EDTpHGbVIui - aZCkFkpSqA1TUj5O6T4u4pKdFHQBAAAAAAAAwIVxSTJPKb3jHW//vu/77taiVl8suqlOOWV3XywW - c3j2nAXeWuu67tx2717Kca+fObc7ImqttdaImHdkZuM4mlmt9bz2CwAAAAAAAAAAAAAAAAAAAAAA - vlAmZW2Grc+52GYKSSEzhe22usjK0hQqSe5qcduii5t06dIlP66XUOlyt+g23h7bbH/sIx/TeuNS - tpQkeciPM76fvBV7UkozMEtnegO5pJLy1FqkTimG1G0sTeEp3XH99FxRpN2kv/21f0FqJaVhmjxu - OfTnQz5MwfEPAAAAAAAAAAAAAAAAAAAAXIw77qfGAIAXGJOOc7sjZZfVUDuulQpzN28aSq6qVzVd - 1/6Nj/7ojz38o+/4so8/fO+jV1/mtajWMtRuqn1TnmSjNEgbaa12I62vd8PBwsbUV62qloOu5Bbr - dPWJV4ztj9/1bu3vq21VNwsNe8qKOrZxHlhnRccVZ0lKMV9JIr0bAAAAAAAAwAVL4SncUpQufd/3 - fe8b3/gPlssyDJOZmreu64ZhyDnnnMdxXC6XkqZpOscBdF1nZmaWUnJ3SaWUUoqZSTo8PIyIxWIx - TdNpyDcAAAAAAAAAAAAAAAAAAAAAAHgutaZ+sTTFVLeSKyypaTtovVkM4+GwWSz7kJqrlHIbo4tD - unFw4NLuzmpy30xtO0xTTvXyZZVOd12u4cXSNFalTlZCyeeHEdiNP1uW0nG4tSQpXF1eNCVFevOH - PhSrHS+lRrutg3x61nwv2WIaFTXJl12XTRY66XokhSxSk9qZZQAAAAAAAAAAAAAAAAAAAADOEa32 - AQAX4LQ+xE4u5iUuJZOkJHmLVLPSjlxt0rj59R/+kXuGdO+oe6ZQ12tzpC6bTcWlFJ27hknrdT04 - mjbrZNElS4uFdva0WmrRyawptpv1LDrJRQAAIABJREFU7l335LHVadg8euOBH3/7Y6n91R/4O7m0 - vEyS8rJTyMeWuiyXUpL5mcECAAAAAAAAwHPE3VNKR0dH3/Ed3/Hn//zXvOENbzg4WKek1pqk1lrO - WdI4jqWUWus57nocR50EeEfEZrNZrVYRsd1ul8vl3t5erbWUEkHbHwAAAAAAAAAAAAAAAAAAAAAA - bo+wuR1KLMtCrthuu51e1v2t17zmvmm699Ly4GBbJTMlM68tW5L8uR/nqu+349iZrq83Ju3uLMZx - tNVqvVhKrpSy5TpNfdfNLWhcZ1LGQzKFkuj9gqcKaT5aTC5lSUpmKVoom1KSa9uV5SSf5nvvFG7a - Waz2t5t+Ezt7S03N5MlP8rmPj/WkSPP8ybMDAAAAAAAAAAAAAAAAAAAAcM6I7gYAXIyTWqg4nT3J - x47WWnjpLVVXrTo41DR9/G1v+be3UxrbropCClcOKRRFD1/Vpz9z+Mjnxv3rMU3yFuZNTRZdMymV - 5e7qvpd1X/nl+RX37S6WqltL2YbDr9i9tH9wdO+ifPTvv+nf+nt/X+tDXd5R79UiL7J8jhJXKM01 - Z1m3JI4DAAAAAAAAwDmZW0qd6X5lLmnZdZJal8Zp+5rX/JVf/MVfeP3r/5uHHvpsyHd3d4+OjiKi - 7/txHLuuO8fo7jkIPOc8TdPpTUlmtlqtpmnquq6UIqnv+/nmee0aAAAAAAAAAAAAAAAAAAAAAAB8 - IUKyrLG1nFo20+TWLVRD++vV6ItWDw58r1cObSbl6p2l9Gdv9UIcjONOVyKZDdNy1R+sh600hP/Y - H/yO9naVVL0uul4xN3tJN9OL4yTK2JJLiaYvuIXLJE+S3HRcmBOSqyQbw9UVrVbXp3bPYuFjzXfY - 0bPZbla5tFZ3khSuMcxkc0C33dqU6Q4bOQAAAAAAAAAAAAAAAAAAAPBCcrt+aQ8AeMFzyUPuUpNk - x1P1sEW2PitcXjVO8vLA2999/0aXN23POpNpGjSNapM+8+kbv/Krh7/+G+s/+kj/8KMvOdrcM9V7 - ql+Z6j0t7nV7SaS7qxYH+9uP/+ljv/XBT/2rfz187EHdOCib7XIa4tq1y+N0ZX/7Fd5//EfeoegU - frjZb7KDaXtctRKSFCeZ3cfiaZ8RAAAAAAAAAJyncRxrrV3X5ZzHcfzqr/7q973vfd/yLd/Ydfno - 6CilFBERIWkYhnPc7xzU3VpLKZlZa83MttttrXWOCW+tzStEBLndAAAAAAAAAAAAAAAAAAAAAADc - FiGZWW9ZU1XpZKbNpLJatVhZ6qTNKMu57/Km1VXX365xdtLY6uEwpayhTrno3ss7Y0rqO3VJZnlO - 6W5VSZHUjkOYNTeouXkLuOnkwPDTBkEe0nHfvKbekoZRlrxfTuF32jGUQqbc971Jw9GRNlVVcoXf - GtRtxxf5No0TAAAAAAAAAAAAAAAAAAAAeMEjuhsAcAHMJZe5y5u8SVVSUmSpt0E6ahtZk4cOh9/9 - wR+6b6uu9cOQ5VnbUTId7e9/8Lcf/q1f9889lJ54OG33U9uGT+7TFLWF5IpRtbZQW+S0m9ru0cHO - 5x7Z/40PPvJLv6xHH1fXp1TMzZTL2F465Qd/4me0HXdXq1Geu6XXmCtz7JZKnZOJ9G4AAAAAAAAA - 58RCFpLSk76bW+0shnHjXrsu52Kb7dErX/mK97znPa973euWy6W7R8Q0TX3fS0rp3L7XSyl1XWdm - 8y7mhffff38pZd5Xztnd52Dv89opAAAAAAAAAAAAAAAAAAAAAAD4oriULSmkZJK02SqyNtMiwmvd - 6bVMOty2iLhc+mHc2m3ql5JzyjkvsqWUhin6vn9if92yqU2t5ColS7JQSjK1UBxnMbsiFHESzAw8 - SZyZ86Y4bhHUJJO5tFgodfe88hWH682lvdsWXf9Msuz6Zt0vc9/ZO/76f67NpCYzhSkkm3scmZSU - pSynhgcAAAAAAAAAAAAAAAAAAAC4CER3AwCeXtw6NXmba1dOip+Or0OSh7zJ42b0tU4+YiLJk2Qn - pTAuTW17ORdtNzo8+Mg73/nq5e7qcJOmYbG31DAoJT30p5/6tf/3+p98bGez3Z3GVcQiK2dFOt5I - Nplbks1lZd5c1Xci7rG4vN1cXq8/8yu/ot/7A63X8qak5K1fH+4dHSnc2tRpqhpSbzoZWSdlqSmF - pePnBgAAAAAAAAAXbLPZXLp0KSKGYcg5d113eLS/u7vzw2/6h9/zPd/dlWSmRdeP42hmpxnbz567 - 11rnDZZS5lDwGzduzEHdtdY5t3te+Rz3CwAAAAAAAAAAAAAAAAAAAAAAvgihLEmmZgrTspf7D/+n - 3+7bzaVlvx5VQ3vLsqneWkuyk64vFyWFUsiVXEkqUpJJpta8Tc09JGXT4TD2l3ff+KHf1+5OlcZa - TUktZHKX2fwgSZLdTCsmtxhPI/y4QZDSnNYtO16SkqSkEv/zL//S5StXDg7H2zzUW7mpqe10ebtt - XS5/8pGPyF2mOsiOezclnXY5CinoBwgAAAAAAAAAAAAAAAAAAABcCH6qCwAvWjdjtuNMVrXH8U2X - mlQV63Hd5IN8VPU2yaWYw7xVQ3KXe1OrmiZNoeZRJXkkqWR5Ucuh3GQum9SF9lLRUHU4/PFb3/qK - o4Od9VFvNfuh4lDbG9v/7zce/Y3fuuva/v0qy3EqUphaqIVcClOe67h0S+WVmVyqoWRaer0yTAe/ - /2H9wYc1jYptdEOXh9Vw48M/+mYdHS1b21OepJqPPwmtKYcGaaMXUCHXSaCO2QvmKQEAAAAAAADP - S2EedvOUrCRFUqScumlsKVQsqdWo06rvvI5ep//hb77h7W97697usk5jTrI4PuO3WCzmDeTuuL/V - ySnNdDJ9YUM6OX84B3WfLu/7vuu6+aTiNE06OcF4uk5rrbUmIr0BAAAAAAAAAAAAAAAAAAAAALhI - JnWKZJIVT0vlLIXa0dXPfrzPbRzHlGRSG+qOqVqrKeKCW4zkkCS3VFMOdToJFjdp2eekVKv3SWXZ - PxJFiz0pFWlVlqakXKSU8nHodydJSZaUslIynQQ0A6dCklrSmOa3Q0kKaZKNMrUmWdLStLBrN67v - 2nEk9h0ipFZiPbVlV2KMfmelLqSxLKSQhVypKUkeOlsfBAAAAAAAAAAAAAAAAAAAAOCcEd0NAC9K - cWte95nlKY7rODxU65Sl3W4nS5JMKaVOoTZ6rWpSMmms8jn/27KSZK2GlCxpu90qbF5LklzKMknj - qO304Z989/1h6WC/06i6VnZdffTw93/n6gMfuzy2S7XZuO3NTktiwm6O92nqZEKSktRlTWNdhS+n - cfvQp/Tgx5Qjpm3ONQ1HL62uw42mmrzZaclK+K2bkcyfsgMAAAAAAAAAeG64e+1Leu23/Yc/90/+ - 91d/5ZfLFYpFv5A0DMO8UqteuizJzvXrPndfLBYppYjo+z4i3D0i5rjuWmvOOeccEXOkNwAAAAAA - AAAAAAAAAAAAAAAAuCBz45WQ3MxDiiZTbA5zuCSXQkpx3IklJL/IX/rPe7GQmxTJlaQ0h4Xv9LYe - m+XUdZ271uN03UxlIbN83N8lSWmeMynfzClOsjR3QqNKAU8WklQlv+U4d+WQeZMizQdTU+nC0kVH - 13+xXNrd7bZTzco+TlIot6d0OnKTP30nKAAAAAAAAAAAAAAAAAAAAADngehuAHixirlsKYVkc3HU - aQmHR221mJa5S9U0KW6MC5U2NYViO+Y+lSJFSNKyV+5LdDZEVmdRkjqFvMViuQwr27GGKbLCVGsL - q7LQ4folQ5sO1qUUdaHcdG3/6A8/evWTnym1mTcLFaXmt0Ro23EVzTxyl26918+slkzNj/avP/6J - T+j6fqcYh2G1WuT19o9/6mfUqplladq2eRuRvZp6aTG/BkY5FwAAAAAAAIDbxszW6/Xdd9/1dV/3 - dT/7sz/7mr/ylyQN43B65nK1WinktUmnHXv8qWdNv1ilFHef08FTSvNI5pmu61prEXE6wtN5AAAA - AAAAAAAAAAAAAAAAAABwQdy9TcpZKUkWar4I5af7Sf/cmOU5kMOTPMzbSbeX7RgmpVRamJmU86v+ - 4l+QV/q34FkxyZ7cB8gkuUsqea6iMaWyuOvuQ3e/k1rqmZSkOkxZyrLL3VLDpJDy8Qrplgx7AAAA - AAAAAAAAAAAAAAAAABflDvqdMQDguRc3g1xc4ZIrmixKTpLUju9Ou8sk7XQLVbdFUXO7vr+YIq+H - qHUaq0KLsjjOhYkkKcwlRaRFv3JpPWwtqXRm0VSnB9/9U/botUuL3WzJDw9Ux+1HPnr4ic/sTHHP - ai95q6qWbyktsXhyeViY3OSmOFnRXFNV12dJHtOy5OnG9cOPPSAph8vbytJidHlShEmrRZ7TypvU - pCyVmF8WPh8BAAAAAAAA3DZ93+ecH3300VLSffe/7L3vfe9f+2vfVsrxeUsz22w2ktzV9eUc91tr - jYics52IiFqrmdVac85d182rtdbM6A4EAAAAAAAAAAAAAAAAAAAAAMAFioiUUimqY4SksWoYLuU+ - +XEbFrfj1jHpKY1ZLsqtxQRzQ5hs2lv123GYpqm5rFt+/8//vFYducQ4XzYnYqcS7uMYkqapqpTF - 3Xer7+MOO96KNFUtc8mKMjRZp2ETivl9YeR2AwAAAAAAAAAAAAAAAAAAAM8JokkB4EXJJFOY2pOX - e1iTuUl1mmRSp22KqahJEaPqWm3QtauKpP2NttVCXT/fLVXJpF6DScmmOqnKXK1Oq0WWqg4PtZ1+ - 9U1vuWc7vbR04/qoX+6kJD3wwMGDf3pl8kvN/fAgyyTV1nJJcz73zQE+bZFY3Ppx1pq7S+qTLbxd - /5NP6MZBXvZqNU/TdO2GptCkaT3GXMJi7rdsnA9HAAAAAAAAALdTa1NEu/vuu9fr9Wq1Kl1+17ve - +YY3/HcpKWeLCEl930uahppTPu+9t5zzvJeccylF0mlQ9zAMpZScz3mnAAAAAAAAAAAAAAAAAAAA - AADgycwkhavrTHKV9D990zeng03nTw79fW4ygJOUQmFqKcJcijxHhhc9vBlz10m2u9xrqdOiqE+i - +ADPht28PnOEp5iaKfe9udT1S1n6nn/xL4eyaHYHdQ1KoVa1W2xsVbVtr19Vcy0WOqnQUZyZAAAA - AAAAAAAAAAAAAAAAAFyYO+h3xgCA50xI1dQkk/J8206mlCa5t6lkU57rtGonL3VMm62aa73+f37k - zR/+4Td/+If+0Yfe/g5dv6Y2yat0psYlwuRJlpJiUl+6JGm7VU5aj/cPbWcci9eVTOtDPfLop//N - B3eGsa+tdzd5qJWUimmqc6a2UijF2dxuf8pzSvOHWteVTZOkRU4xjUtZd7TR5x7W4VHy1lu+Z3fv - Q+96j7ZTt+jbmU09NxVoAAAAAAAAAPCFaK3VWruuq7Uul0tJP/ADP/DGN76xtVgsOknjOEoys9ba - Oe53TgSvtUpaLBYRYWYppbML5xn3p56nBQAAAAAAAAAAAAAAAAAAAAAA5yYUkixpHKaIqtbscH1X - 7kp4CkkKU8xxxXHxvVPsOGLYwi0092yZc4eHSZezorbVzt6hx2cPj9R3kutOilLG89TNYyjmW2a5 - P+0VVL0pZS36bd/VdGc1ECpSV4pLfVe+/MrL/s7X/7uqU1WLmz2apOA9AgAAAAAAAAAAAAAAAAAA - AFwsfrMLAC9GLo1Sk7JLTZJCqVmqSk0pKUmyFLUNbTxYyrV/qIOtbkwf+1/e9Nib3vK10/Sq7frP - 1c1L1/sf+if/m9b7KhHFaxdDuFpbWGSpWJJkWQrpcK3munH4p297+z1Hmxg2Kirmunbj6Hd+7xVl - uZNTi2qmkrNJSlKXqyQdh3af5naHdFp/MpdvSToNHldKklKeH+i9t8tS/dzD6rN5SymlYew2o9xO - qtMkO8n9BgAAAAAAAIA7QNfnflEk327XKam1qU1jHYfv/M7v+Nmf/em+67qSTFote0Xkc+1jNSeC - 55y7rhuGYW9vT1JEXLlyRSeB4qWU1tqc5w0AAAAAAAAAAAAAAAAAAAAAAC5IazFnZPd9l8wkdVNb - epSnBHWnkw4tFyekZnJTcfXupgjzIWtMWiQtXCna0Wa4seh+/FOfVIS6/gJHgxeDkx5DT65giZsH - uqVOZur6x+vU7qzkbiVpvZ0WKW/H7fb69d5dSSG1+ZmdaZwk6clvaQAAAAAAAAAAAAAAAAAAAADn - pNzuAQAAbo8012ucVnGYmuRKzadlMnmTvLSmFrpx4zd/4qcvb8ZLm+G+abycmg9Hqe/loaE9/sgj - Cmlat7SQ9TlLXhVZzWVZpuoqEep7Hazf8R//J9/5zf/ePX03tW0MkynVBz82Pfb4qoZLpZRWqzd1 - JbXqk7RadrGdzg47TK7jsO0zBSc359ow9ZLMxup9zrW1PuX11WuXpuZFObtVlWGUS95M+alVK3Zr - VQsAAAAAAAAAPMe2221KSZGuXLlycHBUStnb2xvHcbvdvPa1r/1n/+wXX//6//ahhz49x2x7+Dnu - OqWUUqq1ttZKKYeHh/Pyw8PD5XI5TZOZRQS53QAAAAAAAAAAAAAAAAAAAAAAXLSSi6RobiXJm9p0 - V9drey3LmyQpJJeSJZ1rccEXIrtaUktSqFUlaS+v1t6um9T36juyiPFs2enFWUkpSbKQzfe5qSt1 - d1Xr+NyO789QLFl4Uyxyn1ruWsjU1KTOpCzJkuQS7xUAAAAAAAAAAAAAAAAAAADgAtFVHwBejLJ8 - qZraVuZKUg3zObpbXepanVLJqk37g65uPvWWd77q8RsvX2/vjmkZ26hHqWuaDjVtFj5dGkeNVQrz - VuRFmsJlkrKqt6SNTS2FXNpfv/61/9EVTRqPcjYz09VrT3z4o3f1y5CSFLUmqUje3Ey96Um53VJS - pLDPF62dU3ZTeBSTvBWTe/NxUElJ0jSucl72C9Umm6tvzhSexS23XhjMZCdu91gAAAAAAAAAfEHy - fAo1xXZYd102i2kazKIkeZ3uv/++X/iFn//Lf/nfWSy6Mw8xSaUcZ2p/yacD3b3WOs+fzkjHpxnn - rZuZu0uas8MlDcMwz0zTk07qAgAAAAAAAAAAAAAAAAAAAACAL51JzSeFK0LVd8KkOhcNhN281MWH - /5qUQpJqUjM3eQ5ZyCUvalJrNXf9US4yU85VKWhxhmfrzCFkUty8aSafOwX1nbxtukWzO+t4a+Ey - G9xbm4rJpkmuTiYpJNn8ppJMoc/XTwkAAAAAAAAAAAAAAAAAAADAs3Fn/c4YAPCcmbabkrNHlbnS - HLWtqbUkdR4aq46GH/2r/8GNt7397qs37o/WD0c71npVU1WS1NTZIueXRvfAP/4ptciSpLFOJS+q - m2qo5MnHXBRyjcNP//XXrTbbrKaYUq1ab/RHf7Tnddhu3BRnJje5yZ6hoGS+91ZxetWsSXKXmTwU - oS6n7VR1/brt7SmlaZrcXTlrszl9uJ2WnxFvDQAAAAAAAOBOVUqJaLu7u3t7uz/3cz/3rd/6rSfL - U0RIqnXO1FbXlfPddUTMSd4ppdZazllS3/eSNpvNYrGQNE1T13WkdwMAAAAAAAAAAAAAAAAAAAAA - cC7qNEkqi4XqpFpf91VfHeP2kha3Bv0mf25Gc2srmCS38FBqplqVpD6loflmtdBqodLRxAXPTppb - 5KWndMqLWlt1STkpSTE17ez89G//9p0W3R1SjdjdXShFjbFPJne1Ksl12uYoheTzEgAAAAAAAAAA - AAAAAAAAAAAX4M76nTEA4DnTLZaaWoqkqVWLITxVv5zzZrtvyXRjeOLd7/3e/+x15fq1vaXXut/v - WKsbDZM2VYcbLXbqONXt0O1vl1c32oZaCiUvC1MqyuqKPLqUevmcqf3t3/baPknWpCqXHnv88U9+ - vJM3qXVq6TiT+ymx3E+WQumklOtm5LbiyQVerpDCFLlEynrpve3wUKG0XI51kqTV6vQxWcpnNkfp - FwAAAAAAAIDbKdLNyVw2d+Dxcdx2XR42R122pPjJd/3jf/jGH5RUm3uodJIpZ1su+3GspZzb94Bz - UPdisej7PiJKKadJ3hHRdZ2kWmvXdeM4zjcBAAAAAAAAAAAAAAAAAAAAAMCz1HXduB3cJ+Wkqd27 - XCZvk0bX03RoiafbwjkKUzOFlEPFlaQw1SRP6kyrnNY+5N3Vz3zwN9X3UT01Wrjg/Nhpa6BkpeSS - TIoWdZqsKxondYu40w64lJNpMwyTa9n3Sa71tljKZ1YJQrsBAAAAAAAAAAAAAAAAAACAC0Z0NwC8 - SLm7StHUVFvJVnIqRbY5upQ7rYeP/Mjb+ocf7Q5u7PamaV1S7Q72u+sHeuL6jd/+/T/6pX+tG+ui - vnSL1e7uJaUHfu79aj5N27FOOSSpVqlYVqhuStvKrPPqw1bN5U3DZvvQQxpGeXRdri6fY7ZPCmDS - M1eDWZzUZT11HfOIkJSSXMpmSuWo1dXdVzQOMqtea5Ite5k0jnPcTcjm4O+5QgwAAAAAAAAA7kyL - xeL69evL5bLrupRUa33d6173rnf9+N137ZWiWrVada3FdjuWkmo9t9Y9rTVJwzCM42hmklar1RzR - 7e6lFEnzZd/357VTAAAAAAAAAAAAAAAAAAAAAABe7EJmlnKWXMN4V+6yzYtPm7Tclh5iSTf7viRF - qiHlZNJhHXX58uDNSm8pXXicOF4E5iM9zrYaMg3j0KZakmVLsqydPXlrdme11EspKaejqpLUWm3j - qNKpeZYfD/RMp6M7a+gAAAAAAAAAAAAAAAAAAADACwg/1gWAF6NQ8tw3N6VeXa86Zk3SoBJ6/Mbn - 3vaT949j2RwmjfLtpK2mSU8cHP6L//uxD/xy/PFD914d9MBntK2apvHwRrdKR5/+tNZDb3llJlfb - qPSSSa2WSJrar731LRprWq3krpA++7n1Zz+9yKquZKZ6PCwLpVAK2c1amXRmkul4BUl+WnxiLnmY - u0lmYbJcmqTUN8s3ml9++X3yyKudsnfpyNthnRRViz5JMX8UuhTzBQAAAAAAAADcdunJ3+KZy9yj - 3vPSu9frw83maLValJK6Ln/7t3/7u9/97r29vdWq22wmmfpFcn+m3O6nbPkLc/ny5XlmjugexzGl - ZGY5Z3ePiNZaRETEM+8aAAAAAAAAAAAAAAAAAAAAAAB8wUIxedf3rTVNVam0g6Nx2k6KZnI7btUy - e25+yp9DkmrSlNUkCy2qupZkGsyPpG0ONfXdUiG152RMeAGLpLhZBeMnAd4tfLHoLIXkyWLcbGRS - 34V9nm3dBus6qfndy1yKTW1cdFnNdbBRk+m4z9H8zk1ye47exAAAAAAAAAAAAAAAAAAAAMCLDtHd - APCiZcpZxeq4lZmODjVNOjj4+Dt/YvHII3ttu+qlmDRsusi6duPqv/zlxdXH783pcquXWxse/IR2 - drQ56nfLcHDtPsvaTmq1y4ra8kqSpqm1qcmlq9dfPsZdqdNQlTu1mP70E2W9LlIpZlWdlOM4llu6 - WRUWdlwwE2dCtecamdPc7ltzvuUWdlpFEzZ5eN/bvfep6+o4PvHYY7t7e3XVKd+aT2OSlE42DgAA - AAAAAAB3oFrrdrtdLBZmNgzDZnNUShnGzTd+4zd84AMfeNWrXjWvNo7urq7L57XflNL+/v4801pL - Kc0R3aWUlNK8MOccEbXWlPj+EQAAAAAAAAAAAAAAAAAAAACAc2AltXFMKSmbmvrmOzvL0uWWFE9Z - 2U0XGl18NibcleZ9FbfiMtP+4JNpeeWyStfa3EfmAgeDFxU7ibh2yaVkSVLKFtMgS/1qFSblc6uj - OS+7XZ9SGrbNa0gqKf/Nr/16dSvFk98ctDwCAAAAAAAAAAAAAAAAAAAALg6/bQeAFyOTistca1Pb - 2VF2tdD+8OBb33Vl3Ka6zpoUozZbqdOnrn7i//yVvq1TrhHr0FB6u3b0uG48op3kvln4cN9U//DN - b1YMoUmLUFJM6izn5UIeD7znf33J1fVykJopsq7fOHji4UWK0qyPYjV6yynmEO5kMYdqp1BypZY0 - ZbUkt6epGTvN7Q47qR8zhclr7ZQivMpe8oqX6+671HWR8j0vfdnR0fobvue71Zuk4irHG5JMFsrz - 1p67fwoAAAAAAAAAeCZP/iKvlCzFVIdcLGV1fTaLlNI0TV/2Za983/ve99rX/vtmkmSmaWrnO5pS - iiR3d3dJc1Z3RPR9Py+X1HXd+e4UAAAAAAAAAAAAAAAAAAAAAIAXL1fue7NQuFrslP5osz6YWjwl - pftCQ7vPSCfTcacXk3LYokull5b22P41tZrz07WJAb40cfN6nlw6ODpUyLpOU41aR5dO4r3vHEfT - aJYXvY2uvb2dG/vXd3PWMMmPOybp9O2kk+cGAAAAAAAAAAAAAAAAAAAA4LwR3Q0ALyghhVy3TDfL - Tm4pQHElU5IitqpVEQ+86a337G/6zdGV3YXVrYatZPr4Q5/9v37tZaUsJAu5R5jaOHQW1z72EfmU - 5LtdV5+4eu9yoTZZDPNOLUuStqOaLw8395SiNihJddw+/Dlfb4srK3lTyCNCkdzUzkz+lCKx0+eo - eMbPL3dl0xSyvh/NxpJ2X/ly7a401q4srh0eTauVkmvRSZJJkUwppLA7rfoGAAAAAAAAAG45Hdqa - m6Wcs7tHxHGEtmK1szg6Orq0u/ue9/z0f/2d/5WklM9zCO6eUqq1zjMng2mttXlmsViklOZ1ImgU - BAAAAAAAAAAAAAAAAAAAAADAeciSVLeTmt7wla9eDcPuoksmhSzm2GxZuKS5bcuFClMzdymH8s3g - 4UiK9eAq6cjyj3/kAfV9a1ILcohxjpJkJ1Mo9nb3NB/wOVkpJUneppzHlGpSS3KTm85GY8+Plebl - z4VVLq1N0xg7WYeH60urnS4n6eStEVLcHBUAAAAAAAAAAAAAAAAAAACAC0J0NwC8UNxM7fZQkyap - KTyiuTRJ621TSE3aNDXJXe4FtGq/AAAgAElEQVRLTcvtVofbD73pR+913/O2MI3rAzOpuj7y0f3f - /d3VeLRokzVFKKfOw/qcyjhMn/mc1mvV5rV1y0XdDJqqqqwlTS53+SQrGrTrUh21CqWNfHzik58s - rhSmVDaacrewkmNRjly1L0O2qcuDhUqRzMJ6T51ysWxSkzfzpjBP5ikihdKc8G0mM3VJ06RFt9hO - dezL6svu19d8pUxqObatveTuR5ZZy5VyjqLjsO7j5BsP8znA2545Gvz5xExShOYonTnFBwAAAAAA - AMDzgZ9MkqSYO1klUz6dCbeS+zk8O+qUk7JFeP3Bv/d3/9EP/YOS7LgBUTZJKaVc+pvfDNozTLdI - UjIl0y0nGJ96ptHM3D3nbGallNPl4zhKqrUePwkivQHgBWT+ANLJX3s9P//OR4TZs+p0d/qsa612 - /N3c8+x1mKZpnnnSBzc+D3efX6jP8x+kO5mdmOdzzl/aRiRFxOkx//x6EXTm79jz9N8RAAAAAAAA - AAAAAAAAwIuCSRGSSllpq1f33UumrfnoUvZUWuldJbwLJcktnWQVXxQ31SRPnl3FU/ZkkaQq1UVO - Bxvfru5St5DcslTII8azdqbgxU5SuJOUZTbPWlIumgtmcm67e9eT1UX2otHlYTn1EcmV3I4j592O - g7Pt4n/2614lJSW1riin0Dht1IXMz6Z3S3P7o0SINwAAAAAAAAAAAAAAAAAAAHARXhDRpACAW6Tj - YpM47rXtrWZpucw+V2ssspJUXG2jgyMN7ffe9JaXHA3LcdD2KI/rfrHUeqi//+Grf/hH3WZzqe/a - MKaQJD8uYFEX6jZbffZRyXLXmdnK7INv+8faTPJQTlKTXLX+6tvepnGchu04rpVdjz6S9w/vWixG - j8h91+89Nm0PuvSEKd//svKKV6T777f77hvuvnK4s3M1pc1qcSPZdZ+OvHnJpV+Urp+zqJMsmaWs - lCSTu7xqnNSVdDhNw2q5vXJ59y99vSyrhRar2i+u5vSa7/9+lTzVNvn8CkknkTQud50UtAAAAAAA - AADA84S7d8nMos/J3b/jv/wv3vWud125spuLWot5hVZr1/eS8pl07S/An/1lYmttzu2WtFwuzazW - GhFd103TVEqJCHd/ltmoAIA7xziOc9bvNE19388Zxs+vv/NzQG9Kaf6EKl/ch+NNi8VCZyLAt9vt - 8+h1mHOLu66T5O5932+32y/5pXhRaa2VUrbbbUppGAZJ8/fXzwutNTNz94jIOUdEa20+DL4oZjZv - 6vT/fimlOQD+eWGapvnv2DiOKaVaq7s/j/4dAQAAAAAAAAAAAAAAALyIzA1fkmRRxjG3qY5KRYos - 2UmusZ+ufdHD8ZMQ5XycpHzMzPJi59rUVDrl4uEK2pvhnNktWd46DvI2yeYl6U2//pv50uWDTct9 - SUUppdrcddxiaH5UmMKOc7svPL3bTsdpdtrfyNot7Y3izHMDAAAAAAAAAAAAAAAAAAAAcAFouQsA - LxTmkrKSlGKuuzqp2CjmNk6bOqSdvZbnaqy2sCoPbfzBH3nbl9W4nEufmmoo9Xr4UT34yYM/+eS0 - Wa9SKkohWchDltwU4ZFTiqEefOpTl778KyY388XlxZUr+6MmebtW735pSUpVWh/etejKqu/Sng6v - qdXpwU9cdltvht2d3cfWB2lnT/e85NKrvuLy/V+p3SvyVsy00y+PDhRN41g/+7nNtSeOHnt8e7hp - ky8jrFWXL8w8PELRJClJJcskWb+JuLHM3ctf9rJveI129rRzl8bpcJquLfJjbfqaklVbWa0kTYPn - ks7UriRJMtK7AQAAAAAAADyfeNTFsr929cbly5eXy+V6vf7Wb/3W97///X/j/2fvXoMly646sf/X - 2nufk497bz26+iW1utXqVrce0HphwMaBg/kwEROGiPngIGKCMMYQwABiQIJwIGwYgseAAGnwjBAI - Q4w8zEM8xjG2Izw2MHgcQ+AxIwFCSOgttfqhblWrq+o+Ms9j77X8YWdm3Xp0S93UvdVV/f/Fjay8 - J0/m2XlunsqMnWet/3/zbWfPfjHEOI45xjAOHQSlDHCtU8oXPeOkqD3TDTiUeFqzPwH0fR9CqL/W - 9O5NMCTTEImIbhpN07h7/X8+51zDnm+gyOqqvovVt63NG9lzVd/4VDXGWHdFDTK/IYiIqh5+7u78 - lvRLyznXoOvJZAKgbduu6+r1G0L9VNa2bYzx4OBARNx9HMfn+jj1A944jjnn6XRaFzZNc63He1Tq - x9RSStM04zjWv2kppeZ5ExERERERERERERERERERERERvXAUh4kXkQl635qg31OHO4q6uKhDgHou - oBpw9GHZuj7Z0GshwrpJy5CLJLEmwAsEURRAHktMPC+LjlGMu/uLW1pd7OUANEEUqpDiprIunXFA - 9NnrZY6GA6awi1ndzrhuIiIiIiIiIiIiIiIiIiIiIiIiIiKi48DobiKim4HDgAKIuMIhgAtMoMA4 - 5CYp0E9nbUZ2qEFbcZSCof/gT/7sq9IU+7vBOjSOscfBsv/Qh/c+88g2ZGc2zX2fh5wEdrF0ys09 - QaPZ3tmntx0pBEyn/f7BqbZ5/z/4xa/6uR8rGAWi3RIhzfc721vasNR2hvPn+rNPSd9PYlx0vaa5 - njhxy3/+EHa2EU7AAkqBG+DYmsEKJk3cuX9bHtgeC86e9c89euHJL1jXN/Bl10WFK1RVzM3MzLIJ - FL69/dKv/ArcfRd2duCxPLVbprPu1MnlicnXfed3QAxtW6y4haZR2GWZNEyOISIiIiIiIiIiohtM - zQqdz+dmFoLM5/NsuPvuu3//93//W77lWz70l3/ljnEc5/N5Pw65RjNemd793JlZ0zTDMNTc05RS - zX2sGZB1VDWuexPsSkRENwd3r//b1//eu66rSdjXe1xfljryTW63qoqImT2P4Or6CDULGetQ5+eR - gnxduHspZRM6vnkKfMt+dnWPqaqq9n0/mUzatu37/nqP68slIgD6vq9jrkdxKeW5vv7rfwI16Ppw - APyN4vDH1xpeXtO7iYiIiIiIiIiIiIiIiIiIiIiIXoAcMqBMtiZdHnqDCpomDB0gbg4FXNSOq2HK - JmjY1pciUEeSMIxFplMEBWBuARpTYDIxHR8BStk+sZO6XfT99jQtF2MAkoQrz3R0gTj8qF+fvr4Q - uEMBccBtdQDx6CAiIiIiIiIiIiIiIiIiIiIiIiIiIjoW7LdLRHSTKIDAA9alGQJVmKBJcRy72ESB - RfMoAlF0CyyH9//iO15z6iSe/EKbgOWIrocg/8c/9c+fnY59ExMGD8VqlYcBLlCvlVqujlZ02Q/d - xz81eegh7J1v2+3GdV8CxhzVkk5h+rGf/4cvORi3PcIDDjqcfbJ0B1PBoNKFODtzZvr1X4ctR9Iy - LktBM9/GmEvuw2yGLAAQI/KI6LjrpXL3y06OA/b2sb/onnwqL7qDxd5isYCVJqbpdJqm05077sTL - 7kabEJqu98l8rjvTPZXHkz70Pd+N+RRNGsYhtPMoWOV2i8FtU83igLCyhYiIiIiIiIiIiG4c8/m8 - 67q2bXPOu7vnQwiamums7ZbD7/7u7/zAW97yB3/wB+PoBwcHl9ytpnc/Y0pj7aP1bM27VHUYBlUt - pWxCK2ued01FxTrWcRMLeg2eLRERXW+lFBGpAcD1v/e2bUVE9XhaPv51bUK7a97w804cr4HfWOcW - 130yjuMNtB+2trb29/cB5JwBLJfL6XR6vcd1A6h51QDatg0hPI/Q9+vI3ZumGYYhpVTj23PO8ty/ - IK8HkYjUF4+INE0zjuPzPqCOWT1sx3Gsv9aPqTfKwUtERERERERERERERERERERERC8qKgpYA8PQ - I1tUqOJgUVJQuGJ96j8AwI46ilh9szlzqAlc4A4TqEtoJ//oP/wx2gQRFS2juXtswhEOiOgwAVLY - 7ZZlrz+t6PsxANM07celHmordH0Fd1x2ruXhlkfHPh4iIiIiIiIiIiIiIiIiIiIiIiIiIqIXA/bH - JyK6uYhBFA44xBEc/WDNZDKg1zymXOCACcb8sXf9ypn9vu/3T/qIYQQyuuX++/8sf+7xLcNEg+ch - AwqEgNpeOwrcreZdu3sSnZo89clP3fXAg9CAKOLWdgP2F6nZQreEyA687Tu4ILUYF/0TT0RYAZaK - PJ9Nv/arMWmA3Lm0J0/v7h/s5aFpmxyQREy0nc60eEhBIsSLW0aMcsspnDkzeenLULAlDi8wh9eq - FMFk4gcL8Qkms7xYnh+7PunyxPZDP/T3ME2IihhDTAbAPNg6pntVuaIAxHFoCREREREREREREdEL - 3f7+/mw26/vezHZ2dgAMxcZxnEwmfd+/+92//Iu/+I73vOc9ZhCBW80oVQBwxeUtf56zmvXY933T - NKUUdx+GoS5395rmmFJibjcR0U2j5hYPw9A0TYwx51xDfK/3uJ6DmrVc36rqU1DV5xo5HELIOaeU - VLXv+5rkPZ1Ol8vl0Yz6GhORmtuNdQzzdDqtWezXd2AvcPWVb2b1I9Dmlf880q+vi03Q+DiOMUZ3 - b9u27/vn+jiXPd+UUj0KbqD9EEIws80OKaVsQtmJiIiIiIiIiIiIiIiIiIiIiIheOBw+LBbTSUKW - xqCOUjBtULKJA9Ca2F2TiY80t7tSX23IDm/OoSEt84jZBEG8ZHMJKVz/nGR6UREgRk/N6ZM7bXew - 6MpWisPYFZQAv46vRhOIOBziFg1wWzdKuojHChERERERERERERERERERERERERER0dFhv10iopuB - QGsPaQckADVfOwOKptW+YBJaqEKXWI7v/7GfvKOEW6y0nreSSlTsdzjYy3/yp/0jj89TGK2IQQEF - VMVECkwBAcxNAZFgXqToREO/u8S5Xdx2qnTnVWezOPurX/7VV7/lzdiZA/2B7d82E+SAc09jgnNn - n9wqHlq54H7vm96AEzOUgjFOpjuPnB+md9z19P5unDUjbOiWk9jMJbTLYWY6dQOCxAaeYWPJQ4Ai - AEEgCrf1O5rAi2zNUMTOn4/b83J6/pnu3Nf+8A8gClKLqBkwqJkpBEHgq5TuWtGiWO9HML2biIiI - iIiIiIiIbgzT6XQcxxDCdDrtuq7v+0k7iyIQG3OvI976gz/44Ctf+da3/nDJiI3YcLilT50WvXpY - qT9rsLeZzefzg4MDACmlmthdxRhjjCKiqqWUGnL513+mRET0AtH3fdu2ZpZzbpqmpnc/1+jr66gG - DLu7iOScATyPwdfA7/oWXHO7m6ZZLpc3UHRx0zTDMNRdURcyuvhLqjuthl6LyGQy6bquBsBf76F9 - uYZhqBnzNXu+7/vnEV2fc66HT9u2OedhGGoA/BGN+YhsXvk3ymFLREREREREREREREREREREREQv - QgKZNhN8ce/NX/H6+3tTF23QDd5ueqRAAZgja11/la59ZOMBHC71xwAYoEBXRm9mCIogIo26AihW - eGoiHSOFyF4/7nX7XnwicPcO+WS7vRz2BHDADp8weHwnDxoQACiQbF3BI6sGRwztJiIiIiIiIiIi - IiIiIiIiIiIiIiIiOmqM7iYiukkI1AGDKUxEIav6EHe0ARgK3HDQ/dFP/NRrSjidC2AQoF+gW2Lo - vvD//NH8/N6pqNlLFpQaaW1wd3eYIwggwVEUkADPMJiaTmH+iY/KLW8KkxZmLezE3hJdQVsw5mkT - h93dtgTdmeMLn8/7+03E+c5ve+3L8ZI7kDO2TmLAspPhtjtf9t3fdWaSIA5xWAGAfvzQ//iuneLz - 4qkULTlAJabYwC2rr7uHe8GqrbYaJKVmEO/adm+avmD91/74j6JJCFOIOgKg2a1RRXEIIAapRSyK - dYXYJs+biIiIiIiIiIiI6IWvlFJzB/f391NKW1tby0Xftu04jjs7O33fFxu/8Ru/8Y477njrW9/6 - 2GNfuFbbFZGDg4PL8j5rZGPOuYZB1lhHd6/xltdq00REdB2ZWc3tFpGa2x1jvIEie0WkjjalNI6j - qm6WPCc17XiT2dy2bd/3N1B6sYgMwwDUb4R9k0V9vcf1QjeOY9M0m+t1H95Aud31g9lyuayv2JrY - vfks95zU9Pe+7ze/XsuBHrF61G8+x7p7CIGHABERERERERERERERERERERERvdCsTsxa9pC0vRxm - HgLiwTA2EZohMN80S6nrC+RIc7sdus4dxvqKAgY1BJ1M4aWIB8AdImBuNx0rAaBpPpspmv09EZRS - 5mi6fiE43EpIn/ERjoYLIBCYuEYzmB06jIiIiIiIiIiIiIiIiIiIiIiIiIiIiOjIMbqbiOgm4UCf - xxgVEFiGRAgQoAAKMHQo/mc/8QuvtXTSeqDAMkpGjHjq3IX/9/9L589PJ20eehGoYhSoI7mKww1B - HQ4vpZaeeC4qgKuZJZEnP/fwHV/9OoRmGHoN8ZaCf/oNf+tb//Df/tmvvvvOC7tNEoihOB57bJ7d - C9ppmN93H1QQW2TBwuWWnft+6K3YbuFYFY65wQ1z+8q//z+g7+DygV95T9pfnnDF/mJbZeKLZCWt - SlECRIrqELSTULZmX8jDuYCve9uPvFQL0gTmSAkSa9lKIwpAg2x2XTlcinYjdRR/Vu4icKD22VeR - m+i5ERERERERERER0SVU1d1TSgBqZraZJdXcd6Xk7e3t8+d33/im1//mP/ufv+fvft/HPvbpGDSX - DEAlmlttPLSeQPxyGwBtkg4BjOMIoIaV1hBEEal5qCGEw7GIh1eoSxiRSER0Y1HVzSWAGG+wM082 - AcP1zatGFz8P9Y6bzOYaYHyj5HZj/Ra8eWvOOfMd+ctRP27VvVc/cW124w1hM9TDr9jnPf6aXF49 - 70Pputh8ND38uZSHABERERERERERERERERERERERvUDFiN297aBu2YF4KHfYoAB0XQVwPGdBKVDW - V1ArEUQRmoUVxGgI5qtBjmOfUnssgyICIFApKfW7ZeLQIGN2Q4kSsjtgJqvCmSNNuL+EwwFRUVUr - 7mOezOYYC9wBuyxEnGcxEhERERERERERERERERERERERERERHZEbrIEyERE9kzH3bUy2um6pASK6 - 5cEkJowjSvnAz779ftGt5UKSIS8BoGnx0Y9/8YMf2jro2th2Xa96eSSLAOqAq1x6izgccIH5mLQt - jzwcXvlAkxqIwuW/+rqvx4X90wf9HBoEhhHLxXD2qanEgtKcPo3bbkNI2YK7Y2v6G7//e9/3cz+W - YxRAV5UkdXOGYmhbFHvTW34AyxEjAP3zd75jG6G1IRWoqwFFNKv2Ifh08sB/+21nTu6gDRgHbO1g - dKQGvnrcml8t6w3oxRQaPRxNQ0RERERERERERHSjyzmHEFR1GIYQgpndd999733ve3/sx37iD/7g - DwEEDcVy0FSspJiGPF6T7W7SH1XVzGqOo4gMw1DTLrHOFwcjEomIiIiIiIiIiIiIiIiIiIiIiIiI - iIiInoEBIQBRxrEbvLRwEcnZRaBeu6SIQYEcHFa7xBzf0FaKYOl5ryhCLNAoOo6lSWFTQUB0HAQI - 2sHPd4s7JpOnu24eoB4H6xW6aT10nFThkKEUMxuB2aQ9v7f3333tf/rzn/wrwCD1eDUwt5uIiIiI - iIiIiIiIiIiIiIiIiIiIiOgoMbqbiOjmYE0MgJVcENvYNgCGoZu0DUqPsf/zv//TL88+d9M4ook+ - mAD46Mf2P/RRPX9BAAgS4MAoABBNg0G93lKrshQwVwCQQyneoQku5YlPf/qld92NtkUesdif3XoG - 4nfMJv3uU92wmMxaxDDs7qHP2N7aetVr0A/Ddisp7bezszvz7/v3/xuCRcuAQg5Vk3gEHAZYRpoi - NDCD6ut/4r+HjbACAWRzFwEUoggBgqIB07k7YgRGoAEcQeCHar8cZqu0br24WdayEBERERERERER - 0Y2rTuNufnMPkNwPs7YF0C8O7nnZS3/lV375x3/8J377t3+7lAIgRi3DOOa+To5ekz5dIqKqpZQQ - QimlaRozm06ny+UypTSOY0rJ3c0shHAtNkhEREREREREREREREREREREREREREREdLPp8zATh3Q4 - 0fo45CE3IZjloii+7pniECA4anq3H33jlNq5RXx1tQisbX/pox+BQaDZLKXaBieH2Bz5aIgqAZq4 - m8uDOyeH/f3tRobBA8aAJCi1xZAdb1uhYjW9G01KE7eu60/N509euHD10p3rES5ORERERERERERE - RERERERERERERET0YqBfehUiIroxOOBNTGMeezMHmhgw9Di/+6c//dP3BblFCvKBW488CgSffeSJ - P/3z/PS5U/PtABu8hKjAKgU7GILX0G73qye1aK32KCg2ZFzYx94CxREDGsVi78I73v7UZx/emW5P - tk4gZzz15LBYSIrn3PGSu7B1AiUMfV7G8MB3fitOTKDAOkbbgQJ4jfFWgQAxQRwpYJoOZPRZxGyK - rS1sb/nWzOczn80wm2LW+rRdBlmmmIMUYBgAAeJmD9V8bwBWq798/ZQveUdkHQsRERERERERERHd - +ETE3du2VdWu60opZnbhwoVxHH7mZ37qR3/0R0KQEKQf+ulkKtduYrTGctdc8FJKSmkYhpxzzfCO - MaaUlsuliIhwNpaIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6Oqa2EAdSfcWu4MVA0SkOFxgCpdVGrHL - qn3KMbD1FVnnIJvKkBQlIySDR9VczN1rKxuiYyIKs3/2kQ93uSwsD9lTqxLUkDerOPTY2u6JQ4EU - YpPSwTDA3NwX+/un5nP4qssSi2qIiIiIiIiIiIiIiIiIiIiIiIiIiIiOAU9tJyK6WbggG3JpYyia - gRF7uzi/94V3vud1pQn7F3rbl7aoFCwGfPiTT//Jn24tukmADQchSAKsmBiiIRZV3zyqmZqpOeCX - VnvU30pBI5hnwcOfx3LE0GOeLNk02UtPn0BXsD8iF79wHkkW4i97/RsgCecPGsTWm7EbsX0CIUED - NELVRQvqjzkMGBEKdISM1l/wfDBvfCwLVy2qA7RAxVVMa9y3o6QgCaXxErtulgz1WV2sMLNNFZgA - AlVoqDfWZ604vnI0IiIiIiIiIiIior8+14s/h8QYzWwYhhijqpZSZrPZfD6dTZoyDt/2rf/1b773 - n4g4gGKjr2ZIr8H86DiOTdPUAQDIOU+n05rS3TRNKUVEptNp3/fjOP71NkVERERERERERERERERE - REREREREREREdNMqXmDAcrHTNNPUqMa+H2sitjgccNFNQ5ij7pXicii326EOdQBaBHvDgKaBI3oA - EIIKgFzWlQpER0+AEKBazHcm09jobm/uNdceAGzdcE/8mDrvBUE3ju7eqrr7yflsJzY+rqPEDx8d - PFKIiIiIiIiIiIiIiIiIiIiIiIiIiIiODKO7iYhuCq4YBZIQg8FmcMkdgM/8yq/NnzqvT31x1rSa - sy57pEn54Iee/vBH9GBvK2ij6MfRzCSgAAhQU/VVxYnLqmDKBSawdYWWy6rcQxxJMA3QRbf7mUcw - DDArwzJLDmVAGXOfoREx7Z57aiyDNQkveSkk4MQpAGOKNptB1c2gWgNh7OKzUgMgoe87iMChk5nE - OI45hZTheVN14r75cXegRLhY0SYi9zb2xUaIrX5ggCkMgAKr0O5NnLfAYQXGehYiIiIiIiIiIiK6 - 0eWcU0rDMJRSptOpqvZ9v1gsum4BWAjyxje94d/8m//jJS+5bRjydNpew027O4BSytbWlrsvl8u6 - ZBiGukK7VpcTEREREREREREREREREREREREREREREdFl3B1u0JT2ljoUhRRAFeK1TQsAiAOAH1f4 - b00KVyD4atMGjdszmMHVx2wOc0AEIUDs2R+N6JoRIEWMIzR0Q97rrFUU99gkO9zQyI864/4iVYki - Xc4ppd7s3MHC8mhDDwdwxaFxbMcwERERERERERERERERERERERERERHRiwyju4mIbgoOeAB0ATdk - KT0Olh/88Z86fW5vPm3RRBRLWWQI+//23+9+9ONb/bAzaYexszJOA8wxGiTJKBgDTFalHDWuWwHx - i3HdAIqiKBxQh2bEHtFhyyX2DzCdYNp4kqCGfuFRESLcFrsXiufJzhaaiUMQdM+Hx5vx3rd8NzRI - bDa52gqTTaI2NBeJzZZL9DgxtP2QYjpRLCqkgbWw6AZxBEcUqHix6AkecxEzQZpqmgZtHeqAwxwO - uMHrhuCAXSxccaAA5Xr8DYmIiIiIiIiIiIieL73iBwByzjHGtm1LKV3XmZmItG07m80mk+bgYK9p - 4v2veMX/8ju/+8Y3vn657K/VaGKM4zg2TePu+/v7AEQkxhhjBBBCAFBKEZGu60SOr+cRERERERER - EREREREREREREREREREREdENJGpEn99232tu72VaEEzbEM2QDMkgLuKqDnGYIOuRpxIffnxZVy+Y - Yq/vMWlQrImNClTgZjDmdtOxKuOAJkkIZjZvZDZrRrd+WNXLuBxnbDcAHBSftRMBhmHYSuFETPN2 - pocPC7/iChEREREREREREREREREREREREREREV1rjO4mohcHf4af53THY+b1whyG1c/FWy6PlxYg - IHdlBknDgIPuQ+/4hy+PzWwY8nJfRHCQsQD+4hP9Zx+f9mPInY2DORSAQAQiMPOcsdmQCYqgCOBQ - h2yW4+LeqDVU4piFNJWw+NSnsbenjnEcgWClpMkEZcD+7nhw4Gk2v/1OxCBbs7JYWmqXJ+YIghDX - dS22ecxNtkwIAsVYMGS4IDYxZwQNCggMDsBqynf9K8XQWClwxJgkhuLwy/92AohCLl92CN8diYiI - iIiIiIiI6CYwnU739/fNrGkaEdlkZo+57/rFdDpVxTB0d9x5+3vf+xvf9E1/62qPoc9jxjTnLCLj - ONZY7hiju+ecc84hhBraXS+3trb8ijlcIiIiIiIiIiIiohuacNbz+qmnJB9zw30iIiIiIiIiIiIi - IqKjU7xA45k0aywrBmwF8eIAACAASURBVGAcS66n+UttCOOGmkl8XEOy1XcxVre46kgTGyBAaicb - oDanCc+nJIHo+dLQThDiWLKqluJ7+0MbNYbVi1Ac4grxS/snHaGZ6LJbRkAcQyldHrt+GWOso71k - VX7FSUREREREREREREREREREREREREREdGTi9R4AEdFR8U1JQk13vix+WwABXDepz5vFl/x+WY3F - oZWPtt5hPdpRDCgJkFW5hZojAy4oKK1LgK4GpIghoBvR2Uf+wTvuHEbf30uTydgN2DqBp5/uP/Ch - xaOfmxsSAHhQEYUVjBlhncA9DRADYIC6IKuqw4DgpoAJrO5YD+oQwMVKgDm0jG7uX/wi9hcyS00z - cQvahn7ca2XAchG63GES73oF2jj2yxSbUmS3aTGdAQEeAUAMuFiChTqqmgwTLu6bcPG9S4H6Fzx0 - L4HGUP/8Umu4VotxtWouBQ5tr97l0jHc0LzuBxGRY6yxIyIiIiIiIiIiouNmV12ac26aBkApBYC7 - 1yxtcQmicLdSYtRS+vk0/dI7f+Gee+7+9V//9WVfVAAXdwFURaFuNq4e1AEgpTSO41U3ulrrUCB3 - znlzfTOSellKUdXDK5dSQgg553UrIozjmFLarFOfAhEREREREREREdF1UcY825oPw2BwNwAIIVgp - qiqOOoWqddJWrj5z++KxmQoGcNlU8GaFw1O+IrK5S12/qufBqipESvFnj+U2QGV1iWeOUZdneBRn - 7joREREREREREREREb3ABAlwDEMnMIFlhSuKrHvFAAoUBYBwLF90rHK7xeAYFQDUoaa5RHgDL5Ds - gyNEC3Gw3OpN0sKFbgzuAETcvQRHEKCYC+rXg+oKB8RM7Bj68LgguwFIUBczXzWQcjd4BAKATd+k - 1XHCo4WIiIiIiIiIiIiIiIiIiIiIiIiIiOgIMLqbiG5mflk9glwRxf3lWz/QMfdjC4e36AAgAgU6 - K1EliHpBKR6DIGccHMD9Iz//83ePaA76ZjYbd8+l6Ryf+1T+yGfGJx5rygEwAB6i5OLZEBXtNMF9 - 6LIUpLjueQegxnevqLgpUASAqtemdl7DvEWhDrjZwQEeeRy3n4mu5mqlpCaiLLB7IRrS9hbmW56H - 2LbIKtPJf/Z9fw8pAQHZkPTKaO0vVVRyMbf7cs94nytWvmJN1rAQERERERERERHRi8jF8Bj7gR/8 - vtvvuPUnf+pnxtFVtRQXiLmhGASoySjmZjaOY9M0wzBcmyGIAHB3MzOzEEKMsQZ+hxBSSnWdvu/b - tj2c6k1ERERERERERER0zEII/bKDCgQxxgLPYw4heDEH1B2A1cSCF2V0t5kBuBi2DdTLUkpdoU4I - by591Tp/NUtc71gfpz5CXadOILs7JDz7APTQJRERERERERERERER0U1AawsYcYeZoIYQbzroKGAw - wGqHGPFjaoyjDpOL23LBshhU0MR+7Ns0hcjBONZyAKLjJr6+WIV212NGIAAcVl/A2BxfR8ZFAQQ3 - c7jUjW6+R774raYBAfaMbZSIiIiIiIiIiIiIiIiIiIiIiIiIiIjor4d97Yno5uSHyhQg0FXGc/3t - Ki5fKJdfcQCwwy30FHqEGc/rQqlgCuiqqmI1CAdkS0MZDRHFc2gU4wF2z77nm/72f/nV/8VdozbL - oZlM0HVpMsEXP7//8Q8uHv7sDjCZK4qXHiW7AAkohuVydEVqQyjF8jqsW0yAVBSrIpNn7B7oDlWo - wrNbHncfe2zn9a9RCdA45iFNGgA4v58QJ7ecwaQR8WEsrvELfXdKgbEgCZIyMZuIiIiIiIiIiIjo - uvvmb/7m137FQ9/+7d9x7um9tp32fQ8gBAFCKcWsAGjbtu/7cRyv1UZFJIRQ81dqiMs4jjHGGtAC - YH9/fz6ft207snUXERERERERERERXVcxxpyzqmYrZqYiYykppXqWb23sbuIKYJVV8OJqrZ6i1jRu - d7d6xRxACGmz3N3rQnePGgD4xXOkXaRGeq8b6deQCVEBVKW4ybXonu/X5FGIiIiIiIiIiIiIiIiO - i0N8HdctDgUEFwO88QztdI7C4ajj2qTGgCL4tY9/FCnAxqZtS85wnaVUjmtURERERERERERERERE - RERERERERERERETPhNHdRHRzkkON7gywQ7VGmxVwaTO8Z69BEpgfWv/4YqZd14VT9dJU1YrDJajC - LAZHv4/9C3v/8jf/9le++oyNwQXzFsslul30B0/+yR/L01+cOyKwPDADmoAQ0I+YTqYBmrvlwkxy - iRqgZRPSrX4xr9sFl3aos806qnADDAHSBL2wt4ezX8BttyEhQCwP6sDuQiScuP1WiCMEAIs2hBM7 - UEGToJrNY2B2NxEREREREREREdH1FEIQsQcffOVv/db7vv/NP/ixj31iMpl0XVfW7bKaphmGYRzH - GrN9rbbr7jnnGGNKaXd3dzqd1nxud++6bjKZbG1t1RWu4UaJiIiIiIiIiIiInitx5H4IIeQxi8qY - x6ZpVFVEshsAq1OY7i6A2xXn3978ynpCWUTqZb1SSqlXNgtXv9rFHVT35Ob6KuPb7NDDQ8KzRaFf - ubedpycTEREREREREREREdGN7HA4t0EBu2IVX914bEO6Wlp4UQAZjaBJXR6mcQLXOmJ5tq93iIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiOHKO7iegm5RAYHBANgAsMKJcGeG/Kk545xntdmORArR0SWz+E - Qo42wVtgcIUCBciAAAkFAMw9QxoAyD1Q0I2f+bX3bj/x+TPtCbFSkEPp4R1kfPyP/0ifPjc3n6cA - h6BISln1fNcjhoPlcgrMJO40jZWcS1YREReHOIpiVAOgjuCr/SO4IgW97iGHiCUglXHx8OdmZ27F - OKQUSylwdIuuFMep03CUg2U4feIghfvf/D2wgvrXEfhxBqITERERERERERERvZi5AoBc2ZzL+r6f - zbZe++rX/NPf/CdvfvP3/8c/+TMANUh7HMeauWJmIqKqlyWmPG+qCiDnDODEiROLxSLGOI5jSmk6 - ndYrMUYATdPUX6/JdomIiIiIiIiIiIieqxhjjDEP/WQyGcaMoGWUoZiEqA6pSdTiDtRzk+VFlh0t - gPvFU41Xp2qLuFvN7K6B3ADgLiKqqyU1zNvczczMQggiIioxpnovAJcleV8Z1K1XLLEvO727rvZi - i1onIiIiIiIiIiIiIqIXPoEDMFm1Z4FDAZGrfzOicvW2MNdsMHXrQAHUYaKAra60CREFkmIDAOZu - RWNkQxkiIiIiIiIiIiIiIiIiIiIiIiIiIiIiur4Y3U1EN6/amc0BhThU4IeWXVnUczhg5GJMNdbX - amGSaa1UuvTmo2AOiKyHJYCsosfzsJw0LdywXEKBvYNP/eqvhsef2JIY4LARbkDB2ccf+Q8fiHvL - nTTzIZ83CU3aOrUtJ3eaNp4oo6sszp3vvribl32Tc4QXSHGPAn2WxnO+iThf7U53qEIVKPAyqsf9 - Jz4/6zvEgnY7uCGXg2XnIWA6gWqYz5aq583uKgXtHEGHkkPg+xERERERERERERHRdTYMw3Ta9v2y - 67pbbjn1vvf9y7e97W3/+l//r0M/hhAAlFJCCKWUi9kq10KNWhGRlNIwDCkld08p5ZzNrGmazXZV - lbndREREREREREREdB3VCVKNYe9g30UDoqh6UC9m6+CEGkxtAgDhRRgFLav0bhFZXYqIhhrOvcrf - NqvLcy4QFxEIREVFFcHXzC3ncng6uk5W1/OcLwvbvjKdgoiIiIiIiIiIiIiI6CYgDri7uK2b34jX - PjSXNsY5tvEAABQoq29qVBxwheeiUgBALbu6C5vJEBEREREREREREREREREREREREREREdELAM9u - J6IXAQcE4oiyDuBeh3NXso6h3nRsK6t/FYDA6j9Si4dcL733kShABgTW1KjsiCwwIEAncYqcIRkR - 2O0f+aV3n9o9dyJOxFrrOi0ZEDz+yFN//ucnDwaxkL3Zufc+3H0PzpxC00ANcQwBGLodc+zu+ece - W3zm8cW5CxHapJBtCIYAqCOt88zVIb7qcFf3wuZaALxAtC6y6NkPDvD4o7j7HvgIAItlZ7Z94gQk - ICgce3kMZ27FfIZuiXZqhhiOeo8SERERERERERER0SWuDDGJqk2MOWdA4GUYhl/4+Z+7795X/ON/ - /O6Dg2XbxJyzW5HL73vlnLldseTZtG3b9727D8MAQERU1cxiXH2VGUIwM1UVOcaOYkRERERERERE - RESXcoHGkEsxw2/+83/+6BNPFDd3AdZh1Q7A6gm39VLtyM86fkHZ2dmpc7whhBBCjDHGqKrb29tt - 287n8+3t7e3t7a2trfl83rapTUlEHChmlrO716ngmu2t1Xpm2N2tFAB6taniZ5qYlqtFertcvvp6 - tRfX34uIiIiIiIiIiIiIiF7oHHCr34S4AFDABAgXvwEx4GJPGDv6bzs2rXvqdtU1AICgbQegeJmI - agh1Pc9ZIlucEREREREREREREREREREREREREREREdH1xPPaiejmdbWskNq67cqcaAFULm/Z5uvL - TYD1KsP7mg7zGWhZR4YDKJeNLRukoOs/9FM//QoNTd8H0TwMcbqFvSUeefjzf/kXoe87w62vez1e - 8jKcPI22QSkQIGAIQLAmbaHvceYWueWW+Z0vzZ/49PlHH+u7ZStBpASH+KpSa5PYLb7aqetyLQAQ - QSmwug81RMG0+OKxR2evuBdDBw3lYFFCmJ8+AwXgBUCIu2bQgFk7lHGSZqVAmN5NRERERERERERE - dF2p6mKxgGoIMgx5Op2MY//t3/Ft99zz8re+9YeXy14V7gAQVwnf10bf9zWcu2bbqGopRVXd3d1z - zjWcpd7q7gzwJiIiIiIiIiIioutGRSUUt3/xO7/1gQ9+yAERKX5J7HM9xbYukhdZFrSsn/iVC2V9 - ZbOCAinEra3ZqVOnTp8+fcstt9x666233Xbbzs7OHXfcsb29fdttt916660nT55s21ZE3D2KrM5G - 3oRty+qhXK6S0n3V3G4iIiIiIiIiIiIiIqIbh8ENtvrWwwXiqg6F1bPqr9eXITVHPLgq1n14BAJt - RHMZo7awghCY201ERERERERERERERERERERERERERERE1x1PbSeim5MLbN3lbVXkswnizoagAGCA - Z8To4ygprZKqaz3Q+tIBc0BWTfOGYjGoAbp52COjUMCKWgCCaxAMQAGCKhY9snzqnb90pyLkhfiA - GGMy7O3i4Ucf/fBfdtlecv8DswcfwGwOBxrpy7Ld3ra9AzVpJltDt9+rNJPtPHRJHHediae3Tp3e - fuKDH4792FrQpD6MEiQX18ODupIhrhe7ZRVNZTz/+Sdne3s4fRJDHrrFIufwkjvrnyGbmYbctIgR - xVKaCBAYs3I0RNadH0Xg7u5MtCEiIiIiIiIiIqJL1DlgMQDuHkIAALMmquUBgIj+jb/xDe9737/4 - 3u/93ieffNIMqlBxASCSUhqGuloAEEJ4fpHepZTLrtfBiIiZiUgppY6t5nbXX8dxTCkxzJuIiIiI - iIiIiIiOjZm5AKrDmA0wwPxiIEK9dll0d7nyUQgAoEAueXlh9+yFXXz24ctulUP78LZbb7333ntf - eted999z76233nrvvfe+4hWvuP3W26bTqbvnnKNqjLHkknMOIYQQzEwdonWSGarqxTYzzDHGUkqx - cTqd5pzHcZxOp8MwiIqI5JzrOiEEd3dnADgREREREREREREREV0nDuQCsxQEgLvD4Y76XYoDBnMB - sMr2PvLhCLIjRrHsQSXWbcMUAS4CDUAKLRyotQk44u48RERERERERERERERERERERERERERERERf - CqO7ieimdbjP3SUx21FtyNpEoCBFs1HbYGVUSRdzu311KUCAmlnOJqpN1JrefdSDVyDWbPHV8zC4 - qqgBXS6T2ewvfvYX5+d3t2ZBPccUYBmhnPvEX1z41KMxpvv/kzfhnrswDJgmpHZ/GGQ+XeQhzFpx - CHxsp9q0e8vFBE1oIrSIIzz4wJmu7z/+cD44wDDGGA5ymU/COBYYAvTKYihxKAC5WMGlsGjaljw8 - +khzcgfD0B0soBGTFlE9SJtmAv267/ou5IK2FcjQjU2bjnqXEhEREREREREREdHz0zTNq1/9qve9 - 733f+Z3f+clPfjJnmBURmPswDNPpdLlc1uSS55fb/UxKKVtbW6pao1bqwhrUfTjGW0QODg7m8/k1 - 3DQRERERERERERHRVbmgJna7wDenK69Tpi+evbw+6dY3/wgvL78sXyqpQRUAzPH42bOff+psfL+Y - eQwQkTG7AnfefutrX/va+++//1UPPPja1772VQ88OJ/P3X0oxd0VQPGkASLFzd3cXEQ06FhyCjEm - 7fseQEqpDGMN7a5T0CklM6uT3jXA+1q+jIiIiIiIiIiIiIiIiL5MDojAbOyWQdFn357McrcoQFh/ - FWXHm40dApbZZ5Nm0Q2OsiWzpfcSFFCpHX7qsGQ9/GMdHRERERERERERERERERERERERERERERHR - 5RjdTUQ3rbC+ssrtXpfy5LHESXQAKgbLwQBIkADURG6BAQoYADhQiobQxAgAhlYUhmKQo/wfVIBo - gNRh23qhKgANEHvo+7/rU29/e9cv5o6xWBKg6z73xKN33HXH7V/xENqJL5eyfaIvZUTumrQUL1vT - ghAmEwup67o8Didm2zsDhnMX4lRCmGJS2q/4ynZZLnz0kwE65KwR+7nEJDa4AOqbvWiXDNc3nQUh - jgCLJk9/5rN33HcfSul392KTMJ0iaVHoYomtBpMpZpPSd6ENTZNKRmB4NxEREREREREREdGx8NVU - b50Ur5PhCgBil62pABx56ILq7bee+Ve/89tv+aEf+r3f+78BhAB1KcWXy6WqioRSCq6plNL+/j6A - GGMpRVXNrEZ3u3sppWkaAGY2n8/NTGuKCxEREREREREREdFRcoELTGByKG3g2TMHhJdXv3RcPAn5 - sBBCKaUcmrR2x+AugJfVfQrw6JNnH3vy3/3eH/67+qeIwMvvefmrXvWqBx988A1veMPrHnroZXe8 - REPIOZdiSUPdckxpGPtu6Nu2FY0paill0XcnTpzQbKUUd6/T0aoqImYmwlAJIiIiIiIiIiIiIiK6 - LgzF/s79939105SDxbRJi26RgFnT9kNvcsk3LXq1r12u/YAEAnT9kDRM0OxbD8S9cYRr2OR2AwBq - gYEyvZuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIritGdxPRzUk20d1+qIJH4EBoQgEAM2SB1hsLMgCH - CkwBEbvYCi4IHMgDUuNjkRQgCAFHy9c/Nb3bFaI1Tjwp4BmtnJuFWZaJh4kEjD1C+7pv+JsIEaFx - c9k5ZSnsDcjb07Njj1Mnv/J7vgfSoB8xnUEAz9jvn/xH/9PpUwnLA8l9zkPc2sYr75/t7g6PP9lA - 27Y9f7CUAIni+eqt8VbjlYvVU+JI5mV3F2e/iFOnh/391E7QtlAYPKZkcBigMTRtvUvg2xERERER - ERERERHRded6ZXo3gBhVJAAlpcm73vWu3/iN33j729+ZMwBXhWisQdqTyaTruhqwfU2GM44jgLZt - +76fzWaLxcLdw3qCPoTQ933bttdkW0RERERERERERER0fVwtq6FYWSd8yyY2Wxy2noAWQQirXO1S - PArgGIFPPPzZTzz82f/9//o/6wPffcedX/XGN33913/913zN17zqlQ+kJnRdl7NPJ9OSspv142Cm - bds2bXuwWISQREREcs5m1jRNnYtmdDcREREREREREREREV0fDox5JyZ0+0nRDePWbGtc7C+HXgAX - 2CWddRQAcG3O538mWnvGCGKM3dBHnfRJZGuy2vihgRMRERERERERERERERERERERERERERERvRAw - K5WIblIOmF6MvpZVbretbnTLYxPD0HdNG4EMIKMYXFerGGRTBqQoGUEBkyZA0A+5aeKRN2BbRXcL - JEAVogYoIAa0Cc34VT/4vR9/5y9v7fftQScyLb2FrR1E3++XWzun9g72OzTLrdm57dnr3vwjiAGx - hQfMA1xQRkxaTJrb3/J3P/GOd93TTLEosdnG3jncdjI99Opzjz85T5P+YLEVpe+9aTYFUpcXaInD - oQB8Hegijmi2VQI+/TBePbdF357ZQhQIzL2MY9o5DQ19sVaBUkpGaOJV++4RERERERER/f/s3Xu0 - LNldH/bv97d3VXefc+69M1czw4w0CImnjQUiD4MhJuDFYzkhf9goZC1YOH4BwRIgBJJxkLBBtiyw - AMsCQ+IHkBgTk4ATMGBAPAMBE+OsZSFeCcLoMRo9Rnfm3nvO6a6qvX/f/LGr+5z7mNG872N+n3VX - 3T7d1dW7qqt27Xr0/oYQQgjhGXbl6V/ZtSdrzez4+KjrulqrF/+rf/kvnj179g1veMMwTLVCXlpk - yWZznPuulPI0Fo7kMAwAhmHous59jmVpuSmLxaLWmlKapqnruqfxc0MIIYQQQgghhBBCCOHRUCBg - mmMJZrJrRvS4OfbDe/TQBm5Du736FeOSLbHby8niL0KyBMnlAAiSTGbvfv/73//Wt/7Ln/oJAOfP - nH3pS1/6Zz77sz/90z/tk/7ESyR1yfYODso4Hq03y+XSp2kcx67rcs4551JKrVWS2bVfbgghhBBC - CCGEEEIIIYQQwrNCANjVarWiwoFpmgjLsIml5XaLoGDaXrEintH0bncsMmTpcBzO2PLQh0vVXv+b - vw2euqQyXyZrxYhLLSGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBupIjuDiHcvnZduV2Z2w0g - Q0gJxXvrMDqOj7Fa5gTQoZZNovntIqYJKYMJ04iuBy33eU7RfkbLz9Zhn4EGop48DwCwhP39j3/F - y9/5xu/oycU4pefdPR1dVjb2y8vr9XqxfHjRfcJrvv6FBqz2gIzcgeZTJZ2rRYUnI+5MH/cNX/fg - 3/72+xZ70IAz51AP8YK791/4/MP3vp/AvidkeamAOWEAr+4jzwD4XFQ3zWXcA9bvfu/qzrvzOC3P - nAEpyWhIvHR8eFfKKZkAZqYUO6MQQgghhBBCCCGEEEK44ezR+ucaxvXe/tKYj4+P9/b2Jb3sZS+7 - 9957v+7rvv7w8Cjlbhim1Wq1Xq/LND3txSIpCYCknLOZtfTunDOAlBKArusktRCXEEIIIYQQQggh - hBBCeNYQkK6+pZiAdi9d8XcMrxw+upacrXkJwszMjGR7sj3fnmx/kiy1nn4vpbG6gFqnlLK7X7h8 - 6Rd+9Vd+8Vd/pc/5hfc//7M+8z//r/7LL/jP/vRnrPrFNA4dkbpuuVx6qeM4mlnf96WUaZraKein - feUJIYQQQgghhBBCCCGEEEJ4HIRhOOj7DtaZJ0e25CgDSgvE1rN+E70J7jCjAWsfuViOvbBMpy+Z - tSsrrYRxl38IIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEG8tudAFCCOEZY/M/EQWYgAoISIAf - bTABa8eFIxw5ygKXhUsVF+t26Dh0HDnWFQWowvExSLhrGtOzktut5EpQMtmp3G4AdAAYEo6B5f7/ - /uu/5vv7lcLxI1lTX5EKu4M7Dw8OPuEbXo1z+zh/Ht0SXGA0AFykaZE2qAU2VEefkXTf3/j6C6t8 - OIzTejMY0XH/kz/28pmeXV+89EzJH3t2KVItH50QYUKq0nCMd76nr1ge7CORriwhJy57CMdHowMV - KLXED61CCCGEEEIIIYQQQgjhWeRXpXRT7Z9RhvbvlGwJ7pcPL+bOAL906ZH9g9VnffZn/uiP/sh9 - 9907DBOA9XqdswHIXfd0lbIFsewSuyW10G4zG4YBQK21brNYIrc7hBBCCCGEEEIIIYTwLKDmO5Sp - U3e/CgC4/Wfz0AgjgDZmDK8ZEuC8lK7+V4u3B4aUmCmrxctUISbmLvXZOneU4rXKHbVqsVgtFivA - SnGzbKkTLOXehclLhddkSKkC61L+vz961//8v/zzl33xF7/oxR/9JX/hS3/yp//1ex58r0MXL15s - Kd3TNAFIKT1da04IIYQQQgghhBBCCCGEEMKTISCncnRs1OQgMAxDttwjiRDhxK5LGIJ8prvDAQhk - YTOWbtF3/eriOAx9hglGtShxXjFyCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhHBj5RtdgBDC - c5iu/JNXP8fdaHQAgs1P6qoxADhg0KmJEKRDgED3LECCO9xR3aaKzYSqb/78P/slf+4LbRjLOKFL - u6gSEU4XrBomEn2+cHz8+V/zVdjfpxmOjtl1oIEGAjQkwDj/iSsSTU6VcMe0K752c+HbObPd37ry - N0i2XR4+jsmWWCYcX/ran/qp333Td9577tze8dGiT5upjKv992zWn/jqb8DZPfWLDXLPlCpgQMXk - kzIBEsa8LD7mswfQ5h//3M+84nM/z8ZcumEz+PLej9i/7576jncSHKex7xalTLuFw5PvyVpcNwDn - dsYACqilB+sHP5iWy261REqQO3EZ5cWv/BqUeubc0gHAW+Z3/Noq3E4ICKgGzN10OoXtNm2VBqBz - AO50EVclIT0XnK4xHsMVNYMMdMhEB2yu+U/Vrm2aTphsO/2rxwnhwyJchMMA2NxLrImoBIB+3nJ3 - ey4/vd8XAPp2L2mCtekkOejidt/+3Nvkn2ucV33Fcy1k2z6at8/Yqbafb9975ZjbtaW1Tm3bMD7x - 1Fanqxpgu4rZT71wqtjYFVUEAO6K9/g+6HFU/Kc+hld/CuBtq7xque1K+3j2LLeT3dry6A3pky9r - u97YlUvJrlqGAGw77qkl/1jf3elPv2o0f/SS7YqtU2Nuy2bcHZddOandkci8LWzbBtcZ3hau2156 - jAV+S2tfuskcVgwAkpynDovb4TmAdFO27Dgf0dupuuuKzXN3zFtv/SqrzSOFJAdQaeJcY9j8rZmA - dtTTvkdd/zxJQqGjfgAAIABJREFUuB3Rsd2DtC3CBArirqnjdrvU0qc38ObaFhSwbbzJsF0g220H - 1RywVl3spnDrVg7hucDdAe3t7U3TVErZ29sbhsHdX/ziF//4j//YV37lX/uNf/v/JKIUT4llnB59 - Sk/sXE0L6gZQSiGZUmpB3SSXy6Ukkmbm7ma3SQ0TQgghhBBCCCGEEEK4JVBzhnfaXi/gqZOf2+ju - R71lwue3zHTlaLxFhtd66lO4cmokKcnlABIIM0lVXuv2hhwzSS1sexiG9uTuZDKAWst2cpR7BUhI - cKBUCTgu9Wd//ud/8md/bn+1+PzP/by/+hf/0n/00pfeeef5w8PL62nqc2IyGOU6dfv06VupZ+IV - j68dIYQQQgghhBBCCCGEEEII4UkScmKtNQEpsdQqdgW1/W7tyl+jPPOXKIRsPHbtLdLxMBo9910x - Q+p3V4BOFSJ6ewghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYRw40V0dwjhBhGgFq1t829vDCLq - 9vW5x7oWvCoHUWEFWAI+VusSgFrHlHPxmowtk5tddvdqiVBGRS2owFQxFoz1d/7+m5del+5Jbi6T - v+qzPtMe/iCrHFKFQwCk6gQpwUjWWpnsReRD3/7tVWydu5WUL4if/OrXIBnoWC3QGXJC7gDUUlLq - 5a4kgtuwvAoIyIJNgByLthyspfg4TuK6DTC79hdSAIAy1W6xxOBICasDAH/8G/77f/fd3/txNU+H - l6fzd/zAz//Mq37uZ7HXV7CiSy0iq5sXcs+uoAUpFbgs9TDgjH3DL//S//u3/8693aLAl2kFL2df - /DGP/ME7SEB5Ku0b8TlUb/5q5txuUe15bqOJREjIlgefqnI+ONN6pNtAh/v7d/YJOWuDtIALMjnc - YJHe/bSTACKlBKDF2NxeAX83KQImr7Ri5kDnbpKhEE6Zoxssm5grCJ9yrXRHh+dYmp14nV9YXpMO - exLhCWzjaWUOiAbAYafHnmskwFvt1Kalk3FMpz7zdPbt7RIdF546wg2qQDEHbFGR3ASbiKk3THU5 - CaXWHtaZxtJ3eSql6zpVjGWaMi2pdzfQaRPN2VFmGimvaRId6m/0XIZnlDkh2Omw5FbFtGZt20eA - oiC4I+/qf3GuxNp4yZEEygSImFr9xmJX7cq3tRk5p8KfjlsGfdc3sXajoTURQNIEyiW1JoKnJMA5 - f2grthNJ3sZsT4lwOGSpJe3SK0+VZFvA3RSwjdqt9gTCKEUUg1nWGiklZVG1o3wqYgeYCLO0mTbL - vdV6Kia0bO/nCCecc767CdZSQefM4PZdOCjAHV7b88qA+5zebdR2f9pWvPkbdG/rgpvVaiRIERVt - LbHTQekkCdA1R7RyPljYrcbt8Iqku7c8RcBb8qKRrcxOq0SL9d0mvFo73GgTFN0BNzggtu0CPh8+ - uiDQndrNr0GgU7jVo4LblnhlMr0TVxwiOv1UbXMLz6/BTZO5ddUmpk2GU6takibKAXPmiSjWajlP - urmioFv7AYDDRQzJACwqKGR3bDfY5Cai0KohyW/d7kZa/Ux5VycAk3WVOdVECCwmmXthLikByrUk - qZj7zfSVhadR26fMJ7Xore2S3YU8mgmgaJLPuwkX5bjV07sNbcOfU8nn2thPdsS7XRUoJLNpmmg2 - VVnXpZx4eJw7G1kGVMvdwnLdFJW63D84Xq9z4nOnPRNuGic7JT3m+VkmE1BrbSEoAOg1AYk62Nv7 - ge/7p9/4utf9+P/xkwS8yggXlsvlZjMCaNHa26CU05XAE9slStpFrZhZrbVFtuw+oqV3bzab5XKJ - 7RnRcRy7rpuPhkIIIYQQQgghhBBCCOEpY7KpFACf9if/0/N3nJuqL5fLaZp2p0/NLJHDNI2bzWYc - Lzzy8IMPPjgJ2VDazRdkkWipehXaPXPGnEVgKtIzeDlxe8v2bPtJhse8u5Rs9whgvgtle+fJ/Ahz - Gvbu7pSTEXAyym5Sp58kCfjJn9vRkiV5BQCBpzPOvdYrzzP7VVdfCQDV/TrZ4O1TdBKUXrZFO64C - 8Mh6+JF/9RM/+q9+4sUv+sgv/qIv/q+/6Avvf/5HSur6vriP47i/WsFVazUqke0mc0Nyd5fyoi9e - JXWL/niz6VKaS6STIT7c2fgQQgghhBBCCCGEEEIIIYTrIJA75q7WCZAlTLUA2VkoJAGAwxwwOp/J - i00ARAxiNkxT7RNQS0aSZ3iGlK4sNYBb+sePIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEG4P - Ed0dQrhxePWDbcQcrMXbnLw6h7DOHcb1yafJuuSSATCbSulTAolxMnfTgDLADMP4y9/1D/eG8Y7R - 96fxRTCujzsotS7hzHAqvFBOqW47RDsJQSSgSXTBRSYAECbaC87f8wevfe3eXc9b9+nyov+Ur3oF - EtFXWEpmOrzMgxV3WYwOmm3W6+Wqr17NEg0qLWxvN48OGE/yHQ3YFvDUYkspQYYM0KCMUnH27H/y - Va942xu/7e6z99z3iv/uVa95JfaXgqvfFywDqX3EPBVPgAOJWabqXmtd9D0qPv6vv/oP3vjGg8q+ - AC487/y5+z5i/e73Z7PEhDKBj9Uxn+kkRs6JJHOvhJIBe/sqhctOho3mdHLLgMOYElV87K2PH1yF - 2wYFEhQM1rJOfVsdOCASypQbzHnSbaRpTqy85YbPhCtiKefF6idDOACD45ofjvoclmaiAyD9qqld - MXL7rKet1OE2tOsytZSSU1ouOx+JJQtq7rtxnCynKodbzn1aJqmar+nullrMLdu/Oa1QkcN32zPB - 6RBEM+B0EN2papO2y0ueoy7nB61ObY03tapsG5bMq+urk/pXkpzzFFpvvySA6pU77VN82wzGtg1M - 49wKdWs7rF3d3tra2+6DKQN913kxtuGUDiNcMp8rauDKBu2TW+0pqBZ39ez63K19U+o4uPcpT+OY - +yWLuwnw9frIUicJ1+l0+TYnwOmUnU4hdBjo213hvNZQu5b89uuGuRz0+RsXRGdrqrcvLidJEquq - YBD81FR2HWu3eG8Acu1Wj7bSJRBGSQZCbeU0yk3GBGdbA0/W/G2Sq2PuqNoAhwzcFltOGeVp23M5 - RRFoQ5CAg7fTnn3u1nxbgTRtM98totOJyDdDy/BJDEE4W58gZjDIxOLbqgYAnYlWaLKbMfBa28Nt - E5IbzbbPtIhxrwYHEtDOdti8OfgNX/JPblgJwGzeVzggCtbS1CmnG9J2paROnUa44SWP4TOy/c6r - AVp8NU5aONCu7t/uoMUn1Sa4uVEwtO3iOi8B8DKS1ueFw6vDqc4yqa5bTGXjw4SFqU7Jsk9lmTv3 - 8izPQghP3Waz2d/fl5ZvefObP/ajP/p7vud7NpvqQk7cbDYpdWY2TVPXddNUiSRobuk9NS3De7FY - tAyYUkoLxSmltNzu9qHjOPZ9/zTMZwghhBBCCCGEEEIIIWyVUgCcOXv27/6dN5DMOU/TlFJyd7UL - 7WRKCYC711pT1xX3d73rXb/7u7/7W7/1W7/wS7/479/2dkEtczrn3C369XpQLQCYs8r4WHfrPjXt - 7gq/5kTtY39gm6/Tz5BMOdda5Y7TUdxmfJS3mNm1z0vavmOb6u0OoLbc7ivvltHJzYSPXuLr3j/y - KCNf+3QFCLzrvQ++4U1/71u/402f9zmf+5Vf/hWf/qc+lcK5O+5YHx3BXdX7LgHYbDZ7y1Wt6pdL - uQ9lqnKSpZSu69r3e7r87SpbCCGEEEIIIYQQQgghhBDCE9O6nSF829UCcHLRwXTVtRF/Fn5r6zCy - to/W/CMaAww6uYwTV0VCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh3DwiujuEcGOImGDgqYju - 7c9vUsvt3v4Wp8Ja72t0JKACZhhs6kl2XQWA1OWE9TEEbNYYx9/51je+YP/M4cOXzp8794kPX9xb - ZkNJUNkcrZa9Sp0nLoGcU8IlOjhnU/tVPwKiNI+cDKREg6ZHPvTCvVUpAw83B13/nr/zhtHyJcOn - vOpVWC257FArvCIvAMANwnLZVSAbUB3TBsseAGSQVaLFbOMk9+j6kXNm5qUaCQkpwRLGEWcWn/z6 - 12BaY9mDCV3nSMebzf5yL7We6k5i9ObpTIKJ2Zgtw4E6YpXWZ/fuOeQBiamiy/yoFw0PXVjWxbS5 - 3MO0/flW2hWS23TGq3/NBZKSG9ALkHNyLDtD2gMhx/ERzp3DKCxoz1wXgyHcICJMvpoyQJOJeciq - 5skNsOTIDgAOK8zVPFczWUv8uhWHT4I/RpLrLsl2XpjuLC3j7XQ9Y9soWbQM712MJWw0n6MD29/X - VFACWhTuNnT20QsTnnMMcgLZDUBytJ2dAUuzcRwu+QTz6vloHM4s97DKkxdDMqMJZZTqBFdepAIJ - JXvK1ZLgZpVZdNxembLhKkQBlFrlsq2UAFRr6ZUthLtlmwKArACqBrRgWqGvJ6G8k80RmDipdU9W - n9P1VjIDrPVr3IYtQ1lGAdtgZYgQbTdOC3ZG6zSZKcNYp+yodBHV5s8gYNqNa+1VRxKtmgGWWiwn - AaAYRLQM1+xoezcAlbspPN58viTfh7JVAnUcoXKwv1+HQfLFousSx3Gs7t0qF5VVMi+16lGb0Lcn - zrnvTlS1MGADIKLSdhmiSXNt1vanBhA1CUDNOjlK2MVlQ6l1FT0YC0AnYIZEkm3NMaNLLTJeKvL2 - 7pytBXpzLp0oo1PbglE0EMiGJGhtYzUkb+tYpWCoAJysbMnx1k5bJW97ageQtisVAMBEVMxdcmsb - cg+Z4E89DPLGul6H3QbN0euz+ajZcKppdMNbhk9iKKAkg6yjAUiCA8XMkQHPbkvPvSw5qxlYdlHZ - NwtZpVOW3UgsiolIAoQxwYmppXbLKGTHtm6/Vdv/yZHcOIesIzshLIqcPmWv9ESjvKtJlKNtoWa3 - 7PzG8MNsv3QnxF2jhRCKGdAaDObEboeFeRdhz/5m+jRqmeXzKbI5xXxevbGtuncHoSYnEh2SoXqp - U+oTsipQN2U/L1MiqyazveViPBqnWrnIN1UNF8Lj0TJphmHquu7lL3/5x3zMx3zt136tg9Ok1Wqx - Xg+1ArBpmgCgndZ+mnRdNwxDK4OZrddrM2tPLhaLrusAtNzuWmuLyQkhhBBCCCGEEEIIIYSnzswo - XfjgB8+cOVNrhXsiKfU5t/RuAARaqrfnXGul/BM+7mM/+kUf9Xmf8znf/M3f/I53vONf/K8//M9+ - 8Ife/d4HvNRNOV4sFsM0mpk/k7ndTZu8X3Hd9VSEg665mtNu+eB2jHZTClBKBQCbc8prrXBB8l2M - NzmncUvSHFV+Ld+WQxJIMzs95lXv0a487SOuO4NXxUE88eU5jgVAn7qfeetb3/rWt37mp3/GK/7a - V37GZ3zG2YODaRhWeysDx82wWO0PpfhUplLMjMlWy9U0TZv1ZrFatuXI3dzxFr+PIYQQQgghhBBC - CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEK4NUV0dwjhRhJQAQIGJzxhjlkFANnp - aEvbVlhTrQV1mftSxs46TA4YphHS277/+/jeB+6Zxk+07O9+4Fy/hw9eWPmEsSIBWbkOGEaKcEFz - ZvY8eRECBKhCwi7kkIAEA4zIhlpAUEpicmC5mA4v3bVc0Es5cnTdPYvl+777e99fhmH/4FO/6qsx - Few5UqYZ+jQJtVavtcuGrqteKtEzA7AWXOTb5dJS7a670CTLCUIdx5QyaDAiE11XViA6IW+mYdkt - 9pc54VRcd0tLIhwuGAkRciehaeJqgYJPeuVXve9vvWF1dGR5Sn3Gi180/PbvX/7Q5XPspGkuAOGc - I5p22HKJNL8KwCWjQUIt+MAH8Px7MU3sbM/t7X//LS/5xtdBjmxwwDzZrZ3bFMKVvG0elFOWnMVM - ZEtLpUCJ8m3CGecMVziIlmV2yw2faM+WBNKp91z19l1O7bw0CW2rm119Ni9n2BwCB5h2b/Qkc/iu - 48vTUabAtSmYIZwQ4Gzhp2aaAwhb3nCSd0nO2i16VWVLFaq1Ap5ScgNcyUnLMFWzwkpnUk1wtJx4 - JWfs8G5rcyojeEUQXa0kBbBlCVvLaqUIVjpk3io5a2m8rY5q8de73G4gqSVtb9uucJ6qMKepApWk - TAl0IpFOUBTd5XJRgJEyEak1EltPyk7BBZ+8rgCwNfTm90ImmLcPnRPJrfXd3PqZJopjt2Jb2kbS - tpny7Ry1vZ7piXVBnN2TDF5Ec69VdVOnBDOMBiUip7wBjDw+ugxZ3y+eO1GXuy+mEqI7IBjppvY0 - W5Io2jc1f1vlpFdqzk13ca7mTuXEe6sJJ6GSKTF5ggCJLgp0B711ry0zte7GgVomI6TdfhuU6Mgp - qa1vkovw6pC7s5vTptu4wEkQNbVrHjiASjO0LaXt0G37ms0dWwvgbk0FxZbqfYund/tV6d3zxrk9 - iAa2wbHAydZ2E7QMn8QQdMgqORkgN6Hztm7CaQ5CRjFJgntbO+jX6S/+xnFYywJt8b2uk+Nl59yI - dXo7Qqd2XdvfFMv/CQ/nLT+DdZvBPIc3VwOAam5Og1xz0nxrht/4ksfwGRgCMGFuosjEk/hqCglu - 24rKtm0Du/X31rv0bgC1LYrtQS5PjWYCYDS4JGmx6JIMdNWyHkqfV+6g6jhuLKmUEfBl34/yyqs/ - MYSbnJmR3Nvbc/dSyhd8wRecP3/+q1/5yg984OHNZmgj9H2/2YwppUfLZXkS+r4fx7FNH8A0TTln - zVvcoo0zDENKiWTkdocQQgghhBBCCCGEEJ5G7t73PclpmkiWUlarVSmllAKApLba+Ga26vrN0THJ - g739o4uX7jp//nXf+NqvfsXX/NAP/4tv+7Y3PXzp4mYYDJTXZ2keiCtub3k8lyckmpF0d0gtl1sS - XFVld5lf0lWJ3djeozJ/1PalR/sUJ8zs5L2teDo1/PAz16b0OGbqenLKZjZO42Ya9xb9OIy/8uu/ - 9n/9+q998kv+xF/5S3/5v/3SLy3uR5cvnzt3biqFxr0zB8MwAHBomiYAKSUD/UmXIIQQQgghhBBC - CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIT5+I7g4h3BgEegEtag4A3CDKoRY6 - NqexApaAOX96AlC7PoGEvFPCpWMUYZx+5zvedCbzfgqHx4ta6ljTwQEOL2OxgDk6gyqODm1/hfUa - JDYTjtY4WuN4g/VGY1Wp5fKxueBVLXORFAljOtjDosP+PvaX2NvD/hKrPSx6wHH08H6fi0/TVFf9 - ymvBpcMzR+s9Z314ePib/m5/55n/8Yd/6Ot+6adxZg/5DHPPOnX9Eq5aBlv0VVMFEpxy+DZK/LH7 - leOcfJX6HrVCjr4DcFxKygcJqNUPugM40HrwywDn5brrBK49piObQc6+QxnRdegX2NvvEjFexjgi - 9/e+5JMv/pvfrMPmdCpaS8jbPcNtbvf2ZQNQ4ZZ6lsJahj98x+IF92GqubPpeLO3JOqA2mNSXSQD - 9ISTf0O4yRUA1QhlwURQ1tW6KDDVFrFZzJzesv0A1+0bzXVVIpNhTtY8GYHbUO1TT24jk0Ehibsa - 5tSCMoB1F0q6fT7Ju4p0ddee7twmyZ28fVct3tK5nuHp5JyTbimbMwbpTgA+jVO/yEVlHAoqzi6W - RNr4xAQzn3JWRWeZZpsyDdM6LfpMEQWwSqu0lmocbmeyXYPrdK1lkACXJxngSaBb2rWAHeKctTyH - EBOgAZ4cJoCiQLmQjO1TuF1R52h56wwASUeV5HJ3CZ5zJlrrsQU5t3BN1GkUAaNIpiTCieQsRUlz - S28eWms12kk9K4Na/VwTRqA6rZLJc3IkT23eRZSkYi466Jzbik8sZ7dMrUmZ8mqJyuMyOblc7g3r - zeC+MJKcjtfLM/vIODg4OD7aPNUv8daRhK4AQDUUQ6Vr2+Y3gT6H6QrmRKWBc2iuEwClPAd7k9UA - SARRDE6JmAQDLKtLECVUhysTJOXesthhLO4iKiSph8FhZLLWPzcgSqp1ItlWMzLBjEgJTFMFIFql - eUvchlFI7hTAKnpJXqmSHLBcE2V1u/c+lTXeWgugkLytqRJQDbd2+4on+4zdjFy7G9Gcj+vgLX1M - NZe9mJssaUru2auIYoCsGipUzGWFKASE7saW+Bouws0Ba5m7AghPglVkB+CdA0C16oTd2msnKhLB - pOT0QnNDMQfdzVuecTWU1pJ3A9x5Ox/vPMdRSJ4pc9JhrbZvbZzkIAq3VZcA0XhrV1YAYHJgG0g+ - P2Npe1os+8nBbGtQjaqTOzbHuTNDgUrKebHfi2mcaiYt5S5zvT4G8iLlpy/UOIRnT601pbReH5E8 - c+bcxYsXP/VTP/XHfuzHvvzLv+K3fvv3+oWNg282GwC1zuf8T73bnvRpmZbbDUCSu6eUWoh4+7PF - uuwyvNszT3oeQwghhBBCCCGEEEII4bRE2xyvF4uFoMVyuT4+LuPk7gDMjCBb8jTUYqoBTMO4WixJ - ro+OstlysTp85GKf0l/4ki/+gj/7X3zt173qp3/urQ4ZUK+83fcZwVPD67x69WlbS8lrhaDqJwWT - tIvV1hUToyCdTMRolhKAUsv86vYyOEEmq5pP4c6h4JLzmuJdVeYrP/Gq879+atwnsSSnWtoN2ASO - h7FPGV5detvbf/uVr371P/ze73nN17/6ZX/+zxegAHKvw5pC3/c+TcMwLBaLveVqGIbT56V3l5BC - CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhPAsi+juEMIN0mLlCOMV - XaTNfaTRYemk17T2LztqwWYNJowThs1v/w//2D74ofPQR2fzi4edvOt61ILlEsMGZ8/g4kV0HS6t - gYr1oD985/riI4cPXbBSOI6cqrlYZTJIvbG64GUbAkuDyXj8/qKcPOcxYUqGPufliov+jvs/Mt13 - LxbLXKZ85k6/8CE7e37pFQSYAeD48rS++GWf81mP/IM3P7jKf/zVr8n9XlZC2aBfKvWlgLmvkOCZ - mnu7o32Y6G6gyr3UruuQUnvm6PgorVaE+aRFztgl2iWA81+7sO2Wht4S0gErZcqdocuAocv/4fhS - V+vz+gUWi/GRy/0L7sfe28dhvSDaO3wbx/homSraxeUBJJP88oPvWxweYv8gw/YILbq3vv71n/c3 - vxkHd6QMh/KHyysP4RYiqtLR0jIBsSVkGuBi65DSAVSDE4JRc7om5yTUW2x45bxfZ5xrY5GcaDHa - LZnzesvwij8pM81vnBNhAdAdBrlookPmc5+h1oIc2aq+OTkUwJzEvCuy3eqRceEZ1GKVDfPq6qL6 - hZlPZ6RUtfSkw81mvHxutRiOj63vR+sHNyXVJFju++QqJgfoRDVUGj2DboosvtuZYK3Fta3h5oqG - Qto2xyjftqpakLZRbCmuFVZaCu+cAenmMDigk6zuU9Wm5nrPUWUUoA5IkgHW2pTHg4EJJDnXgU6H - ZHSiktVUWRyqKAAAE823IeKiQbt42bkJyBYWrtZT89yCpRLgBksSBYCVSnKnA97SwA0Gtl6mHyfr - VvskN+OwPj7qsmVoZckvHd7Zr+pmNHnurJsK1+NF18XLR52lJ/3d3YpMBroEEyqx7XraCbP5sXn7 - 4uiAqF0sojkBQSd7ydaTdoYc8NZ86ZAANwfhhBLZJSZanUYIpKA5elFEElKt6coarmpO7Jax0gpV - UOVwTizaY09ZoSHNJXHC5BBM4rZdgSvaG/MxzXa1dArENvcbuCK++prOxG8tba6NwPYQbOdUG8zm - 0U6962ZoHz7xIdFy3K31we6mXdIzgTmGfT4ItTa4iVpyrYJ1gJz/akXdtTZt2+Z1yk+tpTfBkn9S - w235Rds+2IUzt6Bu29b2dGRDaZXPjS95DJ+BoQlEMQDK3IYyVIMLiYXy1jKACBhlBjhvpg34KdCu - USZ4OwOn1rqbNwoRlVDu9pfWr8elu6mMw5GZWb86HI+Xi6W7e1KtUt933WKzGY1xySbceszM3ReL - Rd/3x8fHJHPO5++444d+6J+/8lWv+oVf+D9BLPqu1gpYKeXaCTzp9G4z6/t+s9mQrLXWWnfp3U17 - HkBKz62DhRBCCCGEEEIIIYQQwjOq6zp3d/daazk8TCmVUhaLRTshefoUJQCSBk7Vp2Hsum61WJoZ - abWURb84XB/fd89H/E/f/wNvetObvuMtbwbQmQ3+TF7vfoy7dR/lKo6X2h5kSwDcXZDRIMd8VX++ - 77pN2yGefl7yWgCk+ZmTyywE5ILkXgGYmQBBcM3Xy59IOXdOn3fmE0/v7vt+HEeSXddN4zjW0hkJ - FimRv/+OP/yyl7/8f/vRH3nNa17z0pe+NOVsQBnHw816b7m0nLxUp0u3xzWxEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCOGWFzkQIYQbhHO82PZ/AxxMSHN2d8s2PN2X - 20abfU2YhOOj3/9733lmGO6nlsmmYU2kzpDcNGyYWafLKRkuXMDhMR5+ZPOBh44f+tBw8dJBn+i+ - 5yO8Cp5AA41MdDcUq56cgjkcyBJRjVgBrupjTUAHVIL5OGW7/MB7h8mXq9W5j3oxDvbtYz8BR4fo - M6YRi8V6Grv9ZbdcdXWaLh7ef5wfeP23vet4+PRv+hbkDDAvFwAKUMECODyZdhl7bclct7u46jVZ - ss4k1VpzygD29/YBwAEnKlDbEnXk1o2dt4y7NC9ZA5AMIgRYXgw+9maHly+fWSw//fXf8r7vestD - f/iOu5arfv8c6rR3373r48M8jdmRfP46xLnzvDmo6cqiOluHehOkTEvDBn/0Trzkk1hrAsuli/ee - 3W/94U1CJmstOXVP7yoWwo3ioJs5kQsoy9UATAY3bJITPqd5zaF9GXIIhLeIr1tueOW8X2ecqwio - hOjbANEUiW3bAAAgAElEQVTrZLheFfwpWL22H04Bc5CtAYBsN6EpedEuLM005ynOKbkU0naBm0NE - tSfcO2e4XZlgcgrJjW13RhPdiXEcV9N432K/fOCD+eAsNuO5cYQRw4SjEex8b/+Rg/wwwKl27NxF - SCbJi5mI7KC3FORbO002PBqnCUkACc7p2C2sfc4vdUj0kuDwFneaPFMwGQAHK6wSLeA1y9Ncv7nT - i7mI5MC2Y99q3tYlgy+SsXqaplTVldo7M9A54YZaIUECDASMMIIQVcyKoRjc6JZKThuwWCsMgJO2 - qLOgVaH0VosaBGEyAGYySianvFprxBroJiyqRLSNaBtq+XgJOBpKt+iHlBewe0y48NDe8gAPX0aa - UByd0Nv+NE2X1/1HvfC9m43z6h3TbcyJMQGwaqhsIceaj604OVuYd1se3K5mtj3QaCuPQy7C3DS/ - RKozGWUmJwdoAmBmiQC8DmMpddXlVNW5p+qdaNB8XKFdxLQAgxGJMAxyz1ZyHkwlsyZW0AGvGeAc - 6Yrq5qJXqggmUqDMZLnOrSmxgM7dJ2xnr6Umg15bM6sR7QnkxN90iLlmmLPXuXsI4HQbbBfdbQCc - t2p7ss6J7C31GQCKQSRkgGVPrT50lye0aio7b6ov2GntEN62Tf1Kq4Q4r6Imc4PJBVCtJXzjl/yT - bf9vW88EgOwwoZ3NqDTMUetGEbJiTuU0J7LfFOWP4dO8PrC6DQ6nEj2DRhKEGyapbdROJE+UJTfM - tZnfiC316UG03e58HArACdvW0r49Y9Zyu6vheDOcWS7ueOTScjjGXkapKA6WO/oM48VLFxcfcc8D - ZVMXSwDrUld9tudMeybcqq5pZZlBwjiOLchkf3+1Xh91Xc6L/D3f/d1vectb/tE/+ifjMAEAvO/S - NFWctG3s1PAJVw7uvtls2uOu6wBM09T3PUl3N7Naq7u3l0IIIYQQQgghhBBCCOHpstlsuq5LKZnZ - NE0pJQCSUkq73O425vyY2lv27u51kmzwKonJjg4vnzl75tLFy4u91d983TcC/pa3vGV8RnO7r3Xq - wsSjZWWnxFoFQF6xvfGb7eonkFqCN5CA5WLRdd0dd95pXSZZSimlTNO02WzW6/UwTdx+kJEAqkRp - kfJYCwC5G61qTv72Xfr19Up26qLlo55ffnJXXcaxACahVrV5nFwGtPRuAn3O//rnf+Gtv/hLX/Zl - X/Y1X/XyO8/dcee5Ow4vXSquztJm3Fhvfb9QqcCpOxlwct91CCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYQQQgghhBCeNRHdHUK4YXSqJzXOWXF+ktgNAEhwwiGDT/sqGMZ/863f - 8fyhPH8zLsehSzZOm2VK2emHa9vfRwXGIR0+/PCDD24e/ND08MW+VB6P+7Q7U/b1QDgJgBUmttjp - FjEnZbidRMgKcJ/7RzMiAZlw0iVMwjR1BhfsaF1+7/cvE5u3v33/nnvPftRH4v6PxHC0uusu3xwP - 9diETuyqrS4f3dHvPfit3/ag9B9/09/CumB/L3esc2IXKlwwokVePWqQbLKklmlO5pwBDMOwWCwg - oAIZECAHDZ1JBbS5mzxskxR1MpNFoCExVU1nDs5gnNDZO7289O57/aGHmcTq3cd93IU/+g99sYw5 - rHEbiHv9ErZkspytFDfAqH3a8QPv2fvEl3AckW1/tbijCsOIrlqfCOTUP8nVKISbkp9swu6cYzQd - JvMkJCHJCVSaWkihHDIHWq7pLTY8Ha90vXFOa/WG6GoJsttKlx8+XNOxDafcsqTdFEwEZK3+8W2M - lGDOucpqozqQBKd32xo++sEMV6OrrUJu285dzeT7XXfHVPCH7/m17/3+vVq7UquKEQvqeDOsztxz - 95/61PxZn7Z3z10FnSZU5haLWw2iAy2D9rF2oOF2YZUwuNMoJKCFlbLFWQN1jnJ0wGCe3HyXfdka - gQAAJ6qB7pR38q7I5KsyZImCCUlucjjgjmHA8Xq6dHF94eHNw5cuX75cN2OaSj3acBynaSqlSBSZ - c1a21Pfqc97bW5492Dt75uD8uXRwFqsl7roLyUAD4IaJnJINGaOhmFWDYFTrfxkQQRMTBMBBVfNt - t8gVQHK09OV5puYU3iewJLsuTbXSeKZi+u3f+Y0f/Gfnhmlf2UuPlNYcXeMKvLC/90lf/Yr+7rvA - 51DSpTAHGHtbl066oZ67xjZhl4IMWot13q1goIvzgVdSNdRckZ19tezI1XovhgF1AwAEqrDZ6NLl - zdHhxYcuaBj8+Hg8PCpH63J8rKmgOHzb3bQRlq3L6jO7tDx3Nu0v+4Mzi3MH5+442507g709pB5Y - glTCaBgyhqwhsRgqTdymjMuSWxIBVEOdk+VPNhOT7751h8DWzABocL9107sFOGxXehOczm1kOeZ5 - nx/PS4C3dntSNAcyYHLCBZS5GrQWRW9w0AGYz02+m8r2GwE1l05ANTgNslZtmlqDth3vz2vzTbH8 - n0z7X9vyG+ndrgYyOrALnne6ZK3xz5uh5DF8RtaH2prMBsjgmFOr21ZwVYiCwJtv830yqCtmbRfa - bfMBLyrnJp8Jdy/3Fh986IGf/KkLv/lvk6YeljxX6IheV8t65txnfsVfOXjeuYerUOr+6gBluiEz - FcJT4e4Acs4ppVprS6xpxyCW8JrXvOYFL3jB6173LSkh5zQM9en6XDNr+dwka63TNLUnx3EE0Pd9 - KaUl5QCotbYHIYQQQgghhBBCCCGE8NTlnFsiNcnFYrHZbNoz7VWSJM0MgCS4ZBrq1KdsZtNYu77L - OU/T1HVdGaflclnGSdI3vfa1b3/b237ul36pAk/budRrnb7S0a5yntxMMP+JK14HqhKQzZ73vOc9 - /yPuvf/++z/y/vvvuuuuF77whXfffff9z3/B+fPnl8tlzjnTSE5eLc/nZkm2RPNSynq9Pjw8vHDh - wkMPPfS+973v3e9+9zvf+c6HHnro37/t7Y9cvjRMw+lPPxXcDQgf9oaQNltXDZ80S0nutVYA22tc - rHKCTFiXYsDg/k++75/+yL/80df+9b/x33zRy87sH0zDYGaWkuU0DmNncV46hBBCCCGEEEIIIYQQ - QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQrjxIro7hHBjtIju6rUFUSewTLXLiQBcKXEY - 18u+gyqO18gdjod3fOc/ODg8/GN1WphYhwlTlS0WmRMwFEOPBx7Ce959+YF3DoePUFMPrKSuIhuS - Q2WdmACA3HbnRpIEKMuoLN4ZEw10VJfmDuAImzt9E4g2CXOyVLXkMKiuiH4c6gPvuvjAe1z/950v - vB8f/zF29/MWyw5mJQFespiH9V7q7kA+/JY3/uAv//JX/sJP4cxq0XcDkWDDVJedGRyoVAXTLt1w - F/21/ZM49Uy/WKgFZndzRDcyAN+GaLsBc35Qm+CpqDuz1kUdExPkyAZbfdrXvvLCG9+8XJ1RnWAJ - Zw6W99xd3/s+r2PLXzEhZ9tMboak6wTfCnCpRZ8ameWXPvihvYcewkfcQ8jHcd/xe9/1XX/sta9T - kcxpt0d4003HDC60fg+NT7ErwvB4GcBJuetcXs1hlFRrNcDkSVpUN8GpyXxIuYURJviHn/TN6tH6 - CW11kCQAJE8ei2CLFsX80qkEN0na1gkuQrUlOAIykCRc7g7X6cgltXQ4I4wD2OpCY4IEIQGSDGyF - MkFGyuVyCIguMsPM6eptPWwW3T4K6Ogt11oXyfJUzww+/syvnv21t62ODldyJFYfYHXFDmX5wO// - 0af86T/50PHRfn/2aHB2Vs2cpeVaEt5S5FuMd7gtmUBB8GqoVIvQrUKSWfEsJKaOVuRTrUyWulym - TYG6lEjzqcDZKVeIObl5FcCaS11MfuDIdUA9xMUL+MAFvPd9m/c8eOmd7z1+4APjhUc2ly4lOaUk - txYcK0zmlXPBEkA3A5IDgNMEVNohcXmurjkZdMe55V3n73nB8889/367997Fffcu7rvn4Hl3Ivlm - uTzq84apwqpoMoAotJyMqj5WjG4VJqfcvU9ZnlABpVZn575DGfG493eEs+rscvnw5UvnofLv3nbn - r/zGXT6l4ynx7KZosVedpXd/eP9g8YV/Lj3v/BHnNOvnAmc7rhGBBMqtqHiiUjYHS1kiARjK1K0W - tctHR0dncu+TCqVkyFYhaTIolXFPOChl7/9n797jLLuu+sD/1lp7n3Pvraqu7lY/1HrLLcmyBbax - sY3t2BhDYAIEZiYfPgxJBmaYfD4zIQ4MfAiEDH+QGAPGBGYSBmaGfJKBIbycmQQnwTZYfmBjW37J - LxlZ1sN6tKRu9buq7r3n7L3Wmj/OrepqvVDbLau7vb4f6XbVqfs49zz22fucffZv1qEqiuHkGh47 - ivvv9aNHjj1y+PQjh+fHT9W1de4quw/bGMOGWPrR2c2BxQpwNsJQ7hXinrABdoK6U5bx0nLasbJ8 - 5RXt/r2Ta69rn3dte2AfVpbQpA1Js5xKlgqYVnLOnBmuqkIQypXRmxcYMwuInUgtubO5u6bESEnZ - tJ6dqnoRKjARWaRBw+CoteY2VbOh1qMEcjAWrTwlPPP960KjxMpGDrHaqIubETphJqtanFLNwHAU - dc8ubhdcu4Y5WenB5GbsliWV2jNnuJEjK5MD4OHQYHTR1we62o3H49JXYW4kzWYzEUopwZjdScSd - zM2rTpaWT2+sSZaL/SuHpyZkEwcKsTEbaCijxD3DrOtGbVP6kkT6amBmZr3g9uBzMDQnsXlic+ur - GEFI+r40TXKCQ9U0t62tz5ZLv/PoqTt++/evOHWKrVCFeHb3FbauSWvNEm5+yep3vm69GaUKUVTi - 2F/CRctUDcMJyc1EbRbuutkPfP/3XXnVgR/70R+fzWbDU1NikGyFbQ/PHyLAz+HzzLYeHzdxCPMe - 3ryUIiLDSaS+75umGR6HZ/LXTAsihBBCCCGEEEIIIYRwPpkL8XBRWkvNkuAQ2na+0YGhpxyG4Gcn - 5uoGgBt2aCnuBFNNKZlVSezwrpv/4i/+wrte9eokPJxxJaKhx92XcRL1aQjLEKoNeJukVB362w09 - EJi8OgDs3b3rhV93y8GDB295wQuf//zn33zT81dXV93dfdG5mbddlx+uocANjkyAKoZuee6oFYAQ - tZPJzsnkqn37ts+ME8DpxIkTDzz04F/+5V9+7GMf+8QnPnHPvfdOu/nQ5/WsNG6GGXxxLhpYLGK4 - AwR3pCbXvoDALMMSXqyOc7R44fDNHCBTHzqSuymweamoq3r46LH/+af+0Z+8652//Iu/dPVVV/W1 - NKO2lgomZ+r7fjRq3b1oBdCM2r7v6SLvzxBCCCGEEEIIIYQQQgghhOfAcKnCz1z22LqQ9FzxxfgO - i5kZ/nnuZieEp7PYV2ILDSGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgjha1hEd4cQnhsEEFSY3FRY - tCAnAdB32rTiXTdKGaVg1gFAN//sW3/l2uMbO4Rgdd7PcpukadAXzOZQwqFH1+9/oD96lKfTRvtV - t8TeEYiQ7UySnPliCLYhX4ecALIhCA2QRSCaAiAwL3K9YTaEz9LifzCGxC0yh8CdYcmQYOwAlJvU - 3f+ljYcOpX27Vq6/nq69Mi0tgQEzeE99HXODjfl/840vfeBf/otrfvRH0I5bOJZGkrO5makwwexc - k2SHbzmkMfIiJXRx78jmzSMMwtbNT9sD9mTrPZggCeOlI0XHjoZJWJBl9cYbjz38aEvsBgOEyKox - 42nCoN2daBh+0F09Z+ihQ7J3D1JCKbvaceeG6VratQqQVpUU0bnhUuHcyqh2ZZybeS09GzHnLEKs - RRkGGBbFCg+Zl4ti6JJj8K1YbscQu03YHLLT2X3rHlE3AJvjgcJpgcFgJnMQwUwBNiYiEaFEDHIn - dzc40TDOp6uDUzYojMyMHEQsIGKYmbsTDEQGNQJkM3UthE21myem1IiCqCd3MnWzMoHj1NpD7/3g - niNH9wplK24dZ5pXTSlpmekhsk9+cvVVL9+QcZXkYMCGOFU+s4tHJNiljGCyGIYYTqaAERhwBzEL - Z1Sbz3tO0o7G6tiYTqUhFjaw1pLNl3JuYDqdklmy0gAtEdandv+hRz73hRP33XXsrs+k6YZM+2Ze - lovtUN5bqFXjUggAzOEAbEgNJ1SGM8ggDnKIL/LFAQxb47byjwqjWzvdPXJo9tnPHQNmOevKku/a - XXat7r/lhZc9//mX3Xgjdu9GzppS4TQj6vJoNu+UPI2YU9t7Ua9ETgTn1JubeSOSmlar176mc90D - 2LsyH40THT+9fvunrz29vtR1EwcBnZuqG/VimBFG6+td6YXb+hWvx4uIk7mbGISIiIna6g6nUuso - tbXXBJq0S9O+n3bTpaVlmxdhygD6OU81WR0TJqRww/HjuP/+x+6668Rdd6898GB39Bivr18Gzl2l - UiZGl6ln9eQQGIM3E0sBLEbI9s2Sjs9O8sZiAIhF20rdHI6jJ7RtTt5916lGpsynCN1keXT1lZe9 - 4Oad1x3c+8JbsHMVq6vITdWuo84lac4z01nXV2bPklJWt6IKtQlnAki8oaZa6ftqiXNutFzE1Ssj - SM7VjaqZloYlJXanbUOxD7uTAQwapi4arhelzZBaHgLfyYBhO5PxqDF4p8VKHXMaSTufz9u2LXZB - hf9yNy9tOwKUxK2aoyJ7b51IQw52iLHyWSm/FzPLk6yknLl0FWpt2yq0mLeUza0rPchyFpCqzkYN - 66XZ3AnAkMHgCQQHGaDEDBMD+7DW2XtFtaFB5uQGxSWxPQwZ3sO+7FvHxUyV3Kw6gQWuZcl857wc - u/V9+46fvHztJMEbErZMALie3qj7x3js3e/b++2v1m7eyLKaxRAw4VJSSsk5m9lkMnnFK17xB3/4 - e//dD/3wkSMnANRqQznRNMmdRBYx3ucLM6tqSsndR6PRiRMnlpeX3X0rt3vraefxQ0MIIYQQQggh - hBBCCOFJbfXa3T4avC8Cp33o0iYiTtRQ3rNnz3d827f+53ffOmQtbCUunMfcbgz99AByJJZadei8 - y8CBvftvvvnm177mVa985SsPHjy4urrKKQGAGTOTo/aFiJqcAVipzFs9qxfI4QTxs/O2n/7RUWq5 - bHXn6vLKC2646W9/3/dLkw8fPnzffff92a3v/tCHPvTJ22+f9R0DBphh64fhs0W41s0rLIRaCgiS - ktZFFxIWsar4yp3dX9q3PXLiP7311o9927f+ylt++Xu+6zv7WnJKCeRm7XhUtTJzSmk6nbbjUa21 - Sfk8zE8IIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEJ6ZiO4OITx3 - 1EQEJKiaktSK4j4aiZdK5ugKuoKufv7Xfm1c6v6+29GQbax7m0bLSygF04qTa3j48Om77vL5hpd5 - dhV1djCIwCM6EybnDt82YhoDIgABZkNqkANZMhzu5kwADKrm7hAe8ue2YjwWb+tgOCsZgdgwjKTn - ZNNuRoSJe3nkyMnHjjd337N03RW46gCWlpEyOMEKVpZ3utODDx37p285Pso3/vRPIFfPLNT0XZHR - 0iIO7+y0nsWAfU9YkMP0NOQBL57gBvDZb+BY5CMZQEBLgIPPZDfq8OwCydzc/FM/fehNb7pMSFwh - jH17aGWprm+gVwBgVlV5imQTdjOCD0m7xEwweENy/IEH9j7/RiQ0zN3xUw0luKL0SI2kBs6RThQu - Fcw+Qu9slAFPrslKrfOiTZMdZELiRj5EnPmiTLmYt//thcH2IUIJTlsDj7qbOZgYVKsKEQAmgjMT - AyAiUDO82zAmKeAEd0aBgwAhAGoAXMBEpKoAGwAmEXbA3aubec9wIWGiNOSil2o2HHSGQEhXOJic - QL4IXQsBgDjEPHHWYt558pyYLXELzrPZ9PN3nLz7izeRNVytdgYIYyQgrwKm2fH7b731+hffMh01 - 89Wd1EGcxX1I51yMt0uXQk5heCrsJq4ggwPOcJDTUEZWB8wbSe0kF9N5X8AyGi8XwcZsxu7LuW2t - ysbGSt+zKk6v44tfPH37Jx/8yztmjzzM6xu59ONiNzZjVKAq19ooGrUEAoxAAJzMCEpwwlC+JQfX - RY7yUFZvy1Q2Jxgt6pZD8GRr2moy5hH7vFg51uHYCeNcP3nng8yW2rx/986vv3nPy18kN1832rtn - PlodT5YL+dps2gGpaRtuamdZRCsxS2oTQBvTqahPxqNq5zAUshJMUtU+MbzfOHTnX15vPRkoA9qJ - WzIYgRyiZTbd+FrL3GMHGdwgBiYQsYAcqAA3IwXNfE7mS21KTWrLHEWVLZtN5v1qpzDHqTV8+jMb - t9/+6OfuKMeO6ukTrflS4hVTV8tOubqoC3liySyAkTlA5pvrkTa3qK1qDMEA3po+tEzcHW4OZiIh - M1fTMp8lwYrzDkn74HXazx87pp/6/MnUHJeWd+5avuHg/pe+KL34lnT1lRg3U5/P26Ztm0Q874t2 - mlMrqTFG3/VEJMTC4kkICrO+s6dqrVwUnDCtvbsvtY0UQA1qAgIRwY3gcAA0VLcAAZSILt6jDCEN - 45yzV3KQ27CNE7r5BrdJ2UW8VUrKXZcot/A5Lpzv6zwZ7+hK33fzScOj0WhWp9YkMIqiUSclYieC - EzkTyOhirnw6oei0aG1kKY8yqvemndVRMy7zmnMSJhKAO3g/nZ9uR2NCcyaRIFxaCCxQG+oeBCFj - Q2MAuM+ElLW6qjFDiZTV3IXoEmh/DbndYtiqUFVUFlZ3gzM8EVtfdpji1On73vOey22a2eFgUid1 - gAkjgMr6PZ/66PKh+9ubb5xxRcpi9RJYPuGS5c+8gmUAmDnnnFI6fvz4aDS65eYXvOOd//mNb3zj - R2/7pCpAaHLq+/68z+ZwyiilVGsFkFLauXNnKYWIaq1N09RaU0rMvD3GO4QQQgghhBBCCCGEEJ65 - c7/2xWdesr0zMzOAIZy71srMK0vLf+u/+q+3orvPx8w+2dyAUkpai5m+6AUvfMXLX/5Nr3jlN7zo - xc+/6QYzU1UAzDTMg5m1OQ8/cBIios2OAEN/le1XP9mx1R+S/Jk+tsLMlEiKm9aeYHt2rq583Qtf - 9g0vTumnT62t3XbbbW9729ve//73Hz1xghjFoQA5zFHrmQvH7ajp5j2ARW43MzHbZob3OXiqi9FP - ut4dpRoBJ06f/nt//3/6W9/zvb/2q786alyIyJEBg882Nnbu3Jlztqptbp69NRtCCCGEEEIIIYQQ - QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhCeK6O4QwnOGRQCgryACg9izUNd3IxGU - gqK/9Ia//rdf/y2Xzeb7diypaZlNc9OgFHQd+oL7Hzz1hbt57XRTi9ei8CzISdgYZmZeDFsB0kQg - RiImIlMFQIohzpsJSQBG7cswY6YYsmZpyJIeAuc2s7W2hs8juNMw+JsPqbIA4DwZNbUUU5uAXEt9 - 7Oja2sn5PffufcmLcOAAJg02pt20tCu7VnPGbDpC/vybfu6FP/uPeGUCoaZtYO7mlM8la25rGDcC - wRUEuIE207t5iGt8klHjhpHvHICATMEOAhxtU/bsWj/2aAMvtbSjdvWaK05/4e4llqQ+pPEScTV7 - 4oFkKwR3+DgjZhjcfWMDRx7FyvNyynm1nc9nn/jVt77sZ/4JesPyykWdWxzC40zL9IqV8fJDR6gV - bMzhBUgQwal1YCiANoNdwcN+e2lyBzOYAcAMBDCDaMjfhhMWJTFAAgLMIAlEDnd3B0TEUtqw6lmE - sxNUa3EoqjPldtTDClBc1V0ZQxRiSwxT1+IGBTISZ2GIqjoNR4Vh7hxEYMI5JMmGS1/iTA7rixsz - O7wwabIy7srH333rTtLl5FY6AkRQe6SEXtGMfAJ98OO3X3//Q9g96lLNJYkyOcgBhp017m64NBnB - uAIAQRww3hzHlzlx3/fVuWkSGLBKVlvTZtrtAS05tbMeGxs4/OjRj3/8/ttuO37H5y+rdXctq6Xf - 39dsaAkEzNY3AMlCQkwEJB5KUVddxEbSWRU+MfDZQyQv/vrEKcMBSeFWwRgJGmZyNMribto5iHg+ - 3Th9+IH77r31T+zAbrn6qn3f8E37XnjL6k037RmPVX2j1pJySY1x7snnpcy66ajJk1HOBvdCsHPZ - EdidslO7Me0efMhOnmrMh0OKwwhggzDckCDl9MayXrKH06dEBnYjhhMZ3I2JksOJO+vbSZsIdWNj - 7NgFwelTS1LRz/DQkcMf+8Shj3y8v/eBXeuz3eYH+l66ubillFBKX6oCKXFGAkzVXMswjvWw+tKw - oJ+4uLem+9lTfPHg7oALgwXsaBOV3lx7AcYCcEZVm64XbJTT01OPHfncJz92YqnN11xx4CVft/eW - F+5/yUsLUW0yLU1mwqfm64WYmzaPMlOyWud958S5bSjDytC4u2Cinc/RpOgNOc+PHhnlEUxRdNFM - laGJu7VsabNKCfDF+mXPIIcbYCAADBcAaDLWKqwHZWCCTif7LjvcT/mc2svPNjIts1Z1d9uk9fXl - 0kM7p6psiVoYoTCcMZRcBJBd3NWChFMZtGvnkWnfQcd5xERFvaoKM3d96/2SzZe7DR61mM0xUxQ8 - xTD24ZLhgC0yDJyhgpwOtbDVnc7kkipIh712aIVdtByw4USbL859mS+2boWDzOEsSIak6qUuqeH2 - T/u99yy5MoEJ7nCGGYqiHcNm3aSje9/z7htvuuH+2vuk8Yu/OA9hS86567qu6yaTCYC+73fs2PEb - v/Ebv/DmX3rb2/5DSuhLBTAej/u+H3K1z8vnboXctG3bdV2tVUSYecjzBsDMXdc1TdM0jbvTxVwu - hRBCCCGEEEIIIYQQLgpPE/VNRAwyM1c1IKX0Td/0TU1O86rbnzOczDxfkc/DKVkBjdrRP/+VX/n6 - Wz4PUjYAACAASURBVL6ukUSAleru5L7ovUfEzCIyn85EREQIZGpqxsxN09i2mWQ/84PRorPKM3yU - JF3XARhO23Zdz8zLk6Uy7/rajVJ+w+tf/z3f+V3r040PfOAD7/zTP/3dP/i99dncho7RDLPFNagh - t5uYnQhmAPw8nXl+2qWJJGxmZmDgHX/6rg+/6lW/+Ru//tde/RphNnM1X96xMpysns/no9FoCEcP - IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEMJXR0R3hxCeGw5UUAIR - A8RwZbGNMl9uEkoBymfe8tb/4Zu/5bLq3GQ9cZSZOTVQRg/cd9+Jz3xK10+vJK7FIHAh9uQgK0MM - rFdA86QyOS/St92UzWGamTOBE9jNTc2gFQTkNAQeuvoizpUczGcyeIax82zIoqNhtLdF8qwx2EEu - ANd5cYhII8RWq5dOiq30OPX+j9aVyWUv+XrcdLAtFVrqbD1NllrvL3d66C1vXdu9+wU/+g/QOJgo - PWX5/JQj+DmAIWzchrHvhvQ2wSLLjQBxyJlEPV7kBS/S9VjBDmQAWpFwNNNoNKr9vN21Cxun5brr - 5l96oC0lW1IrQmJwN4DgdCYSkhxDGBMRVfchf1cIVuukpemXvjQ5cACSMd8YtXm/GroOk6WvcHMK - 4YJiXPJS3fjSPX/2P/7jA4ePt9xnIatuhiStL3ZSl0UeFw+Fi9FizMqL7vFJlsDmXwEMY3cuMpCY - FiN4JiFmTpmbLKMmjVoejdCkZnniTSPjNo3aPG55PMJkzO1kZf/1aEdoWiSBMBIhJeQ0W1/LrZSc - +sRzIQCVScitKwkkIGd2p+pqCnfknIciygnkAleyRWkfwsAJxUCAsUibyFBrZ9I1/QwPPHjyU5/d - X7tSO3O0DZAx3UDrKEDPLoQdx06eeu/7dr7sxqM6B40BEMCAL+oSwxEysvguWU7omAETZ3ZOSgA7 - wQAzHY0awPoyYyttlmTerp3YVXscO4V7Dk0/cvuDt32if+TIEuxKxjV9l7Rm1eyewERwNXOMhQF3 - N7dqvgh+JYBoUepu1cSGvz0+t/tsiyc7AAhgBMkwgytcQTAGHKYEaVPXFbd+hHwVZOdG7e5+VB88 - 0X34rjvBS1deef1rXp1f86odz7sGy5OjVk4h9Uk483LbJjOdT+faN80IRJs7wl9NDOw+6XRPofvf - +xeTTr0Ss2uFEUjI3clBnpK1enI6cpJF3fdrhbq7kBK5g4s1itaIiGbzjSYbSK3MJrXbh4yTc9x7 - z/qfvf3YnXcce+DhccUBJJkW7uuEOBkYDKAWU3gFOxnU1XoGmEEEIiZ2AO6uBtrMKx1aRnBsX7WP - 2+qIQQ5ymMPrYovNBK+eCMRwhymgxUFKADG4W9Ey6mR3d7o79qh+9lMPjZbrrquufvnLV1/7Shy8 - YrRrksbNeps7zGfzWSM5cW6SGMhgBWRCck5J8ReYvbPu7l/6laPv/YBNu2w2ESFTXxxBzM4cU8B+ - ZpT3C6Fm+GU/AgA5b9aQbXObKqXLDZOgK1owenjPzr/2m7+YD15biyyyvS8AjArqVlF2PnLyg7/5 - rzb+/LYrHFZnzHBVchYjODvBhmBj8mGtXQhL/st4fGzSrn/9LX/jZ35y74EDJ2EoWk2bUWNOIJ4w - XbZmD73jPR//1787fuwYOZbGI3gB7Dmf83h8Nh6VXbmCqrgSAGeHmI8fWl563a/+3Oymg12aKCcl - gkkmEJGdp0iJ58ri9CDADvJFGrcSiNyJ3FSIsnuqdcQJ66cP/6c/uWpjnr1WRZtQK1Img1dFY6jA - LtZ73vPnzbd/174rrzta9JlWlUK4ANHZrV3n2peUEjUtOTjRbNZN8mTfZbt//s3/7Nrrrv7f/sWv - M7uwzGYzIpzfsmE4GTUEvQAYTkyllMys1ppSatvWzIgocrtDCCGEEEIIIYQQQghfDU/I7h4urJsp - mJkZjsTCInC/8sCB5z3veXd84S4A5yur+3HMDQABs262tLTEzLkRIe6ms5ySCBORmamqVXX3yWSi - qsNpVWYeZkxVt38r+wrOtpauEyIAtRYRGY9aVZ1trLe5SZKJaN73J44dlZy/+bWvffWrX/WzP/uz - 7/zTd/3h2/7owx/+8OnpXAAR7tWaJtVa3Xzo/GWqcJaUtPbnNkPnuNRrNQApJQatzecb8/kP/Lc/ - +Kaf+6c/9IN/181GOddaS9ePRqPJaDybzVKTz+0DQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYTwFYjo7hDCc4aNqllODDeQU98tC2F9Hb197n/99SuKXlYqdTOUThoH - GC54+NCpz3xmfujBXYmazN5bYnQGiBA31XmGanCR7KOGV3aibcejUTseoWkgMiR4o5ujm5X1tenG - RpnPUAuZJ7O+aIIzswiJw93cUG2Rdf2EcfMABxO2QhNtERFuAlLXokXBmWSMFgC62sBM0iMfu10e - OrTvZS8D92kygRea6e6lyfJG4e7YbW/556/8yZ+EdpgsITegc8nrGZ7six9oW24j0baB5LZn7AFA - BQBaHA4I0L6ktgG6b/yxf3jfz795o5aq86Z0ac9qs3u13zjWsqgWYXFXo0VW01kz4gBAROwwBwEE - Udcx4bFHH51IwnwOaUbCk7W1O3/t127+X95szzzFMYSLgHX9xvOWmv3HTr5kbZZ1nQ0OSDPSfoZF - bG/lJytXLj0EIiJsxSARQaQvvbobqMKNSROpsIpskFdmFerJlVwJTqypWavZ21GzNB4tLbcrO9rV - lbQywWS8cmD/aPeuy664HHt2Y3kCBlyLG1EmZuNUmTuiymIpaUpzUxI4yWYeFLPTuY6zGS5xzkpO - JCBKzNrP4WWp4ZW5PvKO91x2cr3t5sIOgyuUwAw4csJGjybbFam5531/8ZIf/r6VNhsyiDeDuoej - HG8e7yK9+9JkgJFsli1Mvlm7Ibir9SV53VH7VfPRvMfp03js6JF3v+vIbR9fv/uByy1fT61sTKvO - AGQM1SgwwCQA1NlgYpshvb6oypEARHVbRLHY2dWzbaWc0xMylbf9ykApIEKWzUqoAwYDSleFQQQr - JfVlF4GcvZsx157T/N77HnzggWNvfzsOXnv16197+atevufAga7NU6AXRpLCKCmbsG9VnZ8JMiGe - 9D1Onnr0fX9+tRV3wBK5OQziqiACIZHLfH0dUMLXVnY3GYGZWNQN4k7EhkbLMgFdz+unJlrw6GOH - 3vuB+9/7F+nQoau6tV3zsseQgGQEdQIzM9jNtIc5PFEeN1m9lr7PvDiAm8HJyAGHP7He7tsen5Db - 7QR3MIPAZLbYdB1wVIMM2fNbZSWDhIupmFKHBEwcDGRCnZ4+eeyeow8cuutdf+LX7L/2m1+9/w2v - W7nq8rVa0uruNa2lGnJrzL0ZVWQY4SKuauVab6C069hxzPrGfZnEtXcYP355D1/yIv6mW7ZvOLTI - 7U6AcYKv9QRUoIhV+L5JvrvfEFq+kPZ3t37WOtA2B9an/WMnb3Aq/el28VeizQ1/sfUvvuPFasds - cuT0VJol7voOOsnjLGzMfamcpO9m6LulI8euPnzs2lmfmWxjBp9HtftSpUAVByEZxBdTFJ1Uk6NH - 24PXavIqUt0JIBj75m5w0RqOWQKQb54BA4jAzA4jN3Ik86boSs64997Dn779RUlmcwCbBYE7nJvM - 0662gjwvOx48hA/dtvr9B0+bKdtFvXxCOINMJA+hMrVWq7qysnLq1KmlpSUz+7Ef+7H9l1/xMz/z - M6WoCKl6zlKKnp9PJlJdvFXOeYjrBuDuQ1D3MFellLZtu65r2/Zp3i2EEEIIIYQQQgghhBCeVeQQ - 4mpKROSotSKnl73sZXd84S7a7HQ3nNU8jzHeBHI4J/GqfSnMXGtdn85WxhMzU60Y+gAzp5SIaD6f - D1NEZJhuZu4+9CogX/RIeWK/lGc6P0RN0wDoum5e5jnnlNKoac2sdj0Jtzk3KQFD0DmPW/kvv/tv - fs93/8177733//m3v/v7f/gHR0+eboT7vjqQkgznpSUnLeb2rPfUEhFVHc5FE4GF1+bzn/jHP33n - Fz7/5jf9/HQ+m4zGo8mY1EvXTdpRMf2a6DwaQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ - QgghhBBCCCGEEEIIIVwYIro7hPDcIIcYhLgzEwFZL25Yq/f/1m8vHTlx9YlTq4lQK5LBKpoWp0+v - f/Qj3SOPSNFdk8xd33UuACkJ87xiztWXJ5N9l+86sBe7d2MyQZPBBEogAoaQXIMZmADKTNmA+Rwn - 1/zoiXry1PojD1jfe19FLREyJcAINsTqbA0nxwQjwNhAbGA4yIzgBGUjQBxpCBkzddetcDqh1G2s - r0gznz106NCRK1/6Dbj6CqQsaYRTfbOya7K2/sLR6r1v/tXn/cSPIAFCzu1Zg7M9zZB2Z6dgPS5O - b0gGAzbDc7cnOKIAbJSGVxGALLCClgE+VeqVK7vq9HhaXkKZ7b7+qsceOVHVCexmJhgCcIcg0sen - 9JkNkaYwJ2ZxUClC2Pj8F5a+4SXIufbzndCihlJ9kv3SSJwLAWDnbBkd57m1FSxk8N6Aft4iA0wA - iBwg2Jc3VOXFwgnmi2Q2B2wofrRkSUJwR+NugCug5oDCHT6UqMPL4VCeQqDrJ+0xUxBInBmSjGVD - 0nH3uWpN1Cwtr+7ZvW//gbxnt1xzA/bslssvz3t2jZfGyJgnn4pWhiZ4ZifAeRjOlOn8jWkaLn5G - YMmmgAJWSOsoUWs9Hn700fd++Jp1WwEzObMVRTUkTqSUiCa1JyC7y+GjR97zoau/9zuPtTpLFcOW - T3CwEg2/cmxzlywmSxgClR0OdrLC7mQ5S9uX1dKPNzo8/Bg+/fnD7//Io5/+9LjfWLV6gCSXvnTr - RGgzA+bVhvBsN1RXAAIRysULLfK8Fx9pBoUPdTw2BkAAO+gJ+b4YNj86Mx4x+ZAuv3gkR8Ps7q5q - CgwVPAIzHGAhJ8DcAYGAhVx764T6sdKe4svdqX7jxMadd37sX/3rq17x8gNv+Ob2pS/F0uiksK5M - rM1T1ZZYnvH272ROZeRzvP/W8cMPZlMXUqWExN4LoWM4ISmZ03x+EtQD+StYfRcZAgSESqxGcDB5 - wrzMqXS7imFtHR/8yL3vfOf0i19c7mbXoY6KyrQupcygvvYGzzmZ27T2BDQZI2lcVUvRrhDxRBZN - Cnc3d/LNIHkyN8KTVd2fWKtxMiOYQUAENjJ2ZgGc3b0hgZkOEahMIHNzL5oEAIjhDiEA7Gpudc9I - Rt3xnTWX2caJO+55+Hf/+MCrX3n5G16DW56fVyenl8ZrVYuDKS9TJqKCizv61Mt8Zt14lKhatQq1 - BBADPhxWFshxadRlaKg6EuBMPsTgksPXaxFGCwjAFW3v6DhpglxIh1OX0XhnXVvHxswtNc3E53MB - JKdiFXAxp60W7zBa/cW81oRcS8HGzCZNM2mZZN7Xih5Z5taPxDCiTk8LzxkbVuEXUsp6OO8EbJ7g - Jq60mAJxyU61GHPKSA52d6PibtUdzHD+K973QrXVXB0KYh7OiRG20oaJCGbZKalLLZ/64IdancO0 - oeTu6kbsqMxgoYlig0VzwRWz/uFb33fFt/2NfPl+d2icIAsXEXq6vJO+70WkaZpaq7vVWsfjsaq2 - bTubzf6Lb/+OW17wwh/4O3/n5Mn1lPh85XZjM6J7K8lGVXPOtdYh5IaZicjMRARA5HaHEEIIIYQQ - QgghhBC+ahYXDDevMgAQTjAwczVlYasGg5b6jS992e/83u8PJzOfjTkZOuaVqgSUUpqmgdWlpSWY - ExFANASJw1TN3XNOw3nXWouZMTMnEZEhrHrxhpuPX878wGfdFEDOObcjVS2lc3cRYQYTXKuqEpES - Sik5t+xOxDfdcMOb3/TzP/7jP/57v/+H//I3/vejx4/1akJMkqpWq0p4tpbhduoGAjG7mQM2nKFm - /Na/+e177733t37z/wRox2TSzWaZRVWjt3QIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGE8NUU0d0hhPPDAYMBEPBZCYEEh2Er2s0ZQ2CVY/hBhBMK5h2KfeJNv3Cdp+X1 - rpWEbo5uHTtGsIL7Hn3gEx/dNZuu9KUWaOlEEhLmEBVBSjv271u95lrs24VJA3EIgQUQuMPJzOEO - hks2IZekWrxqA0pLSxgv097Lc9/v6m7G4Ue7hx85dewo5l3rNiIWrfCtUdt48VUBJzIkB8QNTqAz - ySKqSIytvEQmgMgN1WsDFvNx9bVu4+jHPjF65JHlF9yMpVU0DU6d2rmyY3rk2P6dk7t/8S0Psn/L - P/sFaoEkoLPL6s2cts1FOkSkMDajFzEM7UeLJbx9bSxeSGdiQwnD6zZfBTjImZgySF/y0z/1wJt+ - fl/b9tPTDRFdda3lO62UxkS9sA/Z3ZvfnbaHQ7LChEg2PzIDWrG03J545OGlF78IZZ4YoESn12BF - uowmDcP20ZkZPTPbMU5duKjQSCboTo1ZyIuqO0MSBAnVANtKtjTwEKFKi9yyzdJm28a/mLD502I3 - +Sok/dFWcbdt2pN9rtPTzQ8R3EEEJjCDHQqqWglEREyUfPOD3AhwVAAEIggAhwJKZFv5hoS6+d5s - gDE5iYP9VKeHjlb64imRR1lmSxMfj2jHct572er1V+8++Lzxgf27r78ebULTak49UU8w4ipSSSqz - E3QIjNxcEWfS5J4sWI42pw8xk04GGDlkK8KNWIkXR4pw8XAjM4UrcZaUlsj48LETH//UyokTk7LR - tE3p+kxITACbE8C1lpYTVLu6dvnOXQ++87373vCtozSeJXFiwGyx5wq2b1fhgkeLfXl7bWr7CjSj - RUg2YE5YRF07O2BkDCXUiWqr/ej0dFINd997/5+998hffHT06PH9vd1QqrjCKxGRVwCJAbOqSIyh - 3HMGgdjYHe41M8PNHOZnYm6H4mhzTgDQ01WcHl+g8fbvZaY0pHWTbwbdAQoRlOruEIGATdVdCcgC - M2fzZcJKcStTpdn+pjn153/+qQ9/GNdfe8O3fevO175up+050fC8HVeQExsWCX+Pq+NtLedhl8mu - ud/gfv2e//QfryZh7YmJ1BVGw2Fq8XqCq3UbsA5Yembr9qtkM9lzCEdffK/B9szhreni8M1M0KFu - wD5U7BeLa9uTmX0IB1XWOnZv2SdVm/X1fPLUPf/xHcc//NH0xfuuMbq6dNTPh+0kS9ZqCms5u1tX - KoBxJnU3g9WeHbwIFTbXReOCmBMc4GEYbnPns+vmZ6orj/sXPmxvBHd3RzUDwdwJUHPQopLBgJkZ - 8SKu2RS8aGACgJATp2wV824ZgPVQ34u0fvzU8Xe/72Mf/pDfdP3Bb3/9Za97ze5dq6dda4axbnTu - o5ERs9vZszscu7fWiAFg5+HXbfvRmdjUYeITSoNnGQGjXBmmtdSSwZlABLMnOZA8oTLGDoCMnrBu - vopfANicsSetTD6xTruV2z38BuiwdkZNBqpWhyGTTlJGj8zjcoG1Eee97ZQJeI6U2WFahLlq3Yrr - 3tqFn74m4ATQ06egnoPFUt0qTJ7Zn57JG09GDchTZlWdmbVt666dasqJFajdxsZaFiRGNSSG+zlv - flvbz1ej7XNheNIkg7P2mq2lce4L82ne+StFJibYKrkXM2kEK92sUVNWJ2M3ZgJ/NUvSZ5sBbDQc - 5Y1htYISMQsUJNKUGU4cPfzBD7wkp9n6PIEJYmopi5kxqGrf8Mh0OhZvij30mc9e8aUvru5aOtGO - S2KnSnAZThggYXGuz84UJlu10GhhhAuWcztiNyqlABjCs5mZmUutIjJZkoMHD/67P/qjH3njP/ji - F+5jgZ2n8O6h4ioi7j7Exqiqu7s7Mw9TmqYZZmzImDk/HxxCCCGEEEIIIYQQQgjP2HC1ToDqlp22 - NE1D5Ndfcy2dfUGHmc9jBPVwEpVgUG+bRrX009nKZEm9EhGzuA8dBJyIhjOrw6lUZk4pEVE1nc1m - OWecndv95fVvJCIRISIAtdbhdC4zE1Hf95sZ3mxmLDIajWCkpjkld5+ub+xa2fEPf+Tv/9AP/t3f - /p3f/T9+6/966OGHicAAuSuchwuw9GxeMTfnJKYKIGWpRQGYoxG+9f0f+O7v+d7f+b//zY3XPy+1 - rVVlZkR/whBCCCGEEEIIIYQQQgghnJPFfaZnxkAgB8EcfmZEiMWNJzw8ic/1es3Zt/79VaITfrig - OZiIZpI7kcaQNq+0bo5+tRi4ZMsTbwAfdqnhtmJ62h3qqcZg2bp/E4CRwYcBV8ych12VYbAeWiAE - MIHl7NG6QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII51dEd4cQzg+DFRgBMoRzbwXxkSlUvW8p - wRjFIQIDBJbgZAmKU6fQ691vfes1VnKZU07QIda7waOHcc/dx7/0wOp0nglQCNCmdq7YINS9u3Ze - c017/bWY7MB0A0sjtFx0zm223rIRpAWGuxME7q4uktSo73Uybq0rVisLIzuE0IyxcrC95tp9btN7 - 7zly113L6+tL5CkBCjjczcHMooxOCwnEwU7igLHDnEEOpsWgaos8RTiZOxjEDpgbqS4x1W7W33f/ - Iw89eOBFL8ZVV2JlB7oTk8mk8363I0n69C//0ovf+Ebs3IWGVYmIGA4iBYYQEwZkkcNtgNFmYvji - szcfn+x2DPbFm1hCgg+xsgyCEhTEaNgcJMhNNx7P+tlyXpprGY348usPnvzUZzITOcSlqjkPwYmG - IWfNh3+IIUPgI8FcdYhgs67QqRN4+CFcsR9KYN67uvK5X/inX/ez/wSy0qUmbR2Z3AFSUxY5E0m+ - +NP2X8LTMQMIzOzuRGzmTxvmGc4TZ1VHHqt1oOoMIrCKuzKceDNS1UQBJSZi0sQgoDrqIpSNAUJ1 - GCA+3D/JTmZgkPGznd49DKM57LbbJi/2RD/z27Dz8xPSBYfn0NY9a774zx1Ezjz86L559yb74g1p - 8zMdZ/Ka3M/60yYjAi3CywjOCXBicc2Jy7SzGfw49IEv6idvO85cibUdpV07V66/du8Lbh7fcvP4 - qiuwY4LUzNKOaUpzURUYmZMzsxCjKjmIyGHm5O5CiRN1tWtSospQ4pSMqfNSoFkgZlmNHWypMgqz - EsjAMdrmRYIdTsiJnXxWu3Ea8/ps3KfP/ru3X11Otwzv+zQEKjuBnWFGmlhUi2RuDbJxurn7S7j9 - jvE3v+oR7Zeasao7V600lrZWdX6GNy2H5x47kpkRCrMRxHhR9BGIyIjcXaGtGhH6TGCQkjt6KoS+ - FVvSftdsxhtTfOzTh2/94OEPf3R5Y3owZel66fsRi5vwohgjJofBASH44mb54Qc3cgLYyW2zlrl5 - x++A3JkYgLk5MPy8VcoOwXUOJweBhl+HF25+DoiYiMwq4DzUIbdla5pDFnHZcF8UvwCgIFokHAuB - gAT32k2su9bb/q577r/jbvu3//7gt75+13e8AddfN13aeTKJkTvBySBgR60GgEhYBEzOpLUW7Xck - 7O03Tr/7HfVLD8rabDnLtGjbJNQKh/VoCGQgeHaj02voLY8uoMKWtgKhwU7D8QVbB7JtM8pOcDDB - 2MwIRqzMZCwOcrBDiKsp2JVgTgYnB0vqrLSZUl9Xa788rfj8Fw6//V2H/uJDK7PpVaVMat+qkoFp - c5vRQmAnqq4MawAneN0W5741jvYQHL45m8QMJ3c3mC9+dXP3zSbAZt0GGGos8CFvl0CJUdXZQYQk - wya0edSHbSXNEzkWOeUQgm/ezT7sFmRD3rENN6u7F6PSOF/WzXaUNP3EqSN33Hn4D//4ur/+uh3f - 9W3Yt/sRL7Rjd1Vmyt53o5zm/SylRCoAG0EXa8ScfIiYxaLthnZoJhEqL7btxiAKcTagyjBxCFZ/ - Nrc3QiXm1FDXZ0WTxNyGxTqspmH8jCHAngjEXNUYwhADVRicBCZM7otvh0XdbGhHYisb+1niYAMx - fHPjBzkYYJAPW7KbgAhEgMErkwOJmNyJdasySYU699EIpQdQzHpAHPmrnUP+tIwAkGYC1yomXsiK - JSMaThoAvjn6CYAzaetMRGB2M3UFILwY+oEZdCbrethHz3llOaEwOxmcBSbD8mQ2MwccXIXc0Rgx - sVnZtkiHkxlM5O401Pe3ZmBYm103g6BaNUkjybXrSTwTrNakDqAUFU+lUs6u5ZzHYhl2dn5C2PlT - NYLOirU+755i7IzH+Qo/fVG+bQXeb7akhqafE4oAQLZFUXkO7wzY49YAGbYNkPP4Nzv3cXmYCpwJ - BAh8aMdVhup8oxGfJVECVcssc62cEs5TNO9zgh02DIyyOGIOA6N0BMuSq8IkSW7W5rPdSfo//v9u - OvzIeGOaGQ5zGAFelQGHGimQevVJbvtadrWjO9/+BzfffJ1Pdj023dixN9f5uqhljKokUxdzEJRN - CQ42QrYKGo7OMeBReO74021+OrRfGABUddEwcU9Ete+IKEu+8eD1/+Hf/79/77//4Y989FPCMIMI - mbkNJ2R8e/vlTGto89OfYqbch0/cmrKVZ2NmIqKqZja8MxGp6pDzja3Wk3vkeYcQQgghhBBCCCGE - EM6jbV0wzrrSpO5gVFcw1P9/9t482rLkqtP77R0R59zpTTlU1qwaVFKBpioN3YiGRmDLgJdp6BZe - xguE3UCDwRYNLTXIMu1e7mXMQl5IQjQ90SMgJAqwm8Y0g0AShQRCIKkklZBqEjVPmZWZb7j3nnMi - 9t7+I8697+YgqbLIVGZR8a1cL9+7774z3TgxnIi9PwEhaQLoBTfd6ACzPBVJBlNTcJ/fnVZmD5/h - JBVBVMjgAJOEJON6ICmSLfcKgPOMvhmY90NOtJ8n4crX53fatl9QuNhv3pFzIf8KIGbOS2sA1FKm - EgAAIABJREFUY2aVBGAYAtQsxo168Ibv/d7vev13/PN/+S/f+U9/ZjpvUp5uc1BhOIckAJxzqorV - J89574srs/LDOaCpfyidvd0gmKEVJeDe+x947Td846+855dfccutRhSIY9uORsOmaaqqElMzc8Gn - lGixwHd1+SWeriGjUCgUCoVCoVAoFAqFQqFQKBQKhcJfYRSkZpLIDPD9XIdgoRaWZRgpVODZwEjn - NMPAS1dxnipZiW/K2128q8xcFJ4FEBGcf5J5UtWbbQOoZ0pmWER8AwCYAVZmqLAuoj77cEqnEEJi - GMHl4JhT4j0BQEnZ9u8dALzIwZKgiYGVmGUQnIDADmyGjhlQdFPUgBvOoo78GAKkDt7DnbKjQqFQ - KBQKhULhYrFMUUVEMcYQwsU9nsIlyzJOP39PJdtp4VRyPgcRYeZl8Si1ynMWVc3ZPJYFI6/uLik+ - Cqustiw5X00pIYWzklJyzgEgopSS90W28twlNyWndTBK+/JcJqXEzMyci0EIIaV0sQ/qIrBMp4Yy - WCsUCoVCoVAoFAqFQqFQWKE8TS4UCucNOj3qps8216a29guxVp6u8JgnBAdvHTqB0t0/8dYDzXwC - dSKqDRRwAcdONB//+N6jj6yRq8HwvkWXyM2JY+0Pv+wluOkGDDyIIILNTajEqB0PXD3ak6biQOTE - uSbFejSexTYHT5Djql5vVNjbcFBzTKzwjqjiON0LmxOb7o1uvvG6G56He+/du//+x48e36o8JzVT - DyiZmlbeS1agmZn1584gEMzMTlVGLSx9i6kaUq9whiDmlY5+4o4DuyfdzTdjawNxToknVV2Lr3Zm - d779nS/+0Tdjb+Y2tkAUu+h9BbfvsT71UfdpTqsvgkJ5+SGtmp96MTaBGU679TFtk8732NXoWlx+ - uVR/DjPTrHpUUgadqV3at4tlh1a++M50kgSPPobDBzGZAOHE0ScvP7SF6RSDkfcgQEw5KbEDwTm3 - TI9XZroKzyKUHVIMwalJTslYcSVQoRbUBywqxMAwI9LKe00xQZnI56SbBjEoL25J6yO57AxJ9gVh - NfXkaXNqK06mpTVW9/XbX4jVinF1q/0fksIYZ1gJc7TaYhecPXPLTfFCt0kQgGHiBN6gSdmyNrWP - dVNCozty7Hj78GP3/tGHn6ocHT68eeO1a9ded/XLXjW88moc3kRwU2dtcK1zjSq5QMRsMCN2zMxJ - ZN51Ve16jzhRSqlTscqNh+Oum5Fx1qzmgyRTV2qvZxtB0UpEpWBLmoYGfPCjG0e3h0loGU6cdfIG - wJgo++W7qAyMGBsnp0d/9/2Hv+pVY1+rCpig5h3nWepLSDRa+GLoQkqtBAMLg62vf5Il0VSHypGT - mJxzjv2sawJRIEwIg5jG01nY3t79yJ/e95/fy3ffv3ly53nTbgJznAyiMGhyWDiMbZH7d1U+ut9D - UyMomLNWe6UYLepeW4Y6YL9vtyiwfWLj3PVbpFUGiHoHt5omEzXUdYCZpWTaV8d9h3Ol1u4VvKfW - 1kp9lUuGYNggirO2lnarGnXbuw/+8q+0H3jf1l9/1bV/59tG11yD9fqExam3CGdGwTmGY+925lNl - 8p5JdY15vLODu+69492/dtM8rrs6xXYyHjTThgG/kIWbgmAMjbMZVE9rsi4+xvnLWY/stDaPsi07 - jyzyieXXgWbWDsejToXYstB34FyaTS8Ljo5vr8WY7r73E//Pr+/86ceu2mmub5qRxbC4Gn2w9zIg - nHr7tSx0sMtSvazflMCg3v2OPhk2AUzOcQCzxDaXil7Y3Rdo+P5tSgQQq6qaQeAAM+giTQNlFa1h - Yb4+o1605cXbH0Ss/r6320JHCU7lYAgnpie0TY+859cf+e33Pv+bvv7qb/6GpHu7Fc1Z4WnWTH09 - UKAKvm1b9i6fr/WF23LYvHIfTp/3TnaKiVLRC8VxyiW9gHQpJtHgnItKao6yeB1RQEDo718Ts2gw - 6KAeIqacxt25ihkkSTTmWz8XiKzstr7vdAGxfgBo2pvg9z9BMYOBvCNiFVXVAHahitKZIgEEcVgo - ixketSVNnZohWnIVmUGI7VJy/7JBlVQSmIlo4IMnFxENIAXl3PEGokUvkUAOSdQMToTIOSYzk8Wd - 2H9CBBiz5Yr7LP3kL3xQ++/ujwAAOlXHjpxLplETG6JCAJePCi6vqFNVgZrlMkPLZgWAGQRWj4bw - fcyDiTCz99yljozYFELSiSnlrvr5Lmyn9677hBi2qDZtX4B91q+XIL23G+jPYllpGxYebz3luQ3O - Und+XmjRbC8GU2fsvj+G5fufyVUihYXF5nKVmaTpoKYQwDNskbvE+MLWQBecPMbMFR0vLhdDVXQ4 - HB/fngr4UFVhvv3IH9x+aG9Wyb5smHVxeUmNIUgDOInCqHwXp5/5NB56QPyBtc2NbnbCcSJyaqaq - zAEagb5QWB6MkJ2ryr1QuETIgXNE5BzN5zNSve229/zYj/3v/+EXbgMgYlXl2y6ZmXN+1cB9XsiR - e/n7wWDQNI1zrm3buq7RP+23095WKBQKhUKhUCgUCoVCoVAoFAoXiDxHc7regGxjbX1zMjq5N0Ne - 9ZGXapyvdUen7o776Q+7NKfSviirlmuFHNzY+OE3vOFb/vY3v/0d7/iVX/vVVkBqRGzJiJmIRCSE - kGIMPsTU5o38JU/97L5vAoE8uzZ13V73bd/+He/6hV981Stf2cVusjZJXee9JyImns1m3rSqqvP+ - SLxQKBQKhUKhUCgUCoVCoVAoFAqFwl8dTAmaI0AzOeiIDboSObX8pYL5XOLRckRbn91lEc+2ZJE+ - Ro1wSuRaoXBJIqaO+F9/+tP/6OYXbqkbeey1aeBdFDMCLyLSSTkYAFbbz2HCy28MTvs7axlTnO81 - LCT3wiAF2/7NRv3bzgwIVSNeJKlgAM7w+uff9Av334dQhzDob79QwRKopCgpFAqFQqFQKFx8svtw - GYIdQjAzESlCxMIqZyqZm6YZDocrydAKBeS8DcssEyJiZsXb/ZxlqVDNnt1cJEpyj8JprIpFl8Wj - FJXCmRBRLi3z+Xw4HAI4zdxceK4RQshlIA9kSpzOcxYi8t7HGPNo5WIfTqFQKBQKhUKhUCgUCoVC - 4ZKjrHsoFArnBwfeX/hPvcMmAgAGfqCQBAeoNzWjHc9cgWTmo2Cv++xPvHUz2noYVdIhzlARdqe4 - 9/6du+5LJ3fW/Nhb16SobeJhdcLR5JprDr/iVYBhbdhohKloHIehzCyMD2iUJxq2rYPHNFpwndra - ZQcvf923bK0N+3ighPf/1E+vkxslGyWrUztONnSOPHVBAowmQzR78B6veNnkedePHnz06N33+pPH - t/xAqJOYPINUHciUVqOVyGBkwgDgNEtb93Pq9e+kfcM2QytlNO3xP797vD0bveRFuOzyymmMTQDW - Ojc2+uz/8eM3/28/gtk21iahrkzh7DSrbnYenXNwk1uqv7LGiSDgpayu94SN/Yt+8H869k9+qkad - tIMIjhyqDx9snzg6Im+mvDBILbR3yyKgS5+d9lY8OEVQeNDRez93+OYXYCRNSgeOXLY37/75f/23 - v/+Dt/tKhByIyRGIDLZU+51CkRIVLm2UAJaonbIxMAgQhXi/1849wxEqzj2wCuYBASSmPQEkgBmU - oAIDXAAJ2BbuSlKlz5fl8fzCvXnT+nDNZQ1D+/vWvnJbVnGnHtLp6URP28HypE7ZBhaSxlNezupc - ZSMDYSHkxhlKuUVNSAZncItf6cpxThwLqJ63A3KHZ+h2jrX3P9X6j3/y37/Lb04O3njTFa985fjl - t46vvRbr46eCb5g7ZQgRkabUUYfK1+O6me4GdgxHjp3jSl2XVKdpgJoNMAgMpIAOJAFI/CXwexbO - DwR4AcjNVL136BrE+MD7bx+fnNYCIwigBKdg61t2MpdU1EGAmlCB15Xu+7M7Dj308NqLXnAydlzV - Js67EMmErQRKPosQQvSshPy5CYFNvSpIjc1Shy6xq9rAkYjFVVxVIfHOzuUIeGp3+rsfuOt3389/ - 8dDhpuHpzrrngWdNqdXkHDgwRMlkEQnPBkauyHIE7yKsN9f/BhipwrExLapl7v+2X6hORGZGAExy - P5SzgdVs+SZbhA0bepUyA8zMICWethGABzzYgWgR2Wvav3fZ42QwkCircwmMFYGlQjpjePZBVOPe - icOs6bG96X965JO/876DX/HXrnrdN2696iU2HO469ua7WeuNFDrcGjXd3FKzFQaDk/PRbvz0v7lt - 8uDxDVdBUxLYrOmA8TBoG8kAB1UwILC9vb0LVxKeGbbfDV40o4vGgPsfufcoG/piZo4MFUQ4CQlI - jdmU1jfX9vZmXAWIORLP1O4+dQXz6MQUn7r7oV/5zSc+/NEjhKu7Lk23x8OBRhVejEcWzTqAhS9V - lRHzmMXgFWFl5KJEMAaYoMQL469l97aYiAn8YklrjpFwBO8Wm886ZYOagkHWG+JpPxsEmZmoiamj - 3uOaT5+gjFMa95zrgU+1zdrCur1Qn0Ji3ArV9t7u5rSZzA88/s/eM/2tP37ht3zT1le9fH1rbWdr - a5tsOFprmvaJnRNbm+uILQhCZMRe2JmyKQBnzIv4+VWpuQKJ+7GOMMgQsuqbLmyPjNkRORccR0Tp - HFkSCMEFNiVNuixazCBG184d4AnqOKHrRIOaAWRspMsLa6T50w96alfqfGOsyKNLY1guIkxQJkQT - SYkcyMMEIqqxHbBXR4QAYrIuAR0hZXE7Be8jGKlRgSWKkSPZJdTBImDkQugS4NO0i40mM0lwHkxE - xNrnU0CuG9RUGWC4bOQ2MYUSCHDaFy1hGJiMnMGds/26bx+cCZbZIgAjePYdrFFRk4Gh9uAAAElh - RqqiCgcwsyPPBtPVRw359gARb+9NkZIYqqrSWQdV9vtHC7U4a1glN2xE5zx+IeszXKxUC6cPE2zl - UcHqFcpPOr7AV+Bcr6ed+cjlPN4+RtCV4ZRbecgE8CJVhwuii8YWi4/0aUFmnK/Qqd7ulWoBWIyb - DEwGXqk0nt4uVn/a30s7b0wUanAgIjv3knBpQlAYhBjExuJM2RwUxCQSB1U1SrI1b2Yf+dPtBx+5 - QnMrrKvSCPTPDUjF4BwJGFJ1wGMntj/0Jxs33rJH6iKT9+p8q0SixGLUP1TMGCkJEexcC3ShcCnQ - NA0zhxBms9loNJpOp0T0lre85QU3f/lP/uRbd3ZmXZcIcI6SxBBCjCvL3xedwGcAMy+DOfMrbdvm - CE8z67oux2gtA4MLhUKhUCgUCoVCoVAoFAqFQuFiMRqNDh06dHLvwfwjMZuqmZXls5k8w3XmlBYR - 7e7uDkajG5533c+8853/43f93Te+8Y2f+PRnAQE4+KrrOs+UYmtAt/B2r/z9+T5OsyQpsIPa9vb2 - 6173une/+91f8ze+cjqbEcw5R7DpdLq1tRVjTDGd9nT6Cy/CLBQKhUKhUCgUCoVCoVAoFAqFQqHw - 3MKYjY1YiSIZST+z4bDIq7BIsJBDoYTOQbBtBGMo9SGoObhSqQ92Pi00XnOM0l+JKKHCX1WYGN0e - PKsmidGgQwBJArAaMelMfX67BgF4pVgLwECtOe6/RwGGak4kRcjZpYhgBFlEHLNyMDDUSx8abdRH - dwIqfYQjs8Errw+HcEOgUrgGIA8FO1ShTAwXCoVCoVAoFC4Bsuesrmszm06nk8kky88u9nEVLi2Y - eSl0V1UA2ZpZovULq8QYzayqKlVlZudcjDFnfrjYh1a4COSMHyJSVdVS421mpTwUVsmWbjNLKYUQ - +myHxdtdOJWUUq5JRGQ4HM7ncyySC13sQytcBPpMvERmltuXUhKey5hZHs+2bZtLRQghpWRWJjgL - hUKhUCgUCoVCoVAoFApAUXcXCoXzxtIUszDL6kI4KCaBQqtJRX1FWZVkMh0o0HSf/ic/fl1VQ6xS - gSg0YXsPn7vn6Kfuor1ua7iuXZpLrAdVK0mG1dUvfjFuvhliGAyamKrhWisprFUno8wHxOx2quqm - H/0RaIehBwShBgQDDxIEh5jg6Wvf8hYkRSdoFUqfe8fP+i46b+zGsdsdQwJ7ZCv3oUNcrx85clX7 - 2c8+9dDnBkZ1BekQOIuVyGzfG2dmaoAD0Pu5V+3dCxkesFC+scGrKnB4be34w4+dOHrsqle/Gjde - F2KCxmow7nan107859761hv+13+IvSlG60ZM0N63ZNyHfhBbb7V7uiz0fb0PGNmBl3/XAQ4GNWfs - AtpuBtd2HTuFcyCbXH/tk48/Oayq2M5q9qa6sEz2Z+cse7vPMkHlFI22G+MxHnsCtR9sHdzePlGj - +uav+Tq0Ah9j6NjVjhhAjDFUFb40quJC4fzBUElNGDgjTQSf0BqCx6AemE0ZBoFm/6UpQQzma6hC - CVA4551DNHQiHqQAfx7F2oU9id6ODdv33GFRn51+dz9Nb2Ifn9mfwukb6RNNnuE1NoKx7FcEX9j3 - tr99XvrFVzJ1Kol6gwON4Ahewcrig8wfP7b35LF77rjj5GRNrrn2sle96sjLbz140wsxGJqv5o5m - 3trg5mzz1Nb1sApBY+q6zsi8D0NjjeooAFBiJTXOEvEyVf8sgw0qUg8He6kjtc0U8Rf37Xzms9e0 - 4hVKZxG1mgnyDePhmGOXJmE4mrX33/7B66+/euac0IDMmzklJKinp3vLFC46RlBiI5ACpEaq1Pdy - vOqwGjjlKEkZgFYpjlM7OXGycjT/wAc//sv/sb734Ssj1nZntTXBVSatQNlTcCwiSbWPge99mQpj - o0WNb8xLh6YxQ6X3e9uyl70IpQcAyS7jhZ8VQHZG22JtCAHEuf/aq0tJxXQhI1UlQEgrHxTkBQxV - sJkZTKEBy9WKq7V0/l6W3d1lFe1dHSVaEjirNI1qT4Hibgw8f+hDH3j/n//J5NWveME3ffPzXvhl - SgPzA6vc1Lrdne01Z9VsvsWCB5/49L/493sf+viLBpt2/FgLrQM1asFj1sVh3g/3+2PTZncK+9I0 - keeAkeqpi4EX0u5T6gGlhajV8stZiJ4oDymIt3dPDidrs/l8wDxRqffmo9ThoYc/84u/OP3IJ7ae - 2H2pH9h8CrTe+xibleuw9HYv1N2ktOhLZIWqAUJw+x0MAylMAUuavaIgAjGICGYAi5zRMxEQI7u6 - FTAFCKYwECkSlEAMEKhfIk3smNGPI1Z1uv2IKR9Vf33yXnj/op1mP3UOGrsN9iDXbe9theHsnkfu - eOtP229df+v//N1bL3ihn6ydOHbUTyZHLju0t7cTiADNwzajfNn7ro5SP1LLkfDO9uv85WAnZ50g - g17oRbxqsWs6C84SoME5iLjAMSkReeY8aAKpqZig8mTJokGSGsM5eOdBFJPmAR4bFqXrAh85+p3Y - ovu3uHIwcLLkPHsHgSYBAO9AxCml7IonNpc/cYYjChQ0paZrK4YRwBJqIm2ZapzLEPhC08y310QQ - 2FXOV6GKAyIjUkpm/T2Ye9e53JvBKBe2XNrz1bFeVh2ZDVkE/MxHwmxGZ0vdL2LGqOtqKCpdmgNM - ucwbEbwHQGSWJAEIi431w4GF47la38B4Lak089aTq7xTBUSJHBvDLM2av4z1dLUbv3jksqwoVjNd - fGmg5RX4kuxNF8MZW60eKQ9w4BbJOs5lk9i/bIth10qif1sVmjOgsPNwvmRITePzzgngxbJmfdYr - JdhyU8U5YQpByRgGqKbY1WE0jsnv7nziP//WEV+TNPmzdGfcy2pmhCSxCgPEtha60up7fu8PX/mt - r2dHk2GYJULwBiMHM1XSFT1D7wK35RO+QuFZRQ536bpubW1tNptVVdU0jff+W7/1Ww8ePPTmN795 - Z2dPFXk0E2M8X+VcVauqyopuEQkhxBjzr/IK+xy7BaBtW+99idoqFAqFQqFQKBQKhUKhUCgUCheR - ra0t3P8gACIiIgNQ1N1fjJyWq5nNJuvr27O9F3/5i37/vb/3tne8423v+KdN10rXOagpgg8KU9VT - EvFcmEtbDwZt0+TpN4nz1/8P3/nv/vXPvfZrX+MIbduaytaBA7PpNISgquW5dKFQKBQKhUKhUCgU - CoVCoVAoFAqFz4stg9GYSUHmwNSH2iqb4pQgKDODnuv0Rw4yWmZ7WEQY6SLOyMpUVeHZgAEKdZVD - q4PRAPP5XLAWnKlGsf1Q5f6WUYAJzItcWQZTQAhmqAEC0mKboEXIc94R9QGheadCULBjBAGDg0EX - aVWIQJaDxlUAAGwcRCcUcGwHg3XH6BTsYECEOVCZOCwUCoVCoVAoXArkWG8RmUwmZtY0zWAwKGrV - wiq5POQg/bzeFUCMsVjeC6uE0GcwyjrVbO9eOpsLz0GWBUBESrNSOCu5YKhqCIGIvPcppfz1Yh9a - 4dIiZxCq63o+n1dVFWMstubnLGaWa4kcmJPHMqXeeM7CzN77tm0BEJFzLsbIzEXdXSgUCoVCoVAo - FAqFQqFQyJT57EKhcP5QBsFIBXAAg/McuKOgigFXxpijY9AQ0Rtwcnbn//WTN4zH8YknNqoBYoc4 - A2L7iY9NH3rAdzoZeJlPFQi+2jEdPO/ajS+7GZcdQRQBnNBAgpxsYx2Oe3+0Crf82I8ghCPGGAzB - I2Dhc1OFCyAgKdyw9x9RQiCMANUb/tEPA0Bq/uxn3nmgrXa3dzZdtTYYYm+mUXhtiFGoD77y0IOH - tz/1qfbEU6OBSQczI6gBRnBZY2cwwCmUYNQblshAp0qPrLfw9dRA3N3ZquoqyUMf+tCVJ465l7wY - xNjZruraN7sH1N37f7/9+W96E3xrdVg4l3Rhq2MBsB8H8nRZefOpTiYH22toc6DsW0hN+pu3f/D7 - vvprdXYMzNAOV13h1zbm010FnBeOtm8lN+5F4KQKB4ANbBDaV+tVoNnOVB9+bHDtNTqf1T4MqBop - MG8xqgfOzRCt09rXzlfAwi+1YqZaGigLhUsTZzKQDtq03W4khBrDDtJ2im7oSc0giICysnM1O5DN - orBHrWTJOiTApwAJTlS9qVew9a62bPW78GrSXCeoAUqWhWTIsWe9fHFf7IZ9Vfbp5MNcRnX2glhS - 5tNPYanDhOmpenAG1C1OmReiWrJVi+Hqrk/XG/aSWwIBnSAQgifAQUysBeDBFmXkXM1u1MaN9ng6 - udt85p7733Wb2zx03Vd95eBv/o3RDVfyxtjWhi37mKKKqjgjKBFgnJIDBsFJikoMUmEokThWqhga - RIuq+VmEASklQqi7djOlh37/9+qTJ4apI+LVGwFLI68hMBs0JkiNBjZKaUPkgff/4fWv/brxNUd2 - mIhDElWPspTn2YVTduYIYERhMXRKBiKnPLJBarArKXkLVRhYWp/vrZ+c4o47H33Xe564697n+bre - 3XPdbOIHSJKklWxtJEBZExxQVV5jypZiJSj3es5cwLCyqoOMnQGk+2pv6is3ZDNu9myirx7N+r92 - DMpRwgQzFYGZGGCQQGAGMyC9CdwBmiKA2NekBO4TQEcTp2AD08Js19f8jozZBKS5Ycia2CRt8F5T - SqKVRzNPMsd4gK6bjSK+TJr2fX/yF3/w8c3nf9l1/+XX4+W3Yn2wsT7aqBizBh3wh39w3799z4En - jj3PKjt+PEAdo4HRAKZkYuTyMZsATMogayPiJVfZruQ16I+tr0D6hAj5E+TVhktZo4MSHJgNXhjA - YDyaxnk18by3t9UkPHr0+G2//uDvfWBy8ugLmALFNJ8NCOwdkJb9hNWmNg+KQJK7E17zmKVv1RUG - ZHu3Mumy4+2pL0tiWIx1QKTEcCACIS96NjNVVRA5AEwEZkd5SKAJ6qvKCFBLIqRKZmTkCNbvOWvs - NR+zMKLruwEMsAJA4t5q7JT7OHbrr6oRLJdhToBCNLXTEQ9fMBhs3/PgH/8vb7nu615zxXd++9oN - Vz3V8c5MQl0BUGJnJiRKSMxkDnCRBQu7qqK/oZwh90OMlAAzEEB24Stzw9D5kQ/UCecxlkk0OCgH - kEKTmC795iAAyZHj4JSzczkiIhEWI0Vbhv/rmfrY80720+f0BOIQBMhdMlYhUjLuLF/M2jGMRZKv - KkBbRRJRg1N4RmBq0s7IjeCyfxzzboo4X7PUwMslY6s1EjdSkog4azDluDvTxiM5Y4US8t2iBAIZ - MZgcM0tMyVQACeycC8ko6bIfToA3znXvKb3jp0V+5JCzrOwPnskgkipQTcxJxESAwPAelvpDVAXM - iBECkVqfByJ7uxffJdjR2R408WBIjtk4iWmSUNeqygYYSTN3BAb1n/S5Xc/sRQYtnjAsPmldlZGv - bpWxnxRmOWb5fF+fEU/3+tuyNXzakIFJl816lmrnesYIgORTI8BAwgDg9JwS3eiqt1v7bxgA9e0Q - E8C2f0MR5Bw2/3lgQ2w7D7hcDAAzIyYQPWMh/aWDEYxYSQligBGTMVskg6Smbme4+x799F2jTmhf - v75fMIxgBGJoyl0aBWxkVM3T4w8+tvfHHz70dV8zTR7sTD0RiNnMdL9U9E/bjPjCPxwoFC4ITdNM - JpPpdDqdTsfj8Xw+zxbtUNWvec3X/PzP/4cf+IEfePTRJ/IAmgh2Zu/rGRV+Zu66jplz1E1eW5/H - 6b35xiwfUl3Xf7lTLBQKhUKhUCgUCoVCoVAoFAqFvxQicujQIQBE0OUqkMIXY/vEyc0DWy747e3t - 0WjUpeiJf/gNf//rX/sNb3rTm+789Gfa1AKAJlEznG0h8vm90oSmaQCEqo5dW9fDk9Odb//O1//b - n/tXr/0vvm44GMzn853dnUFVxxjdytRPnhKylaneQqFQKBQKhUKhUCgUCoVCoVAoFArPeRhgJVaQ - EBOJ0xw62Au7NbuBAetVxOkcou8MpIxl7CYAQIkU3Mc1LaOrFikvSsB84VJGwI4YxLvz+cGqonkz - TxIN9TJbxEK8nUt8gho4x+4bqZB2Ds4QEtxSy00A9QlPcghtjj72CgDKy6DyHLKHvGmp8JWYAAAg - AElEQVQhVlKnfcxeDg8kU6daiau6FpM1JPHkvUMTUQUAdKmEzhYKhUKhUCgUntvEGKuqApAdzCml - 4XB4sQ+qcMmRRarLH1XVzJae5kIhY2ZE1DTNYDDI0kQi6rouVzKF5yDZq5rLQP7qvS8pOgur5Hrj - NPNu8e8WTiOXDWbOGYS6rssvlvrkuYn3fplXCgAR5XxWF/u4ChcHVY0xElHWdaeU6rrOtUShUCgU - CoVCoVAoFAqFQqGAou4uFArnneX0FEFDDroxMAGKtmt44GK3W1OFk3sP/+y/urxL1G2vOYa0cIZ5 - N/3kR+f3Pzjo1AGSEgAFYzwOmxvjW27F2gRGgHODMUBzVjm48ajKzT/6I1cNAuqAqlLHCpeSDLxr - 5009HACYT7thVYEZhigGUgXVVbDUUR1ADqlDrF75xh9Co3/+s/+C2u7k0aMHOYyCb024Igq1f/6N - m5tbeucnjt9/38iDE7EaekMhMS8Mb+hl1av27tP02KtaQQMcIF079MFRePzOP9/a3h79ta/ApILM - 2dskJd2b3fP2n77pDW9wm+sIWGh0FaCF0vfcMYDQR48YiHIYCINBawOYttLBeVSj7//N33joH/+f - V4YKqQE7DMdb113z5J2fGgRKar53gmXpILH2ZypZS6i9hG+JCwOJ8/jYk4O9OY+HgQltx2x3/dy/ - eeH3fAeuPuAo1HWAMQidaM0LnWGRdReeNXDwo5SOP3nZZczHhs6TOmcjgSk6UCIosRcXoOa7CO10 - xDX7sfi6NRfVEQlUYls5GEH6ewz0JVoAkHcjAEB9vOZSI3eGGhvIv/38Gjy2Psxsvyo48xs62zfZ - ygk4O/NveeXdZ4aeyWl2vfzno4GTKClZdoCygxmSCQyigigDYAQwEmgu5HQeH37Pu5/8nd8Y3/rS - q1/zVYdvfdnhyw7Fejj3g12xuYkLznsnIugiMYzNejM5g3gRtOds/6oWLnWUEKp6Np+HtWq0N8dj - Tz72gT+43pKHkJGC3Vk/SkdkSADBHAHQup1X9z2AO+6cXHFwx0ThxUgB9g7pPKgQC18ayOA0h8CC - oGxGUDZHxpKsaaXemgws8lNHrwoe9/zF/e/51dl7b7+i6W5MEnRvQGbQLk0d4AM8IIqUYKaewGCL - p9SnBBiU9vW6Z8kGfHZRp0HSoh/qILnrxM4cz6MoO+Ys5dy3fUP77inUcr+UmR3Mp+SNHJOzXsds - agZdhNYvamPKh6tAsN6tDLZ9UXUEPBIH5ggkDD0pLHVIioMDIFpzdOegq3aPf+LOT949O3Rg7aU3 - b950XQfde/Th2Z2fpc89eH1H47YduHqGVFcDOJnOIwzBWR3AAgVEssbPPMx3EbP5JeUCVYJR3xM+ - 1duNFW/3KX+hvAjeBpMZKSuDDKmdjTRN9rrNNs3/4I8+9a7bxvc8dG2MaxQ5pkTwAUSYd0JAvdjs - YsgAmAGqlKXTWYANgA1OiPuPzRbC4Nx2MWDIhkRiELMyhDgxqZGyE5jmUAkCERkTkUMyVVVVJjCz - I3bwTIhN6xgVucqxg0ENEDXj5RVYXJD+UhmM4EyXVyxhv0NCtrykDFIYOMASYgKglUNFTlPbzZqt - eaidn33wIx/5xCdv+PbXHfrGr18bjWfO7TEbQUmdspGSMYyNoDBjJVt1rvefV/+P+qh4vfBR79H5 - h8yOHjoU5vOhEcWW2JoULThjR2o+kTfyzMwwJjbYPDkRhzg0XvcaDGZICkCzvjufzfKCX3BWhqnC - RqZGpgQRBTOCE2L11a53c+ImRZCKoxgGYPOaCCoKUwepxsO6Sa6zVr0/emBjrhT8qLnEmlN2vpHo - unZnc3338s24OxdKzKAEMibKz0ZMKEnuZkcaDMdDONaY0IWk65aGgAMr9VpmZ331a+eeit3OOnIg - uOwlFYkCAziwgHZV2ipYVcFxq6mDCUHBCvO+Wvkk+wN7YjjG5Ze3SeCDgUDOLInpyFWNtA4Eszib - OerbsmeSR94Y2W1sDFJdpLQ4fcixGJuQ4ZT+Ni2feADLIv95xjKXApQfzVg/+ss/rnjKFxb2fQW0 - PvMTsb5vs4Ke+sL5GrmozFsy+qv3LEepL6LI5RMgMIOcQxfn40E9Nnnqt3/3sr1pmDXujE/L+ra+ - f1AYgmtjV5EjWNe1V0/W7v7t3375a77aUqTRhI3VVFWJbWUzuhhteqGS7KjwrISIdnZ2xuNx13VN - 04iIiKyvr3ei7HDLLbf80i/90vd8z9978MEH50067z4aIgLQtm1VVSKSI7Xy12XgVl55vxonXCgU - CoVCoVAoFAqFQqFQKBQKX0qcc1ndncmPLkGX1LKIS5Gtra1m3rB3a+PxfD4fjEYxxkEVbnnxi37r - P/1///DNP/rz734XAUnNsQNTkgubvStUVew673zTtY541s4JNIvd3/v+7/vV22575SteAaa6HqYY - AXjvc4agQqFQKBQKhUKhUCgUCoVCoVAoFAqFs2I5DHOhB855IHJ8ihCE0c8p9UGFwH6U1Rf5CoND - /1eWg49BbFAi7dPl7CfMIYMRuAS0FC5hCAB5RB0MJrK7k4BAvDEczGYNAINqjl5EH20nZIAwVkKt - aV9RL4ugvyU5nVQOPXYAAKdQYiFYviX336vO4AywPgOAEhhwAEG1a2GKZo4qAFx7mCawEYrlrlAo - FAqFQqFw8Qkh5PRTzjkRCSFkE16Jvy6s4pxb2lVzFD/zhU/MVHi2ISJVVWWV5nA4nM/nAHLdcrEP - rXBxqOs6e3aLVLXwhcklJLcvWb9alMyFVbLNPYTQtq1zLoSQsxjZec9VVHg2kBsUIppMJnt7e3ks - c7EPqnDR8N6nlJYqd+dc7nsUCoVCoVAoFAqFQqFQKBQKmbLuoVAonCcoS6oBMEEJCmMywEAEU5Bi - UA8MbR0F8/Zzb33nZGdnJHE4GCEEdCcxn00//OH5A49OiJmoNasDVc7vJKXLttZf/ZUINXxAF+Fq - zDF39uS1h5/3vX/35o0N+ABU0N5DN41pGDwMdTWAQdSGo8qikjEc2FGCc3DTthnXVdd16rn2FRGh - JQz5y9/0RjTdHW97u5tPtZuPlNB1cAxPOLzJf+1Vhw4dPv7Zz1bzJsTESdkMZqCF3Dar5hRKMEAI - bmG0JTtFMLsMzGBHJiYpBrODIHrsaPzjPwqvfBkuP4I2EfGWo/bEyc++/Z03v/HvY31IlQdgQIQY - 2IE57+IchUg55MPl7wyOIQBIiAnAkAcJCUqoqu7wwW732LA1hBqppauvSvfdRWLa9HnrjACQUyYI - lqpCYyU4XSh28/nGuEaDNinuug9bI+IB4Mb1uG5mGE+gSq4T9Q6VEXm39Hb3UshzO8NC4WIgCMe7 - wJff9OqfetsBiEq0RI4mqKvOGiIJqhCCUJrPbXdqzc7OiUefuv+Bhz9zvz16YjLt1tu0EdOYoNIq - wRjCCzNqH7plF1YFTfv/93fdwnq3rLhyVGc2xRIBpHzG+oT9Wm71VWXa3/AyRNMYizu9VxWesZ0V - afcihG0pkz1lB7Z4VQm02BQb2kY84F3/pqgQAjzYV9KqN63YgUxSEgN50W7nALCxi/bDH33kwx97 - YHPjxlfcuv43vyq89Nbhgc2dQdhWaZIaWfCO2FQToEbMxk5drRXMAVCWs9t2C5ckxkSOCbSWFO+7 - ffzQw2NyRAoLbLnE61L7aARiaBJ28EA0IDgSGYletafH3vv+Q6++xQ9HrQYKtWpLXFZvPOtQoJf1 - VpJrFC/kZoHdZLQ3275c9MBu2v2V2+771f93a+fkVUmGJiSqqgowoWKAkWLfVfOAcwxmjdpAalpU - avu2YGVbajVVF1mXe71y/t6YDdy/xgSwdw1pQ2g9Go85a/TUhXrmJzYY1HUdqoqDZ+/IO+ecdFFi - ki6mGCUmjSnFGJr5ls0nKQ4EVbKq1aDmyTERRNHH168cBwCoAtExgCDOQQA1xnDEu1Nl6GAAa2CJ - 2Vei81EF7UAKB0oS11w1kLj94AM4+tjO76Z6WE1iPBRlI9RmjSOINCMeaNcoMAwAgwWaQAQiJAMz - QeAMtSh2dt2luFKub9qWtumclQBYfOK2TJSgwgmAV2ZjMjbC3Kkz2SQ+1Cg+cd8Dv/ieYx/68DVq - a5AKHYlEhxgwU6DDpEbwAfNoWf5K6LviC4NvLsm8n3Lh9OFJjhU3zlJSVFaZ+ajWGrXgxnPnXBOo - 9RQZnWNxZM5T5dk5x1yZpZRS6lQVak61ilJ3aWM0qtpEXceibPDcpxVfFPNer6twwt4AFmZSpwpS - hijBWS/tBpRzlyFHvRsDmiIcgQlwMEaKosCg8rHTTcd8/NgN3ejoP/t3j/7OB176D36wesENMq6T - 8znQHdkObtCsUF2NnO9t4iCCA8iYDGyqBGFVIB/JBeL4oL76+773sv/mb9UpBvZQgWc4AiPruCFA - UjTNdPvkiZ3tuLuzff8D8ujj3UMPjZ966ppZPGAqJAkWALZl60Mr1/0CYmAFweDMLFdlebeGgfdz - cyeC366r+XBIV1w2+bLnH7j+eQcOHqFqYON1Px7AAc4ikyrXNEZsRXcpgHy4Vnl+7UuemMdBRRe2 - P3xOWNjbg4XN6oqtF3/39218y3/nCJE78+zFsWRjuoklsZRMzGR+cibHTs4/9+BT9943e+zh0Ykd - v9tVXaQUYTDo4iEC8l87PQcBthGUF85s477nTEoQI4OZYwRA4ZvoZ/Vge7J2dGMSrr36yHXXHL7q - yuHlh9yBdYxHcJSgkv3ZgDMFwIab2DcuhK3NWZQ9lY3a1XXtXdV2iUHOAJU0n8E0NxOOz+2zIgOT - 7j8CODWlxRnvPssWcvYKPfVXvHgdz8wmfoHJFbLmupr6ujofZz5rA55xmc9byKlA+kZhdbSV38P7 - 4UzPrIY4za5OgMxbJGMVcgqmpRyXzvXp1SUJGRyUAM7NLkAUkKYTi3j8occ+9KEbmi4AIAaM7Cy3 - ASucAaSGnM1ICBibxM/chU/d6V91a8eolSWJeDGYBxiQvGszUkoMRQ5bvGTqw0Lh6eGcG41G0+k0 - a0gmk0nXddvb20oYDodtN7/8ist+/df/4w/90A+9733vFzE5T2VcVcfj8XQ6BZDjw1d/m03eOTA4 - hJLkqFAoFAqFQqFQKBQKhUKhUChcTIhoY2Nj9ceSPOjzsZwLA7C7uzscDlNKqsogBhhopzMmN6iq - n3n72/7Ot/yt//7139F0MaqInjrbeMoagPPzYDp2HYAkiZlFNTifJIXgdubtd373d9327ve84mW3 - xrZ1YIOqGhsBWFmg0y9mKBQKhUKhUCgUCoVCoVAoFAqFQqFQAPViYeRAJM3q31413LlFAKmBWLkP - aAUblL74VxDMTpsf4Rw1k3etAAhnZpMoFC5B+gQjncHV850ZjNbqcdvOTsxmdVZiGxupQY3YeJn2 - RPPcHBmcoRIAEGIxFuqTMrGpy1HG1GcyybmesopbFI5hZIkhpkbaJyYwOMAANk6LKDImJGb1AawY - BaE4n6fxaMz9XGGZJywUCoVCoVAoXBIsHZnOOQBVVV3sIypcihCRiDjniCjLmMuq18JZyaL3+XxO - RFVVFXvic5b86efyEGMcjUZt2xaPe+E0cg8kxsjMWce71K8WCktyHzU3KCKSS0h2vReeg+T+p4js - 7e1VVdV1Xe6jlqrjuUlKqa7r3OVQ1WX9UMYphUKhUCgUCoVCoVAoFAqFTFF3FwqF80ZOf7a/9t/2 - /xEBHoiRKCHx3T/x1iPzbi04hqBr0U3h0iN/8qG1J44eBJKoCxV52m7aEGTjpV+OF92MUWXK5gOo - isaxqn7+9t//gZ96L8YBPkR4j5DDipJgEPziMBRgkei4MiZiwJBUneMYu6r2BoSqajUpmNVRXYMY - KYH5lh/5B5hu3/P2t212aSMMXRe7rqucx9o6bnjBgUNHHv/wH47mXTXvkBIANjPqtXxkZxNKZVGU - na4zMoKpEcEDJOpAJs3OI48g6Cbfgq3LOAq1aZPg9/bueutPvfDNP4ohEJj8XyrQIpv5+gMzLDLN - qTgnkAFcim0IDmEIbm/8we9/6id+3AEVebBiY8Otb9jJY7wIxNqXwC1OjZYmvFO1WAmJwE7o5OOP - b7qXsxokWWrdHIgRnVTDAZhTisoVLwvSSrEqFC51zA1HG4+dPHH4xS99Iu2JSOCKuxDV0gAGDUm8 - As57oxC7IN0BnR1Kgujw1DY+9slHb/+jBz7zmdHOyS0NtUQyBaALa/eXmL5CWzF2L17hpVD2i6aq - PMON5xd+TCYg+2oJmgWcpzvfcIq0e8UnfkquTNs/Blt1za3WQjWRmZkABBCcAwhGaNtuEEIQl1I2 - L4MIXYR32CCmeYyzdpM4Nc38d37v/tv/sP7rX3Htf/Xag698xcHJ8CilpgrmXafJgZUVUAZgTFCv - ZIQElLn6ZwsGNLGpapb5zLftfb//+1eqBkiyPHBigsD2GyMy+BBmbRwZqpqaaGYSTAfsvemnPvwn - h46emGwdit6odtqo09KOPcswMiBrUx2DyUBGHqDUTbru2ph2P/LRO37h3XTHnTemNO6iIXViNSEE - aOw3EiOChyrMQICKqqgDD71XTQAIMFqtr/r6zYxBC3vroiZUkDJH5sQuMrfOd45nKjYeVocObVx1 - +ZGrrxxfeRkOH8LmBg4cQT1ACHAMGFTBBGaIwgzI8nnDvMH2DrZP4JH7sXN8+tjREw89cuzRo2ln - j1MakjmRSiWoVqJezZty3+ONgFupltmQoNZNdVIjKroOtWdNZEkCDbVrQWpgZh6Si7HxsVkPDtNd - MqFZL+S2+YycUxhxiNqEwBqVFZ7RJtSOTAwEVbhgECVoFQXTxl1y2krFIk57+fkuvd2Gvv1bklsr - Z2CDAs50HGWzaycndx/7td945Fd/48CTx17CVKVGJAqQGImggPeoHMdWpY2DhcBTCUZ9n98pmCDW - 75qNqXf7qu7nnmYFEqF1NgtoOUQbJ6rVe782Gl12aOOqK9evvgIHN3HFYYyHGE+wNsKg6jetCfBQ - gSo0YtZg5wSOb2NvNz76ePPkk9sPPPTk40/KdM+rkFmADCXWCXWCVyZ1Rn0uCM6WYgDW3w9uodYm - AKRkyy6BAZzTTTiHZOg6eAfP6LpUsZd2OoFhOlvj8ORnP/fBH/vH137zN177bf/teFjvVqFlb3BG - qqwGkKkzuMVoLgfP566CGMJCnGyAEIwAvYCZJmbe7a1tDL58a6BWmUnXkYN6biT6UJsoJ/WgYMSM - LViQdH3bou3w2GP4s48du/2P7rvrLjS7G57GTaylN/Ha8tLZ6hjwwmAMgKFZn5yLYhPcSeZ2fXPy - optf/NVfjZfdgkNbqFg8K9WRXcteGGSaHFrHMKqTl9SFEdRp27ZhsLHT+LoeqU35ElLV8vp4q+u6 - Y21bHznCBw4x6wkXEZxLHBQMB8BIBZIomVltYdTakTYhJexu4667px/40MMf/RidODmS6DXVIr1i - mZ7pMJhgBqW+88xQGPugbYvE0GH9uPHuYO3IS255/qu/8vlf8RU4sIFhBdIWuus5eRfJ4J3m8T7A - 1j/n8KqDKMe7SOvjsWPr5P9n786jLcnqOtF/f7+9d8SZ7pQ3s7LmiZoYCgqKSRFRFBzQ59D6aKUF - u1tdLSrS6usFj2Xb+hQe2toN0mu1E936fLrkKShO2A4oFqBAYRUFNUBR85jjnc4Qsffv93t/7Dgn - b9YAlWUmZBX7s6ruOnnuPefEibNjx4448dvfWdt4Dsj5w2YQ07aFJFssyom/h0fevh7z0+iuUy5k - x+7Js8k80hmbz+ULEfVt3ZkVWLe9MD30dXVX5PWJvoUFMrbjo7ntlEzioWyQZoakUMOuK1afFNet - MnKrIHC3+lSJG5GVqhcOPXjgH/5hsLPdj200ED/q9y8mcASJVgfSpGoY1vWRo0f2yvLBv3n/vudc - GdtZ7UdmxszK4gTodoU2byfctZYnwUotvsSo6s7OTgjBzIiobdsYY6/Xg+OmaZwLRFTX1Tve8Y5f - +qX/8iu/8msn63WJaDwe776GflFf4Zxr2zaEsCgGzst2sl66KIqiKIqiKIqiKIqiKIrihKhqnuOS - CDDk4OfuSo/i0fV6PVU1M08u9ML25tby8jIZHDlTm80mL3nxV177jx/5V9/7mo9e/wnvKImd6jVK - 3F0HDSB/hG0UJjxw4PCr//X3/tkf/8kZq3tcqBgwM3ukxIsv1oWjRVEURVEURVEURVEURVEURVGc - XghKuV7VHOC76iIzkJAKI3FXienmXzcs0rs/708AkRf/1G6umK7+kC3XCc4XJE89cfqU9hXFwxEB - xDBbWlpKRw5NzSquWdVgtqg07OougW7z6m7bfMakhv2456LzCgdjkDoFwYw0MecycK+oBcgV38xC - JIQ84YmRVppGrQ1b7ecNzdziZ44Ch/NgBinY9Qe1pOQdQQS+fENYFEVRFEVRfPEtSq1zdqaqeu9L - 8XXxELl5eO9TSrlyPwclfrGXqzi95LaRG0YIIcbYNE2JTvySlVuCqgLw3k8mk3wjpfRFXrLidKKq - ubvIN1JKJYK3eLg8R1C+nWO8iah0Jl+y8rgUQAihbdu8ZymdxpeypmkAiEhuDGWwURRFURRFURRF - URRFUexWoruLojg5bJ5L6o+lWc5/kWtuKsAixvHmn/+v6+PpCFAkgzgmpHbnox+hOx/sEZIiwM+i - WXJhsNQ77ww86+movDKk53ZmbaiWHyR6yn9842v7/wnEcAHGgWBICQxwcF0pkRDMwUOryhtAPqfq - oDaGqvd+EfXayzOjhapLiXYMNniH3sqlP/V/fuadv7lzz337GhkhAA5iGC6jqs78+pcf+YcPp3sP - 941I2gB2IczapiKQgfOMefmyM6Jk4sAAdxUc6GZiM4JQV9fBOSgORkDfaHbvAw9sf/DML/sKOvNs - pNYx72Gqdqb3/ewvnv0TP44RY1QF9tI95+Ocke/YnHA5wJugAMEJEHwNVZhi0ANhWodRU8tMHDn0 - 6n0XXbB57aEug5BgIAOcgZiNVDVPFacw3jVZoFoXzysOPN3ZXL33Hpx7NpwnlSWy637h56/66f+A - FMHO+yruXlDDPIv3lIRKPTkRdXmHzPniJKLHHftWnACC0bRZ7vW2ZazOkXNOXe08MamZkhK7XHll - pBSCM66loigD78PqUnXW2tnf+JX7b7vljr/86/vf98H1gxsjScGUHMAgYhM5tTmLQE4mPtZWmEAw - M1Mioq7MctcVtDwvvwRgBtu1B3iI3AcKGgIRyJi6EFPNjwOBmXKiG80XBJTfvJlCKRfKAYrEAIFA - phAlkIMZRBDmL79rIktGFwDapW7mklEPKGFAQIpm3RyXJgC4QpfWC5gHEYlLUiWENB1fc81NH/zw - +tOedcE3f9O+5z9X94wOVBYdC5OnilijJHIEFqRYuTqV4tMnlFA5zGYrMU0/+anJHXec1VoYUNsc - i0fM8XjIM6UStI19wBQSrSLOTdI0AthbjW79wz++5LWvbdeXtzTF1PTrQY5qLp4QjFRII5R8pUYS - tSLHGkcS15oZ7juw89u/f9ufv289pSWNcTqmfq+NkTxUAem6SVM4RsrdAMHmOcQALCVmgLpQS1+R - KWlSz85UiR1BmQBoDtr2ARCemM0Cj4f9Bx1tLQ9WnnrFWc+88qKnPt2dsR9r6+Ag4MRB2SWNxKo5 - r5fmYcPz1FIy0Hz8ySsrvH+/M/DVL4SkIWwIQTvDgQcO3v7ZyT1333/Dp+I99/hDR9ZF1kSqSVsr - qgqqUBGvkoNQDYFcXyRWzlLTOsB7xKQKDXAgUnMGl3NJyVJlBlKkhK4KGmZgzkcQAjhBAnMShQMb - NKICTLrO3TMYFCGeuCLC5uYXIlr18eNFbncjibxzwZuqqRAREVkrtfMmGpxrNFqPe017xuYUt9xx - 4y/8t+V7Hzhv69DIopM2iSnBAhoGDFWCVxDUAUw5IBVAlwK7CKklQw3faALxBDoKDBNJ8F4lGcCE - QH7YMh9gObo0iOedtefq5y5dcMG5l1yC/WegqvKeNXkXmSPDiHKjUpqHNGugLnXbnOn8PwkaA2xJ - BU2Lw4c377jtzltuPXTnPe0tt65tTNd34ppRzWLamkXnCJbyEU7+qJnIqbF129TxnzJ1VfAGS2AG - M6AwhSckpHyIA5gT2dNM6wfag7/1rhuuvf7KH/g3vWc+7R4W6o/U+53JZDDoOQWScNRBr9dEmWkK - o+E4NeRBBpW8noH5AOGUHpYosQY3BQQ2NeVeUEAZ4p0SmIPzYEVQAApSdsGR64+GWBn2Lzl/77d+ - 3d5P3XT7n/zpZ/7umouI9iBVjtokntSYvHFSoVP5DgjwHGA2sWkw1MHtNCK96sGlHr/0yy75lldU - VzwzGsXQi+yaKKh9y6xESszGXhV53gFwYtK6N6M2wbTXc6o9OIoizh53dPFJR6bWNB5Q5xQ29WaE - GGoDPLMZkTFIjUiYhNiIWwutc00V+6kNw+D3LQ2f//RLZu32X/zNp97zZ0v3HNgPCWitjcGD7MSi - o8lACucxBRxTTE3f1SQQaNsAjBiqO5xrX/zcp73yOwaXP0N6ox12rWMDGbkcBpy3axLm+WQTqdvk - 1bGqJ2G0kpKxkTrHakY8T5ZuWorRMUAQA3VD/xN8C9TlWMv8+ILhDEZwBAdA5/HWRDBr8jGKzR/O - TMakqmSPNLs9ncCBMQGOSNUYIOdUBAA7F0VO1ol1ARw5MoJKVVVNjAozmAMoxzTTsTByLA5THmZR - kUR5vczvdeZg3QEXQEYuR9IqzBEzg9RUu6iHk7Jh5f1OGs9ARGrEHEWIyHGwlJ4EiRJkzKQwdUYA - hBPA4IqmsU528x/9yWWaDOJdiNK6XcfO+aO07sxh12RFLCeAa9ssMdOkuffDH923sVWtGi2N4NnQ - UlKnTMbmVbtD8rxtPvHXZvEliYi897mQMp+09N6rKlQDOzFxzs2ayWAweN3rfr9nb4AAACAASURB - VOSKKy5/wxveOJ1GA6rKt21aVEosbuz2iHdm+RXzzxgjgEV9Rb6R78xLlf+5uPJ+UV5eIr2LoiiK - oiiKoiiKoiiKovgCMLO1tTUAqiAiKZODPBJ72FUE+Qywc87MJKZBr5/aSADDRKQOIcb2jL173/tH - f/hDr3vdu9/7J/kqi3yFrYgwM8OJyj/r8pPuS7n5vxanrAmikr8DVUNd+Tvuuvd7/833/cm7351U - pGn7vR7UBAYzEFVVNZ5Oql5PRFCmJiyKoiiKoiiKoiiKoiiKoiiKgtTBHCGY+m5WBDXAyLemAjAD - CS6hMsDgTqR+MLLGKs/2Aw9YhDMlUC7QVFMjsO2qb8plwvP5TDD/mqZ8qVGcDvL8V94JWMftZM+w - jts7ahp8EMlf3uWC3a5Jk8EZe3YisQVCRTGZcXXYub+dTo+iWUyoha7tQwAGGKiAABAggIAjtB/q - o7FxQBUQIq5y1aX1aL2ZVSZGblG+xwBREGMYQ4PBW0JgjxZw/tiMLUVRFEXxhJKLMXOi3hd7WYrH - L5cA55zmxbyFJVq1KIpHk+v6cwZeLtgvud3Fw+1OzVxM6VB2Ll/icgNYJGiWKM3i4RbdxeJGieAt - Hm6xNynNo1jMN5U7jbJnKRZyYyhNoiiKoiiKoiiKoiiKotitRHcXRXFq0LyMh+fJPxYxa+759d/a - N40jmCPNKZdo09Z1140/c9teAiUER1BHcFvEK+dcUF99JVTBHImmSWd1vTPqP+X1r8egmvVqB+/n - gc5KANRBCQxjEOz4qNSc6sT5D5CjoLn7jQFgJYDgcgGDA+ABRnCXft/3YXP7tv/ydhLQ5lbfV8mH - UDFS2nP1VVi6897rP7VWDZgxno0HPpAaIAqzvGBmDAuh0igADDbPSJsv3vElE/lLP2cWZuJ9c/Aj - /7TvRQOMej44FizFOLJw58+95YKfeRNaopoF5ohE4U/8alU+9spdFO9x1VcEKEAMDwSHvevTaVyJ - CgdMxu7883DDDalpc+5jXt8GmJkSmMEKskdIw1IDnJq0Qd3OvfePzjoTbOzMS9zTH2B7DLeac3dp - UZm1mMOuW28lvbs47VFCzknlnBjNjrs5ItnYCEaIDpEZpE6dhEGDuFU5JlGHJUdLz3v2Uy44H89/ - 8a3v+PXmrvtWm6aHJLFtNC7VTtsTi747URFghncVABERFeS0PGbrIt/IExmTwkzUrOswbJ7onZeO - Xa63ZKjZPDfbAO9gMFMThQFqcAARmHyOnDdV6zpMYjCIkkaDOTgimCkRnPcSm8XLdc9OXebrQ/qf - XbcZmO85uk/kuLK1eQakKpgXWd+kzuAEZKhFerPN1dpvffxj133qRv/MK5/yim8880UvxN7Ve8fb - Nuhtp4aC855MLfSCpnINxxMKadvGvUwrUa/90/etxzhgtGOrAjQCOS3+uD+f3zIwuvT3HA/pCHXT - 3POhay955Wa9ujaezFb6A20il4ivJxS1RGAHp6oGVIblWTNqpu3ffuCG3/5/1z9917PqejbeIKBe - GmxuT2o/759390LWZSsuuu5FALwZVFE5+MBtq61aADxJC62VBNLCiNgNe2ONR2NsB3Uc9Htn7T/n - OVed96IX4qmXotcbp+aQq3W0hHowFUpCTBURm0ZPbbdLQpcTiV1jT6fogpa78Mgc9M3MIFYPcXtX - epdevDobXyCGQwdx46fvv/ba+274lB44WLdpaHGJEk3RE9S580ZMIooURX1+FUEIjp1DTK00jDqv - V5CySbc1GIyPdeN5sE4KJlHj3dG8i+hrmpfwmxmDmMAimEx3bZNffDw/GsmpsYtPXwm94aCJ7aRt - mDmwgwmZ8yGkxmof0myyUjscObymdN9vv+uu3/+zyzab0XgTmHoy4+6YpysIB7zC6yL989irg9V2 - T4VgaC3VVb+Ns1Gv0rZtFb0eb8+0rVn7oy24SRjsveyKS7/iy3D1lTjnzFS7ndpvkGvJBBSZ1Ttz - nAxKjJzMqpxXOxsrHBuTgaBsmt8zIZkJa3Km9RL6e/f0Lr/kGV/zMm4i7jqAz9y58Y8fv+u66+Tw - wRWu+tLYeHs5YNyiR3ADb7MU1ZhYoWHedo0Uxto1IGLj3Xt2AMj3zBsYGRg6SDpIcZDiA9d98h9+ - 5i2XfM93n/uyl85cfSDGQd8LJMZmWPdr77e3tutQjerexs6kHtQzJKZunbs8eDgFDebh8mcXmbjL - fVfhvC0zAKfsCInBiy3Chw1V16tIUl3X+1/0wosuuOCi573gxv/nd+XAodHG1giAgyRjFseER4m0 - PFmiToLv+wSuqq2mnS71t88642k/+G/x3CunZ+5/EGEjGtcDIkecql6Y2UwIALscxKlddLQQhJGI - Ixvyyqd0AqnLXyhGqgRhFQAgJRbK048wmCkvO6ktugRzDZF4nrna4AwB1hs2cf1bvvmFX/2ye//7 - /7jj/R84q8GamWNJyU70cJ8MElFVoWljReQCtyLeBVdVG8Hf3Q+Xv+o7e6/8pkP9+shg1FCAkeUz - JMYEwNgZ2Gh3aniOHBZyAFru3jJIdx+oG8zM0DasAu2GwQYmnPCQWPOM9IDrclLJYNbtYFPXFkCG - fPIldzigediqmlmyh2+ui13JY2dAMsvTZ7DI4pTEyTqrbgTnHRFJqwaCOG85svwRIq7zOPPRoseZ - yfIB2/w4BrnjJIXtPvdERESEEILE2KbEQCBHnmEGkcdR3WQENYB0caKJYNbMoCA7dk3zk6RuyrrT - egTk5uBMQJI0Lpml939g9eBGT1UASPLMZpaPOh8SwY55B85AzLt1gjNdkuQOHrz/r//u/Ff+iwPW - JBhUK7AzJoMqwCR8bKz58HD6onjimmd4CzM752KMKysrL33pS9/5zt/4wR987fZ4p20TAFV1zuWy - //xA7s6/mZk9Wm7349Dv92ezmfcegIioalVVJbe7KIqiKIqiKIqiKIqiKIovAGb23ndfu5SZT//Z - YowhBIH1Qt1IsiS/9T9/87I3/9zP/+dfEiBfFET5a1YTOrXXZnbT+TdtGvTrj1577ate8z3/3+/+ - 3mhlOTUtCI6dqsyahpmZWVMqZ6WLoiiKoiiKoiiKoiiKoiiKogC6SklnygoHY0CgBhYkEJhAikrg - DcEol5s89q88HKjNRUkKA7iLBs9zOBy7RD/XsCzqs8o3WMVpi6BgBSuCbR/dOX91tLOxk1JTETOQ - p5nSrkkrG2AUJRqwMhzszKZRUbnexOQO4IF5Vrc99CXYQ928mC4BBPVAjI0SosFFnAncL+15PIhk - bFHJyAhAV7apAjIwwbs87QAID68oLIqiKIonipzbDSDnPTNzKcZ84spxVnmuOTxppggoiqIoiqIo - iqIoiqIoiqIoiqIoiqIoiqIoihLdXRTFyULzuEoAObemC3AlGFSmY88M0fDgwZXYggUkUIeZyA2f - aG+9dRDhHBwhihkaG+xJq6P6Wc/AcA2kQCKxlqrZ8spFP/x9WAoIlYdXIAGecxhbzpdS5AoKwMMv - Sh+IkIsmBOwINE8Wz5FU+c9cTmxlACpdrLVHrlJa33vxm950+2//Dt1655627atMNjcGfcbefaBw - zp71Bz/68cnWzorvQ0QsGUwAx/DEKqpQFp3H8xCbLdJ9yNQZu0XKIKlSTv+EN6SdybClzWs+tPLS - F3PlERv2FeLW2ct7rv+5//tZP/km+ECeqMs3+2dEyPF8eYAAnafZoqs6IcDzud/7vbf+zJtXBwNs - b6EXoLp85pmTnc8yzM8XHgaDPlIOFuU6FCUIgWDO0GPbueu+0WWXY20ZUEvTEXofedt/e/6P/ijW - azjMZrHfC8ee4PinK4rTlpFGHwGQaZBuixCG6+ohQYbEIIChZAZQUkrsDL6qeoKwmRpVpDXvntu7 - 5O1vfuDtv3HP+//+jJkOiHo9GzdSz5MjT83yw9ehTXEWWwK8AzuwghRE0iX6mYmoKpjgeB7XbV0O - d3fbgJQj9Mzl2MKun1KVbuk9z7seYhC1MXFXUEYAEYjhwaqIzoEcwCKCGKGAMzEHN69MYzuW0Yl5 - 2SfmUdzzwNp5b0u8iDejnEqYUwBzJ0w5DE8X0bbzOlIGiEz6Dk1Ka2F7lHTjwx/+7PWfOvMrvmLv - K15+zpc/53Db2Mpwq2kx017db5qW+aHxbMXpjAx14LCxgxtvn137iUESERAAB00KYwbImElhx7Wx - xcO7VkYAw8/i6v1H8Lcf6p2/r7c0qERTMg2neHbX4uQhoOYewc12ZoOBV46D7aOjnXj7235555oP - n7FxZKltmnbHAQzIdDZ0BKFF99IFmi6ezXKWM0vXycCIyVzPOyRJs+gJoYIYplF7ASbi1FWhv8H0 - YJS4vtcuOv+Mr37J6tMvH1x4Ieo6icw8a1W3foXIG3NMCoODGQQg4hxuOm+Tu97XsVvzCnlB7q/Z - SA0Q1aRK5Np+1QyH1k4Hq8vD8y4866u+6qxJxJ133/zBD3/qmg+sHbj7wqDVOLVNqggusGhi5wjO - c6AmRU0UhUQIqBiqaZ7RvStRmub7jvnweNGN520tL2cu5lcgb4lmauhSXbsa6cnO6Rpd2Y3S5/sX - TJuZOfaenXMMSCsxtnCVrwcppUHth0cPVncfuP0d/11v/PSFRzfqKAxxMHNIhAgA8ECd4CwPCdTA - SgC6FkgAawDUAZiv9BAsynRmQNs6ReV5e6a2vuduZ/GS8/e96IVPf8lX8gUXjsU2xeqlJRAlU1EY - E5zL8bgxmnOONfeHOdmX86fJFpWgDCEoH0sUdQCFQKpJdVPVNBmZq+s9Vz5DL7p49LIXXdFOm09+ - 4jN/8b77P/6JtQqYTIeWlKBNSgpiwLGo+kUzIMix5wctZnxAF6dqTIs78+QQ84kgtBac1Uz51tsf - fNuv1rfetfTd37a+d23Djw5pqkaDrdSGKKNRrxZnMa6wnzXRAhmBDWxwXR77oib/1FFnDCgIMDVe - HDBiVyejDCiUyRRkjoFqlsQkaN07QDo4N4zWVi86e/2v3vILL3DVyubOJE0ZoKFP2/FEo6BPiJGa - o6lMA3FSmg2WxpdceMlP/fvZ+Wen4d6pVm3knqvgqqSSWEwSGAQzJALnMTNDhWAMIxCkUgVARtHF - bnKE04YwErrjfAKEkTdGBRtYiPOZhvy+XNfRtWyWw+6FA6z2hjbgwTWtq/E5b/jR0dMvu/d//F44 - eMCa8ZBhJ/Z2mbmKOgtitSOIyWxqQAzV4UiHzzrj6W98rf/yqw8q8dKKTJNnzrnwkrdfgjISuv6W - FfPtHZznoUDe0o+dgjBSwClAZlDDrHHW7X0UIDrhsxXkHERyIreZdQcSZmACEhhEpIBBRASC2gE5 - nBroTj4YFHDzDwXzo4PuSOMElycBlJ9LuwxmPEou7OMb542jhJBPrDFZbvjErjKLICPrjo4Wz/9o - y0/UHZQBgGFRzhzVPMCOYSYqomCQ5yo2DYEqhJz3IDHlR56U/oENcWcKVSYzTSBHRLnW+mQ8/Wmg - y633ZABiMHVm9Wx2999cs+fo2MESw4mRCdlxW4A9rJ3MD1dhgAIe1J9O7vvL95/18q/x+5alciFR - zcGpYP5YJSjBq7Kd6OZVFKe1HEMisxmpBebpdGJmo6XBc66+6j3vefdrXvOau+663zmIQER2P/AU - dS8ppcFgsLW1VVVVnjPCzFJKIYTP+9iiKIqiKIqiKIqiKIqiKIp/DmYOITARlciDx+ahV3Adr+6F - tm3Jhdls1h8OUkraxh973esvPP+C177uRyvn2LtZ0zKzSiLiE13rj/Dn828nH/F+F1iiNm2rwF// - 7Qd+9s1v+YnX/2gdKja44JzznCIRBedTSszl+6CiKIqiKIqiKIqiKIqiKIqiKLoSXK/IUcG58EpI - hcCEoCBBUNQAgQWkUHnMJXhmGIozM1ZdfPNhsAQwHasHdso8L/MSsEEe7QmL4otKAcFsC9ayE3hs - be9UAbVzqRFnx89JAgAgIjFyzo6MJwos94ftTBF4Bow5F7N6gEC2KPV0QC7jz8HeAhBQAQzMDHUP - LkIFa3CrzM5aMAiJcqkmQCBiCqGPNAMNDYBDC/gAU3F0SiuAi6IoiuKUcM7FGEMIuQCTiLz3IlJS - n59YvPfM3Latc05EnHOqWj7EoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiK4kmjRHcXRXHSLGKQbB6i - xQBBVWc+EHamN/3if10/fMhXDkHjzk7QCnfcuXnLLUsp1f3QTiODAU7MWy6d/XVfC+9B3gjT6UyX - R7K676LX/RCWOFHyTA5IAu+gAFN+dYYBJIB2t+3YxGd56jKdL5tDl+IGHPdnBihy5YQDAGbrDWbT - aX+0fNGrX41pe8/b3kbjydL6moy3eNLSvr3wbv9XvPDeD3ywbVVS9MSAmakZ4JgNqpo0gXIiLZSI - LcfZAl3iOGOeeG2AEAB4xgAebdw4cHD72uuWXvBseA8kIKXtQ+esnvGZX3vnpT/87yoHICUV7+oT - Su+mR7iV1wzPs3ePTWZHzKidru954LMPnDnoq844VP6cc2Z33uWSeIPLcaVmAMR2RXORoosRymll - XRUKO/SYJzsT3PsA1paSND1fjY8cOWv/foQaTUSo+70g2kVtPfKSF8VpSUmNFIAzI4MARioMGIIQ - 2a4tC5YDIImoCr3W4s5kVnkOvt9GmUDDmWeO3eEz/8OPATj01393bsXTZlLnnuBUijESU10z1EQ0 - Rfi8AQuQCywdtIICDZCYp3DJeRDlHE0lNiZjp2ZKbACRMzOFQWGmxEJkDCIiVoMmiwLR0XBEaiTK - YrnkDGpebURwhtQiAcQIFRRICZwT0AmUO3fjvDK1i6B9OAMBRrun6jSwgrsASMvxsF3Q4EMy1YwU - 5kBgoOfQNqDZ+AL2k/Hmkff/9cevv3bvN3zN+d/2itG5+3t1T+tqe7LjQu2rKqXmlH1WxUnGsCA6 - SOnIX/zVOTvNQGBAqJBaGFmOB16whzQyY57HERtBFH3iC119y/v+4vJvf8lwqdKp1lW/MZWyJ3ui - MDaj1E5Xa+8nO0uzMd9xzwd+9q3nHt4848DB/cFZxSlqcFBFm7QKDmKU44OBHDGqBJ4HvecxJx0b - eRLMj5tUA84HSTG1CB6hxjgieUzgNjzSWfv3Xnnl2V/1EnzZ89pgW/16zC6RRYOBjB1yjrWImTGz - J1JKyP2hEbpE50egOXScjkV7Sn6gGbEj50TRJNlQqarejsHVUvneoE/9lT1XPPXpV/zLV+IjH/js - +9535KbPrMbEO9s+6bAibcUMQuKUGMQMUQPgPUil23B297EGI1aQUa43ZiV1XXr3sdFjXmCZj6gZ - cAa2LqDVGZrxpD55H/6powQR9cEDSG3jiWv2oQrO+TZG3t7aS4Lrbv7oz7317KNH9zRtT6Jx3lGR - 5sRyBhHI4BTOFvnr+fM0zR+nsQMvht8GKKlEZYflQT1T3SRu+4MjdVh+2hVXfcsr8IzLcfaZB0mO - sKP+0MGPm8gSnalxDu02IjJ2nokUZJqjfHOabxegDp1/YIyuk2QAUSFqnhyxUzaimjyB/W1b4/7q - MKm3STznBVc/43nPwac/i2uv/+Sf/6k7cLi/tbNW8VA0NU2SVNdOG1lEcO/y8BIvgvGxHfo8aDsv - kjPtt3pxr7999OiBP3zvzTd/8nk//vrhxRes7Fm+Y3MzLC/Vo9CMW1IJ8CxpWNWQJDnrd74tO8Wp - nh+dDUp5lepiODLvXBYDFc3HvQqFsaaWPRM5Do58vTGdHBKsr66uvvDqb3jTGz75hrd40MpoqO14 - azsu92CzU/kGAHbWJoS6fkAEV1x28U+/Yeec1aPre2TMnmrnK4PGaUSgygfRNsdaC3enE3KHQITE - JgSCwuAMBhNOCV2Y+mlDc6g870rYZmWi41ooA6zMUDIYxEgVbMQGD7hEPjmapHZpbT3ubO77jm9d - 8fV17/iVp0y97GxxN6HKY18i1OglmfnAUUwBeP+A6PjCi6786TfhqotvdegNlqaTJrBzDNLFzPGa - U4SVAKgZK6lTdsjR6QBgBAVLfr+qDBw7AZBH6NNJl1AOOBBb3ipPYPmjiAd775EPnzSpKQAzM4Im - RDMAzMIMVJgqCwMAERFRPtGiBJ0HbHO3W+me/6GjuM+JYCzm1Jxj4263nszohE7BfE79HlogeVYl - J2wEIyJPYpZz0tmgBBjnUySfo/Hbrt91Kbamg34V21aiEBCIK3IwVpVAwSwJJJ/MccTEBmJLJ2He - HILKtIHCEy8WxsyeFNHdDCiZGVGeVMUbKtWhqNz86fYzt++bRXIGhkOedmVxZgzYdaC6+2Cza+nd - pC2yx/c2PnsHPvZPw5c9/0joBaop79rmkx0JdS2BrDv/UBRPDrsDSKqqIqKo1jSNc+78C879/d// - /de//vUf+tBHnYPmkRDAzDYHgIhO4hQAzrm2bXu9npmJCBHlsJyT9fxFURRFURRFURRFURRFURSf - QwjBzEAoM5/+803bpqoqSea9n+yMh8PBkY3NtfU93/q/fcvevXtf9a9e3TRt7asmtQRSO+VfvkhS - MESsCk6i/NIvv/35z3/uN77862LTzpqmqkNVVYvP/eSe+i6KoiiKoiiKoiiKoiiKoiiK4gmLycDG - ixoVYQhBBT50M+l4wBAivIDERWFjYyX9vD8BNEkd2MER1M8LKB2bYD4BAwCAAAfOWcVFcXqiPOFU - r4+YQgiDQZDtCMb2TPrzAq5FhVeue1SjBBn1B2kygfez2UysYqrzRFZd2TIxTAmUi8WUlCyXAXcZ - 4GYgsKgSoWm6GG8DRbFgtig1Jcs1tCYmTTtFcDB1QCPmHSmB3K6pCIqiKIriiYOIcvXldDpdXl72 - 3qd0iqdIK06B/KmFEGKMAERk9z+LoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiK4omuRHcXRXGSGKAK - 4hyMlssAyACIU0XbfPzNb92/PTljeYB2jLYNocJNd2x/4pP9GD1B2kiExrS3vHqI2rOufhagBk7s - p5LqPfsOBzrv3/0AhgME5pzJaqkHhrHk0ojuJRl0XG53Tsd0eWEMDvO4nPwHAliXMZ6j3RTMYLL8 - PDBg0rSDfh8G9Ebg2bk//rprf/InLwx+T28Up9M0nfWHQwKd89VfufWhjzaz6ZLzjtSriZjExF0G - eE6s7aIT8895yt3D1mV+afYpKZBWqv6BW26pgPrFV6fU+n4VklSz7cFWhc1N0BCVevc4EqPyG2Td - FTc1T4NjgoKQwHntgQlVuOxHXnv/T74VBjKSrW13/vm46eZ46GilYjCGUP70H2WOuHw3E8zAZiqy - jGpyxz2Dyy9y7GCydzR68PAWGsXApZScr5gxDxDTLlcdx+4qitOWdNHduQRLDQCpAkYsDAMJA7Cc - uEkGSW3ddzA0MfmWvfNwzrlham3SWxrz9Mz/40emBw8evv4TywLPx0V8nXRkqIliMjHxQEUgckQE - ciCXUpyYtOI0hFi5mclR9kcGa7q8OlpdGa6tDNfW+ntWBntW3XBYLw2lClQHV/d8r+YqwAcQyWwG - FYspzqaysx23d2R7i6aTI3ffLRsbk0OH2o1NTCZOxCuWmojt6YqY996TmiRpwYS+gwgo5/PZIj+U - zEzJQMrztwOAqUtcdobdqWkAKakwzOAMBGZTiHMw5eNy/rpuiAVAErAAQOUBl6xJ/TQ5H5PJu997 - 3d/+3WXf88p9L/3Ko6uj/tJwJticjuvKn07RksXnwgrfzLA9efCaD569uVWpeg81zBTeKxmcEBbR - enSsOe3+iPNengiBKO1sN/fEyU03DUf9mS0x3InlbhZfVEY6bdrlytU6GW0eSn/0v2581x9ctLlZ - b2+v16GdNsjDpNzPMETF5Sra7uFQgs2zsdmguamQskIJUMfEU2giZ4wI9GsmchuzSEv1/eymF513 - wctftv7VX439ZyWhbXKpF2YqakreqXOJDIBzTpOQaQAcgUxMIqDmKoUXOnbU//Cu6PggeYVT1WSm - TObZB+eD8z1VBklKpA7et8RNSKhDPQyDr3/5U77+a/CpW2774/ce+dBH1jY2e8l6FNnBjCSHbTM5 - JpJkAuO84eSlYe6SxVXJjKQbtys7Y4OjxcZiAMEo51USATm/mkjNABg01coynZ7S/ePj0nURSuB8 - 2wBCFYKpqQkbgiFo4qSQ8WovjKQ5/Gu/c897/vhSad1kTECTs7qRx89wQAAZm2r37DZvdIzucCYx - AcpdznUeQzMMwZskm06azWrwwP41ft4zn/qq7/AXX9hwSK5OEsy5AdBsRxebvnfU88JqRqqaJJmB - SJnZyIyg1qX16rxXFJoHipoCnIPVjeBCJWatqpqSgXP0NdrlQBSnRKBqNBYSpvqKK6uLL3vGd/6L - zQ9c89n3vPfgxz954Wy6j6tkbTsV7xYR3HDdsRpAetxOdldkrc6ngmACqeawYQDe03Q2HQWy8cbg - 5ttv+LGfvPJHfmj05c99xjnrNx48QuurqHh73Kz2AmbgFGuQ8HFBv/kV9ZSnd3cR3XPKBiXeFdZ+ - 7E07qDfWZuZ7IwXLznhY9zHq70w2Y6jPfe7Vl3/zNx34oz+bHXlwhTHsoZkh0CkcUpIBDaqKj4pO - zz33ip/4YZx7pg5XZGxV6JuRIUEioBUFB5pF4QDrwqktOTIF55Bm0rzyyQBjAhmrAmwnKzT5ZNGc - Js7WjfAzoy47Oo9W2eCMlSCOkiMhM1MvAuKZU4BXhis2HSf4HaTRK158qU7ufuuvXYoBcGJZ6y1m - Vb1EzSxFDR4WqkMzk0vOf9p//PH2ivNm/VXbmSnx8tLqznRDYZ5JCQY2yq1OF1nXuecG6bzt5cbP - WASok8EUZnlg7sgwHldmeSU8vnnkAzkYIalC8ji+awVmznkf2MFynScM0dyO9ylURGSAiAgsp18v - 8l93HxqgSx9/rGpNaybNziyossEInpnkpDVBMnDC0QTdV+0IVdJz8AnUzMbvIgAAIABJREFUmEjd - Y9OeJDIkhhFIPQNk+ojbb06u3Z2Nraqswu20x1z1fG2MpCLKeQhgSoDnfBJIkwqkO0P2OHSNZ/eo - uI1Q45ynPh8kP1nSu5HXUx7mOeVesuFkcttf/iUd3vAGUyOGc7CuBSssb1DAIn97Lu8xc5s0QhLr - K/Zt7Tz4l3+1/8XPGtbBxARmxPNdT9evEDTviE+7EVBRPF5Ezozqug+gaRoiqiqfM7On08kZ+/f+ - xjt/7af/0//1rnf9QfDcttqdsCHCvHvJVE/OcbeI1HWdl2SxO3sS9WNFURRFURRFURRFURRFUZy+ - VNV7311eYY9yqXEBAMd9m5+/RLOHry5yasSe85eMZDhj7/rGxkbw4Rte9vJ3/e7vfNervnuaWu84 - qp7Mr14+x1MZALRRgmdS+7ff9wPX/P3fX3LxRc10mlJyxCklz84RQ0sDKIqiKIqiKIqiKIqiKIqi - KIoiV6d5pSb/23ZVlLAQ53JbrifkN9mLIzYl5Ohu+vw/wb6qg6tSahHb2sGZqCUjdIHDVMpXiicS - Ug9x2Gpm200bdeQpJlSVFyE2kBpIu3JW8wq0UAU9sDOpGRCJhuFwKKlhwCVo3uBMGeqgMAhMDYKu - zL0ryTMWJQYcOJnCYSo4BG0Hg95s7FMLkIJzyTwAc6Gua0TBrPGD2ucycU7a/f50K6EtiqIois8j - xhhCSCmtrKzk+Odc6X+y6j2LLwwi6vV6s9kMQM5fr6qqbdsv9nIVRVEURVEURVEURVEURVEURVEU - RVEURVEUxclRoruLojiprEskPJYGLYom3vQb//NsxV52iDNMxgCwvTO++Sa3s+lMmSmZEbiC2xiP - e5edi6dcCDM4Cr6aAvc5u+gnfhxLq6jrlBofKgAgWIpUBZej02iRveTdPLf7EWYro+Pvy3/DAMGg - 6G7m5G8Gq4LruhIgRut7Qu3B9dU/89M3vvU/u3Gz3BuQsyZN6rqmdbf8gudsfeSjWwcO1UDlXRWQ - oigQHAuMxZAzdXYlfdquZaR5Dtb8Ho2mPaY4m57hegc+8+mV1V7v6ZejjexC1cwGW5u3vP1tl//E - v0dUjIYgBT320guFKQiC/KaPL5WyY8UhChDgmMEMh7ZXTTY2B/2eW9uDzSOjc87cObJVRwmQh67w - Ll4xh0vlKHQC4AA1iAKQHtOhQ4cHR7f4rD2xmRH7PTz6zC++7dKfeaP3bDpPIJzHn2P3EuKRPt+i - OD24eSipEJRIybrwRcBAreMcuOgMTkHGZrAYnZdhRUFN4nQmMBeS2Mry6uHouW0ues2rrv+p23uz - GSU55W0/dw3epeAbppnRDJbYJ/JSDazu8/LSYN/e9QvPP+ui8y866xycdxHqHoKDcyCDc6g8qmo7 - Nck7IRZHQrQI+VNVBjlTDwSRoGmQUp3iunOIEW2L6QRHN/DA/YfvuS89cGDjxtsO3n//dHOrx1jy - fdcm3zY9g4exGZnlGDsA6DotgjEMoG61707py3eSAWDA2GAki05w/jzk1M1LSTV/cos+xwHOe5iI - mDAGPfQS0mTWm8z2aPqnX3r76NqPPev7X7N03nkPGpbW1iZtKrWoTxRBsU7V0Q9+2A7cv2IpGkyh - jOByC1ElZoMey8tdIAKMhK2L4nPONVE8sKz+lj//X89+9rPJ01bJ7X5C8aorNa00U/fAfdf/6m8M - //5j+x98cAmoGJNpHPXYWpup1cYUyJIwd7mMAEAgYz4+mpEf2hcYdDrs9WfNdNxqr66OqmylqOtr - zd61y7/5G/nlX4Uz9m64euxrczWM1VrvPTknqslgIDNLbQpMBGdkCoOpMci6gdxu/LAGeNxsxaQA - mJnIq2qMUUwceQcnaj7URJREptqaGTx2yB3xo6qNq0996sWXX37xHXcc+sM/+vRfvX9pY3slpgFQ - uwDVJqWaXfC1pmbXCzMsj+8YBjYRmueqQvOSck6Fnqcv5374WO9NZgTLPbVaEMjO6RXdrYvaaTu2 - i1qscEltcL4K3jVtEKnBw5T4rvs+9o5f7f39dRdsT/txXDGUQX00LQz58AgeDgpRI5uvnIe8a1oc - igkA6xKsNTFH3296fpPd6KpnXPX9r8al5903quPKamoQ1NtMKIH7flgF54zIdmZjYTB551xVBTNL - KcUYvQ+A5fci+Z0RlNgAI6JjU0YzATAsyupCCB6sqiYiEomEDN55DnUkHqck3vl+z0scvvjLn/OC - F+Cj/3TXO3/z5htvOmuw5JoppeTmYdZdqDC6La7rj/Ou3zgHi8N4MRLIAedGCkAS+jUj6kBRbW2G - Vm/85Xecc9vXr3zXd12xvnT/1sytDGRYHZ1N9i2tjDd3XKixCMrmUxh3/RCUl9/yWs2HZ3AGA8MW - fYsq5RBr9YwEimkmIO9YdJoaEsLM+8NbO+v/8ts3/vEf16cT3dkgD+fsVO+RvMdh0fuH4Zmv/m5c - +pT7ekPRYEwziSKpYvOeHaDaCtg5R2aaj5UJiVhZKzl2kGrz5GsCWJXo4T3qF9NiYdhAgBI4h68b - A2BSAApmgjA0R907r2T5HIAjFSRxCnOTraOVaj3sbbVxWvt9X/uSwR9fs3X9LQNLlcpjXB4jZSNo - 4witQckdSnpwz+rT//WrcNUVd7HnVuv+sqbZeDwmZ8amRgbOGd271y3ZPL27a3V52+8O79m64/4u - j9jAeRuZzpxRPpp/fJuMmlruPonVe2EnzA1hLAmhah03JuJcNRj0hgPrDar1vTzo9wej0AveVwjk - XeUqNqXcLYA0n5cgYyM18OL+z/uTmyn+7v2z226HmRmSgJwZQMx2kkqFTZwb8Nnf9k3tcMmliqIz - V4mjaVCGDqKwIToo4NQxQGaPuLT5/RJcvkctqYCkbcfbaNs4nm4e2dg5dDhub3ObaoKTyLENEvuE - HiiIsYIAe6xt7XNhAyVFE0mNHIsBZvy4otxPT2wQQnIgU2fUT4r7Dx35h4/tb5N3HqwqSRVMsOO3 - qcVgoDsHOJ+/yBmM5ptM26ywv/uGG/bfc8/a0hXbisjU5qFT93DuHrj7xGJRPPFVVdU0jXPOOZdS - UlWe7/6Gw+F0OvXev/Wtb7344ovf/OZfyPfnWRvyDA5mdtJztRe9FjObWYzRzEIIJ/EliqIoiqIo - iqIoiqIoiqIoHs7MnHP5UoFjiEoiwuPT6/XG43Fd16pa17WIbG9v9/t9NTTT2de+9KXv+YN3f/t3 - /u/jtvmCpU4MR6Px9o5zLiVhxriZff8P/MC7fu9319f2kAhUEY1gzjkRAdMj5JEXRVEURVEURVEU - RVEURVEURfElhTgyGVjBBrF5ZYoDvJHABNyQO6DpTplOhQfecS7NtcfwE5asrU19bGvEdatGTD6R - V7hcxUtgg5GKMeYT0hTF6YpBgHgsrbaup76ezGYCqVxlxLleElDNZfXmFQymqvKSZmKSTHhQ37Z9 - tFnbo802EfKEVgRd1MYDysQKlkVtGPKmQYAnkPdoRGfAdujf7mxvb1hLTcZGUNJc9Dzx7gAYdY2q - b1HIMYA0a3xdlXqxoiiK4oko113mn1VVxRhLaPcTkZlNp1MAo9FoPB5jV5ltURRFURRFURRFURRF - URRFURRFURRFURRFUTwJlOjuoihOEtIuK+ghomKs7rb762biNCHOMBhga7J13SfikQfXaz+dwcGF - yjfNzFe1sa09+yqQYjhKG9PQqvb8RW98I5YqiCCZ97UB47atqqqqvTQTVwUiFeIEMvh5Enf+X90i - sO3hwdLEuaKCAUAVzFAyBXLoEcM4hwcapKoMMDQzgLG0/LQ3vqn5lV+f3X47t6nXG4jsOHZYHy0/ - 75njj98QHzgYklBdOUgCkrMoVtMi9SqXUnRxa2zHsqzI4OYpsaTaC66NQgTCbD1UBz7y8bOHK3jK - eZyo56yOMz7cfPpn33LZf3oTEsPz46i+yOEnfp7VLYCjY7+gHI4HToCEuu7bNCAsDdLWYU8Re1YH - 558/vuVuiqoqbKB5cVcutFJCYuRgdQK8gg0mcA7/P3tvHm/JddX3/tZae1fVOXfsbvWglqzWaEu2 - JBsjg7GDkW0Mgcf44DmOgdjJS+z3yDNxbH+cAH6PBB6DCZg4mMFmSMABQhJeIAkY8IBHbLDBwhOy - Jbc1t9RSD3c495yq2nut98euc+65Y3fL3XZL2t/P+Zx7bp06a+/ataeq2mv9QidfFJxyfc8D5b49 - vleYqQ7ruWoWbQxt65xT26AoqWNvlUzmYkYMEhngwKyESAooG0QBk8hohRUoIienKgOKnm/bGqMh - s0LgCnbkwW4YMGoaJh5W/d5N18sznjZ630d52BgaXEC5RVYj827g+STbcaHRntneVVfOXHnF3muu - mT18+cKTjmDPPrBr1BoSeCFWI41mCmrJAhBgkVopq0AGIyOYUYQl0XLxBdQUqM0aFqLSwRimbeOq - yoM9wV9xFW68eb4JFFrnPJZO1Xffe+Iztx//m08M7zg6c3ppXwgLMbrRSDQalJJcbtevStJRhvF6 - QRFsSsU29fxJXrRTQFsXQE3DCHeBODuPU/BYH5RIYtCkDto2EAI5iEcpWD514saZ6th73v/Xn/vC - TS/9e5e96NbBykpwRS1jL7zMxY2PilOD2/7kXdewQZQUqjDAi2iMAIzQcieqJwbuqgdRGt4Nlj4B - pgTAFb6v+oWP/DXuvMffcFNNel5FxDIXllLb2VMn5e77Pv7GX9xz/7HyoYcXnDiNGjDbp7U1FaBX - lGqqZp5dDGFyesnApDDItFjj2BM+EiIDUARUYcCGQqgte8faGG648rpv/eb+1z8fi7MnCrfCAlcw - OwoGRCM0Fq3VEKOxOF+yiIaoqiBEtWBRiUl8EpKlqIJmMtXcqnQ7LSYNQ2iVhJmcSw7ERiBSokDW - UATU2FjICzOgSk7KJrZrM3vW4nB0tV7+w6+95CXfddfv/t6p9/1lff/xxVZnvavU1ExVG0NhPHW1 - EJNKdyoJGk/a2aCkxtoSAGZjgo5VvSHK6CaElEJUMxNDfYxhaXCxzRKTlm0KSTA9bBMRgxhAE6iN - M76gUYvP333bj/2/T3rk4bB00otnmCkJUb2mhYcZiCAEmCXNbgalwMwEnZ4ws8EluVzAuCtlMTTM - 90sxOvKkm//Bd8tznlnPzw5mZttAYWBEJXvPM1CNIK01jKyGYaY3xzFqRAymEcwiEJGUniZFcADd - QGogTLTV1zMDwDOrqoUGQIQyMwmR48gSo3KExahqxhAWEalj6/bvuX/11N6ve/oVt7xx5X+883P/ - 5Q8XHnrkQFyZ1bYrw+lyZiiNLzoMIOVUT2ys6U2qBFA31keCRB0pqqICURwtzT2y+sgf//GJ+5ev - /uevvmx/cXRpVRdnVr15anm2DBGG1GYhBtlBM/38QsZsTMrGql0ggO6y0eCQQrKnU2BKgIJGGtm5 - UazJSdXv1cM61s3M3GytsZ2fVR5c8R3fuPwLvyNY1UaF1fTCNhiNqOd6+Mob8YLnLKNw/T2PLA36 - CzMitYSG4whEMaANUD9T9Hs6bGBgaARaAYNFIaZe0TJalnSF7hRlVECVES+mIVVMARghouuzfARb - d+GfZqGBENONCHNkxApnkRDIIiQQMQNV6XpFWcempla9x+L84Rd83efvuf/Q8rBozjYzSoDHWmh6 - DChWwQ/2q4X/7RvwrV/3MLGv5trIkcBOyIL30jQjUEWpX5q6q9NNp1MnRqkhqBFgnCZCYppmQjpu - EWZGalgbicGS4jrYjAjnqgVtIqwsNWEZWBYdlsWgVx146g3F4Uv3X3VV//LD/uABLCyiVwGE0EIE - rkgdrlE0JeOkea/d+1jiOo0+Zy/djeVl3PFZufueGCMLx9gdi6bZ3heNQYKVw7lFfNv/Ulx+ALFv - jTCXUjijFlBpFYATAMxRAICjbZdbmhwjG4wJkSJgNiPOgkLjohMQsLI8euDetWMPHfvkJ0b33tt8 - 4d7q9Om9dVzU2NMgtvXW3qM9NFU0TYyRiFKfwyyTAnzsYt39PYYhkhpDjL0C7/3Q7AMPF0yRncS6 - MOj4RtkU6TYZjBCpmzGmW4VA15AIbIYytrJy6q73vf/KI1c35eyIuGUFsbMAdIMRGya3yjKZxweq - KRRDZOayLIlILQCIRmtrazMzM03TrA6WX/by77v66qtf//p/sbK61jQh/XCi2H1+owA0TcPMKVtl - WXrvc5SBTCaTyWQymUwmk8lkMplMJvMlwMycGz8aznclz5qt+tbpOfuwHrnCN6HtV73hcFg4vzi/ - MBwOnfMEDFZWn/1VX/Xbv/X2l77s+5q2HbXnvjJzyzKCXZ4kGlBW1WB1lYSjRhHSaAR87LaPv+1t - b/uHL3v55YcuVQ3OubQyhoke8w/YMplMJpPJZDKZTCaTyWQymUwmk8l8kRAgCMyBOYKYu0dIBDgi - MjZoAK14+sKo/jhwUrVuwtmbj4ACvsUcsB+4Sesj5cw8UJhJbAgwg3bOcWjHOcoOLZmLkwgEQlmV - GNXN4r5jp09UvigWesvLq8VMBYBgRjp2UGUyInZNPeyVXgMMxIXwoYN3nDw5AAIBkO43RjaOYGKm - 3VM8AiJABoWAGdSYxgDM+uVh+8l28IH7Hu48MJP3H0VYgCmI4R18OQrwpccAAnO9mbEv5Jer/DKZ - TCaTefQwMxGZWdN0kRHKsqzr+subq8w54ZyLMZrZ6uoqgKIomqZxzoVwDtcXmUwmk8lkMplMJpPJ - ZDKZTCaTyWQymUwmk8lkMpnMRUuW7s5kLiImMbto40baugd2COu18w6bjKd/Oxk/2rJllyR2Iq37 - J8BANM52DGjb29/4M1dAREHsIB6DQfPJT40eODZLsDb0xDcxUEAEBqp7brwRUsD3BktL/dm9sOp3 - 3/Unr6z+tfmKfJnErs1Q+IKBaCplAYsADDpWX4UmwdXuCCZirtqpZo89gBS6JZwZY6zMOvm/1cCM - NjYigrLqvJp6Un7vy371m77pm5//nD6CZ5phQa/EoYMzT5el+PG14494tS5+GxOiRQZZJ9Sd8rFt - PD0aSzwaQBpTYaoh1s3hXv/kX3x07+Ic5mbgQUIL5GPTfuDHfvJrf+QNEBkbnmjUdQra40PZeH53 - OMm2LnwOXq85PLSmZLn+Vf/XiZ9846J38D6sLLnFBen10LY0aogMACXBvORsQkgipiCFgc2Sy4ln - RAYLmrapiv7qffeXT7kGRU9hBZNvRqDoGAhg1+XeOt8tBrKHSeYxgCh3Mm5GMCaATdlg1Kl4MkBT - gmh12zBQ+sJ0FFJvY9KEIbiKpgRqfYF5LDzz5vpjn2mGrduq/3X+CExDKUYLc3rtkcufftM1N12P - I4exdy9m+0Pn1lxxP3MLaeGM2IgdtLAaMVonoCrGomaqSVY1yRoTp76XCEDQtJmNzUyMDSZGStJL - eTAzNsB5LokFdQy9xX7/wMEDN910+H/9TiwP8IlPDT7+8aMf+UixuuqHg56GfoxezYcohrE0II/f - 1agTTcT02GdTWzYUaBpQxrqn492TzroSBBQRHcRLQRrAxmQhom0xL9DBqHTFqbse+OS/++V9t//t - ke95ce/QoRNV2QoHEoCVxm51nXGeShed8F7m/JHObeQII8CxMZmC1EiBdX3KNGeYaQM+fltx9K4F - x8NB7DkHkrqtCZEnY+q4trBhHB23q+pJqpOT9rCGyhdNMyrhL131p/70A3uecr3AGZx1o3UnQhxZ - gU6WVdNIZ8xQgo5nL5kLRDc74k52XSOxEhjqo/VD2Ls2wGdu//Cbfn7/nccP1G1PCgsjZhihWTMv - YCCEOioY5Eg8uyQsl/qZybxrOi4wjXue9N4rMagRZvwJdif65XXf9E2L3/EdOHzJUq9Yrso15gDy - xByJTb0QiGGRmAuRCNIQFUpEAmLiSAojZjKHaKqhLQAyA4hTl0dGOp6fkRmIYTpWoDeCZx/NNGgS - NSVmAEGVhKMFY/LMMBs1NcMcF6M6+Kp/KjYjM7d//+djM3fksitf9U/xlc++9/f++923ffKgUmXE - oRWwZ6dmwETO3AB0LTGNjJ1CtzLQSsrTWI0ydZcGRVJdNYOZJT9lEkMRVNcGYz3p1DwnvxsXfmqn - 4x2+BKSxnk0JY7VnMIAYI5E4lkLDfIjV2srDH/nIx3/t1284etfetRF5aULDICaGwgEWodpN9MnS - 2RFiZxonh5guLmRcUOnSTAmBUQuvOn+imjnyzd+++O3fgmsPLfXluBpYqqIoAitR07aqKo4FiBZU - qCp7w7W2IC/S5TlGIyLmdInAkyvVySja9ZNd0fNEbVpjKyBiIiIzikmTkWAgU3PGDkaOHCSa1m1L - zp9qa5qfGzTDPeL2f++Lv/Kmr3rwv/7BXe979/7RYK5pqxgYcdKadPrMdsN6qicdNh52E54RAyrx - dTMykblCSOPSsQeXhx/92x/51ze8+h9f/dQjR5dXF/YuDAYjx96xwMZKq0BkdWNjSZd9E9NavuNv - eXxRdu50md9mLCCDUddqDAxBIOv3yqZtl1aWK1/M9nujwQqcX1Xt7Vvc89xn3/22/3xpb2E0fKS6 - wKOLgaPII9Euf8HzcHDf8pCbUdtfmBm1I27WPEeOEQzvK3i3phgMRz3isfS7TuZvqZtgAxlb17KN - DQTWR12kF56Us4my+Ljb10icxHpBkJjGIGOwkQGaxL+V4+nlk75f+bLUUK+2YfYbv/7u3/6dRaH5 - c8lDG40Y0RCFl3whT77uyPd+zwPQWPXaaAZErYmNYM1wVBRFUAK4G7nGs6OxlnBXgZWwsTJ3H9Jc - WlNFVYMpmlpiS7aLigCP59jbnEcjmNGAZMXJqcLVl+zb97SnXfecZ+PGG1FV6PdR+VWRk9413rfs - omrJRBrNLMLGBU5gglpSWV5P+FyKMXGVNqOqGIXgIpzvNp7fyzFH7tQoXNmfvXdmlmVOo7joag2h - 9ACKqGQIzADEGIBOprIbmajJEtFEwhbGwUAQImIorHVz/fLQ3pmgT3vhrVgb4IHj9olP3/vnf3HH - p253q4MDzs0M16qQNLzXz3K6pAK6+1qYmuF0M5/x/bQ0syBj1oC2VlWCkNVEZOxUxzfvHqtwuuto - AEjFmFSrENHUn3vXnywOB5UrTTWGWAgh7tgGJqPY5N8JChVXIDRzMd7x7g9e+c3fUR1ePGUtiIE0 - DQB104ywVX8ik3lMo6r9fmVmo9FIhEQkxCAiZuj1eoPBoNfrxWjOuRe88NZf+ZW3vfKV37+0vJzU - u1NABwATpe3zmKsUVqBt2+mEMplMJpPJZDKZTCaTyWQymUzmwkFEImd+qJJvVp4lzJyk0FdXV+fm - 5kZrw6ZpiqJQVTWdn5ldHa694Nbn//ZvvP3v/f2XCBAvcNnWw5Evi7ZpWERjNCACArzxZ990yy23 - 7F1cFOKS2UIMMXrvo128z8czmUwmk8lkMplMJpPJZDKZTCaTyXyJSP6q2rmxp2AKBhixmhlIxQXn - B8Ax4CQQgTjtrbfre+fyRliNUOA6V7AUqINpSDskz81ImAgey9iTmpKPJykw/eKJC8xUsIZM5ktE - ADyD+/1f/PjHERpYBAhMY9F57eouJbdJhlknwW0KKBQQf8W+faPOHk3CjtjEqRlTAbyIACPiaJZ8 - +F1VhnoIxpIClQP59cyRwhQaQQBLhCPB2kjnKoaRNoHLHFwik8lkMo9JmNnMRCTGiBRtjDnrdj/m - SBLdyamWiNq2JaKs253JZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTedyQpbszmYuFFHgriYWO - w62pJQVHUyZGBKlBCICGmgtvYFUwIwZlZiZo27L3iBEiMESNIhIVSTbN0oL/Fs532jsChVkSUo1J - ktrWNdiAc/F+IagxEQgBpkaFGDBYQdPs0boY1g4V1lZROTzwwMOf+eQhXwRFADmyQpyhjYKwOD9z - 9ZNRzkXzvpDTLHeV8sqPfBBJtJs6dXAGklMCJaEyYgAylVleV2bijXnk6Y28RbSpk6ymdelrAkp2 - gEKqqZ8SnMPivn/8gQ8hnv70m994YKVxo6ZQT1Li0sMLz5u79x3vKDQ6Reno9EgXe71hW4PgIwQ6 - kYlVAhmPrep6NpLKnXYHrQZP0OGo8HLqo3+557lfAzIIA9KDHnGCtoFVLcCIpFGsAhA0uW8oOq8q - dqkSQMeOTvCdmG73xpNCEO7+jgtnlipYDS/LbAu9EsMVJwVC3Hv9Ux7+0IdnAc8Uo0kBayCkSaGc - DTqWoU2iXJ7ZgpKhaVF6P2rWyoHivvtQXStzfbRNFVdve/Mbn/Ga18GVMJYiNQ2bSB0bqUJlmxOY - 2Yh1YurMuaC+pBgQRNf1IzVpmI3FvNHJPTJgY6k6BhtRY0pUOMAMgdiEQUZBCxGIOxXaJ91660d/ - /Xcu8TYT4S5YMMZa+HjfX/uqV+D5t2KmqoUbJhUZEZSK1B4BcjCFwSKg0RhcAgAIBlMARDQlT556 - nnV9v4nmnxIxJZ1C6Abxy04N1KKCmVvFwJcte69a9meKA8+bufW5N4V/gjs/f8+HP3L/X3xM7r7/ - wCjstRbtqncwhaomL1GDBKjCQNCIguEE1qIxGJMISdSxTuEk+bgxI+lLbgUAOKoHA2YxMGlyIHUA - ESyCibzGxWZYLI2aP/zjT/zFR25+zffvv+UrVmfn1nwVIjdBy7I00tjJ4xJxGn6jWQsyRlbvPm8Q - IMpGoeVGiSUUMM+qRG3LDTkJbWB2DsSmlS/KwcMP/sHv37CyyoNBr5DYBEPoO2lDp7/JpKydViuN - VeEBpI2BGKROIYATrLVNXwSR9i/FB9/74T0v/66+n2tlIRRFHZqqLHnNjGBOlAJrC8BQmklqYYUO - CRY4q3efT6Zkm1khbPBKgohQo5Ah4MuiHg1m6uHetRHe/Z6/fvMvXr06nA1aQC0aAaogwFHXjRHA - yXHcVKGRAYMYj31wu0pCSebd4NhFDURI07e25bro3z3T7z37mbfvAgC+AAAgAElEQVS8+LvoaTcM - xNdlOTIzRQEVYjMDyERCkt8Gw8zMCBDiruciqMXOR9hArQrBgdlgpDBWaNf3cvIonhLHBSedYxis - 891PpdU5DgtBLQoMaikUsGcPUjNQgcZqYq2IuVExGvXmjntd+Ppbn3TLM0/+j3cd/f0/3HPPsf1x - hLjaL5xRXK0xS0JShtAwBA6ttilNUSVLEzxlgxLEwNbJPyc4SSczq0YDnKQNuljyAycfBkJA8FIg - qAVzzkVCsJDESlM7tbGwNE33+udcfzp2uVpi47YJc3Nzo8EysfqqHDV1NBXnENgsqqJsYzUY2Tv/ - 5J5ffesNS0uX1CoA2lhQOs0xlYzZ+AInKXMDgJkGcq4NjRNEQ2vwhVhwFrVB6wHv0LagoneqN3vn - JXte9Np/jmc8fVD5Nc8jgxNRRbCY6iZxmv5rNGVyJdTq6JkM2gWA5m5k1vEQyem/LfLVU58VnbAr - dc3FAJAREUiS+igJpWtVC8mkENS0gLNGCb26KB+OmL3x6kPX/MDc37nlI29923XHT+5fWZIYixJt - ctMzYSFysW2sABgIhkLIYpycJp4e6A0MWGw9WLWbuCxSqE7fu/qZU3f8+IPXvfbVVz/jKfecXrWq - 36S62YSSPDPX2lRVGQZLVVWNFAbW7iJufWY2VlFlpCZn61cxu1WvjRjpxG+/+zz+d10Zd1zOXZEb - k6qNoiNxUkIRY1uwI3GDpj3Z0sKhwwee/fRj7/qzg2VF9eiCRrqITAMVm9+397nPWwbF0ikFxOit - deRFReChWkMjmYBEWE25O0otYldrOq1og1NN19BGCDL56iKiG6wNjG6izjbuZLp+Gs5gBNedMiWw - kWqa9WkXd78ObTkzazFaYxWc9x6z7fyznl6/7z0YIgC+8KFpHQmI0oCyLR4Uo8EQ54sHXfms7/zu - 2FvU/mxjRGxiSqawAJiTnkaAptruuK5OV1lLV/frV+VBAQJLqqnEgWGABwkLVk5VoSnIgWJQFfaW - QrKM67CBIjFISeEcYkhdkNN0RMJNKJakf/zQnkte8LU3fNe34dKDy03w/VlVDYxG0Ao3zIENZkQU - VQmU7m5N31FhI93Ybz8aiGvnau8qAgXzAJtN6yWns7+tDPlZmYeZDbxzsMKsFwMTcUOBCiYzAJEB - 41TycdKTbHe9sJ6pDbrpRgQgpMGUjZUw4rL1tsyhV5Tl7Hx1zVVXfMs3X3Hs+Kff/8G/+tN3XffQ - w3tPntrrOTZ1a+hXHEealOABFKapMkRDilDiADIwDDS+EDYWg0eD0WmRI63Gkl2IraqCZPOl32MK - pe4WbuRIQNnQTMRiWB1+9APh2D17oGiDKBhiMaYWSrbJwNRUa/O3AJhBCAqgHDaXHDuJD320/Jb9 - fnFGgkEYTEYsJiGGxmLpC9tZIDyTeQyibVsDcI4BqCqTMwUD2obSeW2DZ0IMBtz89Bt///d/7xWv - eOVnP/s5Ig5BnVCMZqrTwR3Get6wDX3juZHCCkwUwZ1zk3ADzjlVnb772rat934i7007jdaZTCaT - yWQymUwmk8lkMplMJrMzDFhURnq4Ng4Ub7bxidCYR7EA4jHOlicsZ94/toGAoijquiZhEY6mxMRG - w7VBVRbNYHDrc577O//+N178fd9n4+WDKQotxosm0kPgbRLfsumMGWzrBgRNCxsIZghAz7uXvfwf - 3fZXH9uzsBBNnXexrbVb4L/b0W1OPd+ZzmQymUwmk8lkMplMJpPJZDKZTObxh2kRVdq2hJmhKuRk - E33BCm5bjWZNDAW4cmUMNUrEeoPG8O7vZIA5QFeh80DPSr8aZqSIaGtPBJtpIYACEZRcq4ht1GJv - QaPGlNAiGgdQgLUwicRdUKMuztH4PZO58DBQJff/guEqWDnlKznx/tBxhdwcEWWy5wkgpO0Wx89k - dbLHhgeHlv6LEZyeM1rdAujiC7BgAwwCxn4oDDigqLhzIRcH5MaSyWQymYsaM0tekzFGZk6fzSz5 - VMZxlA8zm3zelsf+cPd4DgYVgxJ4Mtuhx8HpurBcsFCAmcx2PMGWi2bONxe6Rz/XCppHmMcW03f5 - zviOcz+/uYM7r+wU6sR2Dbwymd5v+rwV5hQe8wwGzyKfKVdn3mf33c6XHe4iPG6/z9mXz+7fbt35 - 0RXj2adCtH6Lcnr/ST3ZFCRn9+PaaufR8agPfEKqh5MzckZrO7WLrUe9y1neJaFzOu+7Z/TRx0ja - ZCdxXqxtNbsju90N2MbOGbO3KcVN+z+6w9xayOfPTlcTdIdLxbMJNj59yGfqt3epcmfZZmmc50lw - LWbWnfI/ZXm66zgPNf+LszP5bTqcnfN/VpfwW7vHM+65deezMzJdH9bzxtw9kZr4tY33zLcgMplM - JpPJZDKZTCaTyWTOD4/ndT+ZzGORTrfbOhW0hBKvtS0E8ASNQGTn0Eksq0ZLut0wsHgYwIKIpFFh - ZsSmphg/xXYOAKJGSsv+k8zq2Knmi1++EJLKEIB2hDZ87mffNNM2zgwawIITp0999o5FYR3VDBJx - wUKMrRkiY/byyzAzCypCFFTVKcFX/PDrUQrYU1LrHmeP1j8meT8msIBlouE9OZD1XTfodk++mX6t - G6RNaTGNE+osCNADZjzmek97zQ8cE9fMLwQuIQVEMDPzpK/56hNOQlGMalucm10dDjcVFNv6e8e2 - wksbpQGdqp48NbjtNlQlVleAyDHy6dOf+XdvQdsWUAcIi64NAYhHq2pdIrquI7VeILx+oDRdwOsl - MikcMUM0lPJ7H/qzlRiaNqIoQR579tj8DMRpNGG0Dbwfa5EbyCAKMZVxlVZVgFz35FaFIaORHjuG - ohfXhghNRTq7vISmQTuEgAwyJc0+ljo+v8/9MpnzTEzalFA2FetkyWy8IkgU0slYq5FO4iQqsRHB - HBkr2MBmkdgQNQAj79Hr9Q8dCIXEC7nULDAG3qEqbW7mrvnZB2b7D/WqU2Vv4Hq1FIGKdS80UzZl - pH5GLEmsgmHj147o1vedxNuUYKSR0QhWC14qi+P93j1zM3ctzh4/sPfU0596xf/+fbe86ae+4ode - 7/7OV991cP8Dey+5r5DjDC08F2VEBMw5bhUW4QiICA1gKB2JUNuqAkYb1msZjV/TxzHRySNNpw/Q - 1NGlVyelaSamZWwXm+bQ6eXLHzrx5z/z5qU/eufsqaWZpeWKUFRlMK2b0MaYJH+jBg2h80xIqWbO - H5OR1ACbnEVjJhIyITKz1jTUtZxexsOPtJ+9fWawWqETWU2Cem7KWhraNsc8JQUQGdPNswLMoovW - RyxOLJ9873svCY2zYEwAmjpI0o6PNv0oXQk67faZOa+wJdl1ADAyJYukkeB8maQm25XlQzEcCO39 - v/FbH33LW48sDw6s1f3QisU0B5kIgm56TU6WUqfpCEwpMxIahfNONToSA49aDEo5Njt/78H91738 - e6//4X8xesZNdzp+ZLb3CCiwkDEZxNIUTtm2DayrW19sygbRiVZxiuTMtsP7ZJ/t2GBz8ko/UeLI - ZhQJ0al6VYAa9ktFeV+vOrYwt/d7X3LLG15PX/MVx/bNxsW9K00YNqgEAEIYOTiA19q2W6mzIcWu - ofF68XZQaiJjnfJOhw/g0IThAGZEnaMXmyCSJdVrjE/buLOnqfcLBBl6VbU2GFT9fq1hdW2VvZSl - b+sGZou+t7A6WlhaXf69//aXv/jLR06eumxNq7DeyWwytSXOsgLahoYAIjgH51DXcRRrIupXPRAP - Awbe3+m8PvPmF/3izzdfcdND873j/XLV+0AexryuJz39AgA2Ho/L29SxLTtvWwAbjsGmXpN5wiQV - W/9JV9vZIMqs0rJf9f7BfvnQQtl7/nNe+NM/MXr6DffO908T2hZFvw+AQHUMzcicwAmY4aY8+qbL - cGt1EtM0MStU+20zv3yyf+fRT/3oT+CTd1zRwK2seLbQtIW4nisKEgbVdd2bmRnW9cQO2/SFIrB5 - XsFbPpwVRmmiqJsKcLxxw1nAurQ8iTJMyLqVshaMpYhcjJgXb7h2pZKRhgs91YjEoar2XH01qt5Q - vBaehITVkYoym4MJTGDdAEs23YkhnZfJgQNprqlsCmikyWT7ImW9q9yyMdU3Tn07BaSu3ZiMRR2M - yZWtwYiIhOFHhrpyc9ceqdfrVXqjDYsyadw/dtUAUBKCc1gNjR7aj+ufXPdmh5E6kWlThjIiSJVY - 4bYtzw0td8Oh6fr8iiaS0tC0gtQMTe1UYWaa4tfHaaMG1q43Z1cVgwAI1GBmkXnN8cOuuHu2X33d - c5/xL19/+Sv+0cnLDh3t904ePPhA1TvR6y0XfuhdLRyYjNZv3kznc5Jb7NhHnRMcmCMxjGVibZxw - Gpy/OC15JURAAYY5wCk4XQR1d3MmMvZgJUR+FPNDncojAxzJDZ1fKYuTverBfu+esnh4phpcc+Tq - v//ib/03P7X3W//uPfsXjvWqQdUDQYNGwPlxr2Lj3ofB3R3JVCTTGSMAoi2aEdCNz+eqoHDREttQ - 9qpoSkTUxnlFcWrlzne9e7ZtxdSrjm9hcbqo3Mq2o9L6txCDsaAHzC6vfeGd72OLVWgEqhpjG2Lb - Iqpncc4FPbt16pnM4xE2vezyS9/+9t98/vNvNVPvJUYTFibeNBVk5i9Gt3srMcaiKAA458b2DUAI - wcy89wCIqGmaJO993hLOZDKZTCaTyWQymUwmk8lkMpmt5KB1XwTMLCLMLMSF85Xzz77lWT//Mz/r - mYVYiEMIVVUBSE9xL2BWCABGbRjUo5/4qTcGVSMEjcE0mJ69FPf5eDyayWQymUwmk8lkMplMJpPJ - ZDKZTObiJBojauvEecfDJvYcKGjbtM6x986XLjZtDC2Apuk8rc76nV3y6BXUAFmc8Z5iEFCE6dhf - mhRmloQZVVF5DBsrvbiybCIo6ROM/avRuUPm51mZLzWUIncBEIZ3KDyKcvzy41cJn16+e23YrUTh - 15LASIoh0PnAbva43IRB06sLbNHtt9Wjl0EM6oI+rYfJ2hj9KpPJZDKZi5OJ16SIJBmkEELyuMxk - MplMJpPJZDJfXoiImSd6xluhLUx+mERPJzFRd9p/Iok6vfNkOzNPm518u1OGzyYaTNpn992mdyDa - /NquoLZ/qUJ1W1Pbl8/O+dnw7U7FvssO2+62aU+ctUr0dB2YtrNp41ke17Ra9uSkn002tlqb1FgR - EZGdCmcnC6keTnKSjPCY6bLavV2o6qRKTwzuVBpned4nie6S/x3P+3QSRMRMzDvW2m0retqyqfGc - 0dQOsEj3q/Fx7vaajje40ewGIzi7tr1dijvm5xxhkWSqs/Yo7Iyr14YjNTNVUz3Xck452ZyZM+Vn - U4Xc1Htsbfs7GUmtYLJld91ubGkdu4wXZ9+/bT2cs4GZiQyAWap7amaqKd7XNqHvd7LjnEu90PQB - nk1+NhXyxM62fey2jIukc1tLxaMKEQIQQph0ksw5WH0mk8lkMplMJpPJZDKZzHnDnXmXTCbzJYEw - 1krq9FwBBhEnUW3xvgHqtul7L2NhoLYZ+qKAEMAwjk0Q70BAABw0QLUF1HsxMoJSICJJa/Q9CwGx - VSl9yoABAQBB0i52jqv5DRwBNpEiQus4KAzH/9N/nj296gE41rZhT+FvP0sPPyIaQWAzJmqSPJHB - V6W/7HI4BiRoGEBWKgchCCME+ItsaSYhEkiUuQQv3vxDb/jMz/3ck1z0gwGqCs7hqisPEh5674cv - IWlWVmcFQRXGbOuyPTL2ZFovaeuMd4kkWS3rJLiSNG6/xemj989cfjcOH4zaoOf3Ubl2egl1Axh8 - ASKuyiSaxMwKMOAnKkoEME9pGJ4dBjKCGcRe9yd/eOzHfnpPMQMzqGLPYv/wpaOVlYoEiBHw4mLb - Cm0vy5SeZjAzYKqaHiScOn5838OPyOWXYzjUur1sdv5D/+rHnvvTbzIBJZ1VAkBGiJ3bSfY1yTwO - SR1+8j9kS9J3JEwhqAfUCGVx+Mojw6NHzz4U4+MCNYqgFsaiTF0vxpHw0HBt78JsjIFY973gqw6+ - 6NkH7zz64F/cducf/dmeE0v2yMn5eq0igNQMswVMwSzWUmuRAA7mxNhBDdFABB6L1ypglGR8IQam - TlbNaycouxOTwZPGJ7RaGx6+u7nrl//jgTvuu/Sf/EPMNo9YIKnAjlSdA0MttKzGKpGc7uasl3k0 - JNFTmAMxyIyDGjOA1hwJEw/bgF5RabF3sHL/H7+rPnmaAe8ENnYH3nndQDdSp1pBYEuuxwpAI7hA - 00DYvIqMhkff88FbXvT14kIbGydijbKwwhCDdwxloGv+QDBSIxjlR+PnE0LXzBUAKagNghYk6laH - sd/rkY72R5174KG7//3bl97/kX2PrPRtm7O/Ux/cyboTjDUaxEDGZMyGVrX0aNpQOGpCbEx44ZL7 - rZWvu+XpL38Jrrvu+GgYqvlef3Y4aEpXUAiThR2UpLiNyRB5ewHILxMaGaIoohJUCUYggxgKJSmq - B+rBoVuuvfrq1zz0u//fnb/7BwdddYmobxXeoxnVGJVSznLVhhFPKWl3Mr3K2CwCOpVwap7ULa8h - IEYdrQ0BCMjMAJro5wEwgtrY0fq8skt/TaQahs651VBzvx+1GYyGPfLzZW84rKu1wdwjSw++/Tfv - +m//9UptZ8S31nrabYjZnDTBDL4qwqgJQEFwDswAYjNqIrxWe4/3i5lvf+FVL3uxLhTDvm/PwxF/ - 2WhZVypbuGb/k//Vaz//m/9p9R0fnjt5erh2apbARfQGVdaAoakH+aqIo/rMRqdQAooCIe5pgt17 - 7LYf/JFn/MtXX/m8Zx4dDuZ7e0ejsDJcBjA7P7M6XB1E5V5poRPxJQDdFEKZoFt01r+UnXmavaSR - T5SMYDD2LhACMHvz08JM1SyvEsl4hdkFIRKGhVx+49PguLZoUigMZgpj2tBykg50ukZ94sAGnXTy - ACNJMSsAZg4hkFBgBIOSSiFHnnLdvZSW80JVGQQySz3hupr3+pzECGRGAJiGZnNPvhJXHg5QUgbD - UpwUgxHDEFPv/UXHcOfJuGVoRzVBaYOOczfJtU6U2sTUCINRdIWTAvUoUIixrI4Rnzy47/rv+e75 - F70AB/Y91La2dw8ilpcHe2bn0dbUrcxVApNSp/J+EQ2OFzVsXQ1JqFlr6h2LlAixbkOvX+LIoQOv - eOmBb3vhJ9/8Kydu+/T1vheWTxUVr4xizwHajdHGUKhDHNeczR0dGUgNozoNx+mdbeeFq48R2FB6 - P1wdeFfE0PZmSndqBZ+7e/BXt+9TBIYzFVOkK8r0k3MZ3wEYokIZEOZZ5s/dfvtVn72z/9Tr4txs - TSQGNjaN5CQStSH4vJo28wQmhLDvkj2/8AtvedOb3vTWt/56WUpdR0x53aTOJzkDiMgmSe9HjYiE - ENJt7eR44JxrmmYSXWJ1dXVmZqYsy7Ztk5J3JpPJZDKZTCaTyWQymUwmk8lkLkLqunbOmVnbtgBG - o9HCwsJLX/rS27/whZ/7hbcAIKAejWgsLHHuQWDODSZW0//wH9/+Dd/w9X/3BS+MoZ2fnR8MBrLr - kpf0+C89kNL85DSTyWQymUwmk8lkMplMJpPJZDKZxyWkEB3RELPu1OqIgVKAAAcIENq2AdQDpbaN - zgGnDe25BCwwaMsNGGBIxIgGjUDbtRlml4ItoHsRQQkG0SgWqYSstE3Tajm70AYBPFSgzDIVsqhz - M8v+L5lMJpPJZDKPB5LXpKom3SAAj86J8rEfXuKx7jSfeTxzrovIzrU95kVqmcxjmIttAL7Y8pM5 - I3bW73gCnt8vz/3PFPlk8m+SeU0qxtvuv52AaBcCMgVv3LL/LinTxj1ThDROMqbTpsz0jCqtE6Xk - ndKb3m0nO5uizUxtsU3Hcka/gE35YaZthWzPNT8T9eitO2/LtErrtATstnZ2yc8kYud6ZE47c/53 - yc+0HO2jcLKYKhk9G+32nZhS4z6zuPsupGvbySHZOPzspjO49YTulB+ctSz9TvlZP92PRpO664GT - HUwO7Uymtm3UADRuqPyTarC9uvN0Epuq+pQdZkz0m2M8q+vriTENG+JHTVrJ2Rc4EQi2yc4ZBei3 - sZ+KdcoC0Xoxxrh9hib7TGpLKoqx2jQmW7pEdomG3UmITOXBKP1LABNPpKABhBi2NSIsqjoxMlF8 - sB0GcmHZrNuNqVCYZ40b27EuCuaOKe5OKh+CAWACC5sSiMb16qx0uwHE0JVPNyiOZdZ3qlc7bZ/Y - wdYxdXt0+rhpbJoJTOPOyFJri1mPI5PJZDKZTCaTyWQymUzmPJKluzOZi4ZOt1unPif1bhBQh1g6 - qXxhpoGUNAqTLxxMESJChKuECSHADBCEVpwIABGoAgZidgwDVEGsMYoInCRxUQIcdcnG7vb6ud+L - Ja0Hw2JuRoC5ENDEpc9+9ioR0tbawM7hvgdOfeHoXkMdUJUS6oiUuOdGY2/fHuyZg0W0YeaSPXfV - jzz9Na+FE4gDLjp9CwOYNQIjo5JLmaWnvurVD73xTXNNjKdOyeKikZRPuuqyp66e/NSn97rY1o1L - Mq6sNtbske7+t25+yj71lDntyejUu8lM2rYv7vjffOrAJftloRg1a6Lh8OK+T/7sm276Z6/CrIMX - CINRN21R+KQE1t1s5zglM3ruxywOZR+MtaocRavWapDA8exVVzxyx1EiNG10gti2uzztkLGoCRFM - TYSJba1u4/GHpN9HVfiZmdGJpUv3LKKuyTlQCQKMwEmgC9QJ43F+XpB5vDDtbchAhCU9PqgZk1cd - mTglhSv3XH3lqXdbfEJVfjKQAnHcHzJMI8HA/fk9q9quAG5ubjW2LrTlU64+dN31h77xO+t3v//o - O/7n8Ttv3xdW52OQGkVABBwi4DxKcqRhGCOEx11u17d0/2insqmWhAHRqXefba6JBDCzMtoVTpaW - 1u79w3eePn36hle98sClB1cqblxpbNFioMiiQoAalJjSIJFX5583kgo7mZAyEACODBg8ROtInlkQ - CZVFPHzi7ne951pm7x1UY1QmEFF6Tr3T2Ll9rTDEsTYhE0QwY3jws1/Apz/Xf9ZXnmzbwveUJZqa - WQkWo2hslMa4VAFi5HVrmfPFRK5SSUEBBIAjs8z0C6NqdW1+dfnet/7aQ+941xUmi+xcs/0Sk13s - MxBpXXSajQAUzgdtDYhkseidhjtR9a5/yfdU3/n8lUtmQ6+qZ3qrdRStC1dZCEZQ0sg21gVWgoIm - DuoXC6IgKEHZENgUZAQYt20w53R+7p56Zc9idfDlLzl45Kqjv/Vf7rvj6Hw7nGmCBwoS1TpEFEQ6 - PlBb1/Ql6prdNodsABMZTA0MY4IZmqZBE5xRa1HZyViYmCCG9aVR05KlFxQDnFCtdYwkVTVarffO - L+hao8srR6SSpeW73vJLyx943/UgP2h86eI2a1bPnIQFI7gy6fmGqMAQ0RXuNJVLe/Y89R98D77l - eWsHZ07UK0qtUXFhjvVLQRAsoV3W0f4rDl/zylfgwJNv/7XfXFga9Z2OhnWPAFMhV8AbDK3t0m9v - j3FTBy9emtHBsqpOLf35j/7kc37mR6+7+WnH2lOuqGbn+4PBoKkHReGGphqsSkMAbRA8Tt3+tHq3 - Und9x/Ylar9GqmnpHIHAwZTEIyCK4PLD2LcYj5+y9sIquQfGEtmTbrg2imuJwBJjxHg4VYCJAWVA - H+XV6eMB3qHRKzGII2kgIpGWec8VTzLn04o5VRUwiMxMNq6bn5BkvqMZK4VK9t54HbwN26aYmWsm - kuFJSJu7Ww3uXKonG0/pcuuWTlXr0dr4ZpMSxEwpCYQrdws7ATZTgJlVdTTSYQB7XpnpPbxv/9e8 - 7p/hWTecKKmpZK0sV5aXq3J2z+K+erBaSBcTP03nCEkUfJMifGYbtm1oRVE0MYQYiciIGNaEZgla - HrykqKqbfvxH8T/f+clf+Q97XOFG9aFZF4dBLF0VMaBsTFDT7WsyGwSEtRFv+fqL1In/cqOO3XDQ - zCwuNE0galwYLb3vw3tXGh8RCY4irDtEO0fR7i4BKCd/h6gVbCHGO9/xp9c++ZqV0AbvSiqEuW1b - C9EcSDjX/swTGVVdWlq6ZN+B1772tVdddfUP/uAbiMBMYey6wMxElBS7z+igdfYkg/1+f9rVqigK - MxuNRlVVzc7OhhCS3Mv5SjSTyWQymUwmk8lkMplMJpPJZDLnHSISEWaOMYqIqo5GI9+rXv/a1x09 - evS/v+OPCuEQVQERSTeHzyebFopQWg0jqvEN//ePfPWzvmrfwsLKYLUsy00hgTKZTCaTyWQymUwm - k8lkMplMJpPJPOEgBsspX93rad/+3mDtVL/qFZEpoK0DF14LWYOtRDsBLAE4d28rpi7cUQ08LHw3 - 26EDB04P10YUyNBvmQ21Q8swgkGESwfnFcPhwM/PnYj1ycJDBOJAmzyZJp5oT1hXwkwmk8lkMpnH - D0moO/lOqqpzLm1sL3AIi0wmk8lkMplMJnOW7BLqZFoOdqefnFGfeJd9ttWTHgcb283OGb9Nn7eX - Cp5K/YxbzibdM6prb5LdPfv8bDJCO0SQ22TBzDZleFM5TOzsZG3L+bUk1r5r+dj4ScP6+07lv3V/ - It79dKWDIkpy7yk44e6ndxumfzB9XOean51cRbZWg7Hlc8jPLhVhp3L+YlxXks2U4rna2Smjzjmz - qLp+K+BMedhqGQCY1xXNVZECqZ5TDhMiNK3Xfq7VBmNh9en8TDJ5RrbKOU/sjK2dwdC2PYyZMaeu - ktaF25P9FJ7eNr8nNfZOB3ys2D2tB6GmZ1PAUTfUkw0a3tulO70/gYgNOg56ukM+zybdR22HaErW - 3dYF0Hfq5ney4xzbuJObOpu7sW0SIp2dc4o6xlueoKoixk5BPOWq36/W1kbnYDSTyWQymUwmk8lk - MplMJrMrWbo7k7loIAAK0c7rxJDcTgRghXcSW5gGXzgAgZfqOvkAACAASURBVAKBYQQFNAKM1QEY - GKyhLBEVXiAOzAgBczNEZCRrw9WZXl9jZPbCojDzLgJiQISkBzQOEYhQAwRb5XV2yb+CYjnTM0BX - h0L06bf8wmGGC40xaTOU6E994jZXDw2hBKyNnO6SO46OV0OcOXwYhYAJUp5eXq4PzqLn4QQgbQOL - u6h6LIIaokAcuWBOvEelcunh+v4HyrLC6SXq9RFqedqN86PRyuc+vShsEUoI3N32F5uW8JlIy/FE - +GpDQDYDCJEVYI7WI6pPLq39zSf7tz67UPWEwYlHLptfuPOXfvXaH/h+UNFCuXCuEEkKj8k8WwM1 - cJkeTp2rWxMBzkeN0sRrXvfq+3/iZy5zEVaMqKkuvbS3sNA8fDwKyENH5sYHso1GEZGamYGFVE2j - Oicl0cpd9y4euQrOh+GwYp4HIbQICgIEcBygERB0EuiQR3HeMpmLlCTROvlMBgLImGFEZOAIUyIw - 4bLLVsn2EQNPlGiMnFSMaYO6IQFiaEcNCGAP5ihVdLExHQbrLfLid3/DDd/2vKUPfuDzv/XbS3fc - eW3hZHngfA9qbQwRtYtGAqcwhVDS7V5/7snWie4aJU3Js4LGz2y7RRVEBLAZaXDD0VWYfeD9H/nY - fcdved2rF2+8/v7KRl5GGpRbLwSIqImCnQvWfmlkZZ8IJOV1ADDHACGA1AADl76/trZKos67pg29 - YRPf+8FLTi732pAEcBXwaV0UsJM05npCaew2AATjlKwalBGgiG2P9JJT4aE/es/Br3xmCSU1Eh/a - IESeSNvIRHG8LgIUAU169fQE1jS9EKTGHUSNjAAXwcYKGAdeGSwsrd35b/5t+NAHbhYJyytk5zQb - BpDWmEAMCgQGDGIgA4XgncDpUmthYe70/n3X/R8vr57/7KWeWymcqovGlS+deIuoQ+SCki6pkZIx - dPc1fl8exMCmaZ1OEDOCESIhCtzczHA4KoJUfm6kzleh9/xnX/3kqz/z8786/OtPHT693COuQ+0c - CqAO5gkKNtKuwhun7n/3BZWTFV5pySCpYW0tyXSnftgQiOQ86rlulRrVnbPIhggz015VjoZrvaIX - oy4PVm+eXcBn77vnzb8UP/aXV60NXbPqgDAKlRM7l1DLZCjZjULr4KnoIawFQ8HwBY4zHd9/yc3/ - 9P/E8752MN97WBudn2eRWIMvLvH3cyAS20ylwT8w0H0zCwvf+U1PPrT4iX/7c+2xey/tgWo0hsLM - FWVo6jrWJXmzuK30+7awoYA4Q4uIerBA4Wrzf/1DP/7M17zq0hd89UMcwnClV5XLoyEzl0U1GjZM - XXUlwzghZiDN2sYD0LqqsdIFV6vthMOBTuOZmE0N3TrKlhmFFJcdtjsfsFFL4LMvn3MlMK/2HK64 - rC6ciieitBZQmFWRJrIEZlMeq5s/0SY/48FdOckgE9SYCMEUTMYUyVpAPNdgzM26hbn25JI3gnar - qAkbFwxiw9U3AQxY0CDl/PXXPIRaXZVu+4yLmmEKIDKQZjJfXP0kA5MSMUDN2tCBCDEtjbbxwnle - 7zZVGWwoyZlaUHjPp+dmH7x0/9f8P2/A055yn43asorqWYp9s4t13a4tr1RV0aLtdLsBUHelwBes - Jj9u2GkyGaMRkbLUGqKZL3xVORI5MRy5cvb/Z+/d4yQ5rjrf3zknMrOququne956y5KN/MbvXdDl - rg0Xdnnsh7X54A8sFz6X5702GNtgMHgN3r22sWxZtmWWC3sXFgws3AfL8lkeNmsMF9ZgjI1fayzL - 1suyNBprRjM9Pd1VlZkR59w/Iiu7urqqp3s8I4808f2MQtVZkScjIiMjozJPnN9QwsEXf/Mzbrju - 4++6/fBDx9ZOn+kayJrhzZmYeWwTFBhjIHVGGI443qgBMIV52R9TVPWo3+9bpYUxr53CqUce/OCH - Dw/qbNzQRqoUbxDNNb4nCETMIDivdRgeKPJ7P/R3T/yul2a9K2sHHzSuuwgUzEQkg0+XQOLypSgy - ETp1+mS/33/JS1585ZVX/NiPvWJtbdDt5HVd+9C4w+9m/dWeYGZVHQwGUceFiLz3USa82+3WdZ1l - WYw3ked5/PNCHTqRSCQSiUQikUgkEolEIpFIJBIXkCzL4mPe6IGS57mqhrret9i/7W233nfvvZ/+ - 7B1AjHViwNY3XRMb9vqudfP17Na3ZyEEBhFw1xfuu/W2t7/5jW/iPBuMRkWWnfOV0+X2zj2RSCQS - iUQikUgkEolEIpFIJBKJywvKkO//rc8dg69RD9ATwGMU4DqAgACt4HKE7MhSZ5T1q7oC6t2vHySw - C5lQVlNYx/DvKv0vJx7GcIBeDhEA8OMgOPGVBBksoBxBBMzwFYocLofLIOLHEW4EgOmW1yqJRCKR - SCQSicc4dV2LiIiEELIsi443Sbc7kdh7TM89sbcl0hc5ts152E/h0x5bpPgVicSlQxo/E+dmpuT2 - 1Pap/Nu/mhKQ3jnm6rw8rRB1W4yt+taz+/N2M1vLQ+2xNkVsdwGNCSHEaDDYWvdz1XHL42wiMtsq - bXuuJpps0rYw2CqlfE7l7+1HbCsy81ts7QPTGcbC0OPPFoOmTslGxy0aNvNMpkQAwXQzZ/xs23LC - dPw2YYY4dczfpKo75N9ewqm0EUqOMYMJpjazPM1R5ljbfpRYr5klJ55bnmadyPZ2pjnWdHY7y1ZJ - 6b0RuwqACYlrTMTa3qXlya4UfCOGTBNfme1BGrlZd6Obn/fE1IE0tNrSO2WbKk+UQI5RG5sSWuw1 - mzCDCOdQPLfGzqblbcXY2U7bPlGtOf5pBjRK25trg8Z2JlW5pxW6m/idtLlla2TKzbrPO++tsPpk - 2YjQ7y+OX/dtSZmdWSASEcqyQoS255lMl5f3z9wukk3ZIRKzYEZ7sqOqgDFLlrk8L7LMMQtg3oeZ - 1+ry8srM7VVVE0HEOSdZljsnIo4IGuOXb8u/uNgHjLaNCKpGBGaJdrLMiThmCkFn2UGv1yMi3tTu - jr1KM1eEELz3WZZ3Op1er/ehD33ozW9+6+yzmEgkEolEIpFIJBKJRCKR2DuXkhBuIpGI8NgpKQqA - GRMBCkeAcwgevnJMCCOUdcz21+9+dzeE+syZK/cvn3z4xIEDB84Mh6EoBs597Y+/EhtDAORkIcvg - A2dRDxIGVsDX2hOGNcKoUDCfr9MVEYC6tjzrYvWRzvETha98NXB5LlmGu+/Rh090LZBBclQVMiBY - MOMAhEJw+CCYIM6GI+13n/OqVyHPETyKhT2LJj4qECiYVoEyRwEsnB38/u///FveesSX3bzIjFB0 - QIPiq59y9uEHRqOhlD6+O1XsrDrdKKhN6V6zIRAAFXFVXe7Luo/c98X8ziPZk25Ap1gYlPlouH7q - JNRAnOXOt0psE0YUGhVpqX3sv+vagqAGdh0sEgZrp3M6MsrcqPQFIc8Xrrr61ImHOg4hwDHYSI1B - M7yvTDUWyrGwhVLNBXUMf3oVZ9aQCxW5OPEbGx+79R3Pec1rsVAg4wAEsMX3InbxHQMTia8wDOP2 - Co3q3SCqiPJDB6uiCNVl5a1OYszKUfwMRkqI0q6OM4UxMTN7DbVXIg65qzsYsGFgV33TNzznuc/D - n/zp5//zH1L90ELtF8w6whRCbcgBytjXKs1wOOF4BBKL90aK6t2Iw3L8ev4QFL1kDDCzqE9ngKrv - M9fD9auzzukvPPD3b/yFr37Fy6964c2nHE6LeFYYFBSAnHa0njgPSKM3AZmQmTEAM1IY6lqzrKjE - 1PueD52q/Nz7/+xwWUldK6wRZAVCFF1lPpcPBTCWzGQjgIVVLbogKFB1tDiifM+HP3bk2PGVG64/ - XYfgVGGZc2Rea08uB5ij7Ld5I/PRecyS6+QFI07DjNRIAYiyGJNSriH3o5VHHrnrnb8UPvThI2sb - HTIwzAh6PpckjWdZRo0HShSq3DA+u9Q/c9WRp7/2NXj2TQ/1i1LNG8zDcS4sdemN0FnojfwwipuS - QTQaVNjFlv3dM1EHWseiqVF4OzBKC0FEggYVUHGKKCy7Q0uLT/2pn7jv/3zPg3/+Z2G0seRQjUBA - p+f8MHreNeMtAbajE/82FyUiMgGFsxt5UC8UoAEBzbAcveUYpkxbnB8vdnt674s893XFpr2iMzh5 - 6qu6fXz+vvvf/cv6kY8eOLNahFIAyZ1Ufu/FYZAIAoNDNQB8nruS/MNZ9vDRo8/9sZfhf7j5WLfj - s6IEyLgeDTvoXpR6PjoYjSozlTxb2JD8tJ25/uu/9lkL8tFbbqETDx8Kda7sfZBq4OAAhgj2JIUO - ZFASV5BYCOWo3B/Ujn3pv9/+y0/p0JGv+8cPDoehv9LrdNdHdQbtZjl8aGcIGN8CyGAEtkaoW5uh - QB+FOzwbAAapEZTa5wqspGRGBmMKpEs3Xl//t0+0vzovEp5Zl/rY169ZlFiCCSioSnNfZJC2I1r8 - mUdpDhQJKk6CqZEEmBKbEYQXDx6sv3iMvTUPEyyc89e8UFZbXWd59+orHyqyftYtBwGd+KTJEY1/ - XJ/vIg1GnJPHjhRdGBvX7XowckZmIIMQ/HiXWtTAYkpNjwWbelV2xXqv+6Wjh573htfjqTd9Pvhi - 5aCGEEqDNxPNwBApfc2uucSwOe86v+InAMB7T06YBcxkGmADr9576S0ZyyOjYXDFkWc989lv/Pm/ - //l/XZo/cHawUBvBACUQM8NU5/QiMjhQGJUCIiI7rznVpUn0Ri+93wccLIqTf/nf+OGHF7yHjL23 - CdGBnYybp7t7QFmyOtROIcyFqlbD5VNnhh/4y+Uf+p61LEMFD5WCiUyDcopclLi8qaoKQK/Xq+u6 - rv3NN9/8+7//+y972cs+//l7sXURl3POe38Oc7tGVfM8r6oqhBA/FEXhvffex69C/D0UAjMn3e5E - IpFIJBKJRCKRSCQSiUQikbhkUdW6rp1zRFTXdROZS1hMF7u9X/v3v/o/fdM3nhkOyBC8sqM2xM+X - z7xX5AqLcWt+9T/82otf/OKnPPmmQ/sPlBuDC3XcRCKRSCQSiUQikUgkEolEIpFIJBKPSeL7g9yB - GAuFF/WAdMmBzBuLBFIBo8Iqiqo2kIOF3S9pYTCBg5mvFXCPQNHtot8NUE8CIDNia9fLABRAioUC - TQSdAkGRZTXIwAbIWCkjkUgkEolEIvE4Iy6ZLMtyYWGhleW7sEs4E4nHICk+WCKRSCQSM5kr5JnS - i5Ga7SonkQFsFia3EzXbATWjKKcaP8+0s/3bePTtW3YuD7ObcxQiipKoCkTl7M0Szqr7FgtmoT26 - qp9X/t3UKx53rFJMEzLZOzElhd7+ydwcZSxPa7GmOudZvoiohnhcIjbTyaNPaa5jh8LRZkq8qV7c - hEueSJsQqjS912a8ZtvcQgQwzObmn5fSxF4sseSz7Wwv4XQ6kXNeyRvl7B3qZZs2aX7OaIdoLK08 - M7UZx520vyUdt8ZUm2zvD/NEqSPzTnsIds48kywudtCEdY1xkMfht80AMLOIOOecc8xMRDqn4y4t - LbUGJoWNQ9C4RYSdy5wTZpnKMyWNPFEQ2noNGrNM2bHNDrolzbKcmaJ8cryO2msqpq1gs3OZCM8r - z5SddrsZzHS7ne0WYprnhQhP2THTKDgNEDNF4WfnMmaaZ6coOswU80Q7sQXadoilja00VeYpOzFP - 24ZEzEzzJKtVLbZhLGd7Xubln3deYrvFM8sssabt2dm9HWY2BFOK4ZpBakqGIJy1WybT4G3m9swV - 2+2AlMnNzN/mJLbJLQQxBA2Yyk+QGXZi7Pp40TXhpkMbM2189fJoNOp0usePH99jeN1EIpFIJBKJ - RCKRSCQSicROJOnuROJSwhiE0Ep/EQSAKcChHknuEAKGIwSgCp965zuXrHJVlRFuLMtCOGeykyeu - 6HVOfvELTz169OTqKektPvi2WwZ1QJ4/6RWvRFagHuLIsmfzyk46AnaOgUZzKT52jtJKOA/pF0Jd - VXnewUb98X/z5idq6HUyZIsYDDAaDT97Zz/4YFCG2KZ2NQULoGJlP/qLEPEMt7RUskEETsw63mvG - PBr6zsIlNWSxqQo7cSBADOj0kNOTfv5nP/Vv3nJ93tMzqwUHLAikOPiNL7r7j953lLkIIWYWA9mW - Fo6Pw5v3a9b0ge3q3QCCeQW6avvZnfnsXQf6S1ipIJKRLgX+2Ftvfc7rftZCfVZ4Ie/Vijye3Chq - BTaQAkJ7O8EGeK/s2GJlhc8U+aijnarMKUMVcM1R3N/Xs2u5gm3z+f6kjl1rSuL73aAEYhgZJBj7 - Ddx7Hw4tC5lH6JEcHFSoDRbAPNbtjuJ3vOV9ZCLxeCC+cuaJtBmYVTW6RCiLF5evrNjiQli/jEIx - krELDgARAiEQlNoxMzgQvA8hZMy9LA9mI1+WBa0PhvtZTlPAYu/g937fk77+Gx5+3/uP/9EfD+5/ - 4FBVL+Ud1D6YBtaRoccQm3rrzwAIxAYFRdnLuHVyQJuifeU55bMiWeHrMisc/GjfWkk6+vQ73/0s - YOk5T9H9K5Z3h9ARggp7tqA17XCMxN6JdyIxRBVmI4AUQFWHotet4Z3pocrrJz9d3XdfPjibE0fl - SQCmGi9I24VuNyac6NvX+mIAIMSEsBBQnFl96AN/ecUVV5ztSCkGI2OqR7Vwo18ZdxezQAqwJsf8 - C40KRRc8AsgyUnaqy6OyOHP6jrfd5j7y0SNnzi6S+WCBGin18zkFBgZE40gSJRVpKNnp/pJ+9VOf - 9qqXV1cfPbHYeaTyC3ALeTcE9T4ISxCUofTeQMqACxBr5LFhjUL2JTVAKEMbBzISMzFELdmRL/u9 - hawCqipz3RHLaRuuQ6+79qrrf+LlX7pi6dO//Z6nbODIEq+v6XDkHYFMSIUhhDCe8p2DqBTOiNc2 - BObX10WDuMybgaCAi1qhrTmjGBfg/CaScZd4CnZTxF5WVFUZyNi5s6dPX5t1OifO3vHWdxaf+PiB - 9dXCNMso1FZXPnOovO5V009DzQDn5CvviCri+z2tXnvlU3/y5fj6F94zUOrmarDKMpOcFi6pzrNX - xDgfusWl5TPD0ZovF/fvv++R49fc/Ozn/O8/+4k3vaO8675rWHKoh+ci4xLBl7y3k6wAhvWwW+RE - WnhT9fvU20MPfuattz+zCle96GuOcbA69LjQSgO8iGzOHmhzrCBjI7DpZichRO+oiwwTdNy5VYnH - rsCkqkKuVmwU2eL11z1sRpDdx904D2pGfvQwiqwSVlU2FWJVgzblM7ASxJQmbs2XFWPd7C29lOMD - GVDlg3MOFoJXFgHT4uHDVeby4KMndjPXmOdwHSEEuHxpmZaWfJ6rbpmjWvxZDW1V5/dE26GjkyBb - 84s9HsKPysKstUkGMg6stUBJu3VTUwAGDcKnvR3vLz/3536mfPpXnVYs9VcGw9q8LWRdMoxGpeRZ - sdAb1QODJ4sPVZjG11ggBj2OdKEvAu2UUicGKzbkrqjhvfdExixgM4YRe9Xh2mCpt1SiOt6l5euv - fe7tt3zgp19b3HVs8ewG1AwW2BNITMadePoMkEFC8BsjUYueto+bc5RlMhhtwHVcqTi5et+ffuAa - opyg0Z0YqtTM2ZxR8xRr10OuEYxCAAzIgSwD1B8uy3ve/xdP+/Z/uti91ucyKmsSAIyqZnIXdTxP - JC5xHMM5N9gYZlm2sNgdDodPeML1v/mb7/nRH/2xz3zmM6ORz3NXe1XVsLsf9bukKIqyLGMsiSgf - HtVc2iUlIqKqcZ3JBTxuIpFIJBKJRCKRSCQSiUQikUgkLjhZlhGRiIQQvPciQgZf1708u+nGG275 - hTe/5rU/s1GVIuRn63Y3L+IuSGFIWIiD9064Cvqqn3j1X/3VX50+s9Zz0/7zzfvWiYfQk5+T+2Ei - kUgkEolEIpFIJBKJRCKRSCQSjzcIEMAbCvhQjsgyzlF7koyIoEHVkwg7pwgggxPUe3h/odCAGgDg - s15el36Y1QyOGtzULHgZr4WjGDdftFZ2udYjIqKsCMGcZG3UDNqMoZP86hOJRCKRSCQeP8R4At1u - N35QVRE5H93uNElMPJ646P5aKQJZIpFIXJ5cWvFk9jp9G98edZcp7TpnSre2W4wptmULMcbxT2al - BiAwRc1UxHiKZiFO8AEAIIBMWRDCHDsW0EbsbaKhmZnFOMGTpWKCOPG1RunVqdR08qdEaFOCwWDm - N8sDY8Y4esx0Sm1syNZyW7utW2J56nq2nc0jEmBqMCDE8sLQaHgDAJghQnV9jolgG3UmtkgMGWfN - ERsBZ+a500kNkzm3RL4kApHZ2DIRRDAvuE6bxzlkWeaca8WQ26h0k/LIi4uLc6pDAJjZOTdpZ0rC - uQ22s7S0NKc8NtPOzMPtYCcG6xYREcmyTERiqJ/JKEO7qVeMIx3L00pTT9Vr0s4O5cFWiWsR2SH0 - 0MLCAo3Z0jJo9LMn7UzGNZoi1mvSTlupuN05l+d5287n1J+fKvOk/PzkV/OqFkIgsih7P469tkW0 - fupbVbR5JlMzintN2TEjs9DanPp2e6oKImN2kzmJLNpprbWlmleeEIwZU0cksrZesWxxS7Q/0473 - GsvDjO31mtprh9rVdWDG9npNtt5ka+9QHmZwM6TEEd3IlDE7v3MuhnM2C6bBxiVndjPzC7s5ktsu - trxZMDMLPn4mkpl2eM72GPCPwIjDvimIAcACYuDDrWls97EEyESqHhbQ9joYotC7+dnHbdvTmjYn - sGkgBixIFIbnxr6ZAbpZwjZtjm4EgqmZxViycXCO111R5IwciE2USCQSiUQikUgkEolEIpG4YFxS - OriJRAJRnTmM3xw3+tYaBIbRCEFR+o/d9s6VYEfLcimUuQb1NVRdp7CNEQnpaHh0oe9PnDyYdfzZ - 9dxwoNddP7V26rZ3npVOOHLohh/+LtcrHBMQvPfs8gDIpnQP0Mgf6R5dtRhmWZ5DAV9d1Vnor62i - rMLGGWHGsQfK0yeLOuR5UValA5hgBkdkICLKl5eRF3Cu8qHU0WixC2JQZsTMrAGd3iU3XkVp9Ryo - fQALGMMa3e7CM1//rz77tlsPd1zmA1uAI/R6N9z8NSc++LdiCmOCRqHBaGXyHemUclcUVdrUuwIA - sDCblWFkGzXqCseOY6UPB1jIQ3W0qnB2g44s9VzBgEQ1MGqeyGdgBTMmlkXtGue49CFzAleA6pt/ - 8tV3/+ybblxc9FWNusZyv3P00OjU2mKGEGDx/fR8W6hrVWWKYkMsQfucnbzv7oPPfxbqkuB6ed5l - 9/YXvvA1H/9bgzAYjQT5uGcm19vE45EoLcwENgQgSi0yEIyYOBBjsaf9nn7pcnKlNYYJmiWdreSb - EsAGR0YEJpiGEKBgAOrrfq9LlQ6Ydd/CCNS56orD3/Wdh5//rGO/87tf/JuPHB7WnVpZvVPKc2te - j06OKhZVDLlR7yaCMUixo9qrAoLGAwWtJ4GR1Z4AX3omdNhssJY9wh+/9R3P/rnXHbwxjA4frp0z - Ec5ygtVllSdhpwtIc+KYzWAAs0IBA6nkWRW04rCS5b0Tq3f88XuXfNljwEzHr6kJEGYQ+RDkXIeK - ipWwRugdBofGwYXYNNRB6xVz9/7Z/3fFt33bwhW9dTZiKEKtdd5dqGpCM/1SMoiivpwu9EcThpgp - A2xcBO1XdbGxccdb3uY+8Yn9q6vLCjOQcBVUhBBsTxrPbRhcBdNYjz0Qqm7nbh/2/aPnPOFlP2Q3 - XPclR2fq0OkthqEfVT6DSOZqqwOM88w4kIHNnMIpw8iIA7FirmvdVwRD20sZUDEig0ChWMxy1MH7 - wLAy+ABkWRdMxypdWeoc+Z6XLK10vvBrvxmOnznYhx80FRt3eWqTnSocR9rmoxkgBh2Uzgd2YtT4 - ntDYUahlD+KZXx4EqDcyyoucfTgE7n3pxOfe8g7++Cf2r5/eBysVnsy5zPtaA3KJnqi7R9nlpa/E - jxQIeXaMsPaEG579oz9MX3vzXbXPV5YH5YjZ5XnehYwGA8rZHrsCycbdbGG0UUIIjtbWVheX+vdV - G1c/4+nPeeUrPv7uX3n43vuO5rlVFfywAjrstrrknhty6Bjg/ShYh0iMuqZcle6hE59+1688fXnx - ymd99UPeU29JSDJ2Neow7rVsCIQ4ObEoD0/N57Ge8F5/X+8ZGo9W48GnOaJA1SBkHvC9xe6hQ0M1 - ghguogOWEvUOH0RREDtHTgLyTIyYGdHz0wgwKEHG1/klNb49OsTf+23PYEANwkwGBM1y0aB1CLnL - IC7bt7QholABogQ6t+6H1LhUTz49AFBDNcuLlRVI7oMNq8q5TM2DYNQclwA571EhCtIbj132lY0Z - gKmVpQQbz53jcE2N7+PYc7KRszecZTl9+Ipn/eRP6NOeeZ/pcr+/fnaDXcc5IYOZuYyVdFQPAoIA - YnAKF6KzIXtmS3PnXdCe8cnByIIyiIiYRDWEOgizk3xUVQcPrKw9crZT9MpO9khOuQ6+4d+8/qOv - +NmuYWGw7jzCeMUCg2nWrZWhEozqiuMcufW9fnQqfNEggNQyx8GhM6rx4Y/S3ff2zGBVfE4I2rwp - YNuDvt1Qe80zQW1BFQom7dRDHHtodOc93X3Lo6WVsxxMmYiYyLH4OS71icTlgKpWVZXnORENh8Oi - KExx6NCh3/qt33rDG97we7/3B1XlKd6dzHZYgrJXyrIE4L1vfm6MVyLFD957ZmbmuLFdypJIJBKJ - RCKRSCQSiUQikUgkEolLDTPL87yqKlWNIY0AeO8ZMdKSfed3fMcHPvAXf/Anf1THoGMX+XGvqXpo - nruq8gz8w52f/63f+Y/f89LvUsM5XdcSiUQikUgkEolEIpFIJBKJRCKRSDzOIagEZhYuciAgFFmG - YPBAJpIxgWAI8K7o+qqMgRF2jRqUiclQjyowGBIQHETGi5ImcwIMI86LEIIUPcRllAwAchkuFEwk - EolEIpG4nIh6ZnHhZIyNFkKY1HvbG+PAUI+9NJFIShUcsQAAIABJREFUJB6fpPEtkUhcUPbkep2e - K54XNtFwInBOsiyL6sUz87diyVGZGOOQuTEqS6sVysx5nk9mm2dqSpxYVWfaEZntDj8lTjwpYDyp - MdweK8uyPZVnKuQLM8fCxIUDM8sz2UQ8Dlmjqsy0+3rtUJ69tjMmzlprKkpWRzuxzbMx8yyoavs7 - Lv6Ui0Ym646J/jCvfVo7rbi1qk61w5QQ9TnLg3EjT/Xb7fri28szJSk9KTK9vV47MNPOvPLMi18U - fyzP3Hcm7XmcajE3bp9J+e2d7WAs3d22SRtqabKdZ56vqfJg24kTke0Nuz1ba4YZTXBEanSarVEp - blIzAAEgMz+u3bSuc6sUv92OCJmJNXrJzbdmm/anUpFoPzZG2Naqrf2mbMw0ERhyM2VuBZVhTdDY - YAZqIj/G2FOx8cNE24SpVCQeTlUb0etWrJrIiHibcrrOrFqeR6nsJn42Ypztcf5ok4jbPBNReKft - mIVYJCKO1lRbKe7p/Kp+QjQdQBzWZN5joZh/lqS6bhMdN+bYgWf+m90O8ShETQ8xM6J52faQjnvp - 3DxENtXPx700bLVjQNixVE3dY8XNjNhAZIo8z8uyHI0GzE4kXt1TSiaJRCKRSCQSiUQikUgkEonz - 55KTwk0kLme8ggjEELBHJSBFYBi8Yn2EQP/wrtuL0fBqogzeae0UHJjNgQkVSLpAYAhquKwHiJEV - JH6kHddFieV6UPuHP3vb//Hkl/0QFheQwzk3ggfEEzmBNtJZ4KpmJ5jSMd0ZA4zhAxCg3sp1mKJU - yRYwGJy6405C4IxGle9JDzZoBDSJRGHe+kevQtDggxSdVa+DjEEZ4CyABd5Ae5eavugQQSGkIo2+ - YKfbhQKL+uSf+rG7brllyTp66hSr8eISXZMt3XD8zJ13LkJyBVTZceV1u0TfdlEfI5AxWVRfg/fK - jIIpqC56PX3HnSvXHYZbgrOCspW1jbtuu+2Jb3k9XCaBiZo+JAQCkbnm/dgeW7NRDYcapAp1zgRx - 2u+dPrPRN4ITAL0rjoQv3B82agUyEdXNitmEhhwBVtexGGbGgIXAgGrtFLjvC7juaskFoypQeOk/ - /2cII0LugoJdRaFCcOySZ9d5YGZJJuYxgRGpjYX3CMSmXl1WKOBByFy+vBzoi1/pYj56GMELYGwE - hRo1Wq1sKgZrvAQAhqfgyUDoGFGpwQSSSQBMK0cn+vnSs55x5XXX9f70/ff+p/+y7+57jvjc6poB - l/Og1DwDAF+hKMAgraJQeKveDWC2LGILxzf24zfGY0+C+MJfgOAZlQCM3nD1qqr87Ove+OTXvqbz - T5athyzvDaoazM456N60WxM7o2AGCB4ARUVJBMAoo8FwWCwvutVV3Hv/4CN/f9Q0vq2enAHFPnbO - 4KeNTqpBSeNdT4wtaJwjqCoIzlDUde/Yw/jQR/NveZHrZrWqZRmKrNJg5Bq517EKOBk4jdsXFDLO - VAKsMg4Wiow7o7K7fvZzt99uH/nI4Y313lhCWgNyBrwRzb7eZ2KE2sAO3iNj4mAgDYa60z2+0OVn - PuX6n3rZ2auuKL3LLe+b+ZGqwMM2HbBIjQGomIrCKaIeaQ2U0igB8yXjK6EEJVZSMZA1GrRkcAan - wWBKMEceCmChgpFVzq12ck+LV3zHi2+swqd+9TdkY7QiigDV4Ji91grkhUPtvc699FoPqfZDjJg8 - Or26ABmMiwdoCEFEFCFK2/KERvgFbEivodPp1GVFRAKqqkpE2LkQgit6Z4aDo4alR9Yf/LXfGH3w - r24IdWGmBjiowVtNDDVGiCPPbqe5Rqh9JYxgCIINyY73F5/8ih+pv+5r1rKFXMQN6wVChVrVygBx - haK+cJX+ChCUjIS4duZ7zDQKkN6pIuu/4NnP/OlXffL1b+qdPN13tfeWO1Teuz1ev6FxANMc0jgv - EQTaL4cbX3zgjlt/+Sk//ZpDT3viI5mNhrUZS8dVfsQiZqYEDaG/sHh29Uw3y+MvOCOQKY/Vux+F - i5eNgajLrQCTgZSNPDM7ERNeC2H/FVeRywJGF1VIPBB6Bw8CUCVhLthVg6HrSAiewUqb2sFRQ/qS - GdgeXaYVpxUEUTbTXFyoagC5K8ybEXcPHnxIbYmEiNoZJ1Mz8bSmPTcHEIMp8zpZtm8JKrnl0euT - oQFjUWEDjJ3NKsu5IGt6tI2d+MgAUuccvA+jitWIohdnYIiBMpKyqhZ7WR1qFZQeeQ6x7GF2R/7n - l+B//EePWDdjCl4pF88GaDCNh1GCkhJApmTmQvQG5UBcCpTiHfM8zsHlRbwPturdamBSjj1PjdAs - FdAQOozh4GzWEbMaFQLcqL+/lux5P/njH3n77ddX5cFQs8EIgQAi1kZFfhNSMsmNbFRDjcjYiaoS - yXmuu76EUFNlAonm9fD+9//5laXPQiBAeezFa8gMiqaJRPf2EI4FIQTB2AUdKCj06/Jzf/i+Zz7t - GfViJT0xtaos+52luq4vvQemicSjx+Ryl1zYfK3EALrdzi23vOXGG298+9tvCyE+ywFBiSi6pAPI - 87yuazNzznnvz68A7WKVNs2ybDQatYEnJheDxQ9Ra3zyQyKRSCQSiUQikUgkEolEIpFIJL5SEFFd - 1/H5bQiNzx4zMziEwIAQv/1tt3zsUx+75/4HmKAAC2kwl2W+qgEwsdqFcGg2BhCj+laVZwIxIdgv - vOUtL/n2f9HpLlSjUZ7nIQTHAkCYVTW+MJ58T7f5Mj6RSCQSiUQikUgkEolEIpFIJBKJxOMLgxJz - +ypAwAYQAzlAUBABQjDA16O9rtgzQMFq6kCqFgw5mFQAQgzXz42CtxECoFAhB8BEKiCWSmirxeZP - Hv+VXmIkEolEIpFIPMZoVcSGw2G328VY+it+OynctfP68clVnK2SGSyqCcZANYQYOWZWShAi1qjT - NCcPkzOE1g5ttRktEAlIQ7B5dghEJIYAsM0/1jjdLTtL383MvPv8u7ezS3n1NtukMtylEx/gnLXY - TTV308i7V6Nvzi9mx4u7gOXZOcNUnp2F/c55oN3k3L4jM7c+eF+OnUkpxF3amWrnmf1/UsJwh/bZ - 2c72Y+2GC3Vd7/5wex1wpjYDBoIpEEPTbP28TVGx+Xb79iYazbbtj0JKPKNsbfn3VKrJFthNzpnf - 7umITaCqsc0mTtCcOs77dgc724NxxY4wr9cQbX51zpwAdH77zGyHi1eemWYjZmDGRLjQvTFZhvO2 - 05Yn7jtpc/uf21lYKOLA2woAY2vUCxHJsqwVDJ43LPR6vZl22s/RTqsDvUs7aCaKOlmeVuR4BztR - TXmmpHRbsLZqO0hTtzrQk1rIUzfKmVrRU0Qt5DzP4wwW4/vd5H1q0s4O96lop9W+jXba6XRsuqm6 - byceKJYnys/GHedJCCcuJPQYauTZRVVTEJrrIP5HYMHWVwm2+fec6ZIBxBgPwAEAMYRp6rgTk6c5 - pdxanphzW3kmijS/PO1XrZ1t1/REvXZgtp0t+8U/YuvNpFlaMmvfmYyrbFMtNpbinrSzk7X2Z/rk - lJMIZmHCSBzHom70POnx2QdSPY+4TPEusGVTe9drN8TPdM62aivVlGdrfztnS0/s3qiE79yq8w02 - VcBUNzuPKUWj3EzNFWXjfwoApuPP1iwSIoVhKrXms4GUwCDb+lln7rU9VfWtndYCMXa20BwdHD8b - FMZTdhpr1JZtezpt2ebXd246eQ52ffXNOLmbu+/NwpastO2r2Ml3KFU7maRxdP047WHUvhzPGeC9 - d47TPT+RSCQSiUQikUgkEolE4gKSpLsTiUsIxzBC6YNzxKaODHWNWjEKf3frbUcgBweDBVNnFUIl - IbiQAQwCNMB7aAAMRLAaMICdiMvyQsTgg3ph9uVwuD66++2/uNrNn/uTr8YCOgwPrA0HS90lT0HB - ALssg859FTQfgil89cFb3/rcIsdgiG4XwwGOPeQ2BkB8X03WqHbDxhqoBEF3Ea4QyEhhve7TXvGj - cDmUhTMD2MErHF96YjQEqDYOKe2WTLDQfeJPvfqeN73p2t4iBwtDL+DOTU/aWH2kPrGWGTkKwYec - pnW7J0VhjTalp8J4OwARqMJgjh3U01D9Pfe55zwTwQv5LmHFAuq6yDzUgcCsAQiARAHQ9kH9XlvT - Qu4yA8hlAJCFJ/3oy0/c/m+p9BiNkGW48oqSJeO64yRUYa8q0QRICIOHjvWuvgJVAJE4KUIdOxVq - h45jkIt3rkuuKyQSXy6NOHR8T9hIPCqgDDYGA15VDXAZLfTCZbYyMZCB1AhGBigZ2MbSfQDGPnja - rjVVYeNADECggHqiSrINku7yviMv+fZn33TT8d/93fv/5iMHhsN+CFVZZgIAISDL4D2qYF0X9Z0R - 362zQcFGuvfhJ0qxg8BkCkAURUDhh4v+zB23vuMJ9ONX/dMX3bOx5roLi93OxtpZdpfZCb7oxPb0 - BDBYjYFgpLVpnjsdDvYZBn/2FwfOrElZfnnRS5XAbKiJQI3kcHQMjQFSjZAFf2QUvvBf//y6b36h - jAbBpA6UF8VwOMrEIcqGW1NomeeVn/gyGA6H/f7SaFT3iyLfOLu0sfHw7/zO6G8+dNVwY6FWjN16 - CcTKIN297m+kyIuzZVk4YkIZtNvplkr3WuDnPOMZP/e60weWvhRsAWKVl8wxy4DUSLmVJgVEoZsu - IKSAEXtmL1Agv/QUbqNUMMCBEEjFIBrHZ8VYkZcAp2wEhQ2DZgv7Tp05s/9F3/jUmu769d/m9fUF - hG5Gw7rudrso67Ol73eJhnurKhl0VGVBWQFhiprJ0YmMtmTbq0LtOWHmGMpZQETU63YBlGVpYKfh - EPHK2uCBX33PA7//B08X62zUQs1UX6m5SZAJwNijtLY4iENdou52786zp37vd7t//PyTyyvBiyjI - KoGJIZCCdCwE/1jFomNdvF6ac0ikrmTWAx39qurpP/h9//2XfuWGDV2xUaVw+V6bExh31/FnUgIZ - umRXMn/p8/d9+q23Pf3WN/ZCyPYdXDWthhsqJs4FmIjUvh6Mhq7I1ZrfcWRoLg/atST7+cNszahF - ZgyFgU2AuPRRLdQBZq5Ap+s6heIsXcwfVUpc9BdVnCmxGlkQJoZq9JMb32zZAFBgGEEuS2+wtnvE - PsMGBciaWcQmxuj1fJaHUWVmhGZyOT1KNgNygweVGXeW9sEkM4axxmDv1jx5MLBYc6cL5/ZdnUlz - RLKm8CEEwMJoJGFz2kwgYq5D1QXXw7o2dHvIHQaK9UyWnv/8A//8W4+JM5f38uL04KFOvxNUyZTH - 43ecFxk1lTaCGgdiz6iZjVTskrs/XmoYNaeexxPUqN4NQLY1HQNiPpAqMxsHwHNxqqNHnvf8G1/8 - L479u/d0oP2FfOPskCkQrH1cp9QcCABD2XvUFUHNrBGM3zzCY/iaZ+bMQr26Sg8dP/GJTz611kJQ - QgVC4xtJvAXUBGDbFX0uFGh/TbR0fX38k5/G8YddP6shOWULRaeqKjOjvR4gkbgMGJWDIu/+yI/8 - 8DXXXPO6171ubW0ANE/Uy7JkZhGpqqrX6w0Gg/PW7d6OiHjvnXMAzCyEENfOtRreZVkWRRE/J93u - RCKRSCQSiUQikUgkEolEIpG4dAkqInVV553iyJEjt7zpF/7l931fbWAC1GDwVZ1lWV3XRiBiu3Dh - T1hEfVCDBQNw8uSpd/3iu3/uNT8dw9V1Op3hxiCE4A3xcXQikUgkEolEIpFIJBKJRCKRSCQSicsN - Gq9V2RSFmvr6fEPSE7jZ1ya22sQH0rhuuJUQD+Pv25gPWw4+XsV2HuVJJBKJRCKRSHzFiUKARBR1 - u0MIWZbt1Ui77jKu5YwKWyISgjVRlqyNKACCMLMZAzCjGKrSEJUfMZ6EzkjV2oiZDADG7SJv27TQ - hnGabceMDK06a1MeG3/emjauO7E6kyrm22nVGWd+G9eftoKUk0rD86zN3N4qQc4rzy6lfHcpx35O - tkspn5/BSVXLXZZqZtNNtfM5jczLE8/jtiLNdR7b8XwFM5o6WUSz5Xz3aue8tKXNbA8a80QGsJnG - PeKqauZmy160ugHQZHtOSsbu1c5ULdr2PL/2abM1cXtsp3Mxydb85z5cW18iEHFcld627V6ZqH4r - jri3frWZpRW6nPy8PR0/ERjrII7zb31eMPXt9rQV25vMbzads7UzlX8y3ZRU5K3l2RqDN367g522 - fsSbJZn8PF3+me2DZq9NMeYdyx/jwBDDOYr6xPOGtZguLi5u/xbjuw8RRaFfkXiHtalwzq3xeXZi - hlZUuC3PlGRma2dpaWlmiLP2btiKHDe6yzo1BjZpv9+fWZ44MxGRqN/c2pkXMqLf77dmp6SpI5O6 - y8wcwuygj9FOpK1RW57tduZdXwsLCzPtRLa1c+wNrRDmZhq8ERtBYmoIMDYEJ7ma1wCQMjlii9tN - aaYdDZhph8kFrafszLSwsx0RUdXYWyaVtue1T1VVsQHbbFMnLm5prc2z472f1CNv7bSHbnW4I/P6 - j/c+drbJo2+/SW01ruOoSptpCCZCIlm8O5iFeK/wXsf3CwWYyIhkpoWYeq/MUejXExMsgGAIINph - r5ReuPSxTnpKn3g0eRxcMhcV3VtKKd0xfXzweKpLIpFIJBKJRCKRSCQSicRjhBSyJ5G4ZDDoyOCI - MlH4nAyra7AMg/rBt9/+JF+H0emlhcyRL8+c6S72UJaAYlBi7QxOPRJOr/r1s6iqqAWd9xZoeQUH - DmJ5BYuLlOXOSVVVeX/fNXB+FJbq8o5bb3/Kq1+GhY4rOvu7PUAJMoIHBMTYq1AhAWYoa1Sja10W - zp5CJ9fTp5nYvvBF2hiyAAQmBqAGJ/AKQBlCzmGhD8lhrKqrYXQ0d1CDiQVPmQMwx+3wK442IuQ2 - 9uYBoAYRFPmDRWe/5vvWhpJ1UQ5w6OCBJ9908swn/ag2qwqSYAHtC0xSbbWyxkpv0fVECZ4AwDVS - iKC4hIk1qDrmk3fdc/Saa7C8DDJkqDfO/M2tb//a1/4rOA8jMhOyGqJgoVaTycPc3rTaiFQ9Q5hQ - E2XBsLh41mWdjUGfGXWNonfgCTesfvZzVvsCM3qQjeWLZptnE9P1Bx7sPfnJyHMTIkEW6r9+01tu - ft3rsVAMqxEX4lVzdhqi00Ai8TiBGp+MBh0vmLQ4SjADCqUAgpNscdH2dvU+tlFSo7FvHSnQDIM8 - TgHE/4syGGbOTGpS5RoU1KISpLABdeAie4TC8lc/5ejVr+I//OMH/58/3PfQ8at7+2i0LmqsagF5 - F3lAWUEYIGVlAhGIzc5D1NCoUeFlFdasqAMoyibC/NryWf34u37xaw6uHH7e0++v172GzM53RWzi - XGxZ6musrDlTXnsc+9IDf/WXR0dlIVypFrTTJGie8O14FwWB49xg67dGCAwxW9gYnPj0HfjcXf2b - rh/2lobQutYsy9plIgCUiI3JaKKTJy4ARih63Y1ylOd5Xo4Orm8M//R9D/xfv3v1+kYB1AwyFpAY - CFFmem/2yVhL7cBBfaWhm7nV0ejU0nL+gmc96bWv2Di8f3CmvPrQodPrG8gzyrPhaINzJtNMwQY2 - BIKXZq1PIKqEARjYM3wcOC4lnwo2iMIIgVhJAwNgVQRCpiBTp4jzWyN4AaBZpR3OUEqZr2w8cf9C - 3rv2zOgL/+/vXVuPMCh7BdXDYSbUBfzQXA7dJr28w+XJgF/foFpREDkzGk8+1WzWvFHpgl1fRZYP - h8PCZcxcV1VQIyISNudcVR88s37q//5PZ/74vU8jp2trnMP8pjRvIChY4GJhd39QAoIHMwL4Yeku - fss3LH33S04sLAbkbAqYZxjH9WEKmPFsv/DHEEECmeZBQVoKA5AAUTZkg+WVpZd824GHH1r73T/q - jEbdDsoRsr1OlzYnGGG8Bk8Aq4IKRvtR13ff9dk3vu3JP/3q1bzgfYuFywAL0FCXIp0sk9L7QtxW - j+5H99I1jodks3aJhoBUTeGNYM4hy7KFhYCTF/XnlBE6/aXgcpiRV44u8WQKk7g4EqAmQIdGXfYL - eEk+RhhP/q2pfrM1zge2NoURqN8PLEHNoEwUVwrZ+FeBbpMHJpARqkwOHDyA4HLLK1OLsVAIgBrx - +MnDhemfBGXA1MBcbQzFENeARL1m1dpBABescs6GJQgYLvI9h1a+7n/9QSzsL4ql2rC+vtZZXhz6 - oZATQ2ZwoakgMTzDQIEwvj+iEijrtgZLbIcnbqAatc8xx6GebPzgSIJSXQkbxAVXUbHel/0v+c6z - H75j9ROf3Ng4tZIzeXUWBcFn2HEKPxgVOl4AQ+3qusc2qtoxO1KFz//he7vrA1EfyERAagydnKuc - 37PMpn83H9UIChTBL59YHb33/f3rXrq0tM/XSqbE9HhZ3ZFInC82fZ3FO2lRZKrezL7lW775wIH9 - r3zlq9bW1kajZr1cXP7tnBsMBgDaiA9fPnEpZlEU3vt2xZ33PkajqOs6fgghxNV380ItJBKJRCKR - SCQSiUQikUgkEolE4iuNOSdEqEMdNupveNGLfvD7/5d/9+u/kTs3qn2v0x2MhnVdF0URgn25D5kn - X6AZmzYhAuND5lrt13/913/we7/vioOH1fvhcGhB+/1+qL3O0gtPz50TiUQikUgkEolEIpFIJBKJ - RCKReJwSNbMBY9jkOjIFNVvG0jfneQAa7x2D4MTUxS9aSSooYK4piQKQZjtjvCZzCtvTutlEIpFI - JBKJxKVEXBQZ5Xij9uc8PekdaBdaAuh0OqPRKCqCM2+KIMacRMRMIdSbf04cbqarDMb64tMH3Zph - stjzJDkn1RwndoTqzBl2lHjc7RLvGBrinEyW07ZFgRh/O9tQCLot52xdzO01nWRKbXQHyeGdOwNt - jaDWVueckuTbJVEny9ZKY847j9vbbbx9S8nPaWcy82QhJ8sTlwmbGcCquk0Weac0hNBEbaVGFZhA - IAve2u1bUjT7Em/aMWyx08RBRYw2Mrs6O0nMY/MoLGBiYqsrm1OL5vxQG3k19nNVbP0NKEJENq+Z - o5QykTFv0Xef6njM8exbXe9cMeMYEdamtzdNSIgqrjuVp8mGsSQ5iNp+aG3tYp65dsb5Y/tEI0RR - iniGFGgr2uoc53nHOSYSszBPwHXfvpWZ29u9Wjtxuypm5l9ZOTBzu6oCNkNieQ6t5PPU9dLK67aS - xjtL7U5JLLfbYwWmpJF3KE+32+UxbWHaEsYrd7JI88aBXq8XDzp1rLaQrZ1JpeHtRNnseA9V1VbB - eudRtM2G8b1yqt3a3efdH2lTEp5aseEoCz/Tzs6F2V6e7TsSke5442izbRnqt5WfZkmDn9POvFPQ - SmvPrNRUE+1wuLYfbr/JTt3Cdr7PTh5xauOkMnR7vmKTg2wqZYkjssUHdAQ1M4IyFE3oKiUEhEYo - mtm12ydTZgaMyMZbAmCwIE6YG0nMpkJQ00A0bWFnO0QgVY5NbWrjas47X908w7j36viewkRBlSje - MsdjiAbMf+qYxSvM1NSaMZkIE+NPu6OaAXAyOxJblmfjU9RAIGyPAmxmagCYokQ6ptIYSdh8HWXO - o+S5sjlyxARjEKIIuqmBYkyxGak4ITZTBakZGZQsttbs46b0AqePdXbxeySRSCQSXwH2cItJK8YS - iUQikUgkEolEIpFIJC4kSbo7kbiE4JxAKKBaDkEEcm+5+YU/8k3funJ2o9fLkHF9dpWs7mYZTp3G - +mDjc3fVGxv12rqNBh21QiGm0Z+pWl2tHzo2YtZub/Hw4d611+DIkXxlKZx+WIpFh2zRKMuyz7zr - l576E69CKNHJNCgX3QIOiEKFxrvwpdjEABgcPvWOf9t/6NhiZwHDAe/r4+SJsydOZWrCZDCiMHZk - atySlOCyDJ0u4OLLPF7oYLiOxWWAKXqHXZJrcYxigDRsPrluyilgB3Zf93Nv+NgbfuHGXm9xdSDM - 8DWuvWbl+Oqpz921wLkxgg+OdnqFGZU+rXX7io5czeIp1Kpg6uXZxtog3Hm3vOAFEKk2zh4+esVg - 6LG2gWVBLtFTgMFj18WxlwPpXp+5M3MoS8k7Dg6dBdjoWCgPZhm8BWXxgW78qvW775XKdx2b39vb - ZSISgIYDPHQMB1eIGapieoV2UQX0LCs6Ci1YQjDZuwtvInHJ0krzGqAE2+r5alAhho21DMlcr6OX - 1xWgmHBTIkN0W4pDjFK8u0DBRoBx9B+OkpDxXyBig4IlozOjofQ6Iw2dlf7h73rp4eufeOd7/uM9 - /3DnfmT7iDKuyXw1RAC6XfjywlTAmqGeCSzGsDoWPycslutXn3IfevPbvuYXb7nmyMFhJqEoyseF - oN2lhlG8rSoIbKQEUpKqPqz6yAc/SCdP9CxIVmxUpfJYrXB3NGKZE7s0i5/BU0J6ChC00HKlru5+ - 7/tuvOnlD/uqcj3vveRZCMEIACvYgEBg03lK4YnzRUngguaD0cFRib/+8Cf+/X94Ujnab6gVSgyI - AkS6pz6w5QAwR1writydrfzG0tKpa44+73/74eoJN9y7tn7V8oHRoPQCKrguh5yxQcWMDTIe582g - ICMYcQDYWKkRv7zUdBlpLC2pBAMrYKSx1weCMyiZxRGbYKRiJiF0JV+vlHsLX6gGRw4fPvAvX3pw - 9dRD7/2TG/rds2eH/Qy+Nle4UelZ9yZFSYZqfQCL9wTRGb8iLpa2pfdeQEQEs4yFmcysyNxgsHG4 - rsv3vf/O9/zm08o631jLcorXOlOj3q0AjBibizR2iQEkWK0xWOiHp3zVk3/oB04udAYuK8uqR2Ks - NcMIuUIUYXzbvAi1f9RQQBlRsJhrAQA2JeXRsMw73ePw1//wD9z/mXtP/O1H9usg5y/TVTROOBik - zNBgi06r4eDEpz59/2/89rU/88qKvBVdz8yMysxCcM6ZhXjMKGO7g9L8xWBS+ppta/XJNK7pAYGy - YqGnrGp7u9/tCQNcr2dMMKZmeZsGBCIDlKwh6I2jAAAgAElEQVQRm21/8F5+ut1ga6YQMY3Vp61N - Edsn5uFutxIGCwU188QEM2qWUfCWRwZxX7JgVoN6KwehYOPxIsPxOGA7PozYBWQctio2k0HNYKEq - yzi+xVsYyLxZnrmq9rnreB75ynKHU3DXftu34uk3fUl5pIGg3V5+tlyXPONgYmAFQdkAAoPJJu+P - TVuxTS/eS2yHx8/fWuKvTsWWwWo889RmO/zk0y5P2XGtnri8fN33f+9H//V9h8JwMBquLOZhvZrZ - mcjg1PzG6PF3fogo3xjhxOqD//XPn1YU4qugcI7UFOPhN15hF+BG0DwHQGbhSsHn/uz9z/zuf9bf - 162ou1ZV3OkQzVhPnkgkQgghhKLoDocbL3jBC/7gD/7zD/zAD3z2/2fvzaNlSe76zu/vFxGZVbfu - vW/pRVKrtXRLQi1AAslCZjvYGhYDZjMw4wHGgDnmAMcgCyQk0BgbxsZgEGhBIJljNlsGjYcxshCj - YZHkYTGIRQs6whJCvahbvajf6/feXaoqMyN+v/kjMvPmrVtV/e7rbvVtvd/n1Ml3X1bkL/aIrMz4 - xfeDHykKX9cx+/LFGLOv7MOl2w3AOSciVVUB6J11Qwg5xhxpjLEoiocrRsMwDMMwDMMwDMMwDMMw - DOORgLybTqcu+Lzba4J+/0te+ra3ve2ue+9joKkqRyzQlFKMQsz6cL2vIcqmnHN5O0gmfeDi7k++ - 8qde9ZM/Scys3gUC0DSN9364tV//ftkwDMMwDMMwDMMwDMMwDMMwjE9mDrkN5qNkPW/l7MnCGHi4 - HBcBAylvjKDQBDgexttLeWHwx0FaDqWNBkk4qbsGGYZhGIZhGGsIIQBwzuHBdCjXICJZXds5N5/P - 80lVVV107VRFSmmgiCkLcsurYsjqlmsScDnpPGpg/YVDycn1ytzr90ocfntEmPVQMFrruM48rCBd - myRdk6TD0tTIuVxhTYmOKq523w3XNXVCyKoP3oRUNUsdZ/oLcdlVOYwXXc06l0VA2ygGMqurkrEk - I9mOiHaiztor/lJuDrR4nGyOiNDJ0FMvz8rsAM3qvVn5l9kRIZ/HEaHsjY3Jgh2AVMU5v9SOJl1q - Z3v7VA6frfXpAUhJcz9jzjLEjpm8D0vtjIpRjpGZ+lR1FdeGyXZyGLdKEjUE7uIbSuf2/b1XR85u - 2t4v3zo7aydnz+7hhYPGQNlCm7cV6fEdQzvDwee46RlqPK+RiD7QVD4s2bs08LB8FjhQlh1kP+sW - rwq/9DwzK1Lec4mQZWIJJPmYzy89EmsnPduGHNrpQzL5pRaaOmULvZ0+vCJlmzk9+Xwvf7twVCFi - 7WPp06BCvZ0cS7Y2TNvwKAns0H+7YGeY6xzXqvSkqOzg2IGEyOVrKW8CtCL9vX0R159xHIYhFSmn - jXl5jajgoO6Uc94VKXAYirUTaf47JV0q5c7siVSVu2lI8vZIwFFpeceQhAdpJ4qkctBO3GGJesp7 - jEK896uk7lVTJ1ffnlkjUQ+wajqaWmafz6umbCfHm60dtZPDdCEX/85X5VISoWx/qR2RXM6uz0U+ - OhdUk+rQcraZiz0tHLshVwCo5vMKIMa6HyiIlCirrbvDu04tHkXi4EwC0DQV0EvIt2MGEa2y0Fec - qnbplCxX3yXmYFxaM8T14+RQED1PuEfniDUsDN19GvqRdiHMmnuMhdT2OusLiu/trXLOO8nC0XlS - ZVUFyHkAeRqiGGukXjxeus3Gswg6uoe0B0ciEck66H2kotptHXUkXjs+zEfDMAzDMAzDMAzDMAzD - MAzDMD6JMOluwzgxEGpJhXeY15wIO3vve+Urv/WFXzia7Qeq0FRgCZygDvfeL+/9H/v33uc5udRw - ggOCC+w4pRRTJHLMVDBTStXu7nx/F/d9TDYnm89+jnvC46FTFOOx25jdc89Tzl5/+7965VN/8KUg - 5pGrY1X4EuAY1fvjiToDgnqO2GzPqieMNjCfoa6gae/WjzRNM4J3oqIJnEBCiVTyOgYIkx+NQQxR - KDFzhOLUNpgggHOxSVS4Q147JwAFGoABzwwgHYjpIC8gcJunEOvn/cj//u4f+4lnyWS8twcFfOk+ - /Vn+gfNxZ2d3f3c7FIiN9l5KiqVyvNS9DYcyIHllGjFAIHCMcYvczh33nLn5EjY2lDC/ePE62rj9 - Na976g99L7gECoB9p5gOAliuwLMpv6h3RYhN43wAvMb683/oFTs/8m9QiRtP0NQYkz99XdBzmE9X - Fh0BWCZfJOpItxxfuuP2U7fcghCQmhG7s7MaTcT+vhanGVxV80kxOmbaDeOkQ51WH4gVB/2TOC/Z - EdYUyBMRFPDhqlI2ZKB1EG3V5lpVYyXO/22DafeHqNeGFA6ciBOLsEBEGSkUtSfRWpnH49GeS/rZ - n/nMZz79wz/1ugt/8Zeys3cKTE0ceYAwmyEcmnh6EcVju6pmOUF34IBKuZZVsOFA8z26/9x7vv+H - n/sTP+quqR4oTwH+hE16j3kka5UqQClPhKwAeNJEXLhw++/93g2IjpAaYeC4asHQ3sEYAEi7yiNR - gLSvSsmiiYE51NOP/uEfP+0bv6G87tS+LzaK8f50ymVeWgoFR/akwhDS4zY340GYVntnyV03r/He - D7znVa+/6YG9U5JSg0CQg9pLSkKHa/YyYQgRs2LWpLR16vyZ089/2YtxyzPOXZif3bw2heLc7KI/ - M5rV+yVTQS4pSPOaSwh1So/ZIUOZFaQIClIpJCtknzQEgBMGwykUTAqCEJAYSnm4BgAvYEEgVPMp - +UkVk4efebf/+LM3fPs/qu7/+D1//p4zpRMV7zRVkYliUj6Omi9Dmv19QFmVSEWE4AAwSJS6tY+5 - bB/uUmjiaDSSlOrZvAxF8L6qKt3Zfarn+K4/ff/rX/+p84qmex6ghqGiTkXBBBIEOAEDUaF0zPYm - 5GbbG7c//prPe8l3T89s77mCEUonUEkk8wBSKhKcMiDpJLafY0AAK0hFQZG1YQDqSRzDETdRXDHZ - m1988vd+55/+y4/rh299kgpicwz7OpykD04qoRGMN4v5Xj1xoNTc8ft/gOu2n/yt37zf6KXgfRm2 - imKaUlIF2Dknqu6gJrPnFn8C9pVIREraqXELiCT7wWlSVmS/NyWI+MkoOgrp4e8LPULgMtQEEDFx - gibSiKQOnIBOLdi1682h9NCFpB9z8EBNWYYC3v1jAe7+TsS+CDVUiSg3TFVROIYqhA4Uvns59lyk - CYrJhqombRXlM+2vjxwdD4bHy0eH4QWkrCTZ4TMljalzWACyc4lztUZBEopNrZujMKVA1zzuKX// - q+9lmZ8en9/bv64YBS+61wTnISBVJahyIgggbS/iHDUpggopyiRCULt5Xku+q+HsMNPpc3PnDrVQ - donzoyP1wkEF2s7mkYHJ5p07l570t571+K/84v03vfnaKsq8XjW8MRCI47xC5yDS/p59jMOKkv14 - HvG7/98TLuzJzo4rQ4xNFbXstLoVLCToOvVxZ4DDT8za3yZehGZ7/nzT/MV7tra+YG97HHxZR0Uw - CQbjKufwfITW5Ulj3NzYmFX1eFymFK+7/pr/+MZf+aF//i/f9v++HUBRFHVd5+Mq5+orI6XEzKoa - QmiaBkCvEb6/vz+ZTIY+2Cmlhzd2wzAMwzAMwzAMwzAMwzAM42GDNb/pISJ2nGK88fFPeMUP/OA/ - ffGLt0fjnflMAB/K/CjYORdlcSvhK4yWSFSdCzG2qzxEwcB/+NVf+67v/I6n33Rz4QMDdV2nlEII - +Y1sL9pt6t2GYRiGYRiGYRiGYRiGYRiG8clOt6GCAp0/at61IBEIYALg6HIE8Y5Y7nz+ACgpvEJB - vfx2fgWhxL2rSxamSa2/IKjTnAFJvkoAZA/H3t3SXmQYhmEYhmE81qjrOoRARGVZVlV1sIPAZZN1 - uwE457Ico3POORdbH0ygk0nuVafzhf3NIxGIILI83pwepuGlS8hGiGi1nYNg60214VspSoTAIYRe - 67eXfsyajl3stLm5udRO9kv13ocQQgi9hrF0AtFDbUgi2tjYWGonq/P2drJmcC+ZOdS/zEwmkxUF - Rb04cSbnIueuXa000Kpcb6c3kjPV2znKZDJZkMDM0eV85fQMs7ZKYvOonZzmHP5oUdd1vdTO9vb2 - Ujtte2P23pdlmXsHoDHGNRLCvTxwL8ebovaytUy+D5PPr7czlEbupYjzt73MMOsS8VpAmiYRKZHL - xywcm5CyNPJQijjHtUqKWBrJFpYKAA/P5LhW1Veu3wURViJakLju62LV+CMiWRsbncZqDh9jXDCS - /1iTnqV2DkvaHwi+rrKT+3X2IhcRVSFViLiVP4oVBBBURZJIl81Vfui6YhwL3gPU6Xenbnmh0opf - 425Ff2ylwyEqCVBJKYsle18gq/8ePqoqVIgAEUChQmCoeO9zKXa9pw0JwtL2WXh3WLSYupE5m8lG - ksY2PSGUQDdbDI8ub2AkKklVCJL34HE+AMhCztmCqmhKoBWS1WAkUUjuKaopt3nnQh+XQlWSQlUT - s19aPj54ZCMqBCaCQqGieQ/KI+HbrefyotCDHLFqWyPQNkfdVbr8qAQVJgfN8u0KJoBE4tH8Einz - 8vaWUgMIkVOVYa+nVn4cA+VmAYRX5as7Un68hPYJlkgCBO2TJO1jUV1eL520tvZa0UQM6PoP0eKZ - lJqBBHgboK/lPl/9MadHtTfVpjkPBjkN3V1EzteScgaE2S0MesNyHjx2U4CIlnd2DGb2fmpeGqZn - aQAMxpmldvoLh/cka9IzHKWPhly4J1nK0TuB/N9+yfRCFOslwI9O4qtG71X3J0fvfHJ5DmeKQ6ld - sf1VjHFoqg8fQljI1FBcfGl6Fm7q1tfviUNXFJBhGFc9j/SmdCdv07vj7vf4yKTCOIHQ4C6lnTcp - v8AFzGHMMAzDMAzDMAzDMAzjYcakuw3jpKAABweNSIK96tx/etN183it56ACB+zvwRGSzt/9nvs+ - 8MEbwkZZzx1QAsQQIklJkwDsfaGqEIFIIBTZ9O68ms7v/aM/vu5Zt7hnPg0SEeTs2W3sz3Q0vvXV - r7v5Jd+DoL4o89N4SZ1nzTEyICBFNaf9/dIxHOAJs9mFO+7YZobzLBEK0byjmY/SCmMm0tHWBlRV - 2gUi07oCAdUco00A3nM6kT443BWQttLd4vJp8iAfgdjMR46e97KX3PEvfvyGwgceY76Hzc0zn/Hs - e//oDz0hSnKH3/f32oRKh0XjDjaCQyvfLXAeSjqv6i1fxKqZ3Xr7+PSp8tQErkStAsF8iuAQCoDb - hSIAqBUad8csVWaf18T4wsUEIrhTp/Hxe87PplskwkFd8IW79qlPnz1wv5djTzBJlRSjMZ9/4Pyp - 8+cxuhFMgeAdf+gnXvnMH/3X3IgL7MtRijURMYdjxmAYjxk0DwIQIhKJpA6SGKFVXSyuuvs36pbt - urwWlCDgvECpf3UokKxxy8hrJdtpTJHfOAop6rrm4FmKqplfilqEQiYbtLn5jJe/+O6f/6W73/77 - 1e7e9byR4kyjlgFJ+rfUeQmnXNlslNMhpKyxNyCAL1FV2By5eOFCirjtx1950w/9M90oLvmNasUq - LuO4SLvCFQJmEiBR/lu8J95Wxvs+kP76I6OYADR1M3YjTcuX1K/hQFq42wUVgNKB9KZTQJmQW1EM - MW2f25G3/8Gpf/T1FyGoYsEuQoWUFUqcQAQGmIfN0HjIEGQz0Pb+HB//+J+8+mduPH/x+iYxockK - slBFlE799IqkFoW9q2LtfdghvntUPO+lL4q33Hw+cBm25g0eqPZ5PErEzjlCFBEmjwN9R1JAsuO6 - HqhskgpBSEGKxJevZP2Io4BQtyZY2SduNwJuv4KSpCxG24anmlkA9WikDkVRa/yY6Kc89cabvu1b - 3/2xu8O5c3Jxd5PgmZq8rjcdY2tjUlR70yzumheVEuuBS3/rVXKQwocRZiZAmujZQXQ6nRbeX0MO - 73vfn/3M6560s7Mxq0MRAI11DOwrxJwIBybAQZRSLqPLpyG3y8XHRxt/+9v/MW5+4nRzsl/LOKgj - UghIfOeHkqjVYX1MkxtV1ycpSDs1J0DAoSx3prtuvLF58xM/69u/9T3/xyu3dvYnJIWmdUYPk/ew - zqO3EwAKSgRxQLVXj8ZUz7SY7l+b4kf/7zc/4fGPm3zVV1Mod6o6uLIgN0+RiHTQR7MpHSgxP3K0 - ESmImJGou5/M7hRMlKAKqAiUwnjUkJarV+c/dBSMUEQVdQSipJJYEim34vUQzVrsIPpElM8JpC9+ - xkB7O5/pvkpdySgBhY9QJSZiUT3kNTSw1FmHqoJJoCiKRCwMJBCpUvZjAauyAoTEEFJe7hG2kj6y - vvpIO3+U2azzM8lpya47oqzOITbNiDGHu72ST/+qr8W11803Ny6k6ebpsU7r6X59amNz2lSOg5Ko - toWQ+6YSD5fgk4IgpEpAIjH17lVQNxEv3FXmux06MkYlEmFlhVMmZVLnlAUQVmWVrdE9lx648eu+ - 4r2/+Tsuye7Oue0ANK3BBZxgNpufyEd6Vw4pfFLUza2/984nNBGAaBQBo1vdCtfKyWfHVGCww9Bl - 2e8WzArAChK4PB4EThNpPvg7b3/253we5jVvFsG5SqIJ/xrGUcqynM1mSq6u6xBKVZ1MJq997Wtf - 9eqf+bmfe0NWUsm63QvO2w+RLAfOzL3Z0WiU/Yrz7gNDdz7T7TYMwzAMwzAMwzAMwzAMwzix1HU9 - mUxEsL+/74J3zNPdvW/+xm96y1ve+tvv+D1PLmqKTUPMSoixebhWoki7pW/7fo2JRQUAAz/9qlf9 - uze8oapqUgTnxpON1Az2FTX1bsMwDMMwDMMwDMMwDMMwDMO4Omj9gw50twSAdB5p2YPFKdDugXM8 - FArKXjECBUFB2fe1lUJEKyKU9RWBLG2YAyxNp2EYhmEYhvFYpq7roijQrWbpRbiPhYiEEJqmyU6d - 3nsR+eEf/hfXX3cNM/XK0Dgsjpijy+GzKvYqacbsxZntZLnrrPTcr8Pp7WSp5lXpHI/HWWp6TZgh - RDRUVOqlMY9evl5M8ajqZJ+Lo0YeVJdxKLG5IC3Z21lvpK/iLLbdB84SyEftrCqu7Mmby39B7XJ9 - yo9mvM8LlhXXUTsYFFcfODehowlY5eq7sI5rIYouFk1Sq2pu5N2vokPHpEkVoKTSyg8DAkUovCp3 - csQKQJEkKTEBefvRQ0dyONBwzXuGsALEnlSdtv0jp1pU1bU/1NLCkbm1Q5T1bklVHEhJoJ1IGIkq - FBEJqgpFTvPwGEJfbm6gGUoih2IUyfuzyupupVnj9qgW6ZFSh6zQq85fi4jqQWtZaJ85pzkGkZUS - p8y5mtLQzkKr6/9c2t+76HTBjuq63pelvnMA5whoO85Qevyw/eXlUNfz3Om67BARMbvVUuXLvez7 - oiYiZiIKRKTqO/f5JarGS49VNeu7ZM4RM7IE9dLwInFwJuFAbTf2RphBlHfgdCnFpXZyAXTi5W1v - ZeaqmuVC7hKTh8fcmJf03/6Ye2tWXCbyTZN3wdUuR9mC78p5Sb5yE8VhHfquPSyGz5rNuZTytaox - S/xS3nVTCciXa7eP2pIjM+UeClDXGpOqeu+X5ZQOl//BMQSv2pdDVrBOqtqFb/t7HoVwMHIuyVc3 - UrUa2Bnv/ZH0r2tXXSvSvmTy0Xu/5irVxfEw56sbh6HathmidfHmshqmdqG+AAzSs6RFiRyU1fDo - nMttKZezyMHEh2X0E+swzDBw130O5sGldhbGmaOmejuXk57ht8MULqRqTXqGY3gm951+Hh/aX3NL - cHj81z7wwn/R9cr1t7jD8MNkLCSAiCjvNbTCCI4U7FI58zXpGeZrTYKNRxhun5E/9o6GYRjGJwP2 - +tUwDMMwDMMwDMMwDOPh4qqTfjSME4tAKiRq5i7RnW/6z/jo3dcF30wvOSdc1ygD7rzv/Lv+TC/u - PmXzmv2dix6OCZQ32ZLUajkRiFzSKKJQEODIEamSIgJ79cX3f2i0szN5/vPg03x2YTQ6hTTzO4pG - oSSgXalKHo9dp/R8+e9kRTGr3vOzr7+BUdVTibMxM3Z2J/tzFpeUWcn3epaORdQxBKhYx5MJQO3S - sKxjkSImZ+CBJHAsUcifLBEmUvjuWTWRBMrvt5kBSgCjBsrxFtIMe+d3JsV24M16FnxAU+PJN2zc - en26R6vd/XFXxr1wV6/02UorEQA4AStRXmBHrZK3JigrZ7FJ4gt33zd+VoPJFhwuTC9Vpd762p99 - 7vd9H3iSXLuuBwS0wt84tjaIaJOaEFyERgcFishucurxtzxt/28+rCn5qH68HR5/4yVQeUR9fIF+ - k7iDIgUpNEoMhL3b7to8+wRsukRIcV6UG2jEMYGhEOd72amT1SoM44ohHAy5vV5shxAxOnk+UQ1l - cXUJHGYNTHWkzBiIIoOVICQKEIQhTtCOk6DkJHKWjGVWOPEMlOrqqnFFcKMzTaqnTcPz5mJKeu3o - hpd9xzU3P+m9v/RGvf/8DT7MU71JSAS04p1XDilc4lbCluEE1CnyzmpxHrN5tRG8ptk9H3j/3b/0 - xhu+/dviNUVVhodccEZPFr3ziaJDpciij8RK2K/vfvs7r60qH1PWyiZmHFO3a4mQcifUqkBiAMSp - nbsBNIoNR4+r01//3n+75R98OZ/epkbGZblLUyUIESsSPJM4PYZosXE5eNGNWVXu7P/1a39u6447 - zrBqSoAPDJUISG4GeehITKTKWHc/s4ASoiR1mIEvnj51wzd9Lb7gBeeCu5BiGavJZLuUsFdPGbIx - CtX+zsbmZt1AwZFbp/VWq1E5q2xSFp5u73fyfaAMVUsfZUgUSpoA5xReACAxlDiRKIHAXlonfwUq - jwgKIcR67kvfpD0VmYzGdz/wwA3PffZnfMc/+cBPv25T3P7OxdOOHalqOu50V+1P88JsIhJqS6pd - QU5QbSfZh30aVdWmaVJKmxsTiYmBjdFY77rzQ6957eM++Deb5SgWQevK59WLXjQxQKpeIYS0TO30 - wamcP7exceMXfaH/u59/zmEOLcaj6XQ+LgsogmA7KoDKUXRIxAC8yAnSfj8mQogMJfYiXrBZA0DD - aBiiqRZC4cXRhTQ987ef/4yv/Mq73vzWJ+6mYoWXyJpYErGCGCAo5xobYzrDbKbjMqSqeRx4q6rf - +wu//Ck3PHnyBZ9bJKnntS+Lgl0ESUo8/KWl3N6dAEKPbPkroAyWg0WyWeycFUqiyErODHJhPKoZ - x5E1vxK4DHNWBZRUIMJILHDORQDCyqRgbWfdq+vmtpNSJuHUKmYzcjXRgQdJJv9wTgSUIzn8gEYX - gnW+CYAoISngoGAwiecmK4S3G6IIi5AyKZQkZeHwxd8gV850Ou08lJD9x1SVlIJCSYVAhXsgpr2b - n44v+3uXII0kTzFIo001DmVVN8EXEQSwMPryUEKeAUmZIUqSSAAFRYAVzpYTroEUpAAfzINKQnla - HATLZa2kQgIgUWD1CY4VhYhqmsZ5LDhMSgjd8sK/c+9vvPWajVFVz4vOwlAGID+MrGZzdH44rX/j - Y7ymnCLM4877Pzj72J1uf2eyMaqncw8ED035hyoULJQeek4VSOQBOFFh4ZJcrPf/6sP4q9vC8z+j - SeJLT41a4zeuZkgBsB7xXosxqupkc1xV1XS6d+bMGdUkkl70ohfdeOOTX/GKV2SN7ZRSCCEHfljS - k3W7h45weXMB731KSVVjjENvXsMwDMMwDMMwDMMwDMMwDONkItAmRYnKzONyRESzNK9n8x982cvf - /o63N5oKFxpFVIEqmHH8XYkHHHpi7JyT2C6YYWZJAgAOv/4b//XlL3vZM5/+jHpepZQ8u/wCrl8G - M1TvNgzDMAzDMAzDMAzDMAzDMAzjkxEFkoLzXjEMECKQAAZiA6/tWwf17X4Lx/KY77SCmKBKAmgE - CKAcY+9t7fq0CEBwrpMt0oHDG0Eh3XYwnc73VeZCaBiGYRiG8UlA9sQsy9I51ym2HhtmbprGe5+1 - IZum+ZVf+ZXP/dzPTrFypNnjchh+QSESnaTiKj/Q7LaZ7Ry9ZEHicY0UYowx26DLkrWTvEXngQc9 - Ubvppx66nIhwWDtzgYWcAmBAVR0v7BCpAAhYVRFZ0jLvYtcWabsR2+Hs6IN4podO6lslYaCt2kqi - HqosBaBp+e5Yo+C7rKl2spS6Wuq4TRuWiVBp3g/jUGGutLOQwv5/rdwsQIfULmVF+l0Oc6TWiAgE - OhQ7wfEqCWTfCXQuWkuxz9dBcjXvOLKEhUbSnyHmbOdQYOiDirwPYlYANNjNZKjbuqqxxFj3IXsx - 1AVlaiJqZUzBIrJUyjQbyIHa+iEior6dDxNDq/tRri1qi++gXLVv/13acqrWDmitjWytzWI3bhwW - tSXV5cMFu6y+fJCkpRK2PcFlBXTRrqfEpFgtbbuqYsrC93LIAFRUVOM6ifHlDcW5AzuSDgTIV0nd - 8xHJ3jZfZamdBHE+oyJr+u/R8/lC15aPqioGBleOA9oOmIcUslXLouht6mXYOTSD4KALFyGgq6lW - YFlVVUNYvWkkkWM+NEkd3pFgSIqxV/jOactZWJD47bO2ql0lkQM7ROi6aBrUyCCBK3c/qOuajtCn - Z0leV5RB349cJ1Tfp7PPUZ+YNfmSbldG4qzC3k5b66WXF+4HAFT1DAdjVy4jrOnXq8bDlA5E2XPO - 2u2eV8wL7JaXUEx1Xyw5MURMRKv2TuvH3qXZPDDVHVeNe6v6dUppaPxQ3a9Oz5Bu11w6bOdQez4K - 9w8SWyPd1J/aqw/SA6LV94cH5xVM3T0SAEE2QoN8AcgN6Sg5nfkSlTx6oL2Z6DJ2UNQkq8q5t0/o - 0qw5d102h3awsiOpKNNBEeU7B8LqBnrSODmbZz4k+LF6XKErb5xMHivd2vjEcLVt0fnIc9Lmo+H4 - PEhbe6PbbmSugKy4YzEMwzAMwzAMwzuc6wQAACAASURBVDAMwzCuDHvaYlzVKET7p5N65DMI1585 - +uWKs9J92u9T+70M7WBwhoERxLFiPpvdeuspjSONhMikgOCjd933B/99Mm1Oqat3zo+cY4YqRFRU - GByIPJFqik1NSb0in4GqJFWBAyagrSbtfeS2i+/6UzRVoQLSkepZpg++5jVoao845pLzUqHmGCWZ - uiyV0+mYGJKKSYE4x4c/PInCkiTLguXVhZ0moSdWRRKgKCEO5EFoVPYEKMcgpJjtwns+ia8JBrWY - lbe4XyUQwYSoADlsn37297/0Y472c06LMaJsf8Zz43jkylEW/D4wSVlCaTEq1l7xDUnBnjnLmis2 - R4VKIqnLWMltt2FWYzo/c3arZNnY2UMtSN076nb5oXSJPd4UoEw+lAolUA1Q9qpSGv/PX39hPFL2 - jjwgGIXJ9TfIaJSIlZA4KzgeMnX0rY8SiAGHusZWWe7efQ9mU4BExDFG0A/84r9HiqiViBWoU32s - xBvGSUe57yZOQRBAcp9nZSKngFBUTcrOheJqu4UTGgxZyqQgYYaQwgmcilNk3W5SyXtQpm4s5W4F - NSkQ0/Zog5Pu7+4mhfOFJ09leb4MDwQq/8FXvOBF/3Tn5ptuI/bjcdN0End0MKe3ZzqU2s+Qoyfb - V52Hg3VCbjQunDRxkprNSxc//ra3V2/5f05Nq0lsHJKQ5LzTYEUwKV/ZMH510pYzCUFIIcgKmewF - 4/kUH7/nrnf99+tVfQQUHpya5fOL0sHxijlYsR5lUlX17XfUH/rQ5t50uyir2OT7IwZIJTdvBcQ2 - U30w8gICghBEKN9jMB1enyfEQiDIVlOd2d+//9d+bfYXf/Z4ia6aKxTkUl6g2vaxvq/lu/Z1fU2z - Vmh2cs+6p4zK456NIn3qMx7/TV9/N8ksFOONLR4X+81sHqvNzY0R+2p3f7QxqZpauuQl4kTcZeFQ - lAr091QnECXkQTKnfaBS2ery5g/ACiYuatFGYkJ0DpQ9lrZP35sa94UvvPlrvubDMW1sXxNjahph - aG+tL5k+0r5X5sJRAinifB+p5gRSdt2Nef65lQVKdXAjypflLrWQW87C6gQJvSo5QYh9KMFFXcem - mp0ibNx157v//S+4D/yPm4NzsyrGmonZ+8KhqYWALJrbsm5s4aMjT5Z7v1SGS0958rXf8s0zKJ0+ - M62bWM3PnDmV3dUAeIHLeurLZqvHHErQvIQIDMAJ3GCIdI6cc/tNU4/GFfutb/iHuzc9ZacIkVmP - O10elFTbWFIDAsYjX1fN2AWqZtt7s8dd2nnXz7/B33b7WTCapEmUmJhBLkdJwv0AktvMI65WS5oT - PXCiEiBlwWNVIu2WnRf+kV6HJwQKQYgTkpCAiYgJ7tD4TJJ7ZTdKXHUoH2cUCqUwJaRWdD3XYH+f - o4ddQBWqALnoHJxTz2AQt34F3NWCsnQt4dgNQrql2KxQgoKUmBQhxTSbMnPq3Y5IFBrYi6gIfInp - PFWjzSd/yRfpZLxXBvKuJDfd2d2abFZ17VxQOXAKUHA7grXD78Eq8HYKIE6P8fHtE8PCLNCPn327 - GTZHl/2CwYmcwgsYUFIpiyCx0VDUwOhLvujerXLm/Rq3REpRm6r3yR20tJO2lJ/z/Rj6x3qE1J1x - Kk4lD1mJOIhuTfdu+93f3ZTkAJ1XDggE6dxylQ46yMNB/ilKrKhnsuXc42q5622/XTICYjWf94kX - kvyrx2l7OynE7VpbkvZjGJ+cLHXVpqIodnZ2UkqTyWR3dzdvuxA8f+3Xfs0b/+OvjMclAcxomubh - 0u1G58ELoPetTSkxc9YIJ6IQQu++uN7/0zAMwzAMwzAMwzAMwzAMw3gUGZej1MQY42g0mk6nVVXl - J8/Pefazv/5rvjbAN6lJkqBK3j803e5FiEjR7r0b8zJ6QpPgAr/+Df9ufz4LIYQQ6rrO++HKYFXb - wuJGwzAMwzAMwzAMwzAMwzAMwzA+6aBDO9sMdrxxCtf6xVzxmwvpjHaqgL0HWRt5F/DwdkyLbyha - v2/m3rWTrsB9zTAMwzAMw3iUyc6SGxsbAFJKRVGIyGrFxpUMLxFJr3nNqz7ns18gqXGkRKqaRGJK - Tf9RTaoJEKK8R4YCks8s/YTgnKMcpg8vEkVivqo3mONab8c5yhYe7ANize7birRwHH4U6ejJ4cd5 - cp7YYeGTLxx+RGOShliXfobRDT9LI12TpByLaAQJsbJDTuGCtfX2QZKkyXayqd7amqI4moV81dKc - ZstHP6vKYaGacnmKxqMlnz/DuBbKJ39yBmOq8x9r2v/SXnNUVB6dk/JS+LDSbX/VUKf5Mvtjr4k7 - vHB4UkSyGvFQk3gBd5icvAX7MmDVRgdDEeL+quya3dvsv3rQ3A0TnFLq91/KX2VSSr39oyyUcL4w - h18o8PXFnkP2JZnTkwYa2KsA4JwLIXjvnXNH9ZLX02dQVYloWDvHtdPLWvd2vPdrwi/UeCbGmFIa - mmLmVTrBfTUdLeG+7vK3fZLWFGPfGmmgdp8Lp22Tg6ytqcelzaO30xsJIRRFsSo9fUhmHhbUqvLM - tZ8bQN8peh3lYciFnF6+naWtYlX6s518ydDOsKzWdIeeYT/KRpqmyVs9rKnHNXbQ9dCmaYb9fVVt - LpRbXos7HMH0wfS/+8wOk9cXMgaD2Jr09K1iiKoOU9K3+RjjcctHuzFTD49Cq9rJ0X53tH3i8HB9 - melZiHeYqjXpWYiODnfDo7W/Jj3D/y6NBYORYZWdhfAA8jg27EQ5ZEopRV01v/TZ6dNGXU9cCNMP - d0vRwew8HFtWN1jDMAzDME44a+4/Bejf1eqDBTYMwzAMwzAMwzAMwzCOjX+0E2AYjxoKEQhaiUQ+ - cErRI44fA001AbJ0MWsCmIhaXW4AnRwaMUQjE2W1UAILEAEH8SoQCLMQqqbaCAFNTa6AMoFc3EVT - feQnX/WEqtpkhcTCCSTh9rvu+8sPuOk8+KBaM9Qx0AzFidr3y62a8vDUIXUeRaqvGfnzd945e+8H - xs99PqYzH8KomW40EZJQ16MQmgSAUSCLRR92zsliaVABMyQmdi4pGoZTYDq9RlDUVaFKqmA5f/fd - p1RYE0hYoUlBIIU0MQCaRBnBexCDCkQFz6Tc+KzvfjHcGErOAdKK7jFOmDMODTUVWz229hSjlQok - gDwCYzN8+g/+wL3/5l9XO/ssZXAjnKLTT7vp0l+85xofNArBEaHRROyY0TQpcOuexG1sBw/HPaCN - ACgAFZWqJkVBnOa7O3feevpZn4bEqOsNR2fg7/7l/3TDd307BQIUKmCXwKpwdEzd7q6ZexBDSnCb - QV9i+/Q9xfhMFYu4F/2eL9P4Wc++9+5zp6HONbUKO2RJVKcAdzL2yn3TyoLlzNpEjIOr5k1Z7ONj - t+LscwofCK6sZnz+LsRdhNMARYhzRZusNRU0SDxOWvv5BKLaqsUQ0doiMx5NhFs9UUCcCnqtSGFH - ZZTETKINCsTauWKzvso0m1m7sQJ5PhBoVgFEO4y08r0CytMgswJyUExKkggceJrmwiicF0kKKKsk - DVo0Pty3Qdd9+Zfecs11f/rq15y5454zOnOC2MWRJZ3qhFERtE5tpN0s6zqBcAWERLtZonM0FUKr - nzo8UwJIqjEVBKnjdY629/b+6j+88bnPfMZ1z/+M+zY3KheQiIhHLlSzOXsnAKsTZSURUoaABHp1 - tYfjQqQOKBhNk+bAeGMyvRivDeXWfO+u3/7N7dklN0tjXzZNBShx1IGHcH8XJXSo1x3e5PSQUmYb - YDiDt1Nee6FnImgj1Vbc++hbf+vpz/q0C3sXdXsrW2FVp5EVAk6MrIJ5dQqaXg69HmGZkhIiQ8FO - mBWNNKNRsbs33djaTopqtn927E9d2MXb31n9xn+9bufCxBWE5LyvYlWyV4m5+kjzXY4A+XZl8Q4i - d7gkCAExEbQI5ESmqnCMFGk23rr7iWf/zku/M22Oo8CLl1obkhQAoG5mQaQMo7lCnfPCbvWCXR2k - hLs/Hu5SfAgo58UcChaCOBl0AembbqcLK14AgZCoK1RUAHKuTtSQo42tfdHNb/g6f+ut9/3hnz+J - xklnHlBtjferRbj7AwC3IppQgheA0t7O/WAtxfnIiVRE4BmAUwaQCInFJ2aIkCj0mEMoAw5QIDrV - IomA9wtEZu/CftWUfpzq+VZZbu5evPCmN47f8bvXNknqNAYDYIhGQb6Zb/XEU3s/fHjM6UaY/MuL - BEwQV/qmioEhgkiY+XBuc/KCl734/lMbfOrUfiPMLoSink0JwuqEeO7a2vECJQEe24MJKXxeLqxQ - cOUEQGJmRZKIRAFIQpXze6dO76RLn/V93/3uF734Oh3JdOa7dcuOWdcukWcFq0rf8/OMoCgJUuXf - sE2+1bimqm+59SO3/vRP3fzPf2Tj+usvqu5WzejUKWlimZJPIKVELE4AdhoBObaI+HFglb5nCLi9 - M6EECBOLpEBlzCkggfeJWI/vFXn5KNiHcRSmoJBITBAqqJRGoCzg1uGNIMStw9pjuX0eF+2kr3Om - WSF0UALDrtq1V0IoIkhJFJEIKvDkVFRJWOHzbbIyupEkMKqYKg7YmCSpESvnQkpK2j4KVpIERr5H - JTnuL8V2VAEDnPJ2KsqFylgTppc0iSgFsGhKpEqA1MSogZQA9jvMN/9PLzw3GdUFp9Q4cZNisj+v - KZRJJd/MC0kumS5tsjiIKYOE1bV/GytQIHU68X2Tw5ERafB/IWmf/gkJICBJLKBEmkY+1HOdllvF - M57sXvCc3bf/tzMAQQ6mMGV0TXfkdH7xPDypqqMAYSJKGt3JekLDAqcASAQSBEKI7BXMoqPgZbrL - zFyEmUhDIdQzfOgD+ud/FOIsBVZhRUQoUl17BQik6pDLmh9iPgni2ue9BOWCFRXOxtmd7/nzGz92 - ++SmJzXFZkMe+fcyJ2gsBayclPM4r6SsQqpKAmQxcs6/rw3jkwM96qrdzQiSUPoSgMZUOI8kDvAO - VT3/vM95wa//X2/6x9/yLfd+/Bwo/+hoKYqiriOQNzQDNC3aX8LBCKpd/2qaAzf77JqonVNxjDH/ - l5nrui6KAkBVVWVZ5gt72W/DMAzDMAzDMAzDMAzDMAzj0SJGIXLeI8aYFbKJkGLj2P3Ay7//Lb/1 - VlaaxiYBmi7nSfIih998HnrWHWMNIA7WNmRvgHmUN77p/3z5y1/uJjQZjas0V695CWW3wo2pW/uk - J+p1nGEYhmEYhmEYhmEYhmEYhmEYDwM8/KdTZ+KBrLZ2bpESQYpje4+0kt1K7cp4ZqgC7A7FOLjA - HTkzSG2/zv7gQnt/YRiGYRiGcSLJy2N6b8fZbDYej9GJXHrvmVlEhl6TyyEQQwXErEkAeO9jjNBE - eRca4N/++I9+2Zd+SWrmZRlksI8APYS9L4ZqkUOhx4N0XZ7xXknxcuMV6jxRD+03tXTfhuxgutSO - JPS3y0M156MhF+JaGsVlpmfV+YWIjqZnfQqX21kb17qrDtKwEPu6Gu1+CC0PMsw4HQp/WckZJKX9 - 40Eb1+W0qGGYVc11jZ2jl6xp86vs9E7Qa9J5NPxRa0v7ILByP5BcI30t99cM67GvtTX9aGgtw8Sg - xeo+SM7Keh80265P5Q1eFlK4vlUf7Y+X2381jwlHt0I+HH5NvORyxNLuq0wgty78KgbJf9BmTCtU - Y4dd9nLs9CGPpFOHsRyYWtVfBlcdtf8gYZZFvXjm8FirqmuLEiCSPkyvTPygsfffdtHJZc9QhxJ/ - xM4qleUHsdOxdKCgI2V7OVEstJzLna9lsXdw3lT5mPsmSbv4d2hqRRM8zEJ37oe9YYAH3fH3yJ6G - h2bYh/IIbekt0EOcRC7HzmrkSBvEmtJph1uCdo8qF6FDf+iagu5CLu+il2+nG037kEea8sFRHqRb - H5RFPwgsluphpfCV6RkauZJ6eZQ4uj/MYxV5zB4Nw3hM8pjeP/ZEcmKHxP72uE+hqCpTVJCCrCUY - hmEYhmEYhmEYhmE8vJiuiWEsrtNqX4vSkhBV1TjAgRhISUGUgLo+eN5KDCACDYtAEg02v9JeXLSz - V4aySg05h7zBVkqo9P0/9tPXV2mLiZo56pnb38N993/8Ax/EA5c2RB2gyAsO6yt4WEoMBmQet+D2 - 7/oY7rkPmsDsKfJ8+mevex1mFZIGx1UTZe0L5JgSAHYOCsfte+Tzb3kr9nZHPpBCqwqXLmlTsyRC - lmpSgKEMZYIcaFH3QjZMII0MjMcoAhxBNJdgSify2TANW8uBU1Mujq4RMZxHUWJU3tPM3altJR+j - IpThllvc9qmKuhWYxApSVVUaNkDSdRpm/bcMCaJ+PsWtHwExmqZUTAT1/eeRFCkhzcBJIUmTJ4Zc - uWcTIYtjCgA4Rlm+4CUv3a0ahMBOqmaOxz9Ot7YboiQgatdJEEB8SAplgVbnKZEHF7GZ338fmoaS - pP3ZxLvtWKOpUM9B8PBR4pUm3zBOHAoIWMFZji73a22nkDy2sBCEVEmUGOQf7SQ/aiig7XaTB7rd - B19lwc3uzTIf/r8CiSSRKAkgrMKaTfEobDQULo3Hd4wcPe85n/8DL791Mt7dPpNCUQSWPIGWrW53 - Ux9yJJAjg6miFWIXOrQJ5tHxvD9DCqcyiulU1dywX73353+RHrg0mu6VrN5RVdWzqvGjMku8koIh - 2fhgNjVWoqopRWkaBzj21TxNJhPZ28X995/703dtSxoRUlN78utVQ4WWVPcacv06gWvlVFtRVRFl - xcijnM12//w9+JtbJ8FFSkogcU7gRVmEIUrHXQ56FSLUr7XXdjgFAJKyDLNqvrW1tb+/36R4/amt - 8YWLuO2jH/3FX57cff6sIs2rmIQcAi2u56NuKKZ2YAHQDsupu90LI9QNkiqYZ1IxOTfBpYTZaPO+ - Yvz53/FP5HFn71eNfhRcoU1UyqpykRB9gpMssLpqPD/UZlqUT6IuaZcq7Yfodow9WOWjgyWwpHB9 - 8SpROz5zTe6id9MzW5/5zd9w8eypS6Mi+xHkge6woPUBebDtR1qGSD1HbLK6KCtAIqqqiZRocVti - vWKdYD0Y3iUbaZrGu0KTnC5Gk0s7+p733fWW37xpWo1jyiO8UxlGR4puCJehWaGFuaNdlsvB1VUM - AUnARFKO72Z6+t//0uqmJ++dPT31QcgTHJKQaOBWMDQyR2YAnMeix/iE0eciN62cu1yogR2JIgkR - CfmpD3tnT9c33XjLV3z5XZIwnjC5SuHGxUyE/KDfUVub2v2ay1Xj9FDV9GPCkHGU63dmeN9f3vvm - t2zXjWuara2tuppRim16qb1daYVvH2lIOLeotlf2MvdZ75wA5m4MUYI+VD3Zy4A9MRMR0CnHC5Fy - Lpw88KZupiO96pYGDsdGHLlxXTgPAI7JMRHaZ0vt8NfWIwPcefflYbkdYJ2D80R0MOCoAyi3kNwu - qW35x4FEOvVxANJu8sKkCLHhqlak/pFDfibADknhHSK5uhydffrTcfZstTGKed5WJvFCnLibyjuh - 7lUl05Ujn9D58YShR5rcGkjZCbGSUJ4sRUkSi5JITCmpc2UMo9nm+NrnPieNCspb+xzUUfekjIRS - pFQjJgZY21H7ofhOfyLohnshELmUkqoSqcaElErBaVD99necuXhhrKIgMCVAY+P7cZUEJCsb7fES - 098wKIAkGgSbUcpLu3e/8x2nHPskItINBQI6uIE8+ClBnSdo9/viWD9qDOOTjLqeb082UmpufuqT - 3/zm//L85z0nu6ExoywDgLquARRlCSXmwQP/npWezusmI1WNMTIzM49Go5QSEaWU+p0shrrdD76B - hWEYhmEYhmEYhmEYhmEYhvEIs2rldtM0T3va0/63b/jGOjaBPQBy7pFPDUDwZTmtqp/9uTeMx+O6 - rgsfcOQNoFL7iuqKl8QYhmEYhmEYhmEYhmEYhmEYhnGC4bw7Dx0+0+9103+VCOnYikUtpL1YTd7+ - Ytki+kM77Sw7v/D9OsUxwzAMwzAM41HGe5+9HVU1pTQej1VVRLJLeIxRRLgXTF0j/djrdouEEPK1 - zjkRlGWIUV7ykhf9L1//dRKryWScUjrBUkmGYRiGYRiGYRhLOQkK3KbbbRiG8UkE5Z0Dj+5yJgcf - oB/M7Y2rYRiGYRiGYRiGYRjGw4VJmxhXLwR2YDeUW2bAQx0SIxEiIRKy/rYywFJ6gkh+Yum8nzVS - qbiSlWvQVHkf2JfmElKFJqFWRIJw1gHzgAODPAjtgsTYBFeCAjwrC5r5qz/7i555QbbqJHs7raD1 - fn3HO//Inbu4JTJykFTV0MhoSIWxKD93GWR1Jw9qzj1Q33Z7ltKppN7aKIqdfahDHWOScuxp7WZi - /QLK1NT1vJEmQvTOD394gwNE0TQkqM9dZOnWWfbiMSQg6eXuiJAIcECg5BWESIIgF1A3GlsFKCCm - +thZPWkwP/cVP3RXXXGAR2xmNSicec6n70AipUQxOkpMKgJNICjzseqXFA5gwb233QoFogAUPDez - KaJgbwo4EBEokKPj78uWa8kBnSIYt2eJAYXnVBbwITVSnjoFarafeG1iiIgDsXROYArVdX5VDIiI - E4SE3Xs/jksXII3b2kjTaiPS+1/zMwiuqisCSi6gfMini1a6eJknl/HY5aiqGRG5T8Buj1cTQphH - nc1rSD2elOekwqc+/bN/7IdvfdINd9ZN3YjfCvuCWYViXO7Wjfcha9MKi5KwCg010uihrk3ZrOPG - X37kzlf//GnmdOliKWlzY1xpqgiVl+iE0LA2oJQ4C3izSa+tQQhgR8SUxCsXrlBVlWqbRf/k3fO/ - vmMMnwgJClVPxIf3Xe3/7jUjV23Mevk4x6KIEVsuhLvOVb//JwG1BM2Sxj45J4SBHKaxBlY4RKcR - 6hSOlBmiFBPHSmOjqa6jJw4e+3ffeXqn+utf+tXmvvPjQMH74GgcnKTUKCDLKzVr7mYpZSE0jMiQ - 3DAaOIJTZcQAbrSRfbgN90FKT/zyL3Yv+Ky4fbpyQV2IidiFTscdTtWpeEFICOkxr6Z8XPLvLABO - yYt6gRd4kZJc06R9Dzz7mU//X7/yg0Wi7c06IRESS5ZSZmRlYgDtRsMKFmIn8NJ2zzivUNfMrKoM - csQiD2MRi1JKLIm4ZjcNqLwyJCQJxIVDQB0u3j+674E/ePXrT+9HmTbumMOFAimrd7eiukpgVpZG - HJAaOIek2GHUn3LT1ld8MU5tAcjafswsIiJyFd4nOOdy3rO/Xy4Q2t6efPXf27n5hh1SACVB69oD - SNo/CstdOzGE6OA3zuWjPNqt7v7Pv453vvNMiqyypTqSBOXIVDuNLkWXhCRR2/KvKpxzw1tZ7XgU - k/TYxvveozWjUF27g0pb/sxERESPXOEP9YlZtK5m/c3S8NeMKhxRVN3z9KTP/HRsTvKDr/yAqH8A - MvzbeLTIwyMWq4OJvagqUQOpVG76tE9LIVQDKegFnXUBUkpoYr6FPrGDACtIlRSMVoOcFU7gwUkg - RSHeQ3Qj6dmqwb33fugP/3izlqJRSq3beS0Kx325AQBlye0rgZSX/uLg3K1ZC4kffucf4vzuRmoI - yQm8sBd2wkqciCO3dxTIOWr7qencGwaIqKoqImXGdddd9wu/8Atf9VVfNh4HFdRVk3s0ETV1HQov - KS0xoas2MHuQLp93msg/T/IeFnlIVNV+E4oQQl3X+b+GYRiGYRiGYRiGYRiGYRjGCcQxSWy+73v/ - 2SgUSVIZCo31J0YoOz9h/tVf/dVz586pKhGlpc+xDcMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDOM4 - ZOfKvCIF3f5m3vuiKNBt6MHMR3dCG6KSj+JDiDEycwghpTQaFVXVfNM3/cPv+Z7vISIRqeuazePZ - MAzDMAzDMAzDMAzDMAzDMAzDMAzDMAzDMIxHA1u7ZFzdKLcfghISIQKp+2SxBW2lOEUBMEMEdYOk - mrAReFw3TirSGjKn6R7291wTf+eVP/1b/+pHUSUMNsWi/h9iqHjmkQ+aEBuFANNLaKpve+GXFhWh - qngywmwfOzt7f/HerWm9TbThAqvGFNmB/ZVKGakCCD5o0k24nY99DNUcTUWSZH96nQBVBHt2XDcp - prjKjAi89wAU6oqiKMPYe1T1mTDadB6xhgDwe3ff61SZiSG8Zl8yEhDgJDlCVpNicfDsfFKtmwig - LMrj5/aEwR5l0VxzzW41Q+nDxgQ+4MYbJ0++MTKSQNCuW2VVGoijHyMGIKikS5dw4QGAEGNQ3WT3 - jh//twgBStIkQGKqobiCpasDsdJ8NbfydiFApJ6U+7FWIdQRqZ586jNkVEQAYKesgrXLbsGARDgH - gKAURHU2n931Mahius9AOW82GkWqyxBkXiOeRLUnw3gkWBgNiIht7fnDi3IjWk42Gbiwc6F43PXn - mfG8Z/+t7/mu6lOecf+4PL/fbJSsQGqS63TshFotNGqV3h625JRJnkJ8/zv+4J43/ZebEHh3h+n/ - Z+9OoyXLrvrA//c+59wbEW/IqWZVCg2l0oDUKklYYEwDgrZsgVoYNYPdbtrAB7sbNW6zoLGXv/Ri - YYMsNFgYYRqEGgvopm0QCCGBRiRAwmKQ0FgqVNSgGrIq53xDxL33nL13fzgRkS+zMrPqZWVVZVXu - 34r1MiIy4saNO5zhxr3nL+14NMuDEoRUQzbORplNyZiML1TJOgBA4ARiYZjRKLBtnqDSffEDH3wa - pSRQRcOxQIjw0Or3sRhblQnZMAbfGNo7P/4JPXp4nLtGNWiN1YvLZp4nVz4MUjJj2GK5sRJAQpAQ - iYiUsGd9lTdPPiM13e+8d/vjf76fIxPNZpnUOAQtSsB5G0ak82Q2Y+CM5vcgACMFUhk4qBFvARtp - Um6+6ar/4TU5pg1qNLQc2qGUECLVfsCiuGBoVA16Za3ieQgoGOCaVR8UwZSBBAZTF+IJmY6/85XN - 33rR/QoJSWi+auqiI6srZU4I9gFSIQAAIABJREFUBtRisAZtomR0fcDphFozIwpnxevpxS53QgFU - iAtzYQgDMIY2TDrdXsuzlenWPb/8jr1fuW8y7VdDZN1tlX2O1xNQYKGNCgija8LhNt70na/GM75q - iyEidXTm5XVulzSt/MmhfuVlG0lVRWQrEJ558Nnf+ZoHGHnUaEAvSONRb1K3FyxSaetfxS6rU2M2 - 3k/t1cePfPYdvzg5dP/65tZYNOi8kSCswqpUlFQZ8wLqibCzMUlEILrwJZGXylnR3adnwF2cc1VV - dP5q5PRqr93sxzK6G0A9hMZAMMrTbjEPNp9NAAYCTFCYTySmFz0fiYoaFpnCSqi1rdLFl9Lukqir - wwg2r3NPVyvMjBCFMagNAK6/Pl19dZeiPGSV1fTrmkmLIcfLPI+djJfdOiMABA2mVJN1UzMQGelY - ZN9sdvSPP2FHjq9zw4OQGoAYmQgisjPC/NJ2ImpSMDOpieiwRiHc/SA+8Zd7IC1KMGOjoMTGhtpK - YSEwjEx53ohiMgJgxP6TkLuSMbOqtm3b9/1sNltbW3vrW9/6gz/4A03DWNRcy1Dt5ZsuyeeWUsws - hCAizMzM9RcWVa136t86koVzzjnnnHPOOeecc865y5OZicgNN9zwA//kfzbYkIcQI8zw2J+/V3Im - 4MjxY7/97t9JKRWVc/4EpzvOhXDOOeecc84555xzzjnnnHPOOeecc+7CzKyO1FGvfAQQQgBQShmG - ocZvAxARM0spnXdCi6EkSs5mpqo55/X11a4bXvWqv/tTP/VvZ912389WVydmcgWOi+Kcc84555xz - zjnnnHPOOeecc84555y7HHhOg7uC2Rl35fRNa1o3zwOD6kMoIFBlRmQEopLRD1QKtmeYbuPoybv/ - zZsO/evX3/+vf/pFx6bP6jKGHjBjCGER+rf4TBOUjAJWxEgQEOi/vuH1ExXoACqQDLPZpz8zu++B - dWYrPXRQLZEwiswKyReTAaNmKbCqmehKCjqb4tgRlNKkOAH25Py5N74JWYwQUgihZtVgHi+4mAag - gREYajAjmNnQo+sBaoei0w7EaBO2u417H2zs7AzMOtt1yZ4eGswEpkCNdyYUMZ2Z5hBj08T6kgtE - iT85RMJk9Jz/7Ydo7xpK7qadGaFNKy99cZhMCFApBAsAGbEBYrtdxQRgKCu54M47EUhVqRvWFfti - xNY2jDgmAzjEPOiOzfGR2fF6BQhc154xBhgmo2f98OtsbY1jgirWV9AG3r82NNEUtAhx0odM8qzH - gZnABiNgHHjz3q+gm8Ew2rPeCFZUMRSUzKAaP4Yde9YZu5hzTy1mtjPm0KO7Ly0jcEpFjYlCao4P - /Wzv2lc40td/7fN/9IfuftrVZTTOvU7Gk6LGxFlUCIVP12JnFdc1zPuisVkYtg+S3vvO38Kffvra - wEO3rUWa2LAxyIagfSygwqbBuIY9X/znPdWxgQYj4yGggxTTmMu1QPnUX2196UvXhhgGJaULR4ku - M4MvSQLfIMopRCDP+lU1ue++45/+9NV9vzJYUM4c+shGYENQeDT7w6MCKBuTkRAZabAStVDRECIC - pidPPJMTPnfrHf/5Xc8ahDe3qWhDIKB0AwFNCCpy7mkbGMq1CWNMi3xoAziikxr0aIPk0DY8Xn2Q - 0gv/4ffi4I1b63tOGWeNREwhlVLYQKYgA6mR6Tzf9Iq+lKimd9elKiIhtSXFzcl4upZe8oP/+Nie - vdPRqtA8u9sYqPnKpyNdyahmUM73TTIkAbanxPPIPYBrG9kIRkZQsmXpTbsdp5igDANUaN7FA1C7 - iUOeTkj3dNP+jz528qMfPbi9vVchpezuE4wBYlsU6VQnDgMHCnkoaUxbgiMpjG950cqrXnm4jbMQ - YowppRrKW0syOc/2/BRWv/JyIaSUYozbIRxp0vq3v6r96ucfC+gUDQOmBAif7o3O15Gx0e4qUwIM - OlK5SnNz95fvfOc7929PsbktIRgV0GIt1E3crtCaepl8WTdOerxSw5/CzorfvkB0N5ZB6Tuiuy/h - 8j+zATovEWvBnrdnAUREi+BmM4MSiMEGDbG/ah+e86whkIX5NJTY6vSMsYiOdk+gHVWkKi0PDFIx - qJmaCUGbBuPxgec+t1udFGYs28xY3OYrVdF3wSxcvru/KimgwRAUAJRApgTVkgFWStMsGmgMweFj - 937wD68CJ1CEJVBN7KbIUheTnZHbbaSLBPSLZ4QckAPUlAhm1gz90zPd8Qcf5ul0VIbaKiGLpEEo - FCZZdFfDGR0Z7zk6ByLKOQMYjUYppWEYRORHfuRHfvqnf3p1dQQgRo6RAYXJjoPZF9539CGHvR/y - CtXaLqqDU6hqCKE2jUII9X/rf9X/vQRf1TnnnHPOOeecc84559wlYjtOd2fmEMLW1tYP/dAPjWNi - gEH02J/PWT/CGAS841d+pS8ZTByDUT3bwX8Gcs4555xzzjnnnHPOOeecc84555xzF4OIVLVe2Kiq - 9eF4PCai8XhcSgEQYwTQNE29QvPczIiZQLB5CngItLGx9YpXfNMb3vCGrutGoxEAEYkxcnicvp1z - zjnnnHPOOeecc84555xzzjnnnHPO7eTD9Lgr25lpxDb/FwwN8xsCEMAMZjAoCgGRdLpBVtB3OLHx - +v/2m770hrfc8ca37D22ef2gV52YXrMxfbrorW99M6zojg9ZhMQpYGCufzAAXY/Z9KpcurwNKkiE - 3OG++7oHDk9gpe8FyDAQiKBFNYMMcfe7rwGIsZcSiEhyG7B56AEYYShxyNjYWiMGkxqKDgRbBtIZ - cFbYWM1eIq7pWwxmlNxkwTDM8wyPnmwHaZtY7OGi49RQFGI1mZLFULCHmiAGQBUiBiCGuOsvfFkh - Rohg/tUPf2Br3KTxhGK02GA8GT3tehklhQUYEWrJfBExnQRAZRW6cd+9KMohouRYhv0cQAwFjPs8 - yzKk5qIK/9P7C9dh3owgQA9DIEQ+XHpJTSliQ48mrD7tujJuFbT8MmZYRjVdMIiLAUxi0uPH8cAD - KH05cZykpFz+7E1vwdb2PLf7sk19cu4xs4w/dJfWdDYbjUY6GIR70ZyabjS+nwkvf8nX/vDr7huv - DCvrJ2ZTM0uc6pUG8wTf86R006MooghKJqPZ9o0b0y+9/Vfp5MbeYRipBAIZDCSsRhZMg1lQeGl4 - YWTMxqpQDhqiMaWcR8CX3vfea2C6sRGUIgWVHBkw48d+cUbAisyvIhmma31330c+1m50K7kAGAIP - TDUv0Dtrj5wQCdVobSUoG7QrI46ah0nf0cmtz/zCL+89eXyt71Y5xBCaNF8DNUu2nH/KNXlxkfJ7 - OkmdQgQgYhzQJjrZdUdDc+Bvfe3q13/dsZWVTU5IEzWoIISws9ljgDCEr8TUbjbGYifbOa4xAGMq - IFGy0fgQE17y3zz/O7/jTtMcYg3bFqrB1gBqfwqYF8WL5GUCQVsAm9tQw2IJPyQqeJ6rZwSALiJQ - ORj4dBwpCAiGtgnjfor7H/jL//s/XTub7i0Zw6yh8LAZfmdZVi5YLCIDFBpSmBoAzg0dGqVnf9d3 - 6L69J2LTgZZx3TW+mpmvwGhkImJm7FgORNTBjsXW9h+4+bv/wT2RpIkAum6gFIUgPF83y4BV2v1O - mcAYhpDxjBiO/MH78cEPXRtGSUBQpVKzWhtB1Bogf3kV6Y/PduJt10trRxT6bt7GXN91KdfFubdn - BSya5VnHi1kkm7eW1UBEMB5CGN/0DFyzZ4p5lDjpsoi/yIMh7rFwOq52xypRVYDAQQNZSiZl3/Of - uxFCOUcb2jA/TmWY9UFskWZ9ebaAFFAykHFtWTDAUGYGBzOYERGNS8EXv4i/vn2lqA05gFIgFdGs - DF32DXfkdkNp2Yx8FDNH8wjwYuDITEDfX2u0+YXbcPsd62WIqkogY4B1fgNIa8N4MRli23Go17kr - lYiMRqPpdNp1XYyRmbuuCyG89rWv/aVf+qVrrrmqFC2lxMg55xB2jv3waNtyKSUsxqeIMdaDS3Ue - mFlEQghmVkrhxz7ixTnnnHPOOeecc84559zFIaJSSkrp4MGDr3zlKwGUnPmxPn/PYKogMoMAn7/t - tltv+xLHWE+QcM4555xzzjnnnHPOOeecc84555xz7qKJSL3OEUC9rHI0Gs1mMzOrf1dXV+tpKg87 - XoGpmtl4PK5Z4GZ2y0te+MY3viGl2LQx576e/7K5dapecemcc84555xzzjnnnHPOOeecc84555xz - jzMfAt5d2Wh+U4DncSrzrO4AJmUIQxkKsnmIEBEXFB4ztjZv/ZmfefBnf/afv+Lv3vDgqRs7TNZH - pUUzCiEPK9322nSKksMioXj+dgBQBEIIiBgGgADDra9/4/48lAnZvhaWMe02v3AbbW4HldDCWpQW - CIBCBQw0BEagXSYaEQDVCCblYsak/fGTMAI4qK6MGgwzmEHQcOz77rwTUiMiZnRdAQAmzLY+8ZY3 - T1IMKZkVaMbho3viiER3fPYZaoANgCAGEQwlFoGhUXzsp34GJ7eJGEDOmQMRUHK/u2972WFwwmTy - w+9994N7V7YsgyMKI63Qc24qV+/VgAAjYwPpGUGEjxRRCKBgkrc2cfQYjBEIKvH4ic+/7f/CqQ0w - 2tS0IQFQ2X3YK+ki2x60I4sooQVHkLz7Tz+xaRb3HgAAQrzhelpdVQ6LuoYNUDod+7SML6ohhYFh - ogAz2GBBZb2o3nUXxuPYJIqcVK4ThQBqXe7tPJle53j+fC917slgkc9HWMT1eQLipaYr66sbGxuj - ZmUcV9dGq6WbwSSurh0erYaXf+1LXvfP7lgdh5U1MjUtDXMw1FstCXcW1zWecHmDsV1Ee5swJkym - 23L77Ru/8559x05dF0OZTgEAHJSjUlSOWktm3x4eRghxfidSIRmR4bO3Tj/1mX1QsxLBMCLADLrM - yn1kVeQjf+VOnEJWmFmTGoKO84DPfAl/detKFpAWRuH5Sl2mXboLMLBQGAIX5mAWFUIQ5klo2x4T - 8DUxTn/9v4xvvX2tdAQJjJxlGIoUqU0aK6U5VwjqfP0SlFAYQsQGMiZjGNugozBv2lNMW8DmdVc9 - /bteO6ytDJPRVpdTSAHBVI2UI+sibbpOLQfkcHpdXzmCIWjNlZwHcqP2CyIPWkygGbyy95Ri7Tte - bS+4eRoTjOt+oTvKWALYEIWjYp5DSQA0qmJjAyr1qjAzY9COenO+Fi52sTMpsaEtaASsYENQjqo8 - zFaH7o6f/YWvOnoqznoKCLvPRSYo27wzAIMCQshBJMggQwMMMymTtQPf+Lfx8pc8kE3DyMA1rLom - /2FHiPUVZWdguarWZWKISCuHsuLvvHz15S8bRitZIYRMYiRGOi/ADWwIprzr7pFy5ILSRJQT5XlZ - vvCOX8Gd96xNB4YpA9BgOso0zkQGoys6LHVnU/aJnpcnsbOWnsHsguUZEe0M7TazSxzZbqxn5jAT - ADGZzUjn4d7zcG6CGYoYEXdm+1/wfDSpZzMTMmI/PH35UTDA8+BnslrtkjGBATCzGg2wKQc85+aT - MQ0cd7x7x2bJgKpOa3S3EtEl3ggvhXpsSgm06LkZYf4tmIiIlFqOBMHmyeMf+tB101lbskCZCWqx - LpSMSPOjW1hMRAmyaO3s3mK32NnZJMA0RUSgGbqV2fTOD//haNoHEyEYYFS7n8wAGcKiN2EEAxRM - F9M7de4pJcYYQgghrK6uzmYzVV1fneR+pipf8zUv+/Vfe+fznvvswJCiTFCRMyu6C1dZZ9WKZ0gp - 5ZxjjKWUOhvL2tnMmqYBUJv0Pt6Ec84555xzzjnnnHPOXc5yzm3bikju+3/2T/9pANoYHp8I7Rhj - /YXYgP/nN36DiMryXJEdv1I555xzzjnnnHPOOeecc84555xzzjn3yIUwP/uFiMbjMYCu64goxlgv - DN/a2qoXQtbLJM83nRgiDESUcyaACU8/ePA/vu3n19bW2rYdhoGZQwgppdXV1el8HC3nnHPOOeec - c84555xzzjnnnHPOOeece1x5WINzwDJYGGAgzCOuGcqnE39tfouqceix3d32lrdeN52OThwbbW2u - w5o8lO3p9nQLwUACyePcY8jIOdh84mSA1YhNykAhNC2ghn62fyjrRVPA9vYmiuKeQ9PDR/aOJsEg - BWZQnSc/BCCFwMSl7HqoLwJyLm2cGIwjRMXyADN0A4iQhxQCDDGi5Dxq27MDJ4znz7DlLABGowgC - cgcOE1XpZzV9GoHKqc2kOh16ClCaZ2GeMTPzYcKYVSECEShgCMWusghE9AVA2yYAOeenRGoFA4wD - B579v//zaZPK0EEZcYIbD5b9e3OaJw6aGXAxkXtqyhxgwmUYDh1GnxEQ2PZRaGcd1lahhUAEDIPS - ec+APT8CaBHBZMBirDcCiihW13/kve+ejppp35ei4IQDV9lkJBythn0TrOYM4VxBpAZmiMIgYDaY - DWUSePP++/HAYRRhBnK3utX9+b/5KXSzUZMuu7gn5x4DZ+fzed7hY6OfbU8mk2HIIqpDRpYx8/Zs - us3N5t799C3ffP1//6q7CVhdVxipBUXQRTg3gDMjnB/9UJghQMQmpFdr/uJv/jbueyCePLlKFhVB - OWiMEoMy2zmqV3cWIyiTGVAkKnTo1hinPvZH+05t8PbWCGAOBYXa1NkFg7Yu4SxlCYCZiWYia0u+ - anN746N/gjwkKcEUiwRBX7kPz5gsKLgwhDWYBjMhLsRFLanu29zGl7785Xf/3r5TGyNVBJQiTeAm - JapNG6KMmm/4SBAvGj+1RA4JnaGbDfGqq1a/5iW45YVbo9HJ7enKygpESYVNjTHIAOiiaGAhCJFw - bftdQZbB2wCMuC4EIyggpgq03KTQdp3NmnHeM37ea779ZNN0MciOAxeE001QrkmidYUQACQ1bE9N - lJmXNebyzo6oPYZdzMEQIybjYBpr0rMRGbWC64p85Q/+oPvs5/ZsbuxroAZE6u0i+mu151Q/rM6v - GikUo8l4FpsHOd78Pd+9QaCVNVhYXupWAwiJSFWvwKaCmakqEdXlAGB+HSCijFaH0fgF3/maB40x - WqFEZoZFaLeiFiN1Y5DdVgJ9GeIoiWDCWJsNa4eP3farv7be51Gp07GgYGOyyLbbXPDH1uMTnbuM - 614+403ZR+XM5Wn28NHd89efGeD9mM2eovb0xbgvrFgcNZhvbiFEAIixI6w886s2IhdmmFENhDaa - 1xG4vHaWKxwvujZkRsZsiMxQIyIxLQpMJnj6wWF9rZzdlLJaohqgqjJkLkpmRMT2GG+KF8Vo3g9Q - sBIvK4OsUlREZBR4Mutx7Pidn/j4PinJFACIihkz1eNT59t0z3EE7OGdMTGerwUwYyiAoWGUvH2A - 6e6P/jE2t1spgBnNM9fZUHusBAXUCAYymq8jj21wV7icc01V2d7eXllZMbNhGEIIZlZKufnm5/zG - b/zGN3zD19cXX8IWU/11o+Z2t23bdR2AlFIdz0JE2rZlZmYupVyG5aRzzjnnnHPOOeecc865qm3b - oetHqQHw9V/3t1/64ltKkfC4XI5Tjx4zMQH/33/5z0X1AkMhO+ecc84555xzzjnnnHPOOeecc845 - 9wjVYToAzGYzLEaKqFc71hNU5oHcRPUyyXMSkeUbiXDDDde+/e2/eM01V8cYun6aUmDmIsPW1hZg - Kfl5L84555xzzjnnnHPOOeecc84555xzzrkngEd3uyucLm81vS+ACYsYPVHQIr2LUESJCvoZNvLt - P/mmr5qWlc3NFRZuBNSrdeOAVSazgnEAWQN89g0/g2mGqihQam6RACpgJZ4BIEC7P//3b95DHKf9 - pCurSji6/eBnb90TWwxDABpDW9AIoDACASZminBR+68BpfQppj4jRpaamU0EM9QzJpd3diyjsyei - mlLoBpv/VwgoeZwLk9W4OZS8eexYNEpE5wztnif2GdgQiW1zCynAFByYqMkZUpbhGDlLSukpEFlh - BsQWMWLUnhzHvm2JAkSt2L5bXjplCuOUAWIV1BDu3a1iAqkKMUaJj9x9F6DQwihtzmtiUIEpAFOl - wEoPl/H1kKnPb/O4ynmqfQACEEOCFjTpVEpbzKlZQa8Qufqmm3tRTm2GmVKK4fRqJK3hXvOFQyha - KyUyzQQ2ghUNorj/PvQdmsCwddOrlMCMkk2LlLKYKRQpoqfzERcz6IBzxea5JxEiokXeXk3xeaLn - 6CkoBBLJxNGAYDpmClJaohVuT/Y6u/66a3/g+9LXvvSeRF0MtEihg4GZi4Hb0BssnlHf1UQ0pXkG - 8+4IAGQpod++/uTGX//8L6CfrWoZK6yXMU9KD0IUooyCSEqPQ970k5USBhMOYaw8yTKSAadO3Pkn - Hz/Q5ba+QCUgWp9bXqRlB1YDhSB1B2Qm5l0H8J6fEYgA4xofO2Ksd8OXP/ZH2Dg5KXnN0BoUhjb1 - JRt7fuWFEGCF2jgmor6fEbRpmmKcQxxisGk36ezz/+Ht+06dGJeBTMXABBO1nOfRvWYJMDnvGjYC - A1ERFaip6vV5GCGIwSLyOJ1o0zP/0XdvT8I0YBQTSYEMMQAkxXoK80DTRXD1MsOar7T07qr2BYQg - O0rIyAxR6zEKowFxY3Vl7Vu/Cc842K2uiCBkRAIYYiCat/RoR7JlbUiOQbPjx2NkI5gZgVVBdQXM - 7WgO7bKxbWBwk40BNRmCInHIpawpwp0P3PH/vmt1e3M1sRkGhZryRZT/8w8KsMQWglIw1FuncmI8 - ue4b/g5uvvlkO8nGychUsaOld4U39pZLYL5ABFygCCfaFi956foLX3gMrByizfdoMoYmIAAEEuyy - MjUCB/QlI6IoVDCeDfmTf4aPfXTPYMECS+FAmtJgYISgF5feegmY2c4we5z18LH8XCKqbdfl/ccn - NfypSXXH8gQRGEwXzLlW1RACRGKMZlZzSS/V7JyR/rvYfcgURP3mViAjQwisqvXIjxYJFGTIFtv1 - m27aTkkjq5Zgtiij52UymxL0idpfXMXGNS6aobX7w/OQdQQizUMTk4FnCqR0zXNv7lWJiAhEpFCD - LdvPTQx5a6sxixzMTFUvwy4tGYygDOV546RGZbcx5TzENsSc9233t73v/deNkkmnEHDtR8DEzMCA - KSgFATJAgRhEipYC667Tsh96RKs2BphJARBMkVLS7Y1rNmfdhz46HmaTMfelV5gWiYiJA0lZHtNV - OuN7+f7lrmT1wNowDCmlnPsQaN440ZICDbk7cGD/2972c9///f+YuVa4FEL9iUjbth5F4B23Xcg5 - 1zt935/1jO5o1ddhLHZW2fVl3tp3zjnnnHPOOeecc865x9fOc1IAwEiNtO/7tm2LZAYY+v3/5PsS - CAqen+Z5+i1nPbxUzEyAY6c23v/BD4JZFweZI4dSCjMrgMvv9zjnnHPOOeecc84555xzzjnnnHPO - OfeEU9Vl9vbyCsflMB31GvA6KMHyesb6elvAIud7eW7M6b9mgQGzGGh9fe1tb3vbM5/5TFUVzTGy - FTHJMcaUkqr69ZLOOeecc84555xzzp3T8nidPSQsxjnnnHPOOeecc85dEj40j7uC0Rl3zwhbIJKh - IDEIg2QQZl0fCRh6DN0d/+7NV2/2o75PfR+bgDwDG/c97nugv+MrVES2p2Y0Al9fgK0pRAMDChiQ - O+yMYFFF369ubY1ChBrNelgst/71XqEgJloYgCIYwumwQN4x97tNm0MTOITQlW4UUbJO1veAGSkg - BKSmzxmBRRBi7Id+GRSjOycBIwaApiFVAIo8YOgnmtkUpKSCrjdVaAHsfHl1bAg2D/Eacg9o/U5s - YBJMNxFrGDNSCqWUp8IB4pqUExqk5vk/+qNHIw0xwFA4IbbX3vS8432mBqqWwFYuIumPmNgMpBJL - wYlTAGDC0nO/jdm0bnnEgSN09zGvNg+T5Z1PkSHWJydjcHjRj/7IVoxaBDGBAtb3tPv2FwIQiDkX - CXjYyCJdbnFGIOjm/YcAKieOB9FoctXKCNNtqJJxDBGGnLOpxhADhyJl11/MOedqmBmrktUks5q4 - 3XVdWls/RDi5Onnej/2LIzdet7U6mjEEYKpJn0SEUkQJCHhoBK9dVG5rMbSjJjBStv3DoH9z5+Hf - /t09fWmn3VoznuXCo0lBUBARieZLsgSewohItJBJU/LBEO/4yEfo8OFV01SDf6GAGi9Wn4ENMbCK - AFCzonr+5szFW0Q4IxhGInuHfPgDH94jytNtkyKqg2jTRM/VexjGTEmyoOT1yYhIZ30nRGIgsv1t - o7/zXv7MF64WHQGBo1xszH2oJcOOK38iAhsZhT7QvaCbv+3v4+D1R1MozAQlUzIFqVIxUiMD1T8g - IzKuNwBXVJfcSJVUSQGtGZl112JoXTjLPo4gbMek4/SC/+l7bit9GK03cZIFqogRSjUm1nZ2UwCQ - WRKhboDKQy/TUlIy22125lkGVWVCoBgZAESbos0s3//rv7n/0NFVLX3WIkgBROFirhQjGNWUVAa4 - 9lKDIQY6lfXo+tq1r37NLKRhNFYAJl5EXABDg4FDmqXRwPHp3/VdD7RxK5c2Uph3queHAU4/2iUi - qKIoDGAK+2Oz54EHb//N32qPn1yf5UloZn0/sGliM6NdRsU792RhtCiLSRlAUesz1M5KGK07QGFK - e9YwmvQxgSkQuI55v2gXkYHgucKXBZrHdZ/5pC66SwZmlhDQtM0111hKRlCDmc1D5c1CgNSzP4cS - FWyAGs7Mo70c8LyFBiFkrvnWDBAbRPJknKbbx9dQwtFTD37sk2lrq6Fz1xhGGLIERtPGTgxAqMfB - HvXxzLrMWRkUiACCAiXn1nRtc/ueP/7TZijl+LHJKIZATYwmgiyJmK22SmFgJa4tKN5x2M05t5RS - qn+3tjfGk/Zf/qv/4yd/8idihJmJSH1N389qrjZf0siTOk0iCjVIfGH5uXXezOyp8PuIc84555xz - zjnnnHPOPcm1bSsiZCClJFBuAAAgAElEQVQzEfn2V33bymQSiQynfyGth3nP+sH00TNRA4yoni7z - n371nUU1hBBjlFzMrM4bLr/f45xzzjnnnHPOOeecc84555xzzjnn3OWAmWOMIpJzTiltbm4CIKLR - aITFdY7LaxvPR0SYeRkdtPw7GjUiBqBt08///M+94AXPB8wg8+ua6ayrm/16Seecc84555xzzjnn - nHPOOeecc84559wTwKOD3JVMd4YE0yK8W4BZP1AbldDnvhkFQMdtQjfFkSN3/MybVze3WslgUCBs - bSErPvW54eOfPPLBj5785Kew2QVqiZN1srqxfffbfg6WBVBSENA2AAgIpiswDP2n/+1PPSuNh2PH - ERhiOHb81N33xiEnAgA1EJZB34DxIsnoIr8wYhykZyJSEqWwvoomIvJQymwozeqe+iEKtM1o/pk7 - Ph9kgEo/1OeJoaoIAaWsEDEZzFitbG1ayWrzZasPCS6dJxcCZDDT7X4KGjQawErI0bDewAQxMEPF - QmSxJ3eujAEDMOsLGUMZhpP79/WroxJACsRxvPkF08lYAggI8/iq3X6EgahuMyFnPPgAiFiU2rQ2 - bj/5tp9HnyHoczFgtwkjtkifnz9AzdcCgJrONGRB2yBF2783h4ReYIS11fWn37hpQiGJmS7eMY8d - WqRAnbF5kMyfMBYCgOmDR3D0WGwn4yYCcvLYg596289h2mHWQRVmKSZmLjkDCCHsdrk5565wSlBi - A4wyaDBSJRJiIdKkPQYLcWs0tmcffNm/+F/vX223mliIwVFhWgyAKohQ5BxB3fSQuLtHgA2AclK0 - hkZzOnns3vd+ALfefoCs5NmMrB/FjkmIIwMle7rhBbAhGaJRZotS0vGt+9//kcl02hCRAQaCAaY7 - WjtZtLZ4YgicIhMQOMRQ1+/OtXxR63dhxyUlQbHS50N/+Cc4fmpcOg6CRIOUADItfq3JhcXQsFDo - 8pis15LJQtMmcJN73H/vXe/9/Ws3tlI/iwndUEII2GV6ri6aQLQMXCc1gAKbWR4kN6vTZx1sXvPK - k4llNDKATY3UWIzEaN6Unie1KwXlpIiKqPNE8CuK1ETMM2NZebE0lFCYFKzEmXmjSfiGr9nzDS8/ - iaCFGBQYQ0EB9CE7Ro24borI9iYMqkpEpBRwjsahUn3HLjcGgqWUyYpKsaIBweRa4u7PPvXABz76 - tFlOAV0AgMBRs9Se224poTAXrt3UeeK7iOV2vPayF+OFz53FSdOudEPP4QrbenaPSIahozjaTiO8 - 7Jb4wuf37Shnw7I/AlawzhsDZ1fiDzNxAyuCgQgUQzHjrHtl6L/whaPv+t2rusFmfdqztk2zjjrl - wBz9EJx7iiGco+kLMZ31fOaTZGCQEUqkyTXX2GhFuDGzsHj/2bXh2VfeuscbGWBMMJ4fDQIAGM9H - +TchE6KQmXKT1m+8UUJQmreaiBmAmhHDAIZp10UF6SUOJ7iEgoGBwiisdRbZmIyDgVVGiVaGmf7h - J/bfcyRMB+YzjmhVRlACBZSwWASxUTUGwPEiZknP7ncwUI+BAYrAEEIbaV/B5udvsy9+aX8pUQa1 - IRBTURINNat7OYeA1Zxyz+127mwK6DB0IjkSmsAy9GuT8T94zat/8Zf+4/qeSUoBQAgEwEwAreUc - LtHeVEoBICJ1PAsiqtHgOef5/KmqKjMPw3BJPtE555xzzjnnnHPOOefcI3fWT6I594CGEMwsMl9/ - 7TWv+nuvfDx+BTNWBYAQggJE+MCHP3LkyJFSSk0KV1UGm4GZ9XL9Vc4555xzzjnnnHPOOeecc845 - 55xzzj2B6lWKIYSUUt/3a2trZhZC6Psei+sciQgAX3CAwnqyCoAYY4yxDvrX93XiePOb33TLLS8e - jZuhm7YxsIHPvh7Tx1JyzjnnnHPOOeecc84555xzzjnnnHPOPTE8N8hd2Zbn7xnDQIu8hTRqjMCk - DRv6DqXHMEUZ7v7Ft+/f2LxmZcT9NropxitQ2vjEnx353BfyV+4bbW+OtrZw9z3oBojEyONIo26K - fjvILEQGQRQKZiABXAb0/dWDtNNp0zYoAobcfnsjpegMHAIFASjsmMmz7S4fIgCWs8E4RsQmG68c - 2I/IIIvra7o6OTKbgpgJDw1OAk5nWoamqUOAzQYYASX/1ZvehOk2VEFKiuHUFi0W8MPm1REw5A5S - wAYiAJEN/RSRAJrNcg3GuFRhGE8gAsZtRBZwxN69L37d645Z6QjFgBCxtnfPVz1rJqAAhdHFnVxK - pADUksjmg4ehAiLZ3MDQrUiBKMBNGlnRi8kGB86uNUhBChMgpDSBAE179/bWFgesr8/DvW+8fpZC - STFraZiWW9F5404JNeyppnWxYcIBd30FswFD7mcb+9dXDhiQC2ICMQyaMwwpJSmF/Kxc59zu1Vw0 - NmVYDe9UCoWBRIUkm8443DHk5mte9oLvee39k9Fm22oMiiBARGAgMmk5PalHKVEqQwdDIFDRa2Mz - ufv+4+/5feTepqfSKPQmQmRMQREvtsa4QpAhKAKMyFop+ORfxr++az8zTAyQGrhFWmsPMpBxIMAM - gIloKWqYFckiddu4hDMGQAgKMHRSZPSV+/AXn16TIZJEDmamIldarvNuGcHMmNEE05xJrW1HEG2H - fMMs3/V77+ND9x0IxmKo8dv0aPq/851bCcIqki2yoT0Kfsarvw1Pv+GIiMWIRQaw1A2GlKCLNg8Z - MYB5EiQ0XJHpiUo1NvJ0U9AIwTQojExp3ucx8FaK0za+8B9+94mYBrTEI1UUAAFGUF4uunkSc1Ak - 0by1BaiS8Y5m4aMvmevHGKnCiMjMRPux5Hj85G2//Z59G9sHmDQLRzARiikQd188L+azlkul9oCE - sE1xtr5+89//ezPi0jQiZiaLyEB3XiGymohoGU/Q8HNf/arNdtxzkFrmA3UB2sXW3WQIAARMwUBF - hjVubuBw57t/B1+8bbUIVM0yBxNT836Ke2p5SBPFgHmlNky7WsKbnd63iEgI00CTa6/WZiwWaml6 - 9lRIcbredE8Y29FkMqrrZS4Q2GBmZlYMM+b1g08biNSIwrxkJSLB6aOIedZFGOk8kvZx/B6PCC22 - 59qVqw/JGOAQgvSzvRDe2Lz9fR98BrVjgtoZR7RqJVLfq4AqtrqBA0keCiyMJlny7mfKztULIDEI - IAJOCAFaZBW8P8tt73v/ijFPt7QUaAkhkMFMjOrhRQbYiI1AUPYKyblzSSm1bTubzURkNBp1XRcj - f+u3vuLX3vnOvfvWOUDEYuSarl3Dti+JGGO9U0emQK09iWKMo9GoPiMizKyqTdNcqs91zjnnnHPO - Oeecc84597DOfS6BmYqEEBhEZqdOnPzB7/9+BiKd/iGs/pSGx+anMTEFoRgE+OOP/4mqSi4pJVUd - hoGZlwecnXPOOeecc84555xzzjnnnHPOOeec26lepVhKMbO2bc1sGdGdUgIQQjCzpmlqMvc5hRDq - /xJRKaWUUi+9NENK+Imf+D+/+RXfuGfv2vHjR9fXV4dhAPm4KM4555xzzjnnnHPOOeecc84555xz - zrnLhUd3uysenc6SqXcMEBNGgWQigRTMZpjOPvP6f7d6aiOcOlFOHG4O7IVkHLpf/vjPceehST9M - mCYc2kBH7rwdlkHFkkFmrDNIjiYyTIXA3ALRRCAZs+5vfvkdexXIAjOQott+4L47UySGwjLYjCEM - I5CBrWbJ2OK26/MRibmoNSllKRkhra7huhtAVEBHp9NTKd3yL38cJlCQYejPHy0jwgQF2gZmBqYD - k3FTCte5Uy6ntqMRg9jmc3460gZsYMxvVB+gZPQzJgIoKKIImgSDwdo2GdAPPT+qqMXLggkYQAxg - RkyIsRuNZylJIDU1srWbbqLRJCs0QFAuOqwqGMYi+fhxzHoQhb3rsr01GTKKoRMCUmTZZcRIjfqh - 5QPUZG0FBIFRE7liC6Zv+Vc/bvv2TPteOMCAvWuT66+ZalYK4Gjn/1asPN9UqG7fzMZknAiHbr8d - CgylbVMkzQ8+iFzQ9TUdjDlYKTDQZZgB5Zx7kiBoMouqRsjMA3NhVjKzUqRLbYyj8SnjyXe8tvnm - b3xg0hxHKSlZiABFxIZj3BFDO5/mou7eZfqgIoWaTVsfrahdN+3v+8jH7K/+8rpRaGwwGYgQKeqg - TYiXaiE8JTEUJbPmQBaGfOiDH33aya01o6KWmQux0bzewbwmIuZUVBkogBlCCgzEFOfNr0dd0yyj - /mq6sxAUPCpl/6mtEx/4UMh9lAFFEici4id98+cx11ufIWmUzCwhRIXMZvtzwRe/fPg9v7+i3bYM - nCAFk9TqbppXZ+y5Oy4EEoIwMtCTydradN++A6/81sMptmvr0g0AbLFmUVO6lcM8WpqFIMRGaqRk - ekXmLiubAmBDUCbjeUymgaBKClIyDQoAfeSj4xbPf+7eF79we2U8gMQQ4s7DGPPeUZ1gVCSjYXMK - UE28e+jH8+llvvu9izSXLrC2qWkpcMnr3Uw//FH980/vZ4VmG9AYVE3NAgi8u/K5Rn4agWDBhCH1 - +T7w5tqqPP0gvfhFs5XxQKHv+8k4GS5ZZOBTlJJp26Zh6HOk45PYft1Lu2uunk7WCvFi2ylGZb6D - 7773ZTW624AiTZsAU5VJX645fOTYb79rTXQ4cWzNsBKCiGS7BDWIc5cnXlSabICRzKahHg/CGWPZ - F9g2yfjqfQVBlaweB4MuY6GFVXw3ueyokS6jqTHPlDWCmokS+hDba68rjAIjZiVIze4GVKEEIhq2 - Z/XNxEZE5wqlfuKRocbGU83tNoaxwFqTPZszfO4Lw133hO2tFLjMN2wG5qHdSvNaRQRNiAkgYwMa - TpCLaewRANIzE7YJYFIaxagGKyCCCrT0a8CJT34Kt9+9j7gJJpKJiGMQ09rWPLNDWpugzrmz9cPM - IKNx047SkDtiC5Fms9lLXvri3/qt3/zqr35eiCiiTctNcykPwpRS6sAWdWSKlZWVOmhFjes2MxGp - A17U9O5L+NHOOeecc84555xzzjnnLmDnD507z+8Zj8elFNPCjJzzZDK55ZYXP+PpB9Xw0LhuvnQn - flEVWFSMYEBK/K53vWs8nogoc0gc6zHnssuTw51zzjnnnHPOOeecc84555xzzjnn3JVDRGo+NwBm - jjHWSxdzzm3b1msbh2G4wHkvIlIzv0OoY2PNY78B/PiP/dg/+t7vbUKcbm7tXVsfhoHDmW9eXMQN - 8wGVnHPOOeecc84555xzzjnnnHPOOeecc08AP3XJXcn49G1HbAwBTEYwyIAhY7b9k9/8ir95/Ruv - nXVrRdb27ImmOHkcGydP/sVfnLrrrkkZGoUOWbQEw+zkSXQzBC15C9G03/7sm9+CrWmIKRcDQEqk - As0oA44emUTGbIackSLuvSfOpjJMU9MWySLKkYZyesYAKEH5dLLRrohqSnHIGYwTQ3fgOc9BO4Ii - q61cdeBBKNqIyKbKhLYZL9+oODPJLIS8mK3AAaXkza3VpoFKzbSUzWmsQTME0oeJLI1AKJZPbkIM - QFSs9ILNGXImEBgiy+C9J3E6BQFtQB6KqiASiNC0z/tfXteNRpbSUEomwr79e2981oxYg6kpdrmW - CTBVBkjRGKybYWMDMEy3JuN2zRTTGWIqRU00xl2X/7SIkV1uDAYTqEGzFCh0UMQGbbqn2+5GrYWI - wGDa+8yDgxk3qZRzBGYuR7gjLHK756FQERYIgEobgbvuhgGqZbp14+rKX//7tyKEeXo3MdRgFs4+ - Udc55x4RgpLpMqTNwEZsYM2SiNvEUnqo6uradHXfC/7H75Mbrz1OMgukxNlMVCCIRFiUaWeUbLuf - Hxu6hkAUiMAMdHldZXXz1Bd/9/ea2aydbSWTuMh2ihQvz/S7y4QSjI1hK0Z276ETf/W5/f1AfQZg - CADVsL0FIrBKEYBSCgROQc048TSXZSzfMrnw0bB5wh/DgpmxymrORz7/edx3aD1L6ErkQMzF1Ffv - BSgpImUMQiqmZEydHkBamQ1Hf+vdew4darrNGFEyYFBV2302b92/aprjzuebBlMp9+nw3P/uW7D/ - qq0wslB3RlawEhsRjIIiKAUlGAtxYS4MmTd1VC+qSf+kxgbCfKcjINg85rzuU2zzBO5gCtIcMEwm - s7Y5+B3f/pVYtqApNKqoiXV11RggvAhKB5Ki357WlUWB69Vi9S+g52r+7qJJTIYGyioiGpQPGNGD - h7/8nt+7ZroV+lkppQGCkdT8Wg4mcjHLyBBMCQqCMYTQhXRfoGe/8lswHg9N6kxCisSQbthtf+GK - QkAZOpiktunZNpuIves3f+sr7sl5FpIwjBQkBAGE7Kxk00dEAMSUwMUEEJAplHI+UPTQJ/9r/vRf - 3tQ0bcHQl1GbTIvX1+6pTskA0DDtllv7cmB6MwNTF6zdt0dApsQgM1s2w3QegayA1UDkJ+AbuDnW - eY9GlaCLqlZJjUkgxGBmmHFIGgP27GkmK7JYmwZbphAQgcj6rgMIZmxgetTN6MdMMDCUDWyM/5+9 - ew+W5LrrBP/9/X7nZFbVffW79bSthz3CsuWXZEt+YLDkB/bCGG/ExmzERhAxQUyws8GwwACz7A7L - wkww7HhmlqeAJWLGno3dIJgHDLMY22MbGxts48UGG5AfsvyQuqXuVj/urVfmOef32z9O1b23Wy3h - brXlbvXvE6VW3aqsrKzKzHNOZp08X4gR9ZpaRsz6V//v+zY0N5ZL0nOuNDfAlsd9DYOKthIFFGLT - W3k8zdE0hS7mJxg967iDATYzCZGBVABDIzBY6PuDm9Px+z4YzIYhACgoRshkylAGGXjRmgVbvef7 - l3Pnats2pTSZTHLOZiYLdPr0yRtuuO63fuv/+e7vfhuAvte+v8SRJyEEAE3TMPNkMqkPNk2zPXRF - KaXrOlzSiBfnnHPOOeecc84555xzFyfnHGM0s5LzoGmhujIc3XvvvdsTENH26dxlf5VLYNEBRhe/ - 8ohQn/STn/rT8XRCRDnnel4bQJ+Sn092zjnnnHPOOeecc84555xzzjnnnHPn2L58svZvqeMA5Jzr - lYxN09QrGekbuxiciFS1vjalRIS/+33/3fd//99VzURmVlLucnmqCHDnnHPOOeecc84555xzzjnn - nHPOOeece+Z5lybnlgywRZR3BFAyug7jraPv+rff//o3PG/aH572rIa+gzAeP7X5Rx/DkaMrAypc - FCYcGxkO4nBUrH/grzEfN5LRYsC4bp4w6ZG0DdSNQQaKAuvRdzSbHp9s4sA62oDZbPLQ19f7EqAg - NYDoPON2FdZCF5kFqgDIFECQeGgfbrkZOQEyjMPjJzfznjWIQSgI594snTfHRQGFaWxC/TuXjJKv - OXhgvjnm+nxBGc+gBoDsrELGFlFMO6mJBLAhKvrTm+gSlKNio9Mjv/luQAg4szkRoSaGyzfb5xvW - zeZNDEY1LV7BjGF7OobMLERBDMS46fl5bV8xFbrg6DgQqSnzIuacteTHzyAb2jjtpytJv/CrvwEj - CUxWUPKFRfVYjRcFaoATAQSFGnSGFGJEAocAJhC/7Ed/OK22ygQzsOHQwcGeNTDlJ0Sd7vxtvLNN - EwqxgsiYjUopzBgfPYI+I7atmU0mAy0oGW1r8zkAihFEAMrFRSQ6565iDA2qUUEqhrjdPCZjy2Eg - g8ZKPzkdAzb7NF49gFtuf+Eb78PB9anYnFRhALTsRDcVfrrRzgVgoVIKiIlDgiqV/TF0f/Jn+MBH - N8azoZmUYkVJQlbVZ0Ed+U1jQI4mImvj9KUPfSyMzzQoDBOTUFiUbbmyyFDXPoGa+lpDLmUra9yz - QYw65e5mzMUvFS22EzEKhQEyArPSfPLVj3xsbZJHRlZQWBOZr9+nYASNWoLOLWVYIQ7FDhfOn/jM - kQ/94Y2kIaEdIgEETiXF810hVNfs7tvZT597yCwGMTBjDswP71l78xvHhVgG/ayPLABqtiKM2ViU - gjIrA1yIE3Mv6AWZNYkZmV1N0csEiCmbGmlhFQUbjJAZmdVIxTQWbVTFtJBmxizbbLiCO2/D7TfN - mwYiUFgB1dlBlawsdyg2mJZuNoWZqsJ4e11ckuUX0xWiWPKsFMtlfZqP/5cPzx/84h7KBFVGG8Fq - AWCGaT2Qu8C3NiFjtkVhpITM2Gqku/Ha5rWvSoR5n1NQa1HmvZhe8PHC1YQNUor1mRru2aaWZ4T1 - e79jsm9j3MROWAkEMFQMrEwXvp0QgGLUiAI5JTMwKESelxRnsy//u3/Pj58OGb1JJLRUPIrYXRXU - +vm8lk7nHJyrUBKSPWswEkitk22RT2w1JfrpNKHdpaWLdpEam5Iu2khMRZWIiExVlZDI0MQDhw4S - oZRCTAaDGdXa0GBmad4BxAYzMyu4HBs/DEAMQXfOttZaOKjiSw+d+NSnh6YSAwPBFlWGgXVZ0bOB - DQJKRXNJveYMm5juv+HaCZXy9FoitnO2jEufmEkAUojABFH1cI8jH/sUjhwVVYYZIcNMuFY8ZLUt - WmfhTQfndiHdvs3n89XV1ZWVFWY2s5TSbDYjor17N/o0D5F/7uf+6Q/+4A8AiFEu4SKISN/3APq+ - F5EYYyklhJBSqs8SkYi0bQtA9TIsP51zzjnnnHPOOeecc+4qUE8mA1iezq1DD4cQJpNJKeXt3/O3 - mxjqD6C7z+VewvO6dHYQOAkrcOrM1sc+9jERMTMzI6LdAys755xzzjnnnHPOOeecc84555xzzjm3 - LYSgqjWfuyZq164mqlpK6fs+xgiglLKysvIU/V5CCABUVVXNrJQSY/yu73rLP/6p/8XM6oPD4bBt - WzMz08XgnmdhH//WOeecc84555xzzjnnnHPOOeecc8459y3hXZfcM8eAApTtPnR2Voe6s/rW2fn6 - 2p3ngSc8/cQp7Kz52a4Hd2zHYBPIQAbkhJSQy+d+4Rf54YcPlizTKfUp5DlywvETpz/5yXhmPCzF - Um+EGNhMZ2XWzbZWJJ565BHkRGrzzfHGYDQYT/7P73k7cqJkg7bGJSty/ov/4xf2Sti7sWc+n0Ez - xlvd46di1jaG0vUMaKSJoRu2p5v2VNtuNnGrkc1Gxg2PI+aCzFyoJrvxE4MGn/hI5DDri6zEk5z3 - veQOrK2CAkT6TLK2dtcP/n0MBAG55AAiYSyTXXjnW2IgwOowYCAgSEAzOPrwI4P19cVoX6o6n5Op - LXOaaoDNIh1m1xBm22uDYGVziqSAEiGmUs5soRTRsm99BUAuald6b0tDO2hAqkwZMAogxnDw4h/5 - 4TFAMbJElIL9B3jfvg6Qs0NAFlmST/gGtteyEaxmYzPXbzqA8uYZ5Ay1thnyrGu6HpZS30MEHC4m - xdDOSflhgAPi4m4NPQ0RK8NjZh2RaUHToIl7D+xPpRhAwk8ajbmk9R8qNcCLgAA69fARzKaYzQJJ - SySpf887/zm6OQ2aui2WnEEQkboX13mXRYb8BX9Q59zVgwwMI4MR74SimZKhbdvZbIaCPevrKSUZ - DjfNTsKav/3d6ZabuqYFmEKQ2NhycMzdhaQRahbhhS5SIGixpFDiLmdmqClPx/tPnXnoP/3+cNZv - 9ElSNrPYNskKdrVlnuqTfmOTXf6UtOatkjEZLz+XLp8F6lMASJU0WRlo4mMnj7zvD9ezikECqSkv - 15cCBLDtfDkUQ04pARxaGo3w+teOvuO1kxBra2R7sqeoy75Bsh33B2OkYe6+9v4/xKmtESHp3AoC - y/aHWiwbIMtFVYLSIn2WFn8+reW5Auk8z0hACCKNxDDUjJOnHvgP/35fNxvl1DBmWxhGLtAGcqEj - 09YthHcOWAggViZD7pFWmo177sKNh3W4CqUooaS867V1U9lpbvETSoOrb32dZffus3vrrXHmNdPe - lFMznA+Gt7z1zbOVwbjvpGGRRdRrncPuOGTJZl0HU0NZHOGREdv2zq5g0O6SWbFTNvL27ZwCc3vx - NBtDhjGs5oJjp77wH//zYSBqijGYsRWUjEAAWUFhuohUv8XWRgbYov0/l+bgK+/CxnrftBIDQWbT - jpkHg8GFz/8qooSmGYhIP51ASzNcmcSIwwc2XvKiWVgenta9enHSgC7weJODtHPNKCkSQuBAACzn - vNI2G7mM/+ST+ONPbKS82jaTycSYCqGwKqmSiqnYojyvhfnVfHkh/w3netw3xaJY2y57L/jlvDxB - sShRxQykIDvJdHQ4emS0cnS08sjK6JHV0ZG1lYdHK4+u7Dm2spbX9pggooCpgJS2TxGYZwpfbhQM - Y1La2UmZ65j/AOqF00kNLOW6Gx5d33h4OHpsbf3ocPXoYPTIcPDIysrXV+Lx4eomas2oZqUQ8gVv - bt9ctbnHxoQCFCNVgoFZeWi01vUn3vO+a2bzlmyW5g0HLbaoRBaJv3UuTAYyi1EEUJGtGOnGG/Df - /p1jK6Nu0SJggzyxqLfznNTV7ed2PaLC1GkmZhFSRe6hapEpzsf02GOTBz4/2DzTkEE4mzIHkPFO - 04LIavPJo3+dO4+maabT6WQyYeYYY9M0MUYGtra2QuDAEiL/yA//0Dvf+XMsuISJJzWoG0CN604p - tW2bcwZQB7yoCSsxxpxzHQvDOeecc84555xzzjnn3DOs9oEHQIZ2MOi6zsyapplMJuura/Pp9J5X - vWptZbWNTZ1+e+TiSxmhTbWnDGqnmZzKcNgq8P4PfECZOEhfcn3fOoayc84555xzzjnnnHPOOeec - c84555xz51DVtm2ZufZ+qUnbAGTXcIdENJlMnqLfy/YlkABCYACvfOWdv/LLv1hKMbMYhYjGk816 - USQzP1vGu3LOOeecc84555xzzjnnnHPOOeecc849G/hQ7+6Zo0ACUh07ajvHWwFbRK9pTSSpkSKm - 21Hb26nb+sQQ7u2nt6Mx7eyIbgMM5Zy33rkpzArQGXpbpqSkBFX03Wd/9meeMxsfmI9pfBptwCCi - CfjS509/7I/D42P53H4AACAASURBVKdiSQEEhRAX1QINQBQSZJ3N8PVH0NuABmWcB8bf9Z2vR9eB - CgCwAgCHUWfDaaZZH7uCIJMvfj4ohzjKKXOkxJiypI294aZbR3ffve++ezfuuWfjda/Zf9crcPPz - 9PobN4cr86btTRJALHODMZHwzrfNyAJwzX1jkPSam9W1x2I4fNcr8JzrEAhtW1S6MHhsXhBjiWGM - rCGAd2JiBBDU3o8KRCCCIoCwCGs2gAera0ilGCEEzKeU50wJUIVRTfoxRo1HrTHe2/masEwg1snx - E1CAkS0rWxsZ2qHMoRlFFUGfBXleNTKQtAcSEWJEE9HErmnGan0WDDaguveWWzqr8d4AEzEbOBuM - hELQXZ1QjVAIpUZ6G6tlImj9y5hBZx59BFBQa4kHHKL20GnDfZ31hXVnXcbbg0AAao4RhBCRCwEw - BQPJQBE82Frf07FRDCACiK+/UVWbQehVFVJICnHhxdxosUksCwCDoIglcAKVAbPM8zqAhx6CKURS - yQPmQ5xQxujGBjMYhZABrWVLrhuwZmhf07uv+K3nabmUIwA696xjYAUV5pqmuUxoVYYWyxA2Cl1P - xm3KWbifDmlyaP/tP/jDEx4MQ5tMp7kzIsYidVMMvJzLReU6s5ooBAHZcmSwWiAMTA9o6f7qgcff - +/69k26gJjHM+glHZgMb87K2xfZnqFmzpCAlKNvidkWXCEqqbEbKxqJcPzibMqyJkksPkgKijAiG - aohGnIbdGB/8yA2PnljPOQNJLYspZeOy+DoW+XsKaIKCrRBIMC98fLSGd3wv3vH2k6MNLUIKMWHU - lY1kF7yW6/Q1sRXLBhdBSbFX8/XHTuJDHw5lwi3HbE0Ji5fQcss0DYpQQMYGzsRa2woGI1XWK3sF - XzAbNNzPu4ghUhTGYHYan/kEPv2JNZ2rghSRoVkDABTTvCuzeYHs3NtuCmVhYihQYAWRMDBtSgiP - it34ju85eWB9i4tYJrNa4S53NDWgMHJtk0PZtCnaqAYFGbPVtNKr6JDcUMPmGcYwrtv09gGCAUrI - gk44M7Nyk3kYByen3XTPwfXXvWG+f71ba3LTzDIyITMUzNC4PFQgo4YwO3Ua2rUCs0JE2ZRNo6kY - klASACamZEqGGqLMBlEGWMEKBliURReHLUpqpEZaiDO1pjzIZa2b9b/9H244eSpOJ0Q15FNgEuon - VWOw2gVHYyopCWAxG5iZDQyeg257y9uOxXYayEilYDWMwDzP5aJqmauFAUUklbxKMlDqO02jtVOD - 9qa33DvjDFO2hqitpwuM2Ugu8IhBtaSGoAoBNNUYeApGoU97st44t6//9u+GyenR7HQziDOWJMhi - WczIglpbtG66BlZabHtKddeobZIr2zeyfW5PcuUf7V9hnn5roSCUZeq2Agw0pW60Jb7sZY/dfvtj - d9392CvuPPWqu7/+wttP3X3PsbtefeyOu+Yve/XsmucSWcyzpKXERiGFttvOKrp98e1VVD9efpSh - bGpEgATloPWkjVq2EGIqWhSNMJuCaBKbyUtfevrVr3vkJS9/9GV3HXvFnUdf9vJTd3/7119852Ov - eO2xb7sj33jdRGfNICpZDy1Cl1l7lWFcYBKR0RXRHjkxotG+GfjoyRN/9OFr0wT9nEOYayaGgpVo - +zrx5T+MiC6VAvRBHh0M97zxLbj3TSduvrlbjRnIUPDAEAtQ25aoR6NEhVhrq3D5zeycQdXaOjVC - gaYB2HKxYvVsbQAkp5Zs2E8e/L3fH07mw5Jy7kMIWiCqBDVSA+rRopEWzt5+cG7BePtmSgRp4qBk - M6WclCCm1IaWIbnrA4um/I63f++7/vW/PnRwHwCRxb7EEkECMHFYlAwXMo5EHaWi/gug67p6pw54 - UdO7sYxaMbPd/6pqve+cc84555xzzjnnnHPuUjPAll2JmBYd8zhnldAYWI1EpJQyaFqofs9/9dbc - d9unh+upXTMjMIHPPXN84T/WmFl9lZZSl2426wz4vd9/D0JUpr7kZtCYqeWMOo1zzjnnnHPOOeec - c84555xzzjnnnLsqpZTqne0rFvu+ByAiRGRmItL3fdM09dlSyvardl/GWC9sBED1IkqSGNvAQoAw - CMipvOLld/za/b9cSiYyQOuVj20zNCWmoGq7r+VcLqA+cfAf55xzzjnnnHPOOeecc84555xzzjnn - nHsGhG/1ArirCC+Snnf9becbf4qWE5GCuCzjeqgGSD9Rnb72yVvOsOYGbYfVPnnmzzJ4kQFg1pdR - FEAxnvzZv/jnN4u0p7ZEAkYr6OeYjNPDD/Vf/ZqcOdPkIsQKkEJJQRAyLoRSABsGnhw9vvLcm7A2 - ypN5u7aKPoEIfYJkQGFApyPQILRIGWCcOTU9fnJomObpQMJM86zl/c+/Bbe/FIMRmgBVdAWaeUD7 - 000wQZ9w9BhOne4efezEqVPSDHrtJZsQInGpkdhEBVBYITUJRoO+kee89h5cdw0K1bzj3DanVgZ3 - /tj/COYEZkRdfH/AIj+pWiSjA1jEElLhGh9DXPMka49L5AQrgIFARkoQ216pIOxsBAQyGNe5zOaY - d1iJxmZm2s8wn6FtwYWEA1gN/GyIltGaSlYDpkUYkW/5B//D0X/+r0YZZTKVtRXINe3efWnzjJQs - agwDWDgAKKXY2RtzXT1111iOzFbvGykodZjNYEPEIaistUOkGYZtTd6+iNHfti3XITNAHGGALGfY - K4ajV/+9Hzj6z36mTCcSWxjjmmvj3o3ZqZNRQIVhNQl+Obcac2SLbYwBqvmjAABSY7U28OaxR9fL - tyHnKCGWdDAFzObYMyRBMQPRvJ+vNoPdy1m3SvIEE+fcU7KzmwnL8EjForjb/WxOQY+YPP+5z3nJ - O773oXf92w2m9dBq1ysWpTBtZzkbX+x1Ajv1Ly0LMTGsENbn6St/8If773tbDOk0a9u2pRT+hqMN - 6cJzpi9DNbtu+6+alcpA6brAIjGmVFTUiEou3JeRFpl2X//Qh9fObAYpjWCmCFHQG0F51zquM2VG - 6ksImJM8Djr06lfjBbdg6yA/77ld9yWazaIVEGdVVbQN17jWi/gUAhgMpICxgRtMp2U9jb/2kY8+ - 579+80CT0CCnjFYAJWM2LYuQP2UwAwDXvEyA6cJDgp8FCMjzfnW40k20hTSzaZvLsd/5ncOpa2uA - qDG22xgXRQDLagALiBozIaVC4TR3N9x5V3/NoVMhiGoggoGZFQW7MmgNKMudjms8/FmHQ1cde8L9 - 3Xm99W79xurjmkpsBpMS9o1WXvS2N/3Vb/7mod7WY8xWxBSkpDBaTMwGKxpKQdcTbHeIHdkidNQY - QcGoK2Px3K6FIgC0fKGSbpeZZAAYIQTVcPokjp146EN/eO2sb5hyVqbIBn7au6EQYLW9L1BLwMRs - /y234vA1eX0d0UopUuoikhHR1RT9fhF6tWI0BBhMhBkxb+w59PxbwoH9aeuRqMQKCsFyJkBNz3/C - 4SnUKmhxjLx4iIBgyLPZweH6F770ED7z5xuvedVUVkqE2GLiJ6mKqVYHStsNiYv51JcVpb/5oJOe - DR/0SmW0+P4vvHnIDOhy4zditaKExJz37XnTD/33g9EqUsZ8hrZB7kEBHbC+bvMJ7d9z2hJQOMRp - n0NoREEA2+JfAIvzS+5baacdxcDuahPG56ydSQw3/53/BvfeCyY0LboeZggBqcf6OmaTNGjK6uBU - P1MhESn1kOSyWsfCJRWYgklLkTiAMfXdWpfOfPhjg5Onhh2SmUIbOWvrPOd4L/doBCQyJXl87wbe - fB9Whre8+Y2P/eKfrwdQDmpgZjExKjtn8M7ZA5dfztnnsupBz7nIAGi2fhXNiQcexOceWH3d3SfE - SilEBGOxRWPm7Lj0y+rbd+6yRkSaCxFFlplmK/NXvepV7373u/7hj//4Z//ir0MIqqqlgCg2Ter7 - p3O+fbftYxlmVtUa411HxCiliEj9sz5itvi5xjnnnHPOOeecc84559y3ypvue+O/+b/+bwFKPbe8 - PK+7u/fh0+pTsvs08K6feh49fuyzf/m5v/X8WyVIMdVcIhjMqvos6CXonHPOOeecc84555xzzjnn - nHPOOecuVEopxlj/bdt2NpsNh8Oa0h1CaNs251xKadu267p6DeN55xNCqGHezCwSUkpmllJHgAil - VAC8+MW3/dqv/8pw1ObcN3K+8U98UBTnnHPOOeecc84555xzzjnnnHPOOefc5cS7NLlnDhkaRWsA - 0BN6Ri8oDCOQQQxiIKhBjRSMQuiRCzKgBIUCBSiAAlqjDhc3nC/XqgAZWp8SQzAEg9gyx5tqFAoB - sPkcfQIgQtCE6fTBX77/hq1uJROVCBrh9BjdBNPTjz7whfGxxykXqckIIOMaU1QjqahAxTBgPvPI - UWxOMD7Tro2ms9lqM/jsL92PrkMgBEHSj/7LX6SScz9bLPepM918SmRNHCSWbrSy/zX34O5XICoa - S2w9GIM1xFVggGYFwlgd4NYb8LIXtvd9+4G33bf3VS+X59/cH9g/bgZjlh4hU0wcZ+1guroy3lg9 - s291z2teduitb8A1BwBLYHALarc4POdHfwBrpLAWgftuAF4ErV/EuF1mSKlmS9TAiN2ZeefZKoBg - CAU2n2NzE0UJiE27Phh94ld/DSnDtEuZDOEbCP263BEAJnAAAiCLuELCcHgmCgYDaWLZ3AR0zy03 - bcI0LMZtI6gAZqpWmM9fbteMKzaQao28ghVKBZtjrK3GwKWUbjYHCLP50xsB7lzMrKUAgBlE0DTo - e7SNDgYTDmiGaCO68egFN82CsDSL/V0hugiRVdoJqKPtQDtbfCKoEcDQrZOn8fgpZGVCTHl9s/vy - L/06UpfmEyZWw2ozQM06DIv6rYE0WBYOnkzknLsUyLg16ULE29+89YLn9jKgnghEJIpFaUYGVmYD - jC9iEMyaJx0UQRf5hbWxAaaYCr58BB/9ZKtdOwgC4kIA6yJi9qzyfVGKLu1EgV7JGLXRSAoUhpIZ - ITMKqSgasGZLuSRBDiwiI6W9k3n53APHH/zySAK0gGrm7bLeXN6MoGRKIEg2CNAFOra+un7vd2Aw - wJ49z73v248Ow6yhzgo4Rg4MWFG60PqlXlVSX0XKZnUxLGElQlI58fkH0xe+vLI1kQBtRBRNgSiU - UJa3uqbFtG4tWtM3ja+2HF82GnFb+lIai9H2jaf47Oe/+uefo1SeuF7sonYBYtZluGnRpCWplS7Y - 8cg3vv617Z4NM5iREYqZemvjkmIDqQVQoTyPRve+YXxgfzKNVpuRzLtakkuqVjCbkS6SRZchduff - NRioScmLvHBYTfU2QuHF7mYEUW4KiyKjBMp7jY6974PdY49TSmxomERr+bF47cUVtrRozBtRPUzV - JDg+CDfccxdWhsrclZJVl8eg7m9mRESUoEpKZCmlAqMDBw+/8EWbTZPICjrsxBxe8DESGW8XNdtH - MViecsilx3T2+fd8SMYpdl0kFUUoFAqJkhIlRmakxepUhtGyerrgmsW5ZxZBg2qjWpsiRpqEppE3 - W34slPm1B0609vgQW4c3tvYNzhxePb4nnD7Yztbp1P7hUelOhTKLQJBh0wZFo2gKYlmcInBXnHnE - I3l8bF8zPrQ63hNP7ZEz++JkXzxzYPB46I5vNKdW4wloDhLbQeTIxS6zFa1EVlTViC1wQgPOqlwS - zpz5ykc+GroiQEMmqsJSdGfpSZfHFNuPCJVepxIPve4u3HwNVuLh17227N03bSgFyUhqvVpRvWR1 - uREUiGbNsTObH/64GJA7IiKIGNWqqrZRaoNWlMnbEc5940hBWgOzm6aJMTLzrbfeev+v/Oo9d99p - tvixislSmsc2wJaHFE+7oCOiOuZFDepumiaEUEfHKKXUxG5VrQHeT/+DOuecc84555xzzjnnnHs6 - 7rnnHgLk7AGIn4Hzt6mUj3/848xcTybXHvtPNp6yc84555xzzjnnnHPOOeecc84555x71osx9n0f - Y8w51z+3B4E0s67rSiki0nVd7XDyZOrL27ZV1WWGN9q2BcDMzDhwYM/9998/Go2apmnb+E3/YM45 - 55xzzjnnnHPOOeecc84555xzzjn3tF1d0W7uW88UqgJlqC0iuHfnMWv9rwAZKDsBB7rrBmARvL39 - UKkZ3Luipg0AlACDghS2E/gN7IpOYAKMmyZE0umk1YLZ7M9/6Zf3nt7aO+9tPG+GI2yNERscf+xL - 739/2BwPsrbMQmRaP8JyEdVqpjIbpJhNMx45iuEQOQ1CTJuTlfkcfYduBgBJNwxDcEDBbArV/sjR - gZqYJqKThL133onn3Yy+YLSSY8gS82A0J5lLk0sDWUW7YhwwWu1j1EGL667Dddeu3fnK/ffdd+jN - b9z7+u9cv+fuPS9+6Z7bbt/3whcefPnLr3vd657zxjfh5pswGKrEjhpeWT9N4dQgfqEbY22ItuFm - kHMZxCHUUurBAF3A6F2LgLGi6PvdoTVPQesXaKCCxkxPHIOwgLqtMfq8mhUKMEtsStErPoCCFtun - 1JstHxRGGx/VfKrrIFFGDaC49dauaXoiCrTY1LWGkxKf3dl1Vyyr1p1gu5csg0Ip2NrCyVMApI0N - y0/e9xZIg5q0fYmYGQcBc8kJpiCACcJpdb2sr5/ZHCNEHNqPaw7TYDTvMxa7r6EmKu4KMnmy8CBh - KbkX2Pihr6LPMI2lrPd5ddIhWRQiZCM1wCyDFaQF0Bq/qAxTmA9F55y7ZIR4C4Rr9978jredioPC - DVNTYDXkdVc7gwDCBUcp15hgE2Wp9eQymFZVh4YbCn3ud35v2M1jmlsuYFm8YNcszgnt3pk1LWLb - rlxkLEqii3DcZbCuKUGYRVFSyqYmkskAtKmM5uXz7/0vG6bDwDXdKhIsFSyDcrfXkBGMzMwCQTMm - FNo7XoSX3nGmz1NQ+M7Xnjy4pxvEDFgmSBsJ+QJr1O21uVPlkdYk7myQ0A6JV/v+ofd+cH+X02yM - hhlg5WXEMCujMIyUTGnXilYwG9OTrPpnKzIEi9aX0ATqxmFr+uDvvmcjlZgBLOOcL6RBex5mBoig - AKrFUIDcB5pfexgvflEHZkg9CrDA2dsbl1rDVFJPgi0yHDpw4M5XlrZFKlKzjumsg8la4JIhTWai - 2L54bJdzC2QykJEBRgosditAC2khZOZCDDAZi3JQaOnifIpTmw++74MHYxPNkE2IGYVRAK279nI7 - sAtNgyaDGiAwmAIphsnBfXT3nQnoc+61gIkZZGpmdMH1y9WGmZmDFNOsKkIA+pQNfPCeV2+ujHIw - AMiZllNf3Lvs/sNgBjMrrXDuu70xnvjTz+KzX9xrJaYUTMU4KrPBsMjtriUVGciUoYwr/8jXXR3I - lEwJSgYFCiETdcLzthkHOSM0WRmeGbVHVB9l2lobTfaMHkF3urVJw7K2Wphnsy7NOzGwQnTRgDFC - YRTfDa4ohWi+0k7XhscijlA+MYwnVsKjLY4P+dQobo3iLIo2kZuGCnSaJF127dViWUQIkSgGEiqK - 0q2j4DOfyV96cAhRQBgBgO5qXhhQU32X57YCoe8t8XAyGL3gLfedpLQ5jDi4/8bXvOZEbLMIm5Jp - bVsukO6cF7OLyfNWAgVBTteH9usf/zM8fGTU56YuZm0ZEQAF1WMH9vaDcxeklBJCaNu27/u+781s - Npv1fX/99de/+93vfsc73mEGEVJVYk59f6net46FUUqpy1BHysg5p5SGw6GILMe54Pl8fqne1Dnn - nHPOOeecc84559zFYWBjbf3FL7yNQbXjSu2BsDtCe+fepf4x9OOf+ESMsb4XMxORR3c755xzzjnn - nHPOOeecc84555xzzl3NmqYBEEKo/9bOJERU+5YAUFVmZuan7mcSY6wXM7ZtC6iqdl3HjJzLvn17 - 3vWuf3Pd9dcMh+1ksvVMfCrnnHPOOeecc84555xzzjnnnHPOOeece9o8qsE9g0jBClaYBmgLbUxl - EamrgIJq0CQXcAYrIOAIJjDA4Jp4rGA10hrsXZa3VNO+a4IjlKABkO2Ab14GeNdM7+USlVJA1Oce - sEYM062//tmfO/zwY6uzPpI0sUXfo6XZ5/78yAc/el2WPRlD5WCkpgo1gA3QmrNV/wAR0Kc9TJtf - ewSbm5jNGeHgYG3QzUFAE6GK0rcpceqghjYiyPThI82445xmJR288w5cdwhZEFaMm1mxOWQcwiOD - eHptg4b78lRAK8QrOmOmIQ82EFawuh/tKlZWsH8frj+MW5+LO27HK16Gl70Ut9yKA9egXVEEjDaA - UQirx7a6ycb6gwdHr37n/4asgCgkhIGmBNXYNHrR0YMpYZFyiNpN87wMyFAKrApWrMZw5rGjQCGy - ds+eNJmMuoJU0KcChMAlPQuGEmOAGQjYFewqjCjf8VM/1cU4Sx0CIQpCXL3xxs5QdPEdGpQZLDh/ - V1dS1IRYAgxkMFgAohq2xhgOiAGh3HfveMtb0WcwXcrR3+paJkjToOYMNhHCz/t733+MOa5taK9Q - w/r6vmuuDbE1VoMVRhIUEgXXPf/cKFNs71lQKwxbER4//AhOnoZZsMLARtIH/tUvYJ4xnSuQAHBA - UagCWmoWOAE75Yxzzj1dBnSqeW31sRBW3/jGcOstm02LQcymNT9ba74yLcM4QRfe5Nble3EhJF7E - VKthlWR05nT+0pf6z312ZWsrAIW4MJR0+x0r2r7VeoF2blc6MtQqdZFZTlo/uKqqqhE1TUNEpU9Q - bfuMh4+d+eSn1/pSupkAUMQoZIuakGyR7KwEJS0EMxMOGTQO4Xlvvg+H9k9CM2tbXHd4/2tfuSUU - QtNDNSUFgjzpcj4ZI2zHhe/KHeYYmtmsG4iM5vPjH/s4Tm7GnJKmmsZNtljIQlwW67EmvCot04LJ - IHq1Hd9xP9OVwUrJ03Y+wV/+9fgTn16dpVGIOCuW/eKpwQAjUiCCmxAIZSbY98pX4Lprt4oJiIgK - jGRna3SXChERGwWaNbLVNDe/6U1djPUpNhidm3LMxATtJ+MIq3sNG2C2XfTRTtp9fZaxU2IrSBmK - ZYlqBCOGMcBiLKYt65qm8sEPrz12PI7HgxAFpLnUPbHOuSyb+Re3LSyyawkgzALT82/Bzc+bNtEk - sEQOQlygPQoIF14AXU2UkLUokzIpShBqopCEeWzwkjvGB/f1gQTIZkJipma6qA8u0HbdaoQkSAIw - paKBbdCna7a6r/3ue5pc2m4aCtiYlVm5MBfizFpYaxUEWoTH87Kau7RfiHOXVm2D1bN5NQyYjclE - e+v7MmzWAg10jijDllYIzVbfd8I6aCcpTbqOSNrYRGmW2zyUUBi9oGdkD7G/shjDpKhkEw2NDFes - GfUkPUmJTYHMUunm2XpQT4Mi63Ekl1l6dClFmmjGJUOkRdZh0UFOX3vvH+wbj4OiK4CBCFAECEMX - 7fOzMsgVxDOg39hY+VvPx/Oe2+3bcyLwVrB9b3nzifX1jtEwcX1N2PkGauNkcQiJizteU+S0YqqP - PXr0Ix+9FhK7nqGFODMXAoCgJqYwMvIqxrkLEGPsuk4tSyCzYlaaNqytr/R9R4Sf/99/7if/559Q - MyIEpl1lAj/hdmFSSruHxsg5D4fDOi6GqhLR6uoqEaWU6gTOOeecc84555xzzjnnvrVU9a1vfWsq - hc7Xc/6b2nf5M5/5TEopazGz+tY1ONw555xzzjnnnHPOOeecc84555xzzl2FVNXMzKyUYmaqKiK1 - VwkR1ZEh6+PbVzI+CdY6kh+49n8RYmGoomnk/vvvv/3223POMUZm7vv5M/PpnHPOOeecc84555xz - zjnnnHPOOeecc+7p8KF53DNuESC5nW6yPSAVw0A1nnsRZMiynduNRar3MtMHDBWoQBnKUEAVWmO8 - CbsHnVpMD16GpykYKEAGWCj18yYGTGeY9g/8/DuvH0+viSF0cxBjsoXJaXz5C8cf+Ku1VEaFm2yh - KEypBrgRmCAEoZrEbDU8jWAroZ0/fgqnTyEIJtP51uZwPn/gl34BXY95h74MptP1JiDNkOY4ccwm - s7WmpdDwno1w2wuwtoY4QM9ZpTQrjwkf+rEfveUn/9E1P/Ejfxnt8cP7H9J0PMbN0XC+urol4djW - ljaDvlivhOEIa2sYrSJGMIPIRBAatEMe7RlP8/G+nOA4P7D/+n/w9+/8hz+EYYvhGkrs+5JBJgEi - RctFDt1FhJQXadNWHyA7/5QKoPbkFNCA0Z0+hfEEahhPVgfDNQNmM4gUy6Yq4VlSXtVYQBhQw9FJ - NEQ0zRkhWxnm+RymiM2+W5+vMfZmBSbLgdyEOBc7Z2509t/LpEATUFDYZIKcSj8v83lgrHAEGLNL - 3M+1mKaUQIAIhEHAbIam3RwOJ6rcNJM+Y3VNDl0zr5nwpEpaA25hAmPsSjN/omxognDOzazH17+O - nEAEU0nd6uYEsw6RrCTZyXNd0J2v6cnm7ZxzF0YJJjwjm45WbGPj+e94+1epTMyYavIgLwodWsbG - Xuz7LGoM2smmJQAlR8wPWf7r//if1jNCyhlmTwh4e3ZnHW7HVG+rrb1CKCIkIhJLyqS2FpvWaPO9 - H1g/eSbMJhEaRLLBcpFFXbGsMmw5Z1IWJMJmCLj2+rW77jqaEwbDHNvHyb7tzW/cbJo+CpMAqjXA - 78KWnp90/SgHkOU0ymnPiZOz93/gmuFQUgagxMvAb67LudgkTLcjvY3qx2G6zKIQv7mMiSNDmm62 - R/PR//z7h2fzQUryhM3jovcKZiGgmJUCFgFhCh1Hfu7rXqcbG3MSMwK4jn5LPvTtpZZSx4GspCJ8 - ZjiiO15sBw9NoyiYbKcc4EXmJYiM1PqtaSiLdf5kxyL1ddv7VC1Y2GoM7fZexsvJoICYrmppprMv - /MH7Ds36kSk0E8B8VlqeEitd/JZAgKEYtBi6EPe/7CVYXZ0FARORQK2UXsmYmTn4KZ2nVkohIgqi - hJIyUjGmEys9xQAAIABJREFUvmlx4NDabd82C0wRAIiZoViWqBfirJJFCQYYqTHV59qSrzE+9qd/ - hi8/tLeUYLY8/cGL6eumQjX8eGdWbH744i5/Zw0vv70Br61tkJIqcoIWHsYVRsy9NWEwiIPAMVAI - JmIQLJpjSlCCMgqhEAqj8MWlF7tvGVKBCmkQCyUj9VkLCTeaNIQ4aIZRmkAxxgjQfH7ZXXqtpESk - BSWTkbDaAS148MHHP/1nh5kGgAEFAENN62lggtWT1YW40OKC86xqzfArpDe/7c05tKUZzpknTYPb - bpMX3DpngRrqueOyOGsKLE6QAmTLJscFbf9sILUGpv3kUJCvfeAj2JwO+l4YhVGItR7jQMUAsEK8 - hnHuG1fHoVBVZo4xikgpZXNzs2kaVTXT7/u+7/uN37h/MIxqBcAljF+p41+UUlZXV81sNpvVJSml - AEgpEVGM0aO7nXPOOeecc84555xz7nJgZt/x7a/nxcUEpqoAROSsaS71m9au6EeOHHnk6JEQQh1w - OZsSkf/e6pxzzjnnnHPOOeecc84555xzzjl3dWLmnLOZ1SsiuY5OEgKAc/q0iEjf908xq3o9IzO6 - riNC0wZVrKy0v/qrv3LHHS/OpQd0c3OzaYJf6uicc84555xzzjnnnHPOOeecc84555y7InjOk3vG - GQEE4kKciXMNOCGGAQpkUEFQtIamhjIaYLAa+b0I/mZSUAEViGkwDdAIZWgdhUoMZDXtuw50tbxT - oxIVpChAD0xTF2NEN0fSL/7sP7tlmtbnc2xtMRukoBvbgw8c+ZM/3jubD1T63BNQ51ST+RgQIBhE - IYAVZYJpFgA5B9iZr3wZ2mPYDlZX9piubE6QFQUP/NOfvyE0ZTzF6ggMO3GspK4r+ZTpnjtepCV1 - REBAuxqw8u/e88Hb/vH/hL0NBsDe5o53/qPD/+QHb/qX/+vBn/mxrx0YPjzEkYHpwY0ttThYbeLQ - kuksW1egBA4aQhJRM8voZ8DqPr3u+kf2DG/6iR/C3hWQgBrMCiy0zdBARSRBRSjNphe6bhdZTaoM - MjOzRcIEdo04VoPxFqGbVCcggaBP1Hc4dgy5IMZuNhvl/Pn7fx1FW2KzonbJci++VRbb4XZoPSsA - Q8gyAIcX//iPnmHlNkJi3/e49rrVQ4dUuCgggkVcxzf0JdAi4VWDWhrPEKI0UQIFJu57jCcYNPnS - fZ1FCxOHGM0sl7x4dH0VTHf90A/PFACvjNawOcW+fc2ejZply4ZFQuJTRhvW3EQBCCo5rRjGXz+C - zU1YVk0UaG/f/8U/+VmkbigsCegBZlvunnUOEAbTszzJ1jn3TDFCEZoZIKPHM+HeV/NLX7BJKrtK - MyUU1sKqT7fk2Xk9GYhQLA1ERv18+sd/is99PnbJpIY6nyfgja1WzQwwjGGsYL3C2/8GFGIFs+2E - zgWFGLSRFCjDVDOlPDIaFcNjJx788B8dLHkkRsI1CjUraJm5vSuyt7YzoWqd8GOroxvuewP27x0D - BdIV22oi3Xrr4dtfNGbRABYCI5ULjpYkq9HuOyuirsGiJcaRQlcF16TywO//AY6fWisKaGYU4rqQ - osuXsBkb4yKyZp89jIBh089ne1PBF7907FOfOgRbBWkuXA86qqezJxIxgWo7wiyVNGMMn3NtuO3b - TnC0waCUYkREVFIvV/budfkhzaQQKimrSWpGGK489w3ffnwQkoChbMtCbZn2amasRadT0UJ6VnvX - dkrFsy13RoKCDFAjXQS+G0QZQCEkAcE2pvPZp/6//JWvrHazUSQrBdhpfIOW4c0gJVxcbh8DdcmV - 0Q+G17/yVceY5zArhgwkMxRIMSLz1u3fhJmLqZoREZWiJanRFNy37XNe/dp5WFQjVgo/vXLiHGYW - haBoGW0/X5vPjrz3/cN5FzRvHw7bsjquVQ/DlNRoe5thP1/nLnPbLSgsyj+QMRlvbo77rAaObRua - pu9TSrnlZpA4TDNOz9bQDDlQRs6aFUZQRmaUXTM8T1ntLmNk3FBsLQwsNJlkrm2iFYtDDa0K9YYC - Ve0099DUcI5cLrMajJlLKUREwolFTEfjycPv+4PV6dZIc1BrCGYoqGevc/2/AYWRGVm07hEKmg+H - 85uux913JmnyuG8o5mY4W1m95b43YrSSkDOAgJy0lvJki8MQGCsuJkSBDGKIBALWYeGLX8Uff2rD - zKwY1YOI+lZqpAo2r1+cuxB93w+HwxBCKSWXPpc+Mo3aJuWuaUPfzdoYX/ea1/z2b/3W4YMHgizr - xF23ixNCSCk1TWNm4/EYABHVoStijACYGUDTNH3fq17xv5s455xzzjnnnHPOOefclY6BW265ZdQO - CKi/fBKRmRkuUWK37brV91g+M039pz/9aRExIizf95K8p3POOeecc84555xzzjnnnHPOOeecuxLV - 6xDrtYf1QsWa5F2vTFRVZq7B3k8RuV0nBlRVCRgO2vm8bxr+6Z/+6Te84Q01IJwNezfWNGehy+zq - ceecc84555xzzjnnnHPOOeecc84555w7H49qcM8g42V4ZKh3an7kYlyq7X53y7GltnO7Fw/Trsm2 - w491OTFA4EUcwjLtu4DrTc8JvjIQINCVGDDeQv//s3fvwZJc9Z3gv7/f75zMqrqPfkutB4inkGQB - xmDAeLCNwWbsjYnwjidivLH/rCMm1jgmdtdeg72e2BnbMwbjwSvMzNqO8axnJzZ2XiZiZ9e7a2OW - N5gB8zRgI4EsQEJqPfp1X1WVec7v99s/sur2va2WoFst0S39PpFxu27eqqyqzJPnnMzOPN/+r/6n - dx6bdXlrGyJoBaXHzubOlz534otfOAysOrKkhMxgAD5ksLmpwwxuIIcsv4UBJNJbXcl5+8EHsb2D - 0qF0NJsdIn/fr78Nfbk2NbaxJVkwn6Gfb598eJSaytxeewzHr8F4BU2D1MzADyT+ex/6ECYTNA3W - 17qGd1Kz1SSdNFgbv+Tnf/6Wt7zlRb/8S8d/4ecfXl/7Rs73j8cnVtZPrh84uXbg1GTt5GT1m5OV - B1ZXH1hfP3Fg/ZEDq/e3zXU/+/e+580/h9Uxmox2DMqYTEDQagK4KxE7kMejS9rMBPchDnORePfY - l1QSQxUAgUi1NGT9yUdgBqvjNlM3a7sOxambi8jj5jtfBc4fCI0XiRpDEUZuQDYdNZ07gGayhq6u - XHec2lEFQOKAOsDOe/OxfBFAOGR2nnsLPrfaaz9HLbXrkHPXdWPJGI1RCsllGg8OEBaHOxxDggih - 6zsQox2BJa2vz9Tn84KmwTVH22sOVQYItCc90diMMEwXWHWOpiUrVYAWKJsbOHMabmiSAytuR7Si - FtSK3sFQQgEDECySkHwREH6Vl6EQwhWjr2UymXS91smKtvKin/ib26OmsAC0DFvzZZqy+5DvdvEc - 52rFRW3pYMBNuZs9W/2h/+uP10oZkz9G9fm0ZQTdU6MTIA52VKCHGZyIJNEIzidPb37ui83p06td - 3xKKFquWMxiA+ZDOu7f1WTQVjjpqHlpbXXvjj26wt2vrRKTE1oyK8LN/4Ac2xqNtnZsVFnmiWa8E - 5+EzECNr6SURqY2m0/zQw7NPffZQUYIWMWXDoulkcsayVJEPAcbnytglBP5dvYww05IJB2b9Ax/6 - yIFuytNt2RP5+cSzP1WrOwklJrj7DFYPj4+8+DZfWzvjRM24LJK7yWodtucTfcuwx3CXFzMDXCCP - lNr82BsfWh3PErCIiV30hBedSVdXs/m8UQyxzIutAyy7gucitZ1g53YZYzg5jBa7Ezsn5WSLZxYx - MUs7szv/5L3r/XzS5llficEp91r2VwVPbCdkuEMJpW3Kylq6+UVbIipJREYkmYaVwZW8lPKE3ugZ - QESsqqoyc5ul5UQkPclJzusv++5pk6eAgyoMTPyEK08ezks4VB3kQtC+ss0PE+7/yMdxertVM7Ld - wGNyFoPsHs2RG7mTDa1SRBeHKx7v/kO7J+4Azrx2YL2Sb813OivKTjm5O6qvyKhBEift1dVEBEmU - zoV2kyMZGkWyc8sMVz4ndLXUOpzgkwRquclgm/ctiZeKqiklEvSmxdWeeIV7uaXh5nBmyckITVGc - Pnvv+z5wRLjM1WplRgXMISwA9h7fKUOXZ7Q8j06qPuf1P4jVcW3ahCRKveJ0Skd+8If6wwd3IJUh - AgU4NcMSdtO72fkST9U5TJEbsu2ta3b6B9/3IXKlbip7uqW2bF/I4/xYCBdhGFpiNpu5+2QyIaKh - Ey4itdamaar2KaVbbrnl3e9+92233Xq53rfWOrzXcJI/peTuuzMBmFnTNKWU8Xi8HP8ihBBCCCGE - EEIIIYQQwneMiBw5dPjZz3pWWp6zTSkNwx8/eRzgxAA+//nPqyoATvI44ymHEEIIIYQQQgghhBBC - CCGEEEIIIYSnveFuRGZm5rZth6tKVNXMhsE33N3MiCilVGt9rOWYGWAiMlwOM5t1RHjzm3/hb//t - /1ytqJWcxaGz2UxE+r5/yr5gCCGEEEIIIYQQQgghhBBCCCGEEEIIIVyyGJ0nPIUItSIl1mIAJDET - ijmYhnSXxMvoE4bvDVVjEEFhOmQCEViUnGAMMzelJsOZCWl4CaHvTVpWoIdnUAa8KpFAC5LASmMM - B0qF4otv/Y3rq7Va0SRoj65Dt919+pPT++4/aEgGM5CoYviY7gSDk5/LOfEhFg5wAxHMNAN9KRMr - +OYJ3HIMtUfLDfwIM0qP2lMiQ+XE2CnbDz+y0hc0zYEX3YymrWYwqqCd9ckNv/xzWGkhDZzg3BI1 - gKFhAAw0QAbYYXjhP/xVmGEZK46u/H+/87s/8t/9t2BDIoBQewiDAWGIICVA4AmEOUBA6wxdhNAM - V0Hmi8ydIyIQWdcNvzKBQOZO+xdjtMghYwcxoOQOBjLRxv0njr1Y0WJe5uPRWlsN0x1uD8KtEhKu - vHifS0O7IfTMNBQkxur47p2N60ZjbM2Ke25a3PCs2Zf+cpxyKX1iMKH0yA2swGkIsuK9cUGLEsgw - xxDkLcBsNpuoppVWa9e0WUsPMzTJnlhQz+Ljn/t1sWWGOU3bAgZziMwII8Kh0cSnG+TzfNvN2399 - FyuqIRO5W4cyarirBrpwOhcRavEkZNWhtcnp1FfuOnLjDT20aUW3NtfG7Rff9a4X//wvQsYQ9AAB - BljtsoxLcU/EzPJEcxSvVkSL0ErAieiyZbaH8ExFjnFO862d1dGh6ebZs6vNkde+uvzLQ321dt55 - 7VIeuXakcIZknve1fYz67XHsBsoOLeYQQMvMagZgwsD27Gt//tlrNzfyWltIaDRydzVtm3ZnZ2fU - tsw83Dux6+mR722EoQu2+3XIwUMqb2attW2Sq6n3E5aR0mf+4x89u583qK6LIx8vi+azOiTBKUEr - mMicFAAE2HS78XWvw7VH50Klzlo0ALSiNOP86ldt/4d/f2R+1ncqE6lBLmbF0jKNlXB+2Hb1mjkX - L0YQxRHQV/74vS99zWsmK6NTNlvPE+u0YVFVSjQUDwXInZZJ8c+o0O6BA8icZgWnt+7/4Eeun8+Q - WFj6vrLLYlUvjisuMQRUWOBupbLDYaXh+0Vf/upX7KTW06gvNTVZ3QjepORqz9TuxpNFRLquE5Pc - ZK0qK6s4dPjIK1/ZffAjPisEqkBOsAoYiJxAoySz0xvHiBmkqsKyp8sK+L6S4MRGBmAZAW5O7AQi - 8mojJDNTUTTJ1bP1uPfB+Re+3Nbei40FqpDEFUjLMjaEN+NSc5dt2Y+tjK3cPP/Vr5ptz9LBw6UU - UmUlJqhAvQItJQH0Wy/0mYodppZEnByqZkOaNqpwFcba2tFbXjT988+wWjLHJXWRH/0aGTYho6to - M6iAE/NsZ+1ULh/+2OTv/h0iVzIzE84Ns6o5nEFOGIqf0qV9lhC+IxjA8vwdQOYAEab9DARqxQAj - B6oZhKmYG8uQcEySilcAtMyqJ0d2kGPYCfRSI4zDU88InqUCFU4OcYIbuSUWV8s0zKggsLDDHW6w - S2sonyRWXUAGHY0mWxs7B1TxwQ8cPXMmdd3QxKvDBQrUajKUW0IxYxKDSoIb1DEDcPToNa/7/s2V - 3GcpxTKLU6qSvOle8IbX3fPAfYdnO9yhbUa1n8vyA9Bwgs/hQ5L9Ra6cxSm+6hMRUv3qpz97/P77 - 1573rFJ7Hq36bIrM1oiZ+VRHWdSfiQcOIVyyIaIbQNd1RCTCZkYA3G046cpiZkeOHvp3/+7fvuUt - /8Of/MmfAhAWNWVicwPAIsw8RHG7+5Cb8jhDWgBwP1cX7D5z78xhJIthgIzh5+6TU0qqKiIIIYQQ - QgghhBBCCCGE8JRgkGp91ate9ZW7vzrMqbXi8l0AcN4124tZjlqtIfrIxz7qRAww0XlXDIYQQggh - hBBCCCGEEEIIIYQQQgghhKex4V5Cdyei4e7CIZNbVXPOw/zhxsbh/kSzxXhHF7zDcbhRcXimEGy4 - /Z9I4QB+7uf+m5/+6Z82M5BnFqgx87DMlFKMDhBCCCGEEEIIIYQQQgghhBBCCCGEEEK48j2R5NYQ - Lpok7otLYkkMoMxLQ5SAIVK3kipXiAFVN86iFtQOdYYyQ5lJ7RrtkhfxQn0FHKhgoqYdktGsQhxC - gKNp2BXmkGG4KgOzoPZI5CgQoK84s4md2Rd/8x3PBuezG+NR9n4GAmrXfeoT5YH7VysmMuRiD6Nn - OcgeP9rECUMKkRPEbey0efc9mO3AK+DTzY3rkqArSQsRyBzm2NkU1+LgyRhHj2EyaUYrpa+bpPd5 - j5FgNAZlWIIznMkhYEWqlJATmqQ5a5sxyVhpsTrG6hijEQ6s/sgvvQWrI6yv2srIVyY4sIbVFpOM - NiM1ilyRQIu0t8VFj8thwnj52yUYLqb8dhDBHT5kswPinvoep07C66hJ/XRnVfjjd7wLsw5WGdgb - U30VI4Cwmw5HDtZFUv0b//GvnTV406ZmhGrIee3662aqkrM73MGEPYOqDSlZ+9b2bvncl8+qvZm5 - u7nvZng86Rk9ziAGy/N/5mewujqdd5QaFcdqu3rN0c7RCMyVGQIqusjtfqzoJjPAnQCQk/W6te33 - fnM0GnfzHVmdrIqPTp3F9gywIex2WEmJBWY5k2mkaIYQLht2oFpLUmbzph1vE2EyevFP/q1vkvaS - EsRL7+YEkKO6EV90DTR0JwAfwgtp0QeBmrEAABtWHavT+ak/+0/X9nWVmR3s8KqLmxmAvaNwPo1v - bSAYL5oPNjNyaNcLapNBs0185vOrDz6yUov4BWKbc8vVrS+1OtwIQGJIpl6wwfKC179+tnagE3ZX - I3N3IukoYW1883/2o5swTuj62kg+ry2+BAYYIJBqWoHUgAnY2mruO4G/vMs2zq5PRvP5FDBxYgNV - CCUDDc09L6Len4kIxlbWam8f+PDBU1sr5O5aapWUlhHpAHCu43XxzNTc4CScmLgn8Ruux4tu7riB - J9u/f19aOnh4HFY0c2KRokpuMzNbXz/+6ldtuHmTjYRAMBBBGEIMMrjqbIaitO+usPN7zsNuY48q - GsOhHEOSE6kluKq6dlrma1pnH/rYka3p2rBghgKmtSFeLIoAAl9qpeAEZzICESrTKaHJy75b1tbm - tQJgh9iijA19/mVjER6LMYwX9T+Tg90Z5oQ+ySzna17ykrMpqUgCY5kf/EQMhzNszMwEgGBArXUE - W93cvu8jH0/z+did2IbAeC2VjTLScgFsBF1u1uFjP9HPFMKTiHeL6LCjDR1XAKAKMkIlVEZlVFCt - jCKoDF2W8yGi2PcsblgIexy/X3XMaZgw/BxquQudQR1Oml5Zud0EsKNpmr7vZ9tbh0i46+9+//uP - 9PN2ecv5/u9i6oCAAYIlhha4QlM6lZubfuD7cfjQ9ih3QkhStLpRBU9zg9f9jZOHVueS23ZU+nki - Wbz97scYMrgvfuUkIQPUQKpjre3W5oPv/8DBvozMyPos5O5FVd05CT3xBi+E8CjMzMwi8o53vONN - b/qvc8pq587JJEmqWkoBICLMXGsdhsC4jB+g1joMmTHs5sPbhRBCCCGEEEIIIYQQQngKDP/LKSIv - uf3FBjAxExMRfduX01/6WxPU/etf/3opxcxqrUXrt38ZfwghhBBCCCGEEEIIIYQQQgghhBBCuEqp - 6m5it7sPNy12XQdge3t7NBoxc0rJ3R//YpK9tx7vJnwDMDdmqKmq50w/9VM/+bM/+yaQgWw5VFII - IYQQQgghhBBCCCGEEEIIIYQQQgghXGViaJ7wlCJHzlQJ2311QtNkKFCNypxROp85ldptopaUMnZm - 6LYx38Z0G9vb2Jpia4btGaYd3KAVZkVLX1UJO9OeE0BwW4TzJcIYSICqy3BlYAJYiSu0w8mzZ//N - H574jd98zrwfT+fjnPr5lBLh5MNnPviBnfsewAwNww29o5ITe+Jlwsy3ijjxIfINsKrdzhZKD1cI - HzxwYPTQqdk77hjXyiBShwGnTym0T7xy/DhyRtNOT23kdtQdXn/ZP3gL2omCz8UWLXMHK9ADSnvC - Y8jABjJQRXaIoSFoBzKFGWxmpUPq0FRkhQy7fw8AGBlGBif0jJ6hQAKaS8s4dMe3GvNrN6GHHGYw - JmNyQnLk+Rz334++JxarVUo9nhKqwpyfgrTpp5ABtkgQhAyzRmMIb0qepmZrZxvjEZrU3vScjkhZ - jGAGEdj+C1ZpT0jkudxu7M/A7ntYHUI9nZ5AKh2dvw0eNePcfBAgjL7HZPRI3zllpEbW1kCeb7pp - ykBqDQA8icCGjKK9i+Pdb7QoT754wAbfnp/866/jzJmRVsw2fT67gZsv3fHbsE6LDjWBwKA2rNxR - gvVPq/ITQvjOEkcS8lqI3QxTEbz+B07dcM02SeJWYb5b15qli6x9nKAMJ/AQMmq8jB2FAiQgghuY - aKL69fe9jza3x7Vq7ZmcyU2LMMzd3H1/YPEQcX1F5eRdAvYhC3WR+zgwIgDiaEmSWTIVlNF8+uD7 - P3j41Oa46t4FLP4lmJkqmClJBsgAN3Tus9Hk4C238S23nnE1Jk6sbGBKTlO1M2uTA6//ITt0cOhE - kV10s7qv9fRF3K8vwvrqbgp3q54eePD0hz96VH1iymQsYGZ2hpEb7U3tHVbLM/C+FnFb1X60vX3v - Bz96eHs2AQGoPqyZIQ/0ia4ShzMxgYadaka0esstOHZNZRIfwhWNnPkJJ7iHRyPnIajemdQrc62k - G21ee/kr6tqBOaVCBGJzuEFAi+xY87o9Ra0CP++4ZE/PeZnqvrsTLZOSfVEbmEBcjZmBKrA1V2xs - f/1DHzs218ZdfRH+XbRykr01q7iR7+vGfvuU0BvgqClvrq7gtu8qkqFGQ9VHzg5yJmenR8eOh/Mt - NzdjebQxMPiM0L78e083TUdCzKbwi1ydvvfkwLngVQLInBSAgxkKNEKHFGf/6i587d71WtmKkxM5 - zEidjR+92BCucL68m9aXAd7iELdklswa80atMW/MW/VWNZlXsU4W6d0XTHS2IfKZUZmNolW9mrCD - 3YaJljdaK8PJjM3YnMzICCa+mL7TH/l8RGSqeZRbt2PzuX3mszt33rleNRvge0qsDwcNcCxvQ1cf - AakiEUoenTywsva615bxeO40g3rLPbknTqA+ZTz3uvXXvnqaJ6UzAGD3c+d1L0NH3gE3EPsB8gfe - /xE8dGrNSi1zZiYiUmOAGdXtQvtgCOHb4Iw9x31EtDzNYgLPTFlobTL+pTf/wq/+o/+xTdQ0ydwA - VK3jUSMMAEPAds4ZgKo+xjtdNGbOOYsIABFR1dFoZHbF1bchhBBCCCGEEEIIIYTwdFVrJcerXvG9 - u5emmJnr4jztpV2P/+0gggNnNrYefvhhMLn7cK44hBBCCCGEEEIIIYQQQgghhBBCCCE8vYkIEQ35 - 3Mzs7mbWtq27Hzx4EICqDnneqkqPOzzSo/86zDFDSsiZXvOa1/zKr/xKSsnMzo1eRXEPYwghhBBC - CCGEEEIIIYQQQgghhBBCCOEqk77THyA8gzgAwnSu7UhGbYLDtV9csMdOtVshg1V0Barv+Yf/5MYD - B4A5+TLJytmIK0kRtmb0yp/5GbSjnBKSo9TVSVNNE0vtpzlPfNbTuMEQQc0EB0qP1uE9rGCmn377 - bz4f6ToqGw+eaI4cQztCN8ODJ8586pM4/cgKgwXiUCeDCzMAM/82w9doOcbWqG03ZvPuq3e2L31p - vzlvDueDWeAFRADBCW47J0+hKo1HdNNNWF+37enkwJHT6vfZ/LqWQVQVsjdrzBjOw3pTYEh24eWD - c59AeD6bjcZjB1QtiTTc6JCoBBDYFzFL2H2p8WJ0MMbyb5cWlpSSL3PP3P3xApOXf1I4EQOW1KYP - PjRJqW5tj1YPzs1H2zPM5lhpKF/Sh7kCLZJ+GEMouwMFztCE1LS3/NJbHvmtd05q492UcsI1x2Rt - rZ/OGzAP2du+u95oubh9zrualWDoenIwCK5gusTNevHUVFYm2Jn93x/56Jte+7p+Z6OW2WQ0xrXH - aX19uj1PoOomQii40FhxDJgRxOEAHMRwR2JuVaenTuHMWWqPIom0DW3tHDvYYHMjHTqQvCnkcJCk - 0ve5GWmPHM1dCOHycScyb7LUMhdJM86jtcmNb/iBjT/4P48TE0BDk0qA4dKTB8nI2QE4D7W7EOAg - hwFK1ML0q3fj7rsnq99NxEkIkuAwgpkNdztcvi99BWHHEO4I8CIhnQAQjBKRCHGdjayjEw8+8pnP - PL906Vw6oCwWQAbAKoQgKSUSreoAGH1KJ0btrT/2Rheakqec3KxqTZJFubhuTUaHrjl67Htf8cgf - v+84t7Wbp8fr6zwW3/dwkfxamZwdpUN2HGhH853pA5/45OH/6r+Qkxur65OZW4U5ExOZDx1Tc/Ih - o+8S1+ZVrlU9VOb1y3d23/jGtX2fyMEQIVUldjm3Byy60ZfwFg5AiCoVmIP70eS67/neGaDE7HZe - Pyy4AxDNAAAgAElEQVRyEC8z50TZVD3BuRIJMm1VOnTo6NHbX3L6wx89zJyN4HCAHO4KgOD99g50 - MRSyXyCQed92ctr3+1C3sDM53N2hLN7Ucq1R94W/8gdOHHDyag6YQQTqUB369gZf9O4ZtlvhXGTB - Y3cFoU95/LwX4OCBbS8pN1wrAFvct8Z07iju6VnPXzbLNQaH0zAktpEzXHtmPPe55cixbuObwPJw - 45LexM4/wGJ3A6AV0kAUbrZCfLD4fR/9xLNuecFGAx43DCdiNlocGMLIh4T2PUHgHrVKuHLtLZy7 - D9mX49CTA6DdPhjBAeXFX/jcUxaGxHql5ULC1Yb3nF60ZRa17q/BhqqSrsjt6+7m2nA7qVPZ6b78 - //4/R4XyHO5wMNxAIN9TegHo4vwcKQTg1GyAVl56O25+wVSSgtRqSuJZlNASz2EnyV7w42/80ns/ - Od8pK8Ja50ME8PKE2LDX4OI7D6jqiWEOdYiWA6P88L334ZOfXrvhx04ykFoiEjAMRGSu9GRlQ4Tw - DEJEew80hsfMXGrPzH/3p/7O855/08/+/b/f97Vtpe91Pu+HV6WUSimllJRSrfVyfZ7hLJC7E9F8 - Pm/bFhcaLCOEEEIIIYQQQgghhBDCk8TMBPKc5zwnEXdu519R9CQh7N7ZcNdddz3rhuuJOec8n84k - rpkOIYQQQgghhBBCCCGEEEIIIYQQQnhaG2K5h58Acs7u3nXdaDQSkeEGxrZtu67DhYdeWXiMP/ny - XfB93/fy3/3d3yF2tXLuJujI7Q4hhBBCCCGEEEIIIYQQQgghhBBCCCFchZ6hGW/hO8KAHsgjQTX0 - hWCUqU89Uo+6g77DqZ2/+Advv/fX7jj11jtekUbXbWw+Z2P23M3ZDduz4zvdsdns+M7sps3pzadn - 33Vic+dt/+wbv/zrd7/tDmxuY7YD20ncw/vcZlil3AzJQOgBBYoiM1BQ5tgqn/u1t9+geqh2mE8P - HL/GS4ezZ3HioTMf/lizcXpkIEcx9MagNEqcYKqqyy/Cfi5pxsG7E5YRROygIWvbMHFMH3oQOTWT - 9dnWdKduIymIYF5FIKRnt6Tr29U1HD6EnSmro6hPVl/5i29Gm+HcMjrClDEHejCYAbTAGADMYUOu - N5xhywlczfN4ZQhqHklLRuLcGDfKVBkV5ODdeBgGCAIkIC1Sl/gS6wd3iAwpEY/zrCHwZnFxJpmZ - GchZErxsbeDBh1PTYt5RrWuqD/zBv0KtKOVSPs8VaDdADss10IAyjAUpI6VTSVSImoyU0DSHrjs+ - N3MmIpgN2//xLErgnlJqpRIIIHL4sF0uNZfuwl/oUdPwzTpXJILwm9/zJ9vMGLWTlTXtClbXm+PX - b6l5ygVm0ETndqQ9OxdsGf8kyznVjYgmnMednvz0n2NnClVUS4xJ133jt9+FjU1sb2Q4ZgVb85wb - d5enTe57COHKYO7FlFhh2nCjeXSibZ77htd3q6szUyQ2ghJAYCdSegIBdfvuUhCGliHpmTuA4Uen - O91HPiZmo5RJLREP7a+7O5MRdqcBOZNf/f1/Ml58p0WTWpgLs5q5u1ltix6e2QMf/JiceqTxXhbR - p7zbSA7rJDEahhQrXa8g4uQi203evPE6/I3XbLK3oxGBa9VqxckYRJw6zmdzOvT6Nzx88MAs5yee - e8cOciY4mTGsBUSHThqvmvqJB+ufffLorG9qddfeiiVmEoIAbFhu4mFtkIGeWVG+rdpoe37vBz6U - d3YS3B2myLxo+JeFfdEzurS3IACqBnfwPIsdWj/w0ldsppESxBVUCTb0V5ShkYx2uZGLFQAQ4eq9 - CQpRT3zD9732kdT0mZycRYYjsaGfK8T9zgwOIXJ3d+c9HehH14DnOp8EOMGZnRlMxMroUYV8VHvZ - nH39Qx9ZNWevBjBjOD5sRNRUTNiElnGe5HDAL/Z4yhlOOWUAc8e13/My5FQYRA6Yk1W2ymATdiHH - btkLj2V39Rixg3xxoGsNA1kwGa/efHOfBQCBiS9uB94NcN1vOMrjJiVzQMGEqrDar4If+vin8Mjm - 2IowzJSEncndHQxndpJnUP0dngb2d0MALJpCKkSFURi98DBVhhHITczEjd2SmfhiAsyXXdbKqMx1 - yLUPV49FSSAzMiczNmNURhEUGTbr7uRXWn9pONfUtm2dzifzgq99ffqFv5iYqg1NOQEghxhkWe0L - oSqw7A+IoIOcTfn5P/5GPbAy59xITgY2d+He1Lz05LMDa+nmF+bnP3+2ttoDPvQ7aDhUwRB6ft6Z - sYuQsjo5qACp666bl2++573Y3F4jdq0OThA2B+yJHJ2G8EzkfG664N/30ZS41l6EXvGK7/nDf/9v - b33R87pOgcUp/eVzEgA1XN7/n621Dgc+6+vrAGazWUR3hxBCCCGEEEIIIYQQwlMmiWSWyXj8nGff - dG7uk3+a1h0GOHDnV+5S1d1hl0MIIYQQQgghhBBCCCGEEEIIIYQQwtPbcK9iSmkI5661EtGBAwcA - 7N5d2HVdSklELnbh7mhbAXDbbS981z97Z9Ompkns1mZZjm40PO9b3IMZQgghhBBCCCGEEEIIIYQQ - QgghhBBCCFeUuNQpPHWGtBMGElMSgipqbRSYzdH5Z37rt+9+6ztu2umu35oePH3q4M7mEbLVUiel - rhVb7ct6Xw6U/mDfrXfzle3tlVOnbirl6OmTX3nrWz/5W2/Hzja2NzHdhho2NoAChzs4AwxkBhRF - sVPvvuOfX7s9v27UwgpmU8xnBMXm6c0/+yg2zspUswEmjGRI6uTuqjBDSsB5ud17EjFtGbUyRCYP - USu17zJROXUSd34ZLs1ovLI26vsdaIWCWFBMZzNWHx89jEbQZrTNDHS6VmRZrDJb5BYPSYyLBHED - ueXdpGRfrlwCiOHsTgqu7kOktxdgN/l6mZlNgAA8xCzR/nC5Sx8vzJGSuy9/eZw8GIYT05DhbZBk - 7kRwrbNv3AvmWmub8rh0tLmB6QycnnA45nfYIlbT7fxVzei1KAickNMpNs/S97PKDHh7/Y2UsxuY - 4YbFNbGPdaHqheab6lAyyMiHEmPOT/6gcE1qVCuaBm17JuEsYdYXGa0iNZPrbkBuQeLAUFgumByk - DCMGiIcB5gwKr67JaWQq2xv42t1QxrwkQ1vL0W5+77t+G9VxdgvcYrIKsJOVWp6CIfBCCM8clLIS - d9ZL5qK1d/K1g7j+WQduft5pscrsDl9mhNKihb6Y5S87G8ueBu22G4uoammKs5f+qOk9H/8Ytqet - JC0VagxiZiKqte7JgQOWPYWnoP5/cpEtm4xFuN25eHJJIFKr6yA8vPHN93zwWGa2Cgw9n8UKMLAT - hgaIHOoKWEqtsUyLnrb6rDf+CI4dnrfJodpr4ty2rZmRGoM7tVnT4qUvpVtfdFq7RHKRUdmLJzsP - OcEAwE7scKg5CGhFGGLzrvV6FPjye96Pnpq+SuYh8lDdXG23WAzrwwnsz7jQ13E1nDp76jOfb60w - kwgbYPXReca82A0vvvQLo7obDE0zI4yuuQaHj5Z2xQmMSm5YdmOGnf4Ztw2eXGwGgBnOYkV7hSJJ - yQ1e/BI9dqxHNVVXAGSOZQfX+p1tuC+CtO3xDiF2u6DDhnMCOZMzKbm7JVaGCFYB3P/Q1pe+OtLe - tAiBhd3hCiISMMA0HAISADgvwmwv9vDFVbOIOeaEa7/r9rM5+SjPy3QIQ+0FlQGwGMuFc6PDfmRG - i61gBAcBRqiiBeyd0bW3v6SmZGZGsOXmuxg+bAXD3gaX3UlSZqCvcEMjcJh0c7rvBO66Z81BXotW - H1LZiQxshHO1+nJRF/95QvjOG3YHX/RnFxNAi0DiIQLZQVj8pOV5tqG882OfHwhXC9pz4vS8TXnF - blkXVtWWZEJ560/fc2hrK3cVgDIpA868bHkXX4G5AmCQsDsK0ymy9NybJi9/2YPuHTFDshOpJmaD - FysybjrO02Z029/80fu9zqC8exRzLsPbCHQJx4+J4LVWIDWNAKh6hOj0l+/yr9590MHmRjB3d0+g - TBzNSwhP3PDfH8PoFXuzu/t+LkKldMy49dZb//W//lcve9ntRDBD2woRarXFq8yIL9v/zxKRiJRS - UkqlFCIaj8eXa+EhhBBCCCGEEEIIIYQQvqXh3K+q3n777cMcZl5e8P3kYoYD99xzD5ZjMY9Go6fg - fUMIIYQQQgghhBBCCCGEEEIIIYQQwnecmbVt6+4iQkS7Md4iknMeHqsqPe51LLS0d2bX6U3POf4v - /5d/cf31x0vp5vO5JOr7/kn9OiGEEEIIIYQQQgghhBBCCCGEEEIIIYTwpIro7vDUISAD1s9BCnNs - bWJacLL7i195572/dsfzzsyvK7pGJeXiPksj89kGSI3IrIrWVPtUe3gPzDEqWDOzs6uYPcvnN506 - ff8/+tU73/pb6Bxdh0OraAEY3JXRkVZ2lB5n5ve8818cObl1PRF01vVbGI9BgnvufuAD75HuzKGJ - CJBIGuOGMhGpW++kBCIw72a08GJyVsYiQ5GH1OTFtAiKYxGmg5L1kVNwLn31rmuGUHFAnLC5o12f - 22Z0w3VIKNzNxr41Ehw8iOKQXKlALCkmhjGQdlclKtzITZyHNO8K7sCVWAlOYElmlkhQoV2hRrTh - nlEFSIAsYu1oESpngIEMsHMJcxc/YpgtopYukHm2O2NvhI85GCIghztTBQAkx/TMGexM08rKbGcr - VV1lRhqh6NUe3X0u2tP2BbMVVCTKEJAgy/e/5c2FdIjnhAiOHhmtrdjjfnlnOIOcaf8aBsAOLXWR - 1+6uWCRiXcKAcP7o9EF/zGkIhidOEEHmW3/xv5+u5B5AVSDhyDVr64dVXUTcoQ4iGj75btCsEQ0L - UzgzO2AEZSjc3cVNSv/QV76Mh05ifIg8NW7UnZlsnd75vf8V20BRJFRXA3ImUL3IaNUQQrgwIwYJ - iFTQJy+OvpDIiiLf9LrvP7nedgkwZICUCER00bndu9GGAJzoXISngQEFGZKQcLGJ1ekjJ0585U7r - qywjo8xMRIYcKT8X/Mnwp0nPf/hG4pDddnVoIIRUyIVzUXzg05OvPTQhUwEWMYHsy9xuHTpAtkhW - Fwgl6WopQL722PVv+OEHMs+T1b4nRUaTWMgBA6llQ0m5HD50w+t/uIwaG/phF/sVhr7X0OS57M4k - LLYZQRwQYOR1etc9+OKdEzhgJVEhNzhA5Ittansy2p9p6d2tOj77hfHJsw17Te5MBMAsOYEWa8YB - W276S8MEI+rJZ8mf/V23qUtHWRkg5UVG86IPo0+TnewKoi4pt6iVrIoMhy6uKePYsUO33KwZBJgb - wMVRF9nbPtuZQu3RN4bttThyIdt9xrLeXSTImsESq8DIRmb44p2j0xuNusKZMxSJIAS3SjQkz6ZF - XDcDgBL0UqL4uBYzYHLgsNxw/U5ORcyoAu7kla0yyDkps1skxX9LtvjBDlbiYQ9lOLR39y2Ra2+7 - 1XNWeIE9/gHXBREAst3jl3MNLrj2ykwypBQLu7C4HZ7p6Y9+IqmSm7v6osAMDT2LM9ui02CXWl+F - 8JQxMiNzsj0H2gxndqb9kxiLMTvYTdzEjd3YjXYfwNghhmzIhlbRGOSqP//zzLKoCZ3ZmZ3FOCk3 - yo1yW7lVbpXbikbRKGW7lFNSTx4jUKZ5361LixOP3POxjx4pXaNOiXU4cIAQaPdsmxM6J2KBM5wM - mCV+eH30vDf+ENbWd6SpEK+enbJCejQsxq6M0qnKmF718nrD0T4NR2/w5clZZShd6olPhpoTBEoi - nACxfsXqnR/8aNqcp+ogmRNUhN1ElWP/CuEi8KOmffYedBDReNS41ZSZ2Ofz6bFjR/73f/O//cRP - /K2cqet0NGoBlFJ2n3+5PqW7MzOAWuvw+DIuPIQQQgghhBBCCCGEEMK3RA5TBXDrrbcOc9wXNws8 - 2Ybzw3fddZeI1FrjFHEIIYQQQgghhBBCCCGEEEIIIYQQwjNE3/e8HNhk762OOWdV9eW1K0Tk38Z1 - LHufL0LHjh38vd/7vWuuuWY6na6urjKj67qmaR79wmFUgcvwfUIIIYQQQgghhBBCCCGEEEIIIYQQ - QgjhSRaXOj3N7YvaPS9b90Lz9vzFAMOQDOjL+XseO8zPiwrb83rfXcLe5zgItUkMVdQeFXe+83fu - e/sdN03rsVm3PutWyGS+jdk0jRtsnCUtqHMuM+k6ns941mE+QzdDnaPfxnybUZJOx9Pt46Y3FD++ - sf2X/+RtH3jbb2I2w3QGNxYCkAmp9Oj1zv/591ZOnTkEwnSGeWnbMariG1+771N/vmalUZ9ONTFZ - 9WqFvDAquaUkOWcAtd+XiDzYG3ZitFwHtPjW7mAF9eXsAw/g1EOjNhMSkEACBqz6zmatlUYjXHPU - kvComarOmvaFb3oTRpMKBstiQyjIIMs1vczz5MUGG0K/lqvbDAxkZqsGgTQZQDFzQIdEsfN2fTqX - 5Lz/L3zuLYY3HBIQyYFFUPSytOwpNsLq5Oc+otG5j7av7FU4iIalJmKYAUhAd/IUHnoY/Wy8OgYZ - zeaffudvQx0OXSzBgArYeaXu3G8XSJm+EizXLgG0KE7kSGABA+hrwahFkjMKbSYVBBGsrKa1Q3VI - 81zEffMQEDmM67aIiPPH/NKuZRgAzswWV8c+BePBOdyMiSCCnDDOp4S5HcMZBKytjg4dmppKZqIL - p56y+1AwyQAiAETIiRnkUHJfEcb2fOuer+PMWdQ5vGbGOjx/88QfvOHHUSpKTa4Cc/SO+qgPuLf4 - GNxgFbWiL5gXzDrsdJh3sILlay9QScIAu0KLWwjhycEOVzOzpmnNwUmcSdVLO6JXvfLMoYO9JAKI - BUNDxRc9FOYiFm7RTPhu98MdkmBwWM1EGZ6AA0SPfP5z442zLQSpLUCpxoILJsaSG13t0c7LWzUc - Q+au8bKW1upcbR2GjY1vvu/9N7Jg2u155aLSXsQ5E5wBHhpkd+1mMD16+PBLXoZrjm0nNpamTSKi - pevnXWZJKblRSs3c8VCia17zarvm+k3OvQxt8f4cr93AdbCf3/FyDH3F5ZbdDQIUBhzFqsOFRRi8 - s3N0uvPAB96fC3iu4gaAkjDT8PXhBBdyGpbwNEh7NYIRA0yALMOJh5k2dAvIjEBANuPSP/Lx/3So - mzdqZqaqDGIQM+9vm5dHJRffYKuBU2KmOXRnMk7f/dKORI0cPKQCkztgTqA9cfLhsnCCZnCbbd6n - 4qPcCqjWfi6KtbRy2wu6yaQmVLBLUkERFOLqTZ0VFEtehb2KV7iTkXM2JGUxsMPJjPdtMCM3WoTF - WkIVFQY7fF6x05/+4pfWdnbaYScjKmYCCAG66LU++ngHuOgCkYWLaeVm7fj1WD/YC6lqmxsABnJi - I3aCkcXJnG/Hch2ZkznYwMpuZOwAJx+P+YYby6jVLHgC0Ym7dfiSJabe+uF2RDNob2bWMg65fvOz - n0Jv494zMZEPNciwANuzQB5q+0hnD1cD37PrDA/ZMXSKeDfJG4v+0N6d5bwXDs8Re/Q+Fa4ytGcS - hwy9YV9s2ady4w6R2LTvVx56y7szhwemGLGMNzYe/sQnxhsbq1rNwZyXT7L9rTubIaUGbloVwCyP - Th88MP7hHzpJKisrkpOrgZidXAvDU0qz2WwyGnfCOLB+/Q++drOVjuG7n8R5ODgxcie92C6EOzko - i3Q6NzMW9NUPSbr/ox/HqdPj0gvDwSAxd9ULnoQLIVyc4Rz7bm737oO+73POtVYRGU7Fr6ysvO03 - fv3Nb/6FpuH5rANAwDA4hV3W/bGUMgyx0TSNmUU0SwghhBBCCCGEEEIIITyViMjMcs7XX389P5VX - dBAAOPDXX7sHLNP5vGmaed99q5eFEEIIIYQQQgghhBBCCCGEEEIIIYSrm6oO9yq6++5NjsOvw93E - tVZmFpHhCY+zKHffn9stOeff//3fv/3221ULgOl0ezKZMHOt54/jF0IIIYQQQgghhBBCuBSL4Qcf - e9RB3x3kPEbnDCGEEEIIIYQQQricIu3p6WzIadbdJOPhpxl8N27WbP+kMNsN7d6dvRt2Z4DBgLL3 - mbtvtmcymEHhBreiVYcnLlKXC7TDbPaVf3rH8VMbN5rl6QZxV6Xzsg0oVHHqLO47gc98buNP3/vw - H/3Hh979Hx76wz88+e53n/0//qj74/fqhz6Gv/46zm7BHX1FKXCCY9LX5/XlJbPyld+4A1PDxg7c - BOBi2Jjf+Y/ffmDz5LGR1+1TSC10ginjS5+ffuFTzbzXGUxTZjEjZhGAkgsUblQLtDrImZfhi4sV - SDAxJEMyYiclKgJlM4LzEEhDBMrMVGb9w/eg34Q31mewwCpsNt86RblZP3Yc6iwNF2q53VIHZyAx - EoHVbTdae8iEMxgogdIQTQhmgDMwTAwIY4jnzmn5iNAyJyDtyafZkzG5mAgsgGBfmDeA5YZmW5Qo - Mjg5wZnhqD0RIAAZvOLAQSMCCVOqQ+4iDMvY72V5YSMwo6Ka1wbs/bwlkCEZjnLTf+0e2Ex1ql5a - 2I19wcYmFGX4MGXmKPOyPRS0IbfcgAKUYf3sz1i+EiwD09kpOfMiQ90BBVUWNYN5AkhBUlaPndbs - TnAHcvPCW3qwO0RIFcMmMjZjUzGjZfo0wWhf/BUIgLk7ROCWGnEtcEDkEtbN+YUC+xOZzp+MCYC7 - V0hCbs+MJvPqAIEMrvzCF3ajvFWK7x+mbpnkZATLZtmMAVddZH1VS27sLiB0vqoo3/gGvvqXsCnQ - iwgXa7ryX772td94xzuweQa6Q75DqAR38N6Kajd5uw4x8FbQF8zmePg0zuzc+eu/9dVfe+vn3v5P - UbaA4u59GQrYsDMMFakuK1LYlVXcziGCO3LO/hTktYfwTGHi1oCtI7HG3SW5eTcVx7U3rn33K7s8 - ToKuqhEkpV57p0uM3iQHw9h9CO8kwCsymK0X6xq4dVjrbevDH1ipM+lKR0mltZT6WrPwkOO8zOoe - 2noDXf1RbT7Er0LJxIu4MoycR5xXCtY2tvDlL+7c99U83xyzcAXZ8N0V1IEqSI3ggBpg2eAMYvJO - 6CvSHvvJn5ojZyRyrsWMCrIz89C9UEZvWlOakeHYketf+/qHVw5ME5mApDEIcRo6JsQA4GBlUibf - 362ivRGGcHZnGDvc4I4EAOpWYZgQjnVnNz77cdz78IEurypT7cAoqIxCcIDZU9IklgA42VWd3m20 - G3bO7EhekheQOVhBuRn1WowcTIl4PJ9jc/PEpz99uO9HxbKBHQR3mFllBw/xnzCGMvTSrjQQES2V - TefiDx9c+//Zu9Noya7rPuz/vc8591bVqzf0BKC7MRLgAIIELQ7iikhRFEGT0WgtS84HL31QFEuO - ZGU5simFUpaHyBpsU5QSWomt5XhFSZY/RPYXa0mUKdCSzVEkRXEQQQAECYIYep7eUFX33nP23vlw - q957jYFiN/Aa3Y39W9Wv69WrunXrTmeoe88fr3wlh6pSUrAQ9RW8PgE6KkclNm9iv2CEtE3tpGyt - hNFSW4cmQhATNbE5V82OvPM7jsfhWV5qU9UFyCBMDDNeybQ82+xgMoBIt1WStlGFEBSDzIPClTAZ - jFS49PtLUGb0wfASDCBtUy6poJ0taxhLhVYf+8wnVnXCkgNgpYuAGWyxjQEdIfc7MilIkdSSyiVF - LxMUmpUwrVf233U3QMRxwJGaDBAQU0lRWDjnmIUwP1q450YGBkAFUIANUQgGkDEpNEbEtO/2O6ex - UkO8nKPExcdzAyCEDG0HYBM1sQAwEGEsbdDzsn4KDz621qaqCEHUGqH+0kQuQQsbGYJpMA3mlyy6 - q1ofy92f8Le7ob34q/J23yPp/HGb96opXXTbbqorQRjCKqzefL3GzE/9BC7uIe77qfqbMPc3Jd7r - 9bvoH1MjZQOhD+3mQqzUZ8nP54tNg8GMls1SM3n0D//DUmlZUYOly2RMBpAY6yL2ILHVQxqEUgjo - gGow2rJ0y9vfiUOHZnXM2hQrFLhw7DhyUNOOlaIxoeuSnR+Pj/7AD52IaVYnAKEgUqVGicYBtZIK - X9yz9y19YCaigpYCQDBFAuJsduvG1tbv/d4qlzLdWKqGIY22uk7rIHyZ7VPnXpL0GTcA6Eep2D0a - xRyFIhY4lawxRgDStYnD3/6J/+797/vna8sjAiJx7roUIvXTYQKBY0gpPd95VQXQdd32fSLq87wB - 5Jy3HwfQD73RP+j9xs4555xzzjnnnHPOOfe8maoA+rKX3Q5oACIHGHb3LT+vqe8+RXnXt7PEnIuC - cersuROnTtbDoRG2e4adc84555xzzjnnnHPOOeecc84559y1rr8AUET6qwKxuE6wz+RWVVXtr3mM - MfZ3ti8kVNX+Vc+4inBn2ElGIHA/amAMxGSm5f/8179972tenZtZ4hBAiVNuMlMkBCwGGdi5upz0 - sgfXcs4555xzzjnnnHPuJe/i8c0Q5r1wi+COvtPPOeecc84555xzzr1QfGgeN7fohGOADbsSeklB - Os//JoB2njZ/wjNH9Z/nS89DTUKfDtBPUApmM0zz537tfYeLxgvnaba5NIypndUwUsOJM7NP/9np - P7r/9Cc+eeGhR8KZM6MLG/tm7Y1FDpYynk753Dk5duL0J//s2Ic/PPnTz+DCJjihyZg1VV0Nc7va - TI9stV/4x7+MQOhaNBNMJ1/9p++7TewgqLlwLq4sgQSzKR5+5NwDX5aT60uKpVRFTqahAMW0AF0W - UQwCYgx9CANzUAIuPkGQoGGR1PXMhUFApCiiybB57Al0DQo4DlEAKMiarfUCYN8+VLUqyEIpqqsr - CAROUGIgzCO6+0nqRe+yHZMMzLOzd6+63c9ZPCE868rf9TLa/cLtbcC0P0ty8dZKoFIMQNs1CEgp - la4BFESIrCEoMYB48UwC23GMT1tSGqyPFwUrl9l049hJ5C4MElIwLaPp7PTv/ntoH16jiLHL7X3s - t50AACAASURBVCDVuz9qn17NV/FxrV8Mi/jzXYOxFcH8nFiGGUJ89U/+9zZeAwgcQYzxOI1GAojY - 7k7y/szVi7O6d22f2+vUFNs78RVMNNeuBYyY25JR1+/82b9HqYb2ce6C5aW0uhYqFEHiZw8IWQTg - 7fwaFEHRBywFDgm0JOXsww/KY18HmU2aqECwlKejM2e+8RsfwFaHrQZNwayltqNWqNP+FjoNXQm5 - TV3G5iYmU0ynn/6VX/3ab/2Ls+9//9GN9Vums/2b6+gy2pbUqgBSiAI7i1IB08UB0b++cO4lggCG - MgALsL5cVUAz81ZMd731OzfNZoIYY6xSW3KMz6tcWoTC7qYEDSbBlAx1wdqFC3jgS0PTXIoSjIlM - Teav6ut2it3p0df61Q7z+qoRQEpQVgSF5jI0q4Ue/ND9VTOpI0qWQDQPyO6RsGlfc4sMEEdKAild - xmi88sbX4+jRJvRpuH39SQHrF52YUQAzE5FVYQoev/Wt55eXdWnQFBQRRipaYiAD0IdFM/AcQc67 - yjgl6DPyYudfmUfF2BDPnjz5nz++T8OggAwimdjQp32D9eLK1Z5nIV4ZRvPUbVMARiwiRYWIiMiU - rG0PGE8+9WdLuRsUqUSDzhcpLQrq7aXa1xwue04YbAaq6sFtt2A8aswisRGsT2iH9lNnQ1D2+sAL - iRRUgAIwLBpFQwAoU2zHo+bA/pW339e88U0X7n3NibvvPPfqV7VvfuP6X7mnfd09q2+6F2U2ye18 - g6GL6mlKff253z7m6bC79kFlQ2dZ2NgsNGW50PShr9bTrYHkYM+1IUmf4vz8tzqJvMFMt94hVSWw - UkoMAcZsHDSykVJR0l0XwrnnxAYyAwyk241SJYBYjLIBKY1vvmWLyeLlHyQubrPodlLt054TTGMp - g256+nNfrhokUWhhpn6OANh8y+wLLVs0N5y7DtnFt2d93F0f7Dlue43nnbS8/asBCkbf8WgAIARh - I0NQjarjTvCxjw2fOr5ilKWvTcagF8UaGEHBCjKzTnOoMKixVWwz1S+/750bVVWYsai+CrHM+9CN - DYkD1BotW8Na9+27/S1vPQvOiwIgojJRU6GLO1G/xY/bf0YGQBCCEADUYgdn7eMf+Qhmk7VAzWQ6 - nTSDpZE8Z2XGObd3tG3b7//+7/2//5/fueHAGqB1TCodoGaWUiJmFck5V1UFvJCRKn10NxHlnPto - cGbuB9oIIWBxRj4RTSaTF+pNnXPOOeecc84555xz7iVIVVNKZnbzzTf3jxQpfU/sHjKYKgiqEMPp - 06dVtaiqf+PqnHPOOeecc84555xzzjnnnHPOOXe9ICIzCyGEEEopAETEzESEiERkMBgwMzOXUp51 - HL9vzhajIVZVFDEz/PZv/8s3vOH1L/wncc4555xzzjnnnHPO7fjmQwr7aMPOOeecc84555xzeyW+ - 2DPg9hAtcpqp/2d9+Ac/8wnAPDglUJ8TyAwmnkcGCmBQAYPn4dAVUPpOPZtPdjsOzQgKBHBTVKvA - xJFgZuvNdHVYY6tFRw//k/fdlq2mLq3WgOjWegg1HvnG5NHHmlOnQtsNoAyCaSqKggCYaSGUPj+x - 6Api3iqThx/dfPzE6q23DO+6E/vX0Eyl5g46juPDgj/6hfe865f/EbJ++Z+//8as1hRRGaTlXJoU - afLZj9uTx5cyIkVmhrBKFhRGII4xDk066j9aVwyIAGsRsm8ynlUfbR0MoO0kbwHF/rzE5tw6zlzA - kTVwAAxEAG1sbITIOHgIqYIaVLoY7/07P4lRLLmJaQBRED0ttWWeNGfbv+yVp6XcYbEdGYygMbEp - 6moA6Saz6aHhkkkDADEgBmRR1UDzZ6NPAP8W5paAAYIa4xvHEKKmgYUqiZ74xhOHSqktQBWB67gE - BdTAMEYfxZa2l8fV2au82MsMkD6GrQ9ljwEBGV0ACShMtzAencvtiBhQmGFpND60v318nQVpsVIY - UINdFDT4HG9rhsUJtUR7ucVcjKsapSClqq77DzJpu4MxGRciYFgfPHJk8tAJFqVIov0B5RKIFmYo - hBs586WHbwSHu18Ngsgs7Nu3PMnhzOZT/+D9eVQPb7zxxr/5N1DJMzYMhSm6/NXf+R09fyHMZq+q - R7q1WalWFEJIo44/9Su//uZf+J+RBImTIqX5XBoIYAUBTHu/MzrnrjJ9Um9/JO8rAKykLemBe1/7 - VF13QAohqyjAppd+UcMlYEM9zVt//oXxf/XdQYUtGjiAyAxEu4sJBYSv0hLyktCunGpBBBCMDcqD - KGc38ejjm1/62lLOWTBgGPrsOgu280KSxcu1BCIzGFJTDV9231u7/cNpIiUOBkC231RJ1SRw4CIE - I6OWMLr7jgP33j392IkxQSFMbKrgwCJiyAEwRNWgO/VkfAsF9259CnjIePy/fOTG7/2+6ughRCZo - JBRjI+onW8J8skGvgzUMQEFsgFlUAMYEBGJSSQiBQmeW1CDy0Mc+doM8S/rgC1gmm2of/mwhHH31 - KzFIrcl2hUqor4wpFjm9PvbtCygoalMG2gCLPAsszJUQzDZKrg/des/P/ix3GUs18gSlQWQQS26O - AhsrK01KACeNyMKAEdqoAITnkZa745aNQNbvPgyAhVIKHJRzHgoe/PSf10Uq7O0KNoISJNJGMrzi - 9pJYYFm0riqU+XwSjI2VPHTzEvTNZzKzec8IG5OYiSmqsPzKOx7/IFYDq8ieVufZkICxha/92WcP - /cgPV8M4MwshGJnME+QZizW7KMKvj0O6c85daWTMUCVs99gQwFAy9I0CYRQGQIk4qkZYattjf/zJ - 1XOTFET6SRDYoMoWRBe9vsIKY7UcOEiWUuFcopte/zq86o4JCxDiTq2QFzNDBUqBDVxEYiU2Sre8 - 875Pf/yjB7QElSw5cK1aCJYYXbm09gIW1ZOgQF/JIbCBDQO1rcefaj/z+f3veMdmMg1E2rdJ2Kus - zl1hMcYQwj333PMHf/AHP/7jf+uBLz9IwHAwnDaz3HYAmDmm2HUdM6u+YLV9M+sH2lhZWdnc3Awh - dF1X17Wq9gNz9GHhqrq0tKSqL2BquHPOOeecc84555xzzr2k9KcSmdmNN944qgeTroFdoRO2Q4ya - C4Bjx4699u5Xw4yI/AQm55xzzjnnnHPOOeecc84555xzzrnrg/Vngyzui0hKyRaqqoox9pcHppRy - zpc6fYIRsZp2XSHCr/zKL73tbW+LMUDLC/1RnHPOOeecc84555xzzjnnnHPOOeecc+5F5tHd17mL - AmUJeGbcs110hwAmKCDzJEo2KICA+YMAogKGGHZeZYACgQDMw0lErK6GGWikWQqBRFeHFXKLrA/8 - 0/ff1JQ1E7VGpImWmTl/7vPdU6eaU6eiyTCkEEgBNauYQKYGVRgjEDggckBBDasE063phUcena2v - 77/zDtxxNIRBZGycOba2tu+1K8vY2Hzyt/7F7alKbUmjGk1GN2NrTn3xi+n4E2k6Qxi1TB2JkoCJ - woCZiUJpOxKqADZNoJRqoMyy1P0y3E7mJpDBSGHMtpOfRjpfxASClhAJYiOxyWOPLx25HZpBAaoo - Mt2ardYDrK7ADBTExKoKg4CKItWlaCSGCFKYR28ag5TxYqYCEpRBqsrEEEUAlLJZ2+Wq3ypCCHWl - 01ahzGRqu+MTlQDMI2R2UwLbfJESkFTPfe0b+2+9LQ1TZqJO9hGhbWEdlgfFhDqENOgT5C/SR1zS - PKr+KmI7a412Z6Lz/E9EYb7bra5iWtpBkm6RSV5FPnyoefLrIwET2yJBfr5/fpN37LfD/v0MCtiV - zGxVRZyXMmIIgbE0nqyfSwhViFDiW28uD31+EFQM81m8FIuAO1lO9dnzG+ceeHj/8hr2rfGg3lw/ - tbx8ME7zfiGd6rlHH3/q1//XJmThxQJj6vfiqKgVqyIj1agWJxshBZRiRQg6MD6iQ2zMsJYQCDGK - IPDTZsA599Jii7IMMCMyAowDxMBSBSwN9r/8zryxnhWWy4CgsrflUVJbyvrkFx98VdfVMcFUoMEI - u4b+ZJtH05mxQq9oWbA3CMpQBYSIjYMxmZK0K5BTf/Qn+zdnQ2VAKMVZWziYAaGv6/b51gYjCKAm - ABDTNC7h6JHRG+49Fq1bHOjZSMEgNWIAalYH5pyJOYuVKmJretf3vOsvPvLHNy8ttbOmaK5i0CzM - 6BQSAdKo3Nd98E0L7W/CFGt1deHxb+BTn1r+ge87zRTYQID1Gb5QUiiI5p/xmh95lRTWLy4WYltk - LsZAATADi1GxFQo48eT6V75ys+7tJzZYX7tvFLe+6uVSkwgV0/kaICh2lnxfoXUvoKQAUAIKoQTA - iIyDMihdyNIMx11sMEh5EIiWQwRZwzSoqsHG5taAoymxEAshoK8HKmm/M5Ih9PsLqUJ5XnWeVxbJ - EEHCCKaYtWe+9MAdYqR7voMRUUeU15Zw9FAf3c2B+zkjA9t2AeS+dQQY7SoCQPMioMByxemuO7aG - Vem6RYfHns2HIRCGRtOvfwOnzwxWD6/3x25lRp8JywqQ9Rsq+NovrJ1z7sXCgBoTdDsDm00BZus7 - ctn6AGtACcFsWTIe+drmg48cFSptqSuomJgxiAFZlP99KaysMI2BJGOGcHpUv+EH360mbajIKM47 - PFWpr28wAFXlEKAIISp0GnT51a9KL7uj+fIDlExFTXMiJu6rOpda21CAQUqLVPLF51Ko3BCGX/7g - /d/25u8YLqdc19p1jGBeYXXuiiOi2Ww2HC4tr4z/7b/9f3/hF37h/vvvnzWzyKGoVFXVdV3XdQBU - 9fJGx3guqkpETdMMBoNSSkoJQB/RHUJo27au6xfqvZxzzjnnnHPOOeecc+4lK4Qwaxuuqhjj4cOH - v/qNrwMo5UqMX2yLb5dOnTplZkyES/6+yTnnnHPOOeecc84555xzzjnnnHPOXaWISETMLMbYXyFY - SokxxhiJiJlFJISgqqUUZla9pAGO1AAzq+vUtvk97/nZH/mRH1bVIhJ9eBPnnHPOOeecc84555xz - zjnnnHPOOefcdcejgK5rF8dyGyCAADuJY3bxDYDN46x058kMcAQnAH0QcP+HsjMh3fWOwRAADgSg - a/IwDACABV2Lsxtf+SfvuwtxhURkGiuLlrG+eeH+P7nwxS/R6VP7QlxNdZScu1nuuiiqWdVAhBBQ - MRKBFZpL1txqGyFrw8GyyOypJzYfeABf+SpmU9ZuZXUQus0Dk0nzW//HcNqWranlztbPQLYQcfyj - H89f+zptzuo02kwpH75p/IbX7H/32/b/8Lv3/fV3rb7rrSvf8fr9b/w2uvnwbDTWelyQcm5NZFjv - SjwnGENpHvTSP8ZmvFiAWCwuIytqEVjSsPnkcUxn8+A1MkxnmrVeXUGKEDWQVlWTAko7k1KAEBld - hxD6Rd4nf4b+/xf1pEaDMTMUFFnaDkVXDx0qTGbzbMp6abw4vPDTRgCzxUKjRXaaEoR2TxzEoWum - evo8Jg1Euq6pSOtZ8/D7fwNqlmdCMdSD+bNlJ3hPt6O87SrL7X6aRWiqUb8g+gBv6iO8YYrSvP5/ - /B8kBFNCYJjghoO5jhqwE9i9e8Eaw577eM47C2MR431FxmVjMoMZRABiZLnt7/6dCwyhYIgIhrWV - wfKazpPcL3mWYkAgGGM6a/enON5qNv74Y3jkMYItL9VNc95ihmwx5dhO9pX2htnk8GR6eDK7aTK7 - cWt6w6S5YdIcmDYHiwy2tlLb1UySy9bGOgYVagbncR1WRMBAtBJzCYa0c8wkowDGrq3aOfeSQGpk - Rmo0T+o1AoyUKKdoZIff+pYzgTpmAJzCXs9OVIyzTR57AsePD7VwKchCuogpBdjmhePO3F7L+jJz - u8ZlxEoM42BKW+vcTE989OPjs1tD5WFVW+5zWBX0tKtKmIwDIRACo4n8+CDc+I6348C+WWShvmBi - pd2NJeVEAKKiBgcKXUzHWPGG1y6/4lUn24wYzLSPgwV4V7Gmi8oy/yXl9XPIgrGFw1tbT3zoQ9S0 - IwQ1KaqwCEQARiqsRtrP9zW+hgGgz1lUQCgIAsAMsApJMSMoMTAC8IUvra5v1nKZmejf8tyYwBSp - pVDdevNGhFax6DxHrd9U+vv9/uXJyi+4vrFghKCIimAaVQdqQ2VTHQ6WEsc6DGoeWEtdyyaDdga2 - QbBKM0GJiJSgi7XDQDBUiqigeXp3f0CJsNgfMINBi4hpMMWx4/bEUwO9xMvTLlfLlG4+hKXUsBUy - qqKYAuB5iDwwP7Bfkbm55jEw30vnN2MYCXEhA1vDwJEDzb5xc0X2XFVwl5cnMzz0lZjL4gpJXRz0 - AiwC/QbJSnudFO+cc9c5MuZF3TsYgimgRn0JykGZDEJgK6NZ87UP/wmfPTdQif1rAxvNS37F7gqe - ggoHa7KkKrX1sLvzZnzb3espaozzdHAYyNj6vjsGACKBQUMVhsXKBhUc2Hf7d333hhgUCCgoFAAT - LX1JcAmMoKx9RdRoXjs1gAycy1KW8sBX8Mhjddto14SQLq9J4px7nlTLYFBNJpvDYb28Mv6N33z/ - f/vjP1bXgdgAdF1XVRUAZmbmFzC3m4iwCOo2s+1hOPocl1JKXdci0r9p/zTnnHPOOeecc84555xz - z4eI3HTTTWZIMV2Zd1TpT5DD2bNnRYSIzKO7nXPOOeecc84555xzzjnnnHPOOeeuIyGEGGPbtv2v - /ZWDRNQndptZf9mgmX3TgVF41w3oh/cBAgcitG3+sR/70Z/+6Z9qu1lVxxgv9Ypn55xzzjnnnHPO - Oeecc84555xzzjnnnLsG+FDs1zvbyffVebbxc+Q/8SIN2nadWAegf1hBujsIsP9d+9Rhnk9eQdq/ - h2SYYWmQSJWgKBnT9uH//bdvMWqfOpYsJxZsbuD8uc1Pf4ZPnVqDDbRYmUqeEmRANAocEgtBuU/E - XOQeKmBIiesYBNrMNli7gzGFja2nPvdFe/JkagSTTSpdlWXQlNUwGFmoRktUBOfOn/jD39dzZyuw - Lo3TPfcc/N7vWX3bd8bX3oPDN2E8wmiEG27E7bfhlXeuvesdB+/7Ljt86AJbThVVVW6fZcnNE+BI - sYhg3l7y84Bqtk5RJ3CXeZZx4jgYIAEUFzYiaHhgP2BQGNMWrIkBw3EVawPaIhhUML1oxW2v04ve - b48Rg2A7J10qAKgBCNUAqX7qzJk4Gi3SX2iwOlYCgWC2veqeKyu0/zS8vXRJAa0Rqk70wa9ASrQC - s5GW8cY6mhkRCaQAbden7ewsE9l+F9JrIs1uvlcqiqAiDmAxQ0pYHUNLDlGIEBhasDLm1WUhUtV5 - thuevjP3y3B3eDwMAIeQQHueHfsMDArE3AeFawGWlhDMDh2UEFQNMYIxvOXWFgQONt++LoEKiGEF - NSERha4NzaR9+JH1T3wKJ04OYKmbodvC1rm1qINutiy2LLostrjJuOi4aDWbLSuqLqPpKrPx2pqs - b3bTLURM1s9i48JXfuM3YSUGVoih3+92go7IS1LnXpKUDND+mGvzLGluRdu6wutfd6auOiASQYX2 - uLAOiiXlejJbf+ihZc2spU9qAygYaDvieh44dz3ktPUfyuah6dHAwogmRxjrH/soHT+xUnIUMVFR - GwawITy9UkAA8TwdFRdMzxzat/yud1xQpTR4ZoVvUbZqzi0bSIw5zsRk/yqG1e3vfucxFUmRCF0R - DqQKBgdF2BWk3U/jMnKdmUibbl/Jmw9+GV9/bJ8oiUggI9bF7Nki4ZWepbp6LWED2aJZAQiiUIQR - mZKKmhAF5jhgRpfP/vlnb8glSdnTWSIiBSSEav8+7N+3FVgT9+txZ//yhN29sQja7lMwNZgGEyPR - kEGZg2SZKeeunVppAWXmUb2c4ih3CDwsykbMMQ2Hw36CbMoG6kPu+7U4PyQqQdkYoD5/PRCzGoDK - FA8+vDyZ1CZX4jMbZ/DqnXcgWqY5s90NKaWdw/g10N55cc0Lvl3NZpoHn/eR7dSQYnk0uPlIR0R7 - XWADBlSqB2Gnv/iFUHJg7rfbRVuYrc9W7H+99str55x7sfQFJO+qn5Fpn6WtBCMGmJWjwkhrEZw6 - e+oTf7oshVUGA0gG1Mjm3T1s8wN1H4hNZibGjE7ojOKu7/2rsjJqqwq46BJ02nU8p0gAzEAUAHSR - p4O077veJgdvOC+KiEAAclFVgJ5PdK6BbKc8I6BuZwfXp2f/8P41onFkzYVieK4eWufc3lHVUkpV - VWYmkkOg977353/xvf9TPwrGaDTqui6lpKrfdFyMS9aPjqGqVVWpat++qOt6919DCABSSh7l4pxz - zjnnnHPOOeecc5et7wTuB0Q+cOCAASJX4jyT/qul/vuh06dPA+Dn82WTc84555xzzjnnnHPOOeec - c84555y7yvRXHZZS+msDSylYnCKiqv11gv3PlNIlTbj/T1TM8Nf+2vf93M+9RzSPx+NSSv8uzjnn - nHPOOeecc84555xzzjnnnHPOOXed8dF5rnemMMUi2lifdZUT0Mdj9xndpqSIhrDIaQ6L7OUEAIpY - UIkFEVJjGIGA0P8J2v8IinkaYsmQgrY8+Ev/7KZzG8O2HQ8CKgCC85uTT30uP3kitB1pJlZepDAr - rBOdZNUKGqGAKFT7jBNQQJd1JmKVpWEapECSQ273KZ3+5BdwIUMDD8dStG1yxIC3Cs5uYdbNPv8A - bUyzYfXld+z/oR/A3XdhaYRBjWqAagQaQ2tkRgGGAyHBTavj+779pu9+82x5dK7pEjMZ0yIFczvB - ZbEUL8pU6CPfjFRgCWCDiQw5nH3sCbQNrICh5y9ExOH+fQiEwGqk41EeDqEcChiIMbRdi8AXTbrP - pKbnTMJ+YT1LeiXAIEARaJEoToPl1c22BQcwgWywvKJEQLBnRE3Mw84vnuA8bnvxZjMtVQoj5vWn - jqMrdaxQumDlINlD73sfskSzplgYMLiPv54HdfebKmixxV/FYRPby0ABCggBAAIQKXVFjAhLw5mR - xkphIMOgGh48pBSBRVjptucOdeu3QzCDCYQrEEe3m8IUBCAREgNEWB6sj+uMwByLAUQ4ejTXA+3D - 4S95+vMjXD0I0uUCWxou5fVz5ZFHT/zhfyof/xTOrUMEaCETRqdmGVFAChKjohAImUAUarCIC1M8 - eeLsxz958oEH6tEQuR2PR6tVfaCqMJkhdxWg0D4h3hgghjF2JeM6514ylA20q+RSghEU1qaAIzfU - d97RgKKRFOx18hEBrDI0Pf7FL1Q5M5mmYIHNrI+ONrrewl37xa7z0HQCqLBGLXR+86H/8PtryKPE - 0URKZgCMYAi7I5bnSa7zKpUJZine8La34Labp8ZBqa/v9U/WXW8qMDGlGHJRUhJQruuzTPiOb+dX - 3tkAMDD3FRBmC0mRBIztUv+ySmFjChGmCVI3m0/df/9gayuCJETp06MxjyJeTP2aX9t9i6BPOlQi - Q+irjhwIADOTIZnhwrlzDz641nVJ9vgjqynQxLj/9jswGjRMHZQC9zPZ12N1EcpOxuxVghcUaQwS - g3FUJFVCmaW8VbeTMJ2GLSwJVd0glRQ65amEtnRdbkqMNVdJI2vkaTfbnE6CIqlGRVSwsRIXZqH5 - DsrQ7XBNBRkQiYkoBI4mpz/3+QM5R1He66q0MUCFeeXO25oqFFgkFhGaz6fuat6wEusVrdpfk2xX - bCuZkoFtsRpJiSiDkNL4jluUmfY+KpuBZLZW5PSXvoS2jWZEBDZsb4FYdKQ455x7Hrbr/GT9HSUY - yJRUCYVIQZWgEgSzUSnykU+Oj51cgjIp+l7xYlHnrYHdfT59C4sVKcULIuHo0UPf9Z0n61QoUIGB - hWGkgLIpQQHe7sQLFiBGFCylczHg5iNH3/ZdZ+tKCBEwMwEihUvt8O07qHl+n6MiChFgDCIsER2c - NCc++nGcOLliKpoleBXCuRdBjHE4HJbSte2MyIjMTH70R//mv/k3/3q8NGxmUwJMS19V7cfIALDd - Pt51uzQ5ZyIys67rQghmRkQiwsxt2wIQke3wmCv83YFzzjnnnHPOOeecc85dT1Q19CeCAzfeeCPm - JxztOTMDQAQCTpw4wfMkb+/vdc4555xzzjnnnHPOOeecc84555y7TjCziMQYSyn99YBra2v9SSNm - 1l+QWEqJMeacv8X07qcNA/mWt7z5137tV4ejOjctqVSBq+Dj0zrnnHPOOeecc84555xzzjnnnHPO - OeeuQ35q1PWOdlICCYt4w/4xmz9qBAEyULB4hun23YBFHrIWKiWYgGwekAyW7cBkU9t+G4ACSgcx - cAho5P5f/Ie3UVhpGytTroDzZ3F+/fwnPqknTu9LabAddxdg/XwyQgIxugJRgIgZxEQMA1QREjig - FHRdlpIjrCYeFB1tte1nvgCKmLWhGtTVUCdTriq0+clP//mTx0+sHj58+zvuq151N1ZXsTTG8rir - 66mmidaTejwZrU6WlrdGy00adHXdMKGucNstB+69Z3jDwQ1D4acFdj+7RW43lFDE0jCoIIAqpq2T - J7C5BSmAla1ZMNB4CZERKyO+0OXX/OTf7iMf+1SYEMI8RW5n6gDU+vzg572BfLNP8WwP9ltTLhkA - xObJ2cR3//RPWT1UEIhBREsDZQKx/mUjgJGBDWwXpZ+mENrcsRo3rXzt65hNUTGx1Hm2Ujq0uRIa - RpJ+U6Xt183vWj+jpKCrKb2SLtof8Yzj7zxgtWiKtRKDw6Zpx9ypGBNCqPftsxAQgpIpzdODWJnt - 2bNA+6wfAyhEEAGwK5vwRyACieyKLE3VPT/xtxpmSsOuFMSE1RWsjFtRmgdfXoKYuBUERjsTA+oq - yWwyBO0Hr87a7tHHT/zBB9f/+MN48AEcP4YL57nrUtuGtgttm7q2yl3VFmoKTp5uvvTlUx/5L49+ - 5E8e+txnNy+sH147gK0GHLUpUJOt2T/6wb+OQigSMN/q9Wn7iI9059xLjgadl2ILXFVVU2XCywAA - IABJREFUY4a62nfvPRISgfY85xUAVDQP1NYf+RpmU9OuMDJREWNDUAVYGCDj+XHrOqn/G22HJYOg - o5LxpQfx8Ff3Bc55GhEiRwE0z8P2tjPzgHm6tgIlYAbo6tqr/up9Z0xtuKR60QKyeTw2QGakFhEH - UUxVtaoGm7NOlpdw44H9b379JnEB0qAqMq+79SX7PAUcwCLd+RLD+ADVSMzAGtOjH/4Qzp6pVHMf - Q0h9Ei2C9qGzeskTv9qQkvWtDQBYhBMbSMFcVLMh5xzaFmfO5JPHq6YNZntdJTYO0xTHNx+1WEng - TiUE7tdnUrDByIyUjcPVVPe8Hhhvb9L9AY1hRqqkIaGTziJm3UwggHbSiSliyqaWwiy3s9yFQDHG - lAJDd+duGiAMJTa6KI8T8z2UVQG1YIqczzzy8HKX0ZUr8YmVSgj1oUNNHY2QwNJJIGZbpHeTGpHu - VD19m7sEDCVlLCK6CTBCk8Lwxpt0Jx9xDxGQDGk23XzyCTQz5KxaSj9a99OarvOZvE6KbOece7EY - se6EZ8+PtLpoF0TVUSmxax/50H+6oc0hzxBt1iFWABCIFh3gYAP1rT9oME3ATG2yvHzkLW8u+9Y2 - mGMcUFElCEH6UpoMfZsFrKpExERaJICNqwmwSbp639svrK7OCARY3+NcVcXkUo//fU1GCQAF5b4r - ue+5Cqa1NqONzcmnP1OfPTeqY6P5eS9X59zl2NjYiDFWVcXMRNR1HTO/9a1v/eAHP3jzzUcBiBgz - ASjlBWt6MLOZ9WNt9GNzbKe2DAaD/k4IQdWbFc4555xzzjnnnHPOOfe89EMkm1nO+YYbbrhyb2zG - IajCgDNnzpiZqnqvr3POOeecc84555xzzjnnnHPOOefc9aS/VLC/QnAwGGxtbQHorxxsmqauawCl - lJRSzt/KdcQXnVty772v/lf/6l9yQM55NBr0AeF+/olzzjnnnHPOOeecc84555xzzjnnnHPuunQl - 0onci4YWP22e262LQG4YVIwDGaEzAYUMjeACTYQAAgoBZEKmMEUWEMMMZBjWXZFENUeeZwgo0IdV - AzEolGGIFVpIEMGF6WsHq4OtTULOEckUWU9/9E8HW9MRM0wyYAECJEEAjCAGUTAjGLPCAIFhnqsG - ABAwzWPFGSCDQWAYcZyuX6jbgtGgT3DjCEy3Hv/YJ/Jkdtsb31S98i5UbLmQiIIkhq2mjIbLmybn - l9LMSgVaFt3XaWw1qoANRXD06HA0OvOxT2BWam3rkKClNasZnDg3z5KG3Me0xIBIkEbYYDBtmkNr - a83DXxl8++tg6LamFQXsX83QBBAFqhM4olNUgQHJktL2egOwkxWsgELDlYny6iMndz4kpxgBUyuM - BCJkQUwTs9V+5VUBKyvZ0JkMOagV24nUBts89WZ7cruj8sgAQgdRQiIkw/mvP37wzjsgM4sVVKts - 7/+eH/z7H/pwGKUuMIWdzTosJkrAVRpit70cFsFs20uBFgntkRkAKCLkv/Jz733il3/pSF3prAng - eORopr/I0iBsv+6iDYCZi2oAiCEKGJhBRLGu0WVUkYjMDGYggl2htGmO84hUcAAFpLjFbHWUmaEi - VNXohhu7M+ftEsNGjVBEKUAAZhhQSmYCmZl0iWCt7mMuZ8+unztjSkJcD0dc11RFSpGI2lmXN2Ym - WtSkrmajdMPLb1t52R0wIFQQQUtcDfKsq1dGf+Pt78ZMQRoCAASC7Fr4/f7OV2F+N5GpgdCv+sBs - JlfdTDp3Der3IwZgfXmj/VGcOkEIZwMdet29J//9hwhBTYh2iu89EkIIOesTT+L8ejiw1AXVzKNU - hSKAtmH+/n3Gcx9ifW1jy6VoJGZmMKsGK4NmduL3/uOdEsvGxjhxyQWKFIKI0K6cb95VlSqAVWFC - afmuu+Idd+Z6uNFMllZWpRNexGyD0OcxG0EBME1Kh5rZWDsdhbrRdrI0uPXd933h9z50ONV5lgcc - gZi13W5o9a/dWeyXtD2QAqyqDKS2u2ljHR/9yOCmH+KlJWYlNRIJCEZBJGdISsmuykrQt6jfSkHz - OmGvL3FFhAIThyhW5fbYX3x+SBr2PtiWiVpgK6WjL7+rMTYNKSUpOQBBQSCwFZ7PfDAI7fUe/xJi - pAqAVQiAJgWAYAxDKEg0yMKgpAZVZq6VuCHTAbMVipRMIR3vam4oQ4iVYOC+ShQUvNOi3H5fFNVR - CNZMcOZMd/rkQEodoGXPtzcjdMXGL3vZMQVRCKA6RBMl8wjny6G7DyOkfR42GzPMDGwiRl1IB2+5 - 7YkCUIDZnjYkiUikDEBj2PEvfmF09GBTp26xWW1vgf3v1/Kx3DnnXnSKRVlPBDMmymxIxFtNO1xa - AdCW6Shgeda1n/5zeezxlVKINCtqhmUwoGaZAWiwRV2CdioVU05PLtd3fN+7L6TauO7akmIskD6r - m6Bs2HUsZzMzEwapBAtqEdO6Wn7tPfaKl+sDkzybJkIKLO0sUjC7nOqkEAMINm/yKKEzDOtgUxlb - fvD3/+Mb73tHyJ3WlcG8wurcFTbPzybVRYs9VUG1MFeHD9/47/7d//czP/Mzn/3s50V2f5GCxWGE - iYiILmOoi/4l22NtbIeC933FRNQ/wsyq2qd6b98REQAhhP6Zl/vRnXPOOeecc84555xz7iVEVYno - yJEj/a/zc7b3FJGKBAYpjh8/HmNkIj/fwDnnnHPOOeecc84555xzzjnnnHPuGtVf0JdzTimJSAgB - u85CYebtR7avHGzbtr/zzXO7CQgcRDPmdwTAK1955+/8zv8VIlUhAtp1HQBiIyJ7lpE1nXPOOeec - c84555xzzjnnnHPOOeecc+7a5vlP1zva+UlAWOR2d23mQGpQgIgAZWCmM4AFAjNsbiG33M7QTTHb - Qjf55K//s0/9+m+iLZjM6lRxCKYgIPShvMYGCNABwkCAqdZkyPnh3/zA8uZUugmWUrKM0m197ov1 - 5qTqCkppsoRqHqlldFGWJBkHJTKifvoEmwerAdspa7YTmkIw1SnlRh7/BlQBUyiiIWF0cHznfW+t - 7rwDKq3RFgdLo7YenFQ5PUjHqnDo59/zive853Xvfe/dP/9zN//s3zsdwqZSGK/BIiRgvIzDRw7e - 85pZ4DgYTSQDXDNMIa3G8PSlvv1xsqAfdIsITMRQbM2as2dQMtquWV8fjUYIEVVCyQAo1BDDcNgn - A6cQ0GbYxTsqvWg77nwwL4PCcsmcUr8BoaphWqqkISAmGDCo4tIAIRZ7+rBjtJPD+OyDgxlAkTXA - ICg5bk3wxJMIbMikcmC49N9859txfh2qFSEXWQQmcx9Rv/cBqc+XLQIdadcNAPqcOAO0z5aLiKzj - lVkuqpi2LYajtDTmWPc7LOzp24WZhe1JAUpQIgEhViACsOdjwF2s/1y6SLYGADAo0Nr4qa3zy+Mx - lFB0eOBAp4VTdUkTV+qTF/lZ9wciEJSlpFyWxdYE+7sy3tocnDttx5/KTzzePPVEPn2Cuq060U23 - 3Xz0Td9217vfufKKlwsxllcwWMJ4DR1pq7S6r9QDEQMYzNACAIbQx0AaAAhQPF3PuZcYNu5rILQT - DKoEVQ6yslbfcmupUoaCQHtfaptpTbqvLfjao8lMzCyymPXVJiM1ms8e2aLYvJYVlWpYpVBJl7uu - pdwuzRqcPLnxwMPVhY0BAwGEnVypvnq5yLDrq44K0pSqzWLHUzr6ff+1pbSlNlrZ13YdoGQKqBEb - qF/B/eo20sLaPxIMSQAL64MKNx+58U1vOEWkIakqVBPFnZrtdujWZS16BhFgjKS6vLXx6B9/eFxk - VFRzZ5LZwKAKXHMVQuhKd3lL9arRB+wSAKPtqHVlUwpc1NpSKqalUk4++IA10yuzMZdIW4MKNx0W - REYQESLqN4mgIIMSFH38M8Mjll9QRioEZTWCkpGBDEE5KJMGQ1CKQpUgGZIiAGBosBJMo2kwC2YM - A5mwCUNJDWwENuadlTWvUhr11XEwsxUZq209+WTKOarw3tej+/ptGo9R1ZoqMyNRVosI8+MY6XZ6 - 31Xe5Ll62EVtLRiRElQ1coAaM7ccRvsPoapU93yhKowJjFJrN3ni8bGoZemTEQFgsfn1M80AeQvD - OecuCwGACkGIDKwE6ZttpexfWuraVmCtZdZcTZrH7v/Pq11bSwm7ygEjCOuiJbX96OJPFDZTvOE7 - vwNHbpgIhmkAQPpIXWMABn7mEZxgDGNjMgbQRi7D+mXveueJUuIIYiiiIfadY5d//OddbY5YYdYI - AbXk9MST+Mxnl9pZskJejXDuqiEidV3t37//d3/3d7//+78nRgCIkberiDHGfkyNF7Zjv4/0jjGm - lLBI6VZVM+vvl1JCCJ7b7ZxzzjnnnHPOOeecc5eEiIio73q9Qsz6HwY0TdP39Hq/rnPOOeecc845 - 55xzzjnnnHPOOefctWgymfQnfvQ/+5TuUsp2nneMUUSY+fJOUOlzu2NkUWHGbbcd/cAH/rfBsIox - 9sPoEFF/eeMVHqvQOeecc84555xzzjnnnHPOOeecc845564MzxW7zhl2xRjbzu9VndRADFEBVNAB - 3YhTQYEoikAJWy02Z5/7x7/08D/8pRO/+mu3nDh19PSZR97/ASBqU6YdMi9yu9GHSrGAC7gFttBR - UHQtJs1N4KXANOQ8OY9S8NixrUe+Xs/apMoMAxKHKGDtI34ZxqychJPMw7nZiI3ICPOsXGblPrZt - O2vECEYqMJPpqUe/gpIRpDAQGIkPvvk12Jf6NDcjpPHa6Uk5z4NTa0uv/F9+/mX/4O9i/xApoV7C - sMba8PZf/PtP1nT+/AYsYWkVTYZheNcrRjfd1HCgfqirWJlBDURPCx3f2a0WoZ4wA4giiEsj5y7g - 9Gk0szxrl5aWAEUKZmZKmgWxstwUBgKQDRQvimshbEduPiMxfE/xxXNBIUZTnX/WtkFVTUwzCMxG - hqoarqzKYrFsrymyReD6XxJCo33SdISmaTf56mPoWtYCkE6mw6YFMaS1ZpICA8CulES5ihOUbREZ - p8D8f9OdXXRXuif1/6q0GYhSFWMcreyDoF5ZkRCA7S1/e7NToB9wDcBOYPw81aOqEBJgeoVPh7V5 - krps54xrAOJdP/UTWBuLCLJCCAcO1nVdcnuJE+d57rkRGYd+uzIYwSIKo2N0DIT5qcAFWkRyUQhg - Fuo0PHpg35vuXn73m/GW1+DWgxgPNNZh9WBGupB1S4HDR8+k+lHNJwfhWDNFIDBb4J1M8l3rUl7Q - Jeecu9otCh22nRxoABAtiiZWgxuPxP37GyYh7PWR1wj/P3t3GixZct2H/X9OZt57a3nv9TYz3YON - GAyGWEVyiMUEQUEACNBQ2IYVMiMsRTjCYQVokmGLYRAgARqgCQ64IkTKhEQxCH6wPjuCtAKkIBGQ - wCUkihJFEqCwDYABBrN2T08vb6mqezPPOf6QVfVedw+G041+PT0z5xcV1a+rq+veukvezHx581/M - ImFzGPY+81edwcyYWUF1rZSgtLoEH/LK3AAGCMTMJBcCujZ0ko/l4cFP/YGde7wBQkRWLcuLIYig - BOFLY7NJ2GC9aDfFt9+Ju//Gdhu5iWamImQA6f5lBVTroryscEJJAQ2mUUGGGUhOHD/5/W96cNwO - bVfqRRla45wvOwCUrq6eQgYyMaBXmOFowIUvfn74wpc292Yjs0AUKJKxDBkmFNj4WTL16qpqrQwh - FJCZgUNrTNEEe7tnP//5znBDvq5JCHsbHV54OygmZSuyTHXfb4moPYvOspsLqZHq8pAggNionhnC - LMRCXIjqD2zopEyHMio6ztIWa1RZlUwVEMbB5gkrWJfVOtIAI+UCEkDJlIhCkSMqp7/w+abkQDfi - tjIjGgKPjp9AO6aYiAhSgnK9p64WPlYLFsKz5FQ/fGRstH8JUIKBSC0yQZQ5qnE8fkscT3ocbna3 - EQSgSApLKme/9JXQl1BkeeekKZnVdG8l3m9pOOecu0oEsCkAYRReV+BhQMdRZ31UmJS00Wq/h6/c - v/dnnx1JJkhQhFUTQBjCMLLLqvNGEOIFpd1udOotb+yPbjI1XWaKKEHr0g2ky75iU1o2Fbk2GwEy - YuOoEMKZGI7/ze+zU7fvxbYsF2Bi11T+X1IRNTIwQARRMCMO/db57TP/9o8nuYylhGtbhHPuqVid - 9U/swG8xlm83MckmpZ/PPvKRX37Pe34cAJGZagwEQERqU4SZu667XqvZti1W03YQUQiBeZkXnlJa - L7T+q0+x4ZxzzjnnnHPOOeecc09Rnbx4MpnUv96Y/lVirsvZ29sTEVX16G7nnHPOOeecc84555xz - zjnnnHPOuWccVZ1MJqoKIMY4DEMdChJjrDf6NU0jIiEEIhqG4Wo/PzABiCGWokSYTEa/+qu/cudL - 7+hSEwmqdQJDYmZCMPXxJ84555xzzjnnnHPOOeecc84555xzzrlnIU8Wezazg3FPhoPR3UXNGFlF - NCdgjDBGaPv5NFszAHv5j3/2Fz57z88/8IsfuVPpBXm4ZTG/HXY7aGNvjr05K0bNgSUxQMxgXh5R - GkGwjHn+4v/9UVzcRp73uU+jBucunPvsZzdBjSoTiLkNyFlq7O76gKQrHsvXjVBjMoEnPHpjADSX - C+ew2IOpscGohDikgI0x8hwESfFMKY8fPXr7//n+u9/7bnQR0xG6BuOa3j1CamDlOz/0wd/97F/u - ERAbhM5Ch1G38e3fvmsS2o0CQlEAAdBy+WqwrhI9gUgggwJmxEQJaEX7r34NF3dj4jSZoi9sTDEI - rHACR5pOBqDkmkZIyOWSMYyrL8+4UYFtduBPA0jFxGAUuBQBgPEEMQwxZmiNpwBjfPzIABhdMgPY - OuDcvvmqE2ACVhgkAq3o/LHH8OgZ1GUquly+/I9/BbmPEYBAZL2Sq2NcAb4yCeMmYQd/OpA9v5/L - Xl8nQgrnTS1EMt7d3UM36m67rd+P/Fmh9VludXMrYMQGVgMooElgXsZ4rxd+6DPC7Udb2zKeCMtv - SNjRQc3QjpEaqBW96oG6NVGVFevQ7pqupIRSYIbAHJhEbG8oM9Jh1Gx3YT7p+PiRjbvumn7PG8Zv - fCO94uU4cQLTcd81ajxwuLjIu227OLZ1ZmP0+fne9qlb7/rg+1/xY//7Oz70MwDAmNeD/tLVJb+a - Ovccw1imoekqArYGeHNAgc4LtBsfu+Pb+jZlIpVDXx8FktpE9PQX723FooJWVZF6hWADoQZJP/ND - 2khDCkPJVqwLacQ8ygucvfC1f/MHRzh0MZCi1LtLiG0dSmdAzdKmZe1AyQRxNzQv/oG36cZkJ5Iy - 9X3fxgRSQKlebZeZr4zVjtZl9K+S1VBALkYXiPGdfwMvfckuNYyEyMX2dzwZs1HNZOWrv/6qIYUE - JlW0jKOGL/7LTxzt+3EpZEZEYCqmWYqZcYjf4ga+CbARLyPnYWyFIYD2WUJsmxA593jwofbs+TGn - ww3aXckMHD2Crc0CCsaRGGp1VxpBaiowGRmTJ5pdbwpGrUHaJRVgYRjApgRlM4IEUzYLqmzGq5ru - slZMq2ZmfZmUcDBUrzat2EiVynJ/EgURDOX8F7/SiMIAHPrMxkLoA01uO4EYjAOAemMbac0mr++y - dcXzGsqT5xhmW5belZIaWImJyESJyECCiNEkbW1mZjnkOr0ZzIwJUWR+/wO4uNuCzUD1ykQFpEq+ - Y51z7ltUK+yrq7zVBgArKRGVYTFqGlJppRxT2/n0Hxzd3m6lYL9Zt99qIuhlXdYABqaLbWpf+pLJ - y1/+OHHTjqUfBKKh1g55v+t6FSIO1FqHKtX0bgSFgvZSwLGjd7z5LQ+qDiFGjiJX9DI/FYYD62/7 - vw3IaAPAKLmcAD3+F5/BQ49uzfpG/FLj3NPqwO8siKyUsrExiZGbpnnXu971q7/6EQBNE0WWk1yk - lGKMIrJYLK7XKvR9DyDnXH9fkFKqM3cQUSklhJBSAlBKERGPeHHOOeecc84555xzzrm/Vu1lrT9M - p9MbuWjmZbfzdexGds4555xzzjnnnHPOOeecc84555xzT6O+75umCSHUkSGqysxd15mZiJjZesTI - UycqIVCRAmA0aj72sd985ateXkoB1MxqdDcAO/ypCZ1zzjnnnHPOOeecc84555xzzjnnnHPu6eJh - o889BCNYwAAhRhcjiUAUg2JgnJ395Xt+5pF7PvIdkr5tZzg1k/bC9kgLSU+UdZhxXpz+f38bxWhR - pKyChwlGICACAYjQhAF9/0cf+JnbZjmhIOhkYwN78/LFL+uZx8eRATFSKcIcRGAAGQdF0GUOgJEa - 6TqLmWA1g20//ARYpawBq3iSEEAZLRF2d1BKNMqgwimmab/bY7xRAvpR99jm+OUfeA+OjrAxQTcG - NUNWBAaj3+1BDY4ew2T0P33q977U0HYRxE6ITApO3TJ54fNnbCWErEoAh2W6oxIOjjdc5govp+Ei - BQSmBCZqGfOHHsFDjxIRNsYgllzALIF+51//KwQSYC/nmGgZCBwYNcL8YIQ2rjrq+JpcEv6+Zqu0 - htiE+XyAGpj/xSd/v4AUFlKEKI5uDWS6+n81UxmXfMj+D+sHADYkQWtQBRECWeiH/r4HMFeErp/1 - 065ppMdihsUCuzsItD4OBZB1WPTNN/5zvQEuS++uoTpyWVg9Ayl+30+8Z2dvDxyn4y0sMo4d3R2y - rd5z2VdcR3fbaho4IjRNgxDApGCldZS63aDEjZoetH+xYRAj8Hg6MVbs7YIjUqelhKu8HBE0qSU1 - tmUg0/oYa5m5gAe06EZpanF0oW1Od+PFi+4av/5N3ff/N3jNG3HyRUibRiOEaZlzIx1TR2ixMXm0 - 9KenuOOnfuwVH/7Anf/wR7A5wnQEFCRW0xibDPSA1OInAEALtMsfnXPPAcYwUmJhCAFQrqU5mQbl - GGFxITjxypdtjzgzMR96gUsGiHSlXzzyMGbDqICyEFiI67WVoAxdZVffmLDjw8XMMcZgkNnepgj+ - /Z+OHz0b570VQ0ECmhQ4QNTMiJWDoabuCS0vuEZaRqO9yebGG990bnNz0fAiLyKsJappv2zLmouC - DVwjYINyUCVTkBqpkhppoLgwxslTL3jzmx6TYqGBFa51G9RQLqpB4GzA1R8OBBBzChGGYY4Ns/P/ - 7j/ggUc2+mJZsqgQxy5SQFndBvPMZbVeXY9egFCCCVshKFs0AcyafsAX770l5zjcoNTBTBjdfgsC - qQVSamMyyWQwQmHUrF9aJXm7646MWTkqr5pXpmRKRpBoMpI8kn4s/bgsGuuF0UdaP3LgwiREAAWl - WhQEBaC1vWmkSjBEI1YyY2Eom5LayICd2fCNhzqQrVK0D/WbCmGeeHTyFiAUBYiJiIjErDYWbNUg - JOMaW36o6/PsUNO72cAwAEJQAjOXUhBITMEJ4ObEsXlDcvinsCqYEUS6i7t45PQEUYvV3oz1lVqI - lfZb4s45565W7VIOiqCrnjYDA/0wdOMxgFSkOb9D5y5+49N/eGzRJ9FlLc72u9rIEBXB1t2Zq8yD - GB+Zti96+1vt6NFMQYkNyFYUAlJgmd5NhrD8rFXP6oHOz+XSQjyvOn3LW8/fctuMgUhKhvCtVCkN - pLXbkBTRQkCs373RgR4/e+GTn96YS1f8EuPcjcSXPgDUniXGMk9Fz549Mx53s91tLcPf//t/75// - 8/9nMhkBqJNo5NyrllWfwnVaJ+b6+W3bhhBKKQBijADWrZ6+72OMIXift3POOeecc84555xzzl2d - jY2NGzmAaD1arJRSx5k808ePOeecc84555xzzjnnnHPOOeecc849BzFzzpmZRaRt23rfXx0HEkJo - mmaxWDAzEamq6rXcLFznJIwRH/3oR1/zmtdAdNw2IqJa5yak+p4bML+Kc84555xzzjnnnHPOOeec - c84555xzzj0tPLr7uYeggAAMMljfzwFgd4as2Jt/9Zd+4VWjZnP7/Pjihc1mEkWbAtLAoGG+iF2a - Rj53770YerA0UcE1uRC6DO1GhDaw0AsW8qLQ4PFz42kn/RyPn8fZnce/+rXjXTcMM7ARWQFILRBo - madFtEzq1mUY9n5glR4MNcYqtPjyyaWE1dByg36ASiBTVRjPZ4M108cF544cPfYjP/rd730Pjmzs - Nu2esSABoWk6URihnYwARkggwijdfc9PPxyxGCVqIoUAxvSVL9tjlhhriCMUTKsYyEtxjXsxA5hB - RlCYmrBK05f+oYcHGTCdoGlYDCIS6H3/+ncRKBBvpmQGMHRYIBFqKvDy2y6TvG9MlNcTDp9kYF4W - BoVhNGoQAqAf/sQnEAJA4AhTbE7DuBXTmkKBVRZjtdq/y7hlORDsTYZoiAQCCoRMW6LZw2fw+DZm - i3ZjY+/8ueNd87l/8lGoYtyorXJ4rP6pq6jzQ9841+by3WYKLEPHy356t4LqScWhSRCb78zQtNjc - 5FFjtJ/jfqWaClonX1NCO+rwdI6C1ZrbvVwDBohh2Dl3ATFgc4pSkAXQawi+puVxdMkWNbByMA59 - iBdMT0MXRzdPvvpVd/zA227/3u9t7roLW5tIEe0I440SRruFbOvEaeNzXffQKN0X+OU//YHv+N/+ - IUYjjDpsbSEEtAmbE7QtcVzGsF52+bQn2yPOuWcjxjJFFcB+3GZGsYiAIEq44wUXgvWAHnJ9mwwB - CIZY1Pb27MzZsXIoFpjX9RNaFlNmpDcgl/SwZRVmAtiyNFog8oVP/P7JrEnUYAEciUitFAUQOBAA - 43Vsdq1T9hEPWX7B33ojTp7aDolCijCC5pyNDKRsxoaarVXDpGv83jprS1hLgBACxULhQorP/77v - la2tBWMmGsLy0iAUpOZrkRKu5XoRKJSht5xTABFS1mOPP7745CcT8aQZCfFCi7IhkZk9C65HBhZe - NgQYyigMIdMmtVqMinZG21++91hRGkqkQ08RM0NhbD7/+cVMiCAUmNdB3ZlRGGzjTMFKAAAgAElE - QVTMz4Ztf9NRQj0H+WA7yIiNANQqMRlQ24ykgNqyacarZ1KwArYqislqe0GDKZmuFkQKGBWgLBPY - 1ZIZHj3LFy4mIwPoGmqrV0kY88jjW09ogNmypksUzEyXIfH7cc7BwHbTtnhuFqtI7H1CrGDjGtpN - AlMLUN44dWtuohxyu4kIdX7sFtjoC+77emfMQusLi5EpuB7jSmbk0arOOXfVbNXHGBVRERRsCAYy - WOQSaZASSzkVugt//Cd0+vRUSzAD9nO1AfD6UmswUqv91WAAfeDd24+N3vCawRJbs9331iViMO1H - dNerT611AAqYktXPF7a6FDYGMAsBz3/B8de+dhFIc0bkckVv91P72vt9bwf+5CIFwLihXPJWw/d9 - 6o9wYTYSv7449zTig/3KopkDjh8/vrOzs7W1ZWbnzp17zWte8/GPf/xVr3oZEWJkACklXNecFVVt - 29bM+r6vM2iklMysRnrXGT3WU3tc2xQezjnnnHPOOeecc84591yzDsyeTqc3chDRuhfXDCJSZ0++ - gct3zjnnnHPOOeecc84555xzzjnnnHPXgZmllACEEADEGOvrRDSZTIZhAKCqXdeh3nV49VRhhg9/ - +J43v/lvDXkRAuWciYhX6ryFHt3tnHPOOeecc84555xzzjnnnHPOOeece7by6O5nP8YyXaQGfxgg - gIIKTKFd20EACxj0z//Zr0/SMJs9PB5b7Aw755EN1KAwrGk3ji5257pYPL8bYXcHNC+2Y8hGqnUp - uorfzYq5fu2jH5tmOXpkivksNB0G3v38l2OfiTQDGsyACJhoYmbbz+3WVRK27Kc76+p5/6G8fl5m - qxi4SAJ1WQMoAYBJayVB2zguk+MPnjh563vej1tuA0cUmQBjbsuAnA2GwJgPMjCGgF7Z2g10IzT8 - snve/2XMh5KhCgKOHzn+gueXwEpMYDEzpnUE9dp+0LhBTQFYDQYDSK01XmzvIjCmY4jEENSsZ0PD - YEDQGhaLIqQ27uSK/ViX8zROrGWwLnaiMlvk1fckMIzJABEBJ3SjzWNH10MwdbVnryRUg+gutR65 - yUhmYWemX7sfxghhPOr68+dGO3PM5yCbsw6rTw6KBgjLAHi+6bLsVvtuNU3aMre7xvgciAqsuHCA - Sdt1MB5NtzAIiNqNia6CiLSGfK+s/ysRiMgAojAajWBWsz2I6MaOiDXU/HFbfudS88gFx0fTeR5A - BUroh9FolPO3tqQDqfALsd0Ydo5N4ivvvO0dbz7x3/0Av/rVGI1AGdgD9YgCKjoMopDJ5H4q998y - PfbBd9/xcx/4rns+iNEI01sQj0AbUEIazYdSQhwCQCEiRCCtMiKBg2e7c+45xMBCtLyukdZItkxW - TLkgcovbbulHDaVGVA57ZQiIBDKhnM8/9PC4UCxGQsJagrGBDQxDTY27tMbyTMSmFQMjs/5zn9/+ - 0pens2EUEnMkCmYkogyAWInW4bY1ezsYAMwanD21cey/ffuMOAsHsRGBiAbLSmoAG1gBoG6/dUhw - 1GUOurDloIURQGZhB4xTt7/49a/ZTiioNSMAXJhzYK0Bfqv8v6v6uqiZ0IZE4CYmpecrvv5vPo0z - 5wIRx9SLZBSBmlnia7m15mZj4FXm/bLKw7BAMaAGGuuFr3x1ssjBCMSH3aRVwELcOnlbSVGJAWgu - kZbp3YWXtVAyGC3jo911VatcDGMjBmLQyBphQREKhz6EPoRFDH3gwkHBAJPtP2o2nlB9LCuNwSzW - cG6ooaZdspEaGUGDwsyiAg8+1MwW0Qi1dXLIpacShkjp2JZyqMWOYpkkelnpXUsSj4t/cgdLXTLU - fb1sucOISAgWYhZBkzZP3daHg22xQ1mfAESCCFoK3ZDPfeV+FgsIbMzAOn5+2c7w4sQ5566VkBpp - UrSCoByUo4INOfGODgNKWwznZl/5xB8cDRyoMBRArS3UWmitUtDq+isMYaq9l/OE53/fa3HymBVK - mUvieRRmBFsX4wwwK8KBgrz2eCugpEoKYwJQMqU467o73/IWno6zQaFi11DjYICW/at0oIZgRCBS - AKYJiYQfOo3/+Jm2+CXGuUO2X5HjKx7r1wGgiUlLubh9fjIdDcMCUA7oRs2pUyc/9rGPveUtbxZR - IvR937Tt9U3v7vu+zt9RSmnbNucMwMyOHDkCQERKKTFGEal53s4555xzzjnnnHPOOeeexHraYjOr - 8yPfOKveYwX6vvepk51zzjnnnHPOOeecc84555xzzjnnnonWQz5EREQA9H1f7+/b29tbv22xWBBR - vvoJ+5omAvjJn/zxd77znfP5fGNjI4Sgqhwun91iNVuLc84555xzzjnnnHPOOeecc84555xzzj3b - +NCoZx57oscT/PMyEORAHsDqR65huggJEYNgKBD9s4/80tZiJ5V5y4XyDKUHKxY7OHfuzGf/y3D6 - LPbm3WQSFWlv/qVf+zXoEIgVrGCD6v6iGaKY525nd2yC2S5kwO4Mj1/Y/vo3jnTt9ryPCUIYBIEB - QAWrkGtgnUlG4CvSJQ+metfIk/XrSlAiI06pLUJILZhBCpBS3O1G9y4W3/He92G0gTTGZIoQqIgU - bZqYUlAxM2ubkA0GREbOBkoIjFHcPTrNsQE3aDv0/ejl3z5wzGbgCLDoKgysxoqDAFrGZHKN3TKD - ERGREcAEVotG7ahD22CxADNWqXFIocY4d+NoxAJITca2VTYwwcBYJ0IeGrr0p3VCN4AaCRM4jEZJ - BFBD0yCEzFwCSlHEhK5pj25lisSMg2WNBawHZa6WcVnsnABQC4xIBDKGtVYu3P8g5nPs7JHmY+PR - CQp/9Y9/HYNOlMLBVTUlqILlaU03fxL7JyIBq3zx9dbW1V8MMBhiW4Qgpcz30LXg0G1sHfgw01XC - PVbx6Vaju1kEEI5oupoQBFIiEoqgcM1R01eWOVdsZD3wOPAOAggCwPQPP/TzYT7EwFLmaKDnHytD - TuHSzfNUEIxhBGFkxjyEvZi2Uxte9Lzjr3/dqbe/feM134UTR0EEAmJEisVoQbRo292uPd2lM1vT - nVO33flT73v9B34KGxMZt7ntZLqJlKCMNhnDgNR2AwzgIQ81fDxc+s0vL4edc892QlCqedhgAMYw - VmIOqZiq9KGLOH5ct46G0egGlA/Lkt8QVIZHH9sokgwgrVWmVYotGGp0M5ZXSgqqtb91pa5WdS6/ - WNXabQgJishhpJic2/7yp/7tMZPOBpRBVNRgIAZiYg7oJWP1ObyKcVVgL3L7Ha/CS150TjCZbpZh - kfuhbVOMsV6MlGgZ1726otkqoZkMAMGW950w2VCKNO0ihiNv/ZuPTUfYiCogXddw1MjW4d9XvX2g - iZsmQATDUILqpuTw2Ontz34GZx8fU4gxgknNVCE34x6+anWbKzEZUT2/QH2ZB9ZREezNdh96NGRt - QxSVQ12T2hixwM2RLWmTcQ02U+ZY/4lhvKrK1oxxdx3xwerWKs94lcfMyzzv/Vhuklp0GNc3rN6G - ZVFNOFjPvORkJK3/sdYvjdQg0QRnzkz7HFe1WsXh5lwqcR8iNqYWGKS2LA3rA4AaWInZlsnxh5oz - /exButrvDFJCARRKIUQohxCyFrSJjm7NA8vhn8LElIFAPO6H4ZHTGEokACqrGNd1QWLeX+ecc9eO - AWZTMlUW5VJfzDm3bRsMR0D488/Yl+6dEgYBGWqFs3Y1s4EMpJf09ShZYZrFdLEZ3fm2t+2mVGIQ - kW46mQ89Ea2rpetOz2XHNdn6x4P/CAAqEvhiivE77y4nnzcbxUwItKwCGV1ee1m9gid6xRhgu6Su - otAUOjP0A5oI2ZmdVHnwk5/k3LciSRDWlVhSXS5ruThatr2cc9+6dT/5E5xTtVzqum6xWJhZjJGZ - F4tFkeHUqZO/8c/+6f/4934QhrYLw6KP4XrWD5nZzAA0TdP3fdM09a+7u7td1zFzjNHMPLfbOeec - c849RXTF7/X2XyGAVmPCCEY3rJK5Xwk30uU4q/W4NKC2gtkHezjnnHPOuestpfRkAzoOYcBx7co1 - IOfs0d3OOeecc84555xzzjnnnHPOOeecc89cqhpCqMM/uq6rP9Qb/errZmZmIYS/5oOuMAzlXe/6 - n3/oh97Vdmk0bre3t9efbGYioqoAiMgHnzjnnHPOOeecc84555xzzjnnnHPOOeeerXzW9WcYOxCK - K4Csfq6hzwemc1KYAihmilUSMuUBvSJD+6TaGoIyemCWv/BzP//ixfmTi4ujPCQN0IDFgPu+eP4P - P/HIv/wd+fxnFg98HWboF23gzmisNVCakSmAe+sNBQyIQQ0GkLLMqczBgDI4DJ//3CYMJXcMEYiA - CaJQwJiUYBSMwjKH2MACrPMOjbHK7S6MISDzMrc7AAxigwFgMlNSo0jY2oQUcAMebXP82rS9+/96 - H9qEGEFkQwahWAmRa1IKRyMShiZCBIKgqbFtzRht8z3vfs8FJMTJYAHTLUw3uhMnOCVTZIQYRsEQ - bB0hHIUisAwqVjCDGUxSgoGpRnQDAMWARNiYzPsFhxgoAhFgaAEroIbMgGi2OnOsAcv9vkpSOeQZ - ZGm5oOV8tesQ+IAYEAlMQIhAJISE2NDxLevGsACKCIRTt6LtshgDwRCBaAFogUg14dxAhqRIuszF - qQJgywPdTIRhCdyUYfaZz0AzNGNYtDkfH/QT7/9ZzDRkBCDrACpQQ1G9OefWXR20oW5OYjCDmIEI - tEA6sJEJSGIoBAQFcVLYHBy6jeOGQAoyBanyMtvNQAYGiAAiaFFizDni+EkQQSUwiYLSFBrB6HW4 - 2o1kq5KnnjWAGvRAhKEuSx6thZNBCRpLQSYMhAEIyJB8smk3hTqVQIJ8Xss2GVRYAIDqUUcUiCMR - 1eSjOpbX6ufSMsDQCGAUQGKchabf2tq6+7tv+ds/0L7tB/Dib0M3RhwhjTQmpCShER7v2nh3cvTB - dvKFyfTUhz70wp/+wPN/5IewtYnR1MIIaCNCqAVpWu6xmtXdghLQpgbr+aYPnBLXmoR++MxQt+lq - hHSNYHHOfSuUIAxAk0orWlOcBa1ZCwsBFJo8xxwUj9z1yr1crvpGh6tkBAqxF1DAlHn+lS/TfG9E - UkxKsBKgCGxMVqPX+Gar/yupkSlZUA0KJS68TEUPiqAgKEhBqqTKqsSkyQY0kdPuNk4/vvvv/2Rq - M5ASG4EUrGAjWFaotgQGKZRDrXuwKO8JFqOjr3jn//AQQtjcXCwWQuA2aS4BgY0AKszCIGjUuulU - GMIwMBvDmI2jMpsOw7wdx6y20zS4+5XDq162jdYUAAdQC01QkBbaD+y6ui0EqJZiACERkiqZdTr7 - wu/+zjGiuLvXgDFkJgopgoLepNekp4SAYCXU0EFjQzRrzUaGiGYg297qs37+vlBKiiglM9G1bNSr - YYasdOzFL94zHUioiRRCL1qYjTSpdqVEBRkbQck8Tfn6YqvplQqo0fJR/8qmVJ9rvqbxKtV73WJe - vq2+s/5rTftWUGESIiOANJgE06CJtC1MmcFskLK472tHjTAUAisX48NNyxbEHQ24/cXZhAhMFCki - SxCJWoKpEClCrfQLQ/gmbfTcJIxUWIVqozkAILNofUQfFFHbkIMNQJIec5x63i6iIB7qKhGQxUJM - eSHHKMy+8QDY2AbhIvVOSQ2NaNQCQIhgN9cl2znnnhmM2QJZMIIGKaHPIQsrgDHHMMsj5ZjL6X/x - 2y8e5mE2Z4aB96vQ+zHbEDCjJaVgaqKawtlucur134dTd2yHdqdhGVGZzyacIMScyLhWPNi0MBcm - A7OBli8yG8ECjNkUEArcS9GmU+aX/Pc/eF87mRtaAhkMLMRCbKDagqvdzrKf581GEKqvKEGDWlgn - jhsAENSkZ6AlhAWOAFv97MIX/gJf+8pmv5hm5UGaUTeXHpEUomBYUGKQRpWoAkBvXKCac88KxsvH - kn7zBwBQ4KGUmpBdp8xg0wBj00DWz3d/7p57fvHnPyRZCDDVGJefzMzMsZYGIaRr6O1R1TqPxjAM - 62cARKSqzMzMqlq75es7q/UEHAdfdM4555xzbn80Gw4MnjDAVu1Uq0O/SIiFYg3SXr7zMJ4Bq41o - gjEyI4dlDz8Zs9UGL60r0t7Z7pxzzjnnvlVcZ0kmMu3axFgNsq8Ob5DxshcXAAKwu7vLzD5O2Dnn - nHPOOeecc84555xzzjnnnHPuZmYrAHLOB1+vd/bVWw7rK1jdzSci62EhIrIckbIcl7KeK5JjbOoz - cyQgEDcpEPB33vm3f+I972Zo6RcBNm6bumhTIoT1DHUAjFQhN3ibOOecc84555xzzjnnnHPOOeec - c84559wN4NELzyR2aTBdWE0jytjPPF6q049Cl68HgHWvnzMYoMiJiSGKviCX//LLv3giD8dKaXf3 - 2qEwBVzYw1997uxf/QXOPXbcynQ+277vPvQDmCnGYWe7NYNQmPchRACJUkaxUpbB1Iv+s7/yK7yY - d22EZBTBw4/Ozp7tABOYggyRkZoQIgEoKkQwiFqp4/aYKQTEuJqnivSSr3ZwC9hyqB8RmWlDnE2P - vvAUbEATcyk7Q+4n0+/6sR/F1ggmMAGhTsEaUzrwuTXYRJcRBwpkAFBOxg3KQMePPbo3Ewp723sg - 2nzVywuTwJrY9mXByry/ak8cy8iX7qOYWIcBiwGlxCapSCJGNhTACkpPUAYR0HBLB7776jDgGzd3 - LAF0ybxhBKaDpQcBxODwvH/wD87kgWKrWRADjhzVUVt4NT2vwaz+31DzzLF6neyKY/jyVdCkZf7A - /Th7BjHKkHkxHBW8BA1255A8DAsOPMgAySBmwuJmTbI7sCV5vW3D6rF/gBsDETn/9qc/1Y8agagU - jEbWtjUbnmuW4aocN5BSKOuzxQBGDgnTDURGAMwG0Zf/6A9j1GnJia86l44uW8NlEbQ+tGsqST1s - 6zoEEGIE12837MVhwCxvWOiYaSiYzRGwe+bRkjNzYG4REpgENpgULcLgyGAqZgpw4JiiMS0Uu4pt - pnMcdifj9ttefPQNbzj2jnfgFd+O48eHxQxbRzS2i2w9xx3FxRQvjNv7TLdPnjjx7v/jzvf++Gt/ - 6n3oEiYjmWwMoR0oKpjBZIAp6JKz+Movvr8jPZ7TueekGhwbDKyKOvM7AixAAwEgyaxou3T81kzM - h19QyPK+C7BKf+ZRiEQzIzVa1Rmwn7d2M+Y6kwJaw1WVYODV1PkAsPoWxjAyEKCKrmllvrfBvPj9 - Tx8/v5PmRlwviPWixJfEnVK9LwRmIGZqW9nYyCdOjl7yUtvaGkzMjEMCkYiYKBnDuAYJ8H4S8DoC - b1+9EMcm9GUIxD2HvUl75zu+/3RWimMDmalJMRUFiGot6Bp2gIJ0/xsRCOiKpgcexl/+1VRKMBGY - qiZEHTI/s6Nea/tFV4kNDLAiGLFRAYaxyN4jj4RiUAQyO+xbfYxBzE2L0WhgVoZqURA41lMpmAbT - dV66kUeX3VCrM3T/8eTvXKtvV7ACtflGpqQRFmsRxMyQPDv7WFM0ggA2O/SKnxJxO0Y7ArOZmRIp - AwhUc+FRz4h6jhsgN2F5fjNRgpIq6aqJX283lGDCABmxMhtxoMyCyVRiJ4ecTkoEMyiMmWMu2NvF - he0IMzYhwJg0BgNBl6Wf72LnnLs2FmvlWUmNVLl2ETKMWqNmewcPP3r2M395dBg6juVA9e3SCgPH - NFlYH2IyQ9dgux8uTien3vKmPrU9pxwgpGwadBnWe0XboV5WKBgIgLGC2Zhro4y0ixGCLKUfjfE9 - r9t93smcopb1Cuz3OhkdaMetagJaX1x3n69/EbBv2btFhqBoBJ3kaV7c+8lPTYch5Zw47O3ttW1b - 7+S/JLecaudYXdAzun3h3E1CDzz/9WKMOzsXjx8/bpJ/8Af/7m/8+q+dvHVLFVK0/msN3k4pxRhF - rme7uGa6xBiZueu63d3d+mLOOedsZiEs5+Bg9sLBOeecc85dgWCEAsiBAUXL1qmt4gQBkC5boHZo - zwfoMsZ7tdD9+rnB1Md9OOecc86568UIZEZEDML+mPnLXef6p9l6zLYtb6YwIq/hOuecc84555xz - zjnnnHPOOeecc87dpEopRDQMQx3jkVIys1IKACKq9/ERUQgBQH2+2s+vz6pla3NLTXOWt7/9Lffc - 86GtrY35fG/9nhCCqs+T45xzzjnnnHPOOeecc84555xzzjnnnHsO8anVn5ECEAykCLrKPF5PJso1 - tBsFUCAxmcqszAWYtlPrLSAO2UCKsovts1/4yD23YhhZMW1i3AppjNNn8bkv7n7lq3ZhN2QERUu0 - MRi++nXMF5jPmq1JJPznX/4VCGNY9CYGTmgoRgwFswUM416OpFHJGVpgOnv4QTIpUtRCRNNSGzTm - QYZiILQJDAmkAQigJESFhsLzbDXypMY0MpAMSdAKGkVLIEBtFXdgZqpqurDSvPA2nJgipj5EHN96 - MO9i0sIEXYPUACqMOl6QLz0HCNifLLapE8hSATDZeMH/+q504mgIYbK5hckYx46lrc0ewrBmtdHZ - EAzBhGqI4BUBcmzLVBUDWBGz4tHTUI1GALiUP/8n/xS7u4iEJpWipMxGpsLrfJbLYpNvqsm1mBHT - bpNmVrhJhoDxNB09MmPVOvhzuSmkhjKSPsGcuU8iqW1pufif/wzDEJo2hTga8qlh8eDHfhNlnpqo - sCY0IMhiVoDIz/zsRDKM23f9q//vbItMxGDIEI5MlQlAjTVlWZYDChPWQlaTOsxgBE2MrYlR0Qid - 57YbIylG4KYJRZ48Lv1yBghQEBTBlkc3jEmhgGC5N41YKAIBSMJkDBCClZD3QhGcX3z1w/9oUwAl - FIBGOD8fHruQAETrkWfWzzQrUUyJuzaT7RZVJjBCYFCUrHOxHNmOHQl33LX1uu899nf+Ll773bjr - JRglBAasGU90d8HgOJ7m0WRvsvFYShdP3vLSX/zgC3/sf8EtIxyfYBQwHg2iBGqAphan9ZikVdFw - U51fzrmbybr8tAMFhdKqVgaASZpw7PbbhIwpHvb6mGrkoAaonX3kNERqXBwO1HN09eOTRNs+LRgg - YzYYQZbZdsqmZHUafRSuMc4UlBrhqACUApJmnD//tT/6kyMzaxWhTv3Puh8BQJD6mSEYYEbEMC0X - pX9k3L30v34bjmxFmA49qwSKZhAYXeUdKwooBwCNAEXmXTr2Pa8Pt906i0EDGUxgRmAsZ0m9puhu - UK0SA0IQggGjgq3TO6d//9MtRHRBMSCEqEiCq7u+33zWp9Vlx6qZBQVAj9x/fyBTrYlih7sySijE - aTLGqANARApT1SunuL3Zziz3FD1hhwgZIgxFHnv09HrPHvbBVsVRi1FHgZe3tzEJzNhrpddZTWoB - ExExsylhY5PSoV+v1xikqv18gbNnoyqZXv7vzjnnvgWr+rCygSwEiQQo0IMZdILwjd/7vabPUtS0 - RK4NkFr4ErDurlTkPiEOMliEDMgxdne9CHe/Ml9Nk4FWncPCtb2jZGpkAKzPHQJT3IPihbfc/obX - DqmVepEiDWpBaztGa2cyGWoKuC0bPgi27BV86lqxB/7dn+L044lNEkgtWeBS880PfJCxgdXrIM49 - TUopk8lkZ2cnhDAMw1vf+tbf+q3fOnnyOBHaNtVJMQDNuS+lXMcIbSISkRhjKaUmgh85cqT+5i2l - lFICYGaLxcLn43DOOeecc5cjGEMIA1CwSu9e/8YHWL4GWUZ7k66GZRzWY/17W8byd7iMZdOfIAyB - FViGFViB6VWNX3LOOeecc+4ythpWUscU0dUNkL8+dLV055xzzjnnnHPOOeecc84555xzzjl304ox - 5pzbtjWz3d1dAERUX6y38rVty8z1Fr/6/GTs8nEqzBxCCAQCLm5fBHD33d/5y7/8S1tbW+fOnRuN - RqPRSET8JkHnnHPOOeecc84555xzzjnnnHPOOefcc5AHAj0zGaAKVWiB1ZButYP/CFawgQkamZqU - AB52Fyk2MDSBkHsM+TMf+YXb8oJ3LrQirITZgLPnF1+698K9X4jz2bGuHQVAEEG0t6enz4AJpMNi - zxbzE/OMWQYZkwUwgaFAYED/4J4P39K2ulgQEWJEP3/8oQcaIICb1BJItVcpAWgCGNAMGExNoQoB - NBK3TRp3I6zTVmw5lWowBEMEoND11KmBiVmY+xTjLUdw+239sDCCUjw9n73ufe8DUWEGs5ENJhwS - MYspvlk4bwAAI/SSDRGBUfqLiff6AVJgCsb4FS8fUux1sQrqDqQgBUNBWiO6YTWSBQeTToxAbFJy - WzC7/yEIqGQOTGU4spjDCIu5kUVumNgEkUPNFF9ndTOwjiy/iTCjbXa7NmxM+zyAEopt3nlH30QD - iKBgGBsKUOrOvioE5TzwbK4PPEzzBYiQF6P5Hp1+BFoo96keLCGF8ZgAeabPq0sABeiAhNkkhhQR - IpgxGtUo03p0kdV8UhAREZnJctY3wAjcdhiNwEwI3ExFCV0z0wwj8FVmk+KJ0uINsEsuJLp6zQDj - OulygfTIGduzez/888fmQyh9zjOOEanRL3+tGXSSQinFoMwUYyBCKXkYemYed1FAhXgXuGB2sW3p - lls3XvXqjde/YeN1/1W662VoO0w3C3FJ7cxQYptDcz6FCxsbX2f6KuP2n3zvnT/x/jt++IfRdXrs - mKRRDs2c44AQYsug5Uovc7sVBIHK0zFxnnPuGYWXpTEALC/0XON71QpsiOHI7aeEuCY3HyoCVJUA - Nl1c3MbeLhmYbBUFurriGuMmrD8sM495NX++ktXkPK3Z27paaTJmRVAYqZX5cdMz//E/6KNnNgRj - YiswggEg4VXNaxXiXSIFUxKFMWbBLt56fPS2tw0lSx7YjIkIdT8ZRTa6uq2Uc25SayWL6dAkTMYv - ffObHos8MBOBGcwwgilATxD5/FSt1qp+qag4UeyRP/1POP3QdFgkDjAuWWSXDwEAACAASURBVNvY - PsMbeAdWf13LAUgJShEE2NlvPBhrErod+sXaCJnRbGwgNmJaDywzI6J13cHb1M8mtbbLABfFMOxe - uMggmDEoMugqozGvlhLSqEObjIISsErstgOR9pesrddWnxRfsX3s0oY56uWbqIAwGXHXHvb1GkAg - MMhqH4LK7vlzUfWyXXnlmjvnnLs6pLyuRhqTEYwBAoXQZ1y4eN+nPnm8bQ1QtUhMBpCuA73WBgyh - idlgxCXGeTd+0Zu+FxvjPl5t9Y9hLAQjpQN1RyoaQUS0SOGxMr/zrW/qp5vCrS6jxJRWncxS25sG - Mr70c79Jz/Y31xbdOHNu8Sf/qbFipUzasfaCWsmhZaY4ACM24tXK3oytSOee9UopIQRmbtsWwKtf - /eqPf/zjr33t3X2fu64JgQB0XZdSuo4TZNRomVJKXWjNCGdmZs45i0jt0+i67jrmhTvnnHPOuWeT - 9eCR/eYqqQFaf6NCWgO8CWXVC0qH9zCw0Xr8CrPtT0tntG5S8/L5ysEwzjnnnHPOXSUiWo4pMuOn - qXoZY1yHiDvnnHPOOeecc84555xzzjnnnHPOuZtTHeAhItPp1Mzm87mZ1TsKiUhE6h189Ua/q6Wq - gOpy1im89KUv/s3f/I2NjY29vb2aET6bzUIIIYQ61uU6fi/nnHPOOeecc84555xzzjnnnHPOOeec - u8n57OrPMLb+wwCTVaK1CFRX6d2rcXCsgEEMhRGCcdONUQAVLHaxvfu5D91zV9NuDvnEdIrFDBe3 - keL5P/+L+UMPhDKPNlDJsWZSF+3MZmfP4MIF5KHpYsv2AsQvfvgXMCySWcBq8XkBHW7rUn/xIqtw - YgwLfOOBNO8p58g2lF3lngmRwCAYE4hq8DghpBAb5mhmRYY+L+ZkqNFpAGM9j6otg8sBIjA4miWx - uODweJQj3/VKtIlSR2jabqqpBQVQjLFbAAuiEFPdQAeGDK5PBKsBkUMpiADQhKaXwVRx7Ohia9Ic - ObooMldFE/CCk2VrXIKtQgO5Jn7X4GQhCJbRyMIQ3l8AAGMigHPuHz+P8xcBQtCkZXN3709/9h7E - SDVOeACHAGOYwhQEe6L05JsFBzTt6378Pad3ttNoXBSIASeO6bjLRioogDCIFDAFK+hqi6ACJBnO - f+FLGAzzPbBEtueN2r/4pY+gz+h7gMEJFPn/Z+9Ooyy7rjrB//fe59x73xAROU+SUpI1WZKNMVAG - Gw8YozJUdRWrm253f2lWN7VoWC7jAYxdVBWFDRiXXQbXasxQpntBfanuRTWrGz4UBR7AVXbTLhsw - toUl2bItycpUTpExveHee87e/eG+9zJSlkGRzkhFSvu3YoUiXqTeu+8OZ3rnnj9Q0fVfwBFQlCjj - eqondYucIYwoymRMT8qDZ0NQjUaCLoIamTisDBCYwDRVnbZ1BrIVUoFpp7E7RkisSTSzGs2WOu42 - khTSLXAMsEGALu5I2wzkFi0oYX167gMfXNHUj4Y0YcmIivOnzz3yaJWUQaYoCYVptBxIIyMa0Gia - JjVMg4yWl3DHLQde9d2D738tvv3v4MgRDIboV6h6W00yiSplXD58nuKpqnf22JF9b3vL7e/4Jy96 - 589heQXVAHEJcSlpqShSpogSYAZSky/tbQYICWjByYOJnHPfwCIvTQGlWdOhq9/JuvKQEtlELBw+ - YBKyKmwXayQyRBbVLARSC23C2QtiSkS8LXzOQPNE0j1WvBmTERkpsRK60O6uPaakmTUzGzGMyViM - yTizBk1hbe0rH/mTpZyCGpJhfkRACsqXnp4wzUoiRKQAC5pedeRVr8DBA2PhIkgZRAA2ZpARkuZv - vK1PgY2hIKLWMpVxCl5TDO773tUDy2MGDEIgQgYaIOMKs3YXcbNiEIMBZNxrc7xw4cJ/+tjRlPqt - WuZsmmB77ABfGaavex9kKMCYTqbnzxeLB3d5O5TQCvf37wfUuktI2NgU286xXd4Gd+2RgdoWo4ml - VsgAJQKMdvtgGyEOBhDK2wsKJp2/cFeq6x7tDe5122O5iU1ptli1GRSGogzDYd7lDqQqmMEA1BhU - ME1WL8asYvPsVdJtfeRnRXHunHPPNLbAFrpSNrBUdWN/+tHD62u2vlFyCEQpL8rbbmxrVg4bIUho - 2mkEMmha9fKxw9V3fedWpFp2VmHQLBXsyU2JqixTShlIZdzoRbr9luFdd22WVWJZ/C9dbvesO3A1 - +pW9pDeM20c/9FFcvBiRKRsbFxQF1PWoAIWxgfTKui7OuR0xvvS1TVmWMca2rSeTkc31+uXv/M5v - /9B/+4PTuuk+k5pOp21bX7p4rwYRCSHUdd392n2IRkQxRhHJOS9CX5qmuSqv6JxzzjnnnjXIOAAF - UAJF95mpAoBCMxTczRIyUAIpCOjyvHfnywCFKMQgsO4rwqSb0qIWMwpQBCIoZOKMeZi4c84555xz - V2pxV4Lqtf64f3E/RIzR11N2zjnnnHPOOeecc84555xzzjnnnNvL2rYtigJACAFASqnX6xERM3d3 - 9jFzSgnA4ka/pza7M5EvX6RCASUiEQJw8uSJD37w36ysLLdtOxwOe71eSsnMYozdHYIispvv1Tnn - nHPOOeecc84555xzzjnnnHPOOef2lus+2fY5rAs+VpBmIM+yemfLkMplk+mIu8xnAyhhtIVp/cj7 - fvnEpKULq7q5kS+uxRiR23Mf/tD01CkajypBYFDSLjKPSQqmdryJM6dByG3qiUyfOHNDWSA3yGky - apnBAoigrQeT8ZFBPwKUFGZnvvTF5RAjUVYjIDG2Il+MfD7y+RjOF+WFslrv9dfLap15TW0royaY - gCMysV3KqmaADZyJldhABCZiVZ7mNFVtinDjd7wIRw+gCGyCaa4nbbu0hCpg0gBM4G6f5JQyyLB9 - yiBvz/6LRWiTZjUGSulR7KGQe37sfzk7GctgGIpoAGJYvvmGmlSKMI9PC4u9buBFyOLXM0CITVNs - U/3o44BBUyBbSs1N/QqjrVmWS4BmaM5AF/Oi2HZk99y6scQQQVHI0tI0pZQyWNCv+ocOJaYEKEyR - lTMxmAJhZ1M2jVAUPJ406dwZnDkFAXJCETbPPHG0bjAZwQxNrRkG1KOJ7NmM86ctNRkQTCb79x0s - ihLZQILeYHvRfVkEnRqbsUANRsgkw8OHQIomIxZpaTAqI0Kh0JQUwjvdQYsAkFlRg22pIosYb4AM - RgChCBp1Wk1HmDSnfv2D8dzF/cI23ayoFVasXzz7118o6iaaakoBEANl5IwmY5IxAZooaTDIBw7u - e9GLj953376XvRw33WS9CkWB4VKraXNrNAJw4MCFsjwVwpeJzh88cPNPv/XuN78Fy8tY2Y9eP/f6 - bTlAUcC4IAlKlcSmyd2bCVFmb2m+N2j2xV5BOue+ETawPSl6zYxmoapE1BJNyLBvWXqVXpPqyAAQ - s6EPwtlzYto1obpNXWz4NdiSK9K18QAApGRgU8zruHkAORvN2lfCtGyKz92vDzw0aBtByrAgJbZV - iwYoGAAZAkNTUlMJYSxYr4rnfd9rzpexjYGI1FLW1sxEIoRz3ll0N4Aooq1mUqqKptXc6+P2W/ov - umcUJQGq33SjbXEGGc8PKLOR6uRoFR/54w/j/MV+q1CTsmxI7XpvAM2RPSktkEUVFy7y1pZ015Vd - jfTCv5EStUF6hw5AlYRnPSrmeVbZ9vuXeN5Q8rTd61hX4IhZTDY9f6EiJqjMGr67fm0poVwagLnN - SiwAKwFMi2w8dwVmlcis30LdNbvYoQZkU5MA4WJl2Xa/yiaAzAiZ2Bg0vrgaU96eCdNVed0PnhXj - nHNXajGCxbOuBJiNy7bpTacPfeiPj7dtqRmAmTE9aQCRFg28REkJItSwPFxPT37v9+DE0Y0iJtrp - kOb2YedugFcNSDkrkwlv1U0cLjdFccOrX326DLWES9sCgLpKgWfVCAC68gZn1HwgtdOHv7T1wIMr - Rmk6CWUBwLpQM0pdR1KJ93D/0blnv9FoNBqN+v1+WZbMLCJ1XS8Phsz8vvf9q9e//kdVMRiWXfzK - VUxhIaKcc7eoR5fV3f0MIITQtq2ZMTO2LQ7inHPOOefcJTT7Rjbv/oKxrZc+xzDG/JPWXfpSMuPu - ZQ2wxUdOBtLZbC6afcpjMGDHnw4655xzzjl3uW741MzMLKVkdg0n+duleSVFUXh0t3POOeecc845 - 55xzzjnnnHPOOefcXhZjNLNugaOcc5ei3d3KV1VVdwdfl+TdPfK0n3g2a7u7MTBnO3x436/92gdu - uukmM+v1y83N9clkAqDX63U3EhZF0bbt1X+HzjnnnHPOOeecc84555xzzjnnnHPOObdXhb/9n7i9 - hBb/maV1oFlEkWDbKqSEwDAwAaltJYJI0Y6R9dHf+GA8ffpwm0Jqi1BhZYDxCBcvjj71lzj9+HJg - zto9AwmZmkGMyTQzcOqLXzxx7wsotdwb9nvl+nQTuUUz7Q32TzLKOktOn/3Fd9+WM+qpjsY8rFCn - dn0dKYOYwWZcSzk5tFQcO3Lw2HFaOQgOaBtktY21+uyF9sL5tLmR6mmTk5iKgM1inr1xI20ZRmBm - yxRzl2WlAFUH9g+OH8Qdt4F1vNX0ewcxEYPc++bXoxeAEolLRptMmCDBgNy9T4PRpdDeeQyxhcBm - ICClXFsuY0AMk+Xh+sbmMGs0IBbl85638aUvTcfTAN4W+zuPAe+itkh5W6pgl2psZkQcDFBsfu3x - 8u470S85WLQgm+uQiNEUvSEIqghBgHTZCTBfN5ZxTeJAnx4jNBJK2ETiONuhfh+jTdSTA/feu/bY - WegUlgE1g81SLMW+Ybj5U1BCnaxXcmVp4zOfXj6wgv4SWJf2rWC0+eX3vu95/+xnqLefDMjolRWg - 13fGjCEEQWuwaGsjZAUzskIRQGzoVnYjmsWUKquBiQyEnBFCMC7Kw4chwGB4YToZ79t/5xtfD2FG - 6PLidnTyEDDL4ujOZ4MxJgCAHoPsUp5Ql1IqyGg3MG5Q8wO/+N7DifpERWohBq2xtoHPPdB79PFS - M4HMmJlVEweQICnVJLS0snzjST5xHEeOQgTcXTkgZtWU2zZKKcuDc2znKK0Nipf/5FsQ+wgCBMSY - FU1GYDZACrIESoCBImDoRwFgQN20ZRG7dwQDjGWRKr93ri7n3F6lXQJnVwp2ud0AESVgEoFhv1xa - MgqKJLu6GKdZAGAmhp5qe3E1wMwyjKnLeTMCGKYAaA/Wj8boKjLq9qEpdZtIAEQBMBkb0AhAWliu - NjbO//Gf3rA2qZqaCGIRaljk7NGsedzt9sBF1gaAluEs2cqLv5VvOTkdDng8DWqt5QyIZRjDCMI7 - WjmVgEixzi2iZBgsaCxSX0/e9z2P/flfpqaxJrNCmACw2RXmOm+rkshYTBQw5D414UsP45N/Pjxy - g/RLREltZnqWpb3O9hgRcVKcOVPWU1FlQM2YxGwX8xQUqIWOHNxvaiLSZCVkMJkakbCpUhejyLPr - zF1vuqL7ScdOFBWw8cSZkohyIgpm2Qw8K0d3iwHF8hLYWigJ52xkBmG12aZ663TnLqvyFPPikVQt - g5lJzIxCAKbFvpUd9E6vCBGggKmAhMjaZnJhVbJyFy6+bWt5R11l55xz25HCug7FpSogU4g5LY+n - k89+Nn314WI0GpZR69YAETI10LwTMO9VKGmTUJZkrU1E1o/tG37/q7dEailgO+hPGVih8xBxAJdK - +JG2sewlhqqi4Zar4ju/HXffMf6rzy83DCh1g/sKAKzo6jGljK7BT6CdN/sZWqAZ1JNH/9PH73nx - t0lv0BKMzMxA3acN2oWodSOHRnoNkyWcczPD4XA6naaUVFVVY4whcF1PDGCyN/7EP7737nve8Ia3 - hICUIIycATzpYu1Kqp11YMyMiLqMl27tjxhjt+SHmfX7/ZSSmdV1XZblVXmnzjnnnHPuWcOAFgqw - AILtTVG+9E+MoSI5skZYUtpRD3unVAlMgIEMDMVivhaZkrIZTGGzCXLOOeecc85987rM7C66W2eP - wK7V5yzUTfMuCiIy1R3OEHfOOeecc84555xzzjnnnHPOOeecc9dOl8ytqiICoCiK7sEY4yJLu7vR - bzqdPr2nvDSBm6AELC31PvCBD9x7770SqK7TaLTZ7/Vyzl1oN4Berycibdv63YLOOeecc84555xz - zjnnnHPOOeecc8655w6P7r7+zFYuJQblDFZAAQETGNvSAOdxIxxCiVTDEnLz0X/+sy9CuX/aMDJY - 0LTY3EAzPfWxj/U3NpYs61SLCDGkBIMJGCxtbktBQRhNJ3j8cT5+Mm9uSsFs+XPv++UX/szP6lRD - xRIEdTpUFXFzDQTev4SNjc0HHliJRUiTpJlDrzYZ3nr78AW34+B+qKWUTWJcWmrbJq4sVyduqtQw - HePCanvq1ObquXprI2gqMmSWqUZKUAITZbUgIhITQMOquP0WPP8OmKK33E/cNhqK/u9/8uM/DEWI - UNFJw0URpUsdAREYl3LabJa9rQQYlIyIhADLqEI0xAapqOI9b3zDmV/91fbUE1VRIDUYLvcOH54+ - 9niPTfK2VLDZwq/zY7Vt4S02aBd7YLkMvaZpdF2xvoWewBjCfcoff897Xv6z74RBCRKRs8ksSVi7 - Yzo7Aa4s+nHXdKciiuqeN7351LvelZIGYvQHUGhVqQFpRHm2z5UysLNoTBiDgAxKxtONyec/13vZ - K2FaT8ZLkdtmev8HfuPen/gpSEQPLPTkrIrrUe7i2yInBBZIRFbMomGfIp3HzIhm/x8zZwlY2QeQ - NrVJGIlg0Ee2zClIUIXsaB3k7vVsftQIwDziGgApkAkKA1RFgdSibf7s3e+5S4e3aiBtCsqoJ8gT - 2BSPPX7h8w8dZEk5G4dEcaQNV6HOiSSsnLihOnkLjhxFrw9hcDCCgRBFhRJpgjUFT4ybItz65jcc - LQP6PcRoyajqZXBSSEQE1CAEdFeMAC2gQEBqTSIRUJbxyWfK9l998Trn3FP5ujrG0EV4m83KDZLE - iqLgft9itJR3tVJSU2Y2VTat2kzjMZlm2GI7u+A6Jd2T6cJstGgyJXQZ3fNWDhnTvEGVGYkRNfem - Uzxx9sz/96nb2gRrwVG4qPNoW7U0e5uiDCBrKxI1t5u5Pbs8fMk/+K/WhMemJRGzCBOIzSi3KbPG - EDXtLCnAsoqIsU7bRiSOkmbGsZf8nfGB5dFk3M9blQIQJgOyXo26hcAMDYLJxtbxMqx+4v898H33 - hapsW7LFSXh9snmWYdcyB+msAUIQCCfF6oVe21BOTNDdP5szoxaW5aVsFCRamsCYiOdNMZ6n7brr - 1PZjx8DsaIppaXRudU1MzZQEmi0DTLvbxTBCGFQAMqgLzDMYmEzRtYXhjdMrx0pYHPFFGCHILBMk - ABaG/V2P7gbUYNBAAiJtal1fR0qy7Uzce9W0c85df4xANgu8nhf+UmYMpu0Df/LRlTaVirZuA0BA - zsaXlb0MzJqgRURqLEK2WI694rtw8sZ1SEYkUt5Zi4AxexEzUpACUIJURWvWNM3+pZVmbU1DiUMH - D373d2184aED0zrarF7ibtRtPhLYJWp3zdGuy7ejqoMMyDbIzWOf+OQ9r7uwfNfSE2nC85QyNrAB - YJ2H/tLsEefcNVXXNTMTkYgwM4DZAhwS6rqOMf7A33vtv//3/+7HfuzHLl7ctKsX/NJ1Q0Ski5YB - kHNePH/3yCLbO+csIn/DsznnnHPOuecUnY2eq3U9yiePpvNsogaVUyk3Y68oTCkbdXO0cNW/dz8y - tOvqKqSbamWExDyKYRwJwot/uosZ4s4555xz7rlh+1Ct6mwSwLWM7gZAgIgQPcX0cuecc84555xz - zjnnnHPOOeecc845t0eYGREB6NK7VTWEQERE1LatiOScuxsMzWwxEeXpIuRsvV54//t/5SXf+R11 - PaVEzOj1eqlpu6ft7g3MOW9tbQ0Gg+7OQeecc84555xzzjnnnHPOOeecc84555x7LvDo7utJt1oo - zdcZzaAMABCwgFPdSoizVUh5lvlbAEhAqtFOHnj3e18M6k1GTAIz5BYh4onVi3/2yWr1wnJVZm0D - wzIUEOpywCmDwMFYU5t7iSYPf7V35CaJYppDDIenCeNWCkYG2gbaSsgJLQlFJYSw8dipg2ZkMMiE - eflbvxV33I4iIBMyh1hqakEci7LeWC/7fcCAArfcFE/eeKBNsLr+6pfz1uTi2TPN+lYVCk1JRIR4 - lMdcltW+fUduvQXHj2DfElQhBUYAx1GUrxTph//0DxAEEGPmfoF8aZVWKBi0Pdtk8RdCt1zWLOEb - CmIAAQIMq0ea6YvLPpqUDVL1h3fceerUE0vC0/G0JxWytZZjJGu6nPEuFfyyuY9sMAYZUpoWKFYg - 9f0PlideKmRAsjYf1BXULWJpzNkQZHHM0W0VqFvXdm8tHstABKCKWG4W1dHpBDCQQMKBu+5c/dzn - eGz7KrRTsCH2islkIjt5C2wouKzTpF+ibrH5yFd7J5+HW27VnKFpmMvy9HlMGixBG+MeNWrF3tpD - OydAMuQkIei0ixxiEIlIN6OWCUSkagCECGYBAKMBxoqVI8dgGVRQY/uWh+drQ46gUHLICt7pziHM - 1iuehamCDKUBpKAMJCADCU2LVrClD/3qr/Xrjee32m83YlaGoa2RWxC1f3n/1le+OhBozgASaBx5 - HAbFgUODwwf7t9+BXoGcEQOYwYKsxCGpQmQS+Hw77R858ODa6qve8Q7EEmCwQAJYqJrFCQWGoFsM - WmEKMhAhBIR5mGtBl8IaZ+s3MzBfP5q2fb+uEcHMDN3MbBiYGZaf6c1y7lnLzMoYNnWKyAmUc1o5 - fmz0mc8v7/4rd8VXyaFs29ETZ1fCU4Yn7d2MYQV4saA+NDMDCMSsJGDNsIAWOtE0DDi40Tz+oY9U - 4wmlOkap2zaCI2K29uufuSv1YWTAJEbceSde/O0jUwERF61pAxhUjJnZmHPOtMMmloLMlNUCMYhy - kMZKK+rn/4Mf+OL/9ts3MQ1I2pREQu62hrDTFVKpCzowgC41WSMjtxhE++yn/suBJx6vlgcAQoyq - z7JbYnSeqWwh2+ajjw41s6oZmDhb3tXmnhJPCNh3gMuYUmJmsJlmGC2aCXutTe6uzCIvmaEEFcPo - 3NlBbgWz+M7IrHl3k50VLIMBgpBIarXHoc1tqxnMMGW7VILv0aJ8r1pUfkazlrCZgS0nJUgQadoa - JHFpabcvZzWwUMpGjJSaXm9w7vQZGMm2FbxhQQmgZ1lJ7pxz15RqirFspxAhErQ5BY79usVXvrL2 - qU/vn04YEEHOkLLUuu5Kf4LCusEkVsoARLsOSlEX/du+7zXrVUSoxGaZ1k9zYwhgm7XlFcisALqS - v9WsQOSYJvUg9JpcnxXc8Pf/7qf+z99LbdbxemnggGmLKgRLRrMQ7dwldhtBMRsl3lH/ggi9lG8c - t2v/4Y+HJ//H2FvKBjNiM7LZUzGQnwXDYs5dt7p1NxZBL2aWcwbApoFABgK+5UUv+L//n9/7n/+n - f/TlLz8GIASkPMuAIaIQYts+xSDJ32yRyb14ZLGuRxfpvX3JD97xBwzOuWcnVe0KhK4M6T6NsmuZ - SeWcc9+EbqWz7ntXfC2+P9Obdv3phta7H41AixkXBp79IQAFivLCYOmRJo0CBSRQIoMRrvp3AGws - BlYAnHg2CqtkSbBalhd7PcQCqiBkNWY/6M4559wu2t7Q6kaWFs2wZ3rTnLtqRKRpGmY2oFvOmIF0 - TcZIiBlZDVhZXooxNk1TxmjZry/nnHPOOeecc84555xzzjnnnHPOuWfY4habLpY7xtj93E2g6h7p - btlbzKfq7u97mnOriqJomkZEVM3MqFuTkPHe9773Va96VUophEBkgbie1iLSbQ8zd7O5Qgie2+2c - c84555xzzjnnnHPOOeecc84555x7TvHo7uvMIoejy+/rUuwIbE0uY4R20c6KwBlo6kmhFSyjTp99 - 37+6Lecw2ipDQGpAhKLCgw+e+cxnVkbjKhTttGaAAF2kCRoD3GUDqmoAglpzbrU3GmPYt8oYti/b - r7/2B17/sU9I6IHsw+/5l/c0I6EcpMC0wdnVcppzPeXAtYblO27H7TejH9FmxLIlReRUVamZRkO1 - NEC2ejIq9x/I06kwoywxsfLue5Fyv55CIsYTXFyHCIDDscBggF4FJpQBZCZlmqQ4XB6zrPbkxf/k - TegJYh/gDIAQBLBZ+vCTAla6SEC7LKtXAZ7l+CrAyMQS5CVvf9v0594T21qWhmlrI+w/EFZWxufO - D5mgSaECQmu07WB1i8MCUILo7AkJYOJkKaQw3VgvL1zAkX1WT5cPHttqW9QNem0CFbGYJzZ3QXGz - tW5nOe5X8dz6phGgqYVESDse9Kf1ZNAkRMJwiBPH6oceXGmhDQggQmqaAAIpdhQtY1wg5qaFoY+8 - 9df3Dw/sr5aGqU5FuXTC+P53/dK9v/BOHvRgJCy2x3bRznTxpUIowmY7PqwZyAgRmmczZPWyfWdm - JJTMrIFE2mJZOXYEZQnLFIqN8aQ5cADMaDOKIIxp3VZlfPqbY0ALMCHQtkvFAFXoFJagCaMthOLT - 7//AoS07lqyY1pUYiDSPYYaiwBMXRp//bHvuAteqEtsibk6mMuwtn7xx/8lbsO8Qyj5yg6KYlWOm - CcRV0ZCkMp6rp6lfPf9NPw3osX4f/T4kQhnGiyM9u0IwLwyhIAMUxLbtumfoZb8t3hRdOiWv45PH - ObfLnlR3EQBTBgOaTWBsxICYBOpXOQRrd/cuBVoko2St2Gy0haxmCjDZrJGjXauGlGA8e2yvWBTH - XdvJunRqI9OuDQeYZUA1DfsFn7+Ac6tnP/npG3KKZG2bY2AkVdKve1YGKbqcPEMOtMH8vNe8Fr1h - qCJyAkImnv+PiwpkZ+uWLioXMoghE4yQEUaxGL78O8//X793dKIYMjsKSwAAIABJREFUtRGhzSkW - QTUh/y3P+ZRmOwfozjgC2hZVgTzJw9g++OGP3nXnXadTXYvtqYN7ZayLcoca6eJkVdVAsPW1qq2D - znYizfPed4kSNJaoCpBszxwiNvYlpK9/3EUpf93jYkDO1DRiRui6c9die4xgUSBidCnyqnt8HqLZ - 9Z6u+2v82mNDmpfwZAB134jMQAA4xyD9YX0Ndm13UlkmgA08nSArzQ7r5aVK14sxP9zOObdzwm3u - xnU554Y19ywPDJsf+ciBi+t9zGpWBaRpFp+OsM0qWgUygw3IUOFpUcWbT5b33n0mFqYcQ0yptp2M - Gc1HhnU+0mRKMAKBWcFmYgSgCXES8/5BdesrXn769//D8VhQ01hCJVdx1wBAMgwijy6sf+0Tn3jB - D//XcRgQqtasSwEH0HWmyMCYjUc75/aItm1DCGbW5RudOHHiD/7gD378x3/84x//ZJ7ndscYU0pX - kNv9t8o5i8yKpKqqptPpVX8J59x1p2maoijMLOccQgCQc/bobufcdafL7fa47qtgsQuNaTbHSTNg - xLHqt5PpAOk48HzwDdCjQNr9KRkEdNPE8rZJLhF4AjiFU+8+euhxYIRQ9crpdHRtPghwzjnnXLfs - 7DO9Fc5dfaq6WN14Y2MD3RTm3W9kErOpMgGG4XDYjR774IxzzjnnnHPOOeecc84555xzzjnn3DOu - S86u67osSwAxxsU9OMzcRW4D6KayX9msqqZpAKgmMyMCAap4xzv+2cu++7vKKo5GIxEyM5awuDfQ - Oeecc84555xzzjnnnHPOOeecc845557LPLr7ekUAgwnd2k4ACxJgQACYE3JrzVIZMJ1i3D74C++5 - KWXopBgUqZ6GCLSGLzy8+bkHitWLDIAg2L6OavcSxICZghTZSoK2abK+idOncdutrBADNP3973kF - WDEeoU3HQrE0pVJK5Aw1PHqqaBJBRrDixBHcdTt6QGyQDRFnpG0HsQFCEffXVlKgNCmrgW2NhRkh - YFIDBUIFbdAvEBhRsDwEMyYTHDyMzS0wo9eH2mQ06u1bEQnnGE8Mwwvf+kYM+ogxN1k4CiEDiaA0 - C6sstscPzyMtedvbv7SjdbZ/DIyigk22QigM2mroDzDVI7fffubsuaWytGk2qHDImhhGPMtW7oLW - chfARkoGMxABQkiJc05bW+0Tp+PhfVQU4/WNgSx95QO/eutb31QuL6WUJATdnjdO8/TuvRZMbSg4 - QhW96sVvfuOZn33HYDCAIK1dDIcO9Y8fS19cAzITjElzDnQp3fzpIADWMtQMkSFqF06f6j3yiNxz - dygrrZtS5cRw8Ge//O6X/tO3WUM0GNLe2kE7lgERQ7MZDi7zmYtISS1zEVKX8TPPquxOUiMoiyGb - GoXYxhJHj6AI07YV1XbY/5a3vxmDCI5qyGlnud3dxtQAAyIgQyIoUIgiZUwNk/bTP/eOm1eWLacb - p9MeJKhWgtzWI50slwGZ8eCDk889qJtbWa0xaUEHbzpx6ORx3HgMTGgVZYHBAK1Mx+NqeWk6Hlf7 - ly+OJ1tmzb7eeNj/1h/9KRQ9GMAlRCAhAWFxkLlbcHkRcj9PbCXNiAYQlAF6qkzWbj/qPIG1u7bi - HrvCnHN7EBuUFGACyEBECjMmhZGRkcThcoohJ8aVxTU/PUSUzYSIci7ZphfXoQko2LYXeYSuqbNX - V+bskuFAsz1lBFYwiA3GZqYxcKjrowr82afy/V8szYhIzEhNWdXU5lFzZBCgqykBKLKJbFGg4zcc - esUrL4TCDNGyUsgEpScF0l1RSKoxSEFKXYa6oRYZnrzxxKtfufV//NHU6opjUM1NYt7xIej+eVe7 - kS2aq2oABBlYNjzwkY/d9YP/zfDY/hq2CCy/Tn19knJ3eEyJSOpz53tt4vkKs7sd3W3gFAX9voLJ - GKRmBrJZ58lgTABIF9vsC0xfZ77uUmEyiAJt0tEYloH5Usq7v66xEoqyytRFSvPsvjizvVtwXydI - eRawajxv7APzOxihZOAcQlgaTnc5Ft0A7XpxBgBilkZb0CTdKTbbnC6y2znn3BVSgDjkNkcREco5 - R9NlzXji9KMf/cjRehJhCRAGZbRmMYjm3FUODFWiTNxFawdgwvxoiW/5h9+fe4PERUlFmtZX8IkK - GZRhpEYKEIxhEBAZsQKmdeDMUCrqXv/Q9776Sx/66KGxWtPYrGujGSYAoDQbb56b1W5PlxESQVJe - IT77tUcv/pdP7vu+V42G5RYRPVWjg70Z4tzu2t7+/Nuv5RBCl/7SNM1wOFxdXe0Nl377d/73X/yF - X/q3//bfxYLa1tq2FaGctSiKbt2Nq7CVzF2eZc6z0a26rkVk8atz7jmrKAoARBRCmEwmIYRuFaFn - erucc+7pWqxuZmaL9O7tzR739BHQjXPm2Sw27aZmMSASm8m0KPrjZnwKaQT9HBB2eeA7b4vrttn0 - ETAQgQgkoAFWgTEix7KeTCsp61x7Heacc87tkkWesZktuo0e4O2eZcxMQkgpmdnq6ioD6Zq8bvcJ - DwAmrKysAOiiu33ys3POOeecc84555xzzjnnnHPOOefcMyuE0LZtWZZmNhqNhsNhdw9Od7Pe4q4c - zFO9U9rZfBPu1pHSREbMiFHqOr/lLW943eted+DgvvHmlsAKiW3bdq/ot/w455xzzjnnnHPOOeec - c84555xzzjnnnEd3X1fssuy+S7ndi6RZgbYNFQjAkIB2gtXNB3/11483thzLaRpPtkb9YYnRGF99 - 7NRf/FVvY7K/v5zH67WhjLAEuxTIMcuKJgBmRGAJOaWKZPTIVwa33gQNlBRNOnBoBfUYZYWcB23u - M2NUgwgs4zNPlGa5jA3p/rvvxvIQnFLToKhWU712YOUFP/lGNC1ihYn9r6/5vh+8776VQR+pzXXD - 2frLw1KzTqemKoFhhiJCBAB6ZR6PpN8HuG0TxYL2La+abbLhxNEXvv5HEAUGkEgRshoL4fLMTCMQ - bd+d3Y7kLgTlsj/QbHdkoAEVg/5qiMPlFdJWm3FRljh6WJb69bgh5ADORtqthUWzGGF5qvmKRICq - gIHMSUenz+676w6UFYOqnMLmOtCinYTYnx8MtlmMF82O+16L7gbQNl2gMmK5VsT9inp9fWllH3Ja - ue228w8/bEywbGaRkRWys2dXsxaAkIDJUhpGWvviQwcPHsCBQ9wbAjmMNk70lrCxRisHKSeIXGEA - 597ADLQJS8O6nrS5BWPaTvsM3X4u6yy92wBVlcDEeZxzceQABgPAEDggclUi0KSd9qqSASVqc4qy - sypAAOuuI0KaZ4gipf/8S++9HXJPHFRr4yZNyqogVuSEGMRoOVZYWz17/xe2Hv3asDZS8PL+47fd - iqPHsNJHNARDEcERFieTDen10srSushGv2oho5WVF/7kTyIQqgrCIEEou5O/m2hslxLatSuyePZY - l9vNBm4BABFK27L65tdPV+bxYiXI6/iMcc5dK12Q8LYMM2UDG8Ck6Fa+ZDawcVxeboWuwVqYii7R - zUrNa2sXkRMJA+B54SjKoOsmacAIZACYOZgZmJK2K1XVnjoPo6/84YduBIWUslGIUrcpBoNeCrWe - h3arEQBVo7YIZ01vetkrcOjIFgerR2W/N0VSZixqAlMyvoKDZZe1GBMZM9BwOJv1jr973/2//3Ed - a5MnBXNWJbsKzTeDKlmIaGoIYahYfvwc/vQTS6/7e+uUk4Vnwb0yl9q8ZGQ6r5+5vnBuX05yrZKM - MyExo9//+mY3PQv2sgMwP5Q6Kzm6prUi53praxbdPYvQY8LulqJGCL0yEyuYibq0GLNZ83XbKecr - mF8BAswIpABgZgyAmAxsMENiioP+btfXRDADE9RABDK18QR1O29RXNbD9zLGOeeumJkpTIRJlSE9 - s/50uvqJ/8znntgHTQlmgIkgZyCbzprzNm/YQ7vOCIJsiUxuOoZXvexCtlapJ5y1ZcQdbxLNcrvJ - Fi9EbAwYmxqhJcoCMm6kwJ239V94z8an/rJPXIjWCeXlQ6jbagueBYE/7eaBEliQM3psh5Af+sM/ - +s6XvaSRSspKads4Ns06Uzt9p865XcXMOee2bWOMm5ubKysrydC27dvf/vabb77553/x3V2DU9UA - XK3cbgCqsyDwLq47xti2LebLgjjnXEdEAHjYrXPuetQ1cranSLorw/PJa13H1aCzYffUEKRpGkgx - Vh1DCwEUWaEIPM/VvrrfMwBSdH1b4tlsF0uiqAACpkACqCi1aQMS8rXJVXTOOeeeo57UW+waYM/U - xji3S4ioW+OYiLa2toiu0af+qgrADMQ0HA67B822Tax2zjnnnHPOOeecc84555xzzjnnnHPPkG6O - es55OBya2XQ6raqKmbvHiWg4HG5tbXX36+2UqgIaJaScTNE0+Yd+6B++4Sdeb2ZbW1vNdFxVlYik - lHyqvHPOOeecc84555xzzjnnnHPOOeecc851PKL0ekOLRU7nFiHTpgblikkzcov1LVzYOP1vfuPE - aDzgXI82JIZ+2cPaJv76gdVPfnppPI6Cph0zhQjYZcuQSpf/SDBGZigDlpIAQWxy4TwurgEiSSUW - GI/+/Fd+BXWD9fUwmQiLwWCG6bQebQE2ys3SDSdw/AaAgSIM9k97+873ll/w1p9B/wAO3oj+Pqzs - e+Off/rmf/nOfW97475//vaHDu9/eP/Ko8u9L0ddXSpoeanNhiyQ3ngyrU1RFI1lSBivrRvTNOIJ - Saf3Fze/8203/+MfRVEglAj9PMkwiFAGMiDQAlpBBWiAGlDMVmrtduN8NTjudmkXqTL7zbooYED4 - zrf+5MbK0hQQYQTB0nD5+A0bKSmBArfWdGGZ30gXA0OErEpCilQwtavruLCBca6qYUlYovwn7/oF - 5BbjKXSW05aBBLVLoXJ7L84rBrBAAJG7fvptT4QoywPLmpsWR44Pj51czwQKpDDuQmt2+gLGwgbS - ZESoDHJuNX3+fjChHkPbofDKxY1H/vUHsL6GtoZe/wEzptgcYVoDCtNKIqYNstIioBQggAEBcVbJ - FpimbV45eSOKqIqA0NZNXdcgrvp9ZGDchhCUdzadNgB9aB+qQFpkxCagtlu53784knqiVhclwep2 - 7SJMsbaOrSn+/KHT//HPzn31a7nfL++85fB9rzz4/a/GvXfh8CFIhThMWmAMbE3rzYsUchNttaQv - D4qbfv5fPO/n/8ULf+4d2H8Yg4OIQ3AfuYQhW05ktAgrIgUlQAUmMDKCAhq6azl3sbWzC9vmxWi3 - 29jA3V+61ZqDIRiCIqhHcjrnnpoSDGzgrm3QhXZ3JQYRZTOQQE2ywQxLg5p2Pe7MyAwAkwGFWdra - AoMv1ROL1ycy2/3NuRIM7bZTtz+WKas20JrMyKSeHk/AX9y/8YUHjoRASpkIxgSQqXTtq21FtxGU - kAkabE1s8/jxwWteMzalIiizMjKrkrIpG0RBduU9I53vVkEWS2SaiOreMt940/Du54+WB5OAqaZC - mHe4Lmr3LnRbq8kApWyk2dAomBHrdHObv/bhj2B9s5dNnlU3zBi6pEOAOUC1Xd8oNFO3Z3b/3iAj - NAb0hgojgxCRGZlBMz9VX1p92dvrzVO298gAtXprTHppuXA1s90fP4lVL5NRV7hlEpNFmKi7QvNK - 0GbtfzBAZoZMdCkTPYGkLHb1EjZCNtpensMMbYNJTTYr6ucjEz5S55xz3wzOZgAzNNVTUR4o48KF - r3z0I0ucApkoIsgSQIGEstrl7W0TMzEQUCvWo9z62u/FoAqDZTKZttOiitt6WE+LXSrhERTRjIwA - mBkbiAxsSTSbcbYEwlL/lte+5hwjF5UyCDAmYnnKZgt22osxNpUgsc6pTFM88BC+8OXhpJVs81Ey - YFu/zNu3zl1DT9nL7Ia+Z2Mmk/G4Xw2KGGE2HA5HoxFyIs0HD+3/7/+H/+43f/MD/X4Ri6vfe2Dm - pmm64PCyLLt1QPRZ8OGLc+6bxsxlWRIRgJxz90OX4e2cc9eFGCOAnHNXdpVl2T3iroABDZAIEJ61 - beefnRGsF5iQQwiAIHADNIQMGFShu/GdoGRdU3o+600F4EyogQbIs2kjLaiuIsyHZZ1zzrldFmMs - yxLbcru96eWeZZg5pdQNj0wmk2s3e8uMRQCY2dLSEhH5UsvOOeecc84555xzzjnnnHPOOeecc3tB - 27ZFUQAIIQBIKfV6vW56CRERUQhha2sLQFmWV3A/DkEDy2KuyCtf+bL3v//9TdPknHNuY4zM3Lat - mTH7XGnnnHPOOeecc84555xzzjnnnHPOOeecA4DwTG+A2ylFl9gHBiCL6FoCAitUuj/WDRJ/6dc/ - uO/8amwaqfpAkhpQxRcfOfMXn6/qvFTGRq1uW2KOzJqVBWaAocuyJaBbrRSAMSYZ/VJS0wZmPPYo - jh4DCdjayejgcBn19PHf+M1+ykAmLmCKs4+zZkUeMQ7fdSeEQSGl1Gh8TOiet70VRYWiMhKTkgvA - EqTEoIecX/q2t6MBplNY+1e/8WunL45Onrx5a3UVUDt8xEgnk8n+4zdcWB8t3/q81ba5aOmFb3s7 - AtAvAViMxDFPVYoyNyolgyDQYN3CsMykBJ7NN1xkYBNsnusLMOZBbWG2CyAEA4MEMX41p+f3q3Z1 - UwIDKG64MX/1MWsbYstAwQTFkxa/6gITuhembucCAiiMTbE1tsdO05Eb67XNsl9E1f1GaBpUfaQu - lhmZuo3VAJlt655a/JygpqpKCBILDHpnitBrUKpyiABVd969+tjpIRoBUgYzQXe2QJgCHK2ZZgV6 - sWqa6Qpj7WuPVp/9TO/bvg1BKLdVm7hoPvsr7/+Wf/ozkAi+jku5nJpgDC4PWtErkaYboSwxmVBS - stkpZfPzFYYAzslMQFVZnDiOGNjUzGS5/3sf+qM3veudBEHToCy2xptFf7DDzVGYEhCJ20WEDxmA - nFsWTXVTFExR8vp6XNmPtfXJE2cf+8KD4ezakSOHjt12F910FMMhckZZtVt1JEYsclkkrpo2WZQm - 0ijQmtoL3vpTJ6sKRuhVDQUDCMQtggDzBRtlluPZXQqzYG7CrHzcvq4yXVbVdddzl+L9DeJTDejK - CvIJx865p2Y0q9bZZk0l7hLNmFVViQAWVc6E4aCB2i5HgSrAjAwjgM1S24DoSRFrRjuMjL52dFuR - bSAFmIzIQESq1hZABCnRqA5cPvKHHzpomkcTRmAp2lQHghmEZ4X3PLtu1mbOjJaxSWnpxS/C7XdM - mcEUy2rS1hYJZKwSlclgO0zgm239rHkGAgMZUBBgyAwLZdNbvu17X/WpT3369l7EZmNmT24gXgkD - qRIsoxKCQbIuU3v24S/lB764dGBfE0PaU03EK8KYVfBG2jV5iQh1004mYmY0a0gbdvXyAsAtM/qV - za8oM+vug3LPFra9faikMmtgohmPMPubGWje6t7FFG0DQlkoeNYMNaNt97/NLopug66ovHrO+Rv3 - EtlslxIRwMkQy+pabBTBDMRdf0qjGba2Ltss8CI+Vj0txjnnrghBiA3aQpNQ2UsZDz5Qf/XhvmZr - wYBQyAbrehxkBCZDl1LNUAWLIguNAk32DY98z3evlmGcqKqqerIWi8LSDjbGgExQUpCSIaixQQUZ - UAIBRF39rgywUks4V/Dhl75Ejh8dfe1xm6AfkXKmyz/HIYMt4sZ30jhhg2hIuQmMIeUDFzfO/ccP - H/627xBDa6wMIyVTELoqyTm3h5AO+kuTyaQow3g8blMaDAaqGkI4ffr08vLyfffd97u/+7s/8iP/ - 6MyZC12z8yq/PhGAuq6Losg5m5kHwDjnzKwrFpqmWTzoQ2fOuetI27YhBFXNOTNzXdcAQggp7aTj - 5xYW08tw2fAsC+rUGEKqJ1REywqgqEIzTjBdzNi66t8Xn5VlACYAwwTQssJ0CgNir2gnGcC0RRnQ - +GF3zjnndk0IoW1bAMzcNb26NWGf6e1y7moiIlVl5jan9fX1azl4SkQGmOHIkSMAzIyJdvT5kXPO - Oeecc84555xzzjnnnHPOOeecu+pijGamqiKSc44xNk3DzCEEIirLMqUkIqraTWXfKWHJs2Uy8dKX - fsdv/dZvjcabRVGYZUACcc455ywi3cStq/rmnHPOOeecc84555xzzjnnnHPOOeecc+66dB2H2j4X - kcIUBO2WFgUbEBgGJED/f/buNdiS66oT/H+tvXfmedxHPaSSLEslyZaNBLInDG2DTXcDNsYGZoae - mODbTERPDEO4H4SRsQ1MDz3d0IAb83QDQdA9QPeH6WnmQ7cHAgYYwDY2dGO3cWOMLRss2Zb1KNXz - Ps7Jx15rzYed59xzq0qyrqxbulVav8i4dercc07uzJO5c2fenfsPKHIlbciGef74D//zW5k2JuOm - m40qCbMZtjM++ufbX/jCptRphKZtItskoRMVYBTJspXBmoQY4GBKJfWQIOUnqxhqsguPPnp8exfH - 1gV9GMU6d5g166Ib4yl2LiIrAl149IlIyLBjt9+GUycMyPU4VeMnke/7396B9Vo4gELIIAWyYhwz - tIdWIcnOrKo2wFNw/q++/3+HKbp2MwbA0DWoKgBoO1Qj9P1GPbodQIpDoHkMLXgmeWNUwcAjFkUo - EZs9QIakAq5QYtoUBAhfNRFLFuFogQBjKKAZIWBt7TXvfOfZH//RaQqQDoFw083Tm2/WRx8VESZk - y4mgiuXg5EMwGKBDHAtgCICJBJCajcwuPvL48a+0OiTASPtjqND3QIvxuGR2CSAAAQFKxkdtXC0D - lCMYwYA+I4VXv/MdX/ynP8KsBEInOHXr5t0v7R/6FBGMIQw+SOScETJDTVGG/FUlgOrAncwfemi8 - eQwvvgOTyWgy5a57aQgfeOcP/u2f/bll0vP1KBIj99jJ65carXoOhF6xPWc1LEJ6ZNiwDMbG1Ksy - 4abbX4S1qYEpGwWcoe6t/++/BzOMMa5AGKVpD0kHTYIjA0CiFXOpFmIAKr3zge964l/8wsaOUN+g - 7UKsz3/4zx576GG17q6771x/zd/AsU3UEX2bO4mjEYzTaIxQQ+Xz21trp198Zr47G09f/cD3HRe9 - fTwedpgQkHOVrGu7qq6ROOccQyU5hxitzwgMgBiCuBx8mbAYEpqGDSVAg1n5hQ0J37wcs5mwl/lp - AFEZRXq5aXpYnnPu6oz2VRDLJNdsmahiQ7JABkzGPfNhZwubgSNUjECqmnMHEzMjEIwXkd28iHw9 - csgAUh7y4QZszGBE5KAUwLBKFJ955MmPfeKlgcRQI+ZsBhbWuBxu1Birn0IwQiZgc/KSb3g9qrEF - 064Ndew6YzYyBAusCAYZstgPnJQqXALwEFAy+UoxQt8A9RSveZWcPiWPPV4zVI1wsAx1MvAi5GCZ - GT9sfoZIEaZEItZyz5/5wB/f+4pXVpupCddxdrcSeH8rtzT+Aljm85y7IUuZoIcZorwsDAXGeEIU - 2Eo6O2h1fFtjJVzHq9uhtAt5/zOAoW87piGvm+Swt7VBiHE1/Y7p8vmywVO7vxxsJSSVzAwEs/Lt - ixGlujrsb9kIzGxZQ4AqzKyigNkuAAOXo8MRPVQ759x1hTlCMkyrGIJF3bn4+fe9/1gzi4KsiOUE - CkkgvfZgqCGsHAMYSuDMuFTz7a/7GtxyUtbWZ7vtpJ5QpEa6QDzkkD0DSjAacsiCWVKQQQjGLIxM - ANQIUWFGwUiIt6p484n1r/zbf/OR//Pf1lQuuQJQBdlKmrYCCjbiYAe4W55A0UIPSyOw4VTbfeGP - P3LzI4+nu++c095hyMqpzeJ69jP/fOfcoco5m9l8Pj958uTO7m7TNGYWQlhfnwIqOb/spfe8973v - fctb3vKxj/1FVcXuOcoeVNXpdLq7uwugjA/ynHysc+7GEELoui6EEGNs25aIPO/WOXcdYeZSa1VV - 1XVdjFFEvB571sgY4HKFm4DlX+xaRagRQ5Vbsa6vq5F1u9jNTFA+vOuhtjybJTODwYgQArRvhIAq - Vd1cQRWPxtZv7/rX7pxzzh2mnDMRhRByzqXpVRKOVf36s7txlEGWy4MzZ86Uvw0x4xps5iJS/qB0 - +vTp8gwRwY7YPQbOOeecc84555xzzjnnnHPOOeeccy88RFQ6SpWOJVVVlSfrui5x3bTioOnaJbc7 - pfDyl9/znve8h5mzGKAQNTMJYrBSAPOeJM4555xzzjnnnHPOOeecc84555xzzjkH4IUYSmr7p6d+ - 1Zd+ewnIgMIUtnj8rEsF2BDIva94Bt1f2JIjVvLsdFlUA7p2dwwLbYem//hP/fQdKW10bbe7vVZH - XLoIUXz0vzSffXiSjXOWPqspq5ENAbdiWBluXwFV0mXSpCpGo5CzEQFqzdYWzp+DalCOoFHXXPzF - 93DXdjvbgCEE9NJduGQmbcSxl30FlCyFnPjJLE+mGnVCTMbRAPQGAlJJ0mUgZcSwuQEGasIoaZUw - ru3YhoxHmExx/AQmY0zWsHkMaxMcP27TcTceS5X6VFuIAhZwHSoFZp0C4JJZOXw5i2Df1Q2ALvu3 - PGIqEb8rqyWGCDACI/FFy1qlbIRQYbI2vvlUDhAxZkCNaHjjMnrwshFnVREYCjATiYxD6C5ewNkz - YELfjzhsZvvET/00AqC5lJbBe3kpfMDgx2tEDZYzMIoYjcFhNh1fhPYlIZkxvfdl8xgyUYiV9KYE - IzzDQFMlhICuQ6hCXVXz3KXAzVzGAXxha/dTD0IymhmaWcpa7ey8fLqG+RxtC8nLneUp9n79knXC - c8KW/xhWHwog0H2/LTVMAJrmX73524+PN9CplaiepiUyIhARynjDy08jgEjiiF50B0zFFLCuSmen - I2xOwWy5g5lIR0A8eP1fcu5gDEEEqOxUgVHHJ7pZH7jppBTixKlTX/WqV7ziW79l/b6X4/gErCJ9 - X41pst5S2uK4VdUXzZ6oKrr7zpvf8t1f9Y9+8NXf/06MJjhob+26AAAgAElEQVR+DOM6h4RqBA5l - JlUVATPtQyQjDSmqCMW4WPCrLUjZtIZq2Ra1dClxCUBdee3K9374W4Fz7sagtBKeupedRooSC2oA - E5hR1UrXIoaTmWEgNoOSWqmryQikAIxs0RKiZx4yd+2QlpVGOhSPDICKiQVWmInyXDYU8z/5o7VL - 5zGb1wwK6NFWo5QFRhABAyBVsCDaIky5Z+xWjBedrl79qrMsbY1WOiVUMQVlNhjKIQMojWK7PDf6 - GVBAyRhGBhbi8oEphJ0+49ab7nrjN15Qa5RC2LfyjSCMzJe3hfb/9yrfFxnIEIxFeitxgGaT3J/9 - 4Ifw5IWRXBEvQFq2BCUGIsCEYcOko7c9DOc5pKWhqMQMBEOSjGZm2tni/IeI7PCP28Sh7MgCgzEU - BAQaEpX3790+qPR1ae80noxNF1WmIvdsKOHdOmQ8HzZmjmoocZlGCi4N/P3p8KR7P93TWNRvxqpk - BCMbKhYqFbWZQYjNiDQEStVhXyIzs6HyWFQdITK6DqSAspUvXIzEhhP+I1dFO+fc84IW0zOkmkUk - q1TMo2YWzj358Ic+eEKkKtUro0dPMVBg1dL+XwmsLv+SziOfGVe3fuu3NTzaUUyOH99utkIIz6LJ - N5xrGMigIKO9Gt6WjX+1oCAyC1FSdcFQvemNj48S1kaSEYZThiEynG3vlOGg55oGGKxCyi3mc2zG - tLa9c/aDf3xsPqtFARZiYYCUoWx68JMj59yhMU5VMLPRaDSbzUSkqqqUEhGZWd/3MUZiu+Xmm//l - v/zlb//WN3b9c5Y9SES7u7vl7xFFGSjEOeeA4YKJiLRtW1WVD+XjnLseMXPJ7c45M/sVuS9XWEyl - +WgAB+QeuZlBBbDczesQCTADzA5tWnS9MZgZTEvHHAMpkELoulwuFmvTSD6a/a+cc865Gwoz55xj - jF3XeaPL3ZBUtYpJzFT1/MULAAxYdC+6Sr/x5woTl+7nBNxyyy3l4szq5VznnHPOOeecc84555xz - zjnnnHPOOfe8WN5lU9K7c84AiCiE0LZties2s+W9OQf9/BgZwC233PQrv/J/rK+vG2RtbVL6xi/n - W2YtIt6fxDnnnHPOOeecc84555xzzjnnnHPOOefwgssBKmm4ezHbuhfZC+wN3DlkvcIWbzGoLVO6 - VaErod2qUIEK0ANy0PQOWyaFGXogYzH7XHK8VVcmAyMTLCoYJbvZMpmS5IR+vYpoeuzkT/7znzwt - xttna2qp3eLEuNTJ+z986a8fgknPrcRMlkcEMkCGjUDNAi+iRKgH9SAZcq0MFSHPpbJUgUmpJt75 - 9F+i76DVqMEk97W2HJQqBilyxk7bn7uQWWljDZunENY4cG/tYxN+5Q+8A8rIiDDrW60NqWQIIgAj - 8JCXVQMJ4CG9l0oYANPwFDFKijYNwd8MRAJRYHC9ePe45r1kF2ZERmCAeS+7lwEuqVgBSHvJ3gCQ - wHF4MQ+xWaUrJAOkOLl5KUWrptAKVR1Pn7YUQkRJNQYCgcl4JRVYaYjjAhsCYAoGTJVh3OexSfOF - z0DmAJiqjabfnDfoZmABkGeIsIQhiHLYPo9SZ0iCRij1XUiLQO4QXvbAA+fW1/o6CWUkwUa9fvrO - frymagwYkAnZULK1KQQK4bIOpLaI92YDZdQMEemkSwxVrQicsTkaXXj0cXzi4xCB9kR9SqHu+0d+ - +mfw+OPoWusakX5l113Zx8uOLIoyY3vqabVUBx86zQAdapJFTg6gKDu+Zqgsa6S9NG7BmL71Td9s - O9tBQ0pTSDdvtkUETCAzAxsFDVTiWsUQa0yP40V3IASzPtfpsRjuf8cPYLKOwMQM5EAcwIxwoM3H - wIIoJfJ7sb8M3xDSb/7O788RchoZB0Bx0zpO34rKMI1IhDoipl5E6tEF8FY9eXQ0+evNjVv+8Q/d - 9b0PYPMYJhsY16iHD49VAABipAQOoAQE4kQIVGq/GACAGTRUhnujP9MQbE8AlZ0XAZRAqez7e0tN - exPt+x9jb7qeGaDGBDKEEEpSoKou96llztO1yRV27oZRjuMENVKlEtjMRmwEUkvElnti68hmEKyv - Z8AOuT4hQt8qA4GG8GlszcZcDSHiyKBcmnbl5UctvVtIlTQYR2W2RBYYAhKrKJOyykR5fc64MHvo - d997a3txImwasnYVkTZtYojCAojQKyRS5iCosiGNsS04N7ntnjf995ZIjvMTthOOj9vdnSliksCa - MnMbkMPQ2AgHXzmhtO4AICqSIWQmJWWShuVcslvf/C3bayf70fq2KMe99puC28BtYFnWyUNY9b5a - GgBIafg2V9qTkVvAgomCiKZ9f8+lC/jN/zBtOwQYk9Ii8dcykSqxWVJLZMwQRs8GNj566d0MwEiF - VMsaMY6q477rzj4ZRGKEKhjMhkCHmxZmwHRjE10vwSwQwIkTeglmi/M+NoJxNs5+ML0eCUOIhjoc - mSAlqBJ9E02gZoRsAGkALSrVQ6QIREFMNZiw9JrZEI0MJc0Tw6kMKa77puq1wQoWUqPS4FUDC1EW - C5yE1NhUM7Fls3p9/bD34kCce0kBukhR1HKGSkLIQSkoQJ1xBwAWD7c0zjl3nSAgKAdltqEdrQQl - XU4gxcp/jTREZO2qyRo1/Waz9YXf/o3bu359N8ceTDAFM+bYbrhNzEE5cmhNuRobKBu4GveGWZXS - K1+Jl39VPzrVy3hLZnEapO8moT5Qe2B5KZiMjagv7f9yZdrABhjDOCAQkRIUFqnaVsKdt5/8ljec - k54DyvkCIwRLrLz85KSSNB/o8KVkOfRz9ARMA6zv16T53G/8h7C7symqqh1gKeXcVolNVfXAUeXO - uYPQK6YrGA8T0PV9SJxF1CyEICJlVI7cduuTaTefJSbN3ambT/7kT7zrgbf+gxiGK951qpaXvrn8 - 6eqK84mnGXmjzKX87Psez2ocEOfcjWq1tdB13fNYEuecexZKJVZ+lnHQvJ3z5Sg961Z70ZUudJKX - vW609IKbSc7LLnmHN630Cip9cwyiUAEaGYoGaaEy/N4555xzh6k0tEqja7UZ5twNI3Lous4Msaof - f+JJAQyU5TnrZbJ3NwRWujsDqlqnKgIMvOiWW82M2buTOOecc84555xzzjnnnHPOOeecc849/8od - eWXMNyKKMa6mdC9Du83sqTtTMcDMEeAQUl2Py7NERISc9dZTm//ql3/p+Ob6qIps2s7m46oWEWaG - sS1G0CgZ4Ye+wM4555xzzjnnnHPOOeecc84555xzzjl35L0gR+dZBOPtDWJ0xZD0Zb2s5nAoyuD4 - i6mkPhMPUbEEYBkgcnC2/LH6jJZ/GcpDFi3ABMN8Pm+lV6CTDpphRk3GuS1st5/66Z+9pct67sxG - HdHsTjc28dAj8pGPdY8+PgEiIZtk05L/NyysIZTkL9tL/iDokBe4GNI0AiRDd79gGuYNzp1HJ8G4 - Vkkmpn3WDDIwcGl7ykEjnzh9B6br4GBta4Hb9SnqgJCQDVlTCsboYULDCh8mQKACvUpfv2HN7/sG - V/9HQATiZcNUlV8wgxklu/eyDYCuGNaqZMMsnyTAGESWswGI4Z6/+z/s1GmnM1ANMNYm05tv6mgI - B87SX3X/Wi7jFYulyeTiFx5CswtRa9qgtCb26G/8P2hmAOII0QAp43gx8f5AxyPCLKZAhqYRMqAe - IdCZOrQUJBtSxLiqX3n/FmzW66iqzcCMGBEimElEVGQ1gLEs4zJBjTFsX+VX5Xk25Hnzosn43F8/ - hC98HpKhgMlIZXzh/F///C9gNiezwGQlfl2GPbmMFGzLPZquxVCAwzwIsGFZhsUBcNkGb4B1UGEY - jSekhj5DdffChRhIpeR0InLNnIjZzAyWjSe3344U0XWcqhkwTxWq2rhGybZULCubg/alpeU2zYCB - ytIERqT/9ff/YJ5qrUZdrxhNUFUIQUOVLXaxmnOcp2p3Onki8NaJzdvf+fZ7f/CdX/P2t2J9jDpp - XUlKu9n2Z5QudvbLp/0voMseXomHuhqLff8Zuf5zu51zh4mhXOrTy6sVBhBAAJQgDHAwPtxo4YIW - TSmUOzeUTBbtDTKyZQTUka7cDAxjG2p1bXNrJoE4NPlWrrc/8Mf1+TOTXtMyspYUpGzDspkhlFtW - oAau0qTZRdyod0+eil/79e24utjuoIq9yaQeSZuDRjYu35TQ0PQ9aIOeDWQ6BPoal2y+0qgmFarT - dmTccsupr37VuRBjHZdxrQCMrnYs3t/4GRbzspcYyGA5VwAzK0BEaxwmF7cf+dCHkPs4a0NWMxIY - EVWBYWZgo5V5HFVlu1XAaGgbkHFQVKoh9wzAcC12qr0CBfCwkTxVC0GBgzes3NGwV48PkdjD7izC - YrQ/G/4a7DnMbEylMMZmpAwyI6WhpAQzMj3sCPEbSDltVFI2ZYMSFFxyvEF7+YhCMOJrtVq53NU4 - 3N9ouZSBjMnKZRdZVDVH+qjtnHPX0mWHPlu5VHjZAzJIn6eTyaXti6MAXLz0xfe/f213PgXC6ocQ - 2JSMgpHmfgSyrjdQxbFp51SnC2Yv/+Zv7qfTHUWIoxCCSM8gzQdOcVumd8NYCLI4CxieXF0uQAld - 005P3nQOevc3f+PueDQnUIKpYnkFTPfanjRkkT1jpKDyNwCCQA219utnz+FD/3HUzKvAAutzjiHk - tgmBQrimTW/n3LOTUmqahpmZWaSf72wfO7bxlu/+rh/7sR+NkbGSQMnE9hRnrz7yhnPOOeecey6o - DdNegjawfKSlV0y5Bnot7LVydTF33SsVFMiA+l94nHPOOefcl2+ZmW1mT5x9svTmWv72KsHbzwkC - AbnvyqeeOnVKVRl46tGcnXPOOeecc84555xzzjnnnHPOOefcNcLM5c6+Za+SL33T7tV6lahqCEFE - 2nYOIKXEzGY4cWz8S7/0i/fd9xWjUdU0sxINvryX0DnnnHPOOeecc84555xzzjnnnHPOOefclV5g - OUAljalMy9QMWgwYSnv5r7SaCDLk/MJoLz1WwBmcwULRQhROGUkQ7ctYpQEIWMyeAYYBBCYwGZMx - bBg+f3M8HoW6B/cxWmDkjK3259/wXz/8j//Ji2ezsHNhc1JBDGGCv/pi918+ufW5z4W+T0ymmdRK - 570ho8MQyqTgq0VKr6y8vQes0s128fhjMAETzEg0GrEOaxlnz5jZjigdPwbLGNUUkoA6JuxsIwAp - GJfg5KvbSys/agKDgJSwvn6e6djmCWSFGlKV7r57KwAJqtBFovszx4aJBfvsw2Ci0QiB61Q/9okH - 0WfM5mDAuAoVI/TSq4KP2O5r4EwhgyAYpzBsrhvj1739+zqhiifIBjMEOXHPXWFjbadrYWCBKsyg - BAEUoEXv0r0YnmWm+2IrDbZv02HA2q7q8tmP/QWevIisyBI0T1ROqH3yJ34K2y3Euq4nRQSRZkKW - YRReAIwABAXp/lpg/7TiWYyctsy9ziV4T4EMEsSSMwqmUs8whjhKBcTQS2RG1zITpAPz7hNPVBS0 - jGUc2Cyb9mraGwLVmI7p/pfgprVcJ+FI1dS4HpJEicEMIlh4FkMhkw1raGXJWUCIwCRhwudJG6CO - a9jJZlFRsU6DbYqtz+L0TFX/8h/+/h0/+MDLv/97sMGYGm6a9jX6mjUEAOOYjuL+7pxzByFmIFqG - LjMzAoMPvXorA30uR/s0M6iqDkHd1yyJ9Fkrx0ch5KDCqgRYgHEiEBTGtSgubT/8B3/A854JJYl1 - GXSnhJKYTYQUkEyD9kKS2bJhJvyS130t7rhlbpmJJrHSpjNRZi55rvsD81RJn/0KW7yXDcGgxL0Z - wBiPbv+mr7+4VueqkpLYTQAQTJMi6cqphwF2eZGecm5EMbCqGqCqzBwIF774yPxPP3ybWJ1Rx9qA - bJmMWWhYXmTAAFbEEmdrV0SDHxH7zsgAADnvJZ8PIeuHny1GMYC8kXLjo71dr5zwq5lhUbUug5YP - uxjMXOZS5m5My3MiXak93DNH+ytVXmZmE8TMhu/XiOjaHK8JtLppiSr23frIuFrt55xzL3BGUIbS - kGwNlOxpZhuumZcpGAdjNmZFAIWKR9bJB/9TeuRsanusHEnZEBVRlwcICyF2lsEEUgFmXI1uv+PY - a18zCxCWBEmdxRw4ht70UA/HbAjEbdvaqK7uu7c+fed8nJoekUAr0WbLIhz0iMGGpFozTAlMBlSC - 0cXZF/7wj4L0nJsRc+LAxmZkYPH4MueuB8ycczaztm1Ho9FoNNra2hqNRn/n73zHv/k3v/aiF90s - KgCqKqrlukqL2ELnnHPOOeecc84555xzz40yRHJ58Mgjj1yz+TIN9xIwcMcddwy9TQ6/P5Vzzjnn - nHPOOeecc84555xzzjnnnHt6OecQgqoCUNXxePylO3U8xe9TSoDGGGOMfd+LyHStfs973nP//ff3 - fU9EIpJzrqrquV4I55xzzjnnnHPOOeecc84555xzzjnnnLuhHLHs32visgHpr0jmVRgWI9fr8IrF - mioJ31KSQkp6Fp4uf/oAhvhelLxuBAUvQoWH0O7yK0PXSp/NBLApInWCWbP1q7/2nV/z1XdVaV3z - Zh25aXBpG0+eu/SnH51/8fEpqAps0is0EuJla2B/YvdVM6JKoYZcFBAZtGu3n3gcKmBAjbImQiIG - BYhgd25m1bENvPRupDDf3eq6bLH++r/3Fpw4DmYtSQJgAe1FXC/zmO1IhnYTYEQc550gMKr6q9/2 - 9icuXITZkD59+4vzdK0LQYCE9Cyiu2V7Z+fxMwDy1qW+6bTrb+IKYIwiAKhBmMEpJCaYPidb3nNJ - scidFgDogayGVOXxulQjxAoANtYmr7gvT8YdBQ6BGVBkgQEciADo04VGLKPXVlduICLR1GuYtWf/ - 80exs4Ouq1TGIY7a7rYsf/mT78ZsPiGGCkhhBkhAJkCATBCwgO2aVImyrIUW9UgABwBgBQ+Z3ASY - otP3/di7ZNYCgAmqhDNPjHpBFgI4QqHZcoYYBQuhq+LGS+9CFS5tXwxx1GR6rO2/6oEHQIH2arII - ZlvM/GCWe+jK2hewsCKFr37b27azoZogTXdSujgaPTnZeGyy9uTmxsnvfeAl3/d9/+j978dkjHGN - 8ait6wZREBQB4Ny2L8SjkXPuxqVDKidhEcJ6ePaOjHutAoaZDsdTxpFsVa0qJReGEIQw5OaCYgxk - gizHlfCxj/Wf+vTYGACoJNjqVVqwAlJhGFi2+5am9c5ofOubv2nWbzfMozSiuYwsqgLMungjLSLA - 9eCxuCU7UGkv9YqMg4GNQdRn5VjtiOBV91X3vfRCXhx/V9K7g34Z8epqlrVisCF3/aiKGxQ+/t7f - CL1Ufa+qICUiE8CIDGxKEEZWBCMy4GjmdtPKaSovfpLtRXcTwa5VO5iZwaRmSlAMG8mwCV6bErhD - xkMFstcaNQCqq/e8mV2jTa5Ed5tZyRYtRxClZUndc2b5/RJRCYIlPvQ1fGWTwMwW132GQNnlEYHw - ZRwdnHPuBrJ6EX7V8tog276JgEi1NP3UJOzufPK3fvcOilNOsviIoR2uCMoEA4wBs0xEFLgRDWvj - x5nuef3rsb65Cw0VmbTojY1DiHroTQIdVdXObDeMx4jppa//pjOjug/EIEM25NXreUpQogM1EsgA - taAgs3LsC6C1LOc++SAeebTe2q5EQwhZjUIFQJ/2Oq1z7ogoY2qMx2NV7fuemcuTzPza1772V3/1 - V++55y4AXZcBtF37/JbWOeecc84555xzzjnnbjzLTght2z755JNEULsWf2RZ9kM4cfzEiRMnSreT - w+6v6JxzzjnnnHPOOeecc84555xzzjnnvqQYh8E+zayu67ZtmTmldNDPYeammVVVlXPOOY9GFYB3 - vetdr3/960tu9+7u7nQ6JaKmaZ7jZXDOOeecc84555xzzjnnnHPOOeecc865G8sLLvyJDMFAujct - UrF1b8LiJxSkJUZ6dRCjkk6cgAREQzRERVTEZxM4zctvgQxhCAtXo5IIzsAiomQY00mREFKsKEyN - wm6Hc1t/9eM/0T/y2Vs2Kum2u9kWcgcRtN35978fFy4k6WMw0y5DIlAToUPYH9dtBGGUnMO9rcL2 - fosyupMZAQyNMM4yv3QJWxdgCmImYjE2BQWAm50tM0ubx9C1bTtP41G1vrHTZ6gBhshc1xSqDHS5 - w1NsiEdw4ChjMqCqQp+BqgZxOnYcqTICyDBZXzt9x06nxAFEB013C4rj9Wj+5FlcuBCn01SPxvVk - vc0f/6mfhDSW52AGuM/9Ud5vzYY9pGNYSjGMkMOL3/o9jwRIiDmX1PN4/N6Xy+ZaZgLHGJgI2UwJ - xsi2b71dFi2/fKbk8Sxny7ARUeg72pld/NP/jJzRK3qlrltvZ3e2s4//0A9haxsqrSmYYBzNavQG - dEPU+LBe7YrpuRXKnJa7moIUoXy7i0kIMMN2cxtVJzfWu7ZlMsx38Nhja0QQoUVsJAMpMAVuA5+t - Cadvw3S0NlqjlqtqY/fmE5gmRAYImSFAgDB0fyjmM8WKoJkwxGYqB+OAEKgCEhB0sr5lfIHDpdHk - kbXJzf/kHbf90AOnf+jtuHkDx4+hqmARXMNShYp6SYaQNRrqkA5lXTvn3OHYOzbZUJXqan4aL5ow - RKCSj3zY5eHVVlPJfL0qw4GjqQ8bAWwMcGbNQY2GxiIsZjIzHYulprv0O7976tKlNWGV8j4FYGAl - 2CK/XAUKqJbjk0mkS1zf/nWvw0tvfzyJjmrLrE23luoQQi+KReBfWSXP0cphAEHBCuZoHEKods1w - cuPOb/5b54ilGgtBF3NlA0PJeMgcpGHrurL9cyUxUzMGYqqYSAUQrdvOHvwMPvGXE9WmmUGtitEQ - wAlAye0GVIgFUbiEjl83ctsREcr6uVYz5RhAZDTsWd5aucFc0R7mYZcwWw3mvJZjGn+JusgIIBjB - mOwonxceCcsM1+V0JV1e7gjh2pauuEqhrmUV55xz1wUjNVIlKC0jupmMYVwe0HBYZIBhDHASbOzO - 819+Mn/2s5Ot7TGHcojXIe4auOIcKgaCiQJbTFu33RK/8RvmKo1aZEB65ijGmiXQoR9/RWQyWdvu - +1lgesM3XTh5c67HYiYswlLaCfZUB7ZnYNGwMSAoYLlfI5pc2n74d/+/W7PFvuslI6beEEIKflBy - 7npARKqqqqPRyMy6rqvruqqiWm6a+cteds+/+3f/12te89UoV6p8t3bOOeecc84555xzzrnnHJOY - GuHchfNdztdstqICwIDTp09jkeTt0d3OOeecc84555xzzjnnnHPOOeecc8+7vu8BEFGMUURCCKpa - nnzGykBKmYi6rguBmNG03c/87Lu//du/7fz585ubmwBSSqpqZiEEZh+HxDnnnHPOOeecc84555xz - zjnnnHPOOeeeknexWmIA+zKdlgEYTx1ot0htXPx81tGzwxBJupzdMqqbl59fXiMZzKZZtnfQtdjd - PfMrv3ZiNt/QjG6mfVOxQg2Pn3ny93633tkdW06mqgLSAAQC1GIpLw3T1VfHIixKV16gpgDILAKJ - CF2/84XPo2kRIohhYlkAAsL2pa1QpZvvuhvjSTWtuU4Xu37GEeOxRe5FMkjBDK5jvUhHe1ar7hoy - oM3Sl4zJyBkJ40lTp21plAmpgtjmXffkVFFKvclBo7sJOm+bY1W99elPY2cbITS7s7C7e0IN2pFI - yVsOIYmKqRIfrXjz8j32uWzG6CS3ue87YDTGtN4+ubkriGkiWTAa4e47w/GTuyJNn41CjAEKiEVi - ftotc2k10tIMFKjXfmNU02xXzp3v/uzP0fUQq8xs++I0t/ePq4d/7mfQNTUW6eIKGALytYxoYyCW - qqNEZy/qmbIstC8ONsPsWFaZN1UdUVdI8cLnP4dmFkDMSRUiCAlK2uQ8N7r5vq/ATZswBA65s22x - V731rQhA4OXGKAQZlv+ASkogIOW7HmJOGcpAAEeM6ksba5+v+VPU3/6Ot77y+9+G9bFsTjGZYDJF - jBhNMJ6Aq65XQqzTKFCMISILiGFHvgpwzrl9+KoN6TLwpZnJ89SyMQBMRASmlYMpP1WBjw4D60oJ - hdHmnAg3gfDZh8589MMnpavUFgdOGEEXOco8HD0pBC4RyypZQ/V4jMff/KYZW7+x1gIATdKob7PZ - 3sphAxYBfvsi2J8ZhuKKNVvydLusIVZ9VqlG50jXvu419OLbtjnl5V0udEVEtx3gayKAy/bWdhBL - DAJS053a3j33W781CpimkIhzr62ZMgXTYFrmZ2A7eFvg2rhy+cszRLS8AYn28gYPd0dTAocAopLb - Daby5HL7WZ09e1vmRlIq0vLQAIBBdsjtVSWU2+H2tvDFHK88OTpKJ4LXseGoTQARDvkWRDKQGi+S - uct2ZUwIyxR2BvZ+5ZxzbmkI215Bw9EZuMolbha1kWJN6DO/+dsnum6MrH17RS2/vPSvAjCBVLNq - TPGsyPGvew1O39aMRpRSzn0gi1UycM4aiOiQK2rpO47RmNvxGKduOfW6157NpsxaLsItGgblzOXZ - HDWW60q1/Eiaj2n+4h+8H/NmlPucu1SN2k6NyinDkT6RdM4BiDGqatu2AEIIpZV76dKlGHk8qdXy - ZDL51//6177zO/+7EK5+GZ6IPMrFOeecc84555xzzjnnnjVmNjMze/jhh8szdm0jtO+9996+bRlU - ep5cs/k655xzzjnnnHPOOeecc84555xzzrmrSikBYGZVxWL8kPLkQZXBMDhADd/7vf/gO77jv53P - dtbWJk3TNE2TUhKRvu/ruvb7BJ1zzjnnnHPOOeecc84555xzzjnnnHPuabzgcheMIATjlYmWExvY - wLKYFgMXqUFlyPIbQv0EKlAjBQ3PgxWsB03Qosse2eLTFs8RhojfUlqkERDIcqwJs51P/MxPtY9+ - rpI5S9fPZmlcoR7hU3915gMfXOualFtILglRSsaRzL6BRdYAACAASURBVCAKjtCS4MtD8hwZB0VY - FJ+Gme0rp5kZjEpUr0oiqjRvPfoYdnYgUnLriAhmaBpiy6YYT0BsZhcuXqSN9fve/g6AwZFDBYRO - umwl9ffqq+0IDhzFMRgQgGAQAEov/q6/K8fWcjAEUjCO3XTillua3ANkBw5/xDglaWeXHv0iDGAm - ts0Qxm2L+Q4YyJIVTEwGCnzU4toMyIAGggKiaxzXYop1tBR1wve//R8KJ1BNYJgh64mvfXV98mQD - zHPPCBgCrflpun4uknj28kdL4CUxerGUwryZb9RVaJqtzz6Mv34YlpkRxiM0Mz1/5qb51k/8zb+N - SzMoMjEoQBFN6pX07qtudXbl81d56hkhAKowNYKUHO8wfCCVz1TANCBD5S9/4t3HBNI2UEHX4eGH - ZL6bIgUQiFgRDCD0GRm0dtNNo9O3Y319Pp81bafTyW49QpUwmgAMAgIsDrnlEQiXxx49I7qsq7B4 - RGxKCAEV/Y3v+3v3/8g7XvuzP4ybJ31U4xS4VuH5XBDSzqxvBRnM1agHOkAAI3SSwUMWpnPOXSf2 - mkmrKXE2RMrtawBcs6EwzWDLORPxSgTpXqOWoEex/b+3xgggqAIG7pkThcnuzmPve1/YuRBNyIyZ - 93K7aTXGjwkEBCGIIRk62PQV9+Mr7zsXIXXKoqRU1+OctVOhFDHkpyqbLr/HZ7F2eJEdqIsUvfJp - qkpEOWsf41adcOqmu77xb52tQma6ImBPbYgkHBJdn9F8F02mDBgQidmwQXzTrDn74Y/gkc9tBAqK - Xq0nEkIwjaoAhJfb6pHGdvmKEBHg6jFjh1gMZnyp1bUspwfuXnfK2URRTvWHeogiQKYEgAhlbzvs - qHgAywGUyzmRmun+Q8zR33OPFHqKSw1XeeXhR3djcVGlbE9mAJiYEcKXep9zzjk1Wp4yMBmXy4J6 - tckICFw1DR58ePdPPjLN81AFgQQKZODSyFSmlZZmeaQKBfqU7OSp217/DVvTcV+NY0iqakTZspEy - RVMc9p9UiKhpGq7TPKVzzPd8y5tk81iOyVZmLAQjsBEdvDAKIIDBosKhNDnyxPrpY0/gAx/a0BzY - ADBzztnbHs5dF3LOKaWqqvq+77oOQEppY2OjaZqcu5y7yXQUE//Ij/zw2972troOIRDzvswYz3Fx - zjnnnHPOOeecc865L4cRjEhVH3zwQSx6N+31KjzkeyICcO+99y5HeSa/4uucc84555xzzjnnnHPO - Oeecc84593wr9/0BCCEAUNUYY9/3T/0OXh1cEYsRM8r4FDEgd/Y//0//4/f8w7/fd814PG6aBsDG - xsZsNhuPxyml+Xyecz78JXPOOeecc84555xzzjnnnHPOOeecc86569URjO47REMqLiCArEZk71Py - mkqQbIRhNcSaVtK7dUj0UJCCZC/D+6BlQukcVz5nUQgggEN5AQEEAXqgB6CGLGhmf/aj/+zWbnaS - dBo4xJBSxPYMD376if/0kZsRQtsH4kQhhcoMnUDFwGSAXNazzhhXhFrR/pTHkqo7PKEGQyJUALa2 - MJ+ja1UyIqEKyIqtHVE9dvNJnDzZtj1znE7XHu96VAkhqEENBIxCVREToFkvH5WKjmJutwI9clkR - uekDgFRjfXQWfUuQbBRrmI3vOL2dJVSBhjcdQO57Vq3mLZ54XHZ3AhEYVTf/+M//InZ3EAMCCCij - az1tF8znQdl+IhNIIT1MTVUMHaFJI4ziv33f7zVQTbVmYLKGql57yV22Pm0BoRCHBDPKaleG0u0L - Rt17etg3VZFq7nqpCSR9pXmS5exf/AX+6jOwjL5DqgPHuDP7X97wRswazNpYtjoiKEMQrskGR/sX - QIAOyMt9b7GDwQRZ0HWbRKGdjaoEIjRzOXchSI+sPRQgtiFcowXidH3t7pdgY8Nm8/FoDak+X/Fd - b/se9H1GKDPIhH5ZDFPYweuroWpCWIYhMQAQRRFFXWFSY5RsVO8G1vGGcMwCChiPU9vq2qSuApcB - 6WgRWZ4lV6MagIoctTR655w7uEW9Smom1zL3yFYeGBOYykGCV1JpARzBxn+JrDZSMg7KQcEGkBqB - OKY+48yZx/7ofccIKjDTK9bq3hIp1FSJoASuRzsx3f3GN2B9KqOxiMVYdV3XdH0aj6iKneThbhUb - imErIdwHQga2fQnuQ5BeKFmwoVOLaxtbZsde/w3n1ycdR9Jhlst3gXSlMf6M0rvNLJsRc2KOzCba - iiZgmrXeunTmIx9OF85zzjHVlKIRyDQYYFTi2xlGV2RjHx3LL2L5gBdt4GtdEiIQLTe85Vd2WWPV - B729kSgBzLSXr0yrmXaHhw2qChMAQ8pzidC7JnN/YdpXp1zD9Tyc/5kZE0IEAGNdVCyrV2Occ84V - pa21enaji6uFwvsmQMeEadP2v/OHL5p1teW+axJHNUVpdO8p8d/gEERhgEacM0p3v2R6/1dtVdW2 - 9hbZmI3QtnMmq0Z1r4d7mkdAZCaitpc+hJ16jHtefuy++y5EyuG5aXOqggggUlgIIUUokCTfbvTx - f/9enu2um+VmXtd1VmE+smcMzrk9Ztb3fRm5YzKZENHW1paITCajnLsYY9+3OefxpP7u7/7ud7/7 - 3bw437nsQ56XwjvnnHPOOeecc84559yNoVx3ffTRR1H6oTHjsK+7GgIHAAy6/bYXhxACiL0rtnPO - Oeecc84555xzzjnnnHPOOefcEVBVVQnSVtWS3p1zfhajlxBBFWb4tm9/ww/84DsXT1KMkYjm83mM - sW1bAOPxWESe04VwzjnnnHPOOeecc84555xzzjnnnHPOuRvKCy56QaCykvxLsLbpCCDbNwVDKEHf - wmi0JINor1CG8DLM22BZetiQCZ4PnoO7+JxlmRYPVckAgfUAMFcRIEN7AlQxa/78n/6zO/vmZN/V - bUdG1nVgxl899MUPfPAmRre7zZQMqTEBJzY2wEIERwECR1KIIFGIaSzQDKUYrygWsy6W1MxokQAM - EGCiSXQ9Y/eTDyKAI8MMZmhbmc1ClcJ4DENdj9HnXqWZjlAlBA7EkXgZAByAFBbb4WX54UdMKTND - YBoDBQU4oOL/+32/JyGAyZhAhNtuDZvTVvpnsSgBiKBR121/+jMhJZKMrqnINjqBBUhHBOk1xTpr - H1N47hfyy8BADdQASFExWIgRCLFUNBz+/vt/+3Nr1DCzJWQCMb7iZXzrTTZda/rMXEVElRxoL6i7 - BNIYQcG6Ul9dNpgZAdZpZCjBNCeyJP24mT3xZ/8RD32mrFShiimtNbNz7/pxXNrCxW2omDEQICxq - AERF8l6Udp97UVmd6Zc7iJotag8CQQFkIAOdAVTSghRB0M7Q9H/6kz9b9W0IYGT0DXq78NDnakOM - FIizZAIRYbuDTSsc38RddyJUhKg9ckhbx9YwIqytLUMuBVrmEwylyjp4+feqx5JuJIsAyxAqIMIi - KBLCFJMKAYCGIUuprhkGUiRDVMTyOUAqSXUEjkdre77BlKQlM7s2wZPOvcAQQMsj1zKxOxKbCsxM - rkXYcCACoABH7voe41G/Ml862rnCFrgXIdFkIRhYhag0Q2nU9fiTP1577NHUdlUAzOiK9vYy3zRS - ak1SJAN2I+uLTlWve/XZcRLjqifKSKlWprn0AiNmMrACgBKUtOT4sR2sNVpyu8kAsNHQVhGGEGKM - 0mcAHNLuTqOjCe647dirXtGlWgGuxr3BDDSuWoA5sO3liD9DATDVMgGoAFNlVp7tPvT7vz+ezyoV - IbRtGzkEA8GUuBzGyZQNy/Oa60LXdVik6xJo+fNQhRCWA+mWZhWtJHm7G4mBjco+zRiP2tyDiQiq - Q4r2NdjeGEpqgXg4lATC8xRaf2Nb7sKLgHYr/zn0GZPGFLMqMZe6nmPC4nqI0b7639O7nXPuMsuG - Oi9yu8UogzjFbGps2TLYEumomdHu7uf+6EObsxaiPEJveVmtkoEWV/n2zuMABqSuz04mL3/jmzCe - ztRyjHOznhlM40DJdN53FpMe8hFjiNSl0Ah4bXMry4v/mzefnYxmPWLNUk4iCMzIkGdx+ApU/kAi - RCw5QxAJLLnu2vzFL8qDnzmZcxwa3t7ude76sIziVtW+7wHUdV32X9HMPLxARHLOb37zm3/91399 - c3OzXDEub08pPY/ld84555xzzjnnnHPOueudqjKzAn/+Fx8PgZmhqvGql16fg87oi88BRCWADfbK - V76yruvZbFbX9bXpr+icc84555xzzjnnnHPOOeecc84557B/SJC+74fxSVZu0TWzZaL209y3G0Ig - 7BuFL6UAICUG8HVf9+p3v/vdbAgwSLbcE1EJBV+OKdf3fbxyKFHnnHPOOeecc84555xzzjnnnHPO - OeeccwvXU1Tbc4IMEUQAmWrfk2FUV0OI7bI/2+pjAuooAEAhRRMFGFkAMhiBYkjoe+QS5fWswpVK - VPf+gZgIEa0AoAghpEBdtz2BTHKHtv30z/3CaavWdjN25oEIu7uEsPXRPz/7iU+OBW2fuxi3Q9iq - Uj524nwdttfX5Njxnen4LKOp6guaQz2tEPos2naJI0Bd7pSvkur4NIsUFJXk7vx5nL8IFTFFzojx - /2fv3oMtu+r7wH9/v99ae5/HffTtbkmt1hMBlhACI4EJwQbLQExqHCeZzGTGU1NT45khnuAn2MYY - k9gO8YMIEgfscsWTqpTtqvljasaOKzUZcArZGCwbjDEWGMsGDOitVkvd93nO3nut9fvNH/ucc29L - 3ULd9G3dRr9Pnbp9+px7z177tfZa++y9vtPNjY3pDl93HRTttANxUfDhNcQAZpyZkj6b7TNn/sBG - gtRgVgNpn5BpTQORd/3n/7cL0hZLJWM4wqBaOn41QtTzj3Inopzzskg+vY7N9YgCRjRb7vLv/ezP - QFUACZzbVlgO2sBaBEifDEoG1n677oOiIzi1Lcbx5ne/Y1PFKIIFy8sYxtVX3q5LoxziRAtImCNB - nr4FLKJ0+g/tEzHLnhf3EtOgVpcynraP/+knceIkthvLwhZjsVVNT773F99/5+swnVIuaBMCi1Au - ObAECTDknE01higsueSLs4D21ioAAJ6FdUP64LYAkJbJFpiwPbmq01oL2HK7jRjwhS/K9lSK5c5C - CMkKsxhHHYSmqkYvfyliQLZioZPqCdNv+pG3YiBgYpa+TgtQgV54pb/YVRUwEMCALdK7Fw9wn+FN - 2L2Ed3ctKc6obw/sru6ccxdqUc0SICDkIvsf9bqYIgA14xjAAXz2Kv+sh87nkJJ2OYlIzVUEixEZ - rCRN3TDrOOWv3P2R8cZGVO1LvrjFpA/M3tNSVQA1GB20CvfndNN3vgFHD22HwMZVgRgUKIQsKLz7 - IXv//sICzmnemN99TloYmjoyIyKRUMdRq4TVpRe/8TtOgS2Opu20lmiM3HYRKOUc7ZrzX1+dYgSL - 999vn/70GMrQUahK2wFms3BisKmYXtDHXyKLZbHnCYnIuX5//6gq9tz4tNhIntJLOmh7lrswCihB - CYBxv71Z/99L1G6d3W5nhQxk2t90x5cgUvp5pr/zkIh4fmejmeHSRKRrv33N6oxcCmLd964UrAQY - s4FmR5WD1ul3zrnnDGM3t3v3xSCdpQIztlJKiMywmNJaSqc+/vF4an2QOzZkhUQytqc12LQ/x5it - AOBRfHLaphuuw7f97fVcJFT92chCXIjJlKFGXIj3+yuVvj0QqpgVO5M2rq3h5hd2111Nq6N2orGO - SrACMjBgVs77eLE4aUu6aNOSodY0bqdf/sjvh51uCGUqJXeB2I9Hzl2+JpPJ6urqZDJp27au6+l0 - GkKIMY7H46NHj/a1TV3XfeA3n+M8knPOOeecc84555xzzrlno5TCzF/60pdymQ3InFPa74nWISp0 - 7dDatddeO51Ol5eXp9NpPGtkuHPOOeecc84555xzzjnnnHPOOeec2wf9rXk55/6yDZo7388pxQzW - D61TVRWAnAuArtOXf/PN/+7XfnU0GpkVAOPx+AI+3znnnHPOOeecc84555xzzjnnnHPOOefc82so - dgIigTVbagWIQcgUQNdMZ2/3gRyMzOgIHWOiaBkd0AAZoBBAQIgEYQQYwxhSgytATI2+jkU6D7Sd - J4MFQZcBK8hAXo4Bm5tYX7/v538hPPzEKFFFI2CISQMm/Olnuvu+oFtTHi5tVkO+/oa1V99x+B/8 - 3eXvesPh/+EfHPpHb17+7/7e6hteu/zqV+Qbru7WVk91XQcS1ACrGglrlAZFWefhukpQkMKYz5H2 - S9DKik63mocfADMpgQIIOxunSxWxugIJkRjgMKhf9r/9L4jz8Ep72oPmASy7VwPuew7K+SKADFQy - yEwTCDQcAIzheIuYl8a5mKYWQYbHjyNEuoBZIFGAYWWyPXngfliBKRnitL1xtILSoWuRSqgqNbUD - mHts6IMvAV6s0n6viKMx6grD+pTRVOquHm5Od4wDVpaP3nF7qusgdTEDOFuhZ8zONEIhJEHmWZBe - P+k+sWYROcPQmLHUtCc+/nGcPB0sWKakLKAjnL//Td/+uXe+CxubgBmjAEG4a1qUArMggZlLzpjn - ul0EtOcJoY/IqYAKQLGcU4Hm3EgV0Kav/NsPjjc2K7KcmyiMna31L31lWEgMAmjuakjWohQmoVp9 - 4U04fiWiwETq8WZVhxtvQB0xrHdK15mCGAYpCKYACj2lQM9aX+PNns/+vgDTeQ1pmMX/FEIBCBqR - Bbu1Sh9IN3v0Va7ndzvnLkN76q4zDvTzMDkiA4OYCF3LRBcWCH0e5elzhRnGKLBYV2AiYT1gTalz - YmJmqGmX2FgoCNHQbGUyyff99cZ9nz8iMLN2vhgLzY5lfXr3or0BZABmPJHqiWNHBm98/XQ46ICg - XGewAkBhFIKSGimZgi5CCt3igKp7oruN1MwCEzNrLlysLboRQ/3Kbx7ceP2mxIxgEARpFBagBCM1 - WB8bvHeTITuPTHEjGDBWWjnx5ImP/uGwm1A3HRPHAoAKkYLJwH08sbEd4I1E6akhgbPoboKZEZHZ - pWhEqCoM8owNp0U51e9suvz1OxGMqmpgRrtbGeklyK0sKTMRG6gPlj7rtmc8q/Ht4O6/B8S5+hqL - Gx0X1YiZoc9N39fyGMxsnhfOBGmLYjScvesViHPOncPigMd7GsZKAFuPmWElEFEpg7bBxsaDv//7 - oWkCZEBAByIqZx4SCApY37NIBGNGY00cXv+m78CVRxJLNCLrW+lQgnEBlAy8//U1M5dSutJJoEq4 - M8OxK657w52nlVqgAxJBDWwswAW0T54yB2SAgQ2k5VDRJ//gE/ji/ctCxVINiHkL17nLWF3XXZtG - o6XBYMDMMcZSyic+8Ynv+Z7v+cIXvlTXNYC2bZ/rYjrnnHPOOeecc84559xlj5mL6fr6+sOPPAaA - aPcypwu4WPvpnuH6BwA333xzXdeBpZSiqpfmkirnnHPOOeecc84555xzzjnnnHPOObcQQhgOh6ra - X85xAeMWCkuQUEof190RzQY8ueGG47/xG78xrOrcNjlnItrY2Cj7Pz6Gc84555xzzjnnnHPOOeec - c84555xzzn3jed5FPZkqEUKIAKAKM0Cr4XARItvnZ89TtMERBiSzABiQioKQus5yjiAB5zaBBURQ - Chy/zuLN8wMZXQGAOoCJYdbsoE1I+tX33XVsY+umlRVstmgMUiNj8slPPvTZeyl19drqoZtvOfam - N46//U68+AUYRBw+rHVdBuOuS7j6+OCmFxx53euuetWrwrGrprFuhSlWCWZmHMPTR2r6mjGBRIhW - Nh55BG1HapCI6aTb3hysLKGOaFuGIedWFUQZCjrbJrcI7Z6nlu99+QAxwBhKKIWi5JRAhnqETl/0 - Yz/+yGQaRwMOwUC44YYuhEJyvmNfmVkN1qQRtv7AV9AlFIPxEsUlI2xsIicwgQhqz5wg+BzYXXMM - UJ8D079GainnFgwOt/30uzcPr64T8Xh1qxQYcPXxo7e9dCdIF2OjHXM4Rwqd7n2idJZss8UWawAZ - 6hrUYGnSrH/qk3jiZCwajLRkbG+OdrZuCfS5n/lppI5S0um2QKu6BgsMmhIMIYSckvQ5OBdlCc02 - csYsYlUFGgBhilEEJXQt2vyVX//1wcbG0RiimTYN2PA3Xymbm4MQoBCGGjiGDN7MeXzV1YNbXoKS - ESuE+lTbnT60fO3//D+iFiUMYl0RAwwCFChQqM32xPNM++sL3+fR06yKDIDsfX+R0D1b9YsKVWfV - G81Du/cMJDdbjT5SnHPuMsNnbUiTgefHIwahaS7aUeRZMIICcTgELSKEz1LOA5a9xiKisFw6VTUW - MLHaKOcjbfdX//lDh6wMCEUBQgis2odOA/1xycA6m0EjS1DEwSmE49/+Olx37LQVYBbvzdb/zmyq - 9LTIugvuFxl2P5nnE1IyFrCAmVJKmpRivUmK8eCmN975iBgPVprSMQcApYD7yZPuPSIaXcjhMcZI - qRzNtv7Zz5b7v7LUTkOXIkshLswgJUAUDDuYMbFPzx7sX1HVEIKZwWB7Anf3FRts1mcEg/pdW+ZP - cGaH5YDtWe78zFI5CTZvtMdBvSfX+VKUgQypaUVBBjbIM+bTH8z99zLFIAKg+x7NDkDViMgAIjJC - gWE4sPl5Env+naNzzrmvh6qKEJEJWQAkZ+66VVXc++f5i1+qragQMzGgWWneHbB5z2B2Gh4gpqnp - JiRec93Vr3/9491UQ4Aqz38VUCXtP+ISHIHNLFQx5wzoMMbNptkM4ao3vXFjabksLe/kxAz6etrD - Jgrm+VHH5qdYTbFEWDu91X30nkFOsaTIsOS37jt3GWPmlJKqllJOnz7NFO6+++63vOUt6+vrmId2 - i0hVVQD0krSHnXPOOeecc84555xz7huPEcyMme+7777ZddGEooV4368B6FInJK94xSum02mMMXVd - FfqvmZxzzjnnnHPOOeecc84555xzzjnn3KXQdV3/xMyIqK5rXND9ekVLLllEYhQAzGDG8eNX/Ppv - /IfRaMQ8SwfvJzEYDC7uXDjnnHPOOeecc84555xzzjnnnHPOOefc88HzLhZICUZshK4kE4Mg5xZI - oAxkIAGJkBhJkAiJkA3tCpVau4AUgyq6WHEgoGSYSoyFUZiUKJcLCcww2s3DBfr4EEYUAKWoQbO2 - MQq22y//6w8c3dpay52tb1YsgODJje4z927c/wCN4pFvvmX1dd+KV7wc11yT2TAYYDDM2wUTEoyq - 8RWYMjBEtYSjV6y89jXjb7ppO8pWSsIBamXa1oHZnppAMssaNoaxPS0s2TQHgE5v4OHHiGsUxc62 - pmlcWoIWLI0wGiF3GiNiRKjOSOk+82HzKN/FaweRFqpqsAAIlTRdCwpYOowY5fiVypTa1mIFkkMv - fvE0cOHzmA8DZzOuYgEqA22s4/ETYIJRbtpBKp/61V9FyVBFKSJyiRLkzosB4AI2hD4ZWqEKhVnk - usYA1QiHx8d+8J9sDuuCKDxAHMAMN90wftH1T3LOda2BAbCdkRwvCponoYpBTPtMOwPvbpMG2N70 - btYWFRByG9ZPnvzo3Xj8MbLCagCBKEJvIPviXe/DxlYUghm6DgaAmNhyhkFELtamaPMg670IClNA - SzNFyaCISaIHHz3EZjubUnLFAY+cPPWlL9YAdJYyJECXuxKDrYxXbnsZlg41zB1zR2JrR25+61tw - eAmhSskkI8yu4A3znUoVsz36fMtvhELIi3qgABlBMQRqQBQofSUKKgiAgQuoYLZQZ49Zbce7e/oi - xPvgbdHOOXcODEABpd2Y4d0oXyIGBMQG7EyZLkWjRo36wiTDoI/uNuuTvPcEzh3UilZJFRYgg6BE - KQOpLLcZDz5y+o/+aFmpaWEGEWFmAKy7c7S7cAnEKIQdCTuj8Qv/zndujkatWWDpF4+SGimZ9q2I - fsJKCihD+8D1WXbveRa/byErGCCaNeWNTRnQ1JEVES4MrqPFuBUg3/GazWuObrMYhBS1nDEnRjAy - zIOE9WnN769VGjaDFh0BOPn4ox/9gyuzhq5T4kJsYDYVy7MpzZbA5UFVOYb+3iQFQDP7Pt1cYMb9 - GlKPS/5G0++/T1uvDPBwtLQ3jv0SbGwAuqbtW8xkoD45/tx8c/yadF6r254+BwDbQ0DUp6Rf2qhC - A8DEQTCoFfPkeNp9V339Oufc3Lkq6FJSCIG1UMo1UUx5aEAuj334Q2tbmwFoVA2h77xFAQAlFEZh - GCkAMRDAgglha3nlijtejWNXpOVRCwXAxkHB/Yk7QmYQVMx4P7tVBjRdF2OsAiMn7aYQNKMRrrrq - 6tf+7SfrSkMQCiwh61nOND6Lz+e+5zJr3vadDrAShBCa9njB/R+/ByceXzGVnHH59Becc0/XNN3S - 0ooqVHHk8BW/+Zu/+UM/9MM7O+2i5dsPAtKPDBJCeA6L6pxzzjnnnHPOOeecc5c1MxORT336T4lh - NLsAwS7Jdf5m9so77hjEquu6GOOluZ7KOeecc84555xzzjnnnHPOOeecc871qqoC0HUdETFz27Yx - xn6IpPMSJMQQSykpJSIqxVZXl3/t137thmuvG8Qq51xK2dneJqCKcWtzcx9mxTnnnHPOOeecc845 - 55xzzjnnnHPOOee+wT2/orsNAIUETVZEhEAwBDUokAtyoZwld5K7kNuQ2yq3dWmGbUuThpqOpg2a - HS4J3RRdwk6DtiNom6YFYMIF5HYskpnmYdUMsM7/z5GTlZoJ0+lf/NKvjDe2YsoYRsoTcEJtj/zF - Zx468Uh1+NA133EnXnIzjh5R6HbbNCHYYLStlMdLunxoR0kTwAPwEJs7WD2Eo4eq229dfuH1kwgV - CsRqENMLSMwWtaHqzpfvBwSdYmciZoeuugqjIba3sLOFEDsocipAwTlDu5+6qs7y6nNtFsoIELVd - B2g9GGhfzrp+grG+vRXrIXONOMS1106YynkuWd8TTgAAIABJREFUTwXlUoSgCcuG7v4H+gyWWA/K - tFkrilSQMkRKzkQHbAE9JXTdGGCGYrbYGMpdIsQKh1df9EM/sBmF4sAyUAVUPHj5S0bXHpsw2pIB - PH3m2GDzz6dZgDfIoODCZ+ScLf5WAeHQp3ePp5snPv5f8Mj9lFoEQQG2tpeA46qff99dmDZoOzCj - aWEAS5+TzXQxK8k9n9Xv5QYDoKpJYoVpwiTf/69/ZbSxPRSmAaMARt1f/TXWNwNxKUUoqJEFtGaT - mq582W04eiU6lXrUMG2PqkegOLTWISSTOlYg9BMx6sOzZytmd5WdD52ldSMt/tYABRkI87XPux+7 - qNP2zPrs+e7q9dBu59zlT888bPV5q9S/OJ1Cbf8b3LOa1wgGDMYjAPrUCNID3ew3MxYpQlPNAI3r - YSTe+d2PHHrydMiFCJVAU8ldZhIyni9wBXaHGk0Kq8JJK0s3f5O88EXTaggOpGaEQlAG+vaDgm0W - 1L1YbzxfWucbhdsHbJf50mWDGNhAQGAUzaWUqqqUqbWiRnlQ4dorjrzm9idzqmlcOiWDCEpZrMbd - FWcXFM2bs9YhSsZSLo9+/B6sb1RAByskSujDCgEo8Xnngl8qtmcp6PynEWKMi1/ApRrftpTSJ3az - gTHbePpk5QO9U7lna7c2MYKBlWb1wXA4RB+fTDC7RNtb6rrF1iUgUjtofb5vSP0O/sxB6RdtWgQz - o3kNVtU1gvRvXcjpGOece944Vx0tZKYFOdVmIZclEjz40GOf+fSVYgJTIzMwYAYiKMHAhagQlGYn - sURhajaMD1Vh5TvfNI0hMZR5EWkgptLX3QCbkum5i3NxhKqedi0ZqhhyaqgSHQy2FNf+3b/zWIBK - QNFiVIgk4IKSF3jv2UEDAIKxBFC2YcrdAw/u3HvfoU5RMkeP8nXuMlZV1fr6es65qqp3vvOd73nP - L5aCGIWJY4gA2rYtpTBzXdc55+e6vM4555xzzjnnnHPOOXfZYgZw7733FgURQCBm7P+lJsKi0Dvu - uKMf7rm/FMHMrzZxzjnnnHPOOeecc84555xzzjnnnLtEJpMJADMLIQDo71B+2rhPX1vRlHIL6HA4 - JLLxUv3Lv/zB2267jYi6rgshxBjX1tZ2dnZyzktLSxd9RpxzzjnnnHPOOeecc84555xzzjnnnHPu - G97zLm7MAEZgYwGjK5h2gGCnQZMxydgu2CrYTNjosN5hvcWpLWzsfP5f3vXIB34NGw22Guw0mCZs - TdEVpIKuHcVg2qAok51XAO1uKN08ppr7zDDADCB0ZoWUYKCwvDO9ioYYLDddi5ghW1/62H965PSX - V172oiP/1XfiymMYrCAOOFRLEpaqweNbk+7oFQ+O4uepO314aX1UT4wwWsJ4XHLaKhMcXRm8/JYj - L7pBmWA2JGgGsJuOvNc8EJIVfEZGMiMAI0V38hQ2dlAMO9sBRlHAgtEQwwGC/N//8XdQDXa6xoAC - tTMfuvs4c+kcsPRuAyzQTtdBqY41AIMpA4OIpcErv/9/XztyFFnzJNlOi5Xl5WuuPt/obiYuxVhI - gEHJW48+iq0dGEERCeOUkXK/TETCJRjS67wYkAkFEAPpIvgF0i8oQmHEqkKOQMCh0YkBZQMZFxjG - NUbx8LfcHg8tWYy2J3Zmb6Kk0myXYYPoLHoTQCEkxp74yT4+moIMixYiGcQQ8nQ42Xj4Yx/GI1+F - MUwwWu0KulOnVnd2vnDX+7G9g7ZDXaNtAdA8G/ICLoE9K5qHuc52MZ1lvRoZCUMVLd/3Cx9YXp8c - DRGWSjtBSvjiVycPnxgpAqEAWSQJGkU4PFy+4Rq88EbkArAU6ZgfGtLLfvwHgboqg2jcNSXlDEaZ - RYUzGDJbIzA6v/2rX5UCKJCB3P+fFaQgzdCWtAtqlaJCERRAwAEsxmQM240FskVF13+up3c7575R - 9EclIjIzUmOgtO0lSHs1oMAMBMAYg9EQRH2k9MHHhsDRzDKVhNwV5VCJCR4/9ZW7f/+aYlGZK4kM - 0f7ISWzEyk+JSwdghk6wtTK4+U13Wj3qTAIJQTMjMworSMmU+we0bzko7SYxX1gKn9Es9HcW6qwQ - hZiq5hhFS1KULNpoLiln5q0h3fCG18bDa8aiACn4bGtK+1Dws73Vt8bP+gBAUKEIYBVcfeUh3PPJ - AMsSlQKMBZktK6FQf1y+FFG1F+YpyeXMHGNk5r5nonSp+gpFMb8zyn2jmvfK95weIaoGdR+GSUSX - prlKhtIlBvW9hkUtx2dO+LKo2w+Ovp5fLLTFEwYxAJ0tXDOzcknqQ+ECkHB/8qgeDfvNzOZFdc45 - 9wz2nPoDAGG2VEQRjYKiKqiyffbj94TJ1lBzZRa4MpU+KqGU2ScUQpkf8/uOAGdYXYVvfile/pKO - Q96ZBhYFrP/qhApDRZn685W0v8cLJUiMXUolp4qJghohZTQS8U0vWnnpzVMUANnUhOz8DxwEYjAv - +lCzSHJmIygJYNosFXvwo3+Mk5tV4E7O3iVxzl0WYqyrakCQf/zf/ve/9Vu/TYQYJaWipiknImJm - EVHVtm2f68I655xzzjnnnHPOOefc5W17Ovnc5z6H+T0gdpEuPn9mZnbj9TceP348pVRJyDlfgosV - nXPOOeecc84555xzzjnnnHPOOefcwmg0yjmvra2VUpjZzFJKFzBMTX/VR4xxOp2a4pc/8MHXvOY1 - bdumlEajgZlNJpO2bQeDARGV/sZp55xzzjnnnHPOOeecc84555xzzjnnnHPnIzzXBXiafrygc19y - thhPiM58jn6coz6g9qx/qSDTkDqIoG0hgqRQw3T7E//+/wgpB0VQFesfIJsFVth0eg2qsrH96Pve - l+r4RDsd1MNaJRl2Ak3G1et+8K11CMgdjBAITGAGBwiBAqgv2tPmblZgKCCz//K8pAiCkhEiGVhz - ZtWuHp6cblWiY6mgCda94CU3yfA2LK8UYYuDrkuhyxY4h2o7xCcPH7n1h992uCaYoRQU/Mqb/t4/ - uvNNy2EQWaSOG9sbq4dX4623psfXd9qTS4PaprPR+Y3mAcO2myRIUKOnhr2bgQFts1AqJx6Ra6/B - 5nopaXD4KBQoajlN6/i2j/4BrIyqpcUMXqah8cVQ1xUMQO6jtojQFRhpPRw+0jTXUVBBWF3GE4+N - r79246GHSh+EQsqL2GaAzjouFmmQ0OZiJIGLtbCyg9OnsLIG06Xx8nRj6y/f9/5bf/Y9KIqAxYaz - +wE4Y68o8wjrPZvgvtstDy22agWRAakgCkQISqjjq3787V/+hfeJEOWWcjesh+Bq7VV/6/Q9fzQt - WzVZVF0sKFqEqu1xZlb37hhnffSMErEqwNlKyBQBKxiyPHTPH16108VbX4Yu17DBIIwEG1tbX3r/ - v/kbhDf/5E+iisgJQqqFq/qMqMbdQlzgVtynewoYNg/UhqLp0OEv3v+B60xXDeg60yQi2Jw+9Jl7 - 17quMlMzZk45Q6QRWzp8RfWK2xEiNJhIYptEfvk73o7hCMR9zVLVYoTdC2xptqBoXgw+3+3CwDRL - /u4zSvtFs4jiLrNtTXkRQmrzze8ZNsLzDBF3zrnnnM7izRSmICOj/qBQSLGITzZGMW67qijZfg/E - aQZTQJkKUTUYgISMGQZShbJh90BpfKDSmpXAZqoKA7GEIANmnHhy697P8slHlnOnRl0uNSMKYEFV - abf8bDDQLOqUBVuMydVX4M5v22Rpsg0jB0LSfioM0v4n+p9gJZ21IoyZVC/g4D7/KLIzWnhknFJe - Who33cRSkihCglSSSuL6+G2vqG+68cTjnz5MJAYzhADk2Uwt5q3QORqNz4hB0FyAaHS0bb76ex+5 - 8U1vrgfjRIFBRgxSI5u1Q+zgtcppkV0737M4FzNwkFAb0awZBYB0vxu5BMAKirGBIQV5McGnLDoF - MRQHcHm6Z4Nm6476up0UkUtdFSIQ4UJiMS8EQykXUSMlEBGRmQlUZ9ubnlmOA1STH0yL3mKffa7E - 6NcvADIhy8CsS2TEmqD7nkxKBAYVAEQGLURlMIKIkYqpGc+7aEyLoj57/WFuVrnrnh4WAwUAWX/G - bFFNXYrtmg1fc//xvuD5+tpV0tNyhWfnpfa8wqZKfevlYpbNuf2gs9NZAJQNsxY7KRvA3KUcKiGE - knWcs2xtPXj3772CpEyyogsyMjUAHNAVEMFIGYw9dawCmXCaw63/8M1djSlrFQcAzKw/Ly7a71a7 - zbx9PY/FxiW1y8PBNE2mTRNDgFHp8nC4BO1e9MZvv/9P/owrKSmBTBVmkPOcxFn3ewLnUoKQFlsi - ffhTn8H9D4+PrZ3sJjVXIILxfF0oG0C6aA/bfAUBu80q59xzjQG0TXrooYff8Y6f+NznPtd1CiDn - AoCJ0X9HoBrC7GvivgPy3BXYOeecc859oyMEwxhYAsZAuCQnBs916qsDpsA2sANkv17FOeecc85d - DFl1Y33zKw8+xEAxxCApFzBjPwO8CVDT1732W6HGQlq0qmLuUoyx7Pv1is4555xzzjnnnHPOOeec - c84555xzDgByzjFGAMysqv2dehdws16MklLKSYVx113vff3rX6eqEkjA6+vrKysrIYS2aYbDYdu2 - Iud7e7FzzjnnnHPOOeecc84555xzzjnnnHPOuYMW3W2YJ2dwH2uNM0OObTepr08kYgVyZ8NIALqu - kUoMKgCpghjEXduGKjIYnUIzcoPcIZc/+6UPjrOtMEkqL8pZyKBGZEJERFDTXFRVIjNR1CmTlC7n - bnqY2LotLmQkmZG28PDP/JyxsEEJv/2h//TDH/ldhIgQMV6GkAUpACmEgFIgAkPJKsKYpY+ozEcC - 1VmqFNqkdWBLmQKBB6jji3/iRz7zwV+5af106FLOGoZLcs0IqpBAaigqoZrGeIplfTi8/cffcdWg - RhCYgTK4oOgPfuLjSPaXP/NzV4MGmlhNjXnt6OiOV5762MembTMUFAUzCFBCMSLqExGN+5jqp6cu - Wb+2OJqdvO9zx6492p56XJkwWoZU6AotjddDHIERRZEIkTELRVvYm+ZBZ/xz4DKEmEDoE1oCQQkG - I2EYBYBf8K53P3zXL600bWxaqgTXX69/+ueG1HTNIEbNKTBSARFkTxDj3kWquQuMbNxpGQii0Ykv - /OVVN1yPIHnz1BWD5W7SIRUMNKVCMc7/VAEYIAApwwCG0SxasJplJ8/3rH1DmEfFnLHW+tXNDNQy - f7eqgIzh8KZ3vu2vf+VXjzyJZYgSs7Y4enztla9+7FP3UGryjo6pTzcjcOmKxf4DDIVRuN99iAxi - EO0nNAuJKQwYVI37AD5TAJGQJmWF5cl7P3usK3jhC2k4xLSVGEcxhHY6tvg3733vC9/2/RgPUEWu - aoP28TPaFo6C/nLYedqlgfuZLcVgJYRgmol3F3LbtnU1xDzfugCmCIySswghJzDQJTR677963zVJ - V3NCs4XAZIxTG+sf+8OjOafcMtcMFC1DrjdLjldfU916O8ZHkRQh5qhbMZ/QdH1dI9YgmS1nmide - LtbLLDuH6QJyuzH7wDBfr4Q+mh0ExD3hgXzmnzz15zyHk57yOzhw+/s3htk22wN5/IpzXz+leXQ3 - spiRKkwMVAiFtVgJgHCgIugKbW4Puk72eShMg1axmpbUgbqK144cAUXKREKgDCpKYGU1JvC84XGA - RudUAjMPJGoqbSpDxTL0j37n/7neWilKgDDYoAYyJSgIxRCYAQZTUc2KKEiG7Xr8gu/+rjSomhDr - WGdrYEYQNp41vfpD4eInzX72sXOzZuqFpGUzoLRoNENgwiFOmhRDbQBlAyASi0KsBjXXvvkN937p - vuFGCi0icUnKEOvTxMmElAzRADtLeZ6xhEqAkWUiKFaL3f/5z+L+Lx+qh9v1qAzrNk2yldGgWCoo - VQh1QTo42wPNkuZhYAXD2DiDkhpaxEPjVSOGQZmylpEAhn09tLGh294GDDrrJaqZiJRcGAyDWM4E - Jaa+BwqdxwS7y8PeHq6ogEDUggoC7MqjDYuqBYEIUEAkNsuN3xdimrfW0TahPkRctalhMWIVI1YU - 4swAwMaiAGXsJmW6cyLrO4ls4L7nSJYtWs5tX9cyVaS5AtLW5nmnZZ8n5phzEgBWBNwUhGPXoB4o - l6iJLBgICEqZTft41POqT8jY0MfZ6rxiZxizsVqhPtjbOkAZQrrvva9nczxd/IqS157PipGiT6Y3 - MIxBu8t5dgBdtFSxNz2X1NgITHtu81Xvg7vLhgVQZpT+lCwANgWZGatRw1zHMNmeHFXDhz/8ggcf - XJ7mwCCYWiMEMxQFMbIiBJBpyQgRKOgUFmMzXJ0eOTz8ltseX9JJYwSLHEtOECUoGcNQohpYiopy - Id6/vYeBUKi0iQKXUCUVAgvFxtL6eHDojtvj1dc2jzyuuRkIaUY474AxMyj6Wnr3BLUCEECLARh0 - 7XXTbvPu31+59drxkeWSu2qw1CVVgxEBFAM02/y4GRRss25yBhnD07ud2y97W3C8pyNvZoPBoJl2 - OeeqGojIdNoOh8O/+sv7/sn3/dOHH35UhAwIIZiZljKLbDEAyDkvPuQCihRC6AcWSSkBEBEz0/2M - onHOHTQioqpmRjSrpC6sPnHOueeKiJRS+sHR9g6U9lyX6/JEAPpv3GaXMM3OPhkTkaEMgZuAf3zl - 0Rdub11J0hZV3q/+IxnIlIH+i5vFi4BKDI+Z3T9a+q2TJ/8a2ALABDMP8HbOOef2z9ObW30z7Lku - l3PPhIwwv8Lf5i8ByF0aj8epa0opLKKqHAQkMPrEn3wKgIEAS6mAsJvbPWsbP+XpeRBiNTWgqqou - dQBCCMgFsL/1La9G0aoetN00dXl5eblpJkQ+OrNzzjnnnHPOOeecc84555xzzjnn3EWmqswMoJQi - IimlGGOf292/i2dxZ01/9VQIVc65v/4EZiFwTokJUfD2t7/tv/mv/yGgqpZTxyEMBoOu6wCESlLp - OJD5zbzOOeecc84555xzzjnnnHPOOeecc845d/4OWHQ3ZkGwmI9JpH1Y8vw6tD4beO9VaQRUFWk2 - IquqqummsaoABQdoQU4VCE2LpoPyf/kXP3tlHUaajwyWrtmersUY2txt7wzGQ+RksB4bE6hP/kbb - gbRP4QtA3U+TeJ6GyiACkRHUkMm+7w1vevIX79oZjk6F+Iof/TEMlNTCeARCzimEGoCpSuBmmupR - 3JOiwzAwQQAFQkUwEAPGKEABVpdvf9sPPfCen4uljK44vvHYw0v1UIJsbW0NVlZaDjuxOkly20+8 - E1WF4dCIUTEpYJSELTBqqVq79Z+/66v/5i7b3lkdH2pSGQlwxRWja47ro4+mZocNUJT5kKRERIDZ - 7tBR50oDoZxkZwuPPWq5resaHGAMWMp2Suz4aAxwDTlrWvDZw04OZIDQ3rBhAxMUpiComYQBKn4i - hJq6EYxY2HTtuuvWv/DFIEFVZ5GTfYr1fIka7QlVAhhQhTETmM2iIk0bPHECRw8HDshlrR5+7K73 - v/6n3xUH0i2ismd/1/93d9hZW/xzqUaYPUsY86x4T38roAJWlm9+61u//IF/F7fb1LVjFuoaXH/8 - WHz1g/fcc3ggpcuqGQYW1APOnWI+e4vocwCidJbthTDbeeejpylBFLWp7jRPfO6zhzY3wy034/Ba - 0DIuiCWvIE3y9NFf/uWNlaVb3vpPAdJuKsvLquBKUAAmqILMilJkADkrEwUhIHRdV1UBAMxAllKp - 67ovYpe6KlZCs3RxCQGaoIT1KUr5wvv/7TVtWtWydeKx5UOryBnrW9P7/nowmWo7WR6P252JAIqY - GNXRKwd3vBJXHUMxJbIgp2BbKyvf8gPfj1hDnlqr01P/w2d5/XycMZzbnjTucw7zdmZu99mnfiD3 - d+ecO7f+WN5nI86SepXYCOhjoM2KGUBpa6sylX0+EDM458zEIHRBaDwCAkOAfpRbBVhp3ro7gEGv - RRmUu05EBpXE7Qk+/edLDz82LLrb9NybPRlAhlyQrJBaHSVI6YApxW557apXv3rryOGkoUvZKMcY - oOjDKQ0g0mf6Ce2DBAyzKT/Ln/PA7Nlmcca7pABk3rouxAbeCrz8rXds//bqzuapwzGmlKKwljMD - tAl0QVlXDJRS6nq400yWKyxNm8//zn986U+8tCu2oxqkMtNshUVMRfXgxXaSASBjBveFM1IlFGYZ - DE2pGAgMKoZL0cq1pkXq2NBHEBFTMSMSW7RxTAtznzjLhv0Pw3UXV7/CZn0oMgjUSC1WtLRMITKY - oaaLnX0/i2LIO9uwYkYAMbNZBtSMSZkYSop5mDMblOy8wzqfl2aB0AQjkIIAtQJSQiCIgck4KCx1 - +777qjJAhP4UC1c1ra6CxQCGMlQhRtw3MxgXGCQ+D8DuY5xnZxrYzMhmZyLm3WQYz5sKzzGvOS8M - PctqaU81wYYCkMF2j1m++N1Bx8Z9ramzaAQFmMxgSCkNh8Ot3LKWZRi67oEPffj6VusE9OdgUeZd - IYYpM8jACgZQkBVEmIb4kPLLvuu7cWhp3bpYL5FK13UWGDBDX1X2PT4Y2AiY9R3Ou9fwrHoW8zaH - Uf+VCPen3DLzNvOhK49e/+3f9tX/8/9aI5CBZN4xfdYMAFRJxbCnfWMAFCSB2TTlXG2cfuRP/nRl - /buXVuop103TqIVQD5RJc1dSIuvz1QjGAJEZA8reMHHuucHMW1tbwnE8Xk6pNE1XVdU9f/yJH/ux - dzzy6IkQQh+DtEjpvlj63G4AffBSn9rrud3OPa9UVdV1HTOLSF8hePSac+6yswiP9Ljur9Ni8dHu - M+07tgSkVChKaHdw8sn/79te96pSwpOnqxiLFjI20ov+E+hzu0GmQDHi+XNM2u6Wo0c+Oxz/5P2f - xpVH82CoOVUhXuIl5pxzzj1vERER+Ukkd/D1X6eSza4/X6jrumkaWIkxGmBmqpphHOo/vOePFSAi - NiuAsBS9aOdJ1JRAIKSUALBIyZmBCH7VK28fDKvp9s5wUJuFppnQ2a6pd84555xzzjnnnHPOOeec - c84555xzF0Xbtv3QgiGEqqrO989LKYtrqLSUEGNOKeccAzTje7/3f3rLW/5XswIgpXY8Hveh3c45 - 55xzzjnnnHPOOeecc84555xzzjnnvn4HLLmP9jzmCjST2iJRq4AKSLlPQKaStHQWTIUBHsSxIJZS - ZWUUxrRgs/2zH333oz/789s//55vJX1xU27Sqn789JFOaX2LuzyoQum6VDSDTIJwTRaQCA1hqjCC - KkpGSbOHZaCAFUEhBZItpCJdkWTIXGTYyRUN3biTHn7Pez//z34GzQ62T6PdlJCN8rQ0RgAhjuK0 - awMQjBdhhAQEIEINlii3bIkVscJgiKyoB9e/86ceGy0/sbm9NFwSErRp+dixLcIDTI8dPXLbP/9n - GA4xGkKY8pQ0Qw0UokW0uTJGaTGmG3/0Bza4ShqJQ5M7HFoavPxl2ykrCfOsGAB4N/Np5qwZ0P1I - alYUbYcHHihNHg3GMAMRYkxENKohhJTkMs/npX6ZnLEcuA+bZwhYELitQj0cCQuHAMt4wbVdJAGJ - EYELIADbnsFqAaNZ0CP6EC8gwCJgZlCjSdc++BCEwYTSbm9uHgVha4pSGEUAMYhBMEuSmRVxVrg9 - acrGBy6pk9hIsLx80w//0FfFMKoNHaoMa3H1setef2dTL6UwLAAxSpDNThMjMwoBQDCNqlE1WJ9G - qotEdDKIQrRfzjqPe0QhGCnDVuo4LHbyC1/c/NSn8dgJZKWmq7o2UDO06fKpJ48+evKLP/9+rE+E - CJMt5pKolIBkWQMVliZWLbhVDYGhZinnLlVVVUofkAYYxxi7nDK0QEMMWhJpR0gZrUHRFWx0j3/g - 1594113HT0/HW9NovHzkMLoOqZt+8k/yl7/c7GyNxsPNnR2qjIK0gu3VpcG3vAJXXQFk1NTVOG02 - PXTFi37kJ7F2NcRHE3bOuefGLPjQEGZpawUwMG2dPhX2v/lDzGYaiYmkIdDRNZCBqW9jGNjmMeMH - kBhitiFiIerImPIgNY/93h+snNwaJCiQGZmhs3RKNUIuyEStSOGaEC0BKtOE7Wpw3StfieuPb6B0 - AkQBs1If/KwH5GeW3Azy6UGHY8Mb3/CaLnBOyRgda+FinEDGfVrrBYdEkKipmAaAGCPIw3/4xzhx - IqJ0lgJoQGIZRrGPo7hYq/Jimo962//bt5yJCONlY5n3Py7FBk2G3CW0aXbXU9/xmS+0PvgQffP+ - EpTGXSoKWODxyopQEJAZLtmo4dsb62ABUGAURAEiMbO+PndfD7LZmNo2z+MhImMqVAwFVkrb7ncZ - zIyJiGAGg1GIy2trSpSZC0OBQlBACUrnm8T6bPkI3Zc7uoDNYr7O+03LucuQMhTQTJJJlEDQ/nSq - hFA0ReJhpkNd1r/8/Mm/+WrMRgAZ2CDzXUZMxVD1ryhYkBUKIISp8PYVy/Wd37pjUBOhyMZGZCKF - WAlKpEQAwaQQJ+Z97S8U1lkPCEzGsXBVZoewZNDxoHrja588upqpFg1ZUfgCGglnadrMT62aqglh - yLLz0MNbn/zztZ004KB9y0S1dJmMgyKSFNYkVliNbLa0jeysn+6cu0jYdh975aSrK2sisZRSSsk5 - f/hDv/u93/u9jz56gplzzmYW4+y7g4vYIOxjemOMOWdV7afejzninHue6If+UdWc83A4DCF4brdz - 7vLCzIvQblXtI/e8KrvoUioxyvx8J5FZ0zSrdVWpDfbtURuF/gEK2PschwZ11zTQAkJ/GWIVYh9/ - 6Jxzzrl9UkpZNLcA9G0w5gN2PbNzz4BM59/UEpHmEqQiSEqp35jrWGUtd9999xl/dLG/nTeYiOy9 - 1ouJb7j+ultuuUWI+zGdRaR/cnEn7ZxzzjnnnHPOOeecc84555xzzjnn+gtFFvfQlVKY+QKuQ+6v - ADErdR0BzakNgUUoZ/z9v//mn/qpn+r6uXWHAAAgAElEQVR/oeu60Wi0s7OzD7PinHPOOeecc845 - 55xzzjnnnHPOOeecc89T4bkuwBkW0Q7zEbl0T9aDgng2kqcxCDAQQTgKaYKyKZRRgCaFIEBBTh/7 - uV98AfglFIc7E5QpYoBVZmlJCRIQgMkEw4GUJGZIBaroEiYdpi3ahJKb6QaxCohjYKlQRVQRQbC8 - DCEwQCBQEEIQcFWapqpGSK1NJocOrRwm3P/zv7Axqk6N6zvf/naIDUWg2XLhKg6rOItjYhjNYob7 - +VJkA4Ok0yRMTKLMTIxAm1dcOVrf0OlEtjZRh83p5GRV3frud2MwBg0QQ542YRgwrIACEDJDuKqG - yAmDCDBo/IKffPffvOdfHhvEuh7YzhYdWrny5m/qHnhAp1uiSugj6chQMM+TPtcqgxkxBVCt1Dx2 - 0to0Hg5RD7QUFG2YO2EwQ6r5QKz7s/VcEnT29CwmAG2DSK/+ge974F+8b5BLBDAc4bDWR9byo6cG - xiowVRhUz8jQ7jdx2fMKqQpAxoEgbbf96Il62mJQq/Ha6mrbdFCgZOGwp0AMgkKNQKQw7oPGaVHu - g7fYDVzCMAQFVd/8U/8/e3caLMl13Yn9f869NzOr6i39esVKQAQJiAvERTQpcZGGlCjZpjS29cEx - 1kgTY094NJ7RcBdJkQpRCzdzsWSMQxEzQ1qhCI8mPDOasEnJ5joiJVIUJYoURYMgQBGNtYHe31JL - Zt57z/GHW/X6dQMgiUY/4DVwfoEovK73qiozKyvvyZu37v9tJ379N0cKeIZmoMKRaw68rDr2p5/f - T0OkLiWFAuDtY8L5CegCnBdU89A4q0WsN0gld50HDlSD8Zkzx77451c+60a66UZqAvouNEPf56HL - cbx+7wf/l9PD6vlveB3SRmgakHMCMLokGoKCAnPfxToETfCBoWA4KEDIKTnvIeSALsfaBWKg60FS - A1BGK1/9wG89cyxL8GAG57y17hwg/fqf/Tk/8OAwRfY8nsyqCi0heeqb5oof+WGs7YuplcEwQWZV - szlYfvrr3oDBSJTZZlszxpjHRWllSpg06bn2llFqJgVAnMHYPH12lC8qZPHRKKkCJKrMGyq46srM - IKLttu/8pZddyQJ9LIScY8ch9e1AIk7cf/LLX722TRUAQPnC8isLBBDWumZuY9bMEnJdnVkaPu2/ - /MlOZSt1FIL33mVHIiWlsmyNJ/xWCeIwc3p/O7n21a/65r//+HjcLlU+9skTAPBjTdVkIibN0rUD - AAmVoyNtHP/xZ4Z//+97cVBxzkvO7EhJ9loasIIFAgKX8xBAwazMEFHFsOFQiZIyGKS660tPEMoJ - XcekqooSpqv6CFPcnqtUzWWEFAwICdSVXGclzs4N963MFFCoggik2O2oewJmW2MQkYqqzGNjvlOF - v7c+v5cXggOYiEQUgIemtuNdbh/LiToBzMiCJHl0YH/0LjMyIW93vBD04hKay6vQhVGO5qmGFUK7 - Xn8a83giJFbu2StJyPOrB0oASc45cN30XdPFW//oEwe4otht97TPi0USlF5EhioygR1LFian6raU - r33FS3Fk/5kuVasr2hErOfa9ZPGlSGBWIWUQKzFUBADpbp01KAmXaHI4YSdwit6JkCj4jOSD33ft - vh/+wenHPq99nzUy4dFlm5BAzz1ifsQop1xMSUQV3mEp1AfI3fZHn3rxy1/q656qunK+izmm1ITg - iQlQQi4nawp/7phDeJRLZIx57Lz3W1tbRK7vUwjhYx/9w7e/41cF8CGUMCTn3PYP2+GUj11JVyrP - Vtf1bDYjIhGx1CVjnppyzqrqvQ8hpJSe6MUxxpjvCRExcylgSg1j+Xa7IXgnAmJCzlAd1M1kMhPm - 0o3JqkK6C7dQggBloKHMxxupEHJMk7YbXnEVRJGyA2mW4MMTu5WMMcaYJzfn5uOjdxZgVnqZPa6M - 3yrDSHYOBpKUvffOudIB4pzLIkA+duyBe+6/h8GiKgABKaVLOKyjXPsqPb1lMQhwzr3qVa8C0Pd9 - XdcppdI/c8le1RhjjDHGGGOMMcYYY4wxxhhjjDHGLJQhT6qacyaipmmqqur7/tE+T8n8FpGu6wAM - BoPZbAbg1a96xS233FK+plfXtXNuc3Nz//795bfGGGOMMcYYY4wxxhhjjDHGGGOMMcYYYx67PTc7 - T5kwPi9CHngeWlQIaB7aPZ/MSMt/rJKdA2KH6Qyz+LUP/VYd44G6frEoJpsNKyCoG0jGIHTjacMB - synYoU04eQwP3N9vbkjfxXaWuk5SopwgCiCEWgUQFaiqKkHAmSGEemVpZW2/X1vF0hDDAQYNBkM3 - GqHfhK9qnyVOWXEYuNq5zfX107/+gW9NJz/0zl/BqKYqIEfQPM26TBjqaLFGkLKCDvAcIBBAq6AA - VSvP/8Vf+Oo737Mahr6Ovafjy+Gmt7wZw1pczeSR4JsGpIl6QawUcHUPxCij4KAJ7CEJo6XxFVds - nTrZCFEzQBdx4w3Hvn37YcVAQCUBWEUVCjBj50z+58X/EETnIcoNuTieBcc0XMJ4zMurcCEsDaep - hwqIkM9PqL48LcKyRcDzrM6yQZoBpEXFw0P79dQpjYm6GQKvXve09QfXowiI1BFlfWiMli7e+pIo - I5rK+++VG9HxxhaOP4hnfB9z3cVuH/iO93/gxrf9czqwtgizBxhEADhDCHAkALu9HeYmwAwIYEcU - 2H3ik5/7+Z98dZ6c4BBIA5hw9cGrXvXSzT/9Im0Iclqpl1I/AVgXUaTK5/bG8iHC4vDAD4k6K2ER - pGBFCx1WQSVVKZLI6du+ubKxXt10E1bXhBSuatv2cDPsJpMjOd39pre6tdVrXv86hIBBDc11CCqZ - 2CODxIFAgftWgmNyQEJUhOABVL5CRoMaktFnTCImY1T+q+99/6FqeCNVItPI1E02l0bsZAaV+z/9 - yXp9vI8dVJi8g2RxsanPNu4ZP/JS7B8iaAhLW13Kw5XTdXPTW38JrgI51h3HRmOMMbuGFYL58Xa7 - qSkFHQMqKqQE9UyQfrq+sZp1V6MTlZBVnPeSJMGPvcOVh3tIRgYJaEfToCDsxThPIYiSZoyiHE79 - sc991p85NZRExCBhAet5i80EhkKTxjTPhSPqq0DPfjp+4BljRt00PXKUVEG4hPLumQaSAOqFOPSj - fbhqsPrc53cbX67arVpADACCeWm9XfM86v1H2YEF4hzaBEa6KqRvf+pTz/vpnxo2dcpCLjC8CBhl - h9hbadO6SBvdTltkgJSTJlTBjYbxFAjqaMdp4q5hRUXAZMIoZ4LqQKoEYt2eonev7FzmMSm5lQwI - IRM60uG+fccFAkDADkSUVXY1gI5V83iClJggUFEokaoqk6pgTx7ALyeLpNL5x5ZJkwKqAJEGoq6d - 0W4eD5WgICElBTOpUJfz/rW1ziGViFaFki4mAF/0Ejyql1j8IAT3cI89d7xi+o6p8GaP2lkS0KPZ - Q5Qgj36PMmaPIAhDM0kmDzDQM1SJBej7WT1sNMqg63Hn3Wf/4stXZGFSBWcSpwyF8qIXkSCKzBAt - 2QZgqtokGC5d85//+LgJuXaBB5KF2SURdeAd/WykcDo/oypRX7u0vuVYnQkAkzLNj92qAByP2dUr - w+te/eo7P/WVvlOm1l3EZ7ucJz60g5pJBN5Dobkdrw5Gx75+O277dr28zL6SlJldVXmCiMh2MyIk - RKwEUmZlgVjFYszu2Vmvzj9qpcR1jgMxeaX0m+9+z+/93r8BwI5ijFhMCJJzBqB6LtnlsStPJSLe - ++l0mlIKIVhutzFPKSISY6yqioicc+WwY7ndxpjLSM7ZOee9L8euvu+JKASLcN4FoswEYjBPtsYH - V1ZCF7XvQUIgIr30t/MhhvN+9XIeywohcVV9sAr3bY7L8hAgyOX3T+xGMsYYY57cYoyqWlVV6U0q - 09c+0QtlzPdCHzrozDmX+yjQphmqatdO1OFzn/scACJSFSYWLQMMSS/pZVoRISJVLU+eUvyp17ym - n7WO0YQqp5hzrOs652yjyY0xxhhjjDHGGGOMMcYYY4wxxhhjLq0y/pyZmZke23QN29/yGwzqksz9 - 4pe88EMf+tB0Oh0MBjHGGDvv/WAwKPHe56iNeTbGGGOMMcYYY4wxxhhjjDHGGGOMMcaYi7fnorvP - BQmdS0WkCwNpaR7oTQpkIEsFYDJF137jd35ntL51bdfvr2qsn0HKcEBwCITJDMFjMmlyxmzWf/vo - qaN3NSn5PnLfBWTOKagEwAPOgRhQyu2U4AAQiEBgUoIKoko8uzE+s9FpFqbh0mh5/z7et4Krr8Bg - gMHIhRqpr32FPqHd3F/VOLsxWhnd9aEP9ldfcePP/iwGy6AOfnguzVoX/xEzOEJyjpWrmeZ3b3Rt - VfFwNHjBO95+23vff3BldVPjM9/4WjQNqsFEucqoXZmznwQMBPiABA4gsCLn2PtqgMESYnre615/ - 12/8ekq9q2qQwh244qZntd+4NYj4xai+nclP8/fl/CmkiCEZopIBr05VAjmMljEcxByJQxZ92T/7 - RTAwnWJl9dLuLU+AkiNIct5mIEivXDEco2naQcXQ/VUFRIjg6iv96G/7zQ0WlOR5pvOi0LEjfklk - RxY4wKBKEWI/vv++padd2+oYYVT3s0PNKnzAdIJhQHnWBQF4/oERwl4fZ+kAAbwPaPTnP/2pf/3y - l/+3f++npZ0uCbTriRCuPLLyspdufuHPfZs2p5tDMCMLGPTw8RIl3kwBlIT0xZ8xUN6zkrY+HDb9 - tCVg5MMsdf04zu697/QDx698ycv54EEMaNg0kH6QM2321w1GebPdeO/71yv/H//sC2/4o48hBPI1 - YoarOARsTTEYVh5QhRAIgYAkUEHbwXn0HYJDzF9817tvWFpKZ87cVFU8HvftrGoG3lNwDdoxzp45 - 8ddfGWxN6pjamJZCnWLnXNMT983oGT/2cqyN+hzJceqTLK/cC775LW9CIAwa2DT4xhjzOGIIFJkg - BCUGWEpbLroITQJRxnScxmMvwruclChQRyTQxKxry9i/mkiJSEsKMoBFMc3zOOQ9FNWsAAWXAW1l - DQ6nzhz9+Mef6eGQSEnATkuDPg+XoxKX59hBugQQuOJxn0+Qv+nvviZXLoUmIEQVyTlwgIoAXBJb - 98KtIqU4HC11iabIV77mNX/1pa9dL25ITjUD4Hldd/ElnJTnYSSFY6ig3trUe++a3vr1tbVXnAFn - 8sQoU8Sq7sXw1nneOlDyzJ2AFAKFo2bf/v7eY0Gzg+jDzI57iZGiFsXmOiQzI+kj53Qr77UQdPPd - KbOK0HZ4pQqBCZkoEpb27Rd2Or8DYELe3YO5U2g7w6zlVREgQ8EsO1oQApQECiWWc6c45hHtyDkW - gEGyM09UVUuMNxF5kbg1pt3foKqqAnakYIVfOXjwrKNMkJIpe97R/2KOcPIIDxKblvsyx2rB2+Yp - TgC3fSSTRb41e699qsmNFONPfubwxrTpM1TwCAVu6dUnhggYPrI77Wh080244brNpnJ1E9sYyBNp - jF1oBll7lvKCJbcbedFUMLBL5wsCURJWhoIUIJVSAFASUamq9czX3vwcd/11Z75+2xo5Sck97No+ - AlIonevhFyqdqPP/ZYUykAHkpu+uqcKDH/t/r3jRC1Zc2IqJal+FqmunXsk5kMJJqYBFyJV2d0/3 - RBvz5JVSUqFZP3nta1/3J3/yeQWcI1XdzkAqMUh1XV84T8dj45wrs410XVcmHOm6rq5rVStcjHmq - IKK6rvu+r6rqiV4WY4y5GFVV9X0PIISQcy5Hs5SS93tuKN1lqpyf5yTOc5LsQZi1TVXJxsakmw1Q - hvfkXbnFvPtgHpS4/XPG1myWiarlCm0LUKlpc0rOW3NmjDHG7JaUUggBQM45hBBjxI5izJg9q4wo - uAAREVHKmYMXkS72VVW5uvnoRz8qQBn9RUSOXJJLmU+vUMcuSS4dsJUPOcVh07zgec8PlfNKfezq - 4AEnIntzPJgxxhhjjDHGGGOMMcYYY4wxxhhjzGXNOQeg5HYT0fbX9y7i+3Rl9BQRZrOOGddff/0t - v/2/rq2t5RzbtmXmEPxkMlldXd3c3KzrehfWxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOeivbWfKME - uB2BZwrkxRLO7y/j00gUiEBFAkTEiFn821v+xWA6uyqnAXLtgPEGcsZogNSDEmYtfMCDJ/DgsfHd - 90zXNxvvVlU8VGKsvVPJTKDFy4siJUC1Lnl3WvKVSEW343Iq7xrvR+R6yXG8sb65LgS99W9WjhwK - qwf5Wc9B3aCk+dYB3RaqWjbPHBgOTt1x9JsfuOX7X/9G1DWGHVwgwGE7upuhUFKGV+d7qE8SnHPA - vqoR9GBgFDZvuu5v77n3p9/0NjQ1nEOmoXPwUCD1GipSUWY/7bpBM5AS6Q31dQ1xaZb8wKN1uRmO - p+O2nzSSanXNtdfMjh6V6SSnzAAvYjx0EYjysEFW86hxEUAcOOfMIHRdWFqeJWm72Zd/67df9La3 - Yd++THhUUSJ70SLqsoRfCsAEUnAgyaqOnedr/oefv/d9Hwyb42XvQIzl0dKVRzbHU9YM5BIorTTP - nsQi+mV7Uzue72YMJwCQA/HW8RNLp081z3jmdKutkCn33/rIR575T/8JAHXzhSrJKIuU+8XniBa/ - KdGGe2kqLgacoKbF7nVg+D9+5fMgfPWD77+ujUtdHxRIisNrKz/xd0596ct0KqdJV4uwntt6j2Se - WFaCfBQkYMb2o/ppWxFUkVKs2XmRPJ7W9WD9M5+pj1wxeMkPYmmYkWllRcDdrK19WPWDJaWfe+GL - 737nb9LK6NTW1gt/5R0IAdlDFbO+HL+QBVWFLDi7idEIWTDpTv/H/2v97vtDF58hyZ86sewCtTNo - WlmpRKc0m2Grx9/e9cDXvrbPc2pjAKrKaR8ZA/LLvDq88od/ECurkFRVoy5TDPWpqr75l9/caw6j - fQJQnHLTlHhLY4wxu207/fq82TlJoHBwQkyInKJsrOus9bLrzS8ziwiBElFz5ZWom0TMKnme2n2u - dSCVUsDsnQwlIRATRB2j7gR/8sXmrnsHcEogZVaU4Lid25BRaRJXs0/JEXrls9yEG57ZvPQV91EN - HerMB4cAOHGiiSkLp/l2eKJvGRhIFWKYdX7Ky8MX/WC86Rmzv7lNpwLkneupi3qGv1vZc4ESQqAE - JjhlVtKM/Snd/clPP+vZN/u1Ay07VSFR9pxz3FsFIrCo2uYIQsqACBMc1wf2xxB8zJQVABF2NRGM - IT4L1tc19sxLJKJgEFRViICd56iLR5jLnbKwRMdY2+frhlyFHEvSPbYzvncHqXDbYTwmFZCoEjPn - nJn8dlbp+UcD29++K8aOLqZCCFnJETLUEakKQ1xM3dYW737rWJpsCAjONwM+dCAxK4kSz/eyBdJL - sLc9dBcRAEQPnV7cXAYuqsG78ANgzGVIaV5xOSlHNVYSgSghuJDGW6NQ4YH7j332C9cJI7cEsADg - C5ttAgNZEIhUALitiu873Lzgp18+bnTm2YtIzmCnEB+INHpJIGUIAU5VIQADTMol6hq49LcOgGYl - KS+WGCABCUEhAsfRVTJauu7Hf+Rbd9253PYhpsdyUFcABAG45HArNIEZwSFTPoJw6198+Yqj96ws - 39wSWsmaY8oZtRdNQSBAYighMUjB4EvSfhljvifz3h4GEHw4ceLEf/+P/tHt3/xWFnjvU0ogSEpE - BMA5p6olCekSBnhvzypSosFVta7rEnx4SZ7fGHNZKEm3Oefyw0VMNmSMMU+gUiAxc4zROZdzLhOo - PdHL9WTjHOcuLYbPNaRQ1VGokfrd7HKn838o9bMoYTn4LQggaCpAFDn2OVSW222MMcbsIu99yRJ2 - zsUYyzVTy+02e5w+wmgtEWFmZXLOtV2XVZqmOfbAg1/+q7/afkCWzMREpKrzgvRSVL7bHS8EqCoB - P/njr15dWnYMEqUElDEJUIFaL60xxhhjjDHGGGOMMcYYY4wxxhhjzKVVxo2klACUoVAX/VR931fB - xZgJuObqK//t7/8fV1115XS8yYyqCmVwe3mJ4XBYvr5njDHGGGOMMcYYY4wxxhhjjDHGGGOMMeax - 22tTjgq0xMrwuchhAEAGM0AEIAFKKhWAFDGdHv3d3xucWF85vbEWvJeUuimCBxRMaGdIPbpu6567 - N4/e68Zbw9lsCCwRpy46RhbUFceYUcIXBbJIw2GGI0iW7cAJgjIRiECkKilnSVmBQBQcyHkiRc7t - fSenD56e/u2dK1devfz0G3DFFRCBZ5AOobHtnzYcbbXt0fe8+8yg+cF3vA1IcAxmkJuvOIGVlKCA - A/ngoEiz1jcVl6TowC/5h/8QxFDAlb9jBmKK5L2vqI+5CpUAvhm00MaRKkCIKQUOvvGi4Gpww2tf - d/S9v3blsObxVp5kd+AQjUaSe8pCqqB5GJ7qIyb6lW3lnJOSHu3Q5hz6CILmrqpGS3WzlDOIkWPv - 0SA8ySKi5undgIKYPCihDuuVO7C8JOMt9gEQHD6U7rvf99FFUUCg29uT9LwwrZKDLpqJACVVASSQ - 060JNrbyyZPVaBl1yNPNeDwhJjQ5gz3Pk7kdoODt3OrFayyeeo8hoGIgKlKGYwSPQcCse8Fbf/nr - //N7rpBwqK/Qdxlw+5YP/shL41//9ebtd2r0XsQpyoYsa6cEIbBCFquptD397iJtXc69cMntJkYg - ggipBjC61kH47IMP/OFHr3zeze6mZ2IyhffDeqAx5a0Nx3zIsXrfbk6XVI+///0bTLnySeAGg3/3 - hx/7tY//EYgwHf/lv/xwI1KJ8my2xA7T2RHlhokJszgbkMKTjCegiidnsb4+++tvdvcdv8I32qYA - 8qGS2M2A7Orm8IHlF96MAyuAAC52Lg6HJ+vBDa9/PYZ14loBh4ya4PJilY0xxuwuLmnSAJQFBJon - eZMoGMyMBNd1srXhYvTQ3Y4CLd/rqMhn4nDwENglUirpTHrezPTzEOg9Vo3lHJF1xIzx+O7/9MdP - A3TSggB1pXmH8s5Shl09zdOhKhgCjDXF/Svf97IfEiZqmpAdqUhSEYGkKrBARIRR0u+e4FtSrthN - 1zcPriw5aeH0mT/+Ixu339lNtzzPC5dFW05Q3lHBfK8YTIxZlqZG6sQBA0LTtUe/8IVn/dw/aFb2 - bcj8OZlZ9tjXc0oVKwSnOG/dlcEEIl7e1/pQpxb0eOSRsiDEHuOxpugYEFD5aM33R7kgVl322IfL - fFcP/5YpJ3ZYGknTqPciBFFm0C5HdzuF63pMZ04F5aDBnJPy4nPxCPNCm+8JqwhxCShVUSjPm0Mm - yooYZTp1uruHFVUlYgBJkMA0aLCymkto9wIpCI/He11Oos3lhRd1HSuE9lpBZ8wuKgdFpwJlhgdS - KRS7mJa9H03Gm1/9Cp88Wcd+LLGuaunjhV2jCsyvLMDNz99c9K49sNT88AvXGU5BbbtSDVQkpX5Y - h3Y2HjoGSTmbI2UFCymQoeW6xa6cL2yHlStQ6gElBSVSAJxTJhfaXoY/+rLpH/z7/uR0eBEbdEdf - cWkcfdnEmiuHpIBAFNDEabK/Cce/8KUj11zbHFybIpMwEVEd+nFbkyvnaYnnEeB7sP/ZmKcGvu22 - 237hF/7Jvfc9CICIRIQcqypU59kwgIg454joUuV2Y5ETU8KWyj9zzhZ1acxTTTkCOOecc13X1XW9 - feQxxpjLQpnUzHufUiq35chmLqHURV8HMBAJgKo6Zmlb3d3TSD2/9ywv8g2BLvJSmLdWRM55ctx1 - XV0PdnN5jDHGmKc6EdlZdJUy7IleKGMeNVLoAhExc103s677k89/fn0yBsBlAICKqISquoQR9QSS - xZAGAklODviv/u5PiSbtxDGFEFKKquqrkHO2y8nGGGOMMcYYY4wxxhhjjDHGGGOMMZcWM4tICGE7 - wLtpmrZtH+3zOEc5a4zZOayurnzkIx9ZW1ubzWZVVQESY8w555ybphGRGKNzbhfWxhhjjDHGGGOM - McYYY4wxxhhjjDHGGGOeivbeFOqkEiOHCvBQOMxzozNhIhqYIKmGImb0CW139EPvX41dnUAhx9Rm - lapyAEMzuogTp6d33bVx4piL7RDZpxQUADIyOWSQePSABk4qrCBy85wkAWdVKJHq9rysAp0HWQOA - o+3gQ0UGkIRAzJVKyFhitPfcc/qeewaHDg2vvQ43Ph2icC4wS9c3TIcD+zj92gc+8LzX/iIGNapK - qZMwLFHOnBEIfVQXCAyQ+IpAGUgKJh+gfp5bTMgEQIBUeYJmgCvvoPOgj5LmwyQAB1+X5eUOICDw - 6ZXhYHLmMDHXNbam+5793OOf/+y+4DXmJOIdoPNck7n55phP/6QCR8g5gyhpBGhYN+Nvf3vpWc8g - qiRH7Wh/aBAT5mHL4p4E0cI74l4ACKCA8yAwiFG7m9/02pPvvaV2tUomX+O6q/s77hisTwRtYK+S - dk5AuzOUy4MlZ2WoAioMBlhSHADrd3x73403IWfELR/qNQViROqycwCrpMA+tdE3YWdK5/Zz7805 - uAiA0xJZruwy4Aceobv57W/9i/e8Z0TUdNENliEdaheefdOB/fvv/9KXl1s0qpXzMfdeQRVSRgaC - lhh1ApCk5PkwwFAoybntrBACqGxkpfnWEiWAELvZCrDxN3/t7rxrdPXVdP31uPIKQnIVQRnI0osj - GjhfR12SKG2fiKXt/vnLfvT0b3yQlYXkOlKGeqjT7HMmjULaCxzYB+Q0db0wgGMP4I7bz9x5tAGG - DsitghSujxnwfVPte95z6fufAS+oFZ3AD3oenAyjG97xZiwFZfZQh8wAeb9X32SzJ5QZxkWE2TIf - jbnktnOWy8SbUHBQjETGx46NHIvm3S64JWXPDooeWLnm2hg8eSc5sYOAGYISCK2gXQ4lvQiscISG - Edq2//a3znzjG4encVAjzebRb1NMqCgAACAASURBVJkBwAnmCXaEmKPC9RwTgR0mGacH+ek/9hJo - HnUz0tYpSsCegDWCFEp75RYkDqg9aLLuVCN3B17+ws3f/7fduoBLvVzeLCKAlaBMjzqhWpICAa0g - LELPh1mv7tqNP/yjlf/pn+auHa0O2zan2LFCaa9F7PH2uUZJKCz3KFPMcfVp15xxbiC64jhl2e2E - QKcyVBo/eGLJOUkRUBEKLiBmbMc+kJASYXGi9OjT1s1eI8SiBNUDT7/u7P33LYMCQ0SJdjdJxKmM - BLjvPv/cZzFzlkRERA6OpexWpY7bY5/YywgvGkHvK1VldiICJoKAIOOx381tSwqCasqeqAU6H5av - vgrEwq78ttQTBJxrxS7R8ZmIRNQ718XoQgATmIkej/NHESlhrkSkIiL6+Lzuk1MWIiobkBkKKJTB - JYL9oZv1XFqe9wpklTo0MUZ7C8zly6lCS1c3M7JAhLlWcm37zY9/4hqJMc2Wmqptu+oROp9LCEiJ - UoBiOp2+8jU/i3a2HFah0QmQpplRATTraojLwqUXEWCFzOtSlMP2Lp0vMIRVWUHqFEgOQuI0kwLq - wC5LHPoGlX/2K1528vf/z/3Oa5ZHdcqw3TFUGhol2i5sNYMXRxUiEGndt3d8/NNH/uv/hvspLQ1Y - wQRRBZMTAEjnP7nuKOaNMY9Rmcgj58zMZXINydl733WRmUHEzAL+4he/+I//8S+ktLhmVy5h5nL9 - UkUEQIlB+l7CkLZLBVUtKUrlnyVLqRQYJZAmxlh+FULYXmDLuTTmKWjnKUZd15hnohpjzGVju1Iq - FZf3e28Q3WVHL+yr8nWY319+P28pSHl3mwzS84Zjza8obQ+m0jI6cL5opRUzxhhjzO4phdZ2Yrfl - dpvLl/c+5zwcDtu2BSGl5Kvw0T/8GAABttO1FZjndj+k7L3IOphJRQE45yRnBoZ182OvfBUp2BEU - urigPD/BsR4aY4wxxhhjjDHGGGOMMcYYY4wxxpiLJTIfiVEGP28PyXDOee/Lt/aIqG3bc1/qfwR1 - XXddV8aclL+UrN4hZ6ysLH/4wx++9tprmAkgVQF0e2R7GWRlud3GGGOMMcYYY4wxxjzVqKrqfFYf - mz7UGGOMMcYYY4wx5pLbg7OOCgeXcvLOSwYzJCED2SMwAbmGouvQpc//xvuuZXegbQeSBJkglXeA - R5cwGePYg5MHHpwcO+5m02Vkr5lIPYMdqZKIqCKXcWoluddBlUQyKZyCyTl2IIjER1rQeWzWedEa - kAxS8hlMyUF9Fjl5YnO81d1z9ND334Sn34C2Z5KmqvsuHhkt5bMbd/5vv3OG9UX/7BdpdUlSK34p - ig6JIKg8QSEixALPqmneQ0ZcZjtVQp5Hc5RcRi2z8ZccEZrHicgiMIOhPJ+H1AMCLA9e9OY33Peb - 72wnW0Nega+xb3XpyJHZAyeCysghZgSP+URSup3bvb0JtvOlSImEAEhKUfoOx4/jphvTVssN76/5 - W799yzN/5Y1uqbrcp+0v6STb/+RFbnfputQsxA4sGFTrKkPwkCvkFnW1fPWVk5PfOEAVNH/X6bBK - tNV2zIlTDL3f3NjEg8dx6CA8+UEdZrNbb/kXz3n7WxyyAM75vo1VHSAAOZDo/OEiAO/RuHQBZD4Z - LkGADBDB+QBqXvy2d9z+rg8dCnElJp8FrBhWuOrw1T/x6rN/9qXp1kREmqramI1HCezAgGZkBUO9 - 94E5zlMlSEh556S/D5enWAJqnIMDkBG7nLrTW+MtHH8gL4/WnvdcNDXqEZx38E41g0iIy4IxVECq - qhlZMhTeqQokOU2sCkfwADMmPVyAACdPTu64Y/Po0WGH/R4iECCJEpF4NxEdrO1be/azcPVhLLsu - ZdXkfdPXoxM8+L5fegsGdXYOkLBI1ll83PfmG22MMU82FzYkykQCFSEwc0ppxDTo+83jJynGx6Ha - LpGcBM7Ey1de3bkqA45UQLyYgp5xwfT0ewUBLNn13b6Ir3/6Px1QDBSzGQYemi78YyUIQUkDuxh7 - yVDGof2D6vABfOPW2dGjyQeCCsSXbCragyc7aJEhUmlgpsjd2ubW/qYajqrcpvNzqEuzLriY9LsL - 3+yQsTZr7/vCnz7n5/7BgZXR2a3NTvOB4XI3a+fpfHsLy6JgWwQJshKyc83BgxPH+x0jpceh7mGg - yqk7e3ZJVbMQkxJIVLSEdT9cVbn7S2V2hywSOxiQBMAHf3Bts/ZDdZSTAIFZ8y5GUbKgSRlbE5cz - JDlmzI/wgDJI5nHOgBBYHyap1zwiUoKU3gvBPJWF4UBQZNKMrP3m5mCX08UcUxJlJiU3a7w/tB/M - Mk+ymR/zWYV13st0cR6SjHPufiVSmmfWPg5RavOcaTrXttmor8dEROfO3acPaXbK5j4v9mhHAOdu - L6Mxu0TgGHAqUM5EAJwKQYhdNevwl3/l77lvCIDQdb2vSJKw7KhxF/t+CCCBKKLEhqorVvfh9Bh/ - eVvPR9WFrFBCYgipUzgVUlGF0rwi3+4YF9rFOrS0AqzEwmV5QKKqrBAh9lWXhSkPkFf6WVqq4tlJ - oIupQZWgYD13ZF6U34tLHqU5qXO/urElf/qFpZ955encMdg7mk2njfeQcw+hMlnAIubcGPMYEVFK - KedcVVUIIaVUcrJHw2ZjY2NpaYWYU5K27T/56U+/9a1vS0nyjlMVIlIiPPqmv2R1V1VVEmVSSkRU - pvZIKZVcpXI7Ho+ttDPGGGOMMTs97LnpI/VVPkHmNbPQw9zLe2tRjTHGGGPMXlGu3fPiZwB931dV - NR6Pl/etTmdT9v7M1sYff+6zO+vh3bhUIiLMLCKOGMgM/J0ffUVd1/PvwSjwCGW5McYYY4wxxhhj - jDHGGGOMMcYYY4x5VHLOO0O7AXRdV9d1+TmlBICZyx98h6/wl+/rldzu8ijnSFVVkDOqit/3vve+ - 4AXPV9UYow+M3ZzPxBhjjDHGGGOMMcYYY4wxxhhjjDHGGGMM9mB0t4IF4pxv27apGyhcAAOOkPu2 - 8oTJFFHu+p1/dd24vXIwkhyYHZBC7pB6bI1x592zo3elyaSdth4YOa69k16zQBW9KBE58swUSsw1 - ZVWFgGgefsUKkSySdR7L8XDLuZizUwi8uIWyIwaxaOpSFgcK4JzT1ngNeuILf+a+8c0DL/nPcOgQ - pm01WMY0X1+H0w+eHA6Gt37olue87U1hUHVomRsBSEACCJgSKlYgEQI8KUHnS0WAI2CegcwZcBBQ - ifdjBzgFCAkZYA/euS69xNA4yiGpHzarEI/YYmV5dN3THnjwpPNeIASBQhfTqbKCFjM7lQAPQpn/ - n0AAqwKSRfoop0/zxsZgZQ3K01Onlg/vR8oV0rk88cuWosRzYvttpxLgneHLlq9qoOuGQ6WMdhMZ - qMLo+mu3vn47weU4pZ0hKBfmaEp5XigcdHvqWI2pCn52192DA2sg9N1kxS9v9Qk5eaQOOSHUdUAC - ROG2t7HKZTG7LJXItESAK3upNKjqm3757d98/weXT5xBDhgAFaNqMJS1n3zVmT//8vH772/a7lCz - rP0sx+RA3jswI8aYEgGeXEmdFyKhc0E7pHx+0J2UHFAAGsEgMDsngFLu9exJ3jx59thdw4MH66uu - wZErsHwAdePUgaE5QwQqwkjs1BFqJuIgxMKAm7+DMWOaEAXZ4ejtp++8PW6cHbAeIIRAEFIRMELg - VrBFqbnu2sGzn4OrDqNSUM/ezVDH0drdUZ/7K2/CUg3nnC4+zouIN2Aegm6MMWbXbYcKK5X8Ni7F - EhOYcp8qZsS8efRo3Ufe/VkxiShrdnDq/OHrr99gTtDtCKV51OvebSDEB1ett7j3xOaff/lQ24IQ - CCIoTfe8UiLR7bWQrnKVA7hG7JBPz2hy+53v++3OVZmIkBnJaQYAdeWxT9S6PVQmpsGg7yX0CiBW - tA45NJv1k652YIXMS25dFILMyI/m3ROAUYrVUt4QSBAES0nSvfeNv/hnB37qNbOgqEOMkZnzbqzn - JeLKu6+kpFlVK4errxx7J+xyLtHdDOziGrBqnWRy/OQBIpbMvskgEZAQHGAz3l7+Fmdksp0ySQqA - VSkxDa+7+v6K15LXlGn3Q0acos6C02egAlEXXM7qySWdH/xIARJWJyXPHnjY/HhzAaFF1CpJabVV - FQrHlFQBcFaQzk6d2bfLS0LsRBLIRebNmq9/+nVCKjT/UuZ8DwQu+m3d2daXVX7o8VFVBQqed2rs - tnl09wVx0+bi5LwdwKn6vR6SlADHAIhIVHf9QGbMbtDtMyoBQcgxmFW8ZoCX+vjgZ/5038mzgUmB - rAhABjKLk/O7oBVdhBLYk2TttU2nuzP/5v853c20cqXPMJMkhrBUGaTgCw7IpT3Z5ZOLTJyIAfbC - gCyO7QKwCrkQZjlK3x8cuFXp/Nne8wV9y98TBQRc+o1JFec1C6yAlNUk1DnvH4+//clPP/O/+KHa - K/nKuZB7dYEzSSlP3CIQIhGUBJbebcxjJiLOOVXNOatqSWTx3q9vbK2s7JtMZkRU14N/9x/+wzvf - +S6cf2ZKIIhCL+ZglVIKIfR9T0Sj0Wg8HqtqmRMEQM4ZgHOu3KOqlt5tjDHGGGMu8NACUYF52UgX - /iXLLg8eI1F6+LPm+TXHcloMpst8GJsxxhhjjNkNBFHgoV95qKrKe6+E6WQC77rYf+KTn1ofT2X3 - BxGpKgExxbJMP/v3/rs6eCpTNu+l0XHGGGOMMcYYY4wxxhhjjDHGGGOMMZc15xzKzAwiAHLOJbfb - e8/Mfd8753LOzjkR+Q4TKaQkADtHKSUi1HXVtX35VdP4d7/7Xa985StzjCIpeC8pf29f19s5msVG - jBhjjDHGGGOMMcYYY4wxxhhjjDHGGGPMo7O3orsVmPZdUzVQKrnds1nvQwgeLkeninH/9X/5u8OT - pwebm1fVjW5teseSY2BFFtx779att+rJkyvexz4uVwxi5CxdxGJVFaRQkexAihJLrWW+JC6xvgAe - Q8QiKcBgMFQkwzNVDjVpvzU5WFE32bznE5849P3PHvzACzDrUFVoZwcA9HnE+evv+cDNb3ljvUKR - xt4PBUrq4ADyOfWJ4TlAS2jIeTG9izRsBpDPDawTAqAMBTEUcm5GVAAe7MOWtiu+OtHJYeKmj+wc - WHHkCgwH6Ps4ndbBScw03xz80HlWy6BBVdHy3AQATuXU3XcffvazdP0sjfYNB1VMPUQR8zyJ/bLH - i1muxIEzAIVz0AxinuQ8gnvu61939DfeW5ELYFLF/n2jQ/vbE6cdEJhVvtOQx0VqXMkVEwAe3Me8 - fvc9g5ufi2GlKWua7RuO0GXorF4eTNH30VW+WrxHKvNdehH7SHt1uxPgWCEy37kVFBCQZ+Kq6vvf - 9Kbj73r38iTTsG5n4zrFIXlUuv9HX7b//gfu/uKXTk/6FVfXrtHUppQIYCYHkJa8zxJqR0LzQaYC - MBEebrSrghWk8KRKzB7ZQTiBIrIiHju1cfJ039yBlbXB/sNLB45gdZVWRgBByYk6JKgiClSRyoGA - IYLZFGc28olT3frG6XuPrfpqzQFwGiOARCqqGRjWw81+NgvhyPN+ADfdiKYGsnZdBsLKFeuR7k/y - /F99B7ygXqS5leyi7c0Iy+AyxpjHiQIgoZL4CgZASCVCMSspOAiQsHn3vVfPszh3+6sOJKCeFE0V - jlzds1emeYTzQsmEVtpzGd6soNitks4+89n9J043KUPhK8QODgpIqYsIUJrX5w6UY68AVfAOpBhp - tbzVei+sAooEAYHLtt8RhboXCGG2MQHQwAPoSHKWpUHIAJek7pK3DVzwDj6qF2FdrP78uZhUKsER - z3d8/BMvfPlLR/uH8HWaxPJ9ob1FuSS1g4SEAQhBiaCUiXH4cNdUeauEPdBuZ8GyohI5cfoMRBjk - QKLQLI55/qkmQQl2sHjCJ4Xtw3pWTszN06894/JVzALxRPiOJ3GPHUOamCcnT41EHMER9Tnt+JDO - gzB3Maz+yUwAR4pculCoxBkSq2aCgyJLu7G56x9knYeIR8dnON944/UzIiiDMu1+orKqgklVVfVx - O/gTXZiMQ0S7PmH5k5Uqygb8Xv9c5w0l8857dmvxjNldZTdOQOmEA5TrnJvU4Z77z3zla9cIU+qF - UDMk6SP17HtGm0EqTRMokReXNqdDyU0XoSUXXBODIOFh0xV2JIjvHiFEDlBmPZdgtihBGNxmR6nv - aCIMKOAaSPso07t3NDqkoAuTtssJybz32wv2dd2pO27H7d868LxnjRE7uKaucxYm2j67dAJlsVgI - Yy4VVQ0h5JxjjMxcpvBIKS0vL08ms7quc9Y3v+Utf/AH/7f3nLPsPDN+jKfJpVpwzo3HYyJqmqZt - W1WtqqrvewBd13VdB6Cu68e0ksYYY4wx5ins8bl295DKmL/DFVsB9t4FM2OMMcYY8wQjnCsrdVG/ - ish0Ol1aWpp1rUI5+A//7x9hQt7l6+Dl4u/2sJYDa6uv+rFXpj5W7Ejn19L22rBAY4wxxhhjjDHG - GGOMMcYYY4wxxpjLUc45pVTXdYnorqqqfO0upQQghBBjLH+2858PVcZ7MHPOmZnatiegqrjv5Y1v - fMPP/MzPZIkSU3kV3qszRBpjjDHGGGOMMcYYY4wxxhhjjDHGGGPMk8neiu4GuKmGDJAQRCT3g1EF - JEhCjJjGb/7m+w9PuiNNJX0EZaoEMTIxTpzu/r9bt+67Z5jTwIcU+6iQLKySBA6oPAGaE0KlEOSM - MoERM8DsiCCAqoooSlQHmBwgjzTN/XYeBpeotvk9IhASEIeaaq8qMSmEGI1HFsWkPdy48W23Tx48 - c/CFL8K+FQSF99C4tDG5YXX12+/50A1vf3MYBsQNHgyhiqxg59BoTo488nkx47q9DIvpoWSe+ifb - 90PhwPPQSJpPNtqm6L2ryAP64l/79Tvf9Z7rl2rMJoBgabh29TXjO+8koD4vy+PhtsM8BJmhQgom - OEYl2m5s4uiddPPzIQlKMp7d+lu3POfNb8awvqyju0mhNM9Hp0XAtgMzIWeFqqRc+wEooYqTUdON - p5Wvo8wCu+Ubrjt2/Ph+QgCwIzuTcV68CimczH8ARAkCdlVIfde0Dg8exxVH6tEQzm+cPX3Hb91y - 45vfgK719ZCrgHMRldvTcO3dUCwFC5AXOZWMDCABQpLBzYCRGCke+bVfuuN3P5zuP35kdMBNxyBJ - gSXG6mlXXbf2E7OvfGPz6D11NxvCwSFLjtAqEAkk6Y6PyPZ+V6LD5gHn5y+RkA+iylkpKcBUYvug - TPAKJKXpFLNOT5zYotuFHVcVN001HIThAHWFknKvCkCm7WxzI25Nte1YFKJO5RBnLzFHKNTBsXeZ - JOVUcTg9a5vrrj9y83Nx6MB8WYmIh56qzVNxvLrv+b/6yxh5VA5Q6Hyb5cWHUwm0/ZE3xhiz+x4a - qkwKYcoq7B23EVsTOXk2MGfIbk/1LlB4nkGrQ/uxtNQTO6asWiqNnUlsmQAS6B6qxljV9x2m7f2f - /uzhzfFq5VVT26EKkCwsAC4ImWQfmhQ7Qe5nEEUGaNYPXMgpAhmkmebhrE72YqByAEIF0gRCLVCH - bhbL26Q0r9hL6F0pki6ucXcKLN76ksdHkDpGueN2fPOO0YueuznrnAvyXYr9J4YCJbV7nnFLDIAI - GYqlkd+3kk4+SADIqeZdXXonqEjzeAuTWbW2LwKqykr/P3t3Hm1ZdteH/fv77b3Pufe+od6ruWf1 - KHWr0RABQYJlWICMTbCdwDJZrCwiIUKCrTgYy7IxISxAIoCQ5EBwPMWOV7JWDImT4NiLMEkMltCE - LCGhAanVknqq7uoaXr337r1n2L/fL3/sc+971V09VKlfqUr6fdZbr27dd+89555hn733OWd/AweY - LKbtgQ7Xsf2FeVmfwQCjgNATjW4+uV1zOzcFQozS9wdaerIiqc7OnF0xDcRkgCjCXtTxcuq2GHD5 - GizirllsZaEN0SxEhDKqtUkgYDa32ZztYANHTSQRQbUnuzAOuPWGjokowPJTMlfthS7ahlG8S5Az - wClehWxV1XIUJ9gQIbkYTPyaO+5cH8zYwMzPM33baLEhhbB/7Q89Il56uOsJ86L9IqzCwgYzrgUr - 8+7x33lXOHNupKJkMDCTZStbOEGNQIsIajJw4koUGSp9VhCQQZPRRJoWgBqMFAqUJgawv81nUAX4 - auw8xDDAGLY4bDGDyzy06CsOoxDBaoSZ5VmmCnYFlVEjAjiYLrq4y7Plj7yoeygDpO3afPfzv/mu - 2+97aYs8o565yiIUAwA2JsNiIZdc82uovencdSqEkHNWVWaOMQIws5yzEo8mq2fOnPmxH/ux3/3d - PyBCzvvqt19ybndKqeRzlzFEYozz+bz8qeu6Mlfz+Xw8HpeannPOOeecc89i2awNNPz3ad1aX6Za - pTEZsfE1dcLUOeecc85do2g4WbN32wizqqoqmJuuP3Pu7Ic/+hGxAz8Pa0YAAZpi0tx/z/d8z8ba - +u7WBWLyeq1zzjnnnHPOOeecc84555xzzjnn3AsohBBCADCdTldWVohoOVzDaDRqmgZAjDHnXFVV - uSPvksysquuunWNx6x8zctYf+ZE3vv71r89dV1XRKlbNzCifv7hYBZe61NkvEnHOOeecc84555xz - zjnnnHPOOeecc865L9W1Ft0NKxeHMaCZq6g753lUo++wNX3wV/7xLaorTJhPOQab71IgND0++7mt - zz7I27sbsKAqXVagCiQwIaZEwjQHVLPEEodEFMu1cARARazXQESwQMwlNg+AGUCGvUvZ6FIDKpUn - 94ZkChCBah8pBo4spFgEggnGAW0ja8z97vZj7/+j9RfduvofvBw5o5/TaDRpm1vqtU+/5b/Pt5y8 - /4f/C/RtbnJcXQcYhBgicknyA2gR7LcMfjYQAQQeBjflIcyrpGXYXuZhmdMUQ9Y84ghEiLXHjl84 - /cQhCGJgTaNbb935wheYSHoJtBwtlZaB0MvY6RhAAjUiEAOkILUAO8RBT5/l+RQpSddtHj12fmsX - raHGkFx9PRPAwBEZi2iTvsupqhTE4D7PogExhKNHulmDVFnTAIKbbsD6uL+wO1psLUPaOu0PAgcM - ZIvlzIDBCL1IJNQc+s98Lp24AQokbI7HcmGORjCpDaKgWS8TCssYGVpO5hlHwv2yGy4GJSAgMEhK - Lr1BCQGG1RH6fM8P/zBm+aNveevdYaTTC/XauBMlpbR+aPzyl46PH9v+5Kd3ty+gy6MUmLTtlIBR - hEr5eOVhae5ZxPjo3n5NEO3ZiEAEJkQiAkdATYVMgiAIggoghl4AARtRG3nOVFJqSE3KCGlqLFaB - KnBAic1QTmoZBmKuYDwXaRJkPO5ifeRVr8JNt0IziFFF0T7H1HK9K/H/++D7fvB3fgejYJEU1Es/ - onq56Pat66fHyDrnnDsQujigknE5eJdAUCNks8QReYZHTqVZEw44BLQwMwuhJT1+x63gmEMCwZCN - iAwYqocM0jKT4VoKa0yKIxZ33v8hPfXoJoQy1EAAESmZsrKGfXUYBij3c4Wlqu5yHlVjqOa+hWgY - 6sRgAhGUQCAYX1vRtqRQI0ErUIAIdQ1TpNFI5x0MIC31fAZAQsZXEF1AtqwoMAAFoyRhN/l41Zz+ - zd84/nWvHFGaS891fY0Fbi1mnKCGaAqwEQxggxihioduOGkPfIaptNcAXMkiep4ImpSo7+XMk3zz - SZgQmJ5xzNvFvvblSptwV0QBXoyezEPSJ0CcQTi8no4dlgslKp4OurbNQCX25Nlzx/q8vHOvzJUC - bLgoWdNdLto7mLCBEEhNYcQUjXD+QhA76OOFAoFZRXPg6uRRbK4JX5S1aoRl2KLSCz+m93K7CvFq - dAaq6pcYHumWyIAsy8f2/IqjUoaAF8dWM2b2leKuS0YEU4KSGWUhzhpSJpzZfuhdf/iiVGvTpJoN - mntLi7LUlkdM49Kb3TVKQAIIiAEIEaK5m8eSd8tkREFLP215877obionCgwg1gOtEVAwBmBkylam - RQYjUKrQS1DpVQCElIBR3+cKesX1TzLw4sTB8KWNh4LDhoZvNN0k+9Qf/NHt3/efTW4+uRu5y5lS - pRAsqk9GKB2wMLsa+ebOfaUjor7vQwgppZyziFRVNR6Pt3ebs2dOve51r3vggc+X0xgwWpzPtKd8 - AhFdbnu/73siYmYRCSH0fV9VVanUzefzlFLf9+Px2My8RuGcc845564EfRn7txfXJhl40ZgFynnF - fX92zjnnnHMOwL4rr5SemsfNzOOVSc65aZv1zc13/NLfz3I1rhUaTv4aTHoA3//937+zszMZjy33 - WJxLYoPQMNvX1PWBzjnnnHPOOeecc84555xzzjnnnHPXkZyzmaWUSm53eZKZVXU+nwNYXV2dTqd4 - 2m19l/woDLf7gdlU8b3f+91/82/+iIiQiZnt7Fyo67okgofog4k455xzzjnnnHPOOeecc84555xz - zjnn3MG65gafJECBFoYUYMIcsDv/gzf/xCPv/B+ObO+MZN4355EyuhmlEc5vn3/3u7c+8TFcOJus - jdxT1MhIjMghIPTEM47nQ3wyxa319e7ECbv1Trv97vKTb76tOX5i99Dm1sp4Npk09aiJoQ/UsSnB - SI1UCQouP0ZY/jzLFyhjjapl1QYEpsAcJYOMVKiux0lFZ9uH51vTT//pk+/6PYgiGGKPmG22dWtv - Rx47+/Pf/FrstrGqrGlAEGC3UYsAAwHC6IEMDLk9tvhd8sbKq4xLVt+Q3r3M6imvzbkmRlYY4dDh - e9/413ajtRVaJlQRG4cOHT3KIenwdtAzJJebLb80gg1xYmzIbX/24Ucwn4E0rFbddPeI8IP/4z/B - tRUNePkMsH1xKGYwg2mVKoNlIEMrRFAFlXt/4HUtAUAq8X6r9fotJzWQ7rvaUglCkMVGtdi6aLFQ - C8qGGGM3m+6ePlOiQdvd9+w0HAAAIABJREFU3X66e0zpgV94JyQTtLM2VgEBIChg+/fua3IELgKC - oTLUQA0EZdIYjStgZDkg55T7oDZaFQlYWXvFT/zkLKa6mvQ77WS0GSQgC44fwR03rX/bq1fvu6Nb - qWeSTTQSAsMYwkO6KhkYylA2Y7MSPlP+ajRstMFQAWFIisw9ms6aVrpWcknlCUpBS5goKFCMcZLS - mLnKUrVd6rqqzbXIKnRFdMVsQqgZxKpkAgVp30MNzCbUTW0+Y+jmsequezb/0nfihhNgw9oKYrI+ - Z+bz45U/O3zyxrf+7A++911YI1gmgsIojFG2KMUwP8jBcjAlz4l0zrmraFk1YiOCgVRhHIOYsVr+ - 3INjMWgGP2vV8YWggAZqA9Ze8mI1A4VsOqStEWyRNW6LmoZeS3dqJFHemn38t99dW8sV96owjOpK - egNgw/Ga9i1DixGRka3tVHI3l74zWIfOTKzUThUs4MxiUS2aLets18CPMhBgiRhpDB1hbhBDN++B - WpEMrIAy8lCNuaKDOw3BggRSBGEIgRDGoI129sgHP4BHHp9QzCp6bQWb7ynhiMu9bFgUTGA+dsvN - YCKCmD5zivYLhgBSe+LU42amqkQUiE0WrYF9qZDP0U501wkyKq1aIaAOR150sxIYhJwPemsjA6vN - zp9HM4cKRGMIZLhkv41de5051ype7pi81zCmEmAcQGwgKM6ciQffbCagdIlIoCN33IKatQo6rOK9 - I53S0FB9oZQbPpdh8AAoxuXjgyMi++81vQpT/Aon8pT0TQLR8wk7YlaCEXnEprt+kXEpRI1UWIQ1 - MydlvPcDo0efqIkssolGAIAukqRLp2shxELghGpEFJAVppAuB1NVNYiQCLJBDAITQzZkRVaIYfiv - QRSmMIMcZJNBDVmp64L0bF2QzFmpE+R5P+2D0giRy+Log1iywFd0zNBlaBmGJSbDDysYYFoeORlj - zatntuV331urWWANBKb97cqeIYSgCPZ8Cibn3HMoR+0QAhZx2gB2dnYeeuihv/wf/5XPf/GLYjBA - FArjEAhMYFokITKIYLAr6U8oLd+S3g2g67qcc8ntBlDSu4mozJtzzjnnnHP7ES59bVK5lm1ZPX3K - WZWD+3mOuR0usRuupvPGrHPOOeece1YKaLkWaz6fm1mMaTQan9s6/y//j1+7qBZMB1W5XJ5wV8M3 - veY1973k3pxzjPFSr13cP+Kcc84555xzzjnnnHPOOeecc8455y5fjLHcT1fupKuqqtx2FxejNOzu - 7ppZVVV93z/D9RsAUNW1igBIKYmYKr7927/lLW95y2w2CyF0XUdEdV1XVSW5S9Gv93DOOeecc845 - 55xzzjnnnHPOOeecc865A/eMl3y9YMqIRENe4DAUJwHLVOm9v1vJRQMFRCJIg65D13/y595+f70y - abqq7wI0jBP6BtJ3n/nMY3/6pxtdP8o5ciCIigmQGcpos4SV1Xpzc+3oERw5io01jGuEACQoYAbS - IShcFJKxfQHbO3Lu3PTsmXZnir6JFhIhlsCJ8vohIXt5fZtiEQQCDKnVOSMymAGFGsSEQDBiBAAG - k7YJxOsctO02Yjh76tT5939g82X3Ym0Vq6vcdHWseXf2+j/3LWg6ALS2jgyOGI85K9Ji4gzIctRT - Woxyuj+0Z7lkaW9wVF7ETEZOgEAExIgBMW1xXK1qms/HVY1QVSdv2XniySh5WGvPMIqTDknGGkCw - ZR6kTsBimD/4+fHXvkK7TjkdSml7dwe5hTAolewPBRiLfPDlJK7xIVH3FjKDdPgPqRmMiMEBAUQY - T4BmK9I6aBxjEIVh9YZbz3/mYenbQIvkEyMjU9JgT/viBjIYuOwYkaMBtRo++yDufXG9sRLEYt+u - BCBbhWCUhk17kbYO8CKeQYfJXZOGhbFvHomQ+w4pGbgBVasr6A2j0bE3vel/+Y7v+Cvf9tq26SYh - McW8O411RBjFV73i+M03dZ/89M6jjyazSDTr8qjsePunAuBpwwUPg/baMGYa8TB2MIxKHqSqMsAc - YGZmYmoCQABlUIXFPm9qClNEDGvBYGYQCAAlmEETOkNDRuvrmzffwrffiePHEQgxYS5oxUbVdFxt - RT63fujr/tabUddABgQAjEtot9HeqMc8LLinb0DO7bfczfaVAxcfzpxzzx8vg1SNhSBkyQiAmQVi - NYlZdx56eE0zuswcYfmKp1UOUmR7j5WGaudSIJ2DtqsaL3pRWypjmgPHsnMrMRsPVUXTxfwfKAYU - VOqQy+bG8pm9goiASc743Bf005+JTQvRWIqkPg8VoeGARzAGKdlQ6RIFCDUhEvfWpzSy3MNk75MN - XH5dewhJ1QQwRW+QDofHqzLPJWCLFof0RbzqpXMOnoPte0RqpT4DCmpVk1fns8f+6D1Hbji2sj6Z - GfYHEgxHi+H/zBcHfS1bPQdquc0vJqRDs8tIQrAq1SdO7nAEWoWFgw8iNVKCtmdOb7b9PCWpWQOp - ClNpCKoBbHHY7IcZdteZ0iK1UmNfbOoGAse1G2/K4cNELCoHvb2xWUSW2S7mXRLrYSlEMZiZMSnY - IACEYQBfUQ7fV5VlGUIgRTDaazGJGRPMlIiNAxlwYWskEg5yqRqBmXvVALLAazff1oUETqaKIKBS - Uee9eb/SbS0YMJRE5biLBDWoghgkgFFAYHB4AdPBn44AKhm0wLINwgZVI1Is4ufJYKRsUG/OPjsy - SA/JVAaEN6Ohu2/YUC7ZI2CkCirdCEtKYOPyYjZVWnQtOHcNM1KQCcPAwTSojDK4ax/8rd+6CZR3 - d1ZH1WzWrBFSZMn7SxRetqEA5B5QY0UgUELboQKqsCirCDyUTRdXp/c+66rV8y41HdIUqelNdJEq - JiDra6oWSWO6LNjpeXT4MBTGbE9/jT7lgSqobW8bTz75W7/1NX/1O0crNaV62vcUA6CEDIIhCnEw - JSUvUZz70hEREZlZ3/fMcWVldWtr6yMf+9h/9cN/fWenAVAqATGyiInI/h1vOHVwRZVJWjR5Sno3 - M+ecyzPlNxEtRxIxs2VUjHPOOeecc4Nlm5p0/3OXcg11cXu91jnnnHPOPYUR1BjDqe2LjEajedMQ - h3p18v/+n7/26GNPKHAVruBQzQTEEE3yX/2e/8TMRqNR0zTB9k4SLU+S+bka55xzzjnnnHPOOeec - c84555xzzrkrVm6dK3fPMXPXdSEEEck5A4gx5pyJqO97IipPXlLXtikFVeq6joBXv/rrfuVXfoWZ - xuNxn9uqqtp2Xtd1zhmmROSXNTvnnHPOOeecc84555xzzjnnnHPOOefcQTvg6G7bG7LISAVawogC - QKqgYEQlYY8NOm9iPWIDDMEa9DPM8yd/4W1Hpv2aKqsEwKSnwNja3f7wB5vTT2xkSZpgEaohcLZe - ArcrVTseHbv9Dr79boQKKcII4xH6FswwXQTaBBAWwxMpVldwSwhNsw7FvMPjp574wkPzCxcm026F - YLkJABPPVcf1qG9nCdEAgwrQBsAwEo5EwcR0kZKEEhtsy9FJCSCQmUBAQFA5gm76hQcuXDh36OWv - wJ0rqaqxuzNanaw1O597xy/c+ff+O/RANhpTiACrgQkIApASQwAFAvHTUv10OfCSgctCppLhpIAB - TDBCHQBDn6FanbitOXt6s8+YzUAJd76k+/SnapJm3ozD4iMgBhCIjHk50KqxQUrWjxKEYQRkrVS7 - Lz6GO+/mtbqqqm66PVlbRbeDJAibiJgbEMDICRSMLg4a4n2Z79cSBgFx2G543+hWHIasbCAs0qKr - cN+Pv/lz73jbMaOVDgFjHL2Nxx+X/ixDAgN9MARFH0PgJNKhZC/ByrIYwmKCGlhz241T3O3anS9+ - ce0l98iFHV5fBc3HVUTTYTKhAIVRMCiCsREEzMRU4kINRHrNjce1yMwGsC9kGwDHNCobSCpPRgJX - iJs/8J4/RO4/8ba33zBvDqvGkGECDiDCyRPV5pEjp889+YlPbp0/P6Zc920gBUG1LAGQqQFMQwh3 - ibNXKluwjsiGUsswjGNWMqyIzER12OYDYGQw5mV2qmpZZaVYKNlYZlAdopKJIICENKMQN9eqo5sr - L7sf4xE4gg0a0Jhloo0jX8zNbG39vjf9NzfXCSkgYC/CjRDBZUqLDQS0+AfANbd+3ZfZkCFKBGIj - KgmAsj801zl3BQhgUzI2xJ7RBwNlFpDxqEpt29Rcs+mZj338liYTMcRoL9P3eRoqqCUZGEDJVxSC - AkYg4yRD2U+mDPRk5zaO4p6XaRpr7mOESB8QFawISiCyYFqpARDSg8y0ZgWDlKmHMVDBgpKCFCSa - u8TjEJKaGSQajXL3+P/1a/fMp1VWxKBZACAoKwiLiitQ0ruHmVbEMuapsZlEsPXdMPF9yXoEC5Yx - BPJeQwhiQCKWrDXBIrftnImDCZeYakPJWmd72vivz41LLaY0s4wUi+h3UmNmU13tZg/8xr++8S+9 - NuVoaUxAWFRyhCHEBi6bmdIiHphUgUUE+IGmdy+qW4ZgMCpJjQLibNSn+jQ3J1567zmuOp1WcdTn - Jh5k/ccIrdk48dafffb2tl2L8bxoH6IlrrVl9ASBJdIEsHELyn6cvb4EYwNyUF3scTkYGUKWEFKm - 9WO3v/iUKQIHpV7zgXagELQOHHO784VHVm69rWFq1PquqyYrrapRaZSzEABE1af2AbiLEcCWASii - MDIroFGZDRpUSJkAsCiRUvf4qUk3j3qAw2kruFOAA4u0Zjfcdf8ur2WrImVCBgkRzBLAMAapkl5u - YVsOAGwAWElLNw0NmaxKzKpGRGaEetQTHejg4Wywtk1GfTaO0cyYubOWADZSKlsyR0MwCJf7Ub+6 - ys+np5U/yxonU0y362BkRIiGnpk7kRD2VqQNNacSiA4rfXQgrB7KAgXFKoqIgZWQVMk0hzInzHa5 - lVXnriY1Dq10sU4ifWyxwbTeTOXjH2o+/8CN7ZTItOlWEMwMokyAgYzZoITMMAJBg6JmsA77SCcI - ETBAQQamofebLmpQ7CFjQHnv8YFiMk0CpaFkKF8HvY5oaCmUeUsg2BDZa6RKpbUItgAwDacoLt67 - hw9EMAOEFk+SIQz9tLL3PABDKAV0s01P5OZDHzz8zd+8NYqSUq9MQEALIBMLcQ8+yJaCc1+BiIKI - VFVU1a7rYozMrKrZlFMEx3kzXVs9NG/7/+df/5u3vvVnZ/N+/9tzHnZw23cct+fRSigR3eV3Sqnv - +zJ0iJlVVdV1HRHpwvItz/TAOeecc865woaLEy5qNTOGpuiymb1setOBNyGfocuLFGRGeu1dkeac - c845564hyhgu41+cWynnXHqxejxqcm77/p/803920bVdV1rFfT4108BBVFTyrTfd9N3f/d0MyqIx - BFN7Sso4DUHiX13n351zzjnnnHPOOeecc84555xzzjnnrkC5hy7GCKDv+5QSgJLbHWNU1ZLPLSLl - djwAJau7PB4GFyRi5uE1EBAxs2ZhGNRUJEW+9567f+nvv3NUBZHepNzlbyFSlg4EDGPDPfv1Hj4a - gHPOOeecc84555xzzjnnnHPOOeecc859qa7quDwKlFBcwpBkrUAGehGQxfEIpGBFM0Wf0erH3/b2 - I7PuGFlFFpmQ5xQSHn70yX/37+L5nZV5P+k1qhJRx7RLvDteqe+6c+MbvvHEf/RdfP/LsL6OyTir - YW1td3eqse5BRhgCLhaZ1pm4o9iFpMyoa6OIjU3cfueJb/3WG//8azdeef+5Cv3KuA2hgY3rumln - KVQgIzJigEEEIhip2jNd2aYX/wyCImTZYAoXLjz5oT/GQ19E36MOMt9e4bCR9RPv/GXszBHKAKea - tds/AH8AwhAZokOO71OTCcvL9aKpLoeJYtqRttUMy4jxxT/wg2ezKQxVhbVDqFN17OgF6ccrkYd0 - 44s+e/8gqvv/pAwjKBDVsLWNrR0ISI1Ya8sfe8fb0WfkLBkhlERiBvLe3NIwj2RXYZzWKxSW2clD - pjI/9XkCiBEikHdHozkhrB8CJ3R26M67+pDEYBkGYnDiZGYm2Jd0oIvEdwIA0ghEBrIwVGe7ePzx - MJpYFrBaN/vwP/qnaHIqwU+Sl6vYhtSmq7t0rgBd/AOUpUrgvecIFjiPItZXUIWX/p03PcB8alSd - YdZ6gnoFHABCnXDy2LHv/Iu3fsu30fEbt6v6AtEULDEiRgBExCEAymRMJeDcFAYwU2gFolADgEAU - CAQlaG9SwuuZwMzEZb9XgwFqEBtWmRIbM7KhFfQKSgFValPcTWFaj9uNjSMve+Whb/pzK69+DTY2 - MB5LDEpB1DAeb08mn5Wuuv+l9735TSBgPEbkYVsqPyiJOBcvt8Xi8nHW3HO7KK5p/zajfmW2c5el - VFGUYERKqgQFA5TbrgaHtsX5c7Z1nroGKlcUZVTeUgLYStV5n303WpSKdWC0HA695D7U4zZQDJQ4 - BDIyY2OAlbh8SKlfHXSa2hA8DujFxY5IPxpVVYhd1zV9h5xHbYNTj+9+/BPjc1tjACHwvkH8SUG2 - 73AHEHRIoySUZFMDbO83G2Hxu6RWG0gufs2X+zdKQp4wNBiCgq18TwEEVGoci0r809f+czFAERW8 - /40MY2NmFlUAE7ONM2fs9/9wQ41yz4smjF20ZXz56hWkIKVFqxFAMA2mzLEDdXWFI0dsdSWD+9wk - jgd9CGMGul6eOI0mV1mIqFOxyCAjKFvJblweVa/VBoy7lCHkuIxfPDTuhv2OI/WGOcLmrXdKqufS - 9yqJDzS5GwCgmlT7J8/UTc9qZpJSEFnm81GZPSMlgO3pyb9uPyUYGQA2BGEIMxsTIKaAlQO0mUWj - 9uy5SZZgB7sLhxQV1jHR2tr6zS+SaqUVDSEY6b62+7L4vcJymJX3jpVL5RBENnSzhCB00OW8IvfR - jIhgTMpmRgYiEIxNjdhAbESlW+ua7QA6SJd3lM9NWIyxXmJ6FbB9y21/iTAsaiJOFahs+DAzIxix - 7QUPl6431kUZ6Nw1SAkKqet6Pm/HcRSN0ryrtrcffNe7V/s2mgTToKDSM74P7XXT6t6+YoxSB9/X - nT3sjFYaIss2xdPr88vXXIW2A1DiuhdTBGl5Zn+rBzBA7OLU3n3YQKXtYU+rq5Y+z/116dJa3Pf8 - Xl5vmzEKOumaz/7Ou2Ovddbc9WxGi4ZLebERvHbi3GVhZgA5ZzNjZjMridp931dVNZ1OD61v9n3+ - B//gf/rJn/yp+cW53V8KM1tZWbF9tV8RARBC6LoupVT+ZGYynBhxzjnnnHPuOVyyd+8S/U2GL9+V - CctzAcvWq18j4ZxzzjnnnpmRLs49Lc80GRBjnDVNCOF9H3j/n3zyEwqklMAHfoJEVCKhCuENr3t9 - naq+7yMHWl5e6FcsOeecc84555xzzjnnnHPOOeecc85dPmaOMYpIye3e2dkBUEaiyDmrarkHEHtZ - 3ZdQbsSr69rMUlUB0PJfmEquYzi6ufHP/vn/fOzYkaaZpZTKuDoXjwXnnHPOOeecc84555xzzjnn - nHPOOeecc+5qOPjoKdtLzQgAsEgHIoZqrxZjiCGYmRDm3XS1rsCCWf7IW3/xxl6PUuLcopsiABF4 - 4LOPfejDo65v2vl6CJFgonOSnZjW77h99f6XIgQcOYbpXPueE8M0rq6iz6uTVSCQKYWSacwAyDiA - FwNz6nzWjA+tE2eoIAZUI1iHl91x4iU34bMPn/7U52g6J07GnaCHGTOUCGpJwUxU0i0uZ+gjoxL5 - S8h91UzPv+d9m9/w9bjzVp2MJNNKz7c1s8/87M/d89a/C7DwpIojBQQIi/DesH+kU8JyEP3h7+Vr - EsJySKZFErLmnqpQh5phSArJIJPJqJtTmk5jZErV2r13nzv1+XWV0A8fSMtfz5wwVAaoYoZmURge - eRQnDlMvIdQwW20NraKykNBkEKNmJkQoAAazDI+euvFclwiYrNjKpD9zfvv8+fX1o+g7nDjW1ylK - kwxALldpqlpWJC4jxV7ik8zAAZqNQNJ28y9+cXz3HdTPkCoW8PYOxNDM4mgVHJEv3rNtMTPXfQ6T - ElSQw2SCWr/+538aXf/Bn3tbNlu9sL1KtWAeRhWS5Z0L8fDG0dd+O86ebb/w+bMPfoF3d9cVY1iG - mAiVFMYoAA1BmSaAxQgyaNkKzajE/jASUbl0VgFTBQFmGEJuiEs2ECkUqqZAFQAKxnFudD5rrseb - N920ceuNOHEU4zGqiNxrbzwe97uztDaZVXyO7dzq6JV/+0cxqZESqALC9b/KnHPuK5PRMOolYMGU - FUlBxgKkwJN2PnvwQZ3PUY4PBLMrv1liiAlfPA4oaXIgwEiFUELqGpFbX/k1VmlDasYwg5JRiXQD - G5QhjGBEOOisVwXABqE4/HeIzENAgJLkng1xVMV5e7TNj7zr9/ut85GQOKLPujj40V6EHvaivG1f - Gly5BeU6/F1WX6mT0/B9tTyjBKFhZZEiKgDwZeaZCiugvEjOsyHsFxmaASKkrBvnm0d+7323/PnX - jlIwYiX0DCMKyjxscvsy/FCaPiWiHvwlbM/Pm5ZMWQaTISgTIcMUFjlidW108kT35Fmbz9PBR70m - Quj7+anTmM2wtkJEmiWlZW07wMgIZAqoEtiHwr1+GKBlr1zkfZINt81JoJ4VKmu33JQ2NtqtrUoZ - B7/xmyEanXvoocN9BjQT1bFqe6EAUhA4mCrpIn7TGwvPoRxHyijVbEyLNUgCKl04izJt69EnJtkO - dP9lqORMTE0V6+MncPyoEDrrDYGNQUbGZnvr9AoK21IEXfwlFARTMwJ0XzdOSHq5udGXiYDc9YEI - gECYmIabVPeCXt3yIPac2x4b0HawYSslLAKEn3lRlujualQPfXkGEMxKLQRGX51p6e56RUTS5wkn - bbpYxTCf4pHTp9//0dsVQgjDdq2lhBkYCMYGFuiQWs1GpGAGs4FUAWUTw3XfvoCBMdRmhpbTonRQ - QmaAELWcIGBgubieP4tAl5FA0099Fg88qHffXh89Ir2ywSgqKRkCMhmzsSefOff8tW0bQmAmAMys - qr0KgMlkdTqdT8ars9nsp37qp3/1V/9VCPQCBq8w83Q6DSGUIUWqqhKR5dAh5Zm2bUUkxljGHHmh - Ju2cc84555xzzjnnnHPXB7KnXrJlXE65ppSM6C0/+1YiMKHve45BVZ7xo57L3mX+zywSAjGA7/u+ - 71tbWW3n89z1xIFpObMwH9DZOeecc84555xzzjnnnHPOOeecc+5ydF1XVVUIIYTQtu3a2pqZxRiZ - ueu6cgteCEFVnyW6G0B5O4C+7VJKZta2bZWC9LK2tvbrv/7rm5ubzMzMTdOE+LTrRMwHD3HOOeec - c84555xzzjnnnHPOOeecc865q+FqXq3FjCHiFmAYwDyKIQAmaiYGXa0r5BZt+0c/8TMvnmys9BIM - kA6W0UzxZ3/26PvftyY5tvPNqo6cpll2CdXJEye+8dXj//BV/foEN92oGVhd5+M3zY3a0coO2ZmK - TkV+fBRPH1p7eDR5eDx5eDJ6ZDR5bDw6NapOjaon6nR+fRU33XDBsGWWq3omgAGjidU11tdw1z3H - /8JfOHTXXedNNIZOTQnGUCZlDkZREWgZS34ZYoxNn1dXxyPLo+n2+Q9+EI+dSpQqrmql1ZzvqcL7 - 3vozkCYQzfreAAMyIGAYw/ZGbCqzvMz9Gf46/GeZCzjEBHKVFAxwrxnESBHj9PK/8cawthHHqyCD - Nji6kY4cbrIugsL3RnQyUl3mJl5KCEFgo5C2HnkM8w5ZmYHcbiD80nf9ZeROxSpGzWjnc1iZOxYM - 32KY1nWd2w2ACMyveMMP8up6vXZodvY0InB4LRxaFWYQAjOhV10Edl9i+xlWoRpKvlJkqom3njyN - 7QtshD6vVqP1TtD2xMG6BkoI+/ObSgLmsHivawQAEjjABFWwGLAy/vr/9sdPvvGNZw8fPhXSfG1j - qpwpxM2jWJ1AMw4frl/2ihtf+x0nX/ON8eabz9XjCzH2k7qvuU9oDb2YqDEsQhMTG7SsNwJhWPym - EDEVqA5pfUP66JCpoaYqar2gZfQJeUTTKj2p8riqnTh202tefdt3/cX1b3wN7rgdkzGqOJ/Nc5p0 - abwt3B859mgID4/SbT/+d175Y2/Cao0YEJOFkfrVtM45d00q0W4KVlJAg2k0ZWUyDqEOnRyS/PhH - /32lFilEjhn5iiYCQMkuinIsIdzBlDCEBAsjE1pwk+rD9997PlGOwcCQ4Y1KSIKgIBvm3AgH3QQg - KEgNwRCAEiNtbIictFfpc4wcYxxJxpmth971e+uGUYpkklUBEAOLWosSlFVZ8RU0tOhiLQzriAx7 - K9oYYLJFBh9ddjCXLvI4i+WEGOhNKRECOONQk5sHvqB/9rlDosFMiJXYwErAkJZdtrdFjiEWn3PA - MZ9sJQMbRhDi0mCJiqAlQdyEGEwb99yxM4phlLIcbA23rJqYJV7YxanTyUo1kGCmJfvQSk1bS/vI - XWdIjQywYByMy0DGZdjlHrmP1DOjSofueFE3GlGdej346G6gIpz/3OehRgYhCAykwYwXhQYrPPj4 - +Sj50MLDwiq7c/kdiEqkNEFrMuRu9/HTldKBFnFkEFXUaSvFjXvvQUwdKQX02isBFmCBhjagvoCh - pwYYE1DSyheNzCopcND1gdzM2YbvogQxA9Mz5oUfaJD49Y8AtF0aYnkNgJnRcy0zM0vjCQyEsHgL - LbYuL0bc9YSIct+vVBN0WdvZKmH2h+9bP78TdVkBK7nde3nVWirSpARd1Gz3whUU4BIybeFSE7ze - kKI0KxaNi9JsLYtFCEJD2aFEinC5hzszpMR9tkrp8M7syd/+nXVCNAmWySBIggSykpVOB9xecO4r - TAghpaSqXdeVnOzRaJRSyjkT0Xw+/6Ef+qFf/dV/FQJUjV/oulsZK6Su6zJ1VS3z0LZt27bLgUU8 - t9s555xzzjnnnHMLR7AtAAAgAElEQVTOOeeWutxXo/o973nPBz704RCCKEKKesDXLwEwg6q+4XWv - P3H8+PbWVuQwStWzDwntnHPOOeecc84555xzzjnnnHPOOeeeXVVVAHLOZlbXtZkxc86567qUkogA - EBEze5b77JhZRJgZUGbu+z7nPJmMpJejRzb+xb/454ePbJpJ27aj0SiEr4hbm51zzjnnnHPOOeec - c84555xzzjnnnHPu+hQPfAolP8NAw2MGAOMhj04hueeKDei1iaI4v/vJd/7ynZzo3LkK2nfTYA1H - xac+9/hHP7oCkk43JhOZNQritDq6+/Zw7z3YXINprli6aRJIn5uma8ers5XxVkV0/PBLX//9CAw1 - 8GiYB1IYQApVqKFr/u1b3nLL2tqaxL6z9bV1abqASBSQO8SAtXH1mm84edPJ6Yf/mGfTPmcBjJmJ - YApTkyFc+bJozuvjerYzHyeAYc30yfd+8JhUevSo1FVK1J9+7Gs31v/4x3/6a9/+i7FelcVCXVx8 - xyVux6Alxov2hy/R8FcyhOWcMYAhQ1JVE9dqmU2RIgI9sju9PYRRJMyniHTsxXfvPHHO0NJiPWKR - WPmUNTx89iKfg8wCkJh3tnfw2Gnceze0CxRHTf9dr/4mzOY8HjNRM8+j0coQGLQIIv/KyWsiRogg - nofUmB3aXEffgvTQS+9/8vSZyqyKClUzCxzMMkpQ3KXG0SKCDTHSWldsuzM8/CjuuQtZtcnHqtGn - 3vmOe//uj6a1dWRDGvY0hS42Bl4mu1/Hi9c4WA1VxAQz6XOsE2rg5JHb/t6PQu0jP/+LR4VP9oZp - i2iWmMAIAVWFjZXqztuO7G7vnnp05/EnmtOnq66tJdeGRMPeYaadgoGAwCHAADMzNRhgBGYaUrLU - 1MR6KC2Wp4A6pp65T1UX+dDNNx49coSOH8PKCphBgM0hLFUd6pWIulVuYpivrJxJeMWP/i1UESmC - I8CghByJoHY1SmjnnHNXwMDKQxRcMERBsBLiS+gy2ubsn/zJzWZqygRVXO49E7aIXiu/GZDF8XsZ - hGaLhGYh9JaqY8dx643bo0B1zTkjW+CYwTAu6csZCuxlth2wUreLgIIyoLAIICACZEkByLzdEOB9 - 7187dXo8by1ns5LSzFCVMpO0L8dz0Zqggw4aPWCKksU7JOZxWVTG5ZsxmAUKRMBIlXSRune5E7Hl - I2BIUAcTMZlIMIxM6Oy5B9/17rvuu3s2JuFAKMHhUAIDBL0404+XM3Gw4aqkjBL0ykAwMAzBmEgz - oVcJHJoQNu6/79P/9t9sViE0/UHODQCYYES20WU88EB8xdeIaQpJVZmozCQbg0orzWNQrz9Kyoag - CUaZWUnZSGEZZoEyV3m6e/xlL/vMBz+40rejqzJLtXH32CnM5nx4rJR66RJFsXJcYAaMZLGLs291 - z66kdxsBZCW0laFkSMQkJgZi1DBc2NbtnXFWPuDFGYFZwKM13/51r2wJQgijkEVQjteIMBqiZod+ - nss+4mk5dF5cUBMRliHPxgZGVQsdbHnOhm42XzElIiMwSJXImAgwXcwsD/O67CT8anJZUfFsiqZJ - ZjRUDKwMwk60OOaXWPahW1IBmEBM46geagGG5Wuv434h91Wp7Cx1TDnnUQyYtTh74cHf+v0bOoum - wkgKLNpQy0OkEYS0tB0Wadblo1QxtOMAEGwoba/rHWPvzAvKrm6kMCbAFqW9ATA24r2y4HJIr5HD - qpFtXXjsPe899l/+57a7FepJJlYEQyAImxIC72+NOOeeSwikmpfx2DlnGJqmmYxXz587+4Y3/OCn - PvVZAACpvZC9OapaVVUJ527bNqXU9z0zq2rf9wBEpIw5UsYZidFPVDjnnHPOOeecc84557660L4u - 2eW5dSNktWh429vfkVKa9T3K4MsvxBSfpQ+YgMgcQX/jr/81klx6bgMohlDOfV30OfTU+XfOOeec - c84555xzzjnnnHPOOeecc89EREIIZkZEzBxjFJHRaNQ0DYAYY8653I73TJ+gmgGYWQiBiFR1bW1l - Z2e6Nq7+0T/+h3ffcxeREXFd100zCyGYXdcjJznnnHPOOeecc84555xzzjnnnHPOOefcdeyAx1vf - F7YHLMN4GAQERgbEIgeBGmyUFTk//r/+y9ss5PluFZnQMgSB5h/52O6ffOJ4iLMmp4Dd2Yw58mRt - /PKX45470M+Re0zGY6a50XSSchpvUVi58aabv+8/vXlSoy5BgYJQmVVAAMwICgU0wMgytP6uX/h5 - 9IJpA8VDv/wPE3hFrMo5IvKIOPfoGtxz98rmpn7gfbvnTmvXc5fHTIkCbEhWvrzFU96S+0nAtMfK - JIVZP9mZbv37j2689tu5jpjvpMSYze5eXcW8qWOUMMpAwL4MSGKD6mJhD8FHezPDQyrSIp/HFvkZ - BDAogIkiEgNACofuvGP7gQdrzcTQFNPNN1P9J9K2+zYUBp5tjKmSzyGiAWw5j0LY/sJD63ffbmyp - TkH1xHgFgTGfI8a6qmHQPnMVyxeg5Ve4rhNTCgJCRKrvfON//cWf+ekVaDRBlXD0MK2szM9vJRgT - zIyZRSH5ksFcCgwZlUqAIapWKruPPrJ6262Io0RBmmZjxDCg6TCq1cBU3lQy1iNsCC273OjQa07W - LBIid2r1eAQgh0osV9U6ZXnl3/6xh/63//3Uw4+sJEY7W61rnveJWUxVEccVrR5fPXxo9dbboIqz - 5/D4482ZM9PptkpnEFJKqEjJzFR1yAflACCEAKA8bwZwDCEgsJFmMgNzVaf11dUjx3DiOA6tA8Dq - GFUFVYiAGUTZsM2x6SWNVxoOF4juf9OP3hwVK2MkAjMQoQwLUGARKO6cc+4apENsthI0mBGIDUIk - oBGAJ07Lw4+MFAqDIl5RNNrTPC2fdTHIpjBPQzx63326MpqlOGJAyWRIEi/vLFluQsq2TAU9MKSL - mj+BStXGlJSNRSyEkGGssiIUu/zAb/zmDU1b932JGSUCVEVhQAycTWFQBtsQQYdFnPn1i2kviJ33 - ZW0uvxOBAwCoQI1FAFKE5/2VGSrEtNhaeFhozEAgVslsiAFkGDfzRz7wobu+93vqW24ANGgEuMyb - kgYDmQKwYSUOm+DB5nYPlK2sbRZiYlUhgJlMxZRDriq8+K7zozjbbVYXbZ2DY0AN2hS58KlPH5Ie - aiFC1ZQAYta4WDbqKcrXHcUi2NIAK9nJTIss9kxicTQN4dDL7jtfV5s709UAe0GGW35mBI5i6cI2 - njjFN20Ym/ZKwdispDuDQOgNAIIO0c6+3T2DRRr0clhqXka3IqiZkTAoWteffiy2PeuBl3AxYke6 - 3UOH8eIXNzEqARAigzJbUAsAQLIIsTaQ4nnfdVlCu8mgpOU9dHG8IxGRgkpnwajSA25wkqGfzoKo - mSoFJlI1ZoJaOZpc10fzq4+APGuSKRkMxkQwBAIUZHtH56esVFOKk3FZ1gSY2f5XXJVjunMvkF5C - DD1yEjlZjXfe+9v8+OMrbScRBAbUaGijcTmm07B9D6nVVEpWJbCBJAgAVl3uPmQvSJPty2aZzw0M - ZYIQYBoMtNd6YoBoOKxcXoWGIktWUqqYNqGnTz228573nPiObz2ruY3JEGHDKkgKsq+IXn3nrhYR - KSN61HXdtq2qjsaVqn7kIx9585vf/PnPP0IEM4gYMxORyAvTIGHmruuWH1jiugGYWdu2dV2XSO8Y - YwjBc7udc84555xzzjnnnHOunItRYOPw5v/967/+3g+8nwIDAFPfdRSj9flAZ0BVX//6Nxw7coQM - h9bWZzu7Yub9t84555xzzjnnnHPOOeecc84555xzVyznHEIoowsSERGVJwHM53MAq6ur0+kU5T79 - Z7XI9tacjQjT6bRK9PO/8HOvetUrm6apqhERNU2Tc15OyDnnnHPOOeecc84555xzzjnnnHPOOefc - 1XfgQ/YYLZKkFeCSLDUgBrKC6P9n786jZbvu+sB/f7/f3udU1b3v3jdKT9LTkzxi2Q6yLRuDcXAg - JDQhCaxePdChV9osGpKAWXjCNgYHTEOMu2NsDMLppOn804us7pVetIF2QvAANAsD8TxqHp/m+6Y7 - VJ1h79+v/9in6t4nvWdJT+/KutLvs2qVSvXq1pn2OWfvU2fvb4ckuQsqWG/T3fdT1yyNA6zj2RRB - 9C8+k+++a9xCOU0YySQJcHB1/3d9J5YnyBs4fBBthy4hV53q/cdXrnvbzxwMS1BGPQIxunZIwVUi - yI5caFGIAqAQxCw3MhohTpDy8Tf9JEZLX/zwjZfP0nhrusTKIEiN9Q3sW+Y3vH5y01dm9z+IB09S - NpNkVDIRn3RuFgPICvBSCM20G1WBoetr9+Ov/3z/q16JGFBFxGB9d9P7/5eXvOOdsk8QopSJEIyQ - AQULlIEhpa/k/y2iR861yO0moGLRlCmIwjb7dmVUX/nf/aMHfuu3+lMPV2Z9Rh3Gq4cvt6azNJtn - /QCLoMH5989jwbcXKgOVoM9dTcsn1x5ZefgkXXGIkazX7syZz/zP//LV7/pZ1BWgUC653RkgIA7f - o4vVs5exEnE1gnTYfyCfXQtkiBX6tHLs+PrmVmd9zaAMMihANOSpGAFQOjejq4Q0RMD6bsTcrK0t - r53E0WMwlb6ZdOFLv/Vb3/qWn0WXdSzzdTgPS3l23KhJsMBScavKwg2UwBlkFDhRRECtx3/iR5G2 - 0MxuufF/bc6cPUQcASEWS7ltRAgh4MA+NB3GV+Gqq0Zqo7bD1tbG5kbe2mrWHuau67qu73tNmYiY - mZn7vh/uduUgInVdj0YjqkfV4QOTpSXat4zJGHUEShiqIYxgQKtmgIxIqgRaB7r9K2vWt5Pxa970 - U1eHCAZijRIRCPTgHllEosBahLi9AZ1zzj2jsEGpZFQPVaJMUIDUJkb44hf2ba5XGgVkMBbYkxyZ - 89z6G8NAoHPSu+dnh6BohM6Ox9e9+obNUGXmPqmYmRmMDFxqhwwrucJGUNv1CpZtx+YtZlWVwKCk - 6AhjosM540tfnt5087HZtJJAMFg2Q1YAEDAgMICU7bEnwz1dRRwSVRcJsjYPEjQYoKIlVTWDNAsA - hCcZyys7sqwXZYmAkDUBgYEMorwE2ffAI/pnfzH5b39QzGKGATlQprJ+VcjIYFAlZoOCjZ6OhOBS - ZsWQMTR2MoMNZhZY2KiXiMsuG7/g2unnv/b0xBZHs0nXPXLb7atdE7CUcyYmHRqVTEYgNVLAeC+H - Pj4XkZWjE8A7DywMMFRASuiqiGuv1qsu786cQdr1DUxEnPK+tsWtt8r1LzSOEFJVgMlgiw54pOYt - hSdAASNWUjYWg1h5j1XVCCZssNi0p06cCMDTkdqqaEn2vfQlOHiwYQaQchtYhraucQnzNlJ6vK6b - F1LSW7VcDaBhmdTMYJUhmTGREaOqM8uuHj4JqlvTkDNBz3f6KMHkANj4ORo/v3MP3rm9t+OHd37Y - 0G1uccqkCihIyt/YeapJ299vzDIu1xwExobMKOevc/jJyz3DEVBaCp3YqG+w3t7zR586TGDrMK9R - D1e6UerawgaYlmrlsE/R8EklS6wAxMC0fQH6UZdh9xADFGTluAoIoEAngKHOYEOlw2IqgU1xETUI - QiBk06iKyKuqt/zBx2547WvCkZi4TJaNCHt2HTr3TVR+gOiyTttO1eJovLU5+9znPvfmN79lbe2M - Acyk2QBUsW7b9lJNV1UBmFkZVSTnXNd10zRmVtd1+UB5UV4z+w7unHPOOeecc84555x7blncc2Xz - X1YUZISH19be9/5fI6Iua/kIx6h9fykm+Zh35vPAwKSK73jrW6oQtU/TtMlEIcbcp3NHcvZruc45 - 55xzzjnnnHPOOeecc84555xzT1QIIeecUqrruvShK7nazJxzNrPNzU3MY7lDCCXV+1xa/qrvewI0 - GxNEkBLe+yu/9AM/8P1d14TAKXVN06yurjaNlYnu+AZ+1Lc555xzzjnnnHPOOeecc84555xzzjnn - nNs9uxvdPQ/sno8mRNv3heU+V0GgCcQjKIGxvnXzhz9yFWhMbOg5zdA1uOXujVtvHzVdHQRmbbIt - wtK1x+q/8VIsV1iOyFGn00yCapxR/19/+qc//qE/Rh1QjxKFTlExROphBnJeLDEZhIYkIwW3sFgt - ZUsihGioaxiuf8vPYKu79bd/e6mbVVvNodGEqn3p1FrYtzx+xauq+uubs5menRohGwhMxBiyoJ4o - EWiGQaH9iGPXJUNaqWR63z1YXcLLXg5DSrmq+PLp7LYPfPCF73qXjATgslrzjokNEenzwJKS2yyL - 6HQM6YmLjcIAOuUgyEoiVZwACUvjE81sn4QqZAKDhY8d23zgRJ0R7PxJVuVNpZKDNQxWRVw2Kqnl - 2Gbc/yCuPoKUeLQcUz6QFarQhuIYiUHIBAMEIDBK3CBhPqd7VYlOYRaMRmusBwg10bTrJktL1bGr - 9J670mZfl42mSmBhhiaj7aCmxR6jCi75lVXIXapQNdMpHlnD0WNomrhcha4JpxldwmSYOu2cj3ng - 555mQGa0miMLAAL3mgMLg6IABoxrcEaqMape/La3YHN214c+vNx10SxWErSylEUTEaMeQW3YDyaE - A4f2GUMTcoPcoQSH5gxV5AwzqCIExAgREIEJRBAGM2IYYtVVYQZikCCREvUhplA1QWbErcgsyst/ - +k1HxwHCCIIYISFnIxIzgDgjB44AupzHlQCexuecc89MTMYMVQCkSiBIJgbAmkPqT33pi8tNHwCW - 0Of+qQVj8mNPBotANAZI0XM4uzTGS1/Sx8hcWadExCIo0cJWIrtL8vHi73aTMUhhLGYADKykRiBT - ZunNEGPddXL6zF0f//ghTXXOKPVJGuInJQgyd7lnkkelWmbmTJJpD0dMKilKxjPL4j0lKJtCYCzG - ISOY4nzJnY+L5tHsZdUxoDSv/BMFAzPahMgYIR+atrf9p0+++If+i9GohlHPlZV87iFTfP6lxqWE - Pw0W8w9lEDqCMgQsllW1inVO2mjG0vjQddfpl2/TabPb1SViwEy6WfPIQ2hmtemW9hSilV3RZOhJ - VQq8V972pkftZ2SgbFEk59xywP4VueYquuu+fHJj1/cEI8ppRbW5554q9aIaQkxtCgQjhm03/88b - 7usehYE8rCWlHetKQWrQAM596Jtm7SGB2e7vwZ2CJ5Mrrr9+OhonZiJCNmIFAuZN9jITl3xWzMzM - 2LgcU1EFjbyrCywKtDNJmSwDsQTPs5U89eEzVHJkd3EunkUMmHXc5SHonGgoKvN/1/n/LrYqERkL - 1TV46CW8PbC7qRIec/Bz7pmLQRJ4vd3aHxhf+lrz5ZtiaoUMSiDwjqJfWkBAucQMBhTIhMScSRJz - Yk1ChJxSOQoxjFiZ92zstBJgomyZNVgaJRPTxeGADKIgKpUInh8tnpzUKwGRBLBZ264sxVO33Y6b - bh0fuIFqJWj5eYWp1Ip9XADnnoSSkJ0sAYgxdl33sY997F3v+rmUwIyssPmlnEuY270gIjnnMqRI - ye0mopxzGVskpUREIkLkDV3nnHPOOeecc84559xzmtHwS7cC/8/vf/TLX/t6wnDnOMy074dLurvp - f/iR//7IwUNElNTqqkopacpE5D/7Ouecc84555xzzjnnnHPOOeecc85dtNLFj5nNrER0m5mqAihZ - 3UMsN9H5crsHpRsgAWU4qpTw8z//jv/qv/4vzTIzly+v63o2m4UQuq4TkQt9lXPOOeecc84555xz - zjnnnHPOOeecc865XbW70d2Yp3cLDaHR8+wMcBntfSw226AqYmv2tRv/zf7NBimRgPoWfYd7T5z+ - 7OfHKdXCXcoxjrdy5qNH6u96PazFyoHctKag0aTl6n6mF7/n3T8+ei8sgiMoKCAMxY6AQhYCGKlk - A5GVtGkGASCQGnEmGAiiAEKssVS96J0/hZy++sEPxlOz1QSbrKTcB2O57qWrVXzoL/+qyhKJGk2R - BTnTk8nbNQURyGAIvTFRYGTOmWezR776lSP7D+Cqq0lCyDyBpbNnsTFDBpYrsGRw0sQcAJ1H3JXF - hM0jugnYvkdvx2xRydhmRgaYy78kcKjCa37unQ+9973LEqvMtr5Bz7sm3fIVOjkNBiMyBZOWPxhS - A0gXGZKLsD1jdElHEpFzbTh1z10Hr38RC8GayWh5PJui72ABsBKiYjvvJCTAGKYoUeh7NheAAFKA - GBJuePOb1/7Fr8A0pQwiHDlCS8toNrQdFj2E0Kcu7FzYeW4iAGaYggHtEoNBtk/CQ7fdevnLrwcM - 7YyEDmEZXcKyqSE8ZqXxk4qUf0ZSaIYKM89LdU0yLBUBrCXJjuIIBsQJJsvX/tK70cxu/j/+na2d - rk5vXr48kq2p5BxjaKbro33LmnPXpTpWRALtEQLkfAXuvOWQYQSq6mZzs6oqQiCJAOcM1OOzOfdL - y6cDnY7yHW97C6KAMmoCMYgBAQUALIu9FiNICWs3oWFZPMXMPQVEZDaMDxhCMDP2nBXnLgUykJpE - SdopWVYDSwwxzdqxGmbT2z79Vy8haNcbJBKS4uI6TNj20JrMpgAyECtpuxylpD+jB/oYl172Ehy9 - rM2IiaFaV7FPs0UCcmaDKUHFoE/LmUXBDARNABKXmnYGwdTMIDHqqZNYO7n26U9f27UkJQUdBBAB - BM2ZDBGRCEmzCHIGABU6k433LW1A0549Q5bsWDYGpMScK5lRMlKrRtOtZt94qe5yvYX9UWNuH1up - e4KTWGT1AZxZMxDVCLCMKMgALK+ktHbnXf0Xvnz4O1/7iFAngUJFWZGV2ZQUBFjM86xPMTwtNUom - YxriXVUZPUq4LeW+UyUN4Szk+CtedeJ3/4BJzPLuzYoRjKjLNo4i/ay78w7Zv7p88PCptkOkIeLR - eFFRJPMBcfcStiG+MjNgKGXeADKqgLbtQz3Jwo/k/Pw3/M1Tn/grfhoaVUwVYdT3D9188zWaQ+41 - k8SgWQEF5lnLxuUcoeTp3d+Q8Tkt7Hk6d1aTUTXtpmNLI8LJ228/ymbIuERnSRKxnHX+dfOoZQQO - JzfbG173Nx8gAjNrroVNjY3nF1V0KGFPYcuWUkHDtRY1sDERmEiYVVWzKVZXm6wXE9/6hDG039hA - 7gMvqVkQVnDOmYWGrqc2rKG9fqXiqVgchYrFa1UVFlWFWQgBAAztmbMTYtNOWHLuiZAMFeOxIblD - qreRAqMDKyAyHla7HzTcXsXWts1KHSbTjft//w+uTDpCAhsbqDQlbHHIJSP0lusq5DZHQZcgtZzV - sBXjVl0lgVgnpiECYAMDvKfTpslYe+Mq9gHSNlcZ6tQj9SBlAAQC2JC5pHdfZHyDRM59b4ZRlGar - v7ruH/6Dj112wyuqsSmpiWQSS5ZzZgkeEeHchbRtOxqNRKTruhhjzpljNev6EELf9zD+Vx/51x/6 - 0I3MMAwhL/rUjk9EZGYishguhJnLi5LSDUBVh3qCqogsRv0YKiGAR3c7574BMyuHGiJSVVVdHD3c - 3iIiZQuWDZpS8k35uFSVmcsgXDFGzAfbcnuU13mcc84555xzj1JaeVVVTZuZhChBZn3qc3rPe39Z - qth1ve28sfwpNgnp3Ne2/QYziGi5Hr/j7T/bd11d10GkbzsiulD3CvLmqXPOOeecc84555xzzjnn - nHPOOefcDjnn0m+u7/sQQukFA4CIYoxEVNK1S4e7hZLV/aieAjHGlNLizeFGdLP5fyGCH/7h/+aN - b3xj1t6YQKpWpsVluo/J7d7DfZydc84555xzzjnnnHPOOeecc84555xzbs/Z9SF36Zz/7JgwM6Bd - P63qiFn3mQ/eePDUxn7wiCVP1yUSzp499dkvrOTMQJc1xnHDIa3su+wVNyBEjCdb69Px/oNnjDdD - +P0/+f9++j/9ByyFHKJoBAcbQpIUGLKabMfz8Gq4Y023c3nnidcl1zCTSkWhGqNpXvazb73tfb+R - 1meTMIqZEAX9DNc+//KMtU9/ZpxMOKacwpNMmrbtUL2SWsRAJkNULKe09uWvHl49LPvHZIqm21/X - 9374I1e/5WdQtw1YpA4cAEhZRttekEUYsF0gdHh7JWD4EBEyOFQRwmeZDiaOCbRyAN3G5Korz6w9 - MoqxbbtKguVhjCkags1gABuMQDYM+USGCFjuAa4p9tMG9z2Aa64Co51uHlxZ/eL73nf9r/1z5BbK - JVLd5qugfDMMML1U0VnfLPMY6IQYZ7HanDb10rKlTKPRypVXbT3ywFJASiDLUGN6nCi4YbVAORNT - H3vB3Xfj+Vej5mi0lPXrv/4b1/3iL1QxwLTEhu9cgbyHY9CB+fwTNABQHgo3htzuDC1lUMAgbgGE - UAdGkG/58Z/A1gyZb/+dfwuSKqdoORw8OLUMaD2p2qRsKkIMAviJDFtmhEzcx5ANeTSW5X3rm02s - xlt930nYMquPHn3BT/z45ZExqQHFqIYgQwEI5sFs5+6cBMVwl+3Of9jbu4Bzzj07qWlKFCjECEbq - Ut/PlhirqVv/3Gf2aR6XmpVmAQI91cE5F0mOkTl3GQAHWAcFhMNZxRXf9mosT0AhGnU559xD2Ahs - pVo7BLYNKZ6PV9+4NPMK8LxCamBgiIMaxdjMmqMhzj7+if1nz1KXICX5WAEYDXPLBgJ0XrclgBl9 - rPLK8vTI4Wu/97tzKNVm3XPP89oyKQUFi5WWR2+kHYhIBCFOW5xdv/n3/v1VYHQaL25L7Ch1Q1Qt - q5ShXQ0giEFyWun6O//4Uy9+9atyTHlca9+KYVLF1CXlIeDTwIkJMMkqBqXdzuJjMrBBoCAoWS+I - mYVJjFli6ps2VJc974UPHjw0O/FgDabdLNIGCICcpW3v/9KXjr/i+oenWxSDPbpmTYyhUedD4O4d - BGOAjRRQEABVsEArCZp6qFGsZjI68oIXPjSepPVerN/V8pY1Ramqvp8+eD+2Nicr41zJrE9c4vuG - QsdKi3nwbomsqC4AACAASURBVHjfyBBWOh+wuhwMlQCWrs8Sw1gN0+ns3hNpNg0IdonWZ865NMWJ - GYCYAcgiWySHXvQtWFrqQyRm0rSztaekRmo0nLWfesTy0KwkNSJYOWixGYEDqqgh2M7JX2pkSGc3 - QQzLBtK8WLJtvJezcnfPonMvAGYGoKpQy7NWspVzTQaEQflxvkpZeDyGkBHMDAQim2d9qxF2Nb7d - uUvFACWMGHR2HQ+vPfy5L7wkZ4I12UZEpeEAAtn2cb6q4lbbrgRoQkU41eWTRw59y9/7/ryyrxUE - S2JKBoAzIgDeviy9957JwCF202ka8yTp/R/9o817718hFlVlgIezCS0uol7cycWGAAgSqXPuT288 - 9NWvXPbQI8vj5Q2ezXqywKNYRcm560Hy+F/o3HNMSmkymdR13TRNGdSjaZqqqtSMmZumqeLoHe94 - x+/93h+Ox3E26y/VdEuYbhkxJISQUiox3kC5ACFllJC2beu6fsyQH8459/gWSbclw7g0YTy9eM8p - Wd0xxsVYVO6JYOZHnUPrum7b9ps7V+4iMPMiuh47BmVzzjnnnHPOPddlrcajzc3NOK5JJJsq7Nfe - //6Nrc02peGHF2ZTBZ5ydPcOzKTZzBAD56xQGOwdb3v7eDwOYej1U35TBmzRlnHOOeecc84555xz - zjnnnHPOOeeccxeyyO2OMQIo/ezKTRej0Qjz3naPiu5+rBhj3/fYMQhA6UQjDJ0PnPB93/d33vPP - f76qQ99n2OMNB+Ccc84555xzzjnnnHPOOeecc84555xz7um1u9Hdi9zgRfxFGR9IAKght1UdMdvC - endso10GxrknTTDDma2zf/nZMGtSRozIgqbvZ3V1+ff+bRw5CNWusaXJgZNJ7jh46DVve+tPvxcQ - Qh2ZOAOGJEAYsq20xHENuRSM4V9sR1gFLWZYA7Aj/soaS6DAozGH9MJ3v/2mX7/x8J33H55MkDdB - DCIcu+bwt+Yzt9wamq4GTPsnnoBhhJ4Y0Eggy2JQcBICeBQ0d0oPPIyv34rXvJJjgCBo3nf67C2/ - eeOL3/PPWCLBGMYlaYcADKmHmZDLSh7WPO8cWZbmCeHbs2mAQQgZ6EDVqF4DHTQ6HGs0U2iqXnpd - uvW2LmlCN2KCQoeIp2ENEtQIMDYqwScwQALlZASLTGibzbvvXj52JVI/WdrXTLcuO7wCzSXxAwnC - yAQDMlTBEUq6CMrb23KCTMaY4aTR6spqO93QvhtzrK++euvrX9euBXqFkRnRNwpCJCKYzXPoM2VI - 3289eP/SVYcRGSaYTVd4hL5FB0R5VJjgs2BoLgJXAEyhwCLiiwBWDEVduOzpGfWwwBEisIz9I5i9 - 4K1vQp9Aiunsk7/+gf3Eq8r7co6UqpSqWFtntDhWXGhblB2OYMwNh7NZR6uH7t3YwOrqjOU1b343 - QkAQdC2Wl4a4dRL0HUyEZZ7quGP2F3uwKVDS4hTgc4PXnXPOPYMEkWyJgJQSIyBES3mZZdR3X/uz - Pz3UK2dQGesfkItNRyMbYuqAEmWtYOoUFNApyEAIWk2ayejwa1+9XkUFV0YJSKYiXL6BCUaq0GgG - QI2flnMLz/OLaai7gGAgomgW+57WTt31yU9cNmsmjGwwojLuaPngYnUxsZaQaUZWbHT6yP7qpf/w - H+CH/j6PR/OP7rFnMoMlMCcWZaasYpnQgXJloFCrMtqM0+vrt9+yetPtR62zNH1S6bxlndM5pc6M - kAkwiIEMAsBAyJPUP/Tpz+HOE5OXHT9DfcUj6TQmy9lyGCr6AHIJXM1gU6VdLURcqnk0FB8lqAGZ - ETNyzhKjgWfGuOLKpauPbz20xjlVu5n9mgwkMEXMeuKzX7j2R35EUhcndcpGJaqbSvw8YPqo9e6e - +dhYiTMBlMnAUCMYGCWoPicVnlE4fvU14yuPba1tLWkKu1neDMbQoNqePIX77pscWJ3KhCDDjg0F - VEGwRzf33PkZgzBPW98+dhGRamZm6Xs8vJYfeChkBV/KWHaaN+F1PkL3zLAW5Pi3f3seL2kIBDXL - 0URVzRiAUVLC/OLZU+qEuX0tzpDLYXwe0GhqYMIoahTbzVIkhunp0wCR6hAYaUxMqgo6bzD5c7H1 - e6HkbGa2lMsLUkNWwLqNjRVV2THw+jlX9oCdtSgFg0iZRytLGiQB5Zy6WMuP2vqLzGPnnolINecl - stWEE//vH402N0Lqe0sVg21nuQYAIwM0pzwWmIEI2TCbLLWvuA4//IOy/+AkMJBBCgIgwEhBZKBn - QEvhItsXyNCmEqWQ0aYjMXz5f//dF3Wpzu3UOhCCQhRsQ8D5RaR3L1ZxMlBOUViS4uSp+z/xp1e+ - 8doObRqNVAMSlNQYRuXnGOfcttFotL6+XlWVmTHzZDIpuZ5t245HS303+2f/9H/88z//CwBN219g - H72YqioRLQJ0y+ghZWQQAMw8nU7L8CJ1XS+GHXHOuSfLzFS1jE8kIl3XMfMiR8rtCUQUYywp1GZG - RH3fhxA8+usbyznXdV1KfkrJzLquCyHw0/MjjLukSskvW9Nzu51zzjnnnHPFaDTa2NpaWlrKZAk2 - a9tb77jzN//VR2zR9US2G4BMrPZkr+LubD9u/y1ZuadreD2p66NXXPajb/zH46oWkKmaKlG5H2Fo - zuAxv/8655xzzjnnnHPOOeecc84555xzzrlHSSmVPnQhhMXd46oKoIR2l+54zKx6/vtASu+88Xg8 - m83KOzEwEfX9MDrEG97wug9+4ANRJHe95UxP/Ob0MuaSd851zjnnnHPOOeecc84555xzzjnnnHPO - uV226+Mm0zy3u5AyYpEBZBBBmiHZfR/+yOWzPndbRIqsIO4+/4XugUdWmaoas9a0kqaqLn/ta1AH - 9AapSWgz8unJ5DVv/1mM60QqgcnQzGYYB4YE7EyhUFgeoucsGIGwI+RiMaMl/Rrz29cMIA2mIOrU - RmEE2XrJm3/qwff/xtmHH16uahGGKuoJjl092dzs7r5X+67GkxjDWEsOI0QpC0Bmw/1zAIQ42QGR - M7ffsv/oETz/OBiW+/2EM6fW0PVVxW03DdUyMjQZ1+WWOwbrIqOcgLRzMwCwHXFUdM5KkCFiMcDo - db/wnnve9y+x1aNpsH+EzfXVY8c277h7xAQoEdRgYBtyTYB5uiSMdwafG8AMmFZmzdrp5fUZ9q0i - CJqpbm784f/0K3//F34RyphMyoxlqJ4vbnzv0gwWNCmPQnjF299+7wc/uBpiVELXY//q0uVHt+6+ - ZxKYkjKZmV4oLckMBhPACGTDQ3K//sCJpf469DFOJpFqNXztX/9vL33TTyAAkEVK+7OEATbPvWaF - 2SKRLIMA5iEFex4YCkCBwD0bBU6aY6yoJgZQy/f84rvRZzQzpIyUvvqhD0nW8WTC86jLCwUvlg1k - hJ7CGbVX/dw70HVHl8aIAUJghjACY3nZwAYrQWXgAOy4NZa2N/SQmoMdYeRlqXw8dOece2YiZSpB - 2Oj7PgSuQq1JQzvDww9Nb7rpqjZpBouV85HqU8pXHc4XZgByNiZIQNNAAKlGJ4X2v/Q6XHH5WTMm - tmwxipKqZmYRg0KNdDsLGZjXDXYre5ZtyKHMQ56kgkDGbADImvZysrN//dd48MHllOoQ27Zn4u06 - 8M5vMg0ksJwNCbQReOvwAfm+79lcWXpoMi4T2nPPBA2albEZagNVasE6KY0kllmvEif7QJdNqsv/ - 1usf+OIthzPxU6oTMBvEkEkBKECAzId5BVDndGh9Y/rHnzh0/Y/f187G9RIS2tmUKwKgxMFYQUZM - yGzzqvru1jDZwEYAKSMRAAtkMINpTilJFds2QeLhb/0bJ7721dFUK31KGbffGKkxgwgjQO85gYce - Hh8/tmlKZbuQGUBDHVUxNDXdHiKl4Q8DUwIACwruc6ZAgKqiN7J6csUrX/nIzXfGtgu7Wd4ErDlF - 4jH01NdvOvgtL9qadXF5pcsJUB7a94s2hT7Z6M3nMiPocHxRY2FGTi03Le68e7VpJyzJWsal2YMZ - IGaYlcDsssFa4VPLy89/5fXTWCWQmMKMQVZiZlmVzHacDR+TbH3xbB7bDDVVKBgh8qi6UG70JUGw - 2anTUGPNDIIZG8n2+UeB4exv4F2dk2e+R23q0qHXACYSUM5ZiEHcrm9KzkJkyEYwAhOGLE7briwY - oPNLdb1pvX+1ITUCERGRQoloR+lSNr7Q1Q/nniHIQECYNji9ecd//PjLRxXNpgLEQJbmPzvME6mJ - lMFd1qVx6GcpMEDVdLJ0+Xe/wY4fO63SimTpjRTgjJBRG1G5Jv9NbylcbPsiaZtHS9VM8sHN5sAb - vvPMv//9jUfWuesRYTuCukkv+jxHpsoMU2ivQaiOWDXc9ok/ufKHfmi8UnXjEYXYdV1r3aiq0gWG - JHDuuaxpmrqux+Nx13Uppa7rcs5mtG959b777v/RH/3Rm266LQRWVVXEGBcB20+RmYkIEaWUyneG - EFJKAMpzzllVmTnG2HVdVVWXZLrOueeOvu9jjCXmVkT6vvcjyV6kqimluq4BmFnOOcao5Qdud2Fl - iK6ylkpcvZf/vaik3BGRqpZtWq7MePl3zjnnnHPOtamv69oIXdf1ZuOlyU+/+WcwdLUACGY2/F5r - IL5kjYicbTyqmqbTpIGobdtf/IX3LI0n5f6D0my5VNNyzjnnnHPOOeecc84555xzzjnnnHvuGI/H - 5UXpSUdEzAwMHfpEJOdcVVXXdd/gS4iofKB8MiUFEAKY+dprr7nxt38zBO66pq5rInrMQAIX+lLv - luucc84555xzzjnnnHPOOeecc84555xzT5Ndj+4GdBEPTcZYJESQYmsTFX/hI79z7fo0zKZhEpBb - 5E6/etPZEyeWSSglGI+ZTqqOXnoNnncFwjI2e+w/2Gt7a6WvfNfPlHyOwAwDMsZxBFDTZgRBmRgD - UFCC9iCAxhlCxLwzGNeGGKcBgQ0lZTBQDdWgAQbUS+DZ0Xf+1P2/82/lrgfpzCyOqyplHDlU1a94 - 8I7bj06Wc7MVnvBdcGxDhuI8NUcZEGMAubXIrDlLOzvz1a/sP7iC1QlRQJpeVk3+/H3vf/17fq6O - E5iBmev5kgxJU8oYonfOO6IszxdzHqUO0M7/I1TjkzEe5a5aHiMQlpeXjl/7yO33TIJYTkwgwJiy - aiCg5HbPV2BJPiZDzjAChLVPgUm2ZrjvAbz0sG1sxYgR4WqukAllCGNaPC1KyLMhc7rkNUeO6HuE - cCpw6PslYlVlDvU1z1+798REIqWWyIZoT2N7zJ2UakREmUAGJiUDA2I2yj3uuw8vfh42GwABsm99 - HdMWUUwEOGcwsBLp8nQt+q5hzqSlxBk0gwEWBJkn0CQCCIEBgwX0SMLMyIFNtSHNCAwBOIII9SoQ - oPyyX34/WM6TY7rz3tdH700MCIghipLPSmVMNgMUWYmDpZ5ilQ3GIWkalaBxQp5/sQBCO2LCCUZD - EjkAwaUbzs0559ylk3IGmYDqqgKiJpOcJ80Mf/WXqydP1RkAVI15R13zYpXMbSGFgWio4TIwwugs - hxOV3fA9r89MiSmQJCgFUUvW58ACAxlSCWo2NeKnJ+SVTQEkJgVKrYYtiIGMx0mxfubuj3/8QOrE - gJQJpMT8mFOwAT36Wipobg1Uj5ul8ZXf9TqsTmYBRknnOax761nMGAplURghKMQYxMqZS7tFZDPn - qqLj3/O62f/9h9N77xsrnnj7Yud2KP8hQExJkefl0eY57kaIWQ/3/Z2f/NTL/tE/PHTZEXQ9hapL - CEwGK60VBljBGAoSIBdVLp4YYxgbkESVEluuFGaBjAGVGPvUj0LgHKddN3ntDWt/+NHVrl26NKFm - 51eRZDOY1lkPbDb4/Fcmx67QthcpQSxJidkEECUF1MfH3UuMS7VbAaMEK5ncwYhNNMTQ952ZjaTe - mE1XvvPbv/axj62cnk7SLs4RMUxNgH0cTnz+iwd/8AdrpS4rDw31kgPNSiArR9ddnJlnGR2u8xgp - mRmDNOuyEW6560gPydmecM/Hx0VEplqugzERmGHWhYDnHccLXzCTYAQjA8MMpgSGkRknQGGBbH5a - tItvv5cSAhIAxmQ7TgHJFEJxabKrxUcUeWsLXcuod1yYIlNanEY8MRo7Ct0iv7wkRQEoqVFmFliQ - tNvcLBceFpcmiGB2wRxeBSfQeGW1J8oEYwKRZhUq10kvUVK9c7uPDbXI0qzDp/784CNnsLnObMKU - +3OPkjZcTVPSGGTWpAj0BownOHz4yA03PBQjdaMMbjkYaZVVLAAxE8Dt3m1fMDAZH2iaBnWdiHH0 - 2Iu+43X8H/9k1PaaG9WhFgHj81z2fGIMpoogqCP3vWo2y4iWJvc/iL/49Orf/a7TW9M4GYmIZdKn - p83p3F4TYwwhbG5ulpDstm2ZeTwe/+fPfPYnf/JNDz74cIzS96U9winpvEW/Y7ctJ+4nv4flnAHU - dd22LYCS6WJmfd8zs4gsUl48bdQ5dxFijGW4opRSCCGEMJvNRqORR97uLeX0ZGYliDqE0Latnxce - V4muB1BWHYDSnPcEtb2lXH5h5jLmWnl2zjnnnHPOuaLv+8icDbEef+g3fvMLX/4yiIlgMJTc7uFe - Dli+lAMoqyqXPh1mf+v13/kPvv8HoGowBYHL5JHLLQE7bgZYKG+Z/x7vnHPOOeecc84555xzzjnn - nHPOOQcAyDmLSNM0MUZmrqqq3ENe+gL0fV/63zFz13WL9x+LhE21dNkrfQdiFDNLSV/84mv/z3/3 - u6M4dLGpqmrWbJ2vP/9jew56brdzzjnnnHPOOeecc84555xzzjnnnHPOPX2epoFHaTGlIShawYa6 - wlRHD50e9QlMyA26TTyydv9XvjRhghkRdzl3hPrwwZXrXoQaEEIVdWvzLPMr3/1OVIRxDTOUG900 - g5A6G0UBYXiUcCswWEBiw71sQ6za8ChxF/PHMM/lVQKMMUswgIEqYrm68sf+8YnIOHJYR+MmZ8Bg - +fjf/d6TyOlJJe2SipmU0XuHeHMQlMryEBRaE+HMmXTzrVAiYfRbE0rHlfHIWWQFWSZkHebbCGlY - 4SXn6Twb+JwMycVaAgBIyXKvJhB65dvfOhO2dpa7Fklx+HLZt6IEzQBAhEykRHqBxSXADEYw0gwN - QOzS9N4TaDoiJsIS0/jMFhqFzUeugjJUAME8i2jvj4vbdK2VeENiTMbXv/nNGoLlzCIw4PKjtLra - WrYnkLmQYUow2o7vCjBMt9bvvBOJgYDJkk2n440ttD0sZ8zzoQmlwO/qkj4d5sU1AxmUwBnBhvBr - 0HwHnmdYqFHqrAc4aQKQU2aOJJUlgAQcu1BZvdSGmMd1Xp7MYuwn434yTjseuvMx3n6/n4zTpMY4 - NNBchUZkXVML6SDTPgMMDlDjUHVtn40ywBx27m47l2nHBiKFKDiBM3jvbzPnnHuWEsumOecq1GSs - fVqOFcB3fOpTB7ZmY+WSwZyyGTGRPNk6jZUzPlBO/VZeMJhhitwhgijU6yl3V17Gr37FlJnDSIP0 - ZB2lDDXLjCF0+ekfh7Oc6xJxYhjlMhYoqQjJPhJ85auzr988ylkMXdYqjGBDMPSClpRrxJRTUoig - Dbw1Hh3/2999NnAvXBZqLz4XZfuSsSiRQQkGsmQjGUmObacbQjhy8OirX75GKV1Uldh2PMjABtnR - NFiUMTGr2xk//PD6f/78lRbQNBnGo6q1TBj+SgxRITYEBO4qIyhEiXpGZhNLQTUoRClnkxgUucvJ - iDuJeNG16Yoj/W5maZCBiNmgQOj1SNbTn/sC51wFFgNBQQqoEiuxgXd7/bhLz3hI+UQmpNKOViCJ - dEQ9spmNqOoS4YUv0GuuaGWX26hmDMBMVE/dfge2mglz7nI5jzCUS0anMRv4WdDEe5oooEaqNByK - zQxZIzHAZ2+/czJrreuY+RJ2ayyHfCYCkeWcVaewK7/tVTi8v6skwxAITGrDhQ8lBbKRlWMvGT/Z - 0zcbyIYD/sLQOjZjZlMyJWbOAIjHK8sXup5zSZDB2g6bm2JKgIBoOKjSYm53em4ePx+b212UkiEg - y0oGIcLWNDddMMAMMCPk7Y+f57g01CEJk/0riR/9/ect6j5yu3vGIkPMQJfv+uM/PdrmOmc1IJ9T - 9I1QamLlMGgKJhGmFMId/fTaN7wOlx/aBPccDEEhmbb3C55PZY8+w7jLoLCUNbZc50hX/503PNS3 - WRggMYgyq8xjGi6GcDAgZSAjEAWCgSXbZW1/28f+AxQTkHYJaiJSRhxwzj1K3/cppaqqQghN04xG - I1X95Cc/+WM/9mNra2sA+j4HCTEM8Z+Xarql6lUSWMsLAKqqqjHGRW530zQXGkzEOeceVznUiMjm - 5iYRjcdjz+3ec1JKRGRmIsLMOee6rn07Pq4YY9/3ANq2FZEyjBcAcnvKYoOWelFKCYBXjZxzzjnn - nHMAcs7VqCbm0Wj02c9+9ld/9VdjiNlUbd7xYd4GBGCP3wngiRJG6lJproxj+OVfei9UqxDL5Mqw - 0URUWjHk7XfnnHPOOeecc84555xzzjnnnHPOucfDzACqqhIRIur73sxUlZlFpKqq0v/uce/EWHT9 - CyGUDgUlt/v48Ss//OHfOHLkSAghBFZLTTt9Qv0Eh17/i8ET/VZ255xzzjnnnHPOOeecc84555xz - zjnnnNtdT082svLO+GADgD71MHztA7992QyjpRG4h7Zom/SVL+9PKfUzVKGzLNV4PfDyy78Fq0sW - 0PZbODBeW5bw4qvBwLjO1KEyY83a50qSEFXU5s4YxotcXDbUCUsJS0AUsIAJTEMsYHkkQhKkgCRI - hAQkQBEABpZC02yAdNrOIBFLk5f84ju/HvOZ3IzqClGwf4KDq3r4QE/nWaUXuoGObB6+ZWJg2JCw - CAIJtVlZgkFry2fuuQuPnEI2rE6a9ZOXbaZbPvw7aJMBU8o5AAZoiTRGSb/GPKX8MTOkgCo0lwep - kRopoDBYmwFgNAZbHgXav5xSAgfEeNm1x42G5CclZAMkXGiTmwEMJXRqDAgoWG5PrWNzCgOThdQf - RfXV930ABgtlXYMAgYqBgEzItLfTmwyIVUy5Q8oggQiExvtWNPUQAQiTyaFrn9eZgqD5G+2NRETg - R60OJkyYNh56CBtTWMD6xtKhg9XZrVs/+EGY5mdJXve5SAGtwBWCIBJiRKjAYosCr2KpFCgFC0UG - V1xBg8goN4JcEy+BRl2SGMbTtqskaO4EOo6I0AgNOx68eJAybb9fPpbSbDSGII2AfVJrmyLiOC7n - XKlFcAWEqhpXLLXB2r5sE4FGoAKqsqtCt48RxgKO4LDIsHfOOfcMY6XSEiSllHPOOUfi2oC779m4 - +Zb9TRczA8zCecgh5ic1NqeVGup2LWi7Z4UqVFFqSkiWJFz52lfi6IFEAqCHogqNpgSLMSKpKFgh - 8xRPBYxYsbu1KwLYFEDPnATKiZDYWJTZmJruvj/5s/2bm1VSEjBKbu751wNVIZsqwDHMDMde/nJc - e/Vs31IiEQ179AFIJ+gEtt1jhzOxIrDKOFejPtRad6GajWT1Dd/eXXngyUYFkzGMM1NmyjyUpSG9 - WwFAwZk4E8OYoWK6SrjnE39BaxtVrz1SG6kNoJLYrczKMYMVIM2su1w/57JCEquSMnKwHDPEACCZ - QpBSB3CKEZPR8e94dSu7O0OqmYkMiIZ9TTp58y04ux5JeNi3zUgNbOBdDcF1u4MBJmMGGAoqTSgY - UWdokI2JiNAmkhrL1bHdL2/ZjEPIUErJ1jdx2x3cg7ebBcPpgG1IQXbf2OJ4ZbTd5CKAlck4gnF2 - c+2Oe8a9RgC6KyvUVBMAgCfjK1/7bU0VOmGFGZuxZQNIlGCkxgk0fJaN2fiplLadxcPMwFz6dopE - MwPTeN/KU/j6JzYPWbG+YWYlO7zMiTdyFx6b211KbBlmXVXLi9LFd+v0aVOl+ZjvRDDD43fXFcb+ - VQRRs6xqAHYUKz+EuL2CgND1G1+/bXrXPePpdKkOZshmJLF8oLS5eqaeuexHqrmOS1ltWsupY6v1 - 971uVokZlXhvUAb1xm2WGXgGakXxTW8mXPSDLDQ9qBopZBbiQwdGuP4Fk+uet1FxJmZlggA8bxpe - 3DYYmm45K9SYAkk1knoy3Tp70816860rUjM0WyKzXTqfOrfXjUajcn7v+76u65zzRz/60X/yT/7p - 6dMbOQ17TZ+1SxnzgO1tw6+cF6OEsKaUQggiAuDMmTMl3KXv+zI4CBGNRqNSW3POuScrpRRjbNuW - iJaXlzEMOZS+2fPlnpwQQtM0JbS7nDuwYzwpdyF938cYc86j0QjA4oW5valchyk1Ma8aOeecc845 - 5wDUdd00Tc557dTJn3zTT2VYm3oiwtBB5ZLa8Z2qw4+6gfjtb33bt7785eN61E5nDMK8wW4EMJXn - +VcQQGREe7rfhXPOOeecc84555xzzjnnnHPOOefcLii3izNzieguXWCISFVzzl3XxRgB5JyXlpZU - Lzxq47yrRYn9rqoqJT18+OCNN9543XXXbWxsNE0jInVda8rVop/ghe428aBu55xzzjnnnHPOOeec - c84555xzzjnnnHva7faQo1riGgjzW8cIIIXmaIrNrZWtrRXNeXMdOUMk33P32XtPLAEVc9s0k1jP - VJeOX4Vjx0Do1CSEU313/1iOvPFHsDQGmIOU1BoWabRXwJBDDIqki/htbAd0A4DNHxjmCFCGMYyG - 8OsM9EAGqRES0BPq5TGAyXgpKUNqjMevfvvbaHV/q2rdDJoxqq563XfMYmhFMjFKOhQh7YigLqFu - 5TFM3RaJSnTOfwQZAFsg3mqakNP0zjvRtphNR8uTsL55uRF6o7avSDoADBAUaoCCAX7c0fxpvhp2 - 3r5HUQAoE8b15ihuNG1VL8EIxvXV1yQqAScAkDUz5ELjTykQBKawDIkBUAEodc1990B79JnavGI4 - JIJ23FobcQAAIABJREFUSqqCsgzbc2TPihghA1gCmKAJIqjGD8xaXV5VAGwg1Meu6pgRkM/9w3My - O40ZJPN8x8X7bJBsE8b/z979B0tyXfdh/55z7u2emffevl0sFr8BQiBISiEpUxRZlExJJChSEk2p - ZFclqaQsySkriZRiaJdoFYuMnNhxRIlkZMkWoypbiq1YqbLLihJbZSaWSFriD4ks0qRU4i9QBg0S - IIEFsLtvd99786P73ntO/rg9896+XYB8i11wFzifGgzmzc70THff7r63p+/96ldPogBRsLt9ZNSs - 54JeW1i8cAvX/UvVnmoR23IzeNJVcNHbn5H1tdyObYirEEBqnqkt9y2k+7+KGQhQhRlgkIZrKSsZ - MUrf50k7AhAlqOUL9xMKAHbhY8MF/woNMeTUAwYrUB03IwJybyykyxitkodF38a4mhOCLrd9XYaO - 150kw0AGAeTyIzmcc84dmtLB2wH7x7ksqYtRwJILyHgd3Gyde+iP/2htPhtlg/YZKtIQgQjF+svu - LMH1uxjYQIaiiAxpQgam6PKxye1/8VWLJvbCOeesOcZgRRmIsS1q+/K/SfcqBc9IDYtUTMkAsBED - JIbxYoZTTzz6iY/d0KemAAah0JU5Q/lATcIYQO77GEUIu1bOjNpb3vSXOgnbIOVIRAK5Tu9BAmKi - OmAqgQkkRMIUcs6WcmSB8WI0wbe/pL/nW3ZjODCq6tCguOBJBtiGNa7Dq+oyXRa/Wvc4kCRPBgZG - XX/u05/CQ187QmRmKZUQGjGQQUmNCkgZur8Vc9Xoha0ThlF9JoSQcg4hMDORdUSnye569avnIWSW - A1/MCIVRGE9/QFqDQoSBSOBuMfvaY/mRx+P57Wj72peUrS5nD6W90mq1X0kLa63t15aQUr0BgJiK - LYsNDS8DUGO5g3JQBlBY601JV/v5vRJSq+JDQaqRoCZNFJF+0VEbt4Xv+K5XTWPo5eCGYLS3VQ7t - 5csqePXkA6IUYGy4qdfdz96P2bxtGyVV0jpHosu2vLcWvp7Vit7LsTaCsXIRzpOi5bGTOye/1gqN - QpO1XN4mPBQAumCN6P5gZkDX1+mWm/DCF54DZZiVzLYsSEwXlkO9IqHsRsvybIzacRQFpA0DMIjw - +ka+RDj4MCNPv3SJadQeuztSzBRKZqTGxdgUohDU1u9yt+9R9DVg3giBAStmpmAjJiJJuds+z1rP - lZkZhC6uXR487hugItjYyCEqRFVBRYjYABuqZ2wgDPtM88697hlUj+PAUGKXTbDl2fLlEwAKcVQ7 - Ml985UMfWl/0ayTdPIcIArTsRUKudlx1t9+ANXU9+Dy3x77nVbjj1k6CgIgLUamVcCMBE1jrn9/0 - NsLTuR+Px13XmVkG7bLMmnjvm37wZBsWoS7SoV5UjwiH3cMbYVEShIPEWgOpIxFwzqNSbijpy//u - I6Pt2aiYiJAwB1Fcok1NgNgQHq7Lujr85Kd7ziil5Kw56/r6kcWi/5Vffe/f/tv/86LfewETExGA - tm2vbOStqhJRzllVF4tFHVVEVWOMMUYiKqXUwUSeakgR55x7EiEEM2uapqZGpZSIKKyGFnLXj5o5 - LSKlFAA5ZyKvqX0dMca+70Uk52xm9QEActcVACJCRLXYm1ndCpxzzjnnnHNu0fdr6xvzvnvXe37p - S1/5sgIEMjPUKwJpCNI2s+VfT89w3dHwlwAvuPeet7z5zZpLWnRtE7H8jWZ1LnfVrnHOOeecc845 - 55xzzjnnnHPOOeecc0+hXuMBoG1bIur7vvaIASAiq5cR0XQ6ferrMSQEIlJVVe37fnNz473v/Ycv - ecmLF4vFeNyKkJktFgsisuf8+AnOOeecc84555xzzjnnnHPOOeecc845dw26utFiBiRoAS+6BNTw - ogJSpDm2zj747ncf1V3GjCBAwNb26c/ePwYRC7o8Aaz0icv45d8BkWICiyFMUjN52dvejrU1SASY - QAQmEgJPOEZAIAyut1X4FgMRiDUNYi9Ma3gBgQlCkOWrIiiCIhBgUEIidMtZChZRAqhFbL+6PZ3G - ZhHbBEXboo03v/w7TpYSJuMOUEZH0BZdjWEGF5LEsZAo1Zg8NohBCKB9kcoAihoLQJpz3ghSdqez - rz6EM6ehAEQttam//5ffi0VptQgUlMEJUAVnoMcQGY6DkeCo81uXj4AFzGBapX0TjIpqD+Cu//7N - Z9fWSpjkHmgC1ibrN93cM7JBCA04mvAyepwMDOVlyhQDltACLWApm6oAAWn70Qcx3wWNpDDyorE5 - SsKipx6iMFUgq/aAkl525Nk1ZIhRtw6hmAlo9OK3/d2TYTQfN1kUoWCtmRw7rtIsM9QZgBIbOBMX - YgMTQGpcVMwANdIhidMQGFz09Fe+gtSBACpAbnLGImGeKeW6ALVYLeoFKEP6tUIVQ+KgGtT2pSQq - 9uXeXzxP+yOubbjTC8PJro7lFk17f4CG3PrhBcRgBg2FPBAYEAbL8g0ECCSAgLYJyzLGTGFv+nsf - dNH9wZuEOAIEJMShTis2REAQEANUd1QH9zmrze2ijxte+Swo/O6bzsxW13Azs/nV3M49uXrkXWVV - GsFqSOoQE6sEZdt70EROqbcYk3IroTm/02zvfPX3fn+j9CwAFWbqemKKsBL4cGmUNATADkHLUREV - ZIAhCnJB6rSEZr4WcNeNzUteema83oXAgSJTmc/XKETllLKFkEULq6EGNJIRAcoHo5GvMEPNYLNx - 1lGnUoJZnKuOmTYXO6fe/76Ns6ePapEC09BbDkxiWays3m5gRhSTAGUqZpi1sfvWF+HlLz9DAc2o - wBSloFyX92bFACUphTVnyhmlVs1KoDl6C4mlcCoqa6fbtdt/+Ed32lFvIIm1bkahUUSFKMH26hhi - iLWMGoGgNcxYTFfV9b0CtvzXIRARWCe6u58/8bu/06bUFomFQ+JQmAxZUh/6wgUATAC5yunUapwY - KShYRa3N1GSBUjErTIZSt46cuPSTTdx9L5+4tW/GC6AARJQAipwJSZBkGc98MOn8EBjQ3CeAhAV2 - lOWrf/jR431eB3VdB4CETHqTHiV7/e3KIkCU2VS5ZElKiczYmExyZmpGfVG1vBZiYzBVYyMkQjIG - BSEE6jku0GZWQhbrQ8lSlM1IDVwItXkFY0DMWkOsWZMNEBTUq2UL4zBFv9uO8bx7xnffPY2SmFYt - ImqkGBWKiSXVwPj9zZPDUEImLFIXA2Lf3TpNpz7+qcik1iunLFYQYTGqBi2FteeDoZhuPyUYqZGy - MRuzgY3JAsAaM9lsY7ozfeBLa8E0ZVKKaA+9OAnGUHBhSszD2geyGUsUDmqUgT6Eh0O8+40/DOE+ - MBtGHLQvZGRsBaXGdXOJrMI2VEKUnkb72tgghaQsc3CtFAoETZSmkbSw4PiJxKwAmEgicbA6FjiH - xCgH07v5sOVZkNs0xxOPtaWJGFnQnhfZejXLFJQaAMNZBFJRFr265yevQctzk7VuxjAujEKacyeE - pm27oj04hGZcUj79eEABlOuhvCACZKDVeREDL0O42cCmHeXJ8RswWptRLJAmRtOeqCcoWTAEWBBj - tlXlzblnSM3tVmIlAKakRpqZlRgSYBagUrLmDoLSttYlPPRQ9/GPtfN5MW05aAK3bVnuJskgpmLG - pmz1JLsq9crjc3Htnh/8ke31I/OMhsnQG/WkxiWYNkWbYlwbF9dES+Gy7s0KaUd50QSKxCGPe2zQ - ffc9duvx6TjOoRmFSbn+OiHQw6d3B2JV1VIIIDABTEmQAmFtPjv/h3+EMzvtvCOyYsiwzMgMW2Ww - E0DKpkE1qBLqGkdmAGDzU6DuerM8WXTJG0GbKKaZoCKiqgAzB0gwEnDYnS3e8XP/06++99f7XE+A - QGEGFFOzAmjXzS/4/QXDiZLh9uRijPVB27b7n6lJogBUtT4opTDvHftFpP65/0nnnPvG7Y+/Xe2L - 3PWrJq97/vo3qGkaACGEugn4crserUZVq6uPiPaPvOacc84559w3XQ1prqcGh+qrRzVfCRdeJYis - BfUkKjEZhNgM0o52U/rnv/M7//Sf/Va9PH7ZgihAQb0ct/7ia9DD/7pfT6SISAgBpLFp6jVzzDBD - I/xr/9t7hUjMBNBcABARMxNRvaoQalZWFwEaYEZ7tyuyoJxzzjnnnHPOOeecc84555xzzjnnri8p - pfqgDggDoO/7etHFqmMdEZUyDHNUH9R31fHZ6n19C7Bv5ECSIE1JOlx8Doxaec+73vmqV75c8yKw - aknCbKpRmkBBFTC+aIie/T0HefmC5cADV3c8H+ecc84555xzzjnnnHPOOeecc84555xzVzm6m4AI - FiDGqAoEgAXFkPLiX/7fJ+Zznk+ZlAMA7v/0s6NZHxToUwOQoBM+ds/z0I4QRiLjEMdnzP7PD/w+ - 1icqsi9Jd+/j9t2WcdQX/tOTL4cDtwBiEMgQ98YvMtjqsjfGaPyK//HtWxsTnayHdj3PZpCIm246 - cscd230OxAQQISuWg/Re/Pk6DJYEM1Kj5eRrXqPCCgwgYE1Ypjv2H7+EomVntzlxtNvZWtvexbxA - s0BBpSb0yPJ2QaLx/qVwiWW1py8diAILAqGJWyIdKLRjEKMJzW23LhjKdewvRcm0791kB5MpDzwj - ZnnrDL72EIoBAUii3Wd/9R8glbpUqSYECAFayrMhOchqaREAIAZEAHq82NRQAikVTEbr99yzUwyy - N7u8XGi6b30BWEWzrRaqZoyFsbuNJx4Hq1oGNBL+/Fd/DWe3YcOwXBzEDCmnSwZ17hXqZQLE6qsI - LpUJcamgCL64vF0VBzdq7P/jwiTsS2/1T/oV92/+2Luni+73/+vBdz25p9gBPdnW6Jxz7hmxPxqT - bO928cuAIX6slFRKCTJSVTHdEMYffmjzsVOjPLxyecDlGq/4dNS3F0ZhpIIYRDjOgMfH4d4fvC+v - bcyMU61B1ahmhSjD+ODheuitcXVzuysFzCyojYwYDKWNyZrunse5rZMf++NjUEkQEIAgBCr1a+5b - bst5h0GhEdvEd7/htVgbYTyxvJwh0uv0HkPKphKGcNahLkFkAkgxJOtzl81uvPmGl7283HjjvAkd - SgxBmLvcZ2TwMj3iSSoPT1GYDzxPQMxltD1/4k8+ja2ttZJiTRwDASisRqvaIsOegfQRBbSG7BrE - IDYsOuW9eVEDOhHE9ltf9/0nCdq2IIYwAynrJfIIje1gEu03hAhMaAmaCmCxz0986k+ws6D5LIQQ - YwO1khZkOYTg/aCuotpeJpCBlUVEUw5CDHRdl4uaBCNWE5ZYgJ3ZdJG6dq0dj0dlteOokbeGoLyv - 6q1A3UkOyfQ1+FMUbAygpkt2ItbE57/utaebWNoRg4XBhNKX5bSX/e4On4i5IsvOe6JYXyzCqS08 - 8XiTOrZshELBatvy6u/JnwXYQKZkulrXSjCwMlJK60EaxSOf+nQshQM67RjhsO0xu+DIpasnQ2wA - zDUTW+TQN6OtG47gu18xb2LN0q67OK4Ha9rb6fGwD9Gvl8x4CQdy3LVGORsDICUYmxnIxBRAHwKv - r9e3qJqWYmoAE6iYXjy1y0CGkSrOnheFZTUzYpCYkgKiy3UCql1OwQA9F3eheyVotd+IgbNlVa3p - d5zSJJd+a4sv3JWRYXUiwgDQ3qSorkHhZmMDHAqFQmxmBCVdHkyNAL5kPcG5Z8K+IyUvd3gKlGwA - VNVYAwurWdefENn54AePnj+7PrySFUDfCQ2tJOyldw/TDIx5scX6+voLX9A+//lnJaBprGSg3mpX - 9gAL+0/oXQsthcu4N1LVPkRG6bXPwUKWEY5u3v267zujuR1HCVCrqdtUVtWWyzDsZ8iG/8CGidKx - 09t4/x+uE7EWIsqqQ3vHwDYkXqzeWZ/ElTjQOHdt6rqFamHmEMJsNlPVpmn6vu8WqW3b3d3pT/zE - X/tX//p9MIwnkyv4uTHGlFId8qPrutFoBCClVPNE+743s1JKHUDE0yidc84555xzzjnnnHNuv7W1 - NVVV1WKqqiklEVnk9Kef+ezf+bt/r7dsQGxaNR1GZD7gsq79TinFGEspOefYNKnr65TYQMBP//RP - /YWXvNRKCSEYyv6fg51zzjnnnHPOOeecc84555xzzjnn3CXV6zFqN7q2befzOYDayW53d3c0GtWu - f2Z26YtAlojIzGp/fxapA2PCLOeMZc53CHjnO3/+9a9/nWoG6UVXd1wc2v3k9jK8nXPOOeecc845 - 55xzzjnnnHPOOeecc85dXVf5Ui1jSqACIZgg1fSh7Sl205kvfqlZlJG0YEHqcOrRnYcfHSeOkGSG - iE7QH9nAC78VEmFic50mO7W59rMfej/MGAxIn9LVnQUDCqhgjBxqwPEyXjcHnC+7ON7e+zf+260u - aeIw2kDT4tjR4/c8f65k0gIUDOghw1BKJqZRs1hhFCIFgUhBqqxKKMSFGCaswoWDsSASILmMiNpk - Ww8/jOlUyPL5rc0bNjdhn/uHv4KSVBcGFDCDWyAkSA/JNQLpcHMcQ9unvks9iEH2ex/96FRLMcAK - GLjz9rI2LgDAgB32A9iwBupOb0EUpQNYMh1JwLwDtM+57/rSZyjDYGaH//rXnMC1gDLUhsLTxrtf - 8uIum3BbSoEV3HbbIgqF+tp6CabWNEe24c/l84NhjC9DARqSZjFffPkBaNLSgYkMYXsbgaG9lQ6E - ogAhhsjAEGlPDGYwZ3AZgq65FngoyNAADeqf9XPVoAZdhmoxiJcXjCpBh8Cn632FOeecew4TRVQ0 - BU1BVJZlxwYDG7gQZ+ZMnBnKwixUdD00lqfodx/4vT+4cadvMxQoBCNjZLF8GUfGmg6u+zKGlZAZ - uYbaGWft8qjZuetOvu/1u32esNQYzmuHGRGxEowZBUHE+tkmK/7kM9MHvsKFMlDz3gRUxxsFUAiF - hzg3RVGUujAXiubm245/33dtNTCzRsHXeX1jKFJ2cEZKKUNIJ3MIIZVczOj4Dfe85nsfb8LCFGXI - 7Gw4qPXD26zeKZDrNA+bwSmMRZ+PBNbT505+9MPH+sWIuRArQRmiIkZsqDVSvcaGgl2I4Pu++9TR - cRfFoFpKCJQMYAqKuCwtBKrZtXr49G61OjJurMGyY9b81a/hgQeiQUQ0kagERVAQ1TBa7w11xRhQ - WJU4KIfcGEKhmnVfIpv1s5GhYVmwdU2ABNUAmvSl0WY0vmFT1vlcf/ZUOdu1BdBQqMmxyXHcxzZz - mznq4baXhQS8+lXnbjw6Fy5QAMQwQMBiGlWjghQKaA2qPOT8siEaBQUbDCCi+bmz21+8f3PRjzOL - koESIwmUEUBx2DbdkxLjqBBVNq0H0y5oYkQ04w7Y3j31hftbBYQNAB+uQWuEQigEkIqVqJBlq11h - i5LGAVBT1W2zW+97NZ536zxc7f3D3tkDrpmpUABmyz0fkwGFoUyTzQ3U/drwRiMCYFC7+Ah1GUQx - Vt557AmYQZWsCIiJGGDT1fRt3/e+7Mz765RhL9G2Hl5jQaNgDrmUAiOixsApUSk7jz4mT7JSVk/v - 5eAajJBDs3bjcQBmBkDtgvcvTyv5Yct9cxBseQgmMohBFGIIIILkEFUaEWmyHl10cvrMf/jQRzcW - OZoCuZ6/TAbIqpXEAJMtbwoz6Kh5JJQX/cBrsb5GHBKhXza16ieLQUzZlHFt1W8PSwkdgCBREUth - aC86H8m99722jNd6BAPnob2gbBA6dHth/5ABhqFGrRgqJGuz/kt/8GF001YTtASOQdEUjvWHFKtv - 4cRciI2GlSXLapgevsrk3DfZahSMSw2EsbFxJOfS931KaXNzczKZbG1ttW3btu2DDz74E//VX/v4 - Jz5FhNGomc1mRFes9lOHFMk51/TunHOdeA3tBqCqIsLMpZQr9aHOOeecc84555xzzjl3narXp9Xb - dGfXiipsbWMdTEaIbZNMt7a2fvzHf3w+n9d+AF3fAVBV7L+ywPbdDvsdmOs4zsScuj7G2DYBCjN8 - 58u+/Z0///P1yZSSKQnHKzf3zjnnnHPOOeecc84555xzzjnnnHPPTjHGvu9rV7v6Z+1eZ2ZHjx4F - UEqpvfDqCD9PNp0Lgr3VrOz1RI5RAgsBb33rW3/kR36kBoFfPIXru+uyc84555xzzjnnnHPOOeec - c84555xzzj17Xf2AluUQ9kVBDJvNQeG9P/pXjrfjaMSxQdchLc7d/3nJpQmRKBhImWZAe/ttOHEz - KMKEQptGk2/9m2/G+hiRsehhHOPkqn9/KJBrxJuBhyw2hgLtZKMXwQ3ru+ORjsalS+gyQsAtt4xO - nOiNYMIGAXgYDL8GWxhIQUNCDzAE5pQa0wiCMRAAIQRwEKAA0DIGQp+2P/cFjNdCG7fPnYnd/Fjq - sTsdGQjKIKnpJbac7OFH/lfTJjZNbMCMtn37v/5X26oYj4Yc7fXJ+m23zTJgEjB8tW+cmI6Mth97 - AqdOgYHRGvV53OWPvOtdSPOm4aZtJY5hBkMMQa/z4I5aWEpWmABsBjDQ8i33vYbX1hVCHFAUa5Nj - d9yRQbocu4uWmY6XXIH7n2RAS5kEnHv0YZzbCqpgRk7HQ/z8r/wKFrssgKkw+pJtFcpUIx4JGVCg - rAYNs+W9DkOSDZeA0kWron6JC9Ip1K8Xdc45d/0iQAysCArZF36sFx2MDUwxFoUYmpxu7NPuJz/Z - feXBGymIrmphSpQYBZczMude/dkINeK5Ps3EpRQlPsd0y2vvw403dyJUrrkKk4AIokQFVopNRNK5 - 01TSF//t79/ODRaFAzEIZKpqQ5YbsMq0hhpn4wygxPZ0iHe++i/ixPHdQIu+a5gOHTV3/SCipMXM - atrWvFv0midveN25oxvdKBZDUm1IuBFb5p4OdX8qoEKHz+0GAGECGsQbJTzwgfdjezt0CaBCXIOo - RYMY6FKbwzfdIjDuvGX95S/bplIMxQAmETalmgE5VFrBSiiHz+3GsoKbS+bQKizkdEcIX/3AB6OV - BtzP5gEyCq0YVHHJLlXu6SgEA8gkqLAKGRupURbrqWRSBcAhGFPO2czY2AotZvPZfFctNWOWiViA - GIJyk0MoQYx51ZA5TBr9PAhuPnHsla/cBjI4AwUIJAwiKKGQgQ1mbGAFH2riqEHLCtYaT47AzIv5 - yU99Oi76NoM0ACiwnqywcrGg1+BGeQ2pzWoyFht2jkYoDANG0oZpxp//h7XtndYYSVsKQDrsR+jy - AF3PvpABYJj0KQFAwz2QYnt+NLrjB16/HWhx1aO7L4EABjEFIoFRBiVDZt44eszAxGAiumBocbus - k0kHiWGUsXPyFMyElYvVDQRqyzMew/kJ3X9+7DmpZgkDiIqwOs3GBEBAYyNk23n0pOjBd+GSud0A - gEK8IBy9+SbYcFZn2Xn4gtc9lxe7+ybiGoQArQ8Yw58EZWZVGMcMFNOQ+1v6dOaPP47HntgkI+0M - BlIWMiADhYYz6gc/wWgRmsXtJ/DqV8zNipECmazwsMMxAhvkSU69XneUoDBmFiGoKtM5KJ535y0v - e9mWag8ioO7smZ/m6VuuO57CKAwlUNYNs/nDD9kX799MvXWdiIhyULBitePSYQWj7G/3ecXZPRvN - 5/MQwmg0KqVMp9PpdLq+vg7gM5/5zI/92I997nNfBGCGxaJfjfpxpdSIlzqkSM65Dg5iZqpKRLUm - ICIiouq/4zjnnHPOOeecc84559zgxhMn5vM5M89ms5SStE1X8nQ++8n/5r/eOn+ut1ygBGK6wr9s - rE4Rt20LIKeU+8zA5tr4N//JPz2/dbY+r6qrC8mcc84555xzzjnnnHPOOeecc84559xTa5oGQL3W - IoRQO9PV3na1813btvXBU/TvK2Xo81876NXHRAZoSqlo+amf+smf/umfUsvz+VREru4sOeecc845 - 55xzzjnnnHPOOeecc84555y7cq5+blBkyPD/roBGLUr//a98RU4dtxF9j9xj6/Ti0a9Z6QGGETH3 - sL7l9p57IBEISnERmjMhYHOzr4HGjfQp6+WlIR6KAIICMUhBLAjGMIIAqesUAg7f9rafOYlemogg - KMD62ubzn78IXIgZ0lBdAHuxOrqMFdFlOlG9131hRQRSmJpCggLFjAQtePa1R/HIo9AyGbcTxuZ0 - 9tn/9ZdxbooOpDxk9QUgohd0pIeN4VFVBdTUiiJETCbj2245PdtVAkhBOnn+3VliLuAgsHKouA82 - UJ9pPstbZ9DEsrsrJuPU3zFuQQXdTPsCExgAY0Kg6z5AZRmgGMANEQBFBG48tqDw+LltaVqsryPG - 8bfcvZsVLEaocVZiEBvCbC62ep5Ali1SkZy6Lz8AAkoJCt6drucEADlh0cEgEgCqcTirzUaXcY/D - prRa3MvcUROYrHK7GTW9fv8NXKdgtbBd7yvMOefccxMpoLTv4GvEhTgzK7ESAyzKokzGABfILGeO - PJpP2zPnH/yd3z1WsmmvxAoWQ1yGedbAMjtMlZuWMWYKLoQkKFRDZ8GGRND18fTo+t3f/4ZHQXHz - aN/3V2mpPA1sRpksMZQgKd/EhC98Yfszn70N0hQENESkKLXKUZiMhsrPsoZTCIUJU/D5W29rXv+6 - KVlpImpM33Vuf41plVrHBiJiZlVdpN4IEgMHmceAO+7YfOUrZ02kUcy1ydB1YVmp05oQfLm1MCOk - rKM2dn3XzrvxV7+Gz/zZOGUzU2IDwwIph8KiANTo2soKnoWw2Fx/3g+9fjcGZRDDsrIR72ujGNW6 - Ltslkh2/PiEYkBCGUN+uO5a7Rz75CZx87IgiGJkSGxMYQLn+y+c1pTaQC8MQWEObQ1M4MfpQYLkN - rLBclAtJAmkZB46mI5RN4Q2RoJq6PnU9KYlyKCEokVHP6ARJtJAeao0tJOyur9/5A2+YNqNCUWPs - DMOGiNo0ZpjAouLpda6rSbtsE9i5z/wZzp4dqZExAcTIjAyDGnmB+/qW0dpDOKsCIGNLGo3Of+KJ - AXYXAAAgAElEQVSTx/u+UU0KIqjmw8WZWl3dggvyj6VGLk9GjF47YHtjsvGyl+B5d26vr/X8jPS6 - JAUUUIbSMhiViMzMmJSQGYl57YYbCqzGOhtBWQsVkAbai1O1vVBtPWzWqxjaZPNTW9AUhWDKQE2O - FDNZnZSg4SzZNXVweWYo7S3WWoSCIihQlIIQs1oW2BiEWZeeOBNsSKCvNyUYqbIeWHq1plSIZkzx - 1ltLjUbmWlW4RAn09G73zaAMZVMxyNBeMoYCamYpFwUnw8JKYxnndx75vX93UykjFFJlguZsZByQ - gVUUN4B9JyuFaXQmlXt+6HW4cWPRxGIGCSxSN7rCAMCmYsqmz4L2hUhMOWcxRCqaSMKUkaPc+oNv - OLOx1kmIJERQKDHlQ85vjVdn7J2jNkJmZIIWIJcWZdIvHvzgB9rZbAQUVQZEIcZkzMYAG7ESJx4W - Pi3bQctjlnPXLeMDNwNLaNQoxHY0mohEie3vvf+Df/2v/+TJk6dhaJvYNAHLpO0rhZlrPreI1HML - pRQzM7OhGkDUdV3OuZRSn3HOOeecc84555xzzrnnoHpV//7bYjoDEGMkoti2XeqL6d/8mZ/52Cc/ - 0eckEgAYDMsxnQf7LsW/nGvnCUABKdgW82mUwMCIhYH3/MK7bj1x09p4QmYl5dFoFJq46LsrMPPO - Oeecc84555xzzjnnnHPOOeecc89qqlp71dXudapaO9wBqPcAuq4LISzztvlJbsMQQPUtzCxCZhaE - CPjLf/mH3/GOt3f9fDxum6YxlAu+RO1s6JxzzjnnnHPOOeecc84555xzzjnnnHPumnSVL/AigFDU - AKRUggDaYTa7pW1tsYBILj0C737+z8aln4SYc1+sGFFnWDtxAsdPaFFIzBIfJ3rhz/4txKhxjMBg - amI4XNDW5Xx/Bdf06yGMzZaRXCi20a4FNJAG4/jEOHQiqJE4QrjrFjp2ZGGWrTBoGcUzLO29pOTV - sE1DSKESDKRAZjJDSjkpQCxqnAqVpGNIOvkYiAFFmo/T/La+oAdKvWIPAMAoNYHy8HMcJADMFChE - GBDj7f/lXw1Hj5AwCMkybr61PX5iATLYYRc/GZqmCamc+fKXMVvIaDRqmianOJ+hXwDEYBBBAszw - JKnV1xMDsokwADUobIjxCvz8v/GWG+66e9altLuLUnDn89pjm51ZXWf0lPPOe/80JDVqxnqLra88 - iPkMeRHE1pmOKn3sF9+NroNwyblLHXBwsrVE1nKi9REv7wFAFar7QrpqJN3qtm/kMaym4Zxzzl2/ - ag5iZiRGZhSGEtiGVOmgQ7Z0KdbGBrk7BsPH/7199gtrucslY5lANhxwCQBdRoeKZRWOFKKkICWD - KAjaR3rIyl2vey3uet40tLOiFMJVr9IfDgOsimJEJBJCWcwmwg/82//3Ri3l7Nl1BEul9jyhZZKq - 0gVpcJUZnTOevPxleNELdlhAHJqmSz3o2VnlKKUQUQiBmQuswIhoEULfti944xvPSNhVNQKx9VZk - WUoKUeH9Q8AeujDUJhUDo5xvmk0ffN/71oTbbAAKcY1CpOXaudYCPnuhM2175KUvldtumQooclao - FgbpgW9LShdUpL9RxMgGCWGRuxilAejc7pH5/NTHPzlZ9Ottk8n6PpNxDUu7cjPngKHFwQDIiI3I - 2EgNyFo4xEKkxGY2gt5CdPT01olHHr3z3PZNiy5Op9Z1UUJo2j4XI0ZthhMKI4kW1sKHi6Lvhbaa - ZvRt3xaed9fuqM1RVJFRaLnzMkDBippSeTmIGSAzGJBLGaGExx/DF77QpsKAmJGBiMB0rW2M1yBb - NWOH/VgRU6mH8j5D6dTnPzfenTZgAVQzHX4wbbaht6XS/uxYCAOkXUZq6SHS57/pjdP19amE/Iyn - JA7HVjOYqaoaKZGyZGY5eow4KFDMapHTIeT765yR+AaRWVu0P3ce81mA1qnrsDmDzch4dcyq5xb0 - WXp8v6RaLPdvyLWyJwZVBRMRmRUlZcs4s8XntoPqgbcf2IPxvhWnxLMm4vbbCtVk3OXpwmGZ12cO - tw907opSGs5/riqyQzg9hNmIOVCkETL+/IH0hfuP5GyLzAAz1FAyiMDLYr86814nnYl3OebjJ068 - 9nvOREwNTRx1XWKuGdJ7X2K5u7PrvX0hxKWUQpaxjOmN7VlmfMdL+QX37NblpPmCoQUO46LjgtY6 - FRGEmFnXy+LMxz+JB796TGIp5ZLvrQdKW+67Lmg4O/csQkRbW1si0ve5DvPx27/92295y1u2trZr - TbDr0mozGY1GV+pzVTWEQESlFBFRVVXNOdfE7vqatm3rkCIHtlPnnHPOOeecc84555x7bhp+rSCK - MeacSeTc9vlmPPo7/8vf+3/e9z4OAuZccjsaK6CmOWe+gj/6E2E5NS1ZwFnLX/3P/4u/8qM/2saG - gVJK/WJX+HOdc84555xzzjnnnHPOOeecc845556lmDnnbGa1Gx0zM3MIAUDOWURijPVxHeHnqaem - qlh2zK2d8kqxH/qh73/Pe949ne2Mx+10OpVA3l/POeecc84555xzzjnnnHPOOeecc845564jV30o - HwPULKtxFEOGpQf/8T9uZ/P1GJFTYMPZU1tfOzkB2sBAJqjCisixu+8FCMSKsBvj6aNjTCKMGJxU - wZwVbIfPVjrkl88whQ15jQZZ/pMwoUCnBdZiMn7F//D2x4qiHYMJSFiLR++9s4+UiYtBbZXbTQAB - wiakzMpQhg2RkEERVRmFUIDEAGqooYRsQWkEGWXThx56CIuu7xYQlYh4/vz0X/xL2BC6bASDCrQx - NHroAIz5Yl4jU0oxxBYgbK6fg2UGDNaO0cSjz7tnHpveymVkffR9P2Lms9t49DH0eTrdadsw0u7T - v/RL2N0BSyHM+wUkgFYJ59ezUOOYYAqzYtDChBhB9vBsGtq1ONroSZDS5p13lraxfZvkU8RlkWEZ - TyNgMUNQ8E6Hhx9G6VmMc5Zz23dqQKdAkogmtIJ9adsGMgQgAAJw/ZeaLioAo0biyfLGy7VRgLy8 - pWUWGq2+7fW+vpxzzj1HKaBGKIQk3AsnQWaUGvZpCAVBVzcW47FE7nZx5rEn/s3/d9e0l74nAdeA - bRsiwHUI9eTD15gUgCEAXOuONW+YGDOh83fdftOP/PCUCcKLbBRH19rh1xREFEBEVlgbzfjCA+c+ - +eljZIoiJLqMNt+rTC4z9Or/a7BkAevmsdve8P2ztYnFVvvEQZLptTa/l4dwsCFjZqoKohCjAUU1 - m87Jzk3a8JIXr7/wRWdBFphNw/ItClYeChswhOEdljD6LsUY1oRvmC22PvVpfPnBtdyL1hINI8CY - h2z7VRjuNaEQz7npN458y2tec24y7moGPEDChVAIVjNiUUQtFsjhAwKtgI2YiGFk2kQIcILw2Ic+ - iseeYNKeAYqmYmZ8GZu7e2qkQ7kDlMxIxUBAIelZskVIq5rXNR05c2b737zvj978VvxfvzvZ2roj - 8AgGJuOYOSTiJOiC9aEUrq2Zsi/c+RtSiHtu03j93je8fmt9MlNEAdVCRkMoeOEavn05aZT12FFg - BhBgsFDyzak/9eEPh67npJItqAoMgAqpj8z89RRGZlYiI42qsVhQbgo1pvbVr8xPnhz1FlRZapjp - 4VYYYW+XYoTEKMQGEFQI/QIsmDYj/rZvxStf0Y/WuvSMHL4uNRcCAqCqSjAmY04A1ibN+jpCGI4g - TMaw5Um2oSZDB8Ohv3FsaIxsNsfuebJkVohIYUbg1Ua9rOFc76G5Tx8NS54BVjBIaoB3llJKh5Mn - x7MuXriQbHWChxSkrEy2t1NIzN1ojFtuzcsDk5kB2J+YvpwQYbUinHum6KW3es2w2DZWEIyiMM+n - 2x/4wC070zblYmCG6dBSGF5TELQWYDVSgwHWMz/e8F33fS9uvWk6Hi9AzMFygVlNj77gs6//7Gg2 - sBpDjJFRwFZMiZuuGeHY+q2vffViNM7GWlsfWcPTq66u2qdkiBwFBCoT7Y89drr74IfH83lDUNIs - Q2uaAVk1k8H1WGmXag05d40i/YYrKgzwaDTZ2NjMWdt2PO/SP/r1//1tb/u5nME8nAARoVKsaRoA - i8XiCn7TlBKAGt/S9z0REVFKqW3b1b/2fQ9ARJ5ySs4555xzzjnnnHPOOfcsV0O76+8VqsYsYOlz - Pn7zzb/4nvf82m/8kwJ0uaSSFZgt5vXXjRBCHZEZy186ntbvHWYhRpgJcSMC6F/4T17y99/9nrVm - hKKaSxubvu9ns9lkfS2rD/HsnHPOOeecc84555xzzjnnnHPOOff11XDueo1H0zRmZmZEFGMspdQe - 9wCIaPX4YszBjAhgUB0MiIAmynd+57f/4rveCdK2jV3X1fTu8Xhc37W/7/9Vn0/nnHPOOeecc845 - 55xzzjnnnHPOOeecc5flqmc9lawhMAkJEMscpR93i1EqlBQ5o6STX/z8sZZCwWKxEGImM4I2LW67 - A13HoUmEKct3/q23YhQgEmAkTQZUTa5+wgNBGTpEeg1RyRDAEgA0bQQY3KIZ9cdvmIZoJDCCGH/L - HXl9oqM2w+jC5axDPthy1CYTGA/jQNUnGAXgSCKixHOW7Rh218eLYxvHX/iCe3/g9QjNZDwBChhj - w9lHTgKoeZNa475hl5d7PRmNtRQALDEZEAKacCr3C1Axi6NJ6RV33N43bTajwxcfAkZtw7vT8sij - MFs7sr7YObcmOJoTQOgXBsR2ZICm9KxJ8DCFCJg4QxMUgbCxtjseLVhyVmnHaEa49wXbmjOvkisv - 7UACHIsYWBh5gSPM577yEDRJSQCth/bIPN3/y/8AqUc3jUBJQ7778msBCjGE5XMJSMsUu+HaT+Nl - 6jyjhpMBsm+4Mav/ZEDNoXfOOeeuN6tjqxIKI/EQ2m00ZK/WmNhVoqERIgtNd29Nqf/MZ8786Z8e - KakFmCG6r/Jiq4rYZXWoIF0lv9aPNnBh3hk1x77nu/H8uxdimtPaxpEu6TNQpT+UAgsSBRRA0LRB - WHzs40e3zvLu7jiKmQIgQjEo9jJBC6Es54MMibHbNnT7bRuveMUp5hxiTmpM3MRv0mxddbWfT85Z - VWsPHxaxptkJIY0nd3/f986OHMnCqUAEaliu9yF7eIhZZX3qyuQBZAgEAUrKuUvrimNd9/hHPnp8 - Pm/LUHSVFCAFX07r4qpjZdmVZvz6N5zZPLJjJDEQqJRc00+1bssY4p8vo3+TgYSD5dSKdNnAmDBk - eyd9/ot49DGbblNAaFuAcs4suKaizZ8FyEAGIzUyXe6ZYcRhpCYFLCIoaW0xw5e+9OV//i/uevjh - +3/jN+5/z98vn/iTOxJtbi/67Z3RpFXWQjUeWAHFsjQccu/JxGGHJLzxB84cPzLN1oZWCVmBehAh - UtLaNLq8tmwxLTAiSG0YZr1R6Gv//lNYpHFKgkxsBBDEiJQ9bPepKMHqwYUYAFsOltkQ1I7m8vjn - /kx2dicMK4DV0NdDL06CDuvAYKg7GjUqZlDGIsiZ0LzoTW/C5ua5Lo/iCHYVj9cXl4bVqQMhlmUN - xQiZLEERYzhyxNqRAkY1c/6CYcVtudO/vNJM9UxB6my6y6knM2XeO4tE9WhFAD9bTvwcznJ98erP - ZX0PYLLag5dIxXKa48ypSZ+CXuL4Qsu3H1iMSrwYj3H8qDKxKawYChsTpH7qKnVe6Vlz7s1dZ4z2 - 1VqNapksJYlITolyGvVdOHPmP37kwyfMwrIWmg0sEomgYCIxyP69H6kBi0CPr4+O3ve954J0TWwm - a7Pd+eZkHanQcB5z9bHD7XpXSgkiAjYzEepzLkYpxnMx3vg9r05Hj3VNU4iYkZ9G1cForzFAgChD - LVlRy8HsFuChD/8RTp/dNAO0NqtrzU0MQSE6TERpOEY796zUdV3fZ4C7rnvHO97xy7/83hBgBtUh - upuImLnv+yubn01ENTNGVReLhYiYmYjEGGtAeIzRzJqm6fv+KYYUcc4555xzzjnnnHPOuecUJcS2 - mc3nfU4S4zt/8Rd+4T2/hOXPIjHGejq1aRoAOWfmK/ejP3PuOhjUtJRy8/Ebf+s3/4/IoqWYqpnl - nGOMGxsbOzs79Qs455xzzjnnnHPOOeecc84555xzzrmnUK+4YGZmbtu2lAKgJnbXx/Vfa/87oift - YKw6jBIqYXjNZDK6447bfv03/tHGxppqDiEAWkoZj8e1B59zzjnnnHPOOeecc84555xzzjnnnHPO - uevCVc/5C8JksAQqKov+I+9+93pWUQOAXLB11h593OYGxYi5WElWuIkbJ24CB8QGWjSEWQgYRwSB - gpVqOnUTaS9K6OogqEBJM0xhNSFvSPuigMVCQYACJQDxBW/+7041DGpg0cAYNTe96IVnSw7jtQxl - IiYDQcmMDLx/2RsBzGyMDPSELEiEhVqJYdGGswFHXvqi4/d91/E33YeXvxiNwBg9SjIoSGIqBTRE - ddfQ5YILQ5oPQ1hgTAARIzSI8l0/9/a+aRI1XTKTBptHNm6/GU2byqEnHgk6X2yKbD/yCPpOF9Om - ZSxm64sFugwygxlMAY7xWRF7pyAQo8ayB3AAgxitvPJnf2ZbwXHcp4KmQaQjd9yamJRAwjRk1oAY - iidNkelLD2FTFoCSYnd394tfhCkamc9mmzLanPVYdEgFqhxqAVNj1NRMGNAVKGpMhC0Lj62KjwFg - MwJgWcUgCSGjSWgMJa2SoxjZrmoOmXPu6XiKK8Wdc8BQL6v1Sl2Gt7FClDkjSmDmvvSZNQVLVAy6 - 3ic5u/vp3/ytYwGqmQ2UV6GwexMurEp62OqqDYfhnq1ERSQwoYOm2J5rxi/6z/7T06Mwy7PNcdw5 - dz6Ea2tozjqkadJCxWLJEyph5/yXPvAHNy/KhGCpGEAgMxDXRFWIgUyV0RuMqRgoYkdxenPtnje+ - fkaMycbuIq0d2ZzOZiGEb/YsXkn7Y1JVVUSY2cyUYEwFVkwLx90Q2te89omN9RQbBlTBwgCxEZsO - eZ92QZzeIRjXbxLk/2fvzqMkSe46wX9/PzNz94jIzLq7u6rvlhpt65aQ0AE9CN3AaDlmpBmWQysY - dhYY4CHYB+JYrhmEkGCEuMShmUUP7ePtGxgtjCSEhG6QBIKlJYH67i51V3dV15lHRLi7mf1++4dF - ZGVVH1JmVXVXdf0+L7o6MjPCI9zd3Nzc3N2+SBmLrLe8939gZaXqOu9cjlNipVB1SUTEn2f7E1Zo - l7RusO/SXTfemJqlPib1UFaXncsOykKzbUoJuunjXwZYRJxmaFKPNkOAodC+Sbv839+9QyNLn3NW - MCBAOifzebFihRcwREiiE6FSozLgmQKhYqr6yXRXHfjYkc++5T9f+8DhPd2JK9NU//Jj//BTb0x/ - 9O5dx8b7GNqvqfRIHeXkQcE5IuKsSJs7oHUC7hJCwNLgid/8jXmwELuYAITZjiMThAQUCXHLIe6O - WXV2XB8caNoPu3b54399CZhTBGuOqXK+iz2Fx1V9eC4IFExwXgRBdFiFtenaqPZe8xfe+74dHKgc - /XraUny0lFYDa8mCd1BKJImQBBqwUjW694rRC79mXDfwQVJ+NGrQDX0HSgAEJKpadjFElJWUHLGH - 6s6rrzw0XqNQO+diVCKcNsy40BnFwyu0Du7+O24dQquqiirwLFz60QQb+sVIQQ+VPv54x6V3kBSl - FGVCZCTRqqpJ0U0n5BAqPvH5f96mVFJv1xPZaUNutyrI+/J/Yq+gnrB41dWoB71oSe4EQEQy68UR - 0Cl1FF10C988xsrGnwnJnWy+MhBCmE4ng1Fd57x9eXrHX31su2ZNU1VVB1F4sGZVJQaLiPcuCrhi - LaneVZUhXRMGz7gBT74+DUZ91Nhr0wziuGt4tt8kBSmUIFveW59niMiB0KcKLoPIsUB78MTX2HPp - 5c9/ztHgeu+TwNPmj04BnBq5XVpoXgARBhKBGEPVdPDQ9B9uWlqbVozE0iFmlhACZXWJgrpS1Qix - gqUcdJcK8GwuDGMePcwMMLNPSQAu+1lyQUAnVlZf9z3/7t3vfk9VcZofI5YnKUnZHZeRPjaLiEo3 - bwjh1G+ClFIZQGR9v1/+2jTN+nsBVFVlHcXGGGOMMcYYY4wxxlwoxuNxecLEqgoAaif2tqj0zXrv - VTVDybs29r4KWYSDB/Ov/8bbfuUtv4ZyI4YCQEyxvLfv+/WJ0BZvzsB67Lf3HgqIOM80v1z/D37v - 9y+7dE95CYMcMYPKcNLe+611KRtjjDHGGGOMMcYYY4wxxhhjjDHGPC6VC2lyzuvXVJQrQ4jIOSci - OWcRERHvfbmfbn6XPcpf5xN56FuNPRNtuGKHCDt3bn/nO/9waWlBNTtHMXaemQER4VPHaCijVyk9 - 7KCRxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGMeQ+c8ajflhDJKURZ0/Z6YuG9BADMguHv/YNpV5Yuo - MBCCm/ZdvWMniADNOXZEXeXhXIJHSR4qznFuN1C+lgdXqevB5RsBCqSoKs2IZ9fdrU4x7lGGSeoF - malZQDPiq67E0uJUhNkLsqiKZpB4R94RkyqEvQcoQWKWlBGBCWGZabIwONHU/a6d25/+1Gte/erB - k56IKy7FaAhi1Avgaq1LeXFp2fPKaNBvG4FYgYRZgLeCsLXRoTYsUir/eUZwK+x0tDCNOQlQh2rX - jsTsNx9vpQpScM4+9t09X2THnKVhXkzY/1u/CdWAHDBPHXRbHeDqPHH6l2cCXFnGwSP1um3bmmgX - EyRj2CxeeWUHwIcuy8nkRZ1NRx5qUXhiiSkDwYeKOa20dPAoji5jbXWwbUHHq8M2ff4//Qp6RZ+Y - 0OZegQyJmlHKs3el0KxPnjb8m1IGEGMmwDtGDxCQUN5bBWYglW8YHPTxkXdjjDHm4lX2tqTwgiAY - kNPY99MWrNxUHeeOkvPq+8l2zZP/9t933n9kmCITRE5rQs3ikAXIrKeFI37pryEggvfOEfUKkG8F - btTcDTzz1a9uty8tBxeGVdeOg/dbzF47l9q2DY4VOUja3cdDn/60P3p00HVu1qoRQGbNm/IGzY5Y - GIkAIITQ9qBtoxOX7MALn5sGw7VJx6FKOdd13XfdYzZjZ8nmVpiyI+qcw87d17/05csKIUSFckm+ - U1cio2leejf/fcr9RUyzBmGV0tKRw/j4J3Yxp8m0dqwpT1Lv6so5r3LetfcWq3qS0upoeO0rXn68 - qlAN2TvNJRKW1xeJzlrUtPlD4BJtPiu0JeWxytid8wP/+Pft7beOppPKuQjxjjTGszlvBkAJtYUA - KbOUWsQJd5OOQdC8qLq0PPmnd/zh8J79uyZrwySj1D0p+D0HDt36jnd+5g0/u/DZL+w7sbaDta5c - YIeM2OecxJGvQ7XZL9OEKhI9UPFlL/rayfZt/XCgpxUoKmVky7fSlS37ZJ6xU4xivPfjn8B40mhM - KQZH/bQdjUbT6fQhDxLNOkesqqLKzARpx+NmcRCXl/H5zy09cLRqO89wDOk1KdhtoX8sA0LKLI6V - ASZSAMEhuXAP0bNf8xqMFo/EBKawsTvrHFsPWN1oYzC2EMDgndtlYRhBOUtwIIHqyZHeS243KW85 - UVuRKcaVew/Usc+xFyURUVUhKduJzr9YeVy0GFpmPzOEwd71fZ9zXhgOWRKneHz/3U3qeEOXy/ri - YgWX/VPOTIwyWDxx9lW971IElz0Rq2pmUEn2PvnBJFImcHpFZsw5Njs40sySCZmhBFYiBVIeNNVk - vDyS1CxPDnzw43U7rUoJ1VJWqURvlypOYq4B7YUIlaNp10sVHpB03cu+Ni0OxxmBa+c8snglFmUI - MMuKzgThWYV54ZOyP6JyEDqfo+TDFFj6+pfdP/QTdj5UWc+4s52E5vW2Aztypbc/df0oxzv/6kN+ - PHHjsXPkK5egXTcFULFzAjeL7ka2Wsdc+Ji567oYIxFVVZVSIqK6HsSYDh8+/JrXvOYzn/kMgLN+ - AK2qZaCQOD/2LCOJqGrbtuUFOefTBvswxhhjjDHGGGOMMcZcoFJKj/VXePxomqbveyJiZhFRwmhx - 4cTycpcivPut3/ntX/ilN2aVDPjgmM/a/QulU9c5p6rOOQApJWYmIEdhoHLu1978lmc/45mV8+VM - 2Ebl3Pp5d7WWMcYYY4wxxhhjjDHGGGOMMcYYY8xjh4jKlRjOuXKBTc653FtHRDnnpmmYmZlTSqqb - HsggSwbgA+eszNi+feEP/uD3911+2dmfE2OMMcYYY4wxxhhjjDHGGGOMMcYYY4wxj65zO4C7AuS9 - EogyuhbTuDdDc8oe4Ii+O7H/7qbXwJwVWcGMPmZfV+7qa0AMR25hOKH89O//31Fy11jAyoArUz/H - gxEpOCH0CDRshEAlDJsTKpCLfb8CniKP0U1vf+MbD7/xTdtX1hgOVE3aNOkSlhZ3XHVFzxRV2Dn2 - cAwIcp9TlyQJs7apE4cQmhAax03iphstTnbukeuu2/vN37T4ipfiyTdAEha2xeUJkoPfnibo3SDv - 3HNwOLhrWN29o3nSD/57BGQgAxEAmMBbjDbfEC05KxzOwVfXvf5HV4iratGFANLm6ivEh6ReN1mE - tFz1CFDOx+6+C7GHCGcM2aUTy5iuIUek7MAx5T7lzc/A+WhDZtss7bwDY/v2q77v+9pQjRa3AQrn - sXcvjRaS4x5QmpdxOjVP/ZRgUPVQgggI5EHkAXfwMO64C8EBkSq3CLo2+V/76hej76CpcZyRIhI8 - pZzggDTL8HaAA8rG5VFC4BlVmJKGyucoSIoAyRkNskfP0JIMI5IBZWTHF3bUujHGmIsV6WwPWxLI - qow6oUngPo58zcG3kjrOGHitOPbjnZxx2213//lf7Dm2uiAChhDBe6FZ9GEJMyMlJVWSzabBeY+U - oTkhgOFyBoBVRyvXXxv+5Sung4FAlSAilXN0ng3OyQqnyiB48jEOjq3d95cfbqYThpKWcFKNThoA - ACAASURBVOiTjVSeJecRWJVYFKIKpR44Dr7kX3w1rrliCvXQEFzbT1UksHtsZ/Cs0FMf9PDDvRLE - 5RwV7dJo30tfMh0sduzFoctZSFEWoKLE580L3ha/lSiUUCfsOrG2/4MfQDsd5Thg59lFJA1ORCB0 - XiWAEURyROWPV5V/6tMufc7zjoBS1gAQmMCsvL5MMkFp00dIVBrwhPWsyLKde0rx0IF73v+BvTFq - u6YVWBGIrTl8FgkhMZQQMuqsSpKcsMIJFupBP10dBt3dd/lP35/e8/E9Xc8Z7NFFmayNd3Dau3L8 - sn+65R9/5GdX3vHf6oOHU98qOeWgFIgCQLLZJD2SJFGCa6sKl++74uUv2u/RO3idH0ZTOUKCMjLP - itwmJq9wKk5O/kgAK4ZJJv/4Odx6W9VOm9qRgohylMrXF3Pa8ZfEWqILRVXJeZATAjtdoDz58Ed3 - PXCsjhnkQEiAEpLKZtPWNwS0sxADwgADOWONKv+Up+EFX9UOagwGKSUmPdfri5W5RNsql/3LrAVC - s6G8N36BxLxw5b52NIhEWdWRo1Nyu0/WllverRCxU1m+4w7E5PIsZhKzZHEprYANO8Dzqz3zKJgH - ls86fgBkQiYmcpqFFTUxtx3a9sS9++uc3YPuCp7t4IgYyJrBrKAMiOOWadcTr0/OCzsGlZhPySBy - mBWMi26Bm/PKetsssSgYYFJmZSYljU2ti6nHx/5u8fYDddttaH3SvOrgTJQJomCHqCAPgDOw4gNf - ffm2G593rHKZXJCALKoaKqdZMD9SY2UlzsSPi9zu+dHELN0cgJQWhSq1VY0brhs8+6l9VXcxZSJ1 - fgv7O8xrrbLuSEEQkBLEZ3iCMgbE45s+hy/cvD2qV9WcwZoZqtlDneRy3F32nqVZvh43bsz5jmTj - iTMAbduPRouj0ajrOoCbZti2/XQ6veWWW/71q//N7Xfsj0mrOsQkCgyGw62drHuwqqpEpAwaUn4T - QgAgInVdAyijjZQ/bWFIEWOMMcYYY4wxxhhjzHml67ryxLr7zlw3bYfNYHW8BiZfhbbvMmFhxzZh - +tmf/7mf/0+/5ILPolXlY9xw88KGi7o2Xt+lD3o8nHKivAwYnXNm5hIfDkXFRMD/9u+++199yzcv - LA5Lpvi5mXtjjDHGGGOMMcYYY4wxxhhjjDHGmMePcu/8+vOcc7nPTlVVtaoqAOUyjPL7L0XWb72n - 2YOqUPV9cg7DJvzXd/z+k65/AnIqdyhvHNXhlMfDTNMYY4wxxhhjjDHGGGOMMcYYY4wxxhhjzPnj - nEcjlPGL2Ck43f7rb8XqeGE4AAQp4sjhuLbWeBJCJxAARJVDmzKWtmE0EtFp7FPtUDloieljlAQ7 - lIGOzvn3FyAKMqBlaKXUoZsidhhPq5gwWfvoL/78fW950xW5H41PLNUMEgwaBg18hZyHl1/pQogq - bcopQRXeoXaoCI4ghMxooWuSllUmw8Hw6mv2ftULr37FN+5+4Y0YjOBqUIVqCD8Ii7vAzaTXftvO - ++pwO6WrXv+Dz/zpn3z2j/wQFhdAXEE8EGYxJltdMmX4qFl4RnnO8A7en2Cfne+6DpTRhB1XXTtm - zpsMpyuxmAw4ZDlxDIePQhhJ0mSyZ3HxA2/+FfQRQrntQnAuXOjRjOupa5AN43URkEBwDirLnjJj - Oh6rZGxb3L7vsrFkJQYzlRQnOrkQTkvMUkCRAjODutglxYhR93l8xx0Yr2K6liZrTtJoGl/7slci - 9uhaXVupgABiIFEWFdQOWcrkHBDKRjUfSCyJOFBOQjmBBJK5JkVSSEZMSAzxjlOWPsu53xyNMcaY - c2JjkiUrnMILvICyhMpRoEQ5SZIUQ5alPg6OHr/jz/+8PnR4W1aW9SROAgCF4vSs1i1EdRKQFJDs - fejEUVMfYL72W16Fyy7pQnDk2y664BVZ9fy6VYMUw1Dn2DF0lDM+f3P/2S9sU2WsLxkBicxbm6RM - pCoCUUcgommKGC0eH46u+YZXHot9JB2EEGPnnCsJVY/1LJ4p2dgy/FJYQTmp42NMuPbapRuevBwq - HdRZoSTCp0exbgHPbkgiVSjAortEJ7fegn/63GUAd4mZ2bssKaW0ngF2/si5E0dtXa06t+eb/uWh - hWbqHRwpRCF0BqGzc4ISNFvqB0HZ2CXLJZU/+tFP4La7F3JHsXNQR+fd8rnw8XodR1CWeVQk+kVN - e6cT9/kvfPYP3vkVQoM+BoYASqgClph2qFzStjvvP/ZPf/ZerK3F2LGoV0fkmbwopZQfdP/bl5Ak - ZlJxYVVk+ze+fPnyS2No1qvheRAvpETw8iY29tk8zuYOUJ6XN9RJLplM2w99aESgriUV733q+sr5 - zU394sMKx0xAVolKVVXT2orvJvd/+tO7un5ELFlyhgO48t1Wd6fz6HYBaUkkjVX9APsbvvV/nm5f - PM5wdQVgdtz96NKTfTwz67uMxMA1Vx0njewYXjIcoAo6WWg3Djx+ytMvjxChAqZfvA/jVAsxHIQY - BGgJbRWwAEKnfdLFSEl0FmeOnDMRBe9z1w66hAMH3bR1Ejfu7mW25y5vVnKuPBUATBE0Jbrkidev - eRYmIogkInrkcd4v8lVgHlXKpTzPqoJZ25hJ4YmlnS5IdtPxXe/5y6vVN8QxY2OLTkvboPzM0Nnp - Ae5ydgvb7vd4wkv/BZYWl1V9qDVJzgrAOackgJLCCUhR6h8onWFb+jFHAKuwooSRK5gVXsSpSEYa - DCaBrnnFi5eDF1cn0GYbJ6UZLPPPOuVPmkWVFaTMzrvU70vpyPveX4su9JLbKTP5ysFzlKiqTq2q - MY8fdV3HGNfW1rz3McbpdLpjx46/+dSnv+u7/tdDhw4DUEXXRe89gMlkcrY+t+/7kt2yfmzetm1K - qTwvT3LOAGKMRLbNGWOMMcYYY4wxxhhzASOiruusm+9sIaKU0sLCghKmXTsYjZZXV1ankx9/w0+8 - 7e2/S47bmATIOTtHZzFBu5ylXQ/wFhEiyik5oGb/sq/72p/+yZ/asW1b7Pqc88brssqptOKCv1rO - GGOMMcYYY4wxxhhjjDHGGGOMMebsIaKcc7mfLoTgnEspEZH3npmZuVyGoaoppc2PUyQK7WPPDFX8 - 7u/+ztOe9pQYu3MxI8YYY4wxxhhjjDHGGGOMMcYYY4wxxhhjHmXnfDCf4EqyWYs49kSeuJ1MnSpi - XD1wrwdl4p4oMxRQRRLeedk+SB6Px7xt52qf8iAgTgFQia4DMYQgj062j1PUDAYcIvIUqUM7xcr0 - C7/w5vt/9s0Hfvznn7k63jM97tsjwyZPVx8AJuhWQuxIBG2Lyi0tDGvvmiZ4RlbkDM2AQpSjeKkG - /XCkl+3Z9uynLb3yxeHFX4NrroIqOkAGsgY0u0CL7VSlWToMd2zb0p0L9TU/+fqv/PmfxPbtaBos - LMHXUFCSOkujCLPvzltePvlk6DQUgPMYDI4wrfT9cDiERFQBl+6ZVnWiTRUhZjiU1MaURtJj/90Q - gjofQlxduzzU6CJEXV33fcpygWenFCQyj8uCMiCABFDseiwtjhdGa307WFykqkEb/ZVXTEW4qtOX - Kt8KCEtSqFNPUIiIuCoQUb+yMr3pJsToPcETRJaAL7z5zVhZDVz5pF6ySvTOZVYlIHCZIs0fwOzD - a+YAeAIHDwhyD42SpzGPHYShERGQ2rEXuPVYMGOMMeYCVELjyq7QKVhBDpO+W4tTrrx35Cbt9k72 - RUof/MiRD31kl3OASoKWdNWUWPjkpFgA9SpeNp0c3CX4Bp7QJySVGNwDvg5Peeqer3/FF+sgvmrg - VTx8lTRl7Tc03M4DJATR1LFkH+X4Bz66+/DxOuZ5QieXfL7EyDTP7VNA4CNqeFLuHB+tq70veD6u - vOoYwJVTjX03rStfOZ9jeqzn8CzYEFJ4Ep0arboeIugckeMxcR4Mrnr5yx5YGE1JnYOsj9CqXMot - 65ZiqlkVUGWCI2KIDgPq8fKd7/8L7jr0fS/qWCHZsyPBZqOOzyklcZVLEpnC2FV42g2jF3zVcR96 - RXRZKClk/ZiXSj45bXp7UWhZWU7ghKEMkABLwI77jhz70z/bBvXdOIBTPLvzd7GbF2mODkJUJaoT - O2FA2m55F6L74r03/+bbL5tO4+pRr0gChc8ZXpnA45h6jdWuxae88LnNjqWmaWpxrhNEFUDZYZNR - 6wq4xrcpUhYdDOWKS674hpevhlEnZadR9iCnxJFugc4rh9lEFV6wM/d3f/wjmEz9yhqDoqIOTTft - bZDuR+aIAxiQnHOvjhIuzVj72091998zpEyqCiWwEoOcYitR6wBkliofGcJAz3yiWahueOroq5+3 - smNxAm3baVV5yee8giBlUgYYYJnvaIRmAd40f5RdTCbG3ktPOERm5yuRTESKk7uiLZfhdVlyyFod - XcX9h4fCLMpJSBU4mQJ7MSv122w1bfglKRiOnaMu7cruyE03j6BOSnjuQ6wUUQUzAVBNUHG+g+ig - 4n2XTZzL81471VI3zX5kCKnog1ojxjyKiKCkUAKUoUzKGbmBLKys4pbbJ7fd3oyngV1p/bOCAIUI - BCROxSmIkQkUkEUFPAaPL79s9KIbj6tEBfkgInCcoa304oBSRQKsUCJ9nOQclJpeEpEQAewUXsSL - AJiSriyNtj37GemS3XlpIRHL5rMmFKyzRTV772wfQaBZ0IWqcki6vZ3c/8lP4sAD2/s8EDBpgiSn - SlDNmLfuTgaxn/G+xpjHiqo654bDBWbvvW+a5h3veMfrXve9y8urAJqmAVAytgFsjFo5Q2W4EAAx - Ru99SXlxzpXQF+9913XOOREJIWxhezfGGGOMMcYYY4wxxpw/iKhtW+tHP1tUtRkMJpNJ27bVcLA8 - WZv03Xf/++/9L3/0LgB9FmIokLLmrHVdz9/2iBfG04bHwyhdxOudugDquiYQA8946lPe8bu/v9AM - YoxEFEJIOSupkm48gfK4OJ9ljDHGGGOMMcYYY4wxxhhjjDHGGHM2OefK/XTlx3JVBhGV2+tUNees - qqr6SPfZnXLVh6yPguCdB1A5/vX//JbnPe+5IGEHH067iIPtsg5jjDHGGGOMMcYYY4wxxhhjjDHG - GGOMueA8Khd+9YKckPsBUcVOu4iqRttNDx4i4ZhERLwnxy4JxAcejMCoFhZS21MzXNGIhQoAlAUe - 4BJHAXyJ0Y7OHAncFL6Fb6dox1g5+o+/+1u3/Pbbv/Bz/3HfSnvpifHl5LapuHbNMxDzYGEJ3qGf - uJhw1/6jf/v3977/A0fvuz+wS23MAgqQKnS+Wnb1arMw3b5z4Suu3/n85y2++MV4xtOxOEA3RU4Y - jOCD+MCXXnK07+6vw/FL93yBZM/P/OQVb/ixp/7YD2EYMKh7uBwWEw+SEoigiizIAiDPZmBrsw0F - 8slAIAYT2H/1T/x4WFyIMWqKGK9g375qz+68yRJEzKJQBTKalNfuO4DxBFzlJAPnBitrmE6hAkBE - Kr7wxxzT2T8b0rsBRQBCM0Rwz/zB76c65G4qSeADduzwiwuJOWUVMIEBpgctho3pSjlnYqnYAej7 - pMgDx6t33YlDB6FRxqvI0XXd5aS3/cZvYq3HVDBuA/G0nwg0Q6Kkjd8WKHFeAAkpJCawgjIgUEUb - 3VQHkaoUZbwcgAzJEOcY0YK7jTHGXJDKXrXEhs0eQCYkaPSaPRLlILLLVXt6wp333/7u/7Hr6Imh - zHbxTHCkpMhMmZysN7BJuKR6b4YSGLO9buXhSMYq9w2GN7z637Y7tk/r0GZNSVwYZMF8H30eUSDm - vvZ+kBUHH7j/0393GcAxwbHCKZFsaMCzEoGIwAyn5IRVcqz8wUBXvOKlEyVeWEgkhNw0dd/3IkJE - F/S9K5sOyCQBqZBk0jXPeN5z5ZorlmPPbr4Y1REcK3irKagya8ExU8VgFiBjJHr07/4WX7xnFOqo - YMkVSeWq2J9f5Q1AL7Ea1Gna9S7EheYrvuVVx+rQNV5IlPMsJFZBUiIHZZPp5gLIqWnfsxBUBrhN - +0QPfOyvcettl7HLMSq78yra/HGBARZwplnUZmYw8m5G1a7d/3u/X99263Dt+BL7lMBMOaWmCl0S - JBq5Kg6Gd9V66bd9cx418EEAIcG8GDBvdWU5XtF8bFhf+cqXtzt2tm7YOwKBIE4BdVDHAirZ21tW - YpjVOUXTT/v77un/5lOX1AucVaCq6rf8/S8WTEIiQpqVSELNkZuMW9/3/oEkRco5OnjmSpRSysGf - SaSi8rxWbl2409EN3/6admFhHBwCQRIAco/e+hJ6pDDUsh/OBGxfDLt2RHJMTud/mnULnI2qjEBB - ZSkp7vhiBXhQgN/YLlIipYu5GDNKfxFBGEJghVNUFDRlERm6gFYO/MNnm3lud7HeliireL7uKKmU - DPnosGPfXiyOIpPMF7CqMvtZgPdDfhVjHkWlGDtBqX2UGCAFZ5WaMRDc/t73L3T9AJr76FzJ7RaF - CkFZgFmUdM5gRlakjAaj41F3PP9ZuGZvrhvnfIqiTCEEJW1zJ0zAabnRDICUHgftNyEIlVMnIAVB - QcrMPdGaZ+y99Np/8fx74pQHlWbZ3PwqC0hwcimVfmkhCEAOICcAshv6SsbdqJ2sferv/Thur2rS - PE1tcqoOmC//M2odGXM+iTH2fT8ej5n5bW9728/8zC8wg0BNM2zbFoCIlEE9Hm7/uwVluJC6rp1z - KaXSSRJjFJGcc9/3J+NkjDHGGGOMMcYYY4wxF77S2WjOClVNMXrv68FAme7ev/+Vr/rG9/7FB4Tg - m0rnIzgDaJpmfVjns6JcnVI6dQFMp1OCPuspT/t//viPdywuTccTSZmZx+Ox9/4sfq4xxhhjjDHG - GGOMMcYYY4wxxhhjzONSuQYjpVTup0spYX6FhoiUCzDKvyGELUw/5eQcfuINP/6qV31jzhnQqgrj - 8dpZnAVjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMY+Jc55LESNAjGl38+/8Ho/7KruBr3H0OO57gMat - U4agztoIkajC9crYfQly6nLi0Ph60DMhRzBQcozBsxxkwjkPesgJ/RiH7sPRo3f90i/t/+U37jtw - 4LL7Dz0pVAsaOQDat2srPBghOUw8jgvuvLv7208u//l7jn7wo9X++3a1/TZmysk7joxlxTF2ywuL - dM21277mBTte9fX0Vc/BdVdhWAMKH9AMwB6pbyUeb3Bnle+6dLj3F/+PvT/zQ0/5hTdg9wK2DzAI - GDWZvPcjgJNCvE8OCAApFBlIJYcJW0zvzlhPRy8T8GgqhLDcdSJCwWNxAZVf3Lc3byZmSYEkUCYA - FcHHnMarOHwEqm40Qt/tZv/ZX30rNGfVpqripD/36/jc01NzuwEoKCNOOlSMxk80uaqiEr4ewmVX - XhFVMhQAgTQ/Uj6iI0AAEnbCChJV1Zpp2KcHPvU3SJEbh6bhnOnw4R3jyU1v/DVMBR2QMawGCiQo - nNtYTpTmRQhIMTrvE6mQoOvQCY7Gt73g63/1+a/A0b5xTY7TBO0gfTvF4yBq3RhjzMWqxHWXxO5M - yIzkMGVBE7T2OecQZXv2uPvI3f/v+/XW268gcNs5uBK6yASw9sw9sxKVJLkSQLeF9ravfNtDADg4 - pL7GJa94KV74wuNd55k71RacyQm8kMLh1Fzhx5gQOiTv/WIrd330b2jleJ2jB1gdKUO5hIl6gZfS - ugcYRCAQqRLQIm97+g140vWrxOTrlJJQDLWPOUrKlfebjF4+Tz1youo6AZImJWVBTw57dl71dTem - OlBeL2Ae4PVEz4eb7CMQATMpnCqxsifKCY1i4dixlY98NHCAC5yzy6KqymcSbXtOpNx7Ypc1EQ7X - Hs+6Yd/znzNpfHQQQnISuWwnbmNS45dPKQOziMFSOQAggBmUMUpSnzh++7v/rD6xojHnyucLPvnx - /MJaHgxwYt85bj0c0tJae/xP/uy+j310Z1zzmsBScUWZofC1HzD1OQkG90Y8+ftem67ZfdRxK7Lm - U9cQVQwo501vLgRIl4P3ydFy7vpmgMsuv/ZlLzmxe7H1DMApSIngWX0otdwmi1z5TmWuafaZDIBJ - Fllues8HsDxlycqUklR+K3cJXlRERFVVxXlWqhp1uOnm7gs315wTY3akrd4hSMpMutkwUQVImVWd - iitrTTEObnDjc/Ci5x0l6vrkoY2npKLu0Rliez2omRV8Wimfb1BghRLBu31PfEJUTSoMgqgDdN6m - EGwIi97SsT6xcko7yU9uuR1JWVCRYxAgSqo0CwpXPB46fs6EEDJBS3S3zFahiFRgHDy+evu9PqdZ - ZxJB6KGW2LzjSJgyqbC76olPBHNkFoBUAaiqI36ofZTtt8xjoPRae4EXne8rGSBhQt/jiwcOfurv - G41cO1Y4JZTuSkZmFdLyntmREIAMwBOasH3nlS++8cjQZ+aGqySi7ESSAKh8JAWk1NU0rx9Jeb7P - vVApIbMoAcqsTLPFJUqiyETUJm0dL73sxtWdw57ZgzY7v6zMp6Z9l2M6zI6gnSB49V6oAhad3vLX - n8TB400Up5JIEyOyqmPMj5FZAWWA5YJe9ObiJiIhBBHZsWPHD/zAD/zGb/wWAOdIoW3bAux95VwA - mMjJ2euzcc4B6LquZHjnnAF475nZOVdV1fogIyVT/Kx9sDHGGGOMMcYYY4wx5lEnItPpdH7V+fwM - 4aa7+c1M0zRt24qIiHzorz78Td/yLbfefpcwBGi7Hgyl2ZDNXdueNmozbfGcOQCUjtz1XPDy5JnP - ePq73vWu0WCILIOqrpxnRdM0IlLOwqyfiwGwteudjDHGGGOMMcYYY4wxxhhjjDHGGGMer5g55+y9 - TymVazO2b9+u83vqyxUgKSXvfYxxa+ndP/qjr/+O7/iO6XTcDCpmnqyNF0cL5cPnD5z646l385Gc - V4NBGWOMMcYYY4wxxhhjjDHGGGOMMcYYY4wpzvnQ7T4AqUfMYaUNAhHAO3iW++8bZvXElas8gCQZ - 4jxFCByhaTwoTrtpF5/7wz+MYVMGPZpHB82COcqoRKcn/egpv1JIeZR3z3/c8OKTzwUpoe/QTjEZ - Y7KG8cpf//Qbjv+Xtx/8xV+8dHl5b9ttH0+2pYyVZUeC6Rom02Ywwsoa2hhvvfvohz9y8OMfzwcO - 1CeO78x50HcNXBKdurBMvFYP6bLLL33Wsy59yUu23fjVdO3VMqj7um5D3VHoETLVwlVfDY43g0OL - oy821XU//qPPef1/wEKN4SAtLPRVrZXTQTMVKAIRWIEMBTK4jO20cZVuaaQmxnyQKTdb5ABBCPA8 - 2Lt3UtVQh17gqbrqyp45ccmoeoiydNroUSARRHLETC5AMmpBfOAQugnasQf5abfLefS90wwgNNX6 - bOg8UHzjjM1W8ux/pwRLnReUy1fl9UG76GSpDU0tQmCOi8MV6FQiKgcGrr4qAyBHjok4Q7RM5KFW - JxOIkAQ5K1Q9O3ZOYx4CC6JHPvVJ9BH9FLFdHNTDvr0U8Z/f/CaIYDJ103GjfQPxJbNs/t0I4pC8 - isvZA+g6v7bGkwn67qa3vOm+X3nTa1/0otfe+BL0QBfrUAPC4GowwFnMoDDGmItM2VdujK2y8RbP - xEMOl/lwqWDl1wIWgtDG9ox4zyml3MUKPMiKw8flH2+65z3vu0y0ikqayTEBUaGy3ladDZe5YdDM - U/aPSlAw4Nbvu1DChhw0hrKIEMEFmkQ8AKxdsufa7/z2Ze8kNJQpsKuCkxSdp5Sz6Dm/V2N90dGG - xyO8HJ58nPrl1f1/8VeXuJCzOoaUcUgVmKe1OSmNOFaBZBCRskpVLQd/3UteKsOFXI1yVhe4je20 - 70Ld+MAppQv67pT1Ft2XuY0zAGXPnlhbp0cYe77263Tn7mlpnxMAKWXpTCoN8k6gCUlUyFEGGsWe - KLf91Yf96soA2ammlCTnuq7X3yV0ymM2qS+rkJxNoa5XV5eHVeU4THxY882+/+Xf3FWFcdgYZM4A - n4wYpFn642lOP3hZt37YOfufAAqGKrzqTqF7P/Bx3HznEmVozyrr79i4ZJyWXOfZp168OYUkIDml - wCgDpxekky+HACIEAUPJKZqUdrYdbvrc5975R1doqtqu9uiT9NITYVBjbXWaRV29dEj98Ian7nzJ - 1x0aDbtQK3FiEc6CJJpAUnLvvnwKMEOQY+oWlpZWe1kVHf7bf3XfrqXVyud56jbAZT4eobfltJK2 - XiYzzxbC/I8lZFQZ2Oa5vfk2+cw/DHNylJtQxT5nYiEQ4FQIZdmesniLh1u8F57ZDMppdc4pL5mX - K1IQsSdPigDmbjLquyMf/NDu5XETEzGYkZFEe8feEYmIgDM97HrbOED2fA2SzsKUASAyVoM70gye - /dpvPypJB/WoGeTpFFnAlLI+KqtgQ9z2I+4XEjOcW7ruCS1JVnEUsoKcW39TqbVQmqlbjNdWpLSQ - 4vL+/eiippyheV5JEjKQhZCJAFzo0blbUtqNjsU5YVIoJSGJOXtfqapre9x9YHjsRFBN2DAy+4Zi - rwQCZ1HMBnmXjmitrtw110QlBatCACJSVSLKunFFWpznl+0hy//DbBcP3Zb4kp/woLesT3u99bg+ - 5cfZoSKXHnsSJdTkhtO48qGP7V5bGWhuu0ld1zmvN6DLy0TK4YDCMSQCCnXVYdLwhGtHT33KqnOT - JETEADR3XcsOdV2LyHqxV1JASS/gw4pTlBbmbMloaVQIZjnnw8HoSNviust3v+C5ywmeRw+ucUvf - /noP/0MHQjzoKIwZqlBkB2JIipOmRlqZjm/+PA7sl7UxwzWuhmiXk3rOLEJSTiRsbKU8vkq0uVgw - c9fFtbW1b/7Wb33f+z4YM9gjRgWRc845V4b8OOvh2evjiaiqqjrnyi4e8/SX9eFFRqPR2f1oY4wx - xhhjjDHGGGPMo0xEYswP14v+EPdNnMlngeXkbRln6lE7mfUIJ+bWL1FbN27bwbalmD4HfAAAIABJ - REFUxPSmX/3VV3/btx08ehwMKECgwFBI1pRS6deNMZ7pCYz55VPMLCIAHDEBHvr0Jz/lXf/XO/dd - sieww2xFR7Er8I0xxhhjjDHGGGOMMcYYY4wxxhhjvmzMXFK6mblpmrW1NQAlpbtt2zI2TkophBBj - 3OzEv/M7v+21r/0u75mIiChnqaqq7zc9HWOMMcYYY4wxxhhjjHl4j5yqY7ebGWOMMcYYY4wxxpwr - /pxOfdbrwwqhoXoliEvcT5C7Y/ffu6SR1anmMjyRB3qNyoSFGn0KwTnK7AS+hmtADIWWbB94Bzid - hdWV5DRaD0guvUkEEFRzJ31wQSCUxTsfNTF5AlTUk4NCM8CQnBwp+g5th8nkrV//sm/9hlf4lG9g - bY4dXWTHXVQF2McAR4xuCmZMetx1b9x/94kjh2KMXvMiJHQS2KvCcT1OMnY1hqPd11zjrrkSoxFK - 3B2LqgocgyRml30WYGHbUZFjjX/Sj/3Ijqa6Ojh4huMycwS4ea52w/UsD4xQ+zLHzChp21yCzRmg - LcTwEACE9UWrgBMFBJmZrvye193x1rfqA2mH984Bg2rHlVd1d9/jNFWsUIVoAoKDCnRDIh3PQz6C - QxtjIEakytNaG9OB/eHJT0Szvaqbdq3n1cldf/hfr/2e74HzOhiSooRhCpAAAlfroeyECDDgy2vc - ejfi+RQ+RMAsBB0COALUz36lYHaAu+6HX3/PW9+ysHq8ip0PjJ07w9K2sDJJ7dgxJUVVAenkCGJK - gDKIoKyQWYoWlU0kSwYRSBHXOnfvIXzu83jSDVgctmmag9+e16pu+chbfvnedvzMn/lppAxuqRmA - MtiJChNBBak8FClhbe3u3377sJtqv3qd8yLZcZOlBhRVaOFqVKxAknlEnTHmTNFcSWEpT7YwHVUl - gAjMTERnOkCjOZdkniYrQJDTwzUVJwfG5EcxEPcCRfN8u9lSxex5WbxFecH6kiRyJZKV4JyAcmZk - OI2xH40WQg+/Nl0E4+C9N/3eb3zF6tFBjqX5qjli3p5mRZ0VyLN0vY0b3HoEKyESQ10QX1o3ShAG - AOYSheYIkBRDQCRarqqD27c/63u+F7t3jQdNZueTsibOrWOknNUFIZCcw+1bCEoigFPmeR65EgvA - 3vU5ESmyQNV7rySA+oB6eQUf+vjVDxyrxxMHRIFzyjkBivnQqErIJFAO3LS5bRzanNJgUfbtbb7q - hQ8MtiUKlHPi5EPICJq0pLXm86qxt3mskA0pjHj4tccKAtdwsUvstXe8FsLuK67Z85znLR95z7Y2 - DgE41SwC75kJbRJsLosYYEZKSVkBJMoi8AzJWJhKffDoib//5I6v/ZrjWept28btVFMsW1Ke5/nJ - vKkvgFPwhiB6mSX/nduT/TnnZlD142lTL8ZcxcFOXPOES171qqN/8qfbUkedeM0KsAttjk1wmrKA - M0NPrVFnidxlO9w4eu96bjdhdqBBWYFcDk8Eo46uJ9z8B+/6n57+hBB6wcg3o9S3QhASBXd9v2Nh - OyYdKyJzYpRPl1nS88V0MQQJoEJQiICdcAmnz4QSxswQCJwygEwMSMPS5TRVXhhsi0fHu9jvTBH7 - 7/3/3vjLT55OBuOWE6IHB3JZs+TUY1iDYziW6NiOHU959WuweEkkpwArlyBwEMhBhXPaXFawkKhD - jHEYhtpBUY9HQ3TtV37f627+uV/a1YtKTMgVFE5jhvezrXvjRlCGjZ6t/XnpEkImVgA0Gw17Hmk5 - +1Ey/Dg/gdNt73739S988srIOdTifeIESEjwokJIDGUoCWS2eAWz8qYkhNMrnwsMiZAqiYJJRcBO - 4YQBZJbZogZmEchgnTenR81SGq9s61s8cOjgJz5x+dp0AHU6614hVZHOg7JyYgLAmenUq5TW11pp - kpW/sSKQjxIJ8AEKjIEjzdJT//WrcdWV3WAgItR2I9dkhahTB5VHvjTqTK3Xt7OeAsyyWGd/xSkt - zI796nBx+MTrDzVeu75XqVFBocgEMMTLPAh2Vs/zZr88AUOHfrp87M5b9rZT77b1gaMSgZ0Ka5eJ - eyaoJwULxF1M9SGgnKDsJDiAkYGUWTMj51D5ivpUx9x94tNXdMlJTh6qcAqerxeU0HhlgQsuEFKX - +6ryx6D3hcF1z3lBdsykgFMSVmaCIJeQZAWgsyqCVcre/MKtGx4TQg8dOaA0r+E3k0mw/i4oSGeV - OfLJFzBQOsAxz7m/0NeXUxZVauo+thWQpUvOV+oXW1cv691/+eG94zWO8A1P+56IoY4gTjMIGVCw - qHMl81vVgbqm+mJTf+U3vETqhZzVu5BFGACDiaHSt+LIARBicYL5flYBoQt43wiAlH2GMDREAKVT - PiMoMSs5odxlaZpJwFWvfNk/v/cz3bQjcGBRUQAC+Kbu295xgChIuOwvCNBSkku9c7JIk8674bWc - gxCGAsmBtZcljyvaI/vf+8dXP+c/IlWN5qjim1Gbe7hMiirDZ58chOTCXvTmAlXaS7qxV4HxcJU2 - CYFSilXTiEiKQkS+bqbTqXf+voMHXve6795/zz1lNxoTiKCqOZ8cgONMolbWO4S99yKyPqkYY0pJ - RMrYIuVlROScw3xskRLmbYwxxhhjjDHGGGOMOa88XMj0g1G5KJzdXXfupw2nhohZRTZcTX4uvuRD - nIkiJTzU93+402HlyijdZIL3pl6uBBCDSUQ0Z8fsvYdoSkkkD4fD6drYVcGBlCilBOekru48eP8P - /OB/+NCHP+KCk5irUHddB4VGWZ/pU/p1T13S6yfhH/yC2YwziwgxqyYAcA45z87jqwbPkvCk6677 - k3f935fs3oksBIUqE9gxUC6oufBPBBpjjDHGGGOMMcYYY4wxxhhjjDHGnFXlBroYYwgh51zupFu/ - /46ZQwiqKiIx5nLDYNeVwSnxyLndwdcxRqIyFAdUlRkvfenX/dz/+VMAco4hhNRnRvnEclnHl3fP - oF7YIyMZY4wxxhhjjDHGGGMeRevdiQKsD2Gs86whY4wxxhhjjDHGGHOWndvo7lnOiERk+CiaMzsG - M8ZrQZJTUV2PpAIISogecApiVp6Nw8QeHAACiWzMmSIAaTawPmSWezXLVEPqom8CyAVXK5TBSpol - B/ZRFciBA7LotKe6Qp9d7rG2ipTvevvvDFZXv//lr6TxhHJyHDSDk0AE3oPJ9QndBCvj/5+9O4+W - 5LrrBP/9/e69EZGZb61NUmmXLduyZcvyAkZeBzDYbhjONNvYzWlDs830OTBwsAcYppszBzAYu7HB - 9Bhs43YPMJgzgAEb78ZrG4wXJGNbsltrSSqptldvyyXi3vv7zR8Rme9VlWSrSlXlKtXvc1KlfPle - RkTejOXeiBv3O77z7s277vOjUZ9kUSU59YHrkVBZrNbNCOjPzS1cfMnc3r24/DKA4BnMWcSVhXqe - TCZchFGClP1QVSPhP/7bd7/64x/dXRQIDmVo/77Lmzy2YLdnchNm+XzcfvZtr5yiriS3Df/k2YEz - yrDqfa/oEyRO1gOpv2zv0Xvv70W4rJ6YXHYZOktPn34hQtvSuwH2PifVLAHI9Rj792GhPzq60l++ - ZJcLd9+7H+MhlnckaNjKUhNFlys0W6rzaHyqY05w0rZ/XUBRPhCbq0GOgwTlnHZeevnq6q1VF7qA - KAjTt7a53dOcvzbTDSDpCnpbiSx6NxnX61+9fR6gJz+xWlzwTeNpUoHXDh180s4d+37ztSuOdffO - G3/iJ+E9APYeKqgbNPm//d6bQ2z6we9mt3zg4OKg0noMh+x9jDIXqi++7rVPe92vAgQV0jYp3gIh - jDHm1G0/qHGbmmhOt3YIUVIIHR+crEqclRnsCEQqSqDK99YOruxcWl5yjldWP/PGN+49cugiSZof - 4j4KUrive3/F9uxwOS4zeFqXbSu/3iEK1kVWl+fCtz4L3/Ytw7mqZnYC0jZyEaRCyqwQMJ3h6N/j - hkadfRCNiUSoYK4KSpJTypqKwDQe9cH3//3HeoeOOGm8p6QQVQclyPaJCQCCKBUImqL2+J44ufY7 - vgM7do18AJiRE0MIPG0GPPJxbM9ljzyjjhWaVUU0kDC07I3SeMd3fPs9n/joIm/Kes6aGHAoVEQB - zzjp1aFrQOXtrzmBU1lo4p0f+NAznvX0tLz0wHC9V/ZYodrV7fPDTA/TaPazQNAmiBNDNSdkWsmx - WF6+9mUv/fwnPr123/5l37io5F1Mk14o6tgEMLoboiAE165XAKbBmVsxt7Q1l+MCC0GoE3o9yhOl - 3OyepPGd+/a/++8uf8UPHISujUZz/Wo4GZNjxy70BhsbG3OuyiRnOsj83CfT/XBn2rRBm/sobQC8 - AJxYlGSSInkX4JvReOegv7C2htW1r/zumy9a2VjYmJBACQQCEZGCUATUEVA6WvjFF3wrnvfsFUES - InIEaUeTVgIp0TS29qQalcpKzD6pKGfB0DHvWZ6/4cmLz7zx0Kc/uyPKvA+SouTsApqEYtuGsD20 - W2na6D7WrGS6IPNtbceFXpHW1g985dZ82x07njl3cLLid+yaZmp202wfs9mJMgBWkcfEnrNF27ZQ - VSgJKViRWaTdUllcGyHaJY/SeDyez7rTuX3veY87dGSJnaa8bTclBGg7bPhDFdTW3kx5q+kNgJBy - Ci4AURXjiM1Bb3LtlcVLvnNcVA0zK7dTF6DNjO/eflY85IFm+2uJaS3TZY9/vBRF1FFFDuSSTDx7 - 0QTA6ba071O6NVQEGSgcsLGe77mn3LmcfJUVviuYtk9YAMBtLnhbwhcGma5FTtgpCFkJyiLE8K4e - DvcUHsON/Z/77MV1nSiDts75tP+X6crJ6lNOnjITlAhl1XvcNVhebNz0lOKxX99xjY4TXzQPoVsz - Z9WE6bD87S8VejK1u4ezfV/dxTFM597usnTbMfR8T+9m5tg0JEKKLJmDBxxP0nLjjn7iH8rDq3MN - oiJnccFJYsnsIO2J5em+mgFozgS4anB4Mqqf9Di84DnrUUNZiba1va1kaNbpW9qft4VGn9cl2WJl - gZDOqposxAB7F3KsHZM4dyjLlY+7audTr1//zM3z6lUje8Ah1dCmBruk8N2596mt3c7xO+ftLcRj - fqsoBHtEv3rz56+8//5+Odhgmp9fWButU+mE2hRw107B+gKbc1y7PRBRWZYbm5tFURRFtba2ttTr - hxBu/udbfvzHf2J9YygCZkDRDvKBhzpfdCpzZ3bOpZRUNaXUvri4uLi6ugrAew9ARNqIbgvqNsYY - Y4wxxhhjjDHmsero2mqGEoihGdAsx1zU3nYBEY/6kofSeXbRJMYIx4GdK0vJua5rUrRDM4tI2e/l - nF0Iq+trZVkK4z0ffP/PverVhw8fDiE0MZZlWdd1CCHGeLo+eRv73Y4KXfR6zXgMQAX9flWPJpLy - s2+44W1vectVl10qMUlOp2euxhhjjDHGGGOMMcYYY4wxxhhjjDGPXcPhcDAYYHobXds5JKXkvSci - 55xzrk31bntu4LhbZb8ejjE7DqJJVb3nlPWmm771DW/8T8dMYfut+hfMYAjGGGOMMcYYY4wxxpgz - rj3fqA8fFEXSnZ98bIzGbowxxhhjjDHGGHPOOLPR3QR4ZAC3vfnNe4DSBcoTNDWOHCYoiU5jHrq+ - bpkQC4fg4DgyFVsng9pgq+MSHQSAdsGH0oXUEStBwOiFBEiGJJSlUwXYM0CCIBCPjOxdojJhPMLa - cN8fvHUhSdrc3EGac4zeueirUDUpBlEQ4BipxqEV3Ht/PHDg6OEDhcicgCFtyjU3aJrMVX+FEC7b - vfeaq7FnB+bmUA3QNPBBYuZQuqrY3FwXof7y4uHxeLKwsL+pb/qln1lw9Opf/zm4AHKA75KzFSBk - QCDTj39WUi3a74W4HdiLCJIjs4OOn/kz//7wb7whra157+AL7L0Yc/1cR2ojswB2XbfDNoFDjh3M - SxVEYOKsKUG855jTxr775q+7rj/Xx2g4FCwtL0IYOYn3XQq5whEfE6Sls5Viuqjda+di6sdWlPm2 - skgJzoG8hzCqfj+DxhvkPSC48rLmq7eVkYHsHESOifPMbciJ6DTpSVghXW5Zt9pkwIGCSj3cXL9j - 36IyrrrC79qDukavWty5PF5Z2e3DnqKo9x088muvrR1cr1pdXR2UVSFUgJ8mUEJdT7SeLAwqoJHg - nCcv7DNpU+/esQiNBJ/JNSn1vG/naowx5tS0h4kTI99mAXF6vueznS16bO7dDJ1QettCeJlVSMGU - xIlwTplJGCkszO9OzVrZDO9+3W9fctudc8NagZO5T6Obk3IXEOuEAAE1ArAqKVgghExQhsuZIDFD - Cp+q3njnjmf8u5enq/fsXzk6v+uiNElKqJlB4sSxwgtObklOXptyR9M8wsZBCaTiFEXmgvymSMwS - GOrYSegrio0JvnrXg7d+9fHEjiBZBaBpWm13pwsBijZmOmsiEgbGzOu7lnsvfJ4Gz5KJJEEyeUBY - 1akAEHr0wYjnEyEkJ5mJ4RBRamo09a+/Ljzp8aObb6kUzEiioExIquBTvZjeJli7to49TbMuUh5+ - 4Su4fV+8IRRLS7KWqlBE6QLk3TTDu8XbQl55Kwv3URfBN0ROlaCiOfuqSI2ONQ+uuebJL3nJnX/6 - zn7WinTcNL3A49gE55CVIW66JSt1GZCZjo3MJMixGZks3A573P5HBCIlAmn20oQjRx78q/fvfcoN - g+uurxcG42ZSeidJlIWJtShHLCAhFXR9Hphl1hi/UO7OErBCALhpqQpJ27rxAgK8gFWFINSdH5g4 - 7rmyjOxjVKz4PD78trfrZ28umyiZGaKM7tyCgBREqAXF3Nxwaf5x/+Z7Rksu90tqcsGihMYhM1iJ - FE5BCjnJ9ktSsFIQBrtQSC2TigQ7lq76/u/7zNfuGBxcq0abjkQUnFEyVGYbFOetbHihbZHbbfx2 - G7A9zdltjwsMiFMQwAGjYdNzYTnSV/74r2645rrBfDWkWCQ49YAklsYhb9skhQQEVjiFU+Rjk+nP - S8pOpW1zZmIhJIYonAorSFWcZEIEnCBkAJxUXXDQ1JMadz943/s/fiWQc+bZ8YjAqm0mMiu8KI6N - INVtwdUMap+46R2VxBCJ7HktyvxgecXJda/4fjzh0g04wGViYSQWIRCSF9ApBWCfIUIQZuzaOb/r - IlkZSmxUmwxhRntTKitmR/9T25cTgRTkyAvu/+znrnjq9bnRxgfS2NZ5SdgRgLaILjhtsC5zzioM - EQLgSFk1LZRubm1j8oUvpNUVrzkAqnAAtBt+P3J3/HIK77TJEarEkKy10u7rn4QeRz6H1jdjthMC - 2KlqETUoT3Ki0qWMQUzYGN79sY/uqRsHECNGuJJyToBv65WsbbNCANHZ2fI612V59Xc+D3vmUsxB - XAM9r494Jytzd5SXE1LkGTTdyzrsWL7025/7xS9+sWzYN8gZIUCALPCFb5oEcLfzp+l1l3YaJ1NZ - JQU1cGujez70kSv/l2tHGeMY+0VoUkPtxRkCc3de+7REiRhzch6iPtZezdr2W9o61ZJzbpIsLizH - GEWwsLBUT+IHPvDBX/qlX97cnBRlqOvI7FNKYFI5bS07EWkHCmFmVW2DXh588MGUkogURZFzds7l - nJnZoruNMcYYY4wxxhhjjDmvndilraWqBw4cONNz725WmJ5l1GOXZvrT9H90zOsP1ylITmkwkJN9 - y6Dfm0wmTV0zc+FDGQoiIqKqqtY21n0IANbW13bv3n3o0KGf/7lXv/Ndf50BtJnf039TOon87GnR - zEZ5PgERVJlZVNrc7iIUsWnq0cQB3/miF779LW8d9PrNeBJjLItwch/YGGOMMcYYY4wxxhhjjDHG - GGOMMeYCIyKDwUBEmNl73zSNc46IvPeYhnkDKMuy7QrSvumEJw/LscuSmQmglOTpN17/xje+sdfr - aYpbf9TF59id+8YYY4wxxhhjjDHGGGOMMcYYY4wxxhjzWHCme4MJq6KJIUuzsVEwkSqINldWIQ8d - n0hwINcumDISqM2YaBHA04VWEnQZDwyZPqY5c7VkARyhKjg3SgrNSgJE0LBxm7UfN9jYxMb6LW94 - /b2//7u7Nzeqgwd3kS7k1BcMip5s1qhzkZRyxnCMu+9a//SnDnz8o2tfvFkeuH+xTguQEgJBAqTy - mKvifG9w9dV7bnre8otegMdfhYt2T0qfvUq/yuwm3o2ID07q4eLy6sLil4aTi3/5V676hVfd9H/8 - EuZ6mCvrsrfpOTqXkHFMxI4w4NqEszP5bXVl10Zkt49pXDaTB4BeAU8NhLxrUgaAys9dukdDYB+U - SKYR6t2kTpx8O/3Zt+/YAaOjR3HwMLKCZL4s+1G+9Po3QpU0ggTEUIaiy/GevpVmq2+7qFurxrlm - ulQKQEACEii8ny4y6bf8bz9bTxQNEAXssThX7FxuAFUw2FGXK9YOTCYk01hBabuHkm5lvM1+oSk5 - 5+e8p43NI1/5av6X23DffvgSq2tYPdpj6jmuYrMY087xeM/mcP7AoSdkumxY79kYLa2tz09G/eH6 - UpzsJCFNsrnpUkKdMK7hHCl4NEaTS0Ro44LPBPVnYQU1xpgL2oUS7noGPGQm+vR4yqrEIEeqmkQa - EcmKrOzI91Leu75xz5+9c/SP/7h49OiS93Rq9Q1tb8NwrGBVUAZlkCiB1LE4gAXdDRue3DhU91XF - M37ilXrNFfelyWDn0mi0KSyZJRNn+ExeiNtA1jN9+J0ld7aFNks4doLKBwBNio1kcuydc6PJYsTX - 3v2+hRjnvHPEWZEVRCTTLOTtA7kyREhqzVy6dWDvC56HSy8apuTaUoIInMCxwqkKnZuVvTNI2+Re - TwCzkEtZHSP4a1/60lXlCISqR6SiTRcpfUq7CVbQ7CFQaldYCnW9d1gf+cjH+yl6FuqiuRnTSFen - W4/ZAiuQ6ZiBfc8oVVIl74ukmUhC5cY5Nin1vu9787XXrnOIKTugicIAKYGEHn7D4W2v6zH5vTxr - IbVKj/EYxPAO0Mluh/K/79v/5387iDI5eHC56iFLajK1ScCekpPEIqztMMe0rcwvHKwg5fbRvtKu - bO3exQl4lrNJ2hZOEfp1HTmlBU271jcO/8279r33fVfD9ZICnogZYAFlqJKCUftQzd2h6Yk/+L24 - 9tJ7uR57IsekYBWncN2Xyl0i+8ndIMckADhKFpHg2EPHTb2iim971q4Xv2ilLGqwOBQeJCBypJi1 - +tCGjIO6XEyZbjDT9cHJdK2AcJvezcgMJeSIvgOrzDep+dyX8fkv7YJSGnkREgZYiDPx9rB5TFuH - pGCB0/M8t7s7GDErz4JFAShJJsgsBZ1UCLk9XLKog0pTSNPPsvaudy8dPLroQsr5mJMVNG1WQ5yK - UwG6Q1WbhCrTr48AAhFotg4TqxDGUUI1d0fOV3z3i8sX3nS/xJH3iTgTC3FiFhaCOlU+l6pySuAy - IKVLn/a0cdlLLKKJCdKer1FGe0Ko203JKdRDiRA8ctJ55w98/p8wGpWTGOBIQcpQB1B7BFFCbreP - CwsDEErKKXfVQE/KGblPKQw37/jghxedBhIn8NsOGV2Fqp0GCZBde75JkeA2s1z89Ovr0qcLrtJ0 - 9nydNbU9QceP4vh+vu+rH6GsQj44hRd4cpqBmBeJccs/56/dVmUFeWYwQFkcjtl/OgErQBlQYtTA - Oqm/bO8lL7zpYDMS70XkAinGlnYVKm4r5wDa/QQgKTfOOaekUctqbpQSnnPj5PI9E+9BnBUpwgPM - IIZCeVtdl4+tTjxyrEDEZb3FfR/5CA4f7qUUm6ZwHBROCeBMnKc1lm57OR3lYMyZQg7Ko9Eoxigi - McY/+ZM/edWrXjUeTwDUdayqIqVERM650zjbdugQ55yIqKpzTlWrqvLeF0URY2xn144zsm1UEWOM - McYYY4wxxhhjzGNHjHFlZaV9LoA7s52mBJhdeX+0zk5/ofFw5Ij7Va/wQVVzzjHGSVOvDzeLfo8K - z73SV+Xb/+T/ecZzvuXP3/XXmBZgewJWVUMIqqftqhIxQ7WsKsmZiBw7Jo5N09798fIf/IG3veWt - ZSiqqiqKotfrna75GmOMMcYYY4wxxhhjjDHGGGOMMcZcCOq6LorCOcfMqjrL7XbO1XUtIid/i59k - id6zSCLSK67c+5a3/OHi0nzTTE77whtjjDHGGGOMMcYYY4wxxhhjjDHGGGOMOUec4SAXBZoIJp/i - 7qX5PBmiruH9xpEjfMIQT0pwil52aBhCDk7BXTYcdends/jqDDTQBprbj6DT6O7MpOwgFWtAdByR - a++EAA9CrWjGiCOsbt76f77mv/+H1x74rTctHTy6kJMioqRYD0lSpRmHD/fLEkmw/3584QvDD33w - 6Cc+qXfetTAZF04ygRlNg5GgcSRlJUu7qhuuX/5XL8NzvgWXXoylJYQAUSdwGdQkAbm5pZWieqAa - fAnuil/+j0//zddhcTd6AywsI3pFn9Q7YQ/vXQFiuC55ywNe4XIbSnKGvy8AQAby9McuTYyoGdcg - AhPmetEhlGVqapD2rro8hxAVWWfxWVsJZMdlpXCbrp6Tb+OoRRzIJan3PwhJiGPkuhhNlgRITUG6 - lfknbYD3dI3tUttB0+fnaCYLdXlXaP8n6CKvCCBsjhslQllAOXOBwS6NBO8BLF5xaSZSEFI3pWPH - MhMcF2rVZuChS8R0ADmCZqRUSF3Vk8k9+4af+Qy+8mVMhtAGIafJWqa6lkkk5aIoQwUhqRukhOXF - w+srvl+ENHa5xnCTRTHOiAwXoBkF5pze/NtvwJFRoQpIBJp2tTHGGHPyeFtm1YljYAqdS0mP5wPd - 9piZFfLWn9E0wFuUiJKXzImEXOKgvYKC13ph7RB99NOrf/z/7ZqMS4+YGpCebHqlgqGO1ZGwEISl - zXGdJi8y4FkcK4OgDHXlYQ5L3/tiPP8Zh73n0I8qCNy4HLvUWc7ECiYVPrUO+dfAAAAgAElEQVSs - 5pP7AO3yQgEhUerqITlnESEiF4ID5ZiQU1E32PfAoU99ZjFpqkcMCR6eoKptRu9scdvcblJ1BCLU - 5Eb9wTXf9V3juf4miXPUVXiUWXlbEu2FFUQpJAkiUMnw7D1JDnywqsrn3uQuvXTMyDmzCrN2iaeP - uk7c5lVnAhil5t2bkwc++Q/9tXVZWekXIeakhDaK2MnWg7sgW5bZZgWcWtTrSWIWkqy+KsRj3Kxn - aVwoxlWF3Tsf/0M/sFbNRVdQCQHKUIik2brExyVn0/HrVrfoW0VK0wBmhsIRVEEEYghS38mlTTz0 - yU8deO/7rh0s5CNHOWvo9bMraklJohdxqjKNrmdFFxJ8gUXVOoWbtuzanXAbU93GJDcOjUNiCBBU - e4mrSKU4uDTfbOKTn933f7/jcYS8uUKk5MBgJ+BZtDJCRrFRDCY3Prn8n168UjoMehNoJFUCK0JG - 0W4odCpDRbPCw3tfTJhGEn1qKrD3xbjfW6mqx/3QD+Rrrtqc72cHSXDOpZS3QrvRbSncxmKqm52N - UZpGZW4PhwdYCcqZEBnsgMAiOQxHV431/nf+NY9G1WjTS/t5WLuA8C4ZvTvYkUiXS91GU5/32ij0 - 9sN6QRCQQgmJkRkhI+QunV1YhES9cJosNjXuvnvf+z9yRSO8MQzsgBP3lltbYrtm5jZMGrMYUz7x - BFoiJEFFxQaXR5909Y4f+cFRrzcM1cS7xrnEJKBpdPo5WZvzYUO0/9znHXBInpwHAoRAygC1yeWn - trG0VCEZKuhlobvuxJ23lzkzyGf2QqTMyk6YVISkza6+kLRbK5SydCf72IlzwuSzDtew71750ldw - dAWsEaD2TuHuuyAhFuK2Zqs5MgCHRIgh0I6dxXXXDXtV4y6sKtNZppjVds6S0xSUcK7IOTvvs2rO - uUIIjRTgXo773/ee3WtHq5yTZCgxoEkK7s4iY3qq2SkYAhJiGgFrC4Mdz74RF++J83NjgoLkzGZX - nHNyW6dqa7bTdYUUbd2zcF5EVXmTHS7dddGLnrPuGL6ggAj4ACLEWNNDNSQELCd5ICDlClxuNDsf - PIQPf3hRda6sJsORJybxJF6BzO3pcaFHkXNvzOk1vQp2fAOtKApm71woy96kSW/9o//ya7/2mskk - t2Eug8FgMmkAVqUcpSxOW9RKSglAzjmE0P44Ho8BqOp4PG5zZdq/aZqm/RtjjDHGGGOMMcYYY8x5 - hHTrceIr7YtHjx5NmrbeQmetr7xsezz0Yiud/sdJIUVgxwpNiURUBVD2zodQ9KoErbN8/uZbXvGj - P/pTP/OzD6wcdYXPKkRUVVV7clVVY4xlWT7sLB6ul0XXu+P488mqiQtX1yOQOGLJmUQCUDn/k6/8 - sTe94Y3zvf5gMBhvDlOM9cSGeDbGGGOMMcYYY4wxxhhjjDHGGGOM+QaYOcbIzDnnsixnvT7ajjTM - 7JzLObd9QlT16/R7eUjec0qN935hYeHP/vT/XZxfAFAUBTDtHDIbr+OEviLGGGOMMcYYY4wxxhhj - jDHGGGOMMcYYY85H/sxOXgFiJEFTD9fWFxaWsR5x5IhOaqcAAarbhzYiOJIuL5oUICi34xupgrkd - 9QlwANocDgBABlwbo6bdTInAkJwa7xwkQQUZ2GhQuNve/Pvl2upiwhV18jEXSRp1GNfQXDIhK2Ls - /r33voO33karR6rUFKKlqqjEiMZDGQ1Dq1AMFub2XIS9V2DnDgwKMAMs3rHSqBEuQ5hbOjQchfml - 1Zg3Gde/6hcvKwLYo1dANHvHXBIDriRBwQBBRKJk9l3EiMM08lnOetbWttmlBsVgDhTh095/96OH - /9PvFGDf62ua0O7dfmG+Ho8DqOBAmnOWhw1IIXDb95GJVDWpYyqA1fv2X3Tttdi122V1iqqJGE3Q - K8hJBm8VwvYSUPAs/OrcTCGjdhPY/pJ0q6mkjVHdmx9EoCCPUL7rIx/7sZue71wgIkimSy/RKuRR - YggL2gJ1DzsnJoAVAmZtO30CollVgMqxgGKcNCuTI5/9R1oazF22t7joIn/NNRB1BOSMUY3+AOMh - FSVSjQP37ZovMVxHFqxtjI4c3tzcfPC+B5f27Lr8qdfTQpGaEXhwaeqjITSZK5HjU9qNMcY8KqzI - 5+bR7fzUlmV7qGLFLH5MCaQCEAhEKmAmR1R4pX7MOyYb+NKXv/S7//lxoxg3ExyKuWq0OTn5LCYC - mMAMCEQJ0GnaX3f4ZCEA4oCGcVipvvrKp7zy5Wu7FjcSmBiEnGsQA04J7dLLWQqxZmAWpykMSDdE - rIh3Y0lZc1lVWtcSm37VnyO/8t4PLqys9TMYiZS60u+qbawkonAKtNl7JFmAwh9SGTzxWjzhCev9 - fo4EZCURIEj7rnzB1jSUpM2KJiJmHqZJubiMLHuf/9yD+x/ktfE8EIJrYiaAmFUe7d0+bRql5lwR - I07ovvvv+/DHrvq3rzgYU3aFkiptfYPA1vi5sy2LFEJno3bICueKuq4bzlR4NJOco/hi4tzacPOK - b3/RJZ/67P3vfd/ujPmAHKMDKeksa5NxzCDIs2luf0LTJ3rsnkQSKgIpskKAVI8WwTvWN778p++8 - 6IYbdl2ye6WqGu/HsQnex1QXDAFICeC2VUt6wd2XRQAro20U0bEriXImUSK0ewYoFCzQJl426GP1 - Qbr1tlt+703XRuXN1ZI4a4JCVWdfEBFH9kezPzy/8Myf/Leby4PY6+Wsvo1pJkDhVCFgghIydfvk - k/oImpSD04I1RYgyRMklXxzK9eKVlz/pFd//ud95g18bD+rYU2K4PE1qJ4gCTjkToCwkrAQwSNoV - kqfbzlZxKQjU/pYcxhPpBR8yucno0L98efcnPnXxv3rxEdHo2wMKswqUScEq0+YhAGR+7DTVdFsU - axvRrQ6iAMgpnIAV6jDNLRWOMpfSXN3c+Rd/OTh6uGpqz061DTsHgPasktK05KfZsNNQXt7Wnpfj - SlEIKkiMXPbvIb3xx16pV1+x6j0VJZQxzZcltBGzwNbpgHOCgFMT0e/juids7Foa37e6yIgJjtGe - jANJZtXpRzgVigw49q5udnHGZ/+peMpTCMrKbSw9AAcBOHO7SI+ddfUb6rbQtkIHARyUlcCQKscl - 5LW/effy6kYBUdL27Klu20uwUvtuUijAHkJoFBve7bzuiVhaGhJnsrbEGSd0qlvHQ+EuNhbTesIx - vzrLSeFnARFl0iza9y6kOM/Qu+489IV/ehLEiSpUFUTQrhzanf62PTag7X64V95bupu++9s3Q1Fz - oZw8O9ULZm8CoGsYCjA93JC29UznOOXofcnkx5Nmfn5xdbh55YtfdMvfvHd9NKyco5zhIAJVFN4h - TU+0S3soawucoPwIByloOXgdD/dy7/b3v+/x3/2S3uKAgq9FmFkJidqFbBt6xpzTFFhf31xYWKjr - uLEx/OVf+ZV3v/v9AIqCm0YADIdDZnYuxBhDCHVdn8a5F0XRNE2MUVVFpKoqAETU6/UAbG5uDgYD - zNJ6jDHGGGOMMcYYY4wxjzl33HXn9stE8qi7A52a7f1qZh2Etj//ZmkHZSYiVwRSbVKUlBBcSnLw - 8KE3vOn33/b2t2cABO9d3XQDN08mkxACM7dndE/beV0SABIjgF7Vm4zHvaJsmroXit/+rde+/Id+ - ODiuQrGystKrKiKaX1iYjEenZ9bGGGOMMcYYY4wxxhhjjDHGGGOMMY9RqhpCAOCcA+B9N2IqMzNz - e+cdpn1CTmH6OWcihOD+7J1/umPHsg8MSNPE4L7ZPWOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxpwZ - Zzq6W5AFkn1KC3PzGI3AjEntUqJpENoMEZQwIQEpSDPDTWNL2uy3DPYAMtBmdRODOBESoAyapSop - oEwoPDPGE4CwuY7U7P+Dt6WDD17V78toGGPqDeYEMdVShpDGtScCM4YTHD2av/a1ow884FnKFEuB - k+wUSk6YE0PLSqpycMnF5UWXYPfFKCs4jzJAdVhPyiJ759Nk0qsWhuRXXXX/0uCZ//urlooCzgEM - DqjHcB4us+cI5EZ7jiDAKKHn2TM8p+7r6WK8QGf8u9r6PqZhipm6gDqnaLss1kAZGP0i93vNaKRR - 2HlHWLzyssOrR/04Q1UchI7JmDmmE2Kbt60KEUdQBWUKhDga4/77ERyqPoTK7D7/e2965q+8Gr0y - T8Paj52coCuaczvaiU6I5mrLlLnXK7OAGBnOFeGn/u49a7/3n+fHgjiW0nMxKBbm06QpFKJ5+wS2 - xwJtj24iEGubSQdV5HZWDGXNEokwKEMRox+NN7/81Y3bbtd/urlc3jG3vIt27EBZ4t59WJxHTohj - HD0MkfX9+yfjvDGJqaoWL997zdOfOnfFFRgs5NVVnp+TpumvDb/0a6+9/nW/mmNTBp8z3MOnixtj - jPk6jou/NY/S9mS77RUFOiEyU9tXXVZVSsTqxZXsHNXN4vpR3Hrbl371Ny5eW8NwPBeYmdc3J/Ml - ayN00vWPLp+RVWWaHd7GjhJyBoQYYNG8EcpDF19846t/fjzXr4t+z/vhuI4qZa9UESgU6KLYSHKb - 1XqGbQ9mZgGTtoGRKbBANSunlHLqk5uLivv33/2JT10quYQG9tCkCaxQBeMhQgfbSmPNODLXv/7F - L469/oQ8exJJySmAkAEgM7Upv3RCeOpjHkGYfAayiLA2UBU+qm75hS/a/PSniuHdi6mNmW2/qZPL - 1QNAymgT5af7ImnDcVW8U2heBO1794cu+66X+fnFOBdmb3TYegtm64l2iYHtlwVAz3DGvKo6F+oU - XeCyLEVkojpMqRrMN5O485U/fNcdX1m+57766FoBZaZmW744bxtf+MSldMLt0LqYrrqs0+cECLyH - JMAhe8SMCrKHSfYfuuX/+vUbfu+3fePWRRBCOVfFtRGRCwqo7zJ9IaCk1LUNzmgRnWsEnFkU3Rri - 2sY+QamL8mWgy7QlGfiAffuqww9+/jded/HaqtscepAPBceJELKDtoHNcABGHgeXlvd+38v4mU9f - C1rXued79TiVRSE5Zeqakk6RgcTAtmj2R7rwBJXMzOQdsogAIiJUhMH9o/UrXvL84sufW3nv31fj - tSbnIoQxEoAywWl7DJL28yo4s7RR7u02O40xnjXuwNrGSLNCJg1KBhgS6wDsgn7xT//8WU97anXp - FetFt1V66SZFytwddEQJiRmAEybFbJU+H2lXStweA5UACClCuy0rty866cJ0nYjXvHPc4NOfO/CR - Tzw5QGtBCLmODo7ArBmzrXtbFmymNqmUWclNC0xIt/aT1B2JSIGquNf7q1/23cVznn2oCI0PmjQA - QIY6VjhlAhNUSXAu1fRYkXJOVYW5vOu53zL6i3sQwQoSsDLAEaIkAmaV7Vm5jxwROVVSlDntTHrn - P/63a374X/NCnzSg+0Kl3QEqJXTHowsIoz3hySCwsIAbh0LS0mSEW796199//PFN6vV6k2ZYBMQE - 5u5drAiJQdIeTggQgTAa7w9X/sbn3ZSBDL7QDi7njlPYWL7OpB6r9V5HnHMWBrzPxL2MxfHG7R9+ - f7m5WioAFjihrAAp6TSIQglC3ZrdxtdHRd3rFTdch+ufWLswHjVVWSagPXxeaFi3jjPcNg8I7F0d - G3gWdTW7DR+Wrr5y+YanrH7sU7uj9ARtRLrXLjN+q8Ws01I+eQrtw6fxqLn7rvrmL1SDb8s7l9eb - uuo2EGlbdu3xGhDbX5mzq2uxbr2wrYZ8wqUcrqr+pElHV9d++qd/+rbbbmtfbhpRwHufUhIRkViW - ZZsQo6dv59OGdgMQkXYYkZQSAOccEc3NzQGo67osy5TSbMwRY4wxxhhjjDHGGGPMeeTrX1e65ZZb - wDQ7nXn8haNHeTayuxAAmvbdesilovZygzAA4WO7fX3jBTi58/8nlsbX6UuphKzKwavqpKmJHRdF - SnFzc/PNf/iWN/7+m5qU2170vX5/PBqxdzy96hFjBOCcExH9Rmd1p/dVbPvhmF9vnWF2RZFj472b - jMcMpKa+8pK973jrHz39hqeW3g03N6knvaoqfKjrepyGZEM8G2OMMcYYY4wxxhhjjDHGGGOMMcZ8 - XURd/4qcMwDnXF3XVVUBUNX2Fr9er1fXtYi0P57cDFT7/eK//td3XHPVVUSUc/aEXhm2T2c6ykTb - S8TuhzXGGGOMMcYYY4wxxhhjjDHGGGOMMcaY89uZju4Gsnzk11/z7KqUo2tMDpMaR1aDbh9PSQEQ - tXEkQpK7dO42gBuAKgGyvcuadr8GwwOg7g0O4iHIQFbUDQDk9A+/8/ql2OyQ3N/YWGKH9XWEgMJL - HPt2Uhsbviiwudbcccfw/v1Y36iayXzKvkJMUCAxN6CGKRVVuby84/IrsXcvqgohwBVwroaOsxB7 - XlgacTOuY1iYq7m8b2P07J/7hYvnKpQFQuhyyBhUDoCsqqKixKEgRABA5aFIOWE6zv5WKVEXAn2W - BmrSbnbSBaeBpjnZUELh3/G+9/z4C/+HZV9qniAnXL4Xd9yRJ03UmgV0bDDm9qwUEbBjzdLmrbdD - ibmsPJo0Bw4U114DEmjTc+WOmDGKKATuoSIIp0OG6bZ4Dzp7BfRI6XTBj+txKbH2RQ9AnZUdw3nM - 9Y549IpSR+vlfIlY89xAjq6zQGJu40zaXJO2PI8dpKwrBAIBSooM+EAimjJUlQiqSJPoAJo0i4qU - IDqabA5XHzwwaZrgnHOujhEeiaLzzCk7X/QHy3ueeB2uvBxVgaqnKePImuv3myjeFyo0mKugWrJD - hlecxXXUGGMuRNZ//5FoRwl9uIzJtpLCCmn/BgCkre1IZoUDc5WapY0Vd/DAna99/SVHVvrNpPSo - o5SBCkZdS3HSBzsFaDpPYe0iWjGNLmuXIzKPXO+BQe+6H/0RPOUJw0LHTZYs/bI/ampS12ZACQQQ - EKOrPZ/xWLquFkZoy4oETqCECbILnpUlZYbOBVccWj38j5/rr2/M1Q1UFNpWI50jERUSVpZtlcM2 - o9MzxoTRxRe5F71gg904a8+xUlv9gRdR4jT9mBdaCB8DKYsroFARgUfoz29ujJcXlvCEJ4wv3cv3 - H6CjY02ZAWafc360OwrldvsJjJwFkEXihX0H8gc+sePf/ODBPKFQAG0ucJferdOBgo/7cmZB12f0 - S4t1GgwGo1jHGAvvwCzEQqRUHMyjyy7bff2PvPzW17zh2v5CM1qvmKBbbdHMcDJNStbus8zQ9CMp - QUmEGNIGBhPA7EQVAniPJsNViDUo1hdnqe+4/c4/eMs1P/vvU/CrPqytHBlUPcqJlBUMEKmCoKRK - Mi3FC8I0erlLld6KQlfmrknDQtI+FUgheWFz7JvmK6/57cV77tsBdUDhQmomDm0YMgAQXCaKpEcK - Hj7h8h3/879eFZnAO1cwXMFEotp+3QoArJyJt8fVP0JCCKGq6zrHFBxlIibPzKQck6oPDyDf8BM/ - +snP3DKfeG5lVWKDACVkBks3OwWzUmaBth96urOblRJtpXeTdo3cMpBGbepUVEWcpKIZLjyo6+96 - 78JP/HhZpNrxbGXWbccXp8iMdqdAXTrmeWy6M5FCQSpK0n5GUgZYCQqGwqsAyCxedVcSWt287c/+ - 6pLxROtxKJHq2gGz5rPOtvpZ4bRNWmVWmq4eKoTjgrfb/HUXwgNJJk+5es8rXzEKfgiv5CingETa - RtG3u2SGgkCgc6sqV/kwVh1zuuo7nv+1v/vryaQuCmjuDvwMbYcy76JV6YS9/DeiquxCk2NJXsbN - yte+es2RA2FxXsJiZCeUAUi31QNbp6AuIKRgAsRBvRIp5VLqpVH86l/+7Y5J7IMmdZ0FRZiePlOA - hNS5NpYXGQQlNAJHSEV5ZNDDs54+YV8U/SY33+zP95hCegZroaRbq/4jbGec53t0OEaTYhkKAFF1 - MTVYWdn/4Y8+kbipo0Nm8hkAwbMTyV1qhAJAJtD0VHHDYZX9U/7HlzUFjcFlKBUE0TNc/Tz3bIuF - aJ+RAtCUc+gV6+vjqqqqUKxtrldzg1EdL33et916y7/kw+ueVDSzAxSSE3fHx20TPqWCVKh3TjLm - mubOj37oumc+7ciQ3WCRajjIdLiCdj92koMgGHPWCXDPPft+6qd+6t57741R0V7gI8rSDfYRQlCl - uq5P73yZuQ2PAUBEbXr3LJ87xhhCUNWiKNoRRk7v3I0xxhhjjDHGGGOMMd90Qrj9jju6593V7fYU - JYvK133rI9b2oJheo22vXZ54ZYB1W3e7YzvVPJLLCG03hm/47ylIEM+cswhTURX33Hvv29/xX97y - trdujGvnOIo4dknyeDQCICIiOhuguR1qeTa486OlACE3DTtKTfaAA573bTe9461/tGN5mSVLTINe - P6U0ywsPIWTNp2fuxhhjjDHGGGOMMcYYY4wxxhhjjDGPaSLSdroAUFUVEamqc67tBzIejwHMfjwp - VeV/4zd+/cYbn67IItLvV8ONNVA47R/BGGOMMcYYY4wxxhhjjDHGGGOMMcYYY8w54syH4qjuHAxk - VLN3UAEDoxEnOS7vRFUhINESwOYQKk0zcYG79G6ijG6sqVx3OdaSMwDUyQs8QBBBgiTECUYb9771 - D+/9zV/f/x9+5YlHV64YTwbjMZNvmDM8hBXMkpEiNtZx5934yIdX/uZvR7f8sz/04KAZFpoDAzUU - GPvykCvWFpcXb3zG7he9cOGl340nPREL8xjMwZeq1GRN7LMvUlWuqN4X5h7Ys3fHL/7iJf/xl5/9 - O7+FHfPoDUABBGYkxhioKSUS4ugoF+3wVQFadA/y3kECpIS4dlAs6uLJ5SxEs3ShiAISN82Ry4TM - yIqgDurQd7/48Q9sKim8owLs0e9XF18kzAB5X+osjvHYUa2UAEJSoTaJfPp3XtEHbT54AOurSDV6 - QRH7o/FnXvNapERIitnYYABhOu6YYNs4YKc2dNcZpYBAtsKs2+AuBRQcAiAKlI5SymCHig/13AhS - lGWWjJQHl1xSkzQpzT5j+0XgEXxYR8hZVeE92MERPMNRF7MoAKm41AwQB81wSWO/nvSbySJpz7ns - wkYoF59y/a4XPHfuxc/D9ddgvkRZKAdxPerNIfvQMLM/UOrBEiBA+MLL2DLm/EAEVTCziLT9zr/Z - S2ROTpvNwwrNObg2lJc05XPwqHdeSCkRkXMOgHOOiJqmIYVnkEC4EA7Io9152Lvr9n/51f9Y3H9f - PwqDs3BgIGUP+JM/3hEElIWzsMzCYkmhClVQAYUEkRp031xvz/d9T/WS7zhS+exKBpF3TU4lBRdZ - 4AXsVJyK00QQIc7EZ/QYLNTmFm+9woBTsIKIMtQxPEM563iTY771b983N5mUlN00e1YBzUoKT8wi - lNuwPRIgCRIQFeNQPPGlL0VRJl8UjlOSTJyJFMQQpwL1mbzSMbl0FwhPXmIKBCaIUszSq6phSptF - ePLLvmfsXAZE4EPR5MQnnwur06jgdjxcIXA73q7AObiA0DSXTeT2934I9bjUxBD2FHNDnqJKVBGw - EstpGs/2ZHnv67pmFc8OGZIRFUqOVTK7o/Nz1Queu/Tc5x/oDUbErFIoFcoaIQIKLA7phEaW0PGJ - 47NPx9o1QkQ5K5iQIpSQMrKDsJDWCxtrm3/3AfzdBxfHG1pvLJQ9RwW40lBOVDJryo33LFAlp9+k - cvumEEJiSSys4lTazTkTlMCZCnFBGLU48sxgkfmU/MEDt7/+9cXXbt/d1LmeiMckN96VACBwiuCQ - NWfnNstweLH3ra/6X1cr0jDoaSmZs1JBjnKbud498qPI84w5sXeFZwZBWeAzOYB8SiX7pig35hee - /ws//6XS1/0+AxQxKH1STAB1yAA7ZaekbXg5s4KUWeGki9bu9roApkNgk0KiAgiE1DTixGtcXh9+ - 9a/fizv37aybXkG11JlFPeecyXE7kdnK1U7wfF/ZlJBc2yIWgpCCAC8MsBRhqFo7EuZecj1hYZJm - HIaT1b98d3nX/b31kQcaAhGIj9lPdlt0Wz7TNYOmMb3tV0FeRMGMnNvGNUlGJlrjcm33xU//mZ9s - 9swPfVn4UmIqHXvNDpGRlCQTZXKAh55bkYoEsPJEsuxarp70+HDVlWuBokBTt+Z0yfHAKVczMgDu - TmE4xUX93hf/8i925giIlF6djibDsuqlKB5MohfYGQVRVjBpIoZDWTSaoM1yivjop+Onb+7VTZas - Sr4IEkGzo8+xezAlJIXzILjNjIue/UzsXK6VpRFcaCV65hEwG8FfRZkhp7VaStrthWYt94dsvz82 - GoKq6ohzE4vCJ8o+TvChj+y6f3/ZZGYQWKeJziICUAbAyAqCywA8ESGCJkVJF13Ue9Yzj/oQiUmZ - MhHOYM76OW7WcGu3f+fcODWhV2VCbHKvGOREE1+45z/n6OLciL0qE6NJYCYAmZH5+ApDWxs5SQJJ - BXMZ4+pn/wl33T5HLkqGRqcSHGvKbY0lSSZnOytzTlDVnHNVVQBSFCafk3pf3HzzLT/8wy+/8859 - KSkAIoBcytoCOMZ8CiN6zMyCYdon7Qkr733Omae1ViLiY2uwIYT29dYpz90YY4wxxhhjjDHGGHP2 - bbsO21HVoihijM45cqyEmBOYPvbJT4SyFEChzN3VD1WFHn/F6IQXHtmSUNuXHw5ITeO9Z+Yck6oy - MzOrqmS0lx1VFcrbH9pevBRiuNnDkW8fDKdZ0fYoAntyDKb/n707j5csKeuE/3ueiDhL5l3q1tK1 - dfVOd0PTKzQKKA46r4KKjsO8w6uyyaAwwuuKDDMjiiOKiO8roqMOKvo6jjrosMgLyMimfkChabZm - mabppbp6qeqqulX33lzOORHxPPNHZOa9VV0NVFHVVnfF99OfvnnzZmWejDwZESdOnPgJJEhpCwYj - qkY1YMvWgEVUVVOsdXo5VWUQg2KMmM70S0swM3NUiYRR9OrcF2//8rWnwlIAACAASURBVMt+/Mee - 8rRv+n9+87fWxq0CXRQAUSIw3WAhALPh3K9wMu5rKjpG4Wwqd8NkTZqTAYKmyy5e9TOv+LP/9idb - tyxJ16a5B4hiiVVFJFprRHJud5ZlWZZlWZZlWZZlWZZlWZZlWZZlWZZl2bqu69KNtm0BeO9nf0pT - PtLFdM65NOUjTSmZWf/1+OvtGGBmmy69TVfqpcv1mPELv/CaZzzz2xkS2qYw3AxHvV7vuGfOsizL - sizLsizLsizLsizLsizLsizLsizLsizLHk3OcHSQKkQs1EAhKZyQZdTYh4g2YYVRYDSCSH9ufryy - 2tu6Da1HLYXlBtF742qCog2+KB00wChCa5qhqUrEiLb9x//3jZuaZtNovEmkgkIJoQminpgCGWbE - SG2LI8vDfXeP9u1zR9Z6EjenpaWYvOpY0QK2AHpz1Zbdmx9zObYsInr0evAe1kFt27Tl4uZh52Ov - HFvbMA2if/xPvRJFDe+xOAcWsSYSu2Pznwxmb95CKS16tSE8TCYfyWw9qFlq1/QZHmY0iaCGBDVM - YANj4RuzZcvRffv70ddWUbq5Sy5cve0uG6Xp2tIZDeuzD9MqYykIMJFpiMg00hFWgmmB++/H5iWE - BrZeFNrmgHHryiKIj0qFsd5H5wwxY70YZUMiydltFuI9vRGDOkuVMdq1VNlv+Kn/+8BrfhkAwYAA - Z4VJUlQYkN6zTPPUMY1CnzzhJDqbMI1kS3m9IoBAAUuAZR8k/YkIEtFFEEAFin5//+rQ1UVvy7YL - r7gcu3aALQqLdg3MMGUTORjbRunX/SZ6deaow8U//fKL6xqkIIJABXR2hZFlWZY9gsmkMl9v+VWV - FIiSp/h/ZawQOn6R06SqqhhjCCGEYETKsiz6TkI3alpjXGmNbdulZmjvuuPTr39d/8t3zntmTS2s - sDBBJv2xk1y3MzXNKR0Zs56hgi06DxMRFbYqjxhjb7huxw/866P9etWVhAhAKa24yiCCGhCMCEjB - iCTTHNCHJ806vX1FCjQFE0BRHXHsRmy6Jdb4wb9feGB5LnijSN3wjWIUAiyYwSAwRWWNBkOhYW/+ - 0hu/sVtaamNUImOMF4FJ3Z/UFZ0Uu5Cee1F8TApSYcxi2iUYrBX1zisff+i87e3KyCmJ9yWZr/JM - X5FSWjJ3+jtBBRpREDAaFQcOr3z0o9u+9SlHRDoosXbBO2cB9l4Mm+k/Ak4x4e/UMSAQo1BYmiSV - StuN+71qDerK+uIXvfBje+/aZOLggQcKaMGuoCCsotQJCgZbaLf+hA+xiwkrA8SAKAkpK6eHGklx - wiAVCdgxZ+vx6BO//TtPvGj7pU9+0j3Dtc72Wy9Fv+4vzg3XVsuahbVtQlFUZ7hszjpCSCsipxD0 - SKLEAlg21tq2G7vCsPoFMm7U9o6u3fn7b46f+tS2ZjQn6gnWWglRok+BqayQDtaUK2zuqfjK53xf - d8GO4dKig5EgpCDVCAgQGaQwSkKIDJ0GP7OeXP1Jk8eLEpMaRcqRlpq5bRuy5RqX89dcfd3zn7v3 - N3/3cb0edyM/DFUBAL5B5SA+LWjNKfgv7b+kDMjkwC+VkkKnN9I9hgBN94sVLERsG7e3/sl/v+JV - Pwka9pYWutYLIhub1qpOTSFvGIt4tBAh4cnHB4AVPGi9ne93bYcYnSvHwxVneHdV4yP/cNd7/ud5 - h5e393tr4xFZKEOjTI+wAWVOB8wbsDI21mMknUfVQzdCaUCGuk4La1er+k4yN7zgB3HV5Yfm6ghL - SgVY2g4mrVLOQiLMJIYBSoM79PA02V8TVVXwgHDI0GO/91mfv+NOOTzaWVXaRIKwAoqIaafq5Btf - JkhoU7CkA7C8NvzUp3HvveaCqi0ZsZ1bnFsdDoqipDh2xGdR0Zx5QvBdNz8/33ZeFDH4gnWuaYqD - h7/8l++a339oyfYgHYlSFI2wBjr9HFLNkCoQgcBCAK/GV/0LnvLk2KuM7YvII2Kc7JEkpvE0wnSA - 7oxIYQMAHlX19vFYAURjOGgcjwa1Utk1X3zXO88XNTEdbBxfwFFhDRCgquw4iKigM+ZwWVz8Ld+M - uvKuIHWTXmAU8Bn8lM5qyiCZVtkkJAoWBiuUmBQsCGxRlZd+2z8b/uHbWpAjckYhygRPAMRsqPBP - 6chL2JXet6yYY7d5uHr7299+0dXX9ut+SdJ1rbqicI5gFIhQSAAzNNdZ2T+9uq7379+/dct5hePR - qCnL6l3vfu9rXvOLhw8fKQobQiCCCOS0DsqlVUKKohCREEKM0RgzHo9P40tkWZZlWZZlWZZlWZZl - WXaWK4piNBpZa7vgnXMCjSqry8v33ntvMx2QDNOkZ2Y+XVMHSWHZqEQB+r35GKOIurIQER8DAEPM - xkBUUtA1k6hoFCIyxhhjUsB2jJGm0j0iIiJ1UaW/apD0CDbGkk1v1jkHQERi5wGwYSLYwoXOd8GX - rgCT956IyrJsutbHYK0FU1RRBRnjo/9vf/anf/bWt372s59tvQBw1rThzM+rJBBR14WysCEERFWg - YPKiCHjsFZe96dffeMM11zljNMSyLOVh2KQsy7Isy7Isy7Isy7Isy7Isy7Isy7Isy7JHMlUtiiKE - YK0tyzLG6JxL62YQUVEUqsrMZVmmGO+TJSJEpArnTNuKCIjwyn/3iu/53u9eXFwcrByt69o517Zt - 12mK986yLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMselc580K6CBUQEEiCCeTQYGhDpNL9wEgA4 - ue2c644eLUqHEGpj28HoE7/xW0/8d680dewbRqEArTajqirGGNekpBGNR9SbXvOftrbdJtUrB2ub - 5vpBgyESEe2CYWOL0iowHmFtiLv3Htm7z68ctcGXEiqgYPgIBYJoY00zV7nNS3O7zjfnnYf5LfAt - FufgW5CBrREUXkouATrac4cLyKa561/4AvR6gEUxB4aIikk5YNIiGhIDELhQgjLIKiEARDACAIag - KZIQMslnBs/CpJDyWmR660yGtyiBAESkPEgBO4AUkeAcoQnKooZ509Kel770gV99Y9W1kBY6Rr9n - q5qjGt+Kj/ygp53kdiuDRNcDNVPatDIUwR/Zd9/SYy6PltTGSs0mIYii7YyzYlwEjDMxhaenhLP1 - FziDZfJ1ollI+Xq+j4pENlxaihFgUOEQGzACp1Q2ggKl1WmYm073j5lZquKx6aE6+00iFDAEYxmA - BOm8VNaEEDuFNaSGJUbvrK/rpip2XX+DufgyGAs4+ABTxS6Yaqt6T+LKeu4opFl0hxQr1j7pZ162 - VDmwhTUwxVi8s1YV9lGdLZRlWfbwOC7tWHVyR1raEkD0p3IVwTklpXcn6UaKHIsibdcVzs31+13X - NeMxM0eRol6U0KBbOW88NF+67dOvfd38nXt3gCNCYC6iNQpCwHpo60lIvaBZejc0ZamysIwjjIN4 - iMEDovaqyy9/6Yvj7h0jFJFsZAFpEUGQyCmwFUbVKAAJAKl5WK72mLxlVoAQCQAsgRSFEhsb/dhZ - aNegkS/9/+/evjqogp+98UkqKia9fSaAWEUVqkAkjIlXe/WW66/HBReusvWxZVJjTAgpJhmRIxST - ADk6Jsv2nDCJ3wMASsHlBKXoCSKMnbu3POEJB/bdc14kDo0FoCe33qsShNZDIlMn3oiChBmqEIVl - 4hho5cje97//mm+4tqkQicHsQ4BzqiKIhhkySdKd9nsBQOhh6qcbSdHjAMEIKB1XBm+4aKt+fcnu - a17ygtt++Q17XFWqdqEt6rl2PFgLcdNCEX1YHcscgRT0oMj5hIFJ5q4yKcAQolk0shXY6cGaLRAG - wRHOd6ufee0brn31f9x14xPuMtiysOXw6tF2ZWBq0yA0o3bb/Hld40FyTkVLMoQnxaVCUKJIYECY - jgxWqqU5ip2urs0L3KH27t9+8/BDf7d0dNAvnIIoBEMKKEEBGxFSmnWMcdkZ+9QbF77nGSsL8x1T - IwICg0kRWQJBCbNk+1SPFQKjJ7eLzmqeyIDCKgAEBqtKDCWxdABXo4V62//xbfHW2+54z/sudrUd - j7WBKWAYAkTAMVQE61u0gQKpiiOJxJgeSCojAgYpgBwEYcFC1+39yMead757x/O+867RatlfHHXS - K0w77qy1gDABgFGoTr6bJ9y9HylIYUUUCAwldREARUIwgHFtDGCgMCvjQV2i7locWL7zT/+y3re/ - zwi+NQRSxAhrSKPOvndGJs20pEbqmEPsycOKCqsjLFYIDTRqUfdXxs1+pS3f9W3mWd8+6PUbNnCO - RFwba2sb03YMhQhISQgU1ZJC6exaklsEtipGoYu9Hp5849E/37402i9jT6DUgZrs82ngjo4bfPgq - lKBMADQqQiBgkc3avQeOvvs9S//2pasUIgmgzrnQdnNl4bsxWXPuNO8AytKtrKzM9/rKtDI4elFV - bTo6OPznb+9u+cLWIMpdlFBwQWkhfIEhpDxeJYUKJrcBxmqLetOS2b5z8YlP2E8q4q0tO+RDhtMp - hDAZxF4feAPR6RyQVFVsuFycTu+zn00IoKjGsDdUAduHfvkDHw733F36qMICQxQxrY1lWjMTDCGS - qiEKAQW4dcWhzfNXPP1pHYwqESspYePY6DnjmIMjZUAw65BPDiV4+jCKMOOq2vXNT/38297fjL1G - XzFUT7C3sabDr5PvPYhXIBKx99sYX77p4xfesbd/WVEUhRojMFEoihgGWz6lF8iyr186Al4/ECNj - uhCWtmyL0MFwZE3x+2/5o9e97vUxgpjbLgCw1qoEACl+5rhnODXW2hhj13WYriGyurpq7Zk/b5tl - WZZlWZZlWZZlWZZl2T+pjedeh8Ohc84WLkgcjIZFVc0vLv7uW97SxagAM6dliAEQ0enK7Qag0CiR - gH5VN74LIaiqJcPMZJyqBlVDaiyTkogQk2FLFimcOwSfNskWTlUlxLSdxhjnHDN3nQfSmaHJebYo - EYAtC1Vtg09LKpPhyXrHTKtrg8K5sqqD91Cyrui6rhuNi7oiohBCUZSj0ejvP/y3f/Wed7/9Pe9a - XRsGhbUMhgjaEGflShv+P32/p4lM5nN2XWCgcq71XkVrxvOe99zX/qdflBgZGrrOMseodMzVDsCD - ZodmWZZlWZZlWZZlWZZlWZZlWZZlWZZlWZad41TVe18URdu2zOycSxNLZg9It9u2JSJr7VcK8H6I - OSIpHbxtO2Moiv7ADz7nhS98QV0Vo7VVERGRcTNkA+fcCZ98uvjX1/MusyzLsizLsizLsizLsizL - sizLsizLsizLsizLsn96Z34JeFFGCjmbBEa1w9H8QycydE07bxidh1X4MF/154QRAtoOPQd1EF0o - CL4pRBA9QveJ3/od98Chy13dH45tijg+vGydg2VSAhuI4vCy3nff8r33NAf390KoPeZjJAIMe8Ua - hJwJbKnXX9i5e/NFe7BtG1wBVdgCdr5rBpHJgrXzUUG93gi0bMJlr3zF+YVFVcE4sAUZCWADtkSE - OEm7S9HkMEjBTwyAGMLTYG4VKCilc09SCRmakjYmNOXB4OHKsiCepg4IpWyPdL+zROzBhVE0q4Oy - cCsrixzgmEvbu3DP8LO3WLABAJnFdT84VHLjomPTHEaUjNVDR/DAYbNnt4QAoPL+k7/+6ze86pVU - UIAWqKKgsNCUWjaNwUbKCVR+ZAR9pDIxRkSY2DIQoRqIAJ4mANFkryE65i2duCQfVJhCMNNMTVH4 - IASATGlMGzohiDENsyeyS4uLO3bSrp04fzdUYV0z7qq6Qq/2IVLVXxOvvdq6+v7hYHVT7/qf+DE4 - g5JQCYxFJJANsMRGMMk5y7Isy07NxjUZUwOqABMBICJWYsAQE8R7/0+0jY8kvCHpDdOwZxGx1oqI - +MAKq+TYsuWua+clLK4umzvuuPnVv7j1/gd2k/GDFo4ZQoppj2YSQX3Kl1Icux4oRxK2hUKOWHNw - x/brfuwleMyld7eB6h5ASkyIqSsWCYAYmSRYy7RTMIsSP+Nrek7ShTWlI0dio8zCCBEqpcoi8egT - H29vu22zRJ4FnKtJW8skoGlXQVUgCk3l2hA/UFU3fuczx9ARiF0hJBK9JQ5KBEQSEEjFpC2gc6/D - seGgwCigIhygHNh00s0//elf/OAH59eaBWNClFM4utuQUbvhsiVFBDgVOpED90K3/3OfxxduXbz6 - 6gG4rApijtGLkpKACTLJBubpk05C68/wpUdKLCoWgE7eQco2Ngoi2/gYjBmVZs+NN1z8zGfc85dv - v0BAqy2NR6UriWU87mJE6SARJ8qMnXzxZ8cvBGFAlWUaUU6A0Wn9oBw7sQXIY1MI9MChT/3Sr17/ - a79y4eVXHFg+vNCvOyNBumjBpetiEFE6UXzzoxUrIDAKPjZUUwhBYtWvENoidNuZ7Mp4/+++ZfWD - f3eR9yXBKKmQBYuPAbClCW1wZEXUGzew9si2pRte+m9WlxaHYB/FExnLtTA2ZKwq2LMAMDrZSU6B - UQgJKZQk0rRKJlFVY6zCeGC569zi0o4feM6BO++8//O3XVT1YjPSDq5E28LxbLdSQBR83FHzLGM7 - 3e8EACKmrY+mXQ4Aej6eP/Zfets7rvmGK3ZddckD7djAkooxJiWACwHrrdgj3qRkgGAQCEahgkgQ - kAVpEHZox8OiVKyuLnLvy3/8Z0c/dtP1830/XBl2sVdAQ/rSpqNoBohAqsyQjaGLDJGNR7cEVRSM - 0MAaBC2Oelnpz5nHPeaS531/s9A/EFop54KEiqyIGFNGRCUREgULhIkjyVn4XWdmiBpmKUtdmD/v - m755cO97xuPVkiKrEoQUnCrxU9qHlJQIIaIk4zXOsb0Q/IX3vvfGf/l9NcHOLxxeHbj+pl6/Hh5Z - nu/XrWp8dOysX4PUL62qommagnVPv54/eBAfv/n2t77zCuMWrLRdQyBLIhIIQGphU82QFrYHJPXK - FFKa+6AXf8s3Y3HTKrQACp7Ee2enhyKGYFKctggAojOSD63epz7Yo54zRde2XGhfolk+cus7/+rK - uqbBYHYR/SyLWkiQWklRSu1AVCKILY6y6V/3eFxy4YBYokKFbFQxbI2eg/HdGyg4EkCSDhJZkap0 - gI1AicdVVe/atfDYKwern+BRy+JLYzRGPnGx6UmFEyuhjeIsq5IPccFh06i9+/0fuOSCi8cxmqpi - shKj960p3UMtYZBlDz8RGY/bubk572NZ1G94w6/94R/+YYxgY4hIRJxzs+G4DdHdX6/0FUjrhohI - 0zQAYozGnIWdxyzLsizLsizLsizLsizLzoiqqnwMUcU450QEOhyP/vtfvFUJTDwbjTTGiJzWM4BE - AEFl0Ix/5CX/9obrr73+mmuvufbxV119da9fW2djCDHGwjIDXmKIkZmJiJmZQIbTFPcueCIyhglQ - 1agSfRTo5IE0mQkvIum9KEEBMmyYmVlVQwghBOvc3OJC0zSrw0FVVQBa31Z1xSIKHDqy/LGPfeyd - 73znRz7ykf0HD0YgTi8h8GG9WI4//Z/uPKlTHV8NM1RQls63ngDvfUG8a+f2X3jNzz/rWc9qhqPN - S0vRB7CQ4jR/ZFmWZVmWZVmWZVmWZVmWZVmWZVmWZVmWZY9GzFwUhYiUZQlMZlyoalonKs1XSWHe - McZTuC6VmUVC13UAouj3fd93//zP/5xhjAdrxpherxdjDD4URZFefWNqeJZlWZZlWZZlWZZlWZZl - WZZlWZZlWZZlWZZlWfZocuaju1UnCw8RQICI996AUsAMHxsAREBBZnhkZd4HsEG/NoxmsAJ4FAp0 - iB6B0AS08vnXv2GJ1cbVx1o2UXR0lNUCrFxQr4L3iIqmxQOHcPdd4wMHfTMsxNcxWIIjCMODO6bG - FK2hLTv2bNq+Hbt2Y3EBIERBIFjXdGP4rnS9wtmRxBUJtLT4x3/97ld+8H2bSwtTwBRQhi0hkC6w - A7oOAEGtddbaABZMYlcMrUdyuVmAFs0WZuJJKU3TkZK0tlQkEODOcHj3JJSOoQBBHFImEEfAECgA - BQdEx462bjkE3bI4r+2w88PaOTzmwtXbvzg/SGkfctzTTnPK0++TO3WSqUmkKNlWjdc799GeC60I - LJN0Wzxw8DDO31pYJxDHjAAIYCBgs3E1rbMv4Wn6acvkp6aCnSwCxsxdFwpnYSCtN4bRipkEpisg - 8IGi0jQOiDENDN2YCrExt3u6I7GulzMxMUyAEaUuKFHlLbX9wm1d2rp7F3buQl0DGhDt4qawNqoW - +oiKZtXN1atxtFzPjeb7Y+ee8LM/AWfBCmdhEInHw9GcW4AP6qItXOxEQVScfR9DlmXZI8Esd/nB - yT9pzUoiIoVlZqjGcyHN7etyXFhwKl4BQgjzvX4zHnddVxrnjIWoNKNNhVtcW8EnPn3Ta193wWg8 - 1/nGo2QgCikDQTdGeJ68SbOsYEwCWSNJYOayHARSWx9emr/uZ38K111xiIyr6wAYVRMgREroGIGD - Fe55NorWsmcRTk8opIhn8ooPVgjJrEBT/23yiqKhbaraFONBMWpvece7N0tnQkuTRzKDBQCJ0ORT - IU3x30SwMADHzrp29/m44fqmKGANEUtoxTe2KIw4AUeygBgIQVhZwA8RKfcoxulYgAGGQEVVlcSa - Yk11y1VXuSuvXL3pU4uGWQE56fphsm8rA8SabgkIcdql1AAC1SIL+w8c/psPbLny6jkDjULMI4lg - Jmti9AyexSGn1GGlWT/4zFNGCsolATEpqEVZVWumjSUT7KAt5v/V97l99375Ax+8dmnr4MghG7So - y1Hry5KZWSWkQEhsOLBI+cekkt7F5K8KIiEAOtsbZVbrCGDEMEdtMR+bbQ8cuuXnfuXqV/70ruuu - uHt8uCoLdXZl3PX6/TCO4HMquRsAjDKryLSIp8eJogTHitFwc9fakT/we380+PDfXjAaF9IyIN4T - nCETSIIicLSlG7W+ZxePAPsWezf+1Mvjzl2D3ryKKcBiAEMSVEOEqDGsqgq0FkZQB+FpVXby1Ymw - ghWR0JmoUCswgsIUbfDS46bpNtd1K+IuvvjxL3rRLb/2poMHDp1XLcZmBZGYVS2aDsV0ZxPAGwBw - MokpnR3usU4HUpIHbavVMN+NBg/E2//rWy99xcuXFiAlx9gVtvYSAUQCpnUmPfJrTgJshBKCQSRq - DYgZCqNqR11lCIVZ007Jbyup+9N3rL37/RdbkuHRGHxlYAQxwjq0Ho5AKrP0bigzBBv7DyTQ9dpL - PBzDACh6y2PfLMwv79h8/Y++GBftuR/B9vvCxo9blIZ79VoIsIaEmSTybDSMTmFvO9OMoeF4XM2V - bZCV3sJjvuu7//GvPnxeBI+WnUjKSqcT9U6/VoIoYABFxe3YN20hmIvju9/2jgt++EdWVsZ1Vfvo - I6ktSgELnVtdXI5UlDZwoMHalpax975PvuE3L+uk8F2QzhBc4bRtIuDIiAqBpu2RTKsQKDiK6ubF - e4y9/NufPgBTUVjjRu3I2jM/5Hsu8W3nNI3bgkCkDxmNrtMxz5OSvm7B+xQHq2dhlXFaWTbDpi2L - oj9Ywz98bNPe+2g0MpTGyBWTgy9SgBEBEFH0QmBmhKBs0DCtVsXjnvkdTVVEW1g2wbfGciMe1pxr - xwtCwgqAadoVj5NTDGCB0XQYBSFhcASPYbU/d/63PPmmT93ci64aewCWSASY1S+TBuBU8iScoXQ+ - qGRwQDVo9n/47y/57n9RLS2uuTIEgaGiKIhEFRqFckRx9k9AjrvFbOuaY1Rrih/90Ze9730fUCAt - 5JEelqJlUjTOaYxaSdX+bCURAG3bpuVFsizLsizLsizLsizLsiw7RwSJvV7v8JFlWxSuV7Vd9453 - vOOWW79EjKjrU7ZmGd6niypFgMB1XX1p7+137L39L97xNgAKXHTB+dfecP0N11732CuuvPTiS3bu - 3Lm4uJgWPo4xBlXLTESiqqrERtOkMFWAiJkNWeYQgk4Tu9PGMzMz+xBSpHeIMXYdAGOMrUofZDwa - F0VRF6WqWmuXDx382M2f/MhHP/qhD33o5ptvjhtOXVhrfIjGWgAxRiWkmfYyPdUxLS3BqZ3tOHGR - YfbMvvWFMRojAz/+8pe9+EUv2rl9u4rO9+fGawPnnG+7FMWel3LOsizLsizLsizLsizLsizLsizL - sizLsiz7ytIUizTLIsZojAGQJpCkO1OG90mGdq/P2VCNzjnvPYBv/danvf71rw+hM4Wdm5vr2nEM - HYC67KlqM+6cc6d9ok6WZVmWZVmWZVmWZVmWZVmWZVmWZVmWZVmWZVl2lngYclyMSgrkUhhGF75y - eIl2vh2M56sKjjBYHbpyy7bdGDZAQOmw1nz8D/6oN2yXmnBZp6UfRz8m9exqFBXGAdZR59F16Frs - 23f0jjv88nK/CyWkUgWJGHSCRhEYwVq7uLj1got4zx7MzcM6sAVBobBMaiLBLy62hg8MR2WvCv3e - +T/0QtTVK1/50+hXPnpb9MYhwhYGKBhsLSjAKsiCCGAoG0II6uwsnWz6c5JquCG3G9AUcAgwrUd0 - MRBxzMPOFJ3E00VAIWY96RIGHAKcAwADFxGtkSf99E/e/UuvnTOWvAEp+rbac57efr90LU0Dqh+E - sCHARogiMSCkMJAKWL3vgcWVIc33U2xTr/OoetBIEBHqApVEdIKINVEAX1+s5hkwSahXYBIEqIjE - AiGIBTtjFQDBuBJd+6U//YslVSJFyuhZG7JEpvU811n+yld/4fRD4UGeKBgWNtGY3tzCwu5dOH87 - FvsoLJwFWxAZsO/iMMT5+WJtPJL5srN6pCoe+8qfQVnCKRyji6h7CrQao9Bcfws6wDnn0PlYFubR - niuUZVl2xglhljG2HuatSqkRoZQVR6HzOYjvq3pw0qQSiCjGqKqVKxxxMxpXrthibHH40OADf/Ol - 33nLpSPvVpoeowNcUYSuS101gbDilFM3CYAiRWCmSGMQABl3o9U6vAAAIABJREFUssxmdX7uiT/+ - MjzphjussaYwbCE+daAjsydEFqNiRYxaAJ45MLMKqWAScklntIvImrqsOn0vFAnKYIUtXJR20Vj9 - 6M3dLbfOiyftaFL6RGAGRBE5clq6VEEQZhfVxBg0SlPTY77t6ehVsVc3vrOWyRhGjN6zMYCZBPvC - MwTKX1eG6CMSpw83EhRpN5BUmooYKgfWXd/4lP2f+KSXUBhWwUn1hynl0m+IZp9RhhAMGxFhaCFh - a9Pd89F/3PLs/fOXXDzooi1AGk3hokK8WDBvfIYUGYhpb/gMU0r/RRAZhRG2puy6aEsrRsfDpu0X - c+edd9nznvOFA/vu/NKXt5oqRNHRYJMrI6Fp24IgBF4Pk14vJICh0wO3FIKYQspTcesx/XPHhQ8d - A6WFRu0PVumuO+/67f980U/+6AVXXnJgbaSblhgyWms29eZC253xojn7CDEgQgqAoQoBWLQrPW0L - xKvdvt9+88EPfPCyNpTdGgBDAExEVAUxDMN7iPi6qvc348OLWy5+9r/Ek79hZW5uBK5AVskqoqiX - yAQLtmAvEhhCAIMURhBOqdZMLTVpOpwXUPrKsVdEY8Z+bEsOhM5ww9XWJ3/DFT/wrz73B3/MR5tF - FFC1xg88jIXIJOcelIpClNJS1uuvtfG7vJ7fnRoRZVYYVdZmS8CdH/nEyvl/tfji54vQUSBKMGKU - JBIrCaY1qZyocXwE4fQudNIpkmn9SArLxJZHw8F5zmwNPt706c+85U8uHow2cxgGX1tLRMH7wiBE - GDMZ+thY2OsxpTQ5mtbZTQUIqqCiPjAeyeL2uyw97Sdeiqsvvx9B6h4xq8SisJ33rp4LXqxYSyEy - oGEy8DMJ/D27aJTCcPCejB1Y3rTnkkv/+dPv+R9vO9/C+Q1NwyntNql9IYKhIrYtsbPUjTvd3S8/ - /973XfCUpy8+/rpoqwH7UTuar+e6rjujw11nGwIMc2y6nrVLxuHOvbe84Y07VgaLbRulU4UhQLsW - KAiwZfCtBbA+1Lb+mQTCoa57zP/5LOzcOrIOZD0C25NNjs6+inQtNxFpqhQApKHd1A1QCE0r9lOl - qumSb0y638D0OOLRpwuxckUdouu6O9/5zm3jsWnEGE7htaQMGIAJohQBqKoAlogMkwQwrUHrC/f0 - rr32ruBd1ROChghSIRgiIT330rthBJicR0BkAGxUjKKIACQwInEkIUXnY+j13ROvPrpYdb5zZH0M - zhiKx6bGn2q/gYgkKhOsgQr6AVv2H5J3/zW/8Pmldashgq0rjO86EbXGytcy2J1lZ5iIeB/Ho9EP - /dCLPve5LzAxW+O9t9aqaoyx6zoAzrmmaVLe9ml53fT83vtZHDhR/kZkWZZlWZZlWZZlWZZl2blF - RFrfubKsevVgPLrn/vte9R/+vQJRpnNUmFU1jSIy82w48bRQYNx6BSKxqhBgLN1+9z233X3P29/1 - LkQA2DTX27Zt21VXXXXRRRddd911j33sY3du31FVFXOac6SGKBIgUFUVISJSUSiYmA0BUBWRCESI - q0uZBHqDjCUiTbPtDQ0H40/f8tnPfOYzt9xyy8033/yl278cRJgpigJgQlAQwRgOKkih3Wm0dnYG - T09wnvv0njVihgGRIsb4LU99yi+/9pcuv+yyuV6/a8ah7Yq6Lnv98XhsrS3LsmkazK4gyLIsy7Is - y7Isy7Isy7Isy7Isy7Isy7Isy04kxjiL6DbGpDkhKbdbVcuybNs2XetdFEW61u+kzObe3Pik69/0 - pt8IoStKG0PsNIpICIGIytKqanrd2RXfWZZlWZZlWZZlWZZlWZZlWZZlWZZlWZZlWZZl2aPMmU9+ - JFJVIgIMCOhGxhiN3QnDD1nRs+XhtQHa0UBpbnO/T8Xy6ho6gtIXXvdrS+oeE4IlkdGwdNY3Qze3 - GWD4FqtDMKNtcPCQ7LvrgTvuLFVLoh5UEb1EBojMOPLIMC/MbTp/V7HrfGzehLKCYWiEJbCICAUh - IpjYOXc/Sbd56fH/4WcAQeFQ9hANuIDCWXSNL6tKAR99JBhrOtXA7NipRlIyCgNUTOsx1pRS3yRF - YURQCpxmME1zFzfm8KToKAvZ8FGd4fTulAeWkoSQQrGFmK1FDDAME5UAFAW6NtTl4f37z5vrQbsY - h8Xurd3e/XjQzMZjlp2arpA1DdiDEggIIgyjTSf77ufHXQYNYgytDe75L793/stfwFtKx6VxwAhw - k7Cu2TNNAjAhfLald68H+kxEQMEWaLu2cjUIjXRVFLQY7b1vhxATpbXD4toqizJzFCGafOqTqDas - x3/OHLewFxdW2HjRARPN9xd27ept344t21HVsAYI0KiiJKLEZKz3sd5x/u3DQbPzvGt+7GWwZocr - QA4ASosgKEsEImMqcpHgAyyDDLxXYw2AtmvLsjyDhZllWXZukI11+3o/KrXIgGoIIUd3n6zUSJZ1 - 1XU+xsiu9J23xHVRFvffd/AP3nL4fX+z6eBRipjvz3XDQWlp2HTGQgksYlKDLlBwCp/+2l+aFKw8 - i/aLJKDUwUNhCr9502UvfSGe8U33qBU359X46A0LVAA7SQEU9EJMgc2BOZBVECmzhkgeLFB3ZiP9 - aPZ+xQlLig8ntswFk45HWB3e9lfv3z0IVdduCJliTDpmooRIYILGSY8lqgQEB3K9/vZv+WeHCQ3E - x464cgUzURCfEpQjDAjKASocHRFHlnNqOVNSRKLAMAoLMapOEAlevXd2uYvbr3/i0YUFWhsJySn0 - hGk9oY9ZBQARFDAGIcIaA2GFOsVCwP6Dh77wwQ8/ZtfuwrEatkwAtcFbtpC0twOToPFJT/VMLz6b - AqADI7JEFqMoA0g5sgukCI2GpirNweHILy7tuP7qx/3wc2761V8z94/3FD0Zi4bY+tBzJkg89jln - N1M3PB7zokjR3ZMOeeQNic4CgjNF3XRrlmjekRkeOfTJmz7/S79y1at/dtsll+xtfDm3GMfDOBoZ - gjAfnxX+qJbCpJVASsXkmBgCqY0tj6zyajj45j8Z/81HLu28b9ZMgTISuEBUkiAQRBQWMcIYHGnG - vGOPu+zyrd///cuFa7gUjUGUEZwHkXbMzOwCbBQhRICVWRFZAOikZjvZwk+B0Sm+XRRKSko8AlxR - xPGorKrD3UALWjCbDiltffa3Lx7ad/Ttf18fik49YDxHctANh8xGAALpMfncmGbep71xspXKkdEZ - QKmMYI3sQOPxBcp3/eVfX/u4a3o3Xtttqo6GUMAoI6WVEyYB6Y90QojEgBidDJoYgYtQkqZAXXM8 - ONw8Errtrs+/4fd2ra7NhXETugowhmMbCKTCotE6IEJ50rGCMkg4LdxNSLsKACaZVY7OUOtVYueq - xbudfcoPPxdPuuaeXtGZyrINo4ExxvT749hyFGMrG5RBwaRqJQBMStOP9GwSYq+uVto1UxRjoVEM - 277nO+/6yAe7/WvcAYDOBlg07YMnsx8RoDAGiNQiWmJXuGLcYdxe0K7te8uf7fmFq4Lx6IX+fH30 - 6FqvnoOEk3uJRzRlZiu+xXhoVwd3/sbv9T9320L0PraVcSJeFRIRAVSInQqsACDPk2pBCMwKUlKQ - 3bplz7OfdciIt4VGbWNXlVaDTD+47DQQH47rZanitIerxhi/+oMe+RQ8jHFLWc0PVkYf/9SRL96y - deznbS2hEwYA1jQO6gCf+lpCILComKgMNJaOGr7sqU9BUUemIEIUjaOggYwVnFtB0EJQElIGwAAJ - w0ABITEKM6k+EFiEIMSkUNhG1W1f2nzdlc37Pz5poWQSALKxD39q/fkY1DAMIUYY5gVjek1323v+ - +opnPoMWLjOWGw1GRSRYNcYYCedM5Z+dxVR1eXn5uT/4/LvuupcYohI9iEyIAUhn6YgUKWTlNC69 - 4b1X1bZti6IAICLpRpZlWZZlWZZlWZZlWZZljz4PNd5e1/VwPOr1+0cGq+OmecELXrA6HK7/K8Mg - QjzdZ5sJYAZbhC5INMaEGAEww4c0WSFNdwcBRwajYXvvl+/ay8waJ8nhS4uLF+25YPv27Tt37Fha - 3LRr167zd+7atm3bwsJCVVXGmLnFOeecc84YI6ohxhCCiBy8++7RaHT06NEjR44cPHhw3759e/fu - PXDwgb1333PPgf0KMLG1NoQQVYk4KgSqQNQ0Ugsf0xw+A8U0rFuYWAmqekx698zpKz8REPT83Tt+ - 7j/+7Pd+17OcMRAdrKyW1tbONqOxcw4AMzddq+kiEJzg2oYc5p1lWZZlWZZlWZZlWZZlWZZlWZZl - WZZlWZY451Q1xmit7bquKIoYY1pua35+fm1tzTknIjHGU8jtBmCMEZFrrnn8m970pqIoRIIxRmOI - UeqqAhBjTHnhhXNd26Yc8Ty5I8uyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuy7NHnDCc/TuJkpokZ - RPDBMlQCTLpHUk4Spo+Jods0v7i2//65yy9FF0ZNu21x+8Ff/RVy2C1S+64g6tqBNQAFV1oMWnCB - 8QiMePsXD9x2a+U7Ho62GaYoooiAWBNdqcQNaG77rh17dmHXdlQlmGAd2IhqcFXLEKVoDJdG2DTR - H1S59lWvQl2hMnAmwAqcMkoCIhBRFE4BL1oYQ4gCUSJDZQAMGUzeEQwmEdzH5XYLIGAAZkNgGOmJ - 0qdnk/hoPe2Jjrk//QgAFBbHLjmFadB1yhmjad6YnvB5KCWIM0OAaRBNjGQNW4jCGoIaUeGyuORl - Lz/6xjeOjy5badzmTf0du8fFrb7pzIZwu5RjN03vjrPPOmXdTXYNAx9RqFag0X33z11+aVRvKt7k - +N5Dh2ArikIUQBZm43vjlKI5Se5+GEPvjo/kfvAsy/XgNRFiBQxPQqhSDLdzTkl88M4yEDEOWyPs - JKoqQtQPxxwVliZpQBteQlOpHrdJBCEoEInF0FjEG653btt54cXYsQO9OTCj6CFGiZGMlaIMzB3g - iSKc75d+YfHyV/wkagcmVJUSCVKUoIBhU3UhAMMAbOEjDAFMzACQc7uzLMtO2WQpRmJZz0hODTTz - NP9WEFUFEsiHMx6FC2AWIq4MqJAIgZU4dd8IfhKrBtoQCrhxu1IH4KGkvwoJK0///xUez4BsCCWW - aWwhlGZxkoxpyi5pSM0iI8V8Tv7atcEw1YVzMXAz2sxFc+uX/uEtf7j4tx/aeWSlgC2NaYeD0hhI - LGbhnRvLRacvMv2kNm7Ghr7BMdlj0/tVWECIBABDZw726sf9wL+mZ3/3nYxgemVRj1bW5vpViM2s - oWcFAUZAQGBNxU66vnyngM94B2jy1oTBpALiyCJgB/Zrg13Otl+8/einb7mqDSVUMOk8kkrKKwVJ - itxLgdCTEqWgMLSwUOzajS2bx1Xhieq6ViHftgTvbKEiUDPtqTL0HEvhm9JUF0x+YyEhVQJIpZVQ - 9PrYs6faviMsL3ejrmZWOenou2l6d/qSTfdtQYioCMQcpTNqSsU22E++938+7jueydu3NqrKJCqh - 6+p+hVamTyGT4OGHKThx8roKZo2z44smtlw6K+zYaQzVwsJyG4V11xOf8PjnP+/2//LnDxxa215X - 7XjQI9K4XsFs2GYBprsfjn8npCe+0Cpo52ztu0ahzAYSioA9pd532+0f//evftIvvOaCq6685+jy - 0tJiGA1TxHlM9cmGqmzjBhwfFLpxG457beUTFfgpRiHOSuRENfNsIyVVhrLh/lRrTQ7zlXVWW043 - XsGRYCBQIihBezEs+VAN2/v+85sPvPf9F7dNHUdjA7YQYe+9QgoygBGNDERGR2g2VXvn3JN/9N90 - C712sT8cNXVVRnimtEuLJWZmVfEhoDCkMKmkCZFPPUV5Vj8bnRyCCola51XqsvTewxljimETta4P - m/nLn/u8228/9MBNn1kcdn1FWXNsU5mITo++Z0Wz0ca2ftrWyKSACakaQNQ+EJvxzqL+xzf//jfu - fvViv9+wURJVJgSeDDxMWgpRnu43J2ynJpvwlVvw0+iEC2Uft++l3UkJCgkskzaRoODUA1GCkLRr - KxcXpbn3wK1v+K1t9+xfbIcmBDJgRtN2FRdGtVNflKZpYzHdhadDVTiuiWFNX8n1tl6I2qJ3aG5u - 93d8q33GPz/c761WzgVLXgpbKiGG6Ixt23HPVmmrSUHKrOkdzcZjziJquPO+cK5rPRf10KO367xd - T3/a6v/4y4W2tXLsbkCTrPOv2gtVgoDT40kRtO25uTaOB+M438doiO2l3vqxm5ff9Z7zvv/ZD0S/ - 0obe/IJvveFjOpaTIQ4SQEhhdLI9aYDlBPvJbAOmN+TYKvFU9mqS497vbD+Z1XuMycDmZIecvC5P - X1RS2z35NVXsCoNoum4bSTHuvvC7f4Cbbr6o6yg0lhGjJ4AJZOCAGNHE8Zzrd7E79hpfAbg1drVX - 7XnqU7BlqVuY9wRrLNh631pyJ/+GzyHT3SMloAOAkqSjkulf2ch0z1dwDDbCkCqUGarT9m7aj/o6 - q810wGdCcFE8CZgUs7aThUQn8cwgIB06nVXXe6fyZKx/ZVitTL/IrEIAq6QOm5AQx9KHcrX9u//v - rVeUvd54NYROIETTj2MyfD1BRGxt13VRYBnB2NVeVd74hFHwvaVto2ZMRM66LgYyGkXM2VU8D5fU - 9eJUzzDTeo2d6i6ddNS5rKvltZWyLC540pOOfPjjQlKQVQkP7l2eylYoGMrGQKIAKgSNLgzcETry - sY9Wmxfnt+/oImJQYkegGI/vwOqxFfjGL1faK/Qsa0+zR5oHHx8xwJ/59Gdf/OIXr6yMrGUfxFoL - cAiBDM+CXlSVmdPaH6dra1QVgHOOiEII1p7hM7ZZlmVZlmVZlmVZlmVZlp15G88wzoboN8712kgJ - TdeyteO2HQ3Hz/n+/+t//a/bAiaz4wGoTE5XpcWI5eQnBT0kEehktDNlYzMjCkAghqZMbIJxRkIc - +8CEEKfn0AmHV1eWP3cLPncLYTKvfzaPJl0tkaa8ODJqFAFeJd1pJ/PJJmfQFWBMY8LZMFGIIfgO - 2BDMDaTR2jSmysaoqsbIgIIZKrOSeahzHcdOwj9Z6VnT+Ykdm7f8yEte/NIfeUldVhqjM9YYiuQd - mXEznOvNN/+bvTuPuy0r6wP/e5611t77nHe8861btyYooKCKQQSjSRqNZNBgt+lWY39ITFSMCIVg - IUO34idCIuJAEuOAxDHaKkbbVjpOQVAMmnYCFSiKGiio4datO7/DOWfvvdbzPP3H2ue8771VBdyi - bnGl1vcD7z113vOes9c+a6+91h7WL/bOOe9923fe+8fyKyuKoiiKoiiKoiiKoiiKoiiKoiiKoiiK - ovhcFGMMITBzSqmqKgCqysyqurW15X0VYw/AOWdmn/bFGDsvE8GhQwf+/X9468GDB5hMjFMfnXMg - 6rrOzHI0uJnVdd113SUpZFEURVEURVEURVEURVEURVEURVEURVEURVEURXEZuPQTwRsCcvSTR58A - qLTBIe4Km8lhfvN4SJBId+YcTXrAjasGadusG3EtMVkUGFcugASxhygmU9z34NZ9H5+dPR1it4ro - 1RyDRAF4F4RoC5RGS/uuf/LK1VdieRkOqGvkCffZASElhRu3kmRp5ZzDpuMv+PZXwbsjRKgaOIYj - AA7sgCF0PD9gEBCYMM9WrsGL6/XyJE3kL5jvibFrFieeR03szBZFD505ahGvxbJrZiuXLw1UzlNK - RZEQOgMSyOCqeZBk27Wj0ACIpAILgJuvbAEoF+r8CLicxTn/zPzksNg0j7ZiYrBHMz4BusJTIw6d - A5b2X/WkjTtu8yIkqIw9u16jEiqP1MPNE8QBsDkG2FTYkoIdRFX7iZ46hTNn3IE1EfPS7XXNX7z5 - rZ//hjdwramCDzvrS8E8pNmAKPEiKeaS2YmAWqyY3c9eOLEXo48ILpHMgArOAZas9qTgBAGS8+oQ - Efs73/pDV3SpIgEltC1m/bkHH1yCDqlhCiYCk6qpGTErk6kExxKVc/4coOx6dn3lZ46vfPrT6fAV - 2LsXziMZ6hH6iCRQgALqpS3pt4xkz/oDfftFr3sdKg/v4D0cgYb090VIJDHvxJXT8CM4AHAlTqIo - LmN56kLnXI6uJLrMAr4KAAAZuxxFTZIY0RmAoMrqSSywtyQGUm9EBmh75vT40UaxfnrLswjH0hy+ - aGaJFRCoscKZCWCkQlwJs+7kWOsQLJqjFhdLqVjsN214fv7TFDr/uSsE0YD5Lh6AESshx4cD6swW - aV1qEFLAw9gZeQHDksQwckrcJoEDsbdE+R6JxldsXZhN1pDsD//4Iz/zjpW7Pna4nSyrkUW26MAm - AsDRUKwhEnIIhlQDC0OJhwi6oYQMIr4gvXv+H0SIKnm/KQIJkGZ8XxVuvPmb6IVfsrm0NouxUc9d - GnkmSfkzlXSRApiYAJezVP2u9Qm4ebzopcNRbFzVPqa+m1AD82hV6jrYdlyP1sz6P3nHr+yPXegm - xCCXZ3FlByGTHGnJBlWYDPOg9hqJILU/Rnz1F78QoUrMACgpAUyectIlQBAeItSdkCMGoE+wZmwI - rQ+qMDYEgwF9/tZDCLMYYXrN33vhXbfdfsiLRrnowL153d6pVja0A0sES3lCYDJNAFam3VOPndj8 - pf+y+sqX0mi5i1PAjUYjTcJwBohLNs/9DXmwcon3PLnNGVotcwwkZkDZAdoh5wWSk95q4i5Ux/de - efjL/9f9t96/+bvvrieTdeao6nNQsWNG6FPvHADrBU2AiMDAZkOO70MKkwOhF2NEAlRm6sBgVWWg - BmSWDjjG/cfe/8Y3PfeWl13zvGcf3+691RoCUUoSm3pZ1bppCqH23kdJec5lguZI0dx4GkHmw81F - Wz1PL2bY8LucJarEgDJwUendSvPQ3J1nzmsGh8+aT7LMkJwHbTnD2hjGbHDwIlEN5BikZkamYOdA - ImJECubgtW8rj9XJpDl25thP/MzWu997vcSGopGNmLUDBME3lmKyyADXLJ1KwGYI9y6tPP/13yo3 - XXtq5GeqI+e4F3NIw/IzG0w0OTDP289hBQ25v4+iZuadz/zYxRDZy4CSwPIzvkpAMkJS5UhraTk8 - +dWv/JPvflO6886qm+l2tz5iaRUO5ND1CEDVVH0bHTlY2r2qH4qgtTDMOTDBifYMCmT17Myej00+ - +sP/8WlvesPy+p5ZCBMRrzATMJwnzDSEOqosaoMNwzoF4FTzNyu02IPnIPZL2d8AnPKibue93hBP - mw9HGFNe48ZCEIJxgmotlHNfXV3PJALmTQ8Jwn0nPvYjP8G33bXWTV1MoUIUiKAimPYAAqC9VIv9 - O6AwYclfKQOqcA4qCL4WTQAzaR6P91V1X+32vuALD7z067dWx1M0dQowA1TyDOnJAhA8k/XJMUOH - hGm4ef7u5ZUzaoAGqBoLPJEQzWp/NtZXfvXX/vV73rc1Ob4KIU3sgkg0wDOSAQ93wIXsvInOFRwd - KbEzhYEYKU0d0DC6Ds4BKV0R0r0/97N7n3J039++YcPXJgrjqnL9dMJKjhyULDgJ6NCDxKuGpN4A - 80LaO6+Ua68qhlTvefryTh022gnSzjH2FxWuTFCYLXqww5vPD2AuiqswhpKZUzWCEBtYiAE4gxJU - wN6BTTQSEatY6kfOrVBfnTl31/f9iL7vT65nQ2xrB9XhiJwZWQ9PooYRI8kEDlHgKc/Lj2jwod7y - /oED+679qq9OYaVLzjx8TX3fM39GU89/zsuVBMaAg7FTBaz3amQSe++9wRM5UgWROQE0bmysMmsf - KdeHoeMxvOF5IdMXv+aNIAxm2Nb2ilmrhuA66dl7UiKDVwZUfHQK3ztniA4X3+e7VJSgxJTbVCgZ - k7ETFiIJvktt452zxKLeu5YtSRxXWD67jXf94Z47Hxh1KgLnycTYwJZPFShDCfMsCiWQCcMMjnlb - ePy0p+CaI30T2r6rjZ2CJRG5KauxDT2gJwY2aO72ADofmHuDqbJBGB04N1w5+t2ADuJGo9Marvj8 - Lzg7HunGtgMbaHdNno8R5uPdi1okgogYWNgBIEgwLMXJR3/557/wf/oCG4fJ0movlcJQU9+1Nfyu - fqYq5UoFtsUxAVUCQ50aGRJrSe8udnloZZgfoVKt67rve1WtqiqlBBrOpZFzTH42m3lfAe63f/t3 - Xv3q15gZiGJSACmlnC1jMn9Xs/yenzK3m4ZTaDAz731KKT9p84CZqqr6vmdmkeHdmRlAye0uiqIo - iqIoiqIoiqIoir9ZiExVc6S0Kjw7IpaYyMDM+bifkgmZmjHBG+UcbjMDyFUBQBd7di5U1e//wR++ - 4lWvPHn6VL58Ry44Nk+w+bH7x878GhiC6XBVXH7/3VcKpCj5Nbsv2LLzz4gJHp4ZoonpcIYi/5Rd - jy/8qY/0TrBda0STYJEOvrPUD7Ngu+X7CwiUp3UeTq2ADMbEavkqGCIi3VX+wJTD0xkYV+EVL7/5 - lS+/OQQfQvCAEmmKABFRVPFV06UIJjOLMTpik3wt3KM5h1gURVEURVEURVEURVEURVEURVEURVEU - RfG5Z3GfHRHlxG4AOTl79z13VVUxc75/MKV8QY0ufvtwhjsNfQhJepjlW/KJoYp9e1Z+6iffftXR - K7wjSwlmDqRRmZmYCRBFfhBTYufKhR5FURRFURRFURRFURRFUXy2EJGqEhERqRoR5XsVi6IoiqIo - iqIoiqIoisfKJZ4Lfp5lMiQVgUFEJmaK3cEPtDNtEpOlfrs/eRpgVA1g1s9GnuPmRqhGaGpEQUqI - M5x6YPuB++L9J0Pbc5dWTT0MIDEo+z5g2gux23/t1Qef9nTsWcZohBTNEdVVN+tArhovT/vego/j - 6tSsCwcPXvvSlx4OHqMKMIwazC/dy86b8GrXcaqdZQewK9r7oa+44I8vZgYtxoWxO2pQokWqN4J3 - kESOaJ6vbQp1aOoGAk0xVcwgWuQq5ddc8L60+IGHLeSpA0slAAAgAElEQVT5C0WoQr+2GmdbBEZn - aJZxzTVnbv2rfRUqAAmSo2Jp13RYQ8TRIsJ8SOokgnMwMZlMcM+9OLjPQ+FsRLY3GmY9Rg2AJOK9 - y1leCihyrnpemkuYKzZf9gvTu20R237B6zIfpJ+5ZtSAAYhiTASFIxgFQWynm8suYDJdT91Y+1Za - 6jsXRrj7zrHzgXpJkQAXnBnnFDMmA5GaiCFGrb3vgZ55EqNrmvUrjq5ccQjXXAViVMGALqVmvIyY - UPvkwlSRfNjUOB3Vz3jtt0PStaurQ263Y5l/6QyQzWvSBYV6xCeKoiiKR4mMcoJmDpPkeSZrjvZh - IsnBlpKQUtw4d0mjNAfDrs2cgsAKnSfCQog0J2gSyBwrjNhYF8s0hOEtYkFz6OEi2c6AYT5KXRT7 - gg/PGauWe485EXyeIZq7jWRQUhjPP0gJMLAywdRVQSzN+h7k67qOSU1seTSy2FftZLy9uTydnfz1 - d370V37tydtpvLGxROYeskrJ8PD3UtD5r8yl27XLtCHQdig+AFENngFNCX653nDu7hCe95KX+C99 - wfbB/afapHChCqSq2qt68E7HL2dMCu30ys779i99DqgSXPBJVLquqevk1cicN22nK360D2Tv/eP2 - z/5qn6GCiUIA7FraHKu8WJkKECg4b0gT07Pra1c/51mtJ1lkA89LOfxL84RcY2BIKLyo3M3PATlt - NIfBCwJBQQyIcy6lBGJZatzTn3Z2ebxHdJzkM4jqPK9uL3Lydj/ZJLuG0l3vevfqV72IAqqlJoId - eYl9TkeW+eavgFcmgz7M6OiS4GGm3F3psQs2XGUgzFtVqFfWDv+rl8RzG5O/+EAzmQRGnzQQ2qQO - XXCBnakmJnQRftdb2kPGcbarQaD5jMY55FChRqzGTMqGscneNtk99773u970rG/5xsP/81fMmLeY - J4RQL7VtV/l6dXm5iyKWBMIEns+SbMQ5DvaCryNvHgwFmExt+FyAcoa32EPHKZ+K0/yJ5yVbL9K7 - 5yXVRfs8LIYxLfZceWPPUdBMxMhNJIFARmTBezL17KfTyaE6uGMPLs1mH/6BH/Qf+sjerc2xDdM0 - ewUUla+6NK3Ie2aQSqcAYj26bzz6Wy97aXzWjffXLlYuxhgUDNsdmcrzYxGLcNPdpfhMGpKdv503 - wouWmY2xa9tJrj7t3Nrelb/1xv/zj1/7f7gHTlzjQre9Xdc07cwrlkZAj67t63p51m3XjzDEWgzh - eTjGovkhoyYWWAzRjvj0wIdvve3H/tMNr3g5r1HnPMgbHAyxS6TwpIsd9FxebF08SUMC6ONk8W3t - zu0GwKZsTFCeZ6XnRSICO0fG3lUCbG1v16vjENt9XT8+s3HX235q671/dM2sHbPBY9bn7ZeVlM/r - fmScC2u2yGIHM0RQ1XXbdRWYPVlCBGbGW2vreMbTr/yWb5ysjLaWl7vevGMzwU4XZSgKAKP5hOLG - Fx5yuWxoDqY2YwGxM7boaXtptKdfvvGr/rcPvf2nml5CUhFxLojEh72NVcHIqxfnHy45H0MxdOdg - DKdatZOrXfjwD771xh/6t1deffSkm1jdnDl3bt/aKjqQsg88k2iJzZL3tGjhcx1ehCYPX90nbeuG - DsajSlMGSM0wP96n81UHYCj0/HDnYs8wbGWkbCBjEEIIUfq+78ajCimi7/eFenk65ePHPvRjb3fv - +8BVk5mmNm/+zkNTLg4DIGNnkoNsTcE5t5sRFWE0Pt3Lg0248cVfgysOnqmCVbUDSdeSGT9eO9/P - DWQMkvwNV94RKArgIESeyBshJsxainHRwSeiTzbx/6PiDDrdZonsHIhy8ivlipR378O+xhku7BJ8 - 1uU2VgmE3WMobmPfjEeaZpDU1GHWxZnIvvUlOXk/bbR3/tp/PdLqmEiBWUqjBtQt3lFhtquYppbI - wRSEqqWw/7nPwcpo5ll3Bgj5e8xLo8AlHyhdPhY9nF29oHk3Ekh83suUACJxrncO4+V9T3nS9NSp - JR0y7I2Gth009Doe3eFfBgQE48XweZR075mz6b/+5vjr/jlNtqrlfeLcbLZVe686P60CzQOK3XKG - dz4UYBf2ZIrik4kxAiCi+SQdcM6lvh+PxxtbW3U18r5yLvzsz/3CW97yFlMyy0077TQ+F9/a56zu - HM4NIKVERHnwHkKIMTJz3/chhO3t7TyZyGNb6qIoiqIoiqIoiqIoiqIoHjdmVtU+JmXmlFLKZ5qI - vHNmJiICMzIjKBNAAmMiXwVmbvt+1rXsHFfh1Omz/+bN3/Pr7/yNjcm2DqdBSS/D08yPfIj+kyyr - ffo/H4sS71zP85BfVfWo6zrARAWAY5dfLirOOQcXUzRYnh46BBejVG64MWF9eekb/uXXv+xfffO+ - 9T0wdc5xDjNXg9kjXP+4a6kuvy+zKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIri8ZdS8t53XVfXNYAQ - Qr7Mxnuf77xzziHfxz1MWnJxU6SGqop97yufYkSe3ECxtjb+sbf9yLOefZP0XTedEJH33mAhhE+a - BV4URVEURVEURVEURVEURVEURVEURVEURVEURVF8DrrE0d0EkMJBWE0VrJTzZgxEujtrkOYxaMxo - 2LbPnMTGBtbQEjrSpabyzRgg9D1On7F779t64J64ecr3qTZA4A3EEPLJQsfUsR9fcWj/0aP0tCej - nwJAYMQWS8vgMOlTU62nlNro46g5bukE+he8+TuhESs1nAMcyIsok6PLKQ1iiD8hLKJLbJEUOSR4 - OXTR15h26mo2xnTWL48qABxCBUSJDAdyAIPOnyeKPtm8UY+wQIxAN33TNxx/y/fDmW5PWRV71vYe - PYLj9weFAWJqRMRQMbdY1If7IDMQ5bQ9OXfffevPvpGJ4WzatsurK2gjYvLeRx4i6XI01K7UtJwT - ecmjDvKyX/gxtKtcu5aKHDtegiraqR+Nh5fl1EqC41BXqzi3ffdb/+NeTGbajptlqMe5ydk7PhHa - mVMJDK2oS6oqHlyBPLFSAoEYZlXr6rPSrxy96vDRK3H4IMYrYIdxY5tbxIECNY7QbkNSDKN7mNu9 - 663nz7/55agcTNGsgvxQJYTdEIiaczfBJZ+7KIricaE5hwrKZpWAhvBUFYYZKngCQYlEkWJ75ox7 - PJLajKHOwAozKHM0FeLkGGBhTQyv8MJB0XkRUsyzDI2GNNNhp7krMTGnzLkhcnsIQNR5UCgv4jN3 - YhHVACFmIAi8gsFKmhhCrEwKOAOZskFJkwdBK+aUuFlaN3WzrbYOVdNU3da5ZYoH+xnuuvu2n/wZ - ef8Hn5ms29xYW1nS7cmnv17I4AjOhvzJ3J/KyZyEmPNu8zNqLkftVk5SUk9Qcg/O+PgV+5/6iq/3 - /+iFOlpL5upEPjgl66gzZ+Rhpo9DJvenTRUgx1ZBmmprOuHgV6qKrfXtOWxufvxXfuNJ5/oRJTU4 - D0ngeTzbIviNz6sA6uAB7pyPTzqCp187DbuivgE8cvfjiRbaDQytgRE6DwW8iDMCYEQGJDIinQVe - ftp18cpD7ayTrnfQSzflKxukj2Fz6853vOP617wqxeqsIXjH5gi9MCznq5ICEGa2yyta0plau90H - 6g8fvuqV33brG96gd9xxGOxSz6EewUhFJbaCANSN79uUo9NpnmadLaqi7qqsQz23oZUAcQ5KDAoA - 0yTNUnNQyU5sn37br8YP3nvw279FnE3ccpv8yFXWxbbruKGeUqLoGTANwsosQGKfmMl4lNSZypCS - rkYgA0GcgiFkGKYN5mHZVIk/7faEcmD2PBZRhiIPzfvuoQEBDmoGYQc4r3A6bOZGMNJEJqwgoiEl - Uo1AhCS9CpZGq91s0jCtbc/wseN//T1vrh68f62dLgVHBp+ECDDtDYIIoEeqHNoeowBL1Umrr/jq - f4Ive2G7tOKqZqvvAPaNlz4qXUZxtcI6S5ur6810ZS00+Nvf+Zr/7/v+fXPr3VeSb7tUeXjP1mlS - VAD6WeVIzHI+JXbP3TxvRRd7SAMLJwU752KMDbkm8HYX1ze2T/zmu+LefSv/4qvOLi3Fam8vPFZu - Kp7QxoxacznwFQQlUx5aXYsMAE7nYcPIFeySryJlAFBSoSFYlAGv5hVkaoAwhBa7BvXGbdtytaRA - nPYroxGTjGaT8emNu3/k7e273/t0dlHaJPC1r1IiG0LBhYdY+kVI9zxRHk7h5uN79r7VXruuaRB7 - jQke0Gp0rhqfO3LVc77nOzfXRpOqUUfBKSSBH+vk3scRQ9XMERF5M4YZSOFpulKPX/T39E/+aPL+ - O1cIPWYTiavjejbrAoEt10xGrjxEMNYhpnrnnYMMWa1Da2kMwObdA3XmQTLZXDmGj3/fj1/7ulcd - uu7QvZjsOby+eXarUVryTYyRwWPzDYJFU3gF+qGfqcj/MzYMM6LnYyxZjkufLyJo/uCiqrQSlPz8 - 7wfzEHmQDeHEu/u6RiykRkrQamgS2QyiUSWuLo+6ybaP6eB4FacmfM+Dd/3o292f/umT/NgpOsCN - qlnbW0JFYChscdCOyJihdS6dQoDgqzOz2eb6vtXn3rT6j7/05Ko/5YQqWWqFY/RVlewy2vlehtig - UAAG1qFmKgMwDRRE1JmasXiQ6jgpNrakj2o7lcge062fDN7IGaabW0squSfnQF7AQ2WHAl4ZQLyM - dnQDZ8M4LjqnxEJwSok0dyeitcQqrFuSXB1G1ti5yZUUTvzmr08/8bErJJp1zoEUqnB0XpDCztgK - ZgaXt3dzqaqu+DvPO7vkI7MB0SE5darCeShKT6jc7ovFpmZkZupJRvX+5z3/jr/4wJFOx0SL1nIY - 1wME8KNK787t5NBsEkCoBOvb8cO/+a5nf8mX7nnStVvo+0QNewaETfImSZo3M1Z4W5z+YMzrf+dh - pKz8BBwYFo/s4Xd5zrnxeExEMcaUEgARca6qmmZja2tlZaWd9cz+jW9848/+3C8BeJh2Y9GHuBg5 - orvveyJaWlra3t42s7wAOUpcVQHkYO+iKIqiKIqiKIqiKIqiKP5G8963s65PMl5erkdV13W9ivcu - KRmGa3eIHZiYzMwimQ9+0rdd1y2vrlaj+n1//D/+n1//9Xf8yn85t7WlwGg8nk6nVVObmeWjiE+k - 4+EPPR/xyUt/seum6zoQMcPMVDXpcHjZs4spDo89p6QMSBQGTHV9z9orXvbyl/zLbxg3zbgZQVQl - ASAbzhgSEV1Wt5oURVEURVEURVEURVEURVEURVEURVEURVFcrrz3Mca6rs1sMpksLy/nIG1VJaKq - qjDP7c6p3inlv/t0b5yPfd+MRu1sEkJIKRoQHL7/Ld/3/Oc/fzabBaYQQr7Yo+97MytXfRRFURRF - URRFURRFURRFURRFURRFURRFURRFUTzRXOLobgDzxK9ExkyOiYiGyIWHXg9HEIULqGY9Tp3F+nqz - 1DQpYtKjj+19953++P1pY2PUzqpuOjZpGMmAACNMFR1zWF3de+QaHL4Se9ZRO1RVX1E1ahCjdW3f - 9pOl8ZkU9+1ZaVUnJte/+pb1hm9gxahGvWQIPYgAB8/+8gstHkKPQKRu/oQRFFBAo9aO4AMA51kB - MSyPKjIYAIVz8C5AANHFN88YvoccA3NxUc0MBIfxeFY1mxubNVHtGd6PDx+ePPAAiTKRmTE5UlNN - D5eakrOM4BxUoQLPqMmd3drCiQdx+JARRqPl1Pa3ve3HbviO10FS8L6PVrmHLiZ/irDHx8R8ri+i - nf8antv1uTp/0gHdrGua2tdjKOaJUoDCxQQSbE0+/n1vPaKmfTtqArqINuGOu3jaeslBZmh78xUg - YAGIEqFTdA7JsRuv7DlyzdKhA7jiEIJDCJaM6mZ6bnO8Z136tD2bjleXu9G4la5fXXnyt70GdQ3P - CAxHxi5HlTkwjCGADSkVTJdTymJRFMXnNCPVIYZQcvDhkGtN6shERZhFyJmvhNH3sr3Jl3piTiOA - FKwAgQFzChgrsxDL0JVLAPOQxZWGgEZAd6eNAgCUmG2IS5TzwpDyy5ltJ2fWzV+Zi6iUl0DZ4GyI - hSNiJYjtDs1VJTWCsgGYdJ3nILPkyVbqEfedn24dgdDm2bPv+b0P/l+/uO/EmeuU3WS6FrC1NVm+ - yNVDOz2A3HvKvQA1hs4TRtUYxoA3TgnSA+LrjWr84J59n3/LzfhHL7jPaepT8MFXHiSTNFW2ENjM - LnFv5qKpJheCBderSKIqVNbFcd/t7aeb7/5v8aO3XVc3cTYDCGTMO2HtRjAiJWZVI6jBe4ekIomJ - U2jWn/UMjELnSrTeJ5PXpBCU1KsaWCmHS0pwTkw3pVteGa3edGP78WNJzeESpmUTUBGtqdzzx/8D - f/GC9S/6O/2o3jy1cejg/lm7CYMOaX/GIAPrELZ3ufQryWCiUtXHFYeOHHzG619/61veLHfedXRU - b866MYMNDFR5K9ddf7Wr8797+3yktpiMaXjt8JKmou1Juz4aXeWq/vTW8Xf999vvvevvvuaWpafd - eCpoqHzreGapVyjJ0mgU2xljaFKUIawGHsIr5x89hCuTOiOwwdTlnQjBoDAYMXBxCZ9DSR9mLKC7 - SoOcxCtEAJOxy9Hd86USQiKACVAzwMxMlYgN46qSLmLz3EHipSSz3/+j23/ox/fcf2x/bSyqYj3Q - MACYonGIKfmAZOgVjjCjcHK0tPbFL9j/v7/4dDPaFAtVFfvZuA7JjC67xkTr2k9kNiWajZrDT3vK - F77y5r984/f7k6f2+yCTWZt0edRg1pJzMIEAnIsw1LJ5SCwDQ0z7gBRgkBjMgN6kpkAa9zOP29nt - v/iLV+2prv5fvvIYtRqWDH57Ol3ev3p243Tla4LYPJtzWMqd3dpia9Uc23lJczENkEUAMykbg8DK - ZJpjtnM+vTJ0iNRFFGvqscIRLFS8wmbHj693/bGf+Omz737PDUZu4zQA9jzrUkMOJgwIQwFlsMEh - V15alHexqRqoi6lm73yKLThAHFIz/ngLesbTn/Odr9teXj45qpRY+n41NCmlv+nTpLucX84+d94M - pqSTwLRSf97Lv/nPXvYdHu3e0biOk1PTbq3x6NMitzsfFGGDLtK787MGMjjorjrGNqxoJlMAxjDo - CodG7diff/Duf/fD133va9fJn5HIoV6qx9PNDefCaFRvntteHi1HZRBFhhHIjKHOVOf5sovtYv4p - 849cJM7azp70or4yVrbzM+xtXsb8c3eRjZCY5/VJnCnrfKk8UwjSxr1+VE+3xifO2Ufu+u8//ONX - fPS263px7YZoP/aVRlFFFWCa+5dqWFRXgjE57lKqA9hcShLr5TN71z7/xV+bVpdOkkhTW+qionJM - PmjfM19uTeLlhJSHNa0Mzo0umYGgqhD17Iy5d6qSfFI9dYZV5lHqZLuCux+zXrtaAG+dPXsI5mGs - QgZvYGEA+b5yp7mmAQDbpW2iLxYrwAyDEig34KwGdQ5REsjqUElMLDry9XgWcfz4Hb/1O0925C2q - dZ5ROcSIfLiX5+9jebuj4ZAqGZNZzxz2HcA1V214TiADBCBAXN5gia1U/k+BVGAGddEHd+MzzzSj - 9W57TJobUs3jfdLcFimYHzLG/9QMBHNDUwYyeGC5V3f/qft+63eOvuJl0+mmVvVSs9p1HVxO7FYj - CBsZGLRoZjFvaZU5MRRckV5cg148IXVdl+fvyHN2hBCY2cxgLvi6nfWquPnmm3/v997rPavkyrqD - aDir9ijk2Tqcc9vb20TUNE3btnk6DxHJwd4xxjylyGde0qIoiqIoiqIoiqIoiqIoPlvatjXCngMH - zp4946taCFGSMDN5IhA5IiLHpppEo8Sqqo6dOnH8xInbb7/9Pe/9g/e973333veALS44J0xnU3jX - 991nu2Sfi2j4v6jkJ5g5n/gTFSYmNoiRWu2diJjhphtvuOWVr3rRi17k2VXsulnbTWcAgndmBoOZ - Ee/kdu86hVgURVEURVEURVEURVEURVEURVEURVEURVE8vHyJhYgsLy+bWdu2TdMMd/8BRLS8vLy9 - vR1jBABc5C3DRG07ARBjrGrue/3e733zP/iHL1TVruvGqysiIiJm5pzLl4481uUriqIoiqIoiqIo - iqIoiqIoiqIoiqIoiqIoiqIoLmuPQ3S3AiokQjCGczl5AYsER6Mh5oQMQhAGhEYCPHgSRw6i3caJ - Mzh+7Oy991fg9ajSdTBpPDNplywxekMajZqDBw9efRQHj2A0BjxAWFvb3DhbL43bpLGNK8t7+yjb - o6WtldXrX/UKBEJgEMM7MAMeych5TuJDSAYQNGnwl1/ahyksr1gQQYAIREKoGCmBSVLvfCPQwEwK - jcLBwZB6845gQ1BSjuLMiVXI8RjAxV2qSIASfHCHjmxM232179vtii085Xr34Y9qv+0BT2zKRpZs - kec5/9CHlgwgoorIp7T1iXtWDh+gqunbtnZuRQQxInlEq+qwCE9yOUMup0R9lmIud8fa2a4nHQBB - 09RRYYbKA456lYoVsUc/u/uH/9P66Y2r2162NutxQJ+ghvvv2fzEx0MSQB0DjNpgOV6btVdMhWW8 - Pr76qvFVV+LoFWDAVzBFFDBF6Xyk8cpa2yU/WulCfZL9O97922/4/d8FO9RjsIcmhDoBAgBOgQrM - BNrJ9VMaUv4uv/pfFEXxuchydGVuftUZ5eQ/dSZiKTHEOBg34rC5HWKXs64vGWZjmI+OO+ccEQsq - sFc1gyMIa2IFhBGFHQg5Vjj/sUOO1xzCDfOzknNYz/8YMoCUDDn/m2yesTa8TobXGEDKBgIbkRAr - mJQdCAYjA2CU8kSmzhTGq6v7JhtTx+QlWb99qArUTfDXH/z4f/6Fzb/8wJOkX3M0mchyAIJfUTG5 - yFspznu5Yp6uqovfDJ0qBXozo8Yp8QOdmxy58rnf9Vo8+6bjkTWMZcTCxiRR+s5i4ysPn2Y9u3Bx - y3OJsUMbW09eFSthJZDbbLfHEnHs+Md+9f++Bn0/2/JwTGxJeHe4rLFSDuxUAMpQCAADolHnq6ue - 9ZyTRIkZ87jNR8og/HTCkj9XKUOInQ0hzkrI0d2sKRBpkh50jtz+53zeiXf+HsCXeA0pEUZte81p - u+cXfvnqz/s8V1XLS0tdF4NCTdWRMgyk81hTtfNyTz+7DIxqPBEZj8M5VIeeecMzbnn1n373m/j4 - 8UMVe9WYQABXQfq+7VPlAjTmDONFKXgedDxs+I9QddmIFViEHydb8ehmsxBCn7YP9ntGt9/7odf9 - m2u/9p8e+PJ/0C3zbOSa9bWtth9hJOf62tXKGjlvOHCqAT0rk3mFU8DIkFtNUiHAhoGWMyNDSDBC - XoRPv0IIIbmdktLQOAPAPLJx3siRKgCwM81hiiAI2Ai9g+aGmo3USAUAwRkRweJksu59RV1zamPz - F9957zt/6/DZrX3eyWSTGAbkAasKDPAMb+gjXA0CJGFrZf3+G578Rd/+8rTnQOccBZp0fdUEx9RN - 2sbVl1ULwQCrOB/Odp1bWTnt6z3PvOE5//q1f/Q93z+7+9h1S+syOde3beVdTBIcGCCFAy1GYYqc - ZAkhJugiODNHIxNgoqOq6vqYehmzT9NZcNi3Ee9/28+turUjX/4P71nmVJEEnDm7sbw8tm7GgBrD - vBEpGGAYz9ttFQJYlcwoAlB1ly4AVUmN877enBEZ2HwOgBYApMKqedfKKf/jfdO22rBpakMFOndu - 7czk5Nt+8uzvvetpzL7f3gLWGgeBBw/58ganAKNnAKiE3byK530TG2iYCB0e3jnu+lSPEaeYemw2 - tTzr6Te99tXTK/efC3WoV7b6VknEsyT7rB2DeKyYGLnIABxbMhUlnTmK62ujG5pD//jvH/vN3/XT - 6UhpfWW0sTVdPS9aflExhvZeSGmnrViYx1sTAHM2BPH2gtEINttYhd/84K1/9T3f++xXf2t15dET - YtN2gop7MrGOR26WZuwqIc69hcrUGcPgcvOrvGiydFcytxJybzk3UAzVi2wbnMEJACx2AbooDumi - zc/53GxDV9jATnPjyYAGFSXens58s8QU3GS2NIH89u9+4Kd++uqNjUOkTmcTReNdD01RGu9VEuYf - Z4Sc4s1GZKQpMXLrz0LhtHNX/ZMX4Tk3PkjOnBv5atpNECoBm3KCq0DnH68qLpTTu3PyOpnmMYzC - lODIixqgTlGbtJsbDsO0+5cktxswkCM+e+4szDyMRJ3CEbMtvsXhXyU1Astj99mPBSMlY68+J5wb - 1KAg0RirupqJCGPVNf12azRdY3/8l3/t8OmzvLERAGKoQQ21H45UXkAoH4JGMAbxdqjWr38KuEoU - EjGMgymA6ACQU6ZHHlMUAzMmgloEN1dd2+87NNvYIiKByaIfOF+H9ihysndevzMOYIOHHvHhjt/4 - b0f/7pesPefJM1+l1A+TKcwPcfih7TUhsKnT3NKyEWLu2eZQ99K+FQu0qzLs6rgyc55Bg5m993kS - jRijqq6t7Tl+/Pg3fuM3fejW21xwMeamZ/hbIgIox648CjmZG0BKCYD3fjabATCzEEJKqe/7/Lht - 2xLdXRRFURRFURRFURRFURR/o9X1KKlsntt45bfd8tE7bifH3lVm1nXdcFsEEYCU+j7FLsWTJ0/O - 2j6fuFSACORIxEAAM4igAjWQ4xA0Roj8DT8hfXE++UHZC1aFPeyzn4KAiNmZmYmq5ut9MKpC10cT - EKBqo7r6yq/+yq978T973vOfG7t+XDWx6xTUNA0bUoxQW8R0569Y55ccFEVRFEVRFEVRFEVRFEVR - FEVRFEVRFEVRFJ9EjLGqKgD53rqU0mg0yr8iIiLy3m9vbwOo6zqlJPLp3FC9c19h8ByjeO9VU9/r - a197y9d8zdfM2sloNFpaGplZ3/feexGpqirfA1gURVEURVEURVEURVEURVEURVEURVEURVEURVE8 - oVz6qeHnyVIgJSJ4xzyfBH9XejcsxzkzOU6ChhA0P0gAACAASURBVAJOnMKHPzzb2sCZ02lrNgZc - Dj5jn5hmZoldDLRy4PDeg4dw9AjWl1E7EGAENvhqe2sjeU++3ui6at/+E7M2Lo9vuOVVV9cVKkZA - gpGvYQYlxwEGKILnrhUXHDvwZZjbnXMphjmfdh4yUBmQDH3rqoA4g/fQHt6zCUAg9jnvhQge4Fxc - DGHNhnmw9kVhBGASr/4X//zuH/nh9tTpvWt7JqePLXleOnCgn7QORgQzymFKSqA8N9V56V8KwAxE - cAyoqvWeaPv4AyuzmRI14xUVXTL98NvfduPNN2O8bLQ7t0YBIOe8PZ7JWTZkkNv5zy1wzqyi4bEL - gCgkVpzQTpHSB978A1ednO4ZLWE25fEItcMs4sFjGx/9oG8nZC0D5mgazdeIwExgjNWD+/dceR0O - H8XaOmondepSHJtDEgUxOayvbzm/rTpF1THd9PrvOFiFN/zr78LIwXkQgTj1ziPkxCEQOcAAAWhI - c1dYDv8DwGU6saIoiscDKZkNe3bKgZoKUlhkM4GpAeQYjAcfHCVxlza6O08nmROp1ch0nku92Cmw - aU4QNmYxCMPAO3sM23nMlDPwYLv2KYsYMDJmUwLn6EUyIK8Ispz/BhBgLnd/CLI7JJts11vlroWy - MUDnzp1bDvWo7ddSHyD461s//hvvvP/d77luu7u+7StTIozGJGKTaQrARQZlc14RBsNiGRd9gqFP - BSNVAhs6ximhc+PR0k3PvPHmm9MN191D1qyviyg5i9oDPSqwOhUzhUPA5ZQ9y1AGx9RzFWBsTNLH - vczj3rZ/9Teae+93UQFjomgpeGgCEWA5b5IAJhsmKGWC5qecb8FSN6tXXfNgNRa6fIp72TFwJM41 - zRsYooCCGeyIkSKJ1vVo1nUHr3/q/T5wqDR17lKuUdV+iclNZ6du/ci59/zBwS/7sm7v2rEz51Zr - ZmOGsRKIydgo53ZfRrl6SixGLriNydaepaX7pt3+pz/1C17/+vf/wA/yiQcOINa1S11E3zsmVjBz - MiBnRj5MJO0jMkAJzubDYYAIJvAEUFyqgspkz8bUbU0+8fM/p3/+vme+7CVXPPW6k8dP7N2zr21T - CGMRgQFmMGVKZPBqbArLYeG7lsN4HhM7/2yowc3HjBc3pM0htbzTSgM5/3IILydg2CksPp3ByjBT - QPNY3AgMJSMyIwMRMXshVCmuqy1vb+OeT7z/bT+9/Ke3XrU9HUGknwWAAwGWFGpgBxWkCAKaCm2P - bUJc33vs4IEveuN3bR7cuyWK0cicSGordtr2ta9Vdt9V99lHOXLasNQsTWMy5/yePXuf88znvfxb - 7vyxn73n3vuvXFnf3jpXAZ7QC6oAxPynw1aTR/BGBJiBFeC838lvDhgjxb52jUh05ESBhANjNBvb - f/0ffvQpiqu/8itOxr5dWYJfnm1vjh2zqRKUcjpqzreeR1mDjYewcDa9dKHdC0N/wzgXlnRYGGEY - LfbsuUuiRtCkIThpJyuw9WkXzm7d+t3/tvnwHU9KVsftmWHPsou9SESgiih3n0A61GQDKwh8Xg49 - 5rtsGHuiFNsATKegMc7Vzb0H933x678tPumqzfHybNbbtBsvN11H25PZcjNKqb/Uq+iSElXLHTWI - txwbT4IQHZ9wcvXX/dOTd9159i8/5Hp1faz9cKhgvup21dKhcdgVMTzvCcDygZN5YwIA6Dss1Whn - HQH72NvZsyff92d3jH7mKV//DatPvvbYbJpGlTremrV7ltZSF9UAqIMaIAw1BAUZnLEt+pkPOXCh - 8+YID58F/CmwwSHBOHeA1cCUSwoe4sABQHlYF7nk86RwBtSIheAM+5sxprN1Mbr3/jv+88+fec97 - nqEy6idRYYTVpdAmkU5qX0NFFA85Ejm08ExgQko2JTvt3eoXPP/gl3/Z5miUQvAE7pIXcQ2naKbi - nFdISS/+lHKXKdcTMhixMgkYBBEhWAVqiDfOnr2gA0Dzifh3BXl/JthgZLZ96jQkBTNScajIWGE7 - B88xVMLLsO9sBDI4BRHZsGkooFALxEasfZp1cX/dLLV9//6/PPb777t+2nEeYjpIAgjskVen7jri - jUXxCSymzk+q6pobb4xCjioxnr9O81dIw1D0Mup/Xo7YCCCFkMfy+sq11+sn7oYkIRiBlNw8YeIz - OdaeRwFkPOzECUxcp7R+6tyxX/ilIze9ftRPeueIglcoQYiNlOcdTZ43qvmtErMy2Ij0oU1+UTyM - PDFHDsmOMaaUmqZxLqSUbrvt9pd+87fce++9Irm1p90x3WaPouOwI8ZIRDk43DmXZxJRVSKazWYi - EkIAoKpN03ymhSyKoiiKoiiKoiiKoiiK4rMqpaSwpmk+8pGPfPCjH5X5+QlPmF8GCOy+oGz+AuZ8 - FgXIud1EBJiIqyrpE8y07y+jS8ceF4+muBd5woCYTVXz1Tjzv/aEvo8EBNDznve8f/biF7/oRS86 - cODAbDZB0lHdaEpQM2iSVIXAzDCj4V6I4VyhwczMXeT1OUVRFEVRFEVRFEVRFEVRFEVRFEVRFEVR - FE80IQQzU1XnXL7bru97ZvbeE1G+K9A5p6pd1z2K948x1nXougjCLbd860te8o19bOu6ns0mzrku - JmZummYymaiqqi5mQy2KoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiieIC59dHeOMzE4hScGMS2m - LJrLQTgMABQTmRF5329vdx/bSnHqIlZrwGAChYmzCVwcNSvXXLXv2idheR/qBsFBI1IPVngH9hJT - CIGapVMx9Qf2H33ZSw+OKgQHF+BdP20rHnsK+dPJIck8OhqoK5cXq+9j1VxkmOOlZvOAbWIMaVvw - QJ1As/jn/+5HeWvjua/5DniPfgMrY2iHqkK/BefhazUo+3R+opljwEAGf/HzRhmYlsfo4rG+3+N9 - ++DJpT1raFu+5mh/4gS1k9q8Qj0F9zDR4DtzbeU8IWZoAqABTLMZ7jvGT3uqkUF6bOu4ckgJsUt+ - tFhQhTnsxH19ViwKNq/YObxpqNSW4BiIHSYb8ISu/8vv/8GVaf/MesmHGtNtLHGabXjX4PSx0+// - o3R8a28AO5ijGbAFVM3y1LuVo1euXX0N9u4HhSF/jJMzjJgAQjVmpRNd0v3rt083X/Dd3wnHcBV8 - BTgww6GPZiZ1xd4zpuoqdhGooAbzkHkciwMcXVikoiiK4pKap6+pgYU8QIp8db9xDioEJ+dF4Y4d - a2L0n1GQ0KdgpL2PcJGtr9WTshmJ00hq7BRwxgRmMzYIAURCweZdPgAM3glotFwILKJec65bxgbM - X7z4dAUbVImN1IjJFAaGKsFYFboIFM9p32TsLPeRGGAjrUfSxMl+7fGxeyb/72/d8+7fd6dOPKup - UjcNnizBFGlqDCwtjdu2NdWLDMDzBiilvLOnIegcbKwEIxIyYTAQFLOAY6OVK7/iRUdf/DU49P+z - d+fxtlxXfeB/a629q+qcc6f37nt60hs0W8KybFmywTbGdtNg1IRO0kwfhhCGEMzsmNBMsQkkYD7g - OBBIaBRooOMwN+AOBJwABjyAjQewrcmWJVmzpTfd8Qy1h7X6j6pz39Vk68l64sre348+93N17rn3 - 1alTZ9euXXuv36G2WWrET1LIlignpkwexuxVkEiz8yzZAvZM2nEXPTsk58xFxRhhkNqjMLzrfXf8 - 8Z9eBkkhVY3TWe5qkXZZecZdXjuk6xYRGym07/Jlopnw4qFDaJa91S1CfniXo08cflpf6B6l86BQ - ZxDTLh6V53F1asmBHMi4keXzBksreWObic5pAV8mtGrsaSHmj/7Wb3/2Vc/ZNPDiYkhdOHsSwyA6 - BbU+Kysb9lR0qBcfY1waLW1ubwyrWo5ckEBXvebVt/yHnwv33H2sNmEEhasbnrVtbCGwPrUarH1i - 6w7aaeiA+fWIGiETlEyU+tBrIGc4hgBbATxMqvDJ9ovVa/dt/O2J937XB6772q89+BVfmk+tHa8H - M+dUPLr45EwgEJRNyZA5dQ2eUp9tTIZuJ4syd9nb4G4bgO4Xn+jOYUOXlMz2yA+g9e1b/wIBVgJM - jBxATk0MThObsakzZO7SN9kIjEqUSa2ZxIXtjfQ37771V35j5e7794fZkG0W8kIjaZbRmgKpq1Lt - nTHnGGqPENAIj1fP+8iRgy9/3Q/Njh093qbF4Wgym6UqNY4lJI1aDwczjYa8d9I62dhZFWdBNDVi - TO7UZNwsrQyvf+VVi8t/8vqfdCc2D/sh0pQcXEaMcLtT2HeFIRuRUj8W0EfId3nIBjUQm8ukOXly - 5mw6zeL0SI73/+wNl59cO/jV/+iETdPC/poHrJlgAJGBmOdXstYd5NqfdiGmXh0Z8rltTqDEADOh - z9hmxcOOXogxq5L5/oNHTJpUwmob+daP3fT6Ny7dec9qGyTOQKgdwiSrovayGcNAxAwMCIENdeJM - BCATjI2gTvtDPRNaAaAWAwAWn8m2RstrFx5+xc/+1MmFwUaY1c1osa7H04nBHKEaLU2mk4ofPcrx - jKFAFgEIlsWEoawwEiFY9lvWHrjo8Au+7Ztu+YF/K8oI04GIIeUuqZrAxtQ377QrY551HsBuu4Ou - 52M+3UFbC2KAVBCWMJscqBdsM9z35j+99b7NZ7/62488++h9k5ZX9kfvNsZpUDecklhy2RJj4gHA - EjllUbDCCDwfHHt4w8VK0L5DpQDOKo1eSZUMlJWRCQoyAhn3LwcgQ2ZkQmJkBhvXCaLdRjAZG3gq - GOTk1tcWs+Gtb73xv7zJffy+ZxnCdhgwBhViwtY4AlisKsoWNDWu0hz6FzNvitkUADvJMTOqWTM6 - ffEFL/jOb540lVZDNq2SckhD8lBSqDD3557i8XXh7t2RqV0yupGRRaHMQsaaspjWgMR2/fhDmCe5 - 9t3UpzK3u0fZxieOYzbl4YCcGFGyefsMAEgMAGKgPda5MqD77LMyK4z6/aIM52ptdYBKE22nzaau - +IGPf/R3fn//5hhbk4XGWxtzAjPYYKlL3+77XTvHcH+ZqTBDYl6r+KIrLhOu60RknImMFYDPQL9n - +i7Z078rnhlIlYwAViUWsFt91pX5XW9LbdsloQvmrRn178KTSIs3QnfKEFUACs4ECGK7fclg+aZ3 - /tV5b3vn8ks+e2t1ccaoA2fG2HNkVN1dJEV34BugrJE5MWCoU3+KKYrH1p33jQGEEHLOEGZYVGPn - 2VcbGxt33nH3N37jN66tbXW/4Z3P2WCmD8vN+ZR0pUO69O5uS7oHuzDvfkuJupPIo26WFkVRFEVR - FEVRFEVRFEXxjOGcn7VtzMkIzJyhBCbhGBPm9y7nk8lBZ26Nn7lFRSAzg1lVV20bc5sAOOdSSt1v - 76U7Qn/PHmtXPM6I7uPsNTLtbmI5IWa2lM1AhmuuvupLvvgf/MMv+d8vvfTSyrmcczve9iLVoJqO - xwBGg8FkMnEszslsEnZGevt/zQxUBnuLoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiieECJi5i69G0BV - Vd2DdV13cd20S7dG75Pp5pAwAAJSjAR8+Zf942//1m9zTogthND4CoCCAIQQzMzMnHOqZTFyURRF - URRFURRFURRFURRFURRFURRFURRFURTFZ5ZzH93dpYgZHIgwnxbX/6xPOdodyOGENIORU5pZ0pXh - YBKnrSIAgcGVWzhw/uqFF+PwYYyGAMMPQB45AQ5eTBAEE1iqmwlzvX/1om/+ZjQejtH47HwGAPYj - D+PcJqkcMRSAIGkfwGMJJAChqn2fZrxH9FvCIPTJQ4ACgi7IAksb2+eLv/PHf8IGDTna4LQ5ql/x - rd+CaoCUkMAEaxBVB9xNJYRh1wt8ci9WGMwv/b7vO/lj/7ZpBggZMeCC8+NCw+24smwwsCdig3Zp - KzvFyHYqZxEhZ/QBIQQvPMh57Z579l15uU6nQrxcVZP1DbQRCw0B0mfgaQYy+tTMp+PNokdW9eoe - 2AlRO5NMaoAqlEkTphMgIbUf+rn/a2naHmnDfhZZ34ABzjCbukGtd999/wf/brC+dWCEyRhUYZvM - muHisUMLRy/af+xCNBUcmxqFBDEIwDR1bK5up9GE89ICHVg9/+v/6fmjBrWD9wGiYACs8ID3pHBt - Rk0AMwxwhACuYeiTruZBq/Mo9L1z8BdFUXymYAUBDkgwzgwYiUFBiRE14sTJ0SyIncOp/0oAGMZi - EAWpdmmFBmQGDAbAWObxdZlgXWY2gF2xXl0PiwwwGJ0pJqrQ3dGJD8/thvbdhC6VWzBPflWD9f8W - lLTvRpAxQMhscJp9Jq/wGoc2oQcffOCtf3n3W/50/50PHNVca9a1ceXRttaIOCc+azKdTibs6Oyz - mfpfsPnX7oRJUO6C44gy8Uy0dXhwOLjun32L/6LrJwcWT3lPsJgiNx5qHiCmVmOcqJe6koHAm+30 - LPYIRk61q1tFhLLoimQcP33Tr/32/knrpmHY+MksVkDV1ONZO/CgBMx3DoMAUxAZTMEeFhE0xcqv - HL4AkJAy/J56vXsU9THIfZfTCNkyCXuSNsQaA/hmYf/+2X33yCf7U58KI2RDPXDjaRqGOLzjrlO/ - 97ur3/ntUx1kqjIJm5IpQHszUY8NKQQBUshLo+UQp/dPNv3K6MgrPu+6ED/4n3/xwQfuPeRF22w5 - m6l3HOft7eNlFj7m47rr5SsYpF0QYowYVZiZxYimJm3DKKJJaZVw6//9/4S3/9Xz/+nXXfDil8zM - xq5uhTOxsap1IbgMUkBBJgYyMlKAyQDwTmVn7ErMfRK8zpvueYD0rr/GXXvXvWQxWJfCiD5oWYhh - SvMWTAlm2RuGabYYpd6OtLn+of/yC6fe/rZnrbVHjFJMBiws8Hg7NwLLcAQnNFMLbRKQY1bojLG5 - sHzv0uL/8sP/avLsKz6e1C0thZAIaehYVUVJqsF4MvVNnfSJLL17mighKNXNAjQAFGNa2L/68fX1 - /SSL1z73+h953Qde/+/03vv3k1QxCRNxd2btDzmeh2UyoMYMUjK2eTSyAQRV1BVSaBkEUDL1VhNm - jgnT8TEKH/uvb1qKGwe/7p/Mkh8vLk9hykxnzl5qBFB39adsDAMZE5i6RYbn9oPMrFDq+wkwGHVH - jhpxf5I3JmMyGCCmVWqb3C5Yu/GX77jt5375vAdPnJe1jjPy3EatmWdJayZVbTwZmSnUIAAZCwhA - YlgXADtffNllixoDhgQbDIYbCQ940Wdd+YIf/J6tpcW1QVXJAKophFHVBM0mLrbBsTzDT14MJjMj - U0OX4goyB2MyDJdW7tk6cfHzn3PlV37Z3b/2OwcmVsVZX1G+QzttIxvA3ZuHeXr3vGE06kuV7x4G - JIMjpISZ5mHjp9Ptg3DLw8HHP3Tre/7Vj3z2a1519BUvfej4mq2s5KaOMUp3GCATVKzrK9p8OJFt - Vz/uEaXrlWB91xEMQM+utr2yApqJte/rol+Qa12D3P1lzqxKAFTpzOt0hlrTcojLbYv77rvtV391 - ++3vuDDFQRt9RtVwO1ME+BohwDnkFKCoxKcUpB+T6VPJdb7XQ8yuHq5Fun/UPO9V34CLzqfl1e1Z - yMzihMnY+UnMADknKQQ+i6Tyz0T9eXPeBKkxQRWUQBnmCEpwzE4zTaaTBx9a3pXS3Q9j27xb9lQg - kCSltU1MJti3aFIZWcwAE6DdYd5dAjljsT2azN71VBWAqbHBGMaslKMOm6rmxh56AO/+m/a9779I - 8wBIs+hqyq0JgRT9PjbuB3mp75NIN25sABBAmw44uI/r2pI5EARdeIUouG8iSrTzJ2dmaqYsM7bF - IxesiVPtL97I5sPsO1/Pns47kN21SSZSgqZUEao0O4/tPb/6a5979dUYTPKACdQd66yuv96ff0gz - aWYoqxHE4JSpP5t/yrug+HTnnGPmZKqqzrm6rjc2Nt7113/z3d/9mraNBPLehxhiikB3ED41djK5 - u/RuZk4pdYU8RMTMuid035Qol6IoiqIoiqIoiqIoiqJ4Rgsh1HWtQiISVTMAUiQFUzcSSAbddY+p - y+0G+nsi/bx3EJhTiAQIC4Cc0q5FFcXj0X7G3iO+zj3iJwBI4QlElLNZzs/+rM/6yi/9suuvv/7y - Sy9xzpGZpszZhERVkTSkiWNm5hijEFdVNd7aHo1GMcadf6UbEO7He7W8Y0VRFEVRFEVRFEVRFEVR - FEVRFEVRFEVRFJ9It7AOQJfe3a3+IyIRadu2+9HOfIwnltu9m3ovMeYv/uJX/viP/xgzpRwsmfde - c+r+rZxzjLHLC08pcVmQXxRFURRFURRFURRFURRFURRFURRFURRFURRF8Rnm3Ed3J6194/I2gsJ7 - ZK0GTdqauC4myR4WtMDIqtkLkIMDHHw7sUD11NezBX/+5Ze6iy6COHgPEJDQDNoUmIxBYNG6Ohna - tLxwHOkF3/d/wnuIg3iwgB0YMo+LgAEGqVxXkKnL/CHuHgb5Lryqj0Q557voCTMgErjbJu1y0SBd - DhwYebbIqLY3D/kGIfC0PQgbT2b3/uTPZqWZ86dMX/raHxSdLg4HXbRRZhiQCETz/O+zRAZLSrVH - aMemB7wgK4hRu6VLjm6cODUCvKs1hYQkO/uyC3Xa/c8phGAG7qZX5lyB1k+dwsnTct5BsOlkfGiw - ctsbf/qKH/1BqiqoA2BiCiRoBc8ZT8s0yO6o4H7750evwTRnEYYZsoGByQyVR2gx3kaw+2+4IWyu - rZIu1nWahQjmmpVUQNiM+Ntb12/7aLO9UTu/lTQ37Fb3j85bXbzkMuw7iJQxGGlKkUU1DQaNhQmJ - zLw/IYPtwXBzoC/+l9+DpjvUBc6DGSAP7pPdCV2OjThYl4LazFMGXf8q/Dx2jLsvu/LVi6J4pivT - xPc+A4O6VEECGGBRNuZExMIcTAxThGZUf/i97z+iVJ3LpEg29tlDGwpCjSff5BRJzUEkixF1FUSV - QJZhhu4MbMA8qbE7xZhl5i53LSq6YCIQEWChf5oREXdnKiUANo84MsCR025BhaoQsllmyl0nKKuD - CbNRypaVMyzXOS4Hc9stjp868Xu/ffJv3msPnrgM0rRTDyLPLakzEWFTTlkFyqQ1QbM9XiLv41Ai - IyIokiECwhACFMSAqhmEPMydEmxecfR53/B1/iWv2GhGY++So0wArEozgmVWNWXQQGoyoaxqCTgT - h7kXsEFASXP2dQizfTXt2xxv/sZvN7fdOUogcA5aETM0hVYcgqHqg9WBLmlvnrvGjBghADuHyvGo - QeOiEJgEpn1MLHXfeO+7VTSf4Z0R7q9PkBlmEIMY2JAJyXGLGFVrqQSCnFePXrBx498Oz+UuU0IE - NCcBFqNVlj/yR3+8/PKXLr/whWtDH5RWfDOdjkODaCqqNbvcHdV7hXoGG0hdCtmYzdOM8BDh0PVf - cJHmu3/pl+n++1adIQUhWNLaySxlcVRVVWzbaKgdQLDYZyrv2L3jxdQAoz7ZuruA0AxHsASfwYSg - xh6scEBcGx+t/fSjd934b35q6QXPv+TL/1HzgufOKmzU1QySTIwqlkot14hIiYiglHOuqhpEk9nM - Kp/PROMqKwTEzOls0j2pC7rtf0FhbN2m9/WMmQCBQE1MyQCmxDmRGZhIMjHMAILwhFuuKIepb2cH - zePkWP/wzz/4+28ebRy/Ks4GSUNOngBC26rzSBG15xTVoRFnIcwG3jRZVN5eXLzr2Hmf+7rX4oor - Tpu4qk7tFAypiGJ2BgMHzc75nPOeajIysdWSzITAWYXRtm1Vj2aqoWoOveiFz/2h737/f/pFu/Xu - Y+bNoiGhopDMGJ4BILVoKqQAIe3SuwHLxAbtRzYYKYEJZAawg9MET41yjm2o63i+0cfe9Fv2wOkD - 3/atzrnt0WjKrlFDSs4jkU3TuK69M7GYJEHEE1cZCEzMgMZzmU7NYg5d449MMDZVyiCtm8FkMsvJ - BsPFdhzJuUTc5HZfmsrG5vpv/v6H3/wHR9qwbHnatk0lKWVmaEAFNoWyJsBg3TlIwTyPVLYuYjlh - tFyljZCBeoQ0BWd4hjk5HvOpffvci17wrFd9U7zi0nHOPjKZKpQEEYmIYbkLV7a9dL5+EkjFSJmy - AEZE5mAC4pppOp1qVc+Emq/8kvFHb3Xv/kCdUi0yjWHgkBIMcBWmAYOKEdQAti6llWB9ejcblFQZ - MGY78+nsKpY7wBFsFpsuC3u2fXSo+c5Tt/3Ef7zow3cf+tIvGS8snQgtiUPO4urEkSwvK7dtmzT7 - ZhhhBiUiYyISNkOGpuyFAHSZyrlv15DPMmLZCAFGhC5Uk0CmMKNugS+xmJnCCOyMTM0RYg6Jua5H - ObQSpud5wcfumr7lj2793TevTqf7U2xirhQCaFDPIIMGOO4zxQmwHAVMzGCXUnDM06zCcAIYfOZT - MX18dfXIV/xj/7LPeWhhxDASZpFMmomhEHhnoBg8QUtt+E/AWEy7UHalbhhMYZwJKamrGmQkTb5y - qW2RsX3H3as6X+nd/QHrhmKfMkziVEfbU9x9jx5embInlaoeppQIkF3v5fzDtbfSGpJmx4AwNBnB - HBKzZWuyD20cLC9tnT5x2MXqwePv++VfurSd+Lbt9p4GcwRVoG8lGJhHPhvIwAR0XwlmakJtzVhd - mmo0qXR++cnz53f3GrSkd39iWY1IhYJIbNuVCw6cYHB3IW/9tdunwsBn+g8EBZShQCWsUS23yySr - 9x+/5z/98oU//EONbW8Nh4Gw6BfWt7eDo7qupykM2ItGAhTQeTR7eVeLR/PehxC6gh3dYAITMXOb - 8mAwSLNZjNm7Oob867/2m298479PCQAMFGLq2hwDzuaaqdfn7hCZmfc+xigiOWczq6oqhNBtT6d7 - /u6vJbS7KIqiKIqiKIqiKIqiKD4N1HU9nkzgPJEAAAMGMMHMtJ//1ukGBB+rlrABgOb+t1Uxnwiv - 3ZfP2KFEIuyknu/+vmNnvuH5XTMz0Py5klqcagAAIABJREFUIkRqqVuSQP1URgIOre6/7rrrXvnK - V77iZS8/duxYxWJmUIMaw0SYzGDWzcew7jaiGgAhTiFWVbU7txvzUV8YHrmFRVEURVEURVEURVEU - RXGOMbOZ2Xxgaif5TFV3T1PsZjOa2V5bY55S8t7vbK2IAAghMLOIdNMvRWQ6nQ6HwxBC94SiKIqi - KIqiKIrima67UO2uapmZmbtr2K6+0O7rXPtkkzG6y8mUdgraKBHFmF/0ouve+MZ/111dihM2QLPN - w8K73+qXIu6xi+WiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIriaXDuc6m9W1vfOFLXlDVNpq4Z - 1YMGNVsL6sMp+6QmMQYpK5jAAiQQoL7ed9VV2LeEi46AFMggwHn4OrWtuCZ6U+9nQQNxqP2l3/ca - DJtjlUPl4RxEdvLUuvCJeepY91C/jf12zDO8mZSgfdYH6VMaxfIU6IMvCDA+U5mLFJQ9UmM5xGnO - udY4YBkEgtRRaeLSYGEB6+s4vK9Lmu4qfMX5LhF75G755Aw5BKkqkIItL4zWj28PNVdVBSV/3iF4 - R8ohTryrcgI/zl/ug1jmSZ8AGOoUdU4bd35seXU/NMlgUcfjffv2QVViC1OIYxADAlFA1Sqhc12n - 7JF7qKvnRQDIscC0jwbLQNVga+PP3vD6K4YDf3prOUNiHNT1ZGtrsamIvK2dFgHW10+878b2oeMj - 4Qy3znz40kvl0BEcPIBBhZV9+fSmjBZyzjSopyEsH1g9fep0rhssjh6Yttd8/79GXUOnWBrBUSYS - cG6jiPQRqme2U2EMY6L+UMd8b3U5sV24Zvfyup/qTtR9URRFcS51gYIAOzUxBrq4LFaDc9V4vOWN - F4ZNnm5iYz0fP1nHLOcyiY+VOQJZ2BgxJmYIgS3OgsC6nxObEBF7AkwJ1KVo9acWQhc+zUkTETF3 - y/0JgJICECYzg3KfekhE3H9RVU1mWZMGZhZmcYIUHZMRqRkReXFOlUL0lqWdjNiGZFjfws233vLn - f3n/2995Dcnh46dGJM4hZUswiHjnSLuqA11wmD7s9Hc2slk0awhV0+QwyxnOQRWqkNqHjE3xJ4fD - 5gXXvPBf/HNccuEJbsbeG5BJEyvBoICpAQruTsVs3bl3z4XMKYG9QFzQtORpdW0Nf/eh+//kT/av - rQ+I2bqriX4pC+10p7ET+dZ1KwyGaPAEiMQYY+DF5QVFm6qRxgCAiLp1NV3eVYyxrHIBQFBvUEMS - KKFrIpSgZCQupDgaNmGa4nhzf8PSOAif66RsEVK1RnxMcZRwgeP3/cIvvfjfHObLFu8Ks0mqeNBM - MfVNNQx1bgPJHoqWJGgXQ22sCmRiZQWwXXGGHf7Cz18mfu/P/7xsri9vbzfCFnNMuWmGqZ1tTtoB - w3lMIxxBHn79gv5Y76/VHjNTM/cXDhAFEYyhhKyoPAaAtmlZZ1Uan3z7Oz54x4fp6suu+odffOja - 56NuJn64bXEzZj+oiWTWtg40qAeetZ1FMI8Gw1YTmIzAMIawKhmghrPJhOvTbUnJGA8PRyQSU+3a - eeecKDTnFEPiyLUjcEqRzHnfmFmI04ZsEMIqc70x2frLd9z13/4n33TnhTGPUiuWMjGATAzSrq0Q - jxQVQEiJvQwqH3PMA9mqV25hfOGP/ejk8KENQnLOw5gtkWZSSf3fycRGWQlseytKORNAYD3Tmhkh - MfvFpdPtePT8qz/ntd//gR99Q3vH3UeqEU23NeW6lvEsWwYZFhZ5sqXDiixYP2RBxAaAu/NpnyJ7 - pr3thy/SNOxfqCbjoG24cji4/U/+/K6HTlz37a86cu0LT1baavCDKrK1KS4sLKgmDVqJq8lBqY0p - EbJjZeGnIr/z8bCBAUfSrZ1US8Gywth4a3N9OBxyJVvjzeVmZG0cZjoYZvTR2z54wy/qe265wlgn - 67XjemlhvLld8cMP1+4zOP9mfr3LgJIZCCOHdiPUAgAbY9QOjaumIW2Le2g04hdf96zv/Z7t81fX - qgptGlVVm1plS0ywPkCXkXSPna/PFs/HB8BRASIB90MIs9AOlkbrW+1xS/v2j577/a/54Hd+H83a - /WE28s5ScgwwUsBgKO0k+X54itgYUJ2PVnVhupkAUsVOenef5/qI9lMsz7a3Lm2ajeMnPvIrb8Id - t1/5VV9+8fOfN67qKdks55CzWhLzi/VCYmy3U64km6qqJgIiQyr23nvKCYDsGgh5Eu2CAs7XSXNO - ueugOWJhZ9yv/jWwE2eWkQ2AwIR5ofJ5/eRSyoPYnnjLH9/xO7+/+tCJSyazUcxmxl37v+tV63xv - KIG7AUioqqkmVw1nYeJqAXJqkYDg/fryCr342vO+9svWhqNNlhrdqUSVoMRkPA94PsddgWc+6sOe - OTDvFOtPxCBt3EBjyoqqctMw2a8Bp9b1/ofqfG67M2bqsh5QbN140/Clz4sWFkf7tjanjfOYt2li - /ZXCXsvtBiDek1rWpKaZoAZVmHHUXDX1+ubasUHTnNz60C/80urpU0shsln/WtBf/wLYCUYH0DUm - stNWKBhIMAgWlxeQg3qaIpIIQLKr00Ldx/4Z3kSfU2SoWNi5cQynpxsHhkO/WG+ON12F9PDGY+fK - lJ7sAbe7F8EAktY1xWhO8/6t2en337j5e3+w8nVfk9lmbFub6wvDhQlUjaTyWZW0O/bBMIKyzdM1 - aG/194q/X9PpVES6gozOOWbOyWKMrqo3NzebpoExEf3wD//w7/7u76an7gxpZqPRaDwe7zzSFQcR - kRBCF+bdPS2l5Ny5vw9bFEVRFEVRFEVRFEVRFMXTLufcNE3QPhGnW34AszMz6AzzqXRdkvcnuoFh - D7td0s2+o702i+ypYWdm3p/5X0Ccy930u13PIaK+EPOZBwgEqBmM0e/WMzeZDA6wbDafAD+qm2uv - vfalL37JF73yCy69+JLV1dWUkuXsiC1rDtF736/D2FnC0CWq771ZfEVRFEVRFEVRFEVRFEWxo0sm - o126x6uqyjl3o2pmtpNJ9kkDz55mg8Eg59xFtRHRdDp1znWvIsaYUqqqioi6B+u6Tk/hHNCiKIqi - KIqiKIri71UIoWmaEEJd192VYFVVIYSz+iPdNWO3iK/TlSp67tVX3nDDDSJiyM471aRqXUz4U/wy - iqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoimemc1wynoCs9WhBpxO0yQ0WMN6mullrdZFEdooc - AeiCagAWoCufxDC1lqi68CjOP5RTK0v7kCNizG0QkJOFcUtrQ7/m2Q7uu+ZV34rKwwz1EGCQ7zKU - aacm05lEK4CR55HVPE/06X6eoQZ1MBhgn7hS1tONAL/rfzLN06cIIgrKhgiOmTkjcgWwuUwxhoEf - qfDJ2ToWao3bwS81ItA+vsjmSVbz786CuApJIQRfXfrd3/HAG35qCOTxtrDDvgPLq+fF4ydagDmT - wAxKYGN6dKCa7foKkEGAKuvpu+5evvpqjBhhyjwYpvh3b/y5a3/gezFwJokycgyuGRqAms5+859K - qioMGBATxMPsLTf8whWg/Ztb7WxS+aoajDAZD5Cxvo6cKRpuvf3kXfdUJuoGs+XBBVddiWMXwAgB - 8DXgsNEK11AIWTudukF13/pGu7hvazi89tXfcbBZRBaIw2AULRH1mU9S+S7kXmEZYJAwCNzlVkl/ - nGMeKTjPyNm96JK6JzyJI6IoiqI4a0YIzGJaGbECfb61sjEFG/gGvkrt9uGUtt/3Abc94RzpXDbP - bNqQoZ1A04pUa2hnlkVEhlxlx+pyt9UKaLYMgymnXaUqd53lyQgw6+phwszYYEyaExHBmJkNpqYh - RzODkohUzjn21MUiWtaczLLAu5xNMzFXXgRahelqMswy7rgrvfVtd77j7XrioSW2Q5p4a+YB4Yxo - ADwcZ4FFMu1CKc8myvYxSO0lx5iA6UwYDKQExwC7U21sl1bvP7D8rH/+9Stfcv1WyJNcRecNrKRG - fTHWyGBj7UIDAQWIIJb64qK0h5Z8ZEIQG083DuxfXT6x1dy/9qGfueHQ6fVVIUsgY+t7pJkBzl0i - eh+YCoNCGX0quQOyIacsngegONmWKk+5rRw55a7Waoyxi93aqQfx8B7KZyJWEGkGjLrdS4ksExwR - lCLAA0+ccHIDMFJ70tF6T2hjDJzImLNGL5Sz7Qu6/r6bN3/lN5f+xbcfPHTepKkmnDQFVow3xgvD - 5WQp76V0PTIYWaaYSCJ7wLwFNiTG9vLC4Ate/tn7Vz7whjdaykuTyYL3SMizKYEWxKlYZmW2mAHr - P8DdDjdC3kk37HaUdY15X9I3EzJx11T6DDb1mY2QyJQ8EBVmsV32jm22df99trl+6/tuXLjiykuu - /8Lh575ouLLcEG1S3FRUC4tkNI4qYBk2yDprk1Q1qWZTtaxQ4u5I2KlF/IQokBwAiEIMoqD+ZTJA - zjmFhZRmKQLqnXO1F+acgiRryClRirNsOsjxiHjc++Dsne/+6J/+SXvzLQfa8YpDRd2xIGzIxJkV - BDGIIRt85WGcYkCMVEl2eICwcfSCL3zta+NFR08PKq5rp6A4Y1J4y+DEAnAmzqTGCTBoFx68R/RB - 2goG9VfBmZQIlDRk5JX9i1c0z/+ZH3vXT71x8r5bLvaNzKaY2vKgaqehHmB7SyuC5T59mudXbUrz - 2t7gLuu3++e67G4yVOB2Oww8zZKF0B50Qh/60M0//oYrvvprz3/l/4ojy/fq9oRZK3Ex59idXR2B - GaiEWXRbUtTpgPy5i4claJpueBYvFZy0vgJXgKnashtsb240S6O64ThdO5i4OTlt3/HOW9/0ywdO - nV5WDHJKIE05TKbeCWnmXUGebPCZgf6R3bmhrj8/oWaYwjksi48hT1Oa1aMHl4f7rv+Co6/+luNN - FWo/noUVPwizGRwUlIiV2SnYFJQBBQR76Hg7O2SoFMZoGVkUJvMBJmgt4zj1dZNIT9eVJ1zzuu+9 - 6Sf+vbvjXt9OHcEUTHCM2SQ3Hmk+vtC1gv0O39UkGmCkSmBlAujhO82AKADQEHJsR+yfxXLqHX99 - 14dvO/JFn7/4pf9gcPTAfSBy9aAaUHDjWU5OzNVJWxJi79jIjJA1aeJsnlj6HnUfFK70JIqqc4gK - CIsXxwwhM8uqIQtISJxQzjnGVioh4TiejIwWNzbq6QRvf/uNb/o1//GPXz1ciluTyipVEVMx7cda - qMvtFuvD5dUANTCDFOyrENsUJk0zmKY2JdSADqt7XaXXXPHc173muPN1vbgocnxzfWHfooYIQMEM - gsHIAgNQMuZz2SV4phPj3J2dmbwaGbpmo8kWQ258BWdkGOSI971///akTue2M5OQF32ztT3evO32 - xdguLo9CbL33ZCrWXRvCKQzcdbb31JurBCJOSA4GgTnWrg1mmao2i1WTtkfr2/pbf1C/68aVGIi6 - 9HFWAox2elOPthOsng1q8PXAwbaOH8ewjgi5aZIpKw8Ss2likMF1A6J7qfO59zBlDbFt9i9vr59W - nrVhs6odNnN3XPXhFnQmkUIe/z16XMai2r25DPhsAJkBxkGzEyyTjR88cdf/+4fPu/TywedcVS0N - uZaYpvBViq0ak/QHUpe6gXmPmj8zrwmLx0dEVVWZWQghRRURJSbnTWk0XNwab4u4b/uO73jrW98G - gLnLfHlE/+3JtBjMPB6Pu3IeMcbdlS4BdI+0bZtz7iqAeO8/8R8siqIoiqIoiqIoiqIoiuIZJ6Xk - vFdLO8OMIpJT6ge1dw2t9+PctGtJwqMG3vsbmpYf9Wufbvo07l1BQcwMpvyI6B0DzGy+C7w4VVXT - biSWAX74upD+QQYbVlf3X3nllS972cte/nkvu/zyyxcWFry4HGNT1aaGlD2TIzKGq7xZP9XqzKyD - +aqTMzcldt+e6BLDyw2LoiiKoiiKoiiKoiiK4u8VM3fxZvZwqtrFdXeh1zln7AzK7SWz2Szn3E0B - ZWZmFpFuO7s0tZ3lpW3bqmqZh1kURVEURVEURfFpo67r7qtzffnT3QncT5CZpZSGw2YymXkvMeWc - 86WXXXjDDTcMmyqnsLKysrGxUVXOgKp2qZuX8rAqB33d00/5BRVFURRFURRFURRFURRFURRFURRF - URRFURRFURTPJOc4uhuEqpqpjmdt1QxsOqXKYzQaDJ3NbCcXm+xMDSMmaIYSmGBANtWNdT7/oCyM - pjHMxtsrKytBXCAJVbPh3eXf++pj2mJ5CRUnU7gBIATeyQXfVS9JQXhUXf4zz+rq9nc/zoDQp5zr - eA48Vtku7l+asFmGZmY4BlSxuQ3nvFSgnGdheWUZlng4aBEbeMxTIWlnpzyZZXcK4RRaRwzxG8wy - naxWVQrBucpfcump48cHFSXNwn1e9Cewk8gCgAxebTEb7rwdV1yMhRqafAyr24xxi4oyi6Oq9kOA - 26wxp9pX7pxmmT5sW+e7iwAgaxYRzZFJ4D1iREoVuG6VxtPluuaU8qkHpBkQG1zV3vmRBz5yp1ub - 7FtcGq2uLl9+MQ6fhziLKfqFZSwMsTVFNQhtWy0vnJxsYdRMGGk4uPS7Xg2p4SsMh5AumAmZYXAG - MLhtp3Vd7xwpO/NDpQuDNcCYqEvw6wPeaOeJJam7KIri74kRDCBj7nO7oaxiSjEvjJqtGAYhjLbD - R//87cPQMnBOp/4LUtVuxXe/U3XaPPvyCy86goFMxZQEYcbUGFeZkChnMXUuOw6alKlf7c8OAM07 - UWbZzKj7j1iYiSibAKZEBAKTmRmRZvjKWdasMSdjGBEJiIkqSJXMpeRSrjRTDJhsYWPjvrf91UPv - fm+8+fYLQr6I2IekOiOYOADICRnq4Nk5yxGWmaCE3XF3Ty7TbdrGxhM7yxnkwIaYEGvZgj+1tCLP - ufqzv/WbwhUX3QUbHjp/azxzzF1qOJnJvDprYoI5gMkAKJuCDEjWn733ShSokRLxclO7E8eXxnH9 - V9+08NGPLVuyGARe59vZ7VWZp37u/Da6DjaBAGJCtgx48BB0/Pbbj5n6duJ4uFNVwVSTmXNORFTL - Epcz2KAATAycWY1AWT0ktHFYVZynED1x790jIjqXhXvJQESqOQHiCNmqZFfVw1v++/9cPXzeoX/2 - dffGWWp4sXZ5OlteWElRzyY5+mmjiTmyGowMrEymIYWNWtaG1bEXveDa1732gz/5k3T8eDi9ttwM - Z7PYQFh8DNMIcEVGlrtM7F07WwkAs505bndiNZWg1EU4d9dgRsYCZIBAKeaancBMVXJcFl4gzE5t - LjmZbt9480duj//tD4+9/KWrL/u8paNHQzUYz/Isppll1HXSlEx9U6WYCBCDGUOYmJVUVc8q2tOo - i2lknh9HOwm7KcekpgQTqypHzJa1jS2xcdJBtiHgc+AQfIyc0h1v/oPN9/+d3fiR88fTiy1wGyPQ - XbAAsP7aHAaGQQ0w05ATIgPi/OkcTy9W4bOueOF3fk++5MLTg8ZGg+2t7WFVZUvCRMYMjuQA6jeb - DFDeYwccI3WlockIYCPNDDJlZho027DZaLh05OBL/vUP3vT6n77nb28+ZOJSypNJ7WQ2yQMHcZjO - 4Bnc7zPuAlx3WlojQBlQIyhp94Z78Tm2SOxMs+qiURPy5r333PTzv1C/651Xf9vXH7v86MnGpeV9 - a1vTwWBZKo+UEXPWrJRVjElrz4h6Ls9Hurg4tBxzTCGElL06l4ks63aYHdq3P26eXo6hjgk33Xbj - b/ze5nved9l0e3+IYsQgJx6mrSoxDGdO7t2QQncczC/ZrYsc7bKlDUgCVRBAGWIU3WCzqo4vLVz+ - VV86/JovPz3y63UTZ2FpuBSmY2EzkBGU2Oa9CNDZfbj2IAacIkOVkLvYdqAbNgDbLMeF4Wg2m0Qz - iL/oqiuuftU3fPhn/nN1cp2n45FYSnlYoVHE2O1uhUnXQSXASPGoYuVdRvXOitZuRFDJ5k9jzTDT - nIPEcD6wNdt+6M2/d8t7/vJZX/MVF77887By8PTaBkbL20yTOF0aLeVZaylphhIrSCAkEHaWVQnc - xWLrrpLtZ/mWMVdmBoWpqikbzIxJq9pPx9uisuCdxiDTNPKVz4YTxx/6i7+460//x+Duey6ehoVJ - ajdPOwCSATcf7LJu52j3ySXQo2q6p9g27EA8nk1BHo6lGdzZTvM1Vz7vB/7lRuPj4vJsMmU/WFxc - nMXg5mOdXUC5QRMruqD6Z/hReu7MP79sBKCvxW+kZEihHToP07S1vTpC1ab73/7OQ8bOzmm/VAWk - oT3o/UduuvnI1nRQTQK5ejhACPPetbGJ7tVRO1VVAxPYCRikygaCUk2T0w9dYtD3/+0tv/7bl8ZU - Cyj3x6YB6D4L1g1JKmB9A7t7BJzABBHSto2pPbgyxJ13hQsPLh7cvzZJXSOixAoFQUpo9xOQFSJ+ - vLW9ujCUj9+bjj9Qg1R39npfma9L7wYgZ92YENuZ1o2sOy+bglLKzGACxXxB1eR777/rv/76xZd8 - 71Kc5ZWVCYtnr8DQ+xijEoyh80NFoWJc2rbiEZh5p8qkzEt1OOfaWcw5p5S/6qu++pZbbpM+9f3R - 96g+pUajKwpZ13XbtjsPOufG43FVVSGELtK71IssiqIoiqIoiqIoiqIoik9LVVWpWVdE2BGpmWWF - 9bPm5jPz7WE39x87C3oXAvCwifSfTnbmE4LI5rHcBCIDsu1M/7f5XQYCEZFjzjlrTgA8mJnNzCzv - DPvWlT906NBVV1113XXXXXbZZdc893mHDx9eWFho29Zyds6ZWQpx6OsYouZcOcdM7XRmZoPBQFM/ - bqzUT3vbPSWjKIqiKIqiKIqiKIqiKPambgYjUb+kt0vy7qK7d5LPVHUnz7tbubl3eO+99yISQogx - ikjOeWf7nXMhBOec9945t3uWZlEURVEURVEURfFMR0QpJedcztk51y0PPNs/0q0rnExmziOmLIKV - leWf+ZmfPnRwtWkaAGtrp4bDITOnHGaz2c7FclEURVEURVEURVEURVEURVEURVEURVEURVEURfEZ - 7hzPJyMgxz/6iz//rhd/LmKmwRDrJ+FkatYwAIiCuoQ/AICCSftyTCQAtKK8cc/H9h27AMnVC0Md - +g0NcWXp4Ku+BfuXD/oKcPCrbWqd1Nq/JKKsXe6QUTcpT7ukHhh2IoplvoVdUlhXEUuse4Qj0K3i - qsF7J/vL5sECsrO1PQYBJGZkSX2XKLUx3rrj9sXnXgWLQDvkKithFpEHjfjuz3X56LpTA+wsS00Z - IQtripWvMdmGc2/5q7/+Jy95yWw69eSdGY4dm9x8ow9bVTRKYIPRYy/t6+PbjW2esEKAmFZhdvzD - t533nCuRM4gQwnlU3f4f/uPlP/IaNkMUiIOiEhapznJ3PpWIJcNEJKckWeEcmIkdu8WqEieG2TqW - RtjcxK233337HdNKVo8cPfi8Y1hdxcIQlpAjBo2nBm2AKthZyn71wMdyu37++dd+z3ehrpEzBkOo - wnkYW2pRs4JyDN7XORE5pmqQgC54qz9CSA2aYQwQBMZ9RtZOLgWhT3/vC8MxzaPOSvGxoiiKpwdZ - /x8ApZypC3jWRuq4OVlwOBgD/u6W9j0fXM2J2c5pcpzXvIzp1rv+7ORfvbN1TbOw7A6tLD7nkgNX - fBYufQ6G+zDah9EQnkywgTyhLJ4IZgRVTUl1XkKTmRkCEBugxCACMRGzqSpMsxkYEBZ2zptlNcsw - 9Qzp8l1z5JjrqS1lRptw/ARuuXn9/e8/cetN4cTxYY6HTReVKjNrYwZ5agw5pSBMYCGYCuUcutzu - LMiM3KU5KkTBkD499gnHNRlhUFdbs+AYvsJ2C2PIYPAgy8nD5z/na79q4fovaJeX143r4fChza3h - 4oKFVkwNgEEMCkSWSAwSNniFV2ZTEJIgk7LJ3okjE0OcTg46WVyftP/9f9z1h295jjiaTQxQyl3G - IWCpi/zctdlsAFSJdrLVcjapvI85xyQ5z+65D7d8+MJrr1nLeQJTNfbOe78Tu7W7CirPI88/Aykr - oGQQY4CVuNvtnLVhBzOdTpe2t7C1deIjtx0wnPM0WSFNqBxSqyCGmbbT82t/76//znkry8f+j/+N - ndTwKVK2INUgfWpZaE85MhhxZEoMp8ErizoxdbWLFk1wX0pHX/j8a37iJz78hp/km2+dzcKB4aLN - 4ixMKwiRhmBSs6lmPEZMNOEx3gIjGCmMq+76d348s6ERSTkqlFkMZmqmOQEDEXJOtrdlPInjycdv - uf3+3/n/Fp991YWff/2+512NCw5MtV1DyIvDxLwVx43ztTlvRGoKJEM25JydCD/hcwYZi6oziLIY - WLmvR0xKAhGAkDXHFJiMySpiVh5ChiEMpxuYtrjr3u0/+7Nb/uz/Z+/N4y1JrvrO3zkRkZl3eVtt - XdVVvZa6pVYLLbQQSAJJIIOMZMYazC5gPuZjGLDGIBbj8aAxg+UPmOWDBTMwlmAMGEnAsIMFWhBY - W6uFhLaW1Hu1aumuveq9enfJzIhzzvyR9773qqtb6pK6hqcmvp9P3bov75YZERkZERlxvu/aBdo9 - mfSbtg94YyJyTOBgUQ0QNkCdAcYKB4IzNIi9fjWZ1Aw3Wlo4e+u1X/HjP5Ju/pLjBjPDpBn2+uuT - UdUv1cwnMrjGQQiduJltUzS+TWAoDAwN4gFOTEIspCCopspXFqM4v1pUumPlGf/Haw//+m8f+dN3 - HkzAuriklTMzTRGOIQRjOH1kB62LGQ3AwJ23W0kBSGycC0migwvspk3rCXuC9tvR9OOf+Nj3/+gz - v+2bdn3LP62nSVaW1uLYRx8SVeQoUHRoLbqYnHNmV7CXbWSjOGJHKD2p75ljcg1R8hb6vQsnH7qu - BU6cnf6XNx2/447l6egaS0sesQFg5JCkEcCzc87XEABeLwpcfpHPe1M/CiG0Dg1hpT9cXxsHFGu9 - 4eHrdnzp93538eIXnU6RyqGLseogM3p6AAAgAElEQVT3k9QqKfSrVqIQO4URhKEGrw4wbLMid5nM - y5M5Awt1/lwBADUyRElGXKj1hiuH1h+68Wu++uDZtb99w3+5rt9vz55edgzVLlE7X/el9aGCAXRV - kPBG7mhXTSqZ8OzK7kydsgCBi+AMELD2NdnquXK6eubnf2X199924z95+Y6XvKiGjnrFwuKwnq4t - g1kpkiVGZOqqiojkiDfHGefN7EsE2Z8bEWFQaeyVYAKoONNAa3G1GLDX5MarK5GgDh/7xIm3vePs - B9/fX1/fP2l6IoXAjHrECCHFCEsAdyOVCgirEbyps27chkFz6zmjVfjSNdNmUA3HdUwaznPf33Lw - aT/yQ+3eXU1ZNtEGC8PRaFKGnggbU5fOTuEMibRLWLe9Lr/bC+0c0TQblCYom3ZdLIYM+wtnT57Y - WbiF0xdw3+G1T316d4p8+au+LwtmJ5ICkzt3vvmr9+35hm9wFa9PW08wUmNTAgvY2KDbUBVgSkze - WMSgSUmkFGKn4zTdJS3dc/zvfuH11zbjoM20RRFmye6Mlawr/7DZv07zPL9TACaQdQJvUlYW7GnS - +l+8fc//+kNHT5wa9JYja2IidLlpwvpobbHMJgZw4ZnZ1tddPdnF/MAHbg9NZCLFRZ0umjnU+fF3 - lh/5S3OxBkwNDO+ipF5gEa1NC6n3c3nsI584/Au/et1rf3T9wvnp4pAS9cy7Ud2rynW0qVN38+wu - UiZzKUTUti2MQwjMPsaYRFVSVfXuvvvu7/uff+Do0aMwiBg5Nu1urz0BVbqqdnJu51zTNCGEGGMX - 7yPGCEBEiqIAwMxdJJEv/EczmUwmk8lkMplMJpPJZDKZzLYipZhUXCjaujEzB5CpAdwpc+Zvo43R - d9s6J/tzT4T6or4b/ejY5gF3anMiqFm3fevxbujOYWaiHmDiZErQ5eHCTTfddN111113zYFrr7vm - 1ltvveGGG5aWlojIknRTzpxzzXgcYyQiE/HMVRGa6bQsS/ZeRKBWhkJhqrqxbAGAzmcafLacueRW - 3SyLt98tvEwmk8lkMplMJpPJZDKZzJOeznVtZp26uxsf656ICIAQQje50WzbzcRNKW3ouquqijEW - RdH96ZybTqfdnm/oyTOZTCaTyWQymUwm8yTAzIjIe9/19VJKRVHEGB9fv7WbXXLR8sCUQISyDL/2 - a2+87bbn1KNx00xFZOfOnevr622sB4PB5pfPVjQ/CWflZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZ - TCaTyWQymUzmcXLl1d394rX/7c/O/szPpcmEXHBLS7h67/TOjzkKDLj5NDi27hmZOgdTJCgS1GlM - J09idRUHrtI4DhwS0epovHvQR8GTsnLwBESEzlVMgMQYfNi0EF20PzN1x9z5ARjmy7U2J9UR8Tae - WzefOGgzB/nsL0WnoST25DyiYr05++DDwlh+xq1gbzFxKx/6xV/+sp99rXeAofM3u3kArNm0wssJ - 9qWAdCJOEYQSsB/54z85/As/X3Eo4cBAn4YH9tf3fnpISAYmJzAlMC6VbTA6LRwYpF0+saFHaJoa - d9+HG25APxSFF5ViMsFoystLXeZZAgoQ0Kba+erzTtnHydwBv4nNNlAycw5wHpMaIXzND3z/Z/79 - z0ZVjKdBDCaHP/yRftLrnvksXHsAVQ/Ozw6dCHDEpAYeLq23rZTVhKrjzfi2n3wtegXKAsEJOHY5 - pFYYKIRWaudcGQLM2DlJcB5icLS5WwATwaACeNpSbIyxEXHsMUKJZXd3JpPJ/P8AGYKCDUYqgDCM - FAqY1kmWy95gOsG50aHfetOu8dg1DfwTohl6TJxhCOhUFtKUATdO4zOnj9/7yQfdWyUsUX+5WNpd - 7NnVu2bP4g37l55y3fLe3ahKOAJ7ODJiZWeOjV2TWpBj9kRkSjBTMTYQQ2m2bN4I1rYmSiaezJn6 - bs2DKqZTvbCmo/H5Q8c++el7Tt/5SX/y7O427mjrfdM6pKb01CYjIHiCoyQqBscORqYspEbEUDMh - gBmK2UVQZ83Az5NR3S4sVpNRvdog7Fg6Edumv7TvBS88+G3fiIPXnltZOLo+2rGwM6m5wotJF5qz - UwOygkCpE5MbOsliZ5Q0RmIArLaNoq8GsT1cDE6exR1/d+dvvukgEU3WHUBVSG3smpFKs0PYkMhu - YWbjm4WglY1mnoXza5/5o7ddf/3TduwYWAhNbKWNviyIKKbEzP9QVd0XYYAQQPO2mTHP9LRKakzs - gEVxO8Pwwp//4bCN1jRX3GmnqTPeg6FqjllMlmBcjz/6hjfcslDs/0cvjqCJL3VQnJmMQhWu8A5d - FmxzbaxXDWrehMEwNiLAEptbHH5mHPcfvPZp/+bHHn7zW06/973xwmigskQle1dINIvQmQ1bqbOu - zr8aYGWC2oansPvfuqfqdHZyy+wNClUHGFnSpEAgT965lGoRrzIEFsFo44rI5KG6GU0+ceddcfeu - HbfcdP0Lbrv6GbegXZgyoq/UInFhhtpMiFB67wMzTNNlpQ4LA3DG3Ukt1D1qm1o28pBSpGfUAxWq - IbZUC5Li2NFjt9/+8O0f4MNH906bZ4pg2gR0bneIiQIkRBBj3eiqOwWsk9ODmLzytI1pefHBUbN8 - 23O+4rWvafbsPKpJyt6iD/X6+mTcLO5YqdtGYwzmFQyoMbTT3yqRYTtVnwDgrOu3qxGEWAhGTAZP - HNuaHDcxVf1BW/bPp7XrXv39B66+5o43/j8Hy120tubiaEhwPPPLCkAENxexz73UCvDM4dr17whs - SABLZBCz0xQrgPpuNE4lUq9pdvQWH/jN36k/9OGbvuWV+1/45VftWEnMtbdokojMs7NAQtYquSta - EVM09mAQQ8W14hAXzILEIQmfW1//y3cd+v0/23PizN44dlDHmCr6BUzQihUFeaLYSNsIecB4c3iE - FFvVtqTd+En3uhKUKBT+9NrID5ZOabCbb/yK13wPnvP0k3BNv29Jer3etG7att0xXJzUUymcAUSp - 08yTMZsnw9YQ3l+MGCUjOOvk2hBWZ+oMBTHDtXVblSWE1tYng/3XnTx75qqXf92zTG7/v3/jlh07 - JufOOVEDQoEom85dAF07VWkem9wYpLSZOwB0Y0WrEQhKBpCysTEsxdbgCFWJyrA4VRmPmvr+Tx9+ - Q/uOt+/7+q+99vnPw8pO9UUbRRw75jaoOY7eETHIiUEJDrOhp887QrpnYiIWgyUnQpZCSr7R3R5l - XXNMOHLs1LvvOHL7h+zYqR2T6bWjtV5KngK8B5kQkmls6xIMyNayogRiQIWsG8gk2NyMSygcUtME - zxfqEcLSer93ds/yc/7tj+HWW0+z1AYuwji18ESiQREJ1g0IQslAUKdQ4jyc89lRhpDOGuSmbKpm - AEJVnDp7cvfK4uKFEdbjA7/x5uW2pXZyqZz+CcbMAynGvb3ePX/09me+4GU7r61qVXJIm5fjWYvC - 2XZrJTNARGxkJglGBfmKqdS4klLv7OrHX/fTV50+NYwtaSwdkoC7ewGkzmBgI8Bg87qi6zfJxtUN - MFgSDb0wFD17+tzxOz68cO/Ra2649lS0UUBiA82aXcIGU87L5h8bJSgIbdpVDXdNR7j3wfN3fOg6 - AcgpkhFIwZu3bD7/lDS6qDGsrMZOE1JSB1QOMcUy6K7oHn7PBx789z97w//+w5WbjvvFWMyFokkN - gs314VCAiI2ywDvzSDoFC5NX1batvfe9XiUit99++w//8A+fOHk2BEcEETFVH0Jq4xPyu8zcti0R - daEtO103ADNrmqYsy07p7b13zmVvdyaTyWQymUwmk8lkMplMJvOkhJmD4ygyHA49SGBqs9uUW7lo - av5jjHI/6q29J9/dju7WARObmXa+7nmKEUhgAByo3+/v2rlz3759+/btW15evmr3nuXl5QMHDtxw - ww3XXL1/OByqakyNc0xEDFKYtUnn/m9m0jaqai8URVGISIxRmuiITbRJKaVUlmUoi5RS27beb968 - nk3O6vY235LIZDKZTCaTyWQymUwmk8lsY4iIt0RDcc5tGLu7qZWdAo2IOhn2drNfq2pKqaqqjd1u - mqZ7qW3bXq9XFEVRFG3bxhh5O8d9yWQymUwmk8lkMpnMZdK27WAwYGZVBZBSenze7otQ1aLwKSU1 - MOONb/zPz372M1dXV5cG/aZpmPn8+fMGWVpaappmu3WKM5lMJpPJZDKZTCaTyWQymUwmk8lkMplM - JpPJZDKZTCbz98gVDhnPgGMUvjHzVW88bQZOQYQyaA3Tmepsq0rH4EAEUyOFgVLbS4ZDD2KlcoPK - m0OUq/tDXIhYWkwSvUnhQ8EsTe3KAmAKXgAGE0AG1+0HQea2bgBMc2P3Fr0xCCDu3uEBv/3UxQT1 - UJv/AeOZdpKgYDcTSXl1niUBHNsUXAEQ2tb5MpgbuIBIYO1U2VAQd0mkneULwOOP99W9r3AOACSC - CcHrYDC5MO77EmwQXbn++jP3fpoEHjCzz56enTAPQKf3BiBJCtjRj336mpufAbjJeFQs7wgOn3rD - b9z6L74XOyo4mIMKHEvPdy7TK7gAb3P3bXNTpzAXiCc3c8WVBWCI0+SbUBmJwffR1Nd99dfBIoqA - qFBFcCBo27B38EUtknxYZVvfs+OW/+XVy8Xg6qICGYoCIlA4UyfJCi9MCjC4cFWSdlYYNHEUcqV7 - 5L4C4M6kmQDMCz9vPABddDja8nx+mLytToFMJpN5UuIMQRWAEaKz6IQMhQKEuqB6OhmeGj30hjfx - 4SNp7czSoEjj9sqq4wwxwROC64lonS44ob3e9oAnqydptIbVE3LUTT/WnjR5yDSyX7nqGu4P+8tL - /Z3Lw5073a4dWFnBoB+u3g/vETycBzsQwwgMaAJt2NUSmojpBG2LY0exdkFOn52cOjU5dWZ86sx4 - 9byMRhW0MrkJVBi5lFybHBA8x6SFJzhuG1FY5QmQSUp9X4jKTMBncAynMIEjENiDbGaJhLKwXV6o - TTIMA+oLNRUhlb3DXJbPve3m/+GfVC/4slhVo15RKxb7A/OaYrtQlevTdS5KMZQyM1casVd4ASDc - +XFZhSBMhgCAtlPw1V7CYL3W93/0k7/yxr2r6z2Nvl+NJnWvjl3Qg87ezQoFG3UW2W67AmBSnYv3 - uni1Hs6ByWGhTSfe9be46d3p619MB/Z67+u6JpEuJAQzi8rGbuiWBskldvAnOdq5zxlk5Iy9oJQE - WGInRqxYrhUfvevwH779GgTomK9w+RGFC2gSilBQm9jgetX6tC49bkj2sZ/5+ZvuPbrrVf/cD2i9 - Sm4YRLZRlhm4ZWagSok3OodIxtw0hOBc4UEwnhyXuPdZz9i7/L28q1p/93vSoVM9chzFNDogRuN5 - RdwZBMlmDm8CAQ6QjULL83MEAEGFIQQldN3VqCgZ7BlGJhZNOCYDesFLTExQS9MmeWBnVY5Wzzqc - TWePyP133v/WP5GFlZ1PfdreL31u76lPwbXXYnGAfr/2tE7UENRERDxdRpefNgSNxkIq3EmOFdBe - r6S6KadxOWmhHpMaR4/j8LHx331o9f77Th054qaTfc4tmPq6NlEHOAc4bpOqgT2BOGpSDxCKBKfd - OIBudF4kuDGHI8mu+o5v2v/dr5oMl85K8EXPkk502jqrlhZXJ5OQXM8PVcRIHSJMDUxGZfRkHL3K - trEpz0uFRq+JuWUHcCHslQu1ogwTrQ0ptm0I/VUaFCUPvullL3za/v/+kz97TSqvS6EZnS9Bhbfp - xSeRsgoDgLOuUDEAp3AGJwAhMMDQZKotgAagsQwHPWvraVSarO4reuO7HvjMT/9yuPngwVf8Y//8 - 24qdi+eHvSlRbFwIZXADQJNFXLH0VPiqGoiISONMihIrIuH8BZw6f+GP/+LYe97LD5+4GroYlIEQ - /HSSULh1kZ5HERBrU1jJCIFiJJib60WTEoRhNEuTjav8bDs4tJYs9noLR5j6L3/hDd/zXfHAnhNk - XAwEiJTa2FTBL1bLk9VRUZW1iTgBlKEheacwC0oAxYu6z19UCGtnzC6Sd4zWJSNVFi/sW/RDta41 - 2qRt0ysHo1EKvYXVYVh+xdd8lXMfe8Nv3twbYDIKDqkVXNwwNZpdtXkWGl0wc/SiK7dkYMyUyV1V - 3L2KQhtpyVCSI3axTgoNLqjFMjb72umFD3/s/KfuW135nb3PuHXpBV9ZfcXzMBwOSj81WhONJolV - PZgd5gOA3QVIN8dAHi9sIItmFk0dWQg2EO3VgkmD+w61H/7osTv+tj72UH9aHzBxkkJsh+wABVmM - TQMrS8dFoeM6kjoDm8JmOm0iB1MjM9LO3m1djHooGTwjCZgUxJNBtXbtVc/5v356sjg4Pa3Dzt1I - k0aiSKqKypKRsmMSQCkRhAle0Y9OabupnbcXBkRWgNmUDU6VYIUmITSqKHk6HS+NJ+d/+w/xiUM8 - HvlAiFc6RTUCRcVuUi8fXdXfext/2zf29++uTTbqNBh7hVeQIbltlMVssFlly2Ts4QoHgfi1Se/s - hQ/86/9t/9Fje63V1ILAQj0fVNuuEwGDkhogPOtWXNw143lzjYKzdhq9x+7B4Njxsw/8/BsO/sS/ - Xbi6nPqgs9oGSmIAyBTZ3v2YGHhq2mffr4Ez4zO/+Tv7zo97UYRcZIDgDbCZQB2z9u3lfD9tOkgU - s5s+bqbfNgFU4YlccKqpUSmcHDC/+uFP3vWvX3fLj/3Q8o2uHvTGlU9KXYF3BDFIrtYyj4EKmJ1z - TkScc71e7+ETpz7ykY/84Gte09QRQEpqRiAHILVyUYH+AkpVFxbEzJxzAESkLMu6rs2sLMvuDd2T - 7nmOGpnJZDKZTCaTyWQymUwmk8k8+VBVF7wDvutV3/HSl76UvVMj59wjxtUNUFYATi8dJ5zdbn6s - qWv2xT9/eyO2MhF1cZCHw2FnFSrLcjAYLC4uDofDqqp27txZlmW/6pVlOYvOrGpmRVGklCSmbkjW - NDkDsydCUlUVI/LM7BwAMohIVZRmltpYT6azX2fuZEXee+cc2Op6AqDqlzHG7j1K2DoBYHabyhgX - Z8TWzMp670wmk8lkMplMJpPJZDKZzN8XnaIbgJl1o3Bm1s1m7Jxnzjkza9sWQDfXcVvR7WExGKye - Pl2WJRENBgMA3SCeiACYTqfDxcV6MjGzbFnLZDKZTCaTyWQymScHZra4uJhSAtDND+mmlDy2vfvS - +TbdFm3bxAwivP71v/i85z0vxjhc6E9GE2YuSu88hdAfj8dFUcQYt2HXOJPJZDKZTCaTyWQymUwm - k8lkMplMJpPJZDKZTCaTyWQyfy9cYXU3GCmB2ZhEZDAYoF7DwpDKEvUsHJKBZy4oUpgDVI0MxoTg - yYSDubUjh5eeewsxI0YmLsZy/vf+aOWHv7cfgjeGABpd4S0m8o7JqQG0Kep+VB791Y1NCuhcRbjN - FnMRzEACOFIYd4IBRwqIwpQgotxGQDzb+ZMnBwdvxMIiG6Npql4BOMdhdnQb/vLP9xhd5+FIEy4C - 4MF0w/d83+lf+dV6fepgYONdu/ywPz0/6Ycyxeazy5LIrItvZTOFN5yDRd01KO1jH6cveXp/5+La - eLUaLvTPrMI86hY9ZsfsoAnkePb9NDusS5LukWx9z4ZW5JJ3drp0nsueZtq9R/yAhzNYUnXMcAQl - 9HtP+cEfOPuLr19gZzFS1WuliYUH0HMFB65VG1PuL6TAU9Yp8Z+/869f8/a3ofTo9eCKBkzOK1Ax - I0aYws/CuhHDDARmuM48gZSoLGCqKXIRLrJuG5igXQy4jcmnW3Y+iyMymUzmCYQANgWgBOuErTTb - cvH7FACMYewFABqPxCKkHikoSrEls8XR9OH/+jsn/urd+8ZrV1VVPa4rD0vdV/C8Xn+CHwtHImYi - BCrgmDXVlkQWPaytU1OrwRzA8AZF26weEueTozHzGULjuA0uBlcbJe+l8BwKV1a+LEJRucCmKaWU - YpOa1ppoMXIbfWqHcCGmsm3LKKXYHjWvyiaBjQAFpPM7OiZAVYPjmBQiIVBK1iYLhL7nmFoiVwSn - KiIgAxwsgWwmlzQDmJSsU0VeoojbYGYcVtbuWefJGyni0sIZZVx3/bP+2Sv9V74g7lo+3a9q5kYS - q/nST+tJUfh2OhqEcgo14nkjhzHzQc7TnKCYyURhX2jD8xEtlE15sF20sZMNs11ktTRASRnmVUvR - KqEf1U/i+K1v+/hvvWnfmdO7JHlVifXQF5C4GVzVujb9RuuCNsRqnfKtU3ebGQNUlE07KRVLrkjn - Rx/7zTdfv3Npmb+0XFk8n1IbuGVKiExullAAujYMbRwOs6H7tY1XNw6Wu+3WSeP4Ece+LSBVALN9 - 29oK0y1tNwDciY2N2Ei5q03mx0JAoVZKXKjFHzt16Fd+fcfpc5iul54hipm/8IrUD46hAu942rb9 - UMbY+NoWHESg59unLy585k/eeuyh1Wf/T9/V37+ou1fOKTXOKUGJN45rdpgzLfRmRuMLsqd9bmbl - 3FDo5sliZAq4woM5xiiWyuBRFfeb7N6/b+/3/Yu9B/bf/f++9TP3fubq4Ba4Qqx7Dl3g3nmOMGal - cX4cxoyZXHlTebjlwDfoBaigaZUI5NmUVKxgn2LyjkRMgUFgUY11s+ixSLAWFKMSpqOTzZm1ox+5 - 8wyjXlkpD1636+lPXbnp4K4DV9POHagqAEZBiDuTrhEMND/ZN3dmI0fY2CvIGFAjGHW+xVSockpo - Gpw4vXbPA0c/dc/6g0ebU+fK86u7x+MFSTuIHJE1U1IhQvBORUSgquzAREkNSMZdTOPNnmhHYjSO - LxTF+f7Ss779W923fuNk2LsQeuaDifRCMW5HRVW2SZh9l4wG2pCmg5RnHdPtCSupEkBKyt0+W5KJ - 1BKsLEuNNp7Uwx07z0zWz3FxzXOf/ZL/9HOHfuWN93zwQ/sH/TiZeAMxNnpyhi429KzG7arwroqY - ZaXBHJqEKsAEpqhC2cR2Mp56oF9wTFrEttfEAai58+67Hzw0efs1+/7Ri/a9+Kt27rmqVtc0UIdI - ZB5pXvF2/23WU9DN2pVmFe8GnWL24veDreuVdhdBkGnRNEFiUBlCMFlv7r7rnnf+zan3ffCatfpA - 3fq2qQKmdesYFrX0GEUJZZHaNioqD3LQBGvNGeHiQnVJBPNZL97AiUl9uUZ8YXF463d8K77p6y8M - ehfKnoR+XUtRVQ6IqRGR8WRUFAUxA8IGZaW5C3zjpPniVXcDEMZG84YNSvNWD4fpdFpUPpEVVdXE - 5FwZnTvaTrD7quWXfd2zxvX9v/Vm0bLf1gXNvNNzLk2QzTIkF78wM3bPU7NuUBRgRyrmhAMKg0At - OB+bdgAsM+HCeLo2mTx88q7bP3R8397+026+6TnP2nnLzb29u9ErjbjmGJmUGGAhdI/zy83GiEjn - 9FWaH/vMJr75HF4lSAsYSNHU7cnTx++659RH75zce6g4dnqf2I5Giuk4IAVGQlKFzto74jx5WJ3E - REIA0kZKqAFEjowAJhMQYGrzobXuXSkBFc4pzg164ekHv+RH/tWFxf6ZxUWng7pJyhRCCFUhdVQ4 - ZqdmRDqvt0EGVhCxsG63FtAVpStnMtPGb9Q/yrbR9OrgrdduZ3Bdn4wUUGdWaRqILkzTod/9w5N/ - 9GdPje3yoNeMpyU2PvXEt6+MtDXzjlKrJXhlHD/+u39wzY6lXf/s5U2PL1QwRsteSYU4zC8BF1ew - syPqLotGio1xTusG/y+vsqLZZ1UJXUOC7JHt6vlviUINjpgKsRBlkNLAREdrfPzknT/z+gPHz62M - J4jKgC8oNRZT6wEQSGfV9SWDrBupvXmQIhaAJKCmXnHl+j2HHvqlX93/E6/ZRzIOvg4uMaTTnIPc - vI1t89x3BgBCF8kVyNTN2hXbthXx+KBH5m+XX7ZRKmZHrQC8xRXGcDoertPJ3/2DCx/6+N71OHCh - SVFnkQc2NSHzCv5ySvVnudGgxkDhS0lNalLpoYBTVJTS6jm6Bx/9idfd8F3fvPdlLxmntO6YKSTm - xs060QA7ZTLYrMu3WcspMQCnm+WfDAbWWe/7i/hinfmcMHMy1bZNhl4R1sfTP//zP/+pn/ppYnTn - PxGZAWbsnIp8ru+7PDpleKfl7rzdRNRFw2TmlBIRdTKYJ/Z3M5lMJpPJZDKZTCaTyWQymcx2wMw0 - CRG+7Vu+1YVgRHVdl2UJ6RZEMEgVswkYwOxe88Xj6o9kNpPNZnM8ngQ8Qt29IRPa+lJHN9zqiKFm - kmAGgIna8dQ5V/rQfcR0tl1UPDM516mJRIQMRGSisWm77/TemxlEMR+8tfnXMrOYdpGgt3DJXY9M - JpPJZDKZTCaTyWQymUzmCaVb89g9fhYufXVLbAsCAO8jITbt+ng0GY0n9bSeTCf1dDqejCZjE+0N - +r2y8kVYXlxaWVnZu3fv/LObj4wte7PlcevK0Cs0UMneSdTxhbV3/c1fNzGpar/fvzBaBzAcDqfT - aXC+1+u95EVfFVxwjh9b4fak5bOn/KWL1S/ry9kuWgZ26drqx1htfdFebV2TmMlkMplMJpPJZDKP - k6IoRARbvN1yeYv+LuoPqeF1r/t3X/+Pvy5prIqymda9Xq9pGjNT1aZpOm93CEE1rzPNZDKZTCaT - yWQymUwmk8lkMtsM23DFPEYgxI2g5XmKRiaTyWQymUwmk8lkMpnME8oVVncTzAcScyCK0ZhNjENV - Li7T2sgpiDxxiFIz4J1LSbrVXESmCsBgBojFaIeP0k03oBxQYt+gPnUeceoqDyEQIQSBJqcFedKZ - OU0AUALMgQA/k1xdZFTrFpc92pgUYaZ23I6hr0jAXTwvh7l7iBo0F8qCUSPVTfAe9YVgjV1YBRFS - SsaerWRAVch3zstusI1oi/rrco6XAG8QFVcSkDQVLAH95dMRVxfQ2EIiO1o+eNOZj3w8QHiudcFF - lpTuf6W5iKxTN3VTHVUxCGEgmU4AACAASURBVKFeXR0dP7rwjOvaSbtQDVMzLaQ8/ov/ad+PvBqF - AaUZiD261BDAwwhRwQwASZMjJiIHMlhbx7IsiKAGJTRilSMDYnf02gb2vOEUJLWZ5YHnxhjePH7M - /i42NnHROTjBgA/oDy+U/WIyKYoiypgL5tT2yiGmSDXSYGHd04VBeYriS/7Na+DpNT/1OpCDZ7AD - c7F1wNSH2TeDXffj3d64MCvYVTXbn1A+MitptkeYl+tLsvIxRma3Y/nPZDKXR2eHoc3l0pkrDpt6 - ScyIIHMc1YFIkQB1pkRECCA1UzNLMFYwClUVU3hH1FJMITU7asWxkw/+xu+M/uaD17cyUIttXRA0 - bdTbNPeZPcGPJuCtwmUBEwqCJQbguquJQE1ZmbuWm0UTGHiBIAQlVoIQ2cwRyxuXfu3ceGTY0CUC - bGBTpzN/njNlg9MuBCabzsqv6z4unVWRFXDdLiZzczOuJXVwaixJQWCGEtTAs3CaM49dF+FUwIRO - cGgggqnNfZwEBjE5blWioiB4ByLEUJwud5/fveeWV75s8RVfGxd7DwXCcFAnZXOeHRgq6l2AWEHO - RANRJzGVrdfbuTsNXRN1i/byclXTWy/Xs+CtXVITxJSZmVmSsIGIkqk6NoJT7hLZKwAIaU0RAWJt - X9KeSDg7xvs+euztfzW+8+M3NdOhJK/KRgS2JHP37sbqFCbMzMzz5vbsaMng5itlGGxtU8CZGqss - U9LVs3f/3C/d8k9fXr3ipTsO7B639fl+6Uo/TnXPlZTMJQJgjtRRCmZgTNFzgRGbejKoejFGX5SN - qJGBlFUIyoCAEwNgr9vJ3k2qnTisM+qZm7XJycjgyGKM3nsXyqaJZKTMiSUwly0hmrJZcFN2LrY7 - oiyu17jzvkNv/PXy3nsWp6OFpd50bVoBV6hmmNUPqiCoIrCLEsGcYKTsnfcp2qi5unLH3/fuj971 - 8We++Pnu5S/bffNTV52MiVxVRh9S1JDg2UVLXBWttWbSJ2Ix9q6uaw7FlcuuzkDJgDC2xkE2gExU - xdOsbjFFQZjCXegtLb7ilU97yjM++n/+6sl7DtlovYzwgFMwM4y100jDCMrOmyTMQ5g4hdJcnEmg - eSeoO987VaQmKM26Ld1yL2JOpgxWVe72MqkRHCN1hZkAAQglyMe2lLTguJ1O2lPH2w9/6CgQyUKv - v3P3rt5Ve6sbD2JhqdixAytLWFrAYICqQuFhNjuJCdjwHopBGeMpRusYj7C+Gs+eHR8/Pjpz7tz9 - h+zCuo7WXUoV0VVGMC0E/aReQabGSjB1XZUrZqgcOyIVAazolL0GpFnLxAxcQIG2RexVZ8pqbfdV - X/r934sXvnCVrS77ET4lYbYobeEKTXBkZODAySK4UzMSm1MDjJPrPKbbaMmcgWUmp3de4HSmCjaC - BhgFIoWAybFHHUcIlLB0LE53X3/tjT/542t/8Pv3/tGfHvAYrE8KRSAwqRoiUIaybZteUTTagrrr - 5mwYxAwKmMExojAZMaAxOWIHsJlGJbCSEaEk8+26X+PxJ9bOfuKTp377969+7m27X/Si6panY3Gh - Zl5fGE7JK6yzyDvnQOjCXhOxMREZQ80ANTIjESIi5wUwNjFSmIEdcfchNnJGgZhVqiYuTEZQxZGj - a+9977HbP6APPzxspk9L2osSRJkRBZ4dAw6GhB4T2gQCMRJmImgiOM8WVSAMZudYzJm6QK0YO2Jn - URAcvENsNRWDh8oiPe0pt37Xq/DVLzqr6YIL/d7y5MJ6KLymFqSBghmo5GSqnfpXiTWgaxs5wOIX - fZx0Y4bCWEmNwAYWYjghNFCUHKFmiAZyIERTV9LSetJiaP1v/h9v8PrJt/zugXPY0SZOKRAblMEg - IzYVOAchM8yGIzq6YZaurGJeBdtMpqsVAwlsXfSeFjNRPaDqGGwwM5gWBJc0jNZ2HG1GR4+ceudf - PVT6sHPnyg3X7XnKzb39+3o3PgWDPoZDlN1QDcMTPDcyNTZmT2SkBBMSdSIghyTdxRhKGI9x7jzO - nsahe3H8oRNHjp07cSpdWPcx7VTar1ImlCJB4SixmakygQlkXZR5FTWibhQSJEB31qMbeSMzhjKQ - GDCdpY9ZZxZ3YmLMa1w8PCwGz//y6179A7jm2lMRjpeSNMzKZEhAEkdeoQoYdVZaBqnQRrvwH5y3 - uySa1jUP+0msq55aE2IKEJAZKYiMHRsoEZQcORP1RswQio1FI10i2TOJOHb64d/4vVNvfcfNcMuU - RuPpYgFrceXaVwpOrByC1q2DVRyvatrjb3nTubv/7uZ/+c9371nyS9V50wQ1FELsyBnEaKZ5YDgz - JmGYkRkxjJAogp0pERzUNrTNjzM92Ti1zaAqEuSCRq76mohEeevUTVG1Fj5ScEldMNdLaUfbYtzg - 0OG1d77jgb/6m70XxstNU6r67qxvzeYm5e57uv7g1p+ePTE4oy1bjMhgcACpDCz60fkzH3z//f/u - 3FNe9a3Fs29t2CYLw6kvprWWVZ9VzURZhUy8kUlIbEYChXdg10ryBCdaEUlM6sMXsb2blIEkrfds - ZgYufJmmLXPRsgPYi8FMvcIRmZTtdJ+OcerMqTe/9cifvv36cTukYpraynNriq55aADYMLvNwuha - 0I+rPNOsP0sE3sjc2UaDI2cpMVwBs4RAqoRW24EvevU0HDl+4pd/bf1d773m6186eMkLrJye6YUx - h9Z70uATgpBXiPPClmxWepKYBSYjNiPT5AxQBivU4IHOcbKNmoiZz49O6NKFyYipKYoipcTMUbSo - ytgk792kbn/6P/7H//pbb+mM2l2jYyOkxszbfbnjLURm5pzronVgHhOke6kLC6KqXURIVXXOOTe7 - A+O93/iSLzwFMplMJpPJZDKZTCaTyWQymcx2g5kBkMEzQ4SAvvcQgRFgMAXMWTejAtiYR9XdJtm4 - WXIxW8fcn2yzPa1bEgI8xsx0102dmd+tmL/JnHcATGXrZ82MQZhP9KPuvmt378xvTpOX7tuYDDZb - FkCE2dBxpwnfvKG95V5Rl7ObGx6PpSaTyWQymUwmk8lkMplMJvPk5nLHiGZLRck2Zk3PECXHnlhg - IsLMRJRSUphzjhyrqorBMTODyczALCLnVs8fPnz0nnvuuv+BB0+fPn3o8GeOnzxx4uHjk6ahS6Xc - Fz8C8MDCwmDvVVdfc+3+G6678aabDj71qbccuHrftdde362wMIhjB6gaPJGl5L1noJXUTYMkIudc - UmFmMzGzbvdEhMgx80Za0GOk2EZaGZBEQ6933wMPfOf3fV+3Tq6b79mNA3bDpLsWl+6769MQmk2H - 3050R/cIj/VnfT+pqmd2zm3MOxWRbtKpmSmMurXYs4U4nFS74iGztVqsqm1KDz/88JEjR46fPHH8 - +PEjR46cPH1qOp3W07Zt2+l0mlJyzvX7/YWFhaqqBoNBVVV79uw5ePDgjTfeuH///p07dy4MhxBr - mymAEIKZQdUxOedSG1NKg2FPY0opee9FxHsPJVUFkS+Kum1ijGWvElWmjQPcfPycqZHJZDKZTCaT - yWT+gdD1elS1m2ODi9fZdWv0zB7Re7xkqS8RzFxgSambS+McdeGFvMerX/0vv/NV3y5tdMwak2d0 - 3SIVEBwAFTgOKo/2zY972XUmk8lkMplMJpPJZDKZTCaTyVxhLh6uNJ6tmSPOI5mZTCaTyWQymUwm - k8lkMleCK6zuBhAKjCfqmUKhqm5hiAuN6/cAOIKaCRQ0n1oHdF7nmfoMDAKbsumpww9edfONMh67 - paswbWhKMNB0HWEHDDP7HftksHFb9AoQmFRggBqYoPSoim48Rgio7b4i6OJjMaBtwRSbOpn2lpYw - Wsfqqpco0VC3qODLEkSpHoNd0uS5wHzF3aO6nC+LpMmxY+9QONTJ7dk1efjB5WEvxhox4sD++Om7 - tTOXQmGPueCKtoQn64SaEIhFD+hotHb3XUtf/hxIy0kHidykufuXfvVpP/avsEBUFAbE1pjIObRN - ZO+C70R6ICImBqCmjriqCgBtWxdFZUDliAyS4AIiUmBuIQHsqCuMIIJ7RAy0i/f/kqPh2VbnwW7U - HyystoMYQ1FOYt3fs+vIwycXervafjWtetf/4A+hpKd7wzAoEaPYmrkXfTNtpM0lv/j4iuvlFert - fgpkMpnM9kUJXJTT6Zh7RZJkwuSDEZK0ysQKpwaAOBkTu+Cdk1E9qMoCUp8bDQqssMOx0/LxT3/q - d//Y3X9494XpjhAgrYGYTAwEBXh+dboSj92TuW/5EcEo50+czjZ6k/nnNj/yWBipXnrt3Cpsu6TN - Nrda2yPeCQDGG63Hi7cKDLr5uUt+EdC5pTtBCxAIUcGACwxjSYlBbYpCzL3eJLjVVE8J9cqOp33D - t9z8dV+L6686bZPRcq8Jvh3X/dCjBKeQWZyB7u4ms8LxLPToZwmp+oW7pR8hvRMCEc3ayd2KfSLH - DIV0mt2UnKBUKtWCKGkMBfu6Qarx0PGT77vjxHvucPc/vGM0uTbWlcSLf23jx7Zm1mOuqd8ahWDL - RiusWWmTX5ejb3nTg+95x76vfN5VL37+4OD1NlhonEsqBM/shXiiyZKmaMbsqIIKsXrviKEEEzMl - sIMlwNi0M1d6ZcO2u83c2aOFiMHo9PQEhZqKK7xTSpbaZMZUuYpBo3ShVDdM5KKQ1OxApL3Y4Mz5 - U//tHef/8q/9ocM7HTlYWpvOTeC4kvUDz85JkrnnHgA3qSVQD1SmWEKmJ6Yn//TP1t79nuqrv/rq - l3zV8q23IrZnUwr9hcJXk6buD6q1ZpJYvfexFUpasCMfrnTk4c7bN/+VrQVYN04iA8PgDJH5JIfa - F7sO3vic//C69Tf/3qG/+Mtdo3E7Hg2JVdQBzCyamByRa6QpLi5yPK+IFGDqvhqzHTDGvD7s8u1R - 6rct+7ZZc86DWTioMxQqSLOf6Iq+AHJunY+fbMp7j97+oWnwQiSE1rF6tqLQEFAE9s4VwTlHaiIS - Y0SbqG4pikoMZiWsTLGY1kXdHAgVty2J+NnqNkjXUTI3q2jVlDcPvPBQ0S78NAHOMRMxrE5alGAB - MyRi6lAvDx9UVM965pe++gdw43VnYNixaxpT2zRVr1RNGyk5zzbdrGuMN3JRtpO0e4NZhtvWsNqK - Tv+MrVkMIClxBEtRNSvL6+1417d985c980v+9ud+cdGdW55MV8jZtK0cq2rdNv3eoJ6OybHRrGho - F2K6k9Nv3YWuJznPi9lpOytFVpiWIktCV3s/OXXu1F+8/YF3vsv2X331c2/b8+xn7b7l6TYYUFmZ - c4kpOhcdt2TkQ1JVMTUBwAxP7JhBpqoiTZcbJbNjDmCLqWIuklATHTMMWD3fPvzw4dvff+6Tnxrd - f//ypNkn1m9rJ9rJlrsRISaCMc0OzpwJNk6wLefLNKYK8CFAoZIAYuPYaumDQZpkpcNUMBb4nTvv - 07T7a198/Xd/Jw5ef994rVjZbS6cPr+2vDCMqb64KpjnFMDzzJqHEt+O5e2yMQZgM8E8NsK/y6Nc - tpWMQwjTpj1XFHXEjm965fN377799f+5WV3faa4CQDJNbc9RShsjF/MWWned2MiyjebZLBQVGxEZ - 86yJi81adDP9NzaoMzhD0cqgbXYB5lycYLq6NnnwM/e/5/1NUa6a2sKw2LGj2LGjXNlRLa2EYT/0 - ymKhZzRrrJioaaKkJMmm0/rCaHL63OT8+bQ+0slEpk2Yjq4mrepp2coBsUKpMGK6aAHw7AK+NboQ - AGOiTvcOzCuorc1UgrKBAPbcqjqAnaZkAIKr1JXnQ3Gk5Gte+fK93/4t2H/1UYFbWqxjW5DCNsrn - vFLZWhTtC29OfrHCBomxLMvGDEBs6qIoisorBEm7hrgZQcyMTMBGKm3pXNCUxpMe6e6KqjZibW38 - /jvufMsfLt537LZQxdXzLXRx4CfjVFGXj1emfUXd8nIhIICIdUUSnXio+UjzgR//iWd98ytXvvbF - K4P+mhn6C0TlONYIngAxM8BUu9XqDuSYRVPUaSh9E9sYrej1RS+vyjKwsvNFGWOrEFeUKVlsUuUd - VDoJN6sVRhUHpBb1uIAvWsXZteaTn3roPe8795GPD8+cfwrQa+pCt1yUHq238Ng9iK1nzuwQyOAM - TNGZ7mri6Y/83Z1HP+Oe/tTrX/JVK8/78pXBsEkWEtWxhefoqHEyFTNCYYFdAbZGTNUYDAIRTOFc - gF1U9X2RYSxMruhJikREZNN6XApXHhLbkou+wVuiOnqLIdZUj+OH3/fpP/4zufPB65MbRjFJ/bJI - se1u0cyrEgVmfe25SOPxPm4q2LfsJgHukTEUQMYMLTwDiaN5pbIZT+748OGHjjZvf/sNr3jp7mc+ - ffe1B9bGk0nCsFooUIzqhopK2NQlV3jnnCQRg2empAQmEyMoKewLv+mR2UY0TTMYDFS102MDUFVy - LgRf17XjsL4+/tEf/bF3vevdW73dXzhmtqHo9t53kTs2x1icSymZWdM0ZVluSLszmUwmk8lkMplM - JpPJZDKZzD8oLjHQdDPSNh/dY776mN/2WB6gzOfB45TEzNOctzxmMplMJpPJZDKZTCaTyWQyTwCz - 6BmzZXmsokKWTEMIRogx9vq9JrbOOTEzIl8GhZ0/f/7k6dMf+OAdn7rr0x+840P33H9fEyMAIiQD - Met8ljgRbcxsvMR5NkOAZn28Orrv7gfuU/vvGz7v/VfvP3jw4HNvu+15z3ve/8fenUdbdtX3gf/+ - fnvvc84d3n3v1atSSSqVQBNIAolJyAJjmcENON00S+Dgjok7dtx2liHBOO144U7SBONkgWOnGzxg - xyybTrKaRfCywYCxie1msBjEIBBiUmlAKmoe3nCnc/bw+/Uf595Xr0pTlawKVdL+LK1a9Urv3eGc - fffZ57zz+32ve8YzV1ZWiCkqrLWq6tv7JwtHRN5739RlWXrvmWGtFRFiqopSQCkloTOokDXOjiaT - L375K+1rSwCxiTq78zzprABKwKD2nuATNZPno/bu05RSjLH90hILUQjBGAMmKJKKgmas5ZSSal1P - 9+7de8cdd3zhi7d961vfuvPOOyd1I20R2bz2hgnyoG1DbTUZs7bmW6/f6y8vLj33+utefPMPv/jF - N+/atauwlq2RJE097XW6xtm6rmOM3bIyxsToNTGRsdY23jfjsS1ct9sVqIiAT1T2tU4qgcyyLMuy - LMuy7MmtDepuzyiLomhPdx7uvPWRpRgXBoPh+gYzkmhRcGjkta99zZv+6Rti440hESULKJ3P545Z - lmVZlmVZlmVZlmVZlj3ZzNJ4HvH/ZlmWZVmWZVmWZVmWZY+/x3gr22kTBWg6+dIv/vLT2HaVtB65 - inHPd9Y/feuCFwFLm6zMxBJFoAwot6VZMk/3mVpzyOHyl78UF+5EsoidYbfznQs6N/yLf46ir8ZA - U+O9rSoCm9TmngEsitSmTBDsLN/7/L7W1NZStRmEIAiUlUBJUI8+/iu//PyyXBhPpZaOJHz9a6O7 - 7xqr7HzJj2B5Rbu9FOOBbm/3296KQVeJN1OEuA3SUMEsRv10t5ECQWAYBg1SAnXgFUg4cuT+X3/H - BfW0tMLRo/Frn75V79+3bAuN/rQemWZ734BUVZW8sRtdu/MlL5Kd22LRLSYOVG2UnY2n7rrkF396 - 7LiAYyVDmmI01gIUo1hb1LWfZ3V7a22K3jkTY7DOQARiAALNqvYUEpgjAHAB2DSvXaPNzXQmNCLW - WB0f+3e/s6Ikw+OTUg+UMW1fPjCuX/LmX0J3AdMpFpdgORlRtNV15/cYzbLscbH1dvPHet85zxI8 - CR//iw9feeXl1NYt69beggJAZnF9efJ53AixENeh7i84xCQTYrZckrCIEYpSNMSi0YTASLAmyQBS - TiaDKAjA9w7pF27b+5nPj+7as1j7rm96gE0hIRkCG0gEnefVyw9uiHlm/UZP/WY+6d9oS75tW5Kv - BicdYUUhbUhe2xGAHXmvCSisFUIdYgkUBQWvliHsjrLZ2+umq6687H96xQWveDk06aB/JDVDq+XS - ttqnOKwHVR9BhRAZiQUQhlhRAIlIz/7xvX3Xm8XticDMUVJbzQJRS2yN0ZggyQIF2MZY+NgJEVEQ - E+78xvBLXzr4ldvjwQPFdNRJwUlwQXoCe9YiShNBHSaKKdAUla96budFO6+7rnPtNXja09DvY6GH - TgXLNUOsjdZOmGqJsAmAgqMQ2Q7UkCirgJLRyApWhjoBokl67mSskpCqkkQ2ULbJkXK79E0Uy9Ig - TFV1opGVO+Jsk7qOTD3pNN6xgQIHD+CrXzt6x50PfP4Ly9PYHU2XweRr54yXxA7cxP8OM8RDfoqJ - oYpGIASxpiYZiUp/oe73u5c95aIbn1s+7znYtQtFB8ZuOJ6WLnQ6EZzGsao6UlTTZmIotuna54LE - 4ikVwMDTchC3sYFv3v3F33rPtn0Hl1aPrlACSRKQAIwEGGOQEumW7TM/sW27rBihWesQQmQAak/k - sM6+s8V66sS4dZs/eM4konmEPcNw2/8iiSZrlSBKCZoEiaDWquEk2i42mIgVqioiEDWqpFAk1vZE - Ty2RAQUf2pxyAzICiADEbYj3ZpIyzd4CK9ggRhDADIERgGBEo2GBwFijQQXmIJnDT7lo8ZUvvuR1 - r0qX7l6dRlRdIRrVzcLSwnQ6NXgSpd8JQazVGNJwsmt5OY7XO+NRp673vv+Dhz/6iaUDR3YZU/kG - VkOAM0jStllhgHQeIGyhBrq15Uq70JsfJRJmB0eDzasK0ASJ7Y6sOBg7Ja4VU8syWBhcsuuiq66w - V16BXbuwYxuWllB1AFLrEhthC7AKqYioJktCYgSOUIBIIkJE9EiC4QYOH8bevcM9dx3cs+fY/gO6 - tn6RK3k0tjEtOldBtEmkMAxJPD8J39w2CYDyibuKtuZA8zxUVBQKWHIwJSREaRJQgBMMVQv3Mx2/ - avezf/b19sbrh71uzUXDlmwRQSklIvPwNy1l7T5Q51wMzYCd3VhfEeDe737u377jkrv2XTAdB2l6 - XQ61FAWnWoBT16tbJq6Tc9DnUd7tFHL6L4nmpzUCRJCyEWZhE4giKAKRWdgoOEFlNg2TzJ9p1n5I - E5MahVU1qg5qRKBKKqFJBcMRlWCXwCc+V4L5ZauTGkvpgy8bnfjfwpsR6ZuPwtaxSETbrYg4BD7A - 5sAlFz/7n/x0+fIf3uhWo5ik2x1yIsM2kZF8yvbQCLAJSWWisdfrFFFDjFOrAamEYVGACIZhWJmF - SCPb4BCNb/op2ijYf0g+eesDn77V33N/ZzhZSKmSFFUYsAWTiolnfFHw9LVz+OzQqXAMIVsnqcmk - bu8waXnZU658xUtx803YtgijTX9hQ11whRoIIykrmNQC0BRcgRDHzhjAWNsl2zu6utatzmCKS8QR - XFhjp2MRsb2FaZSUUr/T4WZkJfgYXYg7kmMBNtZw5Ci++OVj3/jWvu/chY2Nfozdpu6m2GMi72fb - 7UTC/fzoc/ob9JQVEUOARBBCUPLW+aIaGlv3F1auefrOG653l16C3Zeh30OvRGE90wSAWjFuzJxA - lm1iNJqMYapD4UykdP4W4wvsqNHBYIniNPopnFpJC0raNJ2ixGRSjqdWgabGffc1n//igdtv9/v3 - 6dFjO0y5ZE0znpQErszGNBUOpHAym7F1y29nzur5hRJEwAAbTspTkSmZibVpaVG3b9923TXLL7wR - 11yFxR6sic5toKuD/pCm6/XQsbFFN4nhyF1hgkYTEydWAVjgAJw7JxfZ34W11nuvqlVVNU2D9tqp - Nd5HUR0Ox294wxu+/OU7iHDyDn/kG9Mf3darss45EWljvAEYY6bTKRFZawGEEJxzj/mJsizLsizL - sux8116gBUDtXQe0eTWNZ6eZBKrHOLL6Vy+46frVtR2T8dl/UbzldIA3L+sBONzrfnOw/NLPfxbb - d6RO50n0e4gsy7Is+35T1Tae5DH8rAH+51e8/L+8732IiWh2Bzw2f/d38oXs9v+eZmBzlmVZlmVZ - lmVZlmVZlmXZo3pwJdfpX4Hc+rNlp7Oxttbr9cA0Ho9t4QhcB192qhCCqm6MRl/60pf+5EN/+pnP - 3Hrw6BEG2r4p2kY1E06EMAPMzMwi0sZ4P2opelvnhVmp0SwN2xCxIkFLY6+++uqXvOQlN954480v - fEFVlMaY9sFV1RIXRQHSGKMhVtW2cYdlU/vGFu6Ud/pw9cJKUIIaE0Xe9OZf/MAH/2vb30SJ0uZv - eBUEXDBYvOtb32ZRM78SfLpb/Ozb/D34aRKRzTtOU4hExMwppbIsx9OJLZxxrq5rMuxcManr9eHo - tttu+8u//Mtbb731vr0PzB4EaMfDiYdtXwzNXgrNy3i2ZnUDIFA7ThRKoMJaTbEtxOp3ujc893k/ - 8iMvfdlLXnLdddeNNjaKomDAGCMxeO+tMc45P/HWWmZOULYmxhhjrLqdNon8wfv9XLs+b9pyV6JI - +uf/7a9e/9M/RaA0q0WbFzXhwV88tK2fMlWl873aP3ti2RyTf5dcTGw5oLTVd3mcZ09mf/fx336g - znJzvyzLsnNRjNFa2zRNWZY44xl1S0E9SVGWvm4rClEUzjcBwKtf/ffe+c53ls5Ya2P0dV13umVK - Cbl/WpZlWZZlWZZlWZZlWZZl542H7pBGRCJCZNq/MPOtt37uJ//R/4Yzv3tm6++q8i9/syzLsizL - sizLsizLNtmz/QQKJZUb3vrWI7/xG50muKoTjh9yg0VhTm2kqEIIhkgVbPCQQRlG06Irj33zWyvL - i3BdwPdsp3d8DdMATLTqMnNVlkHBgMTEzG1G0DyTeX473Xl/UWj2RmbvQxiEABQECC6/8OKwf59z - jq3B6hqaEMd1ABA9ChskMVG3cFCg9tRxADFY5pflDLWPf2a3Hs6ikmDQxsNaBQhLfbO4SATWAFVQ - WLr00iN7D4Do5Naod6eQ1AAAIABJREFUD/+w83zLtiYkqRaE3lQ2vnzn4EU3FDv7KBhNGKRqcvgo - hqPech8aQRxjsNapiIRkiwqKyjn1QoYLVwDgogDEOpdiNIahEaIYTWAsXEnOFYUoWBEB3nx7oHkZ - 4hltH2JYh8Id6Xea9XHsVdMKT3/LL6A0V7ODLcAO3Q6UVRIbFyE5tzvLsuwJQIEoutLpun17dxQl - vG3jJoEmOjECihZsUAAEJCB6rB4JBw4c+dY9x+/8Trjrfnf42KD2TxHtspImlQRWx6SqMQIAn+dL - mjML6n6InwfwSL8tPCk0V9vlDeuWzdYmnEJnkbDBa2FYyYQUhamqiiDhuFceVENVX3QXr33mDT/6 - o3jBjWH70gNSl0uLx0cbXLmi6m5sjCzMYqcnTSQiJSSeh+8qK858/fB31qZ388kV76RgJhItfLNj - OiXvMQ1YW5/uO3Dvnj2HvnNXs3df5+jxHU3c2cSyGQOhYMDBK+hsVsszoA0WHRYVcVTLqAmrk427 - 9973Zx8/all2bK8uuWjh0osHF160uGNlsLwNC/3BBTthgcrCmjppXFhYkzQMobClEAMqbIsEAbMy - CCd3JPg+I2VWERhSAAJqu2eQUTWpqSbTheFGt1uCgZAwilifpI2NZn310AN7D+35zvC+e/nY0W0T - vxzTVSGVIUqIhS1qRCStJZmEks/ugGs/v1vTqWf/wlABFCUjKmJM/dJtqwoZjkZrw43DB+755h1r - H/xgWt627fLLV664cuEpu1cuvNDu3Imqg2RRx4202uuVE8vhnAlJIGVDgDEHwtT3Bp2q2tYZPP+d - 79j3h3+4/28/6SfDajwpFZ0CMcILSk48z3PVLSc1ALZkJ2+elfI8x2L2tW755D5EOPejta4wRExI - IpIkAcwoDMUmWsCY2ZNGBWIUhXOFiEhMAmXAgJgYzAmRSFkZIqqQtsMLoWSACQRVEZBpc7uZNYVT - XqFpAzoiCDCWwEZ8UprdoRESjMM0qC+6q6bgq696zk/+OG561urOpQMxFN0OETSEslc0cRolkiF+ - MlXHafDO2O7i8uHRRFw1uGBhMhnu/pmf3n39c7/6H997773f3cHa8750KkCTUBEMSIi0basCFVU6 - OQlZCYDQlm4ipGjjODcPT4bJQEGgKOJ9T8AEOJpsTPyhQ4e+dvuIua4qXVrG9hVdWtq2+1Lt9IrB - UqffLzsLzjkiI1B2JiSfmlCPx81ooz6+6o8dk+H6aP/+tHrcjccDSFe056dLUXuWZb3pO8CxNCG2 - IeQMn2YXquaDPeHkhFfGbA1wYiIykAACjIEIogZESUilYyGMbHWcyuOuuuilN1/+k6/DFRcf77mj - oSmULJvgGzVsShdiJGXO9e8PS4zViKYJfly5YrByYDS84NJLX/Cv/o8H3vV7++/4encawzQtOJrU - 0nVFCL69PgS0VwbbzHjemuhNszY32sZamzNM1RQFCJoAwEApxvYBegyV2bG/fU5WqBIAbfNn2+Yj - TEoCIMZARExk2pepIEUipNIqmEU5CkQUaOdJTdLO89gSaos2nPvEEKItfwIAC4Nk64SfVAxsSogK - xxgzjZcG40t2/cDP/yyed/2xhf6aNbYkgUQ/WV5ebkbxzDbQkwwX1sawbCo9fGxRHSTACFihPDsd - aP+i7bHQI4wwXl89tO/u73xn7evf1LsfWDm8sTL1HR+6ZMBIrNyu7ZO0A/esHo1YQApLSIogcBS7 - 1nZFw3i4XPTW9tx/x93v3fh/319deenOZz9z+Yordlx+LcoKnQLOoB280l4CT6gMwgQxQi1MM5K1 - /vLiakpntL5KmpSsOkMBItGJLhq2xw5v8zVSRD1q9h48+O37jt517+iB+/ngoR1r437dXCSpZJQQ - 0sgxJcAyZsecEzMAA3LiWHWadB74PX+09gTPJO0k74JG48bD6WRj4+47bz+UfOz2yx0rg4t3DS7Z - 1bvw4t727b3BNnT727atoChgCwCrFOz2bccRRQng+YXf84/TeGlV6aED20wBPwV5pAbro3jsyGi4 - 4dfW9z+w9/hde5r77y+Pre2ow2KC9WGx7CGG2Ewqpqjqp6lbIqUT4/ykNSed3UZ3J55UxQA9oj4Z - wEyPHK+PDkff3fe9v/7MxmJFuy/Yfs2V2664oty2a+GSi1e2d8EJrgw+HBfD3cWYNM3XQELMSkYF - yuda67fssWlbFp7o82htCGFa+6qqvnv/3te//vUHDhwtCvaNACiKwnv/uDxvmyRERDHGEAIAa23b - Z7D9M6XU3trunPPeF0XxuDxvlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVPPNPpxJVFEzwZ - JmeTwhbG2erg0SOf+tSnPvShD912223HN4aYN+PYrIpsv2xrMNoQ6PYOxja0u/UIKa3MEIECaR7a - TQRmAiCiba1CneJXv3Hn7d+4E4AjvPjmm2+55ZabX/RDu3fvJqIUgk8xhOAMs2XDpirKGKOS9no9 - 7/0Z3bLbNI3rVF/5ylcEUJAxxqf4iHeYn9/VPs65GGNKiYgEqiIMFZWQoi1cUq2nE2ttSPIXn/jY - H77vj2797OcnYXYrrM7LyVWxWcWn8+T19t8ZmFeWzbSVM8aYEINCkyTDRlVFpYlh1sUFGE4nt37+ - c//frZ9529t/bffuXa//Bz/x2h97zaW7LpnW06ooTVVI1Cam3mDBN01SFShEmJmINMnftU48y7Is - y7Isy7InLmMMgLIsJ5PJYDBgZhExxqR0hv1/iLz383QZNE2oSnPDDTe87W1va/Nm6rpOKfR6PR/q - nDqTZVmWZVmWZVmWZVmWZVmWZVmWZVmWZVmWZVmWPaqzHt3NIHiP0h4TdTEuEdwFO7C2SlU31Btl - Gy0IjqKssHRy5dQ8M8MobNOs7tu/MplgWweSZLS2u9/79m/+X1f/67cg+ZjIuo5TQIHCgGT+QG1A - yxNI+3baDbUZg0SCphkfX98BEya1EDr9Xlzd6LBF4eADYtDSAECMmE5QLUOFidsk7fZmRn1MMaAM - xBQNE8gBgIUPviCJg8HGxrpO6o5l9Ae45BK3+K211bXBaT9LW6wlKgoosYr0jD2y7/Dgvn2oBig0 - WMMm2Ea//R/ec/Wb34SCkJJdWh5Pfa/qGgdEBQEgYgIhNo2tyja8M/pkbQcaESYQgZfP/9tfxY4L - bvpnb8BC13Egw4CAGBaeAMAA8yDO0x9RHBPZhYWr3/JmSICLMIrgUVaQAih06qnjoCA2qMU5uyXR - L8uyLDtfEaTreHD04Ff+z1+brI+qmgt1YigZ9TapJusZql5CCKGuPZpmurG66EqXxAxH/SSLZVmx - SJi26ZU6T3z1CQqUDmdaiXCuYT2jVcejhqgJthw/FRBltGFjBCFiCCCkyu3SAPMGA/MfMLCajCIS - lJJ6Gza65fGV7v6qe9nLXnrNi1+Gp1467VejwnqHVHQPRm8HC4UrNMJptAqJSYwm1siIPE/OFiRi - +v41BlBV0raTAjGBFZLSwsZw33/+T8WePWt3750eW7OiFduLoBxCj9h63wE5azWmJIIGTJspeWcL - M1IAAZZIwZSaRUoLttjhUzy0Lxw9mO643UMPqR5STbBwHa6q7tIgbRtMd+586qv+3sKzn6GDvg9J - iBIDYChYSZhBcm6dDCiTWEOiFIWU0DaV4ELCioYjn/nM/R/58/6hQ8ON4+IDGpAnim3WbhqQbGct - oZX31geOUIAZKBMzYkqO0CmL4P3Z3V/zuFzZ8i8ASGarb0vOMWkMoQ5NExxhsUDP8HTcbBvW4fC6 - 3v1Abf92XTU5552x/YXO4mLsd+sdS9e+5hZ73fXHTOdsvoMzwIoi2SS8sLyyNpkkLsa2WXnqBbve - 8sYLPn/Dng/+id52+66UWKJl7RVIDQAIzeKuTZuNrGCFkGw9M2WFFcHJJ6uP3K5ingP+0Ls3qhqA - iA3QhjcjQVSdgyqiQhWqIAIRjEGKHoBlAohUAVVNUWa5noWKIRBgCAyoghQiKlBVsM5SOCEJm+HQ - J4WOM6BMnEApJEAdgUiFOBDVrlw3fLDf3/GaH33Ka14dduyMS8vTybTDVdFfWJ9sMNQ5O5qOiqo4 - 0VPkScAoCpjkRSyKTl+ZpqrHuRgucPem6599w7v2ve+/3Pdnf3HB4WPbYmNYe30bRslACGoAA+iJ - 0dEehUhJhYUBoyefaM6+dR4yTBSTRszSr8lCBBq0ZBRCvWiWiXzwfng07V9LxjTpK8LGMw2ZE83j - jgGIQlRVLbMhttBOUk5xO5FJCdGblByhsEySdCpFSRI0BBGgZLAFlK3KlnBxneV2E6AgnU9BDODE - RKQBjDZ5WZUTQQ0nC9RBmsWFrwdvrrvi2T/7M50bnrdmzFCpGcaF3qJxXDdTVQVJoyEx3Kkfyuwk - KUiUtG1pZTKu15vptoX+hjHLT7v80l9543f/+MNHP/LXT5n48Wh1wbgYo7G82YVHCYlYQEwgnQVd - E1RJhJB4NoewPso0uKnt6BR0diWLmYiIoSTtdAYQToQUU3ukVU1t7Hs7IQIyu8RTsVMVSSJQM29B - ZQlxGmW+CG+HhWpCSpv9pBTYsrKEEoQlzd4CQ4kBKJOCIQCRnjSNkyWfIitXrjNU2mu585IXXvOP - f1Iv23286kwiiNxQmoVed7Gh+tjQFp3c1OjhKMnGdG1JdVDLZ971uyv37t/uA1ltmqkxToBABBCJ - akyIkWMcHTuiksRQQbQzeDuu+1EWjLVl4ZtJSHAFVMACxwgB7V48y28Dtl0YC5KCYxTAFVXUuvB6 - kTEXjhv/9fvqr92zF5gIiqrT7VZFr6Ols7ZwcMy80YwEsShZgMJ012COLfRf9Kafj5fuOm7cab4Q - ghSkSFMxIpSSTLpJFidp9VOf2f/Xn8F99x49+D3xzYItt5FZSp7r6ZK6AkjJa1IAzhkqjKpEUQFA - zEoAkzKDMWv7drpbVImFCGAn1B5wGLMOc66y0cdGAmmsiDFquDHbq8KPD6Xjh/2ePQ2biZqjMJaZ - ySRjioWF7uLKdOf28XVXPu2WV5W9XrIdkfP449UP0+VD9/ztb71n5cB6uT4crR1D8hRCyTalBFUk - WVHtMPUIhVGTUtkp07QRJCITGKLKpCTa5t1vXeq38/PZHvxMIAYAIUKSCBgVaChABUvhm7KZroxL - 2pg237j/YPPRoqr2wmuHisV+tbi8NhjoDc++/sdet8adiXXtxX+oQNkIA0hnOXo8++8jRnGuEJEY - IzNNJjWAfr//6U/97T9905vW1kZE8I0YQynpltzux2H8tt1AyrJsmgZA29ZQVUMIzGyM2Wx0mHO7 - syzLsizLsizLsizLsizLsizLsizLsizLsizLsuwJ6YxyqfFQNWLtI4ig0+9NJhMFbFWp0pdu/8of - vPe9f/pnH/YxpPldzdYwoCmpNTbpLJ+b2hrR+U2MJ55rnkz2cLndAEQBBtEs/FsFqmjrH6whqIqc - uOfSWvYin/zsZz/xqU8z8LxnPfuWW255xctffuXll7FKUVZ+Wk/9tFt1jLFN00QR3lIu3L53ftBr - aSs42nuVnXN79txz7733YkvmNDGrPPjOTzkHW288eDw8cjlMSimlxMzOOW5vrgegOvXBOKvM99x7 - /wc+8IEP/vGf7D90EICA0jx+W1REQcwKJaJ2dycVKIhJVaEq7Qak2fDAvHYmxRPbM0oC0N77KjEx - c0wxoS2VQS3p3gf2/uo73vHO3/z3P/RDP/TGN77xB1/wQhG11jJ0fbShSaqqYuIYo7W2KkrvfRvF - t3WbnOknJcuyLMuyLMuyJ6q2KM9aOxgMNuO6Tzu3uz2XaetOFQAbIuIYBYSrrrrq3e9+9+LioohI - CimlpaWlY8eO9fv9EEIO786yLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMse2dmO7mZA0F/AtJn0 - K5OSbgyJPJzrLG+bbowLiGWEJMacessbzYuySEGEHvEkxOldezrXWnQWbHdQTeuuKoZj3jYgNgAQ - FIbA0oS6cAUAat+gzh+R5Exyl889J+Waz94KA0iANZU1BZvSWBQFVld90zhlbQKGY7hCVW1ZTEdD - sIP3cJYM2iw52fJ4Z3TnIc2iMAAyAGo/LQtnXAXQU3/mp+55xzuXtYem8aNx4VxnZSVO6+S9PaO4 - BIIAbDjEyNIM2K1/+95FW+HqK5xDo9NKy22r8b5fe+chDTe99V9h3PSMw2QKwyBGirAFmCCwxiII - phO4yiowHsMoED/59l+7MvAzO73vrW4gKlJka6EAqRICEGcvZZbidfqbSAHYQgEqEsioczWCK7oW - jFphHHWd91JUjAQw4zwfnlmWZVmLIbEO/Sbol29fHjVlZAglISEUpgFghIkMDAOgKCzqLKeNdQPY - ogCn1IwVKBhQwBpo8hEkMAxWqDIg53m05COUoussn/PEnwySh/+xze0wX2Eo49RainnwJ7YsCzf7 - HRDIdYe+8c5K1dnQOKzc8jOvu/wFNz3jJS/D4mC9U60XJpUusUrwKQVXVvW0iUPfL6p+2Y0+BE3k - bIS0ud2bZhne3w+EWQkK5snBUNUkxWR6z4c/evXR4xd6rQDLhogEKiKWjY9eZ+mZSiAmViZ5iJYH - jydmJIgCSm2lP0z0TkMJSDNrGWEAIpBCAKVhIpP27z/CGO1Ysc95plzzVEKH2wRPBUhmKZ0UMc9O - PpdQG+Rp2pdGEAip6MbG9K579LY7to9HKyEaRoowoIIcwSSWSCmpQMQoDGAZsIgRG+NEQFXCKOra - Wz7L0bOzjSmbf23HuSps+2FLkUAFG8cEEpqFRMuAMDBFigkSmFhV2dlJDPHIMVTd40bWFiw99xmd - a68BzpXoboAZJoVUy9Q5F0ViYfabtLLUX/7Bm6695hn4yCe++aE/s0cPD6K3tR+Y+ckVAEDAvCW9 - G/OM13byMfNEW513HnlImzNVu7kfbte2jS7aBiWF4fYQo5qitMFyszdDBENERFHauWoewt2e7SiM - gcgsD5O3vCrV+QkogQFiqCIpmOaT3knTnSjAxFEkAaW1STWkMCHUiwsHFN0rr7zhf/2HeNHzjzhb - lx3vw8pgm19bnQ4n3U7ZNNNmWnfLrsi52F3lrGKYJCH5oJYRSSxztzdSn3rd5vjx3T/xD3a96If3 - /dF/vv/2r1R+3JnU2wxzaluvaJvLPmuzM9sXW3YJgbekdyvP0tdnidsCw7BMAk3tjExgJqhyApAK - 4q6SIigiAGYL9YqUoJHaCVyZUDBpUhHMu8EwAFYkjRYWxoBYko9JCHBEsVEAjkCWSDR6CEQB1x73 - lefzOQCA2uUAp/ZjNh/BpCAwWSdRGo2WGQ511BFho+PGg8FNP/Hj9uUvHl64495OMQ3cLTtdcD0c - 2YiiLD0aJXZsfD0tuDp7O/d8x8pMcM6tr64tLCwaYzYmY9vrfW91snzZU5/6pjfgymfc8fvvWzQs - zbRMgYMvZvuOZws6ALPAdWWF0smrizP/rJdlpSnFGGNShZp21mpT3udzpQg0AYACpu1TBGo7ERHU - zDJp20hhpnapoaoiklAyqSopaP7pEtVTLts8xNQ9m64F1L7xdjDjlNxYIaSknpR6g2NCk5Ud177u - Fn7d/+iXOgeTeKg1lhkMHg6HnaQd1wn5gtEj6i4MutMGdeO/fTd/+95qXFsbuykYdsImtgHvgCYP - iRzlEuMARJBASWGVDBlEHemkX3YMyaRuLIEBSSgtopzd9ZU1JqYQFcQEo6pIQBJMfV0SKmOKmGiY - AJCQklECTWpeVUGqARGxwgJc2K28eFPQdNo42x2yqQcVUigkAqcb3Q3AEPvQWGuIDUWtGOV0cvAL - XxrcettFa8e3IToDSNMkKOAcKDYGKJjBBpokJA8kwG3pxAUAsyWGtOvh0301s/lBhNTMD17tD0/q - WDooEEW7jko2ncanJnQcoiIgJJAVGGlnIghBjrLH/cPlRV9FJ68sENeiJ2PO3/PrMiWsH55+5XML - q+miQM103HGENDvvVTICJFVSUVZVJMEEsQQ5Wwipl2itsYI6hIpOmtZ0c5Y7yxsnbZ5vGhjDzG2H - ucRlmZqpA3aQlUaaekigS5yjpvGhEUUyq1rsX+2UodvFaMQLhZBrR4duzsbZE4UxJoRQVVVKSUQW - FxcPHz7853/xiV/5l/8yhACFKpwzKSVjzGm38Hh0RKSq1to2t9ta264URMQ5h3l/w7qui6JgPl9n - kizLsizLsizLsizLsizLsizLsizLsizLsizLsizLzp7NVOM6ePJWDdd1/ed/9pHffc97vvGNbzSS - YCi2pQ2GCGhSm9WNkCIAtgaAzAp+gDbGm6i9cbG9rfE0XsSWKjKa1z4o4uzGYzhnVDVGCVGIMA0R - QNWtvnzHV7/0ta/+xm/8xvOe+9z/5XU//tIX37z7kt0b6+s+hm63y9Z47880sLnTW/jzj388qDjn - 6hCCJAKpPLhC4wmCiIqiICIfQwgBzM45JbA139mz57d+57ff/8E/FsAyJ4AJsS16UZ3tMIKqoL1t - dbPWDyfqxmhLPXV77+vWZ7fWAkgpbd4EC0CJ2JiUkpfU/rBACZiE9Jd/88lP/M0nr73maW9+85t/ - 7JbX1HUzGAyi9zGlTlEItGmaqii35nZnWZZlWZZlWZadwlorIu3ZSlvx15bmnWHp3+xsV0Tb7gCX - Xnrx7//+72/fvlLXtapWhe10OkeOHFlYWDjbnZGyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuy - J4azHN2tkBDYMIBVUAMsFA6dLtaOlTsvHB84mHxtiamNWKRZfMeJYJt5WRArUhN7TIfuuuep11wL - AJORhV02na/97u8965/9PG1bThCTACIYGOdSGzc4iy1sYx8lQQxwfscjK9rAHwEMAQqrgChC0+l0 - 0uo6rJscP9atuiQao+92evABk0m5soTgO86+65bX/sKH/wQdhgEU1ObQnBwLfvovhiCUNBkoYIpC - oCSCIKjsRr8zHk+XisoKwVC5c8dw/z5DDz3mtpbk0TwOREmiISJVSRZQSEnUbGwMv7VnoV/h2qfr - aGQgK6bbnTbLhf3e2985qsqrf+FNYIUz6JRwBhyRFFFABtMaSphsYDr91u/8dseigDwTPIBOjx2+ - sFr45m/+5rVv+xdKSmqgRgg6j8Hj2XY6Yz6hdBUggEgUsuW08R1TCqFRcIdrQA0KRa5Qy7Ise2Ig - ZUcFpOglrVLjE6AAW8fGIRJAiqQkClJYEAESlSwTyIeJqhrAEgEUVDgkAlmAjQUbhFjHWGxJsz4f - CfHmof/UyEMSnR1zGRAhZhXMI8Q213Dzn+ItSY+zIzZBGPOQxvm/nvpcCuU2BBqeMTX10R6v9rru - iisufuEPXnXTD2D3bimLdRZf2MaKcIwpxiYasgtlr6mlR53CscbkpzUXjkuaxAbWzLJRlY0Cygok - gtGzu7MeLiCciFjazDLFvOqeo/TquE2NKy1SbIIPgCEUhn30FjDGAAwRMCXAp1id1fGmLImUjKom - ETZkjVGk6LWwZAwkAfPAYABEqEXYSMUYGDtUby0Fjk2YFNKxsEoCiBUIgZhTO4TOGUpQUpAwVCAg - CExkG4nLatlQlTyXqYgaYUyyltjEemIESGACgQB2ZInYS82eDfHAmSRNbACgsu0WO4tv+eE6aSTA - MsgYJBURFVGZnbYUXIFZYqhjZGOojcEEnMYChCQ6bnrdop/YqonnWJONoFoURSnBItWpWeg68jwa - Tqgc2EW78FP/8Nqbf/C+93/wgVs/u300dLGp6topWJCIE1EiJjC0na2kze0WmgVgA+1shTaB8sER - sO03KyAEJRAAfZjPu3MQkZQSQElYRBUCmPbTQ0TEKioqESLQkguoAtI24yAiQ2SIUlBVZYBmI47a - 7h0ingAmVdX2NHt2OCMjYEYizOfqNgkXYCZNRGzhiomvE5B2bv9O5Z7+92/Z9cr/YTroN0VRLizX - TbDW7Dt+cNvKUlEHbYKxhQ8JU5ASmcd4FnaeSirOOVJhy8N6EpP23KBphEDcWT5WxapT7vrVt2z/ - whe/8J/eP/je/oXxpJzUIqpqmFhZSUUlGRZS8JZUeIVJgNHUttYRzD7M7ThkggqSKECOLbgQRUie - LRQiIqxqAJ1lzVOUuo1ytxaWZntIFcm3EcggVkChs3FoXRlCk1KsYNmWRQpJBWStGsCoxhgCA5YJ - RNLOYgSlWcJ8+yJJwWAhCsxCMCqkMApWRIijmCCGiMpivWkmTPWunfYFP/Dsv/9j2HXxkGkdFBKK - Xmc4nU6DLPY7GvxkPLZlQcRotGe7SE+iwXamSLk0He+9JY5+wiFWBI2Jiu6U3TCllVe97PpnXvH1 - 3/6D41+8/dKaFsESA0Pbhk5GYGhWSSvtNAhw+5/MvnyIGOxH5JuaAAPjmEEEBVShmpBI2lkK3LYf - IhbSWiKQiIhVmZghpAwIgUSTADpfbTJgmLXtRgSoKqkStRP6bMCzPsQCzGxZam6du045dCoBys7a - purcpepufNYzfu6n+PrrDvlGxfW71XA6QaUJsYjJmarX6Y7HY5jze/1/VgmsRltP68G4sczd0tnJ - hkM0FsF7BizATAYq7aC0iCm0g5MVFoaNQ4JH6LvBpJkQcbfqSvKIkQkS2xn1rG5/Q2y8JlUFg81s - KPYZSEgxWQKT+AQlGGdCbJdZSoSSQZadMhHV9dCRsqAIKCj0ywIVA006s1ZonMCgUgVG1ClXooBv - hlNtPEmEgRowoVCoAhGqSASCkEi79C4JKKz4aID5phOZn8495GLmYSkMZssnnZ8Ytm+oY5AUsDBM - TYzeowA6lYt1EABMjtkRsZEIVQU7kAiFOk0lNRMUzrjCOCePW8jv94mII1AIMo3t8o4M6oCKlFRZ - NxduJrESpFMW2vhRbABUlU0hNUm6ttAY24drH5VO7KmzO/nM+/5Bkyq0zWCGIjSNJRgGNBLQIQBo - ktTCtux0SIJmFExsAAAgAElEQVT3Jth+UQ6DgTghF8kKjAIKSSwGSjp7K2f1LWRnGQOIMQIQcFKy - xh5f2/jIxz7+1rf+mxDhnAFSURQhBFW0f/fePy7PrarGmBhj2xkEwNraWtvvMoQAwFpLRFVVPS5P - l2VZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmXZOejkPhiP9J3zMlgBIODNn1WQAP2FxUlT/9H/ - 8753vfvd+48cBkih1hVN8MZZUsQUoWBriCjF6MoihCDzYDNibktENUkbw3zieYmY+eEi0JgYgOos - 6gzz2O82RC3FCCDEBLSp3qSqtnAppfGkBmDZrI5Gf/XpT/3Npz+10On+6Cte+XM/93MveP6Nk7qu - 63phoScxnLKVHu7+4/Z7jhw7+uGPfuSU75m9tvYFP8rDfJ/pliqY06mFSSmwNSqUVKtut6jKtbX1 - ++6//z/83+/60w99KEA7nWo0rZNIWZRN8GBAlQyDGSKKWb0MMZ8Uyz3/+ylZ3QCYub3fVUTi7C7x - WeK7qipY2jJHtiCBzIYGg0CqisLwXXvu+dl/8obfe89/fMsv/9IPPP/GHSvbm+FwPK0rVyROzCbG - aNpGPls2gT6m+qAsy7Isy7Isy554VLWtv2tzuzGvEDwTs5YY3W4xmfjC0UUXXfTeP3jvjh3bx+Nx - t6pUk4gcP3681+sx88bGxuLi4uNVV5hlWZZlWZZlWZZlWZZlWZZlWZZlWZZlWZZlWZY9UZ3l6G6A - rUMM6HRe9kv/+75//W/AhPEUXGBpW7QuNnXZluSQIQIEzLOcxc0oDgCkIKBflB4YffmO/k0/gMJA - xISwMJoCBtPaVAznAITYqD3xvjbzGnX+55mFlZyTBKJAAhuaRULD2uHG2kWuQIjdhT7Wh+trx3ea - cjitSzboL9STaccYQ/L8Zz0HpoAqFFCBttV2j3WzCCybCATAgNA+XFFC9Dm/8Kbjb/91XR9y0YGf - 4NKn+G/cmXzYumcfhXKMUhSUkpaGQTbGepE666trR2//+nbD1a6LkTzY9aIntb1gF6N89+3/jhb7 - I0dxeelZP/2PoALjIPhvv/7vLyg6vLF+gSt5MtmlYuuoGq2KCbLY6QUfllNE05BRkAFzG2xjtoyi - M91KAhgDCDSCCtu1NiqqsmrT+xyh2XxchzYB4gyfIcuyLDv3KDvjMPGFkhFYAlmQIsXGMQzaxFaN - AAhGlAjGUJQUEpjhDBiEpCLqjJGUgFnZvKRg4SouVCIU2BJxfR79OUvOekizPMKT/mxjEdv1w0Nl - VJ/ytSh0lgd5cum7EhJxZPLMwXBjuLGITCNnFy6/7Kk3Pv/qF7wQu3ej01u1ri7KxrCSKCskkGpp - TMEmBgmNt2ocWY2JBN2q4yFj35AzULSRvUaFlQWIDDx8tPbjaBYZ/qB/b7sntP8+ywYWdJSNjxFB - ARgU7bZNUhaIHj4l5pQAERhDhSFIWz5/tsaDtq0ECMwkUB8iKZiRkm4m8ylmCeQKuAKqYIFIjNMG - IsKGjIMw6ay8X2b5oG1E+7mV0yaz/TELBAXahSFiTJLUghmsCokpQIWSY7QRvAwkqAoEkRQFCjAn - aUyCCEqGCCBbg+3P0qd4KwakDZa2xsSYRJIBmKFtwjSTUZMkQhRkjOGkiQnOsmH2Pjpw13U0aOMF - TQQx6zm1v8RYjrEpSevxhCoXQmjG9bal7etTz/3F9eRXrrz8sn/+pste+tLvfuxj3/7C53YaGvim - jGTaNzJ7O+3uFkC3hnDLPJm7HRSnpHfrmZx7xBAMwMzctspo+6jMIrahqlABYMAGrFCRtrBt9uSq - qrOQezUwbb6jQEUTZnGPTLNM7qgCgzbUGwJWMKmwcpveDQDtjGQUCQ14aO0xU2J5afl5z3rpP/4p - XLRjY7E/sjYEitPaGAOS/nKvaSYmJAJUnGObxBpmwZOoNE4BOG187cDRh07hYDhOJgUZBjUxNqXZ - 6Ha6lne++IdvftaNo4//5d3/9QPLw6GZhtLHjqpDQhtReWLft5/beUSyMkNmc8+WDGAREGDIqEJE - FUFhDDuVRlWIYJiBNokbloiFQQKFzuZaKEEVlkDzcduGbhJARDE0zjjHrCGkWBOIiZMkAycQgBwK - RUiSCGCGnHTQZAFYaTPDHmhDmpkV3A5sgwidsPqiM66q473uhdddd9VrX43nPGtauNCvJsyRjXOu - 8ZPSWefcxE9t0qLTFaYYI1QN5VTkR1FPplVViSYfQ9dZVZ2mQM5OEvNg8X7UFzz9suve+svTP/3Y - PX/y0dUDh5cNVTEaFYIalXahMovybs2XauYxbfqC56nukhSqUAITyJBtZz2gTWmGKAlFZoBBqgyQ - CrXXxICkiQHLABFAIiLarkBnAxibDapam62gtly0BE6a8E9dkxFmAxgQYgXV1h4DT7dve+Ytr+q8 - +pWjC1buZy16yxI11IGdC4a8992ilGmsY2NNEXCmBclPLk0dt5dd0Lg0JH6aJJYWKaJ0gAKJVGbL - ykRQgM1sFuR2DycPkAVCmDhrQorTOjhmAxYVS0ZVz+ZZEnwKzrrCmJB8e6F31hLNw9DswjgIlhEF - kGQYPE+LDwSopOhJ4SxSAisGDqoaJmNatJiNwdPFKqpaWsMqkgRRUhQQlaUrmEomzxoCTIIlkLJA - lZWIVFQUBLAxEAlNNJufhfYEbfOrM12vEoxCCULzB9F2s1CKKmb2mD3LBhTq4Lhd4pAmVdXUHg4Z - PsEalAU6zgwlQqL3XkzxWMfdOYEBRCpRkq+drf5/9t49erLrqu/87r3Pubeqfr9fv6WWWpItS21k - 2ZYxGEsGG4EdwDCGYJEwCU4yCybLPIZkWAxj8AxgbJNgnFkMAwsmk2UgmSxYmTjL5k1ABuMxGAKY - YDyWZTB+yLYk69Hqx+9Rde85e+/549xbv/qpW4+W1VI3Pp+lVb9S1a2q+zj3nH1On30+gq7P1jaY - RHgCSAkyVE2lT0We5x0BTQCYNWVSNCBYuXpuxCBbBqgr0emF6l8YICWyhJkPK8gREAjEgENLzSog - AgOBAxSKTOYR4C4jK0JDPgQ8DjNihhoVX7ygcunTtm1KaT6fz2az06dPv/Wtb/3FX/yPAJg5pV1X - d9u2Oecnd30NMyOinHPRdYtIeTHGWDZQ1bLepZkxX1T92UqlUqlUKpVKpVKpVCqVSqVSqVQqlUql - UqlUKpVKpVKpVCpPA0P+4Jjq6AQDnPCOX/nlt7z1xz/y8Y8xkwMsopq71HMQXTrMmIqrm0XSckrk - MrVBSx6NmFlJc1j6mB/J2w1gVfINBzG5msNRdODM7mVC+O5mqupmIDBxLvk+gAGn5ztv/5V3vuNX - fvkFN9302te+9hte9fWd2rDGCIaDXeZ4ku/532FnQB++886/+Mu/FKJFSgCYWN1CCFlL1nU55L8l - WT5OIGaFqxoJc5CPffITP/e2n//Zf/1/JgcRMdHWfFFmbS/6bkymhbtDFSta7uUF2uPwJsJKSQBg - Znuu+PLjS3f7OGPb3UpmDQcxVWJWVSb0agCY8ecf+MB/+5p//OpXff3rf+B1N97wHE2p1wwiDmJ9 - L1znaVcqlUqlUqlUKpVz4+7r6+vLfkoI4fzV3QM7O70Imqb5mZ/5mePHr885TyYT1VT6xevr68zo - um46nVZvd6VSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUHpOnxhPMEIJIClH7XpoW84xD - hzQGiY31fckBoiIt88Fng73qMgaszxOSnftOrG/NcSBmd2YcyPrht/7Ec3/w9QgZrogxcNRdgRS8 - eB/+Fvi6ARBMwaGIC8kBc7ApzNCng5Opbp6CGoLjoQcnsdFepWRbzedN28AxaduZR8DgPMgPCYxi - NHpCJ2nwOO7a5uAAMUJAE0879k/WMF9InEB2Dl1zTfdXfwMnVwOcCQCyexgFMnvFlkTwCQHJmeBq - QC9g934NYfOBh/SDH5VOccVlmGWSAGg0SIotRT3dHWZLD83vf8O/VAKEiOgmy9g5E8yaNBe4OMiz - S25IaGHoU3DbSOGnXvZV3/P778Y6es7eNAA4O3HJKHQQP5HTRKDAMBAhEKwIQxzBEUZpUPECPoEr - UKlUKo9CaQRijO5ezHN+HsauyhNnsegxXes1Z0UUwGCap8zmhlFDxaNVFQ5XJ0Iz+v7GnHmYKxgG - U7LSBLknFPnU0HRfeo8EL6Y8dvjeElmEegwqvtFB/0YAs7uXdPHRRmpEVN4skZ4XZyNghMwwQjCI - g2mIJxVIjK3QnGzjybZdHDl86DlfcO2LXvTsG4/j2qvmkbeJM4Xi9s5kIJCzZCYIqSGbsbLA4Obi - hsDCZEmzEUTEHQSPhpipLEOgZMoX2tn9aKhqCMHNiAjuRTcFMxRnJVOxVhYXIBM8AwJjKI0ucHN2 - jN7uC1Qq3KHgIvGGADKG7oOelcblBZwJRO6cjSRAKcHRrOfMiFOFMAUQJYGyiWNUuha/7EUUZY1y - cQaMHQwEQzCLwlGQ2TRvBYIa1mEiXKqNcg4YGM4U4N7DwQS3HAC3otrDaEW8cHdxOYzyI2Q01Fxu - DgY7O5li6GUY3DljUEsqAKEijkbK1kTRpJx6CuvkyVxA0S6qjhsZaUdsCaC2dUCdaH39hPUIaImC - 82bONJtMbvnia2589rX3fPMHf/7fbH/0Y7P7zhxxnppp2hnuL6A4ZN2RDewgEDErzNkDEQzDzVmW - v9irhhUfrOmPVJTLQhe+d1kNAspp3+vJXb5VFJg+lEmAATHA1YdFYdgHHTc1EnJKgAVqiAmq5mYw - YXcyz6pA5AawbFnK8XoKMW6q3j9tZjd/2TNe9TVrt3zJPNBOiFmjuiThHDmRiaeYNbiJlEMkczYW - JRCKavrzAiNTV0TrnYZTrwgIcHPyKKCcGUJhdsJIDq+t/4O//8Kv+cp7fue3P/mff2/yqbuvzbnp - s2crnlRziACGbGC4BHQ5MaJDAjC0Zm5UvPLFhQ0tS+UQjKAwB5WaBebZUQIQVhgE7CxO8EHETMOi - MINrdhV3CMhV3dJQM5ArFASzrrzgZT2ishxP0XM6AAQwU3ASA2UziZy1p5wnLCyUkmagYbjTQn1r - svbAxro+7zk3vvobZi+5WUW242wRpGPLgqLmjQA0GcDMxtwB5k5CYuQ1Sn50yGJA1gUYHuMWwSAs - EIPAovpGDPPUpbVw+Fu/+fkvufm+37z907/xm5ctFiGnVvPM2ZNRGQNRMIEZxDCFOWFwE2dmEDHc - 3exh9dXZeCkrsBIaEoZW0F3HynL4EgJzCRN1pRYda9phcSiHww0OgjOoxD9jsLpnlSiHAMSMVZ83 - uMjrAXNkuPNQoQOMPsMDEHknmzEjNveGcOiVr7z+1V+P53/BKe+2SJs41dwTNwuRxHAHxaZTD1Hc - svsjNwAVAIA0lBdzNGRpIZZaBhHUAQM52HmobYYgZXfA2QAqURRQyqJ5Fi4NqzpYXErn7ELGw4gQ - z0YZoURW4ypjJYQglD4jCAgEKHwMkod6HJAybEnoHRuClBAFa20rc4XLefVJCLbONN86HWdTiHR9 - B2Fwr+gVyd3FICj9LCnie7e8HIMH4OoOKp0+pyG4Wx3nX77wOM/PLr4MC5gBKEVAlKHDFTSYjN0H - gcEjIQDmlpXUCL0DGb2hbVuoNkFME0s8jxN0kUHOkA3dpFY2kK2znoMsep3GQdW9W4d5jg4jCOAE - NrgZO/MozTawsynBSchd/GHdqAt1FzDISy1MWPYFhmJvQLkRCOpQwMmnjNTPS7dxYZ00DblBczAT - gzNsGG+neDF1AyuPE2YuemxVDaEBSlxg2ZDUm6Y9efLU93zP97znPX9YhO9qBvByTY2u657wT8cY - U0oA2rYt31NecfeyMshyEUNVLQLvwvJ59XZXKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVKpVKpVCqV - SqXyt56llBoAMxf3GDMTkYi4e87Z1Gez2dbW1nRtltQM/qfvf/+P/st/8b4/+VMDHEjmAFRzSRWw - vJIzMExfX33Rlv7mkqawaun2PakN5+bhmT66m8BXXOBAWb1k+EaAXTHs25C7AQMii7urm8I/8OEP - fcf/+M9/5Eff/Pdv+6bX/vffdtWVV7ax6fu+aRqhYW4nATE23WIRm4aIuq6bTCZd6t70pjc1Tez6 - JCxmpm7DmVzZUfJLL4uCzEMI875j5tg2i8ViOp2mlJwkaw4xSBPf+au/9oY3/shdn767lAQr86iH - z2NYAaaw++rD8TExYtiMyszxsSQ8nvTM3SJTSqBiLFc2fkeZOcvAr/7Wb/z2u25/4w+/4dtf+9rc - LSZt23VdbJt+0U0mE8up7/vJbOruYOr7PlSld6VyiTMuc0FmVifJVyqVSqVSeXTcvci5VbV0jcuL - y5y70mt+TG93yeBrmqbv+xijqpoZ85BkOp22b3vb25797OPMTO6uCUM2n5lls5rZV6lUKpVKpVKp - VCqVSqVSqVT+9rAcdy2TgoiI6KJaqrtSqVQqlUqlUqlUKpVK5ZLnwqu7u4w2OIGEO+EUorjBDCFM - Dx9JW9stRGBSPCll8OdcQ0BM4mqRpelz99cfb1/yYnjPhH1qZPTuH3zDK37sX2DWJvQRwTSRxN2E - LHp8KUaXAiyD5llhjJYG24x+4P/612sPnlxbX8PWNro5FgsmOBQiUEUIrgqmxWIxObgf2YZUvZI6 - 5aMh87yxUfEGAmR5mgnggC7pgf2n7j8xybomQNtOrji2/dGP59QzETvt5g7Sbn6XURH7DSydLqO6 - w9hYkA+G6UN339WfevCKL3wunn09GkLqEZkVDQQ2OsmFAXWyDDdSwMgRQFxkIATvF8QCRAiR2Rrb - P/yKl6PLmKGZNJvJ2sAshJTAEeefJ7bHMLPqal05ai5emV0jXZ0GWqlUKpc8URhdP5nM4s4OF42z - o1OLDCeQoSgG3WFju7BqU/NztcrF2WYEhtEgoPJL9DG7kkPHhnL4978SHozP4Q63IkwUiAOOwLDh - nwud3LVkWeiwzAEG16hjRygHLsbm5MjMmYOGpmsnB647/qwv/qIbbroJz7ga+9a1bR6ahJNt7AI3 - alL8ZIBScT7DADEGGIM/mZ3IaVCYlaBludoCj49sIIIT2KFPYRRazOXLsrT8d9bhDDMxGMwgs8HX - a2KjM22UW5KjhGk0/rfy9RfoEYObesUfjOWNsHprAAB6g1gOiG07ldAKR1eoWutkxUBHDHJziIGc - +CITthUF/RitghwCEzftO1MVkHBAyOLwhJQzsSQCw1Fk67RHO1qsuQYU6TN7+W5cyOu1qpB2dhjZ - 7uu0jGnPQblldqs7LatEkOaOmsBBYPqIH366IAPMEYyInZ1YiZVBZNkzkaZAvUgMbZ6tzWaTF7z5 - zfiz999z+3v++k//azx14pp96weY+q1NcZgBhBAoSLRsMDZDiOzkZG6m4kPrQAG5rG0x9tewlHOf - Z5Wyuj05Ht7ro73PVzcgjNcUgM9TmrUTgHK3yOoNmDkwfKEJwKSdgqhb7DAQm3ZH+74NDznSxv4D - L/zCF33N38GXvhhHDt6T+9y0Bg4qTuyQZXtWjlS5LPRiRnAyJxBstRL4PMBGi3bRlg99R6fdZW4y - SyecSc40Yb3FsX/yLcde8fLt3/v9j//mb/tdd102kQ3zJufGqe+zAHE29cVikdMkRLiYQT2RZwYx - ozRwe84xGcbS4qtDNDR0Xff2WEcZ6DmO5WGvjZXDnlJXLJwPh0qqJAnUzU3dHMKgRdpZaydsklLn - hhilE36QaTu0p2fTfc9//gu/9utw8xflfRv3M3XtxL1VBkjhGaQ8rBbEpenzoRI2AkDm5axfZE3G - xYSBHAQjcgqZGOVUuomQ5l6VLcZTyH0zOfqCm45edvToS77kU29/+yc+8MHDjkN9nvh8gxzqkQFA - dbj2LEIQNSNQMnOooFiBqcQw52FV3x1cetgAixKMfYhzzk0J6nhs5FbGqR5W8YYonjSZDeNgQ+zK - hOCmDjCLWu4NBERGzmgm8mCvO0566NBn+8Xlx69//mv+EW76Ihw7+mDMJ3IOMRLc3JjMiAAmB8DK - VsJbHg6vls9zw24OEjfsbLfCgWgY6gwwL00M7w6Eug5PMEiCefR2Y7m8Fw3O46ckEh5+o+zAMCg6 - 1kW+N7Jalkby0lMB79lJENFEHIKgSNqbxpanWGQ6zwAi58wxOMHNQhOtS1ATGvaKsTw5PO68r4aI - Q8hIw3Au+6BhHg8De5899qNjuMG5XDVnAEbEKzESAIeVu0WW/z/sogAgQ4wKJg9BuPXM8OjGEuMl - HWuQERY5csi5z6YiUcTEFUZOjpXR9d2QkoaGEFie4HIb2HLLcZC/RGIXdvzB4avd2CU+Vtq+EqaS - w/quAXFwMCExAFdFVjGIW16p651gl/TV/bzEzGKMZjabzba2diaTSd/3IYSsKiL33nvvd33Xd915 - 550A3PH4Y4THpFi6ywIfZV3IxWKRUiqLffR9T0RlvY8Y46q3u1KpVCqVSqVSqVQqlUqlUqlUKpVK - pVKpVCqVSqVSqVQqlcrnLX3fr29smOp8PheRxWKhqtPp1OBd6pvZ9MzW1pmtrTe88Y2/+uu/tsg6 - 2JrPnYbzGKymfH2OMyj3LnRxHiRTEAlLNjUzEO5+4IG3/btf+L//3S+8/Cu+8tu+7dtuvfVWMG0t - 5k2IxbXW5SRt40Rntrf27du3ub39n97xjj//wF90KTExMydTAMxs9mhpiZcEzKzwyWSibjlnEk6a - e9OmaWOUe+655wde//rf+u3bdcym3VMSnvBVwd6UwCebkloppq//4R+6/fbbf/anf+qqK6/kJm6e - 2dxYX593i7ZtpnG2WCxijNtb2wcOHOgX3YXam0qlcoEhImZWVQAxxuVC8E/3flUqlUqlUrl4IaKc - cwhBRFRVRHLO0+n0fL+nuL2XCX0ASoofASJ4y1vecvPNN7u7qgIWQvOYLvBKpVKpVCqVSqVSqVQq - lUqlUqlUKpVKpVKpVCqVSqVSqVTOyYVXdzfN8IT5DLyPDZ052U5amB+48tjJT37aBgVdfvQ8Indn - UDRLyR785Keuevb14ejBpH3emc/Un9esYafDFMVw00jUFTHZUr3EFzDt6KmgeEoUEEDAtvQbmrVb - 3bHJNC+6kOYQ9JunXc0Bc0dKYCZVhNjGSRJBG3fTtwAsRStPLC+LjMCy1KIsNS7Tfce/8zvv+lc/ - cSC0mG/CCUcOy3Tad11LbHAiLO0ZgyTyrMNdYoOJAxEmxjlvHYzTLbXP/NlfTD72iSPPPo5jl6NV - tC1iA2dosXMwCEnVhIRDJIMq+h59hhmIKeviwc9Ojl2D1hH55MmHJkeOgDIsw9uNwGYAGQIhG5zP - 646h4QYzjG4bLN17DC/yKJgDAYaSZieXeBmtVCqVCsCwJgR022KUMggIBGlbd8uWGBAPBIgP7mQf - pVkGdloKo5l8kJwVz9nSfAaHuNGTaCh6anFCEIDgBgZgcHd3wJ0Z7l7kmSiRGyMSTEu7TsVU7I5i - aBKXwb/nnhROIOY+cj9tzzC2mReTlo5cfuD4s6+48Xlrz7imufZZaBudNPMm9oF64cxQYnGepkBu - ILPi9ixtNIHcxJicxRloyvVR3lU/8uj8c4I5KSHxEBRZefjc10I4T2yp9CNyd3MnX4mzyIiMWGWU - pK8I/0gcYmzDITED5AbSFQXmkwxByGajJdiVzcnMDeTsIBjvHpQ7nOATEZh5VobmxQ5pP3WbcWB1 - AoKBwcMRIAAwslHX+vRjRcpLgy+PxuCQ4BJjy6Ez7s24Lz0XYQSloMSZlJCDK0OXSnUfQkpyiCOQ - C1zFE51LhfukMTjzbDfb2s+xQflLNMifBwX1KF4E4IKsEACBF7lzCcqGC7rnTwgvsk8XRqkKyMnJ - jMQc3sNKZzM4RJpu35Ht3E1eduuxW15y7O5Pf+Zdv3vH7bdvfPrea0OY5hwIauh7Z/QBTMTu6gkE - ExBADHeHAqYAwwAlMIqEHoP2/Xzqk9JqLK2HPPoOl5Dv6mnF97w7vFVaK5gD825BQAiYMENNtTdg - 0kys7xfdnIGWYcw72U9N9t+7sW//y265+mv+ztpNN8wPzLZIkXeEozpAVG5sdjRKABOCAUa8rKoM - 2ctAwQWrfC5CGEN5cpCDleFedMlsgBMrG2DiJoZoJm7GdL8pjh44+N99801/91UP/r/v+/RvvOv+ - j37isjM7h7SPDLfc7cybiGlA7lNGikCIDJBmyzaogPe4B8exAiforiMWYsCwpa1uWK7aoL/1Ryui - NDo6efyILcdqyleOYzfEMDOFERCImBmU4d6APS3UEACSuO24l+K9+9f33fqlz/i6V+6/6aaToUnS - cIhQtIibAgcaN3JTWbo7CQhwBhE5GoOTJVEnI4tVjPwoOJkTAClSZDFMMoKZsfWes3BsJpHDdu+f - oTC97LL19eYZzz1+9IMfvvPX/vPf/MkHrhRpVFm3AyAMCSBD51BkpQygDcwKIhIWmJuqP6xwjjx2 - Tbgb94x1SCmcu2r21bplMA0bdsXIBggUY0U6bDeU4WG8UQBiNjMH4NmQy08PVZxBCJAYzBe5SevT - h2Ytbrz+i1/9qvbLXpRDO2/2b4K3kvpkzaexTx2yRstTFzgrUWaoQAlCMB/NtZVzQcCMeAZBppnM - GMEdpnBDIBCEMES+oFG27mJEoCHsZ4e4rwRmQzAMEJWXhqWxLhRj0VuJ2YfYadcr7lT+c3ISY3iJ - s7wxGBEbG2HRaxhHWxXEEpvJFHJ+dZsSd8wSJxnmmtfiFOqwNloURCIa9pcIXs6ajvU4hjtpPBov - Jx1Dr3bow+7eZ4/35JS4UwDyIX5edsEAEHIZWHZyld2zZ04ON3d2EiMBaQ+CC4IEpjnDG81sk0h+ - Afs7FxpnB2uccgiEPkVT7bIBPTwEwEmMACIvxdkAXylWGA+caCjuw9A++xi3Ey7oyXFCZnLyUlGL - j+vNLfDlT7sAACAASURBVIvt6BEXQJzggwteUza4wkw5SIvZ+rA9KQBxQxmUp9XKv3IJUBb5cveu - 67gQmnnXzWZrH/rQh779O7/j3nvvL/17ZnipGYGxxDzxsppSWrV355zLiErf92W5MTMrxu6ygMjn - fKCVSqVSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlULhnOzmIoExjb6WRre6vMP4xBAhOb - gIkpGmFnPn/Pe9/7fa/7/vsefEDHWdZ7JjsuZ+qeNd+VzpoVec50iM+Rx7+mxZDwy2xu6lbmiktg - M9vpUw/81rve9Vvvetflh4/c9o2vfs1rXnPDDTd0iy4Qt+1kp1sQ0cb+gydPnfqlX/ql//WNb1jO - 3s45PfYvXzrJFM7Udd1kMum6LrYNQdS9mbR91g986IPf+q3fev+JBzNABHWEwJrHi7maBTMeLz2+ - pV98z5/z4txF6Zy/2GVthN/9B++99eWv+IWf//lbX/bS6b71RZ+Y2eCetW1bIpo07Xx7p061rVQu - acqUfgDz+ZyZAVR7d6VSqVQqlUeh5OWZGTOXvkCM8VE/ce5MwGL+JqK+78tXLRaLsubOj//Ym7/u - lV8dhVLKqU+TyWQ+n8dY+x2VSqVSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUnkiXHh1t/mg - R1l0L37d6z7zYz92edvADKQ4ernGidoOcwbBRw3DUhK2isNYItSiadN1m3fcsXHwS4JwXNvwrruc - m4//b//7dW/83nZj5n2i0DIzRg1ZyQwQAMW7diljQM4aAgBjIPcpeELu9xtmhqwZMcDsobvvmeQk - EjgKAsFAJOjVGv7s5umbmEAEYjgXd0pxgmLQhT5uaNhcBocQF7M4F0cGCdxOCo46dL7TCLC2sX74 - 0Hxzy8BsCicvJqRxIiX7oDPc9QCepZdzQNlCmCz6ORKOTKfp9PZ9f/bnkyOH9j3jGF15DOtriC2c - YAAJhJviVFos0PcgwAxnNru77z75wIOnN3d4Y9+zrzvu1nd9d+iyI6d3Fnf8xE8+781vNNWU0bYC - QBdJ2ukTkmobAIUxgZbnlkqx3LXaDJ4of6L29EqlUqlcTBhZb9toNARpuXHrzZFSSmbMKOZpgBkZ - 7ka7zZyhCJbdCOy2608tfxxe2gx38ku4uXBAi9mQ4I4ixCs+t6RggBnEBHcF1GAGY1cGgZxIiTMI - LJlooUpNS7FJRHPLKtxOJ7Y2PXrD8Wceu2Jy/XW49pk4fARraxYnmxI2Z5M5IbllciJ3criSo1WQ - mxMUPGgRqTTT5sRZjBxuLEbkLGaZHbTHye3ExWdZ5NLlsi5dj/x0LA3gwDIV1gFncoe6A1DPtkzq - 970ZLU5UvG6DxpIdhgvriTcvfkzA3E3JaZC6AuUucOyV81mvJQglIiYFk8BZeyeBUzRocQCDHXLx - SfisGLsJzOO+OWen7N1WyvNMShQDKTyDKDAnNSMr1kJzJ8DGGqCIddXhZHAjLwJKvbD1g5/15LE2 - xPIyOGFZnqjYqgNYHNmZXA3h0bOwnnJ80MAXb3cRGkaFkxncYEagKKScVdWhoG2RuLEhyLP15upr - rrr6Vf+Nv+cPP/5771585u613K1lnTkkmWYlzyzC5eYEyA3kcF2uwzIUeAcR+Dyl3XuP4qwnK2+d - 42tXbjeM3fPJjHLnaiCCmamCgBhD1y+kiUa8zb7Dsglpr3jmgRd84Yu+6TZcdXR+5eF7ZmHLe89p - nWJD4koONgIc4g4ffsgRACvVrwFOBjInkF3a/ffzwxnlQtPqcjrFaM4GONjIgAxWdgDWO7A21Xb/ - p7u+4ebqb7rtyJfeij//4D23/94n7vywn7rvgLQxLULSmSEQJgJXpGQEiKAdhJxseUWb7kvD6t7d - o9Fd+7kwukKdwBhqK3IYAc48lkczI0JkgKDqpkoAGJ0jR2SRuYQdsF9+xbGv+PLjr/waPOPKM5cd - vkt4bjwLLXcZXRdJwHCCDYp6BhkgcGYwnMh3XeOlEb90g6uniqFkslswiCEYGCCmwM3Cre/7KaYS - ZWE0d8z3H2pic+TmW174hV+COz/6wG/81kf/+L9s7MTW+pi6Vq1hDyJETmZOyNnYQeTuuXhZ+VEX - 9RgqkxJo0VmrVz2Mhy/VxI8UITBgYxu9vDFWP6o5F6k8ALdBCe8MYTZ3czcFCVETt5021XV9/YTI - 2vOe98LbXoWXvNj2Te/iNA+TlqM5cRCjvOgSwJMYojP3YDcwmy/3scijn5aI8pIhaZ8txUB9TqlX - AiwPl93dUcTqMLiXMNigMBrC9eKhdtq94MO5tvLMAL6Q/a/SASkrrmHsOyzb4XGs0H131NThtluo - HVQW6HJMQwNSzwoAJBp8p9s5/3iYwWwsotkVEA8gsGivHdABMtSf7lC4GnnpNizZ/T1C2XPysoQY - 0XiY53F+SjMxdFLG73bsdpjH1djKBXPCeJXdYeQZDoexewMQCcAMYRDiBMJO8Kepy/YkYaC8028r - ZwzueXBAdCSDk7sbj2VIx5H8PXgZq95d4m18+lSscacYOjnkY6RBgwF+9d8FeFncYcIBcDPEIESx - g81zh25bWzEWIwAsbuTMQ8f2YusSVh4NVZ3NZjnnxWIxna4tFgtwWFtbe9e7fvd1r3vdma2t0p8U - IfcneSHGlBKAnHN5LIt9FGl3WXEMQFk9ZPWVSqVSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUql - UqlUKp+3dF0nIk3TAFBVA5ho0ffJfdF3P/wjb/hPb39Hr9mGmeIwW5n7uLuaxtOw5+fFcgdJGNkc - HpsmpV7VwJDImqwkC3/2xIM/929/4d/8ws9dc9XVt9xyy8te+tLrrruOmB966KE77rjj13/91z/8 - Vx9xIMSmz8ncADCxu5cJnHt+7BIkpTRdm/V9H9vGidSUiOZ994v/4T/8T9//+hiozy5MEPak6Rze - bgDD9PhzvnNOngKzOTHM0KsJ8NDpU7f9vW/60Te98bu+4ztDEwPLYjEPzGbW9/1sNuu67gLvTqVS - uYC4e5kzDyDnXBq46u2uVCqVSqXyKBRRt7sDMLMQQnmxJOs9flS1aZq+7zGsNVHyivG67/ve2267 - jZndPaXUtm15NMuP9ZWVSqVSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqmcgwuv7pZBBoW2 - heoiRnL1M2eoEWxstPsP2gM9rPfiQhntlbQ0eK0Iw2AZoADdT/LgJz+5cc1RetY1mG8SWuxs7fMG - veLUJm0cAAn5sHQ+7fp7zhaCX5JEFiCllEhCiBEpo1PamVPOMTI6x+ZpPbO11jZ912vDaALMuGnR - 9Rn0rOc/D4HADPAeTzfZ6LE4T3v38i/BwYMOhwJyj42N7Vl7+sETR/etwQxbm+Gyy+0z9yRVgYfB - 13KWrprMRynRqMoDO6LBCUUx0veLlmUikvsEs/0s+bMnTtzzQN/c0WysT/atxdkkTlqeNAgRLtjc - gjoW6fTm1smt7Y5pun9jevDADc97IY5eDlUXnayt2eZOnPvlG2tw4+ASQgaQEabTnTRvYivnX4qK - PN7BoZwighbrz3D7DR6xUVxflQ+VSqVyyeOkPW9jkhb93M0JQciFEUBEZMSOFoC4Ay5YtoImYJDB - 2XiPWYoHU6YJIWK11eSxSbnEHnls+2lXCEZwRBZ3V3fN5gCISVgb2fQ+t8FYEtHcsZCQYttPJuHQ - 4Xjo0NoVV86uuOLo5ZdvHL2iveIoDhxE7hACYsjCC8aCJTFnlt6yDk2tF28ceShiUIYqiMHmLI6o - wcicoGyZoVSsqdyowhHNABPH8rscUBr8kWIQAvmq8fGpbt+H8+puBCMIDdI8hxscUThAbHkmYMsI - ZNCbYQwLDSjxyYUrDzBkQItnOwJGSzEbg20IM1eUnAQiCAyqCgCmMANMOcMRDKyD09oBI2bohT3d - 58OoiS2aLzYyJ8usWTpaI7TaNXkhPdzJ4J4FuRXG2LXhYq9dXiOCMctwN2UZpIkX+C5++FoOj1S8 - be8GD98sW2aQGrw3QrAECQINF5U3sTjgUfTVDpCSQ9wAqJrCs7AICxOZm/fO5FHmKRNRnm6kMGun - +zZe88zr/943bd1x56ff975P/dEf7b//xJVIa66UjN1A0YwYGgaNIgu5CCdXczjBaTBWPgF7NznY - xQhc7ODAWebCcl32dnHcHbDxpiv9n7TjBAhgCcKIkWHoUpZGTls6PV07ffAwjl//jK98+eGbX5T2 - 79tamyyiJMm5T8GgJNtOm/A45u0zbDiTg8mbGSwGgjmZsuaxcXzqq9CnCyN2BABi5eSUnjUDzC6D - 2JsAYqOUJRtA1lDmxfY2M4e19XtSxmX7jvzdVxx7+c3H7v3sPX/wR5/5g/e2n/rMgTNnfD4/GNmz - wj0QiAGHKWyoLwdRMkDFCAuAvAznDAzFb9XnOb7uWLYd4ztke4rrWb3oYcxnLNsAg8hKXUdm7u5g - gwg4ECvM0BG6fe0D7Kdma2vPfe71X/3V01tuxoGDJ9RTbAhxmohST+SxaXh9tsg9A0bWBTAQjAAx - BBDEqGinAU8ByigjSJ8vRe0Jwc5iMICDwS2ascMYBli20LStW0rm5EK+5ghhQuoqcmrNOc7bFz7n - shccP/KxV33sve/9m/f9l42HTh84s7O/S5O+hxsDTWA0bHBNqoZAiEFgns3kXPvj4MTkNArvh8EU - HwyvsLMrTCc8rA6klZiHhqCEAQgUo2P+3GeDBEB2dUAkmJBBsxkcbAAkKW9xODWbPrQ+u/LLv/QL - v/arceMXbIksZutdaLL6vukam2rK2Q2ZnEIIHIm96wE2ziBmQKxEDgGAsp17byqAkuXGdixN15vO - 1Ykjz0AJYlCHsxE5OQE89r8UKAWGnUvtNxYiAFaGoUFmDCU4gfTCRllGEAClOh1+CGUfhxqTHMDK - 7bDsTY0NpQsAuFt2Jiwc2qBHtuyI4bxiCHaLRJRSA3Myz/NACk+d9WEifQ4TTTSUdlI2LjHMyi+s - 7OcYBxIA2o3zSc/n/EBcDAyCDzeCi0Fo7LCMPy00tFzj6C1bucJj1AGHk2brkwejBJ0btUnLYPul - ihMwaTvNid0C59wT4AowKIKchcrFMhXkACO0CeLsNDT32B1zOLslHJrmC9e/4GUfEKVnCiVzYidb - doLIhx4QOUDWayKCA5G1B1IgW2NM0YWUAowC4E0mNnaIE4zgK93JykXO/gMbDzzwwP59B5nZzESk - S/mXf/mX3/SmN6eUNEOEmENKaTKbLObzMdB89Eu8WrbPvWVZvKM8KUt7FG+3uxdLNxGV5TWJaLkY - WaVSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpXPB1anY/tKYmzbtn3fZzMekgWJiMH4 - 9F13/YNv+Uef/OQnFRAWdze3IFFtRV32iFO87WFvPp65ko/O45lNvpo/4ef8NYLmTMxulvp+eFGh - MCLKPmZouAH49D33fuZXfvU/vvMdk2aSc86Wy9tMnN089eX3mFlYUk4ARGRIF318yuqLEA7S9z2Y - OITN7a04ac39f37d6/79//N2CrzIBsDM3bRpmj71ez78OC7Sk5d2+Wil6exfcUMIIeesQGxjv+h/ - 6I1vuu/+B/+X13+/Zd2/ttbN5+ZgZlcLLGcnoFYqlUuFVctm8XanlIioODgrlUqlUqlUzialJCKl - QxdjJKLi2H6sz5WMvz19k9IlHHofqgC+/dv/6Wtf+0/dVVUBi1GY4a7+SEsAVCqVSqVSqVQqlUql - UqlUKpVKpVKpVCqVSqVSqVQqlUql8lhc8PnxlpwjdYudVgRCZ1znKe+bTXNehNhsHL1868QDwTBk - Y3kxORrA5FbMCsOORvS9N+wMt34xZZz+yF/tP3wI6+sQRZweiPKht/7k83/wB9BnbyMRDx5FNhqU - 0mcpoi81CMi9tw0BFGNQCMxw4tQd//bf37C+DydPQB2aceL01BAlzDHvtB8ELSIIIQPHb/tGNGFQ - bRfrybktbo9zn0axjUNHzfbw3ZMJtH/pd/8PD7zlx21z04mkaXDwYCIGObkZETszufvjyr8Sg/Ig - T4oMkFlyhguc1BrIWggpI53atM0zfaAFk0I9qyasT6fzThfm3k43Lrvs6mPHwpVX4MABGCMw0HET - up0dihPMpnNNWHRoG2eYBBAT0MRWkQTxvHxePpzW3Y8Yih+oCGMMVpRPDH4yE+YqlUql8vTCQbYJ - 9x08GDIDblAWc82BAhBSUXcjMAaT9CPEJyuia5SgyEZD4aUtl+Slim5MhzAngJlZiczdhChI007b - 6QTT0F5+MKxP1w4cmO0/xAcO4uBhHDmMffuwvg8xIMQUQieyzXyaWYlENgzem2e4shmBiADjGANo - sI7uYk4+ap5RokZyCKDliYHI2EEwEJNbNBu1c7suMXLwGE0VRy4tm/YV69hTyTKQdiaYG5yZurb9 - 1GQS1tdGVSGAIYozYvblh4p6vITlF3TnbZTGLX+FV8yIq8cy2NCZgivEcYb59OEDJwJPyD1GVTAM - ZOxFuclw4ouwA0C5WAfZmQEFjDwzb6f+oTbcd2CtI2s1NVAnIhr9ob4qwB7SkJSgPOjXxU28OHSD - XdhD3r0uvGevzt7GgFEMv2dLAxBCYGZVdwdIdkI4vd6c1DS9mNSJvLrQCFmpqwzGDqZQnkDhZETO - 5Nnd4BRIIJ36giTM2pNrxP1k35fefOPNX3LjP/wWvP/99//BH9515x22+dDUqVUOyVrlliiaISd3 - R1ZmMME+1w4Cl6Mg0EpnYxAlLmuo5ZalJvDy19l5130bubhwpQc2gQVg0yZP2m0Qjhy+5sW3XPeK - r8Kzj/eTcO+0yRvTndyTW8jODgEHiVmiAZ61yFAB27tLMBAR2MUAwNgVAOMiKg9PAeWEi4PcADi7 - lVJADCgvtZPETqzOEkO/sLXpPhHZms+Vgf3TMzlNDq1tbFx77Jqrj33tK/Hhj5x+3/vu/cv/774T - D7RdH1IXc57Apu5CLgYWsew2lBFmGIoils6xtBCwZ2ClbECP2pfd/SA9XB1LY5sLp9WGUkLInjtC - J7xg6QJpbOezCV959PhXvuyGl34ZrjyaZ9PPNvFMDAbZaNe6ze3oPm2abJq0RxAN7lSadzIMte4Y - gzhoGHFSMiUmZ6lOz0fFCLZyNVeiUxgxsjPzJEQAqeutTy6NhHaevZtQPLB/M4VT/WLjBc89fuMX - HP8n/9j/+E/vevd7777zo+3pM7M+NZojzHfm04AQxdVcPZuyP2Yb/giXzflhb50jA3fvslIYyvPD - 3d7DolorL3IZYHQnEIgyecp5x0CzJnPIJJ2E7bZdv+66G77qFXj5rdg/O2N5a9ba+kbvIamxh83N - zVZIc08xtG0TnDQpTGHkAqdR1O28uhuVR8IJJGEHdFj11L51O7DRL/qdtAPRKA2GAB8EY2RAbRwo - FidyhrMTG3gUZJd40kCm5MrmhKDxgobEq/HwEF897OdoJbgCA+xkBGMvXRh2FCm5i+e24UXu82Tt - dBtPTqfIuefzK0NkTpo5MEXOyXrmKRFddeyuD8Udmk1zHw1kQblYliG25wfY94Tx7Fx2cjcUPB+P - MjuXs1EEzKVfICs/sVz8btm7MbLyQaPS0zFxY7hqggTm2an1DRw+AO08ztpJtP4SbgMSyVT1zJEj - d7XdVnLrQiNuZsmtD0LO08xscLIsNo/qoDYHMV72sBi2bASNliEiD6eUSkh/IU9RKfmAkflwoXdD - C0Lp0AJD62MufWB46knaHQ8PbOzjjf2LpHmNjWBsYmAXdmj5FyLSC7jzlSebU6dOHT58eGd70bbt - fN41TfPOd77zh37oTeXdpgkpZXcVkcV8Tlw6DY+TVW38wzGzGKOqqmpZ3cPMcs4hhK7r2rYFUB4B - qGq1d1cqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVKpVJJKaWU1vftU9UzW5uHDx8+c+bM - 7e9+97e99tsTLAMA3IyEXZFyGpITVpJrznrhHPi4pT9WCs+j8bg/72dvvzJZPIQweLsdJBBiJ2g2 - EnZ3mDszg3rT8kXb/QJA27QpJfXRSr5MYTXLY/rccr2RSzd32kuqCfPOYr7/0MF7P/vZ7/7u7/6d - 3/t9IqRsS5VdaOJ8Z0dC0JzP/pKLMH2kqHzX19cXi8V80TdR+qQ/+bM/c+r0Qz/9f/zU1tbWbDIN - zKTezxfMTEw1C6ZSuUQp6s1iygRQ5tLnc1VWlUqlUqlUKoUYI4Cu69bW1tydiDDqt8/re8pHVkOR - b/zGb/i+7/s+Io+xWSwWJVDJOTdNM5/Pm6Z50o+lUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVK - pVKpVCqfD1xgdTeBG0p9btsJuh0I/+4f/+GzXvjF8JyZgxmuuIo++tcoNioe9I3sTCAHG+CwIcHI - BiG0qxN8I8iZ++7D33wML3g+Jq2l7W6bj9Ghj/z0257zvf8MHGC7wjgRKMwwSCAu6UyfNgzmKXVV - MnFgsq/Z6U6fPrk/dyFEhBafvT+mPO/6EMMiECYt3NH3cNruF4cnIWmKTVHXDLKCveK0x8uY6Wdw - gLjMeRQf3kqamxAQw0ITtyErIWesrYOJwEhwd4cT0WBuH5P9Rhem0apQzgGAHcVJE4RTMocHQmwi - AE2Wch9YoKoZ6EFE2d2FNbb3zbvZ0cuPPuNZ4aqrsO8AJMAcDrRT1wXIPXXt+toW+MSsuc/T277x - th/97d+Js2ZbPQh1yWcRwfl8Sw9hUHKPMhSwDPY5AWCDjEcJTgBBLvHyWalUKhUA8EjUdgebq//5 - Pzuizm1U70P03C0m3sAlUwu4oAPlROxjIvLSNEaOQRQ9irKcoARlLwbDYEODeyliQNLsTMwsHDmI - iBAHZ4rtJEwmsjbDdIImgGQM3ciFCOIEczewi7hQBvWwDsgMl+BC6q5FPu2sZGAmYmEngNxMcwk2 - yibEAmJw6KAEY2eCgcwASC7J4Vyst7smMwWBR8mtwRxsBAKCoTinaTRMo1jHnuoTvAcngAnjygXM - vLmx/vzXv35/1zNAxsvNBoUhGVB8eO5kTkbOwZgvWK68kYN6B8jLjzCcqTjqnQfJ63ABvNwIvRCS - HuA413yqDXjejaf3HdwGE3OwImK3LKbEcCUnvphU90bm5IACIsZBRUhCDgbK6+2hl37V2tHjh0nY - NAq7e98vpCnBIwM8qjtXL1wRE7o4isLQIBduaQMDlL0UDHas1l17feHFAli2h+9RsxfJvSvcLDPA - 6g1CRzg4a+MLnnumvcBd1PPDpATx5caGOSGVCoAYYHc1dzMlApGZu2eLIi1YVXNGYAJz7zgp3DUh - XnN5e+Tll7/yFZdv7+iHPnLvf33/PX/yB5MzJ2W7X+99LenEMXURplJfrerg6BFqk0e93LZy5m3c - fugnD5fMd33q7CCMVd7K15IBJH2mFJvt6eTegDMbk+l1V+97znOeecut6zfciOl0Z7Gls6CzNrsu - uu0mtu4sETByI03muQMJEWM4LFMykMHBMKPgkESlcnVxbszJkflprkWfSth3RaROg220VMVATw5x - iIMtAGxolbBYpLZtF1m1W7QxNuTeZQlCbju5l8jTyw82B27e/+Uv27+5ufnRj37ive/RT35qftdd - 01OnLu/6A1kb7z1radeU3Yoj2JmpiNsZY8ffAJCtro9Ters0dt73sGIa3n3PedUYTw4mg5dC6LuD - Eg5T1xB2mvBg25w8sL89ft3VN7/o+he8kK+70SSc8dSzYjpB4BnMCGmxyRESWxXuU+6RSqsspmIQ - FzjK8JcU5SfnnoZYgJzJArsABtJHVEF/3uNAkl11ay8YGgKgbdou9SlnZhWR2FCIkZnmOm/WJ9an - fmvehEg8PZ36edsYcPhrX3HtV92Ku+/d+sAdd//5X3zqzr/mu+8+vjHznZ0+KRMEUAMB0kTv09n7 - Q7Dow9Xis6qJMYhbqUB8+TqGq3zuymU1ZlgpDD463wEfFlNikdDDsps3sZmt35V058D+6fFrj938 - ouu/7MW49up5iCdS0hDa6X4hztsJtohMFEO7P5oZLLp7soTkUGVuQhs7zSXgcYAItLctqJwT8kCJ - EQ5srk+f+ZrXHDq5uSHYCiqToIssRkG5hPpOZlSGnwnOy1rXyJXIh5qhNIjgscksSu8LF1AWa3d5 - zst69hzq7mEbJZS9DW7kcLASKQWAQ+5bsyls0fd9E6YkG5P1/oYbt+X8dt9dwa6qJNwH3gzBlDa+ - /MuPvfB566mLZuxsHjNzL2YMeKCxH8QwKu0JUGKn5cGxD8+dzi9aFXOQLftWNvaRSxemXBx2EmMx - djKVBED+f/bePdi27Crv+74x5lxr730e99Xdt7vVb7XeQRghEcACl6sgdpmkTBJX2YljhypjBfEQ - CmCS4CBSJAJDUbbLhSFgXA4JwUlIVYzBxJVKhQIFFGGwHSQhoSbqVqsf6m7d2/eex957rTXnGPlj - rr3PPufe7r7d9NXt23f+/lhnn73XY641n2vOMcZnAnAQAIhlDB6Zc5bMHWd3x22Hp87MXdJwEwd3 - A7AIzeyNb337d35XM1/uBnUbVEmyN/fYiMtWLyFLFktiSc2ImAVAFoylD6429vKZkgRGigsdTRa6 - OfGy1NZfFgZkCgB1k3Ey5KiBLjLe6/a7JKJPfTuJYUgioR94Nuj8jtuH3buzW0ylszenm6QkYuBN - nb+3ILPZbLlclhAbk8nkgx/84K/98/8DAASTSVzMBwAOIwUuKjHlq4wTrsZLF4RhGERERAD0fU+S - 5DAMRbF7GIYYY9/3TdNU3e5KpVKpVCqVSqVSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVRuNUqAC2Bt - EQ0AMUYRGYahT8N0Ot3b2/uFf/yLP/A3/0sXGQYjGdum7zpYjm2TUnI7MspdewkWn7WXDDDhDqxi - 6h0ScgAAIABJREFUcrxseMX2qud/yf0deegJxBjcbEiWMboaaYyp60BAmFIGMJlMlstlCAHAsu/K - qbKbBEVRuc7FF5IiSpjZTe/RE0JIlgGIyGOPPfbXvvVbP/a7/9IACGGeLTeTtu+6IQ0A1nJ0wOiu - coIXzOoTu/pVvntxrnLmFz2+qM4fHB4AoKIfMgkQ/8Mv/uIwDD/1935y2XVixuzbu6fmly9rE19O - ciqVymuIGONaZTOl1LbtcrmcTCY3NlWVSqVSqVRey5RYRtPptHwwsyKwfW1HH4U6KYesX5T+zJ/5 - xh//8R8vUVwODvem02nbtnuXD5qmcffpdHrslapSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUql - UqlUKpVr5rrromXz2AQgQQMc3/9Pf/WLP/xf+TKLBJjhtrNd204GIENEbDSHWztyFUFvI5AyogKA - AyHALE8SLj7y2bNvegvyoWxPp+Bk6Pe+eAHJuFwiTCCAF8FuCFZiFBveRFf6JY2qP1iJZV6505eA - F3eZK+lJ1BABoO8wDOHgoA0Ikx10HebLg6e/sKXaWQ4hABkS4J4sh9l00jbIWZrt9fnk5KmvLWFH - ekcmGBUwRm1NE8AMWZtgyCJsJ7P8/POD5xAVbcwqgEATsmV4Ef8CQBvdFIvv4qaWwlqmrnxQRzaL - JMhs1nUDARIBUDEBVdREuoxeqDs7zdmzD771zdieYWuKEGFuNogED82hO6Zb5kSUg5T3mvjW7/vg - /WHyVX8jlNLQKpcZk8jhcB6n7SsqD8KVwGdxnOP4ZRGLktWTBFAFmSqVSuX1gQw999ic/8ZvCPDB - LQ/zZtbEoWcWcQUaIwQdYFo0kZ1FXXX0t/dRHG7txm80p4BmFMDERHwl7H2zbUkTGZXHSTqRAYM4 - ceigaiYyPLkZASq06OM6XGQlbOfuZhaVLnSauxtQRBSFmhMBCosPf4Y7HIRHFZiPA0MXAwkkN6y0 - RGV0cTfn0bBQ1y7/9E3v/3LFMkAqx29K+tkq/sKXfiy5hiQImLt7iZ3AoP1stvve96rZmNqV6HKR - zyvKrBzlpWE0cdBUirTqdSgPwuxMoBmEkJIeKZLjJyUSraS3bUNe9iG2037ItH46WUgYcm4oTisa - fgY4baXcuRrYv0agOUBXozmVXu437JlPH3rz7r0PbbXN4nAO1RiC2GBIAAxHT6acpmjGCwDYSmCy - RLqg8XrlF2muDoBOein5o8I6V3L1GGuEsewvgo3WbCXr6CFo1y0mbRuckkwdbHgZzK85FbSjeu9j - 0YIDOaeoQUTMzEEqBWRpcYaUARWFuOfkCB4EodmzIWsTp02bfTKd7nz1197z7q+451v+Ij77yPLj - n/rCpx95+rOfH5670HbdFiR4jmbBLLgFc7WSxUctEq9I3kum/4XwVeCYomScRRKZFEnQi2RKEs0S - F1TfOXX6zQ+//aveFb/iHXjD7djavqA7X6SGKLIdu7RgHiQGSZZTgpNQUkgUATyD0cUIcYAwFt13 - A1QcmV6UOHUsV0VNXPINbEa/tIx1yEfdboc4zSEGA0vNgziLSiugIJpWs2cViW0Dy3QXIC179zyZ - zg6SXUop7EzJmNt2++zpd77nXXj+Ip562j75qed+7/c+9+lP2aXnp26aLRgEFjIas2AWsypKBgHr - MECuaylW8IXnVtZfrncYz7NqnVahhTLViEzpVQZFL0yCXqUHJnecv/1tb3v7e96Nd7wDd96OSXMx - tPsySU0LHygWkC0NsBxjhAhEek9pMIpSZHDTMVVCB1xWUuIGmgHGIlnqmlczUC7O6qL5ghhRVIyP - 9IVLbjqWfScibdvmnHMeRNSJRerQhP3Dy1NtJpNJWnaQINs7+6m3GBaKJg+zB+45+4Y3vOUbvwEX - LuGpL+Cjv7X/B5/8/KOP5eVyKkFS0n6YgEEkjO2hq9ta+rfo2b9Ikks6N79aTT9h40auylh81wr0 - ThiYRDIlq3ZmKYbUxH3PXcCp8+dPP/jgO7/m6/HmN+G+u9D4F8QX25N+MhmSi0vKon1Wcmc6S8iL - 1C0WgxGiauaWvNXQxmnOue97D2IUlKBaMHDsPV9ZfK1bBHG4ARqfx3D7V3/1jmXzwa3Pk0aTiVFN - xMbCQCkBvVYy0jSnkVC6AaSNo1AnIMFx5Ph93d6PZDU3etSaXjl23ZDudsKEpEteRWojwQAgmEUH - h2Em3sYokFPt1tM5+ctcAXChiuZ+oDFTB9HFbHb+PV8Z+sNgWR1wIaILgsAEBpWx0lh5quKGo0d6 - NGgs703GlTz5tT0lunM8yACx0j8SxNhpwqkmdClDTdNc3poBKgWAFGlqOs0mGgOwgMxn05yy3Mg3 - tleBXviMhHu+4RtTt2yj5DyklGKMzJ5E1UQGUSsT96Ysr3hiBGlGiNv6PaLoZIuQBCBqkCziMF7H - 8s/VXDkBcVPbfK12Ixz01YuGAA5MNUThsFgIdKpx2kwuDOlAYoYDJp5KJ+XAWFRWL+yVm4Kc3Bnp - 2J/Pv/s7v/s3PvLREgjSHUW3WwTmcHeKpuEadbtRWo/Vh6tAch0TpOh2u7uqqmqJNRZjdPemafq+ - jzGWelKpVCqVSqVSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUrlFsGOWw7S4cTQ9c2kvXx4sLWz - O5/PP/yjP/qT/+3PGJCzAXB433UgQwhD349HHrdr3fBbW5/6BVLAkx9lZR/5ktsXE/zmFR9eGBGY - gUAakjsItG1cdgNFUtcBoIjZKEO+7JYiklIag2uMtpduK321Yr2Zs5vZ5pOwmzPghhMpJVdNOX/h - 2ee++S/8e488+jkAUKTsRbS777qyM0Pw41J2fu2eyL7yetlw3XoZh78yiom5iOUsgZ6L8Dr+x//5 - l86cOv1DP/ih0LTM+WBvbzqbDvmkSl+pL5VK5bXPMAwhhGJXH0Lo+34ymZhZcRCuVCqVSqVSuRKS - IuLuJEmaWc65eOe9wBFXiQBQzkO6GTTgy97xjh/9Wx9um9D33eDDzs7OfD7vuu7UqTOXL19umial - VMcnlUrlusNjQWIBrELJGjBGZgN45DIPoIQyXofeoh0FD1z5OAtQwgxW3/cXZz0ZK1dG3jiaSJQr - vr/2GdObfQscnyM82tLocmy7eqRjhOfV9iWz4Fr2WeXEKlOukl+ysf+Y/hr84cZiHDNFHNzIjpKh - vvF5zVEWr/HNnB3/ln1XJzxRbl8sRS+ZZm7OgR8vZsdnng0bpb18c7VmpIQ+l1WZvHppvzJY/Qab - 59wc346RzU7ssY7z+ULXehHW/cvR9Y6iqDlc12kQl42QGq+FlurW3K6zaV0EDMcr0Sp+4K3IZrU6 - 0iEooyMXrMUJTvJayNlXsuU4ODzRPJZaPP5LJKybstdUsNwvAeN4u4RF26gjpXiwNOkOp7gYBUgA - xEMJbgaYcxxar5r9MSJZHWm8NjhZnuljEMuT3wN40fejk8OStUbJlQeO/fuV494rK9dLDT/WSR0r - ZunKVwOnEzfiwuPN2uZPGAOcvswEVCqVSqVSqVQqlUqlUqlUKpXrz3WX7hZ1IMMBbaANki3NaGyg - mGyhu7z10L39H1y0vg8R2bNC1sLd4mXeUUaBpwyU9YcMI1Qhfb/3ux/ffe/XYn4g2xNQTi/s8b/7 - d+779vdj2zBtbCX5lyxFNHSYjhLdAmiZZl3ZtWQgA2VKNsLWckTX1VHJj6ZKBev0+MbU73p2dyXk - JSA4wbzXGdAvPvX3/855dhMhDg/gEfsH/cHedpmaTVlEEWdoNAkChpwdOY8n9dWdEetvxg8bC+er - JeorprFHsUC3IrwOhAzYqOOtgmV3ENookQeH/R0ymcoC3RKW2p2dxYWLE8sNEUHQ1zKYdClL+Bhl - tMAMhbCIR45ZBYAqMdsAd6UKzcwBaEBKLpN4qRusmTW33372/vtw/4MIATEginuGYrCkgVBfctjH - RHZ2nzvEpZze+6EfujsoJi20AQgGKAhMFQJwa3o8P66RUbeb5VGuBCTHU8n4JNer3NXprFKpXA/W - cjLu1939tgIAMKUg8tDKA1fE7X0AMUgoq6olFyY4thJMHLep2hQUdK6tcbnSn7yK2eRNtD2xBI7V - QMOLIBuJ1ZgQ5sBaudVQ7EsIEVop1c4y/jDAMxyZRwMbEIaVwLBlbPijjyMiYYk9MC6EOwDIplnT - RlJt1AxbjR5XOtNHCVsP7ByyPuf1NoXZLDab4zWaO6EgzItv/JCShnCottYaH486nh12XM1ydf7r - Vh5OmiasL3dcVpNebjATnEx7ByZtHgeONiWL0K+BDhVXrN4dXlOmSOKyij4BGfXpUbQ5s2pH6zUu - 3DGOPAE0QHP8HFc2437ih7HsX5/82jBtf6H0rFNR9j+5w1oaELPYl7QqAGTCyA2Lw9cEx2wcfbSJ - IyBCeMboG6EYw4oUcUjNjgwglNe7rIDlQcq7pSPBFzFkRTAJmOipM+2feM8DTiyW/vknn/nDT19+ - 9NHHP/UpuXw5XLo8W3S7ZtvOOPQcckMqKWB5TXUnPR/17gQJJ9yRV9Y7pT0lV2FWSjNH0qUMDAxj - x2IUqBxY7qJ208nzgXuNTu+95/TDD5978xvvf+DBcN/92Jr2KntR+6A9JREAEzMc1AZANogUi14B - 4J4dY/oIYHzPM/iGM5yPVobhqMpbuvWc5bxY3B69jBu9TIwcWeUai9MOnCaAGaSYo8GstIdwxACE - pTmEaGICgQSVA+XSpLn9bDy9O33zQ+e/+c+eP5inxz936bFHn/v0I3uPPbp8/ImtxfKsYdantu8n - mTQXF4GTo/Spu3kJrrNqaLDqGccJBEJ59JMblKU7ogvNzGCAZBFo6FSXQfeUzysOdqbN/Xff/o63 - nnrowTvf/g7s7mJrJ4suoFmjiQwklfBeYDDLAEUhOpRO2R2AQsyo5kIFjK4oFXfV0ThXhuZma/ln - gYGli6+mbC+I+NjgjbK749fmLCM282QCCMXdE0BVy96Exl06SxLV6Cl1ChD0bMnDXDXRQrCmvbM9 - f3t85zt2Unr73v788c9d/Oxje5977NKjjy6feHKn77eHYdZ1s36YZLRAIAQwE2Czj3GHrwc1PrZ+ - 42SWAQmjGSUFdHA1Iidk/bpkjqOTcGxqnZIROupSZBGa58l85nR8w52zN95359vefPatD+OO2zCd - urSdaqfSBUkicOjSpTwez1BkcJEHI6jKMr1pEGhQONBZD5ZpWisWnxujIKshil4KUyJZr60cOjoT - sMlsnGCErIV/V5T/jp4wj/y/jzu6nHju1/X96AQvON47lk49+reU6N4xh2uMoGVKJt2Th6tc4MUR - h2VQ1SFCh6UeyOLattx4PlbegFavRaBt+Alc/Zo89tu1Pp+Nx2GAeBF+PmlXzQ0XBce6vSoxwvzo - svOxz6XlLALzfFMb3GcRTJrnYdI0h3AEHRd8dLz3RRyHs6UZd54IfLYeqY0PqAh4lzfzonDvZUh7 - vcr/Zr3z1YvSmLjNLN7IJQLOrS0AcDpTnogzG7AWBTcAlPLCJV779xvJ6EC+6mmB8f1jGIbd3d39 - w0OSIqH0xSkZEXLGxQsXvu397//473/CMQZ5XJeA0VXR3XEyqN8Lsy4Dto76UQKKFSnu8ltKyd1L - WDEzWw8PJpPJeC8kgKY58YZeqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVK5fVOEABm - ZinHICRzcqFobBbzZdtO9g/n7/v27/iVX/tVhxpGaeqVx6ynflifqVjOYsM4lkCEGAwUcwshDsMA - GlThXkwnNYQ8JABB1C0rxtgUCSCwu7N15x3nT505PWnarZ3tJsRFt+yX3aJbXvzihWeee3bvYL42 - GDaAPPKQLT7QLBFDCiLIOHJFW/t+rmS53eErj4yhGwh4Hh0mRz3nFVZOunoOJx6qw9Nx+eq1cf1r - 3L4756Fpmn45iEjTNPP5fHt7p+/7wa0NzRNPfP6b//2/8NiTT2fACZUAS2vR7oJfeeMbT4gb26sy - unYdOXgBfFGB9pfkJY6V0dXNHBBb5bIBgfjZf/APz5+/6zv/k/erqosns2QZsCbEYRim7WyxWIij - mU6KpPemQ8dav6pSqbx2KC1zMbwvxvNVF7NSqVQqlUqh+NytHfRI5pxDGEOYuvtarvuFdbtXb8VK - yxkOEXGnl/dMgMBDD9z/j/7hz+1sb1vqlE7RvktBGwDz+TzG6O51fFKpVK47NLchxHbowaBkHpZD - CJMmNJYWYDYODsBbo4EuMLGgqos0R9A0YDqZ+LBQurubi0vxq3cDogEQe0FxykqJniElKoJBZGM6 - ybAZYU3WISbGCE0ut8JWgCIhf0KScNzCxe3YlsgcQyNubq9gs3sVPx7qYTMix1o2+CiAgK/k7Mcg - 36sIJOVQjj/46ipHqoeVLzlGSVQA6qC7OtSt1C2DmMAgWY5NGA9poUJViggg7k5z0JxagjOAJJ0l - 1qdlQSwLD6SQJeK7rMM4lIgNm8NFgxvHceamvLGlTJJUkgo1AGbuLuvrAiLiq6AQVMl5yMgUcTdH - GZ268EQ01/IcHDCnr+8dY5A3rOtHCbcCjPHKjOu6I6v2RwBL6Nu27TvknCctkuceEJGYcgyhy71q - 9JSTwdVV1TKvvfyvI/xYibRDM8ApTjMZxI3Wltsq+4jL2E7e6Jbqlt2W+DZGcYiD4Bg/uDTIHGW8 - g8GKXPFVVWxfr3BVy8Z6R3PC6OIQF5r4RhOwqnerPuVG5+wr66/FzWhOX41qsAqfEwAxUN2FDqQM - MYrANjrQ1zs0ujsByGr0sKojHozmcGNyGihmU4csrT+ze+rgucVEY4gCRZ+SAMyqYJcX2oYkni2L - Brr7ldGuK186pIRH3exbpciPrGTXMQ7wZYwTXt6PaFjHqgIAZBAYJ6NKcF/QSaoLjYAZVy3G+JOj - rJtT3OFOI9wYpYG5mTmy00laib/rGeUCpELd3Z2OwVkWyyLp7jrWTdKQx3DXsPHuHOVz0Y+H+0bb - LkSJyCeGMUKgUQAbg/FWKi8FSTMvo+gynL7RKapUKpVKpVKpVCqVSqVSeV1xvaW7DbBkObA1yx5U - gz5vftdslg877O01bWzf/KbLn/x9BRrnyhRgnCE9muQ+Wjw4NqsYzJZPP+Of+CTf9VaY43Dv9M6Z - 7qlnH/37P/3g93w3oiUQcSpAIw0NIHyl03jlDDpXX8r6/+sPcWxl2jd1hzYfQfkwylwSAxAb9D1U - 2sV80nejzDk9P/kUcy46gAY3EGfOYMi6NbF+IdMzKEoDJ66KjfvHtfuzCWHFr6+sAWJMhsBz2072 - bb4L2Z7u4sLzYIIQIr1lERERWFrZTAIQ48q2AiJuRaJThTCYe1HII0EhHW5JNcA9wyHqUZLlyzlj - ojKdnnvrW3Hffdg9NYpwT6aw5JRBmJVhZ+e5gz22canNfLL1tvf99fOzKYLCBmztmJmHOKYJ0BMZ - 8YrgsT8bJ7vym0qlUqm8TjAHhpPLWnr1fSvXysnV5RMjlg1ztGtch7bjR12djd/lmOf/1Q489t1r - wPzlyrvLRH6NSSO/kqpBDBv/jSPhIqC+yqbX2k2ukY2CsWk258AtqFV8M3L1RuN4fb9yl82jHJIE - SWAUl4mZ0XI0aybT6c7OqTc9dL7v3xIbfPECnnwKn3t8/vnH95946sIzzwyX92TZcxi8T3QPkCBQ - N1gOqjRzS7RMshhYkCRGI2IzFHltF4KSzJ2EaIIPDieo0YLGne2z99x72z13xdtve/Dee/CmB3HH - 7WjiAr7cmnUxzi335hB1wgxK2VBDlKvf/DE22ufjD+2EquKtaWD/wv2aHNth1XQcL1fH9jwJbSCW - hEhAUHpoRLbOnm7O3z57+1vf9k3fhMUSX7yAzz+Bx5/qnnzi4qOPP/P0U77ofRiQBs8WwMgieJyb - oG6Z2dyzgqSrCOkGd3fLMLMMQggJFB2yuQYTmmimQChBc2zO3X//7M7zdzz8Rjz8IO6+C6dmPon7 - QR8HfDpDaLKTxuAqjuzJaJsW9r55s8fuv3QEJx7FsQI2OjZd7afKC7FZ3l58+OQYrYfX/+aNWQ9Z - KeoOgkGOxgBD3090a3rmdHPXnee/8ivvyRldh4MDPPJH+MIX9j/32P6TT80vfLHf21/OD2y53IpT - DBnmAmixPLYs5iqkOSwXi0txAOburuMU6DjBRsLFia4fXEgVI1zUSQhd2PmQQKcgxOnu2VN33X3u - nvv09nP3v/OduO0czp7BNM7Fnw3SNyGF4OSxZs2hV9TQ8Tmsdtssoy9c/SvXiKE8YR4fUN1iM25j - +C7Fq/Lu6aNzEYq5cyLSS5z2SzCU1Y3tq8PNXt1e8iVieGXZcvPVnWODzHWuVt3u1wZy5XBrNps9 - 99xzu6dPu/ti0cUYAagGsvmjP3zkfe973+c//3kA0+l0sVgUme0/djKKy4SLiLunlEIIwzCQVNWU - 0nK5LDvknFXrDF6lUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVKpVKpVCqVkb7vQwghhCEbyMVi - sTXbSSnllKCydzD/y3/1r3zkY79jVIfAfdNy8iXNch2wsr8bgGEYmqZx2tD3ADQESzkPSUDCYXm7 - ad/zrq9481ve9G+++z1v+zfecc9dd2/t7ijY56QgVMSR3AJFYkC2YRhyts985jOPPPLI40888fuf - +PjH/sXvfOGZ50KMy2FQAUVyNgCiau4wowQ3A0ARCqkqIsNy+RK38arAm8CpTFVzzts7O4cHB33f - b29vz+dzByZb259/6sm/9Jf/o0cee9QZnAp4TqvC8JIRS0aXk2vd8eQ+q8OvOy6gFckJgL3bh3/k - Rx68/4E/+43/1mQ66fvlbGurH5Z5yCS7+aIJIbbt4fwwhFBVuiuVSqVSqVQqlZuUnPOmaDeAruva - tn0Fp5pM2+WiAzCbzebzeflSBCTuuO3sP/iZn9nenkX1vh9ijFaVbSuVyg1Co3TdoolbGcjZm+kM - SZddF4K5JDBZkeT0AKRMF8ld6hlEQyA4DIPmrDFky2XSxghZqdPxjx3Y9vVPCaw0PqUiiWfO4rK+ - mm1jCeNGAFKCLgM35xaAvYwtiq7h+CCMJ7Y8sS2imEWBeHP7IpTffZROHCNylCtgFT3PRjnkUYTV - efK05RvnSo5zDJZVgz/ceAQOJ+BOyzR328i7dZAZKVnltK2tLbOch5wGoydCSSEJdwJCAcwcJAQU - EWaDAO6ObNlAI5RkCGJwc1vrdpdRJdVZQpaVZYkVMao7PGczJk+EighF3JxkCGFU8XQ3s4zsyVGW - MyDmo7RhiOJD7zwW0H68Ya6jYclquw6Df0xdni5OONfJM/BIXrfRZhgGZwyNJl86k4kKneLDsBhs - oILeTmKT4DkPL6v5L6kwXiW0qawqIF2ceSMLb+r28HWxXVGq2aoFLj+JOFaVC7cmpf5t3L4ZRQEn - bPX9huDyqjK+FnL2ZW55NGIxI9THIDYC5NJLWgASHc4i907DLRaFlkW6HUXY/VhcaBdwFGmGl3/Z - xPaLF58/s3NOLPe2sNy7EKQC1NBYm92QpW3afrEsqheVG4eNSvarCn0sXOrJBrBEr5V1bNWVFLcA - sGyEFm3tQALmyHA3M4W6lMEEzbITcJgnAOW9QaCBAggEaejG8LwipANWBhJNO0kj2ZCEQTVC42BJ - RATCLDkbzCWoMgyejyW7vIy4rMvwuh1b3/L6wzh4wlGvXalUKpVKpVKpVCqVSqVSqVRuLNdXutsh - CRakxQBRTSlB8GUf+sFHP/zhe3e3QzdgyJA4O3dOL14cln0YZxgNxycZcXyNnyuBJvc8tf7JT/y/ - 99xxG87fgcnMunx7s3Xh+f0f/uo/+aGPfaTZmiZkuo5nHXpOmrDpsjXqVRshiiKrI6PCNwUvIPL9 - qiKbblC2StLR/Ov402r6OGeKoAWWS+QlhuEU2tjNqRlC9N3FJx7f0sasA30Q+KyBACHSPJOHy25T - 3sqJ8ckQKOs3KEvCIrC1MvdKefqY8s2ogG6BQCOWYR2hAcEELvMMqLYygy3SckByzCZY7MOQu2Xr - pjy6MSEGKcrjUIc46FAr13GHU6guRhiymRMgPGUTAWI8SD7vfbJz2/b585MH7sLuFs6dRr9EUyw7 - FfNL0MgcINLH2ecWw3DbnV/2gQ9ACRWEBgTgaHYgSsQ05CboSc80/vElvCuVSqVSqVQqlUplRB2+ - WDSqIQSImtmhSNe2hyC7Pt59d3PXG5p3feXMbGZ23hxpwDNPYX/v4PlL+xcuLC7tLfb2+r1Dny8O - Ll6SfrBuyH3nQxrtot0NDpJBESKjMjZoQo7h1B3ndGtreurU5Mzu7qlTW2dObZ05jd0dnD5bXqP6 - nPsQMW076n7fx+k0kWlwM1FKpNKKlW71dbtpULfoEDODJ0eXc28WQgi7Z55bdjtbp9qzd9g99zfv - SW2Id7nftZzj4BL29w4vXNy/cOHw+cvLS5f7ywdpMT+8cIn94Ive+s6H5DkhG4GUMwJUorQxtBOd - znTWsmmmZ0/p1qw9derUbee2z53ZOncOu7vYmWE6Az3nPIDWtCmEQcNAKsVFshFmIF2R3HPOZLWF - fD0TJtNMHiabBz0QbYBmMtOd3eb8XUjDlvmOCggsu8Xl5/tLF+eP/xH29xb7B8u9g27/sNvf7w/m - Pl8sn9/T7OoeneomIMzVIeYwd8LcjZKJJMiCrMI26va03dlqt3fa3a3JbGaT6dbd97Xnzp278045 - ew6zKYSAdML91Otsm5NJ7945TegaSHrqq49QpVKpVConWK/3nSCldObMmcNF1zTNZDIbhmEymeTk - v/7rv/5t3/btwzAAaNt2sVigOGa+SoiImalqUe8ufhQpJTMrvp2bot3uXr6sVCqVSqVSqVQqlUql - UqlUKpVKpVKpVCqVSqVSqVQqlUqlcivTxqbrOqWIiBliM4FwMXTNZJrT8O3f+R0f/dj/A0gYnsHq - AAAgAElEQVQIYRgGimwKTFzNCHIM0L/+nyHklACEGIeh6/ulqqqo5RyFgzuAe+6680+99+u+6c/9 - uT/1dV8fBE0TowaDezaAdDQaguiQk6WsKnTkfqCjCdHEvurd737Xn/iKg/lh27Ya42c+85l/8Xu/ - +xsf+c3/+6O//fgTTwugUYchE4hR05BFxXKGuyVHzhkACT8SafHNACi3GJZyjPHypUs7OzuHi/nh - Yh5CjJP2c08++Zf+w//g45/+JEBzAykx2jBg9diu3ST2ZdvOHj/gxe1f/1iGudws2y6iMPY5ffB7 - /tN//mv/+wP33jdpm729PRGJlOl0lhbdMAyqummjW6lUKpVKpVKpVG46ypC+KCMCyDm3bfsKnO8o - WC46OGazSdHtjkEApGRnzuz8/M///L333jubTZbLuao6co34WKlUbhRONSaKYXBBEMalDdpokt7U - UES78wQUp0I6jeZDHpa0rG3bpm4Jp40yhABMRqnj2qy9NAIzZKJEJB4nUYUwGjYnVUvAZq6iNRcl - 3Ztx+zJxMhMOucZ+mC7ishHK+0hT8KoYxzlAuoEuMIjRix7wSuHYVYtCoYtRnW60Y3dCs1U8bTBh - LWZIh1Ne/l1XXi3ELZoZLRNJ3Vhk10WcYlCDuHAMie5OGLE47OESGIOEoBEALZslz1kUpMPp7p7o - 7k4DswhEg3vOpCODTpGUeyta3ys9VbOcPZkYYDQ6ctHUVAaSXd+T1BAIKZfIAOBAFifpzD7qvKoo - GULsUs7JXKhsSEk5L4cUQwMmX0ctI+gmyBv63GKAuxoCaAJbq3QLxAh4cAJuoIHZAWIACAS6YKBl - yowZA22gehTJuWcIUG2ENAqipEY5EBGwl7W0kqXIl5b0QFzgCuioDWwNiuYxrdQsIoH5xrdst+YW - ABQu6qWZdaB0/QI0o84C4DTjKExLPya7cAtgG+LcYjCHAsgEiMxN6W4Rt+CZr4WcfUVbAegqELqr - Q8dxoRvAdYFxcUa4wgJIIN9aS68uK9ljgAYa3O1IMYTiYgYgiBMeJs0UQQ/9smseMFA8Rrrq4XIh - OUx1Ny8ZvWk4SQnNtEm+BNKNvMFbGZpgAAEKnPBQFLx9rPsjAtDFSgdXWkjA6E5zCN0AmYSpZ6GR - boSB2emGnMmezlJc3CGMFJbBCOBGGmAOJLo44WpUkHS3bCnD3TPJg4NDEVGN7aSRMt5IKZtbaFI2 - dW+JWWjoGIahWyyaSZspY1LHfhlwGK3ovRQ1FV9Jj0v5UEo47Oj1BnwFLwKVSqVSqVQqlUqlUqlU - KpVK5dXl+kp3AwgI7qACQAiCvse0Xdx+7rmnn7sjQ1Uh3H7oTc89+9tbZlHVLdtqLb98uOpKUlHv - VkO/nJ/dmi0//unJZBdnWokBfX+6jd/x7/x5zDsECROA2i2XbTtB2wAoc5pAWRG2lW2ewXE0a8kv - 1QLW6jIcp3uLfnZZUzFuGIgYDMWE0TNIBEXGb//Ij73twGKcYtiHEs8+lfYvtRKJQcScHre3YQlN - zKkPbOJkWrzjdNNggmNC1rcso4a4rX4cBc7H58NxZyvncRBQ5AwzSFH9bhstUtxI3gRFIBYdzDD0 - 3vWSkjoUoIAGKBzjFHMuCXABzIhkAKDmQC6raCFAgi5TRtC5Y0GZnr/9ngffiPNvwKxFo5hO0nKu - k50h92YWo+rZMzn7UuQiuNfEd/xnP4Bs2NpGUNDcMzU6JUO6YZjEVkROPA7Q8vrjq5X1lUqlUqlU - KpVK5damiVEcOWdzZoFBFoAbdTIDIMW0OTsdBBoMk1MzTQOznXU2BIu2cXZQkAzZYYZssIxscIcb - VKARKhBCBIEQwAxREUOGLdwG+OUY0DQLjfOUnAzNxJzdkEjG0zt78wXJoKEJpJuPFwVq0IybCsLN - MqkhBIcMjoW5u7enzlwcUs6ZO7sCKiFgc2p3cv60poFD3nXcZh6cSBnZIREpIRnMkAyWkAFLaBoI - IQR1LB4iUMINqq7aKwbystKUWblvVjSPBeoOmgPi7irRHObJ3Y1wsaJDLy8wQVR5HWCEu7sZCAZN - ZGdOkoxKcafBi2wnd8nz59vc7b7zTU3fiblamVMjzJAcXUIyDAnDgD5h6JAcacCQAUIFISA2aCKa - gCCIAUpEgRKiCKWpbA5M+2Z6KWoS9O6DuGlIgaKakqV+8OyNaBRFNktDCNUOslKpVCqVayWlFEKI - MRYhbZKHh4e/8k//2Yd+6IfdPcaYUuq6TlXbti0xOF4VzCyEkNLoXVNCZLqPw4ySqpyzqg7DEGN8 - ta5bqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVK5eZFRGKMfd+HEEg6seiWu6dPX7x0 - 6a9+y7f8+kc+4kBQ6YdhOp0ulocv9/wpJVEFMAyDiJiZ5azARDV3w9e++z3f/v73f/17v25nZ0uh - hHF0DjPP2d1HpRN3E0fOSkYN5WxmlnJ293nOQeOkaXPKqR8evP/+Nz/88L/7578Zws985o/+l1/6 - pV/+5V/+/NNPAbAhE0DOCmb3JkSqdF13VcXnW9PLR0RUNYQwn89nW7P5csmgz1688J0f+K5P/MEn - S5ASLQar2UoklgJfX0/MgWRFkoeX9/a+9X1//Z/9yq9mG2bTGeA+pPl8vtVOAQzDoDGY3Up6A5VK - pVKpVCqVyuuLnHNKqW1bVc05N03zCnS7AZB0+GTSzOfL4kWYkgE4fXr7p3/6px5++GH3vL9/uWma - bENgeD29Q1UqlZsJl5ysaSaWMpxBw7LvOi7bbX7qc79rugAIb2AzI1w6MLeIb7nnbbYMzGAyIRHU - VzNDhDuKVF3RpKvBSV4CWU+pca2tC3EzbsYBFqykaoEy72Y35bbcyzVj7kWwfB1O+4gN/b8yFVnE - AYtut/gohgoYXYpq7HhO2upXbOxf9tSiP7pxlY1r0gCBE1ArAuqA0+gooaRBP8rBMdErnfXKjaCo - 4orD6VY0qstygyshXvQ1gTGbaCAmcZIdyDCzIXficHfQYgyObG5eNKQFQmbJC1mYDiICuMENGTAT - C0GHnPrcD7k3S8lySn3OedF3GMvbau5dIsmd7VOqMUgg1TLcCUAhEY1A6WA2H5yGgBAYu2UvoqoN - IO6ZyDEECW2f+iIJSged4kI3AbgSlB/vmL6pmOulEMMcAaCtwpA7MpjgEAjogAYNaHjRn/ujp/8w - xgFMaEJKSbIKHAG+CG+7/V0TkNmE5qRf+ziam7PqY4LpIo5RSsAUgEmZqC/7lkp3o1u2W3MLKU2z - 0YhRvHktTgyPRbHbIA7LTIINCddbAF9pTBxF+vdRwdeK3kERQVh1OlwJT9z4nH2FW4WHot9AGCDi - oJuIO8xgsmpzjHDSq9gB4BwHIRhrh6vLamBih4d7sp3+9aO/1ZyNDnHk3C9zHiazqS3lzslDd2/d - 05gM3QJqnS2VdV3yBuJgIhyuYBkDCgBjqd9HTV/JdMNqHzcgEUJA3AEDe4G6u7kDYy/qQo8wDIYM - GpCNtsTgbuMQl2OPSZIkxBOz0+kwMzML1CAxaMMMmGRzywlGmCpFqHAJKjS3nLq0EDCEsN1u92ko - o1+ubhQocuMw2ipIqsDLL2KA0AAjDHAiAeYUeA1pValUKpVKpVKpVCqVSqVSqdx4rq9092rdFU4M - vTchYdLg8PDt3/2BC3/rJ5ZPP9vENs62cOddQ2wDNPfLtT3eekFx06BgPYdePiswVTCl/SeenMz+ - EF/7HgDZ+zTvmuXymb/9985/1/tw+zm00kzDfLmYTaarVRobN+uZdBdwleIv5XoFV6LZXiaTy0cr - 27CRlrJSmoFhOZ80EwxL7B08PNnePlzg8BDB0S+Wjz4yyZ1nEuKWzSzOJphOkAcXtcG2milCyHAD - BQgO0LxodENYll5xYnVhc0bbys/lKWZIIpQIAGHt+kCCGWoAHNkUGTDsbuNgwOHhJFmTsmJcGiJA - G1WWADiROQp4O5EBpUQh3S1bcmRDztbH6TCZbN19z+mH7se5s6DBB4QMEpDQbM+7vt25LQU80S2H - prmk/u4PfmBrNkGIcEU7A8VEHMzj0haHnNrYAgiy0lHHaA0yrB5KrOJ0lUqlUqlUKpVK5VXCKMnN - zF0gCCWAC5xm5u4wCpxOAQFkNPsqGhoAQkp2AuJGh+dMNymQgBX9OXGQJLX8awZ3N8AoLqSKERnI - 7hC6a9+bS6tQJGFGY8Hdkbvt2Lq700k3zxkDA0TUrRr73TQ4YSo5u7srXMgINiIA8zzZMKhIO50o - ZdkvhpyyMCMKVRoKQDNxsIG6eTadNCQFThI0NzpySgmkqpKKYhJqdCJTnHBKphuQy9QHNSkgqhRz - cixgVJGUBpImasiZBsIIETBX14vXLeJwycXakKS4+GiFzKHvVGNQBWEplxazp+/pTKYNHXCnOczF - IY64o+s5HZKklxk1AXzNRtvFEBxwocET3OCAGIQSEzSZ92ZZzAzGZInW9ypohBoR3TT3hLsgvb4i - KFUqlUql8ipxhSuLCwCDd0MGJKUMdzf+d//ov/+Jn/i7drw3zTl3Xfcqpmat203S3Ytud9/3TdOU - X7uua9vWzIqsuEgdfFYqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVKpXKrs5wvtnd2DtKB - u0vQ5WIxmU2f/uKz3/O93/dbH/to8SYoseOXyyX8KMTKSY65YRmwinACMyFSBiESgmhOQwD+9Nd9 - /fd/3/f+ya/5mr1Ll0MIDbCcH8YYKGKAuytFVIpVpJnBEUQBeDaSTYjlJ5I555wTgEaVMbh77vvd - 2daiW77tTQ//1x/6wR/4G9/3e//qX/3CL/zC//pP/okBBgShm+c0wECgaWLXDxvpl5P3cssQJC4O - l5PZtO/75aLTEBZD/9/8yIf/r9/8jQwEDX1OKfVF0K4cIqtndKUn3qvlivJCPn4nbGH/GFl18lCS - 5lbEphL4+3/wye//L/7zn/3pn+oWC895d7Z1+fLlJIOIkMzHdbtfhjZJpVKpVCqVSqVSeQ2gqqoK - 4PDwcGtrq0gfub/sFxrPILhc9jHGnAd3qKBpmh/7sR/78i//8mEYZrMJ6X3fz7Ymi8VCpUoZVSqV - G4K4BRX1tGhDWA5Djgm73R/ufeLn/s8f6Zs9AI5gUKelMJfcvnHry/7aXd992+yBtp947mEGFWOR - livxg80ZfTMMcuWFcCFEjjoZA2AldIxjQ/W5RC4uKoC4qSMEy8vqUQl1O1GKRvHX8TyClVC3rGS0 - nWZHk3LlkW6qFB/9SodakKI161I0j9d7GpPTwGw0cFhJ1Ua4rmf8HCXEt2Nj0nIjivhKibVyI3Ag - s4SgT+Ii2bAugQ44cwnJTjMmcADg5gqlOsXX2erCLi2zw5EZCAUlZc8LHsrtvJwuXd6/dPnyxUv7 - Fy7tP38wvzzv9/cOLyz7+WF3eTnMk3egke4ELZYQegBIAqIQkt1yiLGdtrPZbHt769Tu7ulTp05t - N7tvuO2+nXj69PTUVtgOaSpLzX0eBrShpYt5CSVhoCVLQ5fRzOBBDcEZs4hDXEE1utOy2EpOOAFp - fA4AUETNtcRpd4SVrvAAmMDBTFeBJU99XP7Lx3/zf/vI/8Rmcdhf8tb6bFEnMLQhbnVnvuPf/psP - bL05ZqExv5zgaRsVs8ToWFceock6T8edaQDU1W7m9vCmhwBMvAjHZhqBABeHrppTc6aSrXbFCs7r - nkxzjuW5tDxaSjLHfq1Iea+7DKcab9byLB4cbZFlcDpNAaMbPIMOpJX+xYCxphfR4lsI31hQt5Ua - yEqpe3MoCAOSdrJ1+K8f/+1//NGf3Y+XUnYAkRBhFpty9y2nv/wv/um/cjvukBA9wigcynimcmNY - ydEbAKcAZQw/iltvas44i651CxdxU9dgWZDADKQBcxd6Ky7ipUV1mHrH/SS907Kk3pd7y0vP7z+3 - t7z07PNf6L3r83I+HHbDchj6PvdmNpk0qtpo04Z2Gmc7k1O77elZ2H7DbfdNOZvp7iRut5hIIsyZ - vcmxlMIEWEQmOutz18XYjqLcDtBRJFRo4ygCKE27c5T3dsJcBHDaKP9Cp5vXcJWVSqVSqVQqlUql - UqlUKpXKa4DrK90NYOgsNpKJ2BJDRhTsnMKiu5TtrumkzCCiac/c/2B69LObCeK1TSHGNhzO+wn0 - 0uOfO/2GO/DAvbozmxYtsnn///3Uz73xez+A1HN7J040wQMJB7jyb9r06nF8SUW71xc9ngxFcTyy - 9bIoVrsoME/9bDpB1+Nw/tmf/Knps8/HsI1pC+tx4eLBF57aJpL1DVQcEMadLSBDCEeIk2cuXDrr - rmDeSMJailsh48WPzByO0ri5hsNVsvL6+9EzUBwCQgQww/7B7/zETzy0OMQk9MuDJjT44hcnsFCW - xwlzQJAcQsBtFMrm6sYdEjTlXNzSshAhNrOdZmt79sBDuPMOnDkDT7AeURHEXYzNMucs0c6eeXKx - tNl2Pn/X27/1P4YKJgGBQ59kMnXqsu8nzcSB7FDSgVaD5VFNXMpNjiua5kAeF3EqlUqlUqlUKpVK - 5VXAgXnfhRBC05I0s5RSUZUNoiUwCukiQnd3T/DB4VKkuDNIwERVRcjG3DOyuzsBqMFhrhSM726k - i4Il2kVKqVgqk3QW4yZ3d2lbZOSUfMhBtY1KwrN5ytmHwQYqVIUBTmR3oVcp5ZsFK+ZcqnRkZx56 - NwYGpUxCaGPr7kNa9G4A2Iio9oOTQVDC9AhgIkEE9LHAuLvR3MXg7gy7UwDidHfP5u7iJQDQ6K5B - 0ilGg4vBJaiZDSnDx7LKbJ6SBgEpIsWoP5spiWueJqrcrGRYmQojR9FtugJsoxnMimsEQhCSkHjQ - ///s3Xm8bNdVH/jfWnvvc07VvW+Q3pM1WNbgQZ7wAB4IdhpimuaT5hP4QDDQkACdBtMQgrGNhwAB - bENCMMaAwU0YQghDQz6hMd2BNJCYBjzb8iBLsmzpabQ1S2+499Zwzt5rrf5jn6p7nwZbAj1L72l9 - P/bTvXWrTp2q2nWGffZeP6XYMEciIyViI4TAEDFFDak3I5iZwsyYeWxFRMTgQMQgIso5AxxAuuoB - IyJGqK1OzTgEJjLSYmpmahaNA3GAsZnBSGU1L8i3h84559xD0ratiJVSJt2GiLzpTW/+3d/9AwBE - FEIopdRihU3TDMPwCD5vze1OKQGoud0AmqZRVTMTkbZtH8Gnc84555xzzjnnnHPOOeecc84555xz - zjnn3BkgcRj6PoVogbLIdHNjmYefePOb/vhP/lSBpknLnFUVdeKMMR5u+g4hxChFurbrl0sAL3zu - 897w2h/6h1/91VpkmC2mTUNEEbw5nYpIfS6YgWCmBtTo7hACM6tqydnMQgh1wlodlklEMUYiyrnU - v/azOROlEKHYbCdf/pKX/r0Xvujf/NRP/btf+7Xf+f3fu/Pue+vEnjolaehzXVUHUQBlyKltFv0Q - CL/667/26//xtwEYYZBiwHQ6nc/nAIcQ9D6x1WcKM0splZxBrKYE/Kc//M9f/uVf/m3f9PLZcllU - JpOJiKJIjFFFKPikG+ecc845505XtRBKSqnmdtcb6xnow1pOndCXUso511tU8RM/8WNf+ZUva7s0 - 397p+0XbtgaZzWZt26p8zsU559wpQxTyoA1CE9PWfJb2hbLRv/cD/31nenduZnXbZ4AElIiYt689 - euUN91x34OzzOu500MBszEWMa9o0djdnCg4e3f15MK/KMCvpWAx5N2c6jLnB9Q61mNIXfh0fUQ+z - Qeh9XvEqIZ55DNIebww1VhAQViXlMdmX9zynrpYw/juGaJIqsJt2aazj3t8MESgKqzmG9XMhU4Bp - 9StoDCMkQw1F5nG5XAPF6fT/yE5rtbwSGwdTRiQDjIxYAaFauU5BBSQEhXEAQU25WCnKRcmUtZjw - hIVVWMSGnWHnnmN33XHXbXfN7vzUbVfPZXvez0UHI6WoSlkpG6ugzxgsgRmIqM2qHu+NbWO9eQXa - jW6wnR09qkvTGdPdFCkm6kIfO2xM282zJmeff/aFF5/75IvPe/K555xX8iKWRIWpgIBAHIkC0gBb - lXZnkNoYDcwGNQQYrzKDdfwm2DonWJUYpqvmymRcC9mj1mM3KCFLzm1/0/Z1d9gt0ymW7XbokIHe - 5iqIoK35bBnnhQYrBg0xtA+9PhVb/UYzLIBEWZQsWK0BXwBY3USSgtTIAJgFr3/16KLacqAMGBus - gKKCxuttY9IrCCADP562hkowqjsarendZBw0GJlwUbKguzUGydjofju804ow1NQIEoQNRgHKNZ+b - ICCG6bj9GY929PFVzLNufu/3kpXABDKQhnG7TTAyozzDsXdf+RcnmjtmzQlqohUzjqK6nfMGb19/ - Ih63Ow7wvmkKgxYFBwqncQM67RFbhKkS72aarIYZjMeFNh43riqLrr8IAOqXxZSZ2IRLH5aFrVAu - JArLPHzmzuvvOnHnZ2//7J333H5ifrS3RcEw8ICohQZlERIlNRoPY2muAUTGJsYSWFNjbdQ2lNjS - xv72rEOb55x/6IlPPP9JTzz3SYcPHqZF6KiBRRWCRebI1iCoGcCFjPWkURProwgdT1Xqi7KxDddD - ayMjgBVKIPOKqs4555xzzjnnnHPOPfpOcXS3ISWGgQxZrElRMYhQ4jBvm60dnNd0mM+RmsmlFx+7 - 6YZJClbkAa8ejZd4AezGNTGZzudlo2vEQCHf/sH3nr/5lUiHj6sdSJs6W14Iuv6n3/qUN74W2rMF - hGjYHfg3Xr2qnbY0XjCtF/OJx6EEAaeSjReCbPV0XF+o7a6egLm+ZAEYISRowXzxS1/7dd/5ZV/e - GECE2Q54sbj5RhpyqKFrbGRQoDvnEIrAKBiX1Fx40YUouvuiqL5GNXC9ABvqKtUrwuPgCcaeIR28 - fv8NsTYgqmub6vCM+qCsy6SCPJw/X240kKFHYCyX81tvSwaimm6ErIgRWhAMAYCBbTdBXRgA9aCB - gm1M48GzNs49tzv3PBw+hJRQCvIcgRGjqVgmCXHOOBGUDm7eG+j5P/KvkFrEBswIAQRVSV0wQKGh - CYAloWT16hWKWtuser5XLWP9ooLPJXTOOeecc849omLbASgKsmJmRBZjCJFLyXVosbEVE4GZqSk3 - sQPItKbE1nRbBJiKkK1mFdAIXAu+jGAqgJoSyNgMNp7YraK7QSo2iAkFpKYJZDkvdRAz67ouGgjB - CMxQoqJSSt+yJ9udTnIWjiFwMDOOhLHHoyzyLMaIALFBSCgQMWcDhQYIaqgJ7QQ1iJqRmqzGJBKI - eQxFlizY03tAtUXS7hB0IQMRyGBGECsDzBiMQBxACACt2yurxjoyfDW8UvhxNdj48YZDiAGAmqqq - Sd2IZRMispooz7X9qKpqAbctwGasJqZmIoAFGMCAGRMREwVeVfZhFZBCjbRubscCVU1IRlxH8ipg - ZgQyQi+roFAFETFZSwSAuZWKTANTYAk1wvvxNPTZOeece4jq/Mg9e0klBjAshxiaJnVbW9vf+Z3f - efXV1xAhpdgPRURqgUjsSdpeV+L4u6tx4CLCzMvlMsYYY2RmADU1vP46m802NjYeqSd1zjnnnHPO - Oeecc84555xzzjnnnHPOOefc6att2+35rOsmCmQZ5jvD7/2n3/+t3/sDJiiwGHJcjXVkQ+TwAEnN - D1alokabhCjLHoZ+udw/mbz+NT/0iu/67gMb02GxZEIK0SA5D8QhhKAlh9SOk29WmDnGmHMmImZu - mgZjjrgxcySOxKpaR2YyMzHDEIjAFJhEpJTCMTQx7N/cfMPrXvvq17zqP/zmb739l3/prqPHQqRc - xkDy3UIg61f0eJzqQ9PpBjMf395K0+6/vetdP/GTPxUCD6IAOARVnc/nIQQRExF60PCFRzKl6YGe - 4qQqMX+H533g+3MMuWQiUtMmNSUP4PDD/+pHv/ylL7nowgtnWztNSpEDhSietuecc84559xpLsax - VCkRhRBCCMMw7D0tfYjqqaTkQkCMLKJvfvNPfMM3fIOWkpc6nU7N5M477zzv/CeoqojQKa4A6pxz - D0gJIBUpAFQRQlDSW++55VM3XT1wGQhsRMaAmpoJCgGb+Mi1H3jylzyr0TaBmBslMxtjp9nIULsS - GYARP7L9QmcgCwCU1aim4mmNfqYxyQ+gMQT6TKkO/HDq1awDPncfPKZxK3ab1upGUoJSMbIxX9B0 - 9/n2VAAY30hlIxUWg9Aqbxvg3Rhv1IevCgiM2asKlFpeqv5h7+qOd6uVq1YFqED+FXjUMAqAYJE0 - 1k/ZCEomrMqDkrEpAUGZrGGjyKGQFCXjyDFqmwvPljy7a+ezt5245fo7rr35jhvu2b5rUXphDbBJ - Q0p9ScXMjIgCK8HIxBQcmrBhbGakECmiWmJCbTMEcCDUFQL3eSAEIiIOzKZiWXPR0lJSzGflnru2 - rv/01kfoppA4teieeOiSp17w9Gdf9Nzz9l/UlU1epFhSQuoMgArBWHuGErMx2Rhvz0YwJlYjoG5V - wDCAap92MaKxMt+q4F/d/rMxEA2QlrZkfu3d12G/DSwZGAYoQKRiFFoOXTqxM8stxZQSGhF96FdV - yDhoImMYG/cDq3EWwIwIGYCNUdAGKiA1YgGRl8B6FFlYbX6LkAKmLICxZYDIQOBkuyX1yuMrWbgm - cesq54HZAlk0aGETVqXAOu50yMiolpw7XfcXSprDQllAA9XNDgLvvhoFrXeLSig2tpzH0/d3PIpY - fwvYULe99Zh5DD82UqWSY3/X9m23nrgV+5IEgEqhetyjaZPKUE7Mj37kqsvPf/ZTu5JaalDTLdyj - xRgWgRpxokrYczCvbHsO4Q1sNca7B6AEY801FQVJKYBNY5EgOzh6286N195+5TW3fOIzR28KTeg1 - 96UXU25BAUSkZOu9LBEzEeqxhIFECAoyikAUhS2sNwMhkMW77LPXzwnzyLdyCqmj7k3usWIAACAA - SURBVFlPfMal5zzlqec/5wmTCzs5yH1gISgjABDlDFJYJKOgEWAggxSIMIWN33Ubt2BkYCVwHXDh - LdM555xzzjnnnHPOuceMUxzdDWg2TiQFqaEhD02KFhL6xXN+4JW3/ezPDls7zZDRtjjvAj6w2d+z - jIRw8pURGwedjOndJ+NpSnnZU6C+H87Zt++O9/z1eV/3NQenG5r7fZMO8+UT0Hz8rW9//qv/RdjY - hyA1o5rHhG7oONNJQ42oXmVpw1YZ1KfUyU8x5nbrah2Y7zscRNFGwnLA0H/9i168fxhghrJAMJw4 - ceyWWw4AKGA2IphCRLH/AKZT7fvUbd49DOd8yz9BaqUoRR5DuuuVYNpdCeg4JOX+g1F4d1zFeiUB - jFnj9QMKBlhJVtAvrn/LW88tOZKY5YYI21vb99x12GQc1lHHEDGYx75yMhhICQYW4sLoxXhz34Hz - z2svugjnnouuBQgME6GuBZChmdlS7Jl2DPM2PeNVrwbwpOkmUouUijEiqyEQmIMKQGDmBsxmMGBp - 6IgIKZKIcSBAjVaxd/WKzWocyuPpKpZzzjnnnHPuFFICMasqzMAUa4S2lbwskQPYQFpMzMzIODAH - ljyOa6/Z3ABBtJiFkIiUwUpaR4yJqJkJjJmJat0V1KIsVselkSpgJtDVkCaCWgkRZChlKWaRU2wT - gGEYOEUiEhURQ2ACR06P5tvnHiY2IAQYSimkBubALCal5CalYsWKEFsMwUgVooq4ikxmpkBkxiam - KkShjnwjIgPMILWdEhMZURjHPpqI7vY2CIxABoHBrAAaICEwMcyQZRA1ImKOZDAzMvA4FlyDsRGJ - jzg7o4kIU2AiMBHiuMkyM+g4BhIoVkyNmUITTesdFLBIgZjGtqek4PoHgomIGcGEa2MmAigQgWpa - vCoINqbRq5GRkZKRInBtf2ZmIlAmgIggFsAhhNoxJqshuuxT45xzzrmHrGunOeebb77lB3/wBz/5 - yU+VokQYhjGoexgGADHGWiDyEcztBlBrgphZzrnruvXtNTK8lgsxM8/tds4555xzzjnnnHPOOeec - c84555xzzjnnXNWX3DSNwooZEV1x9ZVv+JEfBSMrOBIEOecQAjOX/PDnvhgsl1rR4oue+cyf/9m3 - vuiLnx855GXfhADAJBNRlxolFFVOsZRCK7YHM9cf9t5ORJnMzAIoxkhEIqLrcHE1g5KBmRnExG2I - BTZp2h/4/u//ju/4jl//97/xy//HO46d2NZVwY0xvXtvhvfjbLqPMc3n85DidN/mddcfecX3/e9N - 08yGITShZBGVdbV9AmKIWcrf4lnW7/Hn/ffzrO39lvZIURlfqQFDHgD0JR89fvyNb/7JX/rFX+gm - HUTFNFLIObdtWzzA2znnnHPOudNWPbusJU6YeRiGEILIwz7IJ5DBDEaEUvSVr/znL3/5y0PgyJxz - zrnvuu78C849ceLExsY057/NyZRzzj0S1IhiE2yQvu+7aXO83Hvklk/u5GM6VYuARDImDMZghoGW - 3H/0usv/0Qu+0ZpDKm3O2Qwh8BhzbFxTOmuFW7Wx+vGj/Cofq5TK0PbCxciMi3ABaux0zcolWld5 - WUV6n/4eRoVjMuU9nXxjIbKa1Gt7Y7bXb9RYbttqBCYxGRTMtioFbVinY8LYGAoB1SRjBoQgNS38 - Pmu5yvauy9f6/5pRj90PphZyZgPRmGJ4xnxqpy1jrD6s1WdhRgVQMmMoSGGsBAZlthkfs1aJuXA5 - vjh60w3XX33jVTfffeR4ubOPOz1mJfYlDNoADAKGHhFAAhGISAwKUlUKSSEiZIW0likLMTYBlgHV - WmGqljWHAcopqooqwYQoUAwBIEPJvRJZUKWiEIECCIg720evu+LKP//gnxxqznvaec961sXPufS8 - p501ORiyspGiMQRFNATSyMbBbNVswQbFWOKsvj9GzCiKsUI9oTCYoKwMiqvSakmpIOmx/u6b7rgO - Z8syLyLAjBCpWLvss4iklBbzOR0mIiq5ED3ciuZqpMaW42LZHS8hwxIZQDW6OwEcBUYqQRTE2ty3 - zL37AiIbk2kB1M9uvbsPyqwpaIySkjQkCRhDbR+ddf2CG6v6G+++QzBAJfSLdjvHnrXuws6QBqxk - ykVJQCVKIjtIeRKs7hPrjjnCQDBGJDtjXvfDxQAIVjNH6l5JCcFANgaRKKlyLnH2/mv+5jju2R62 - dQKqSRYMAZi5WNGUL//0h77mBd+02Or3p/2lLxT4cXYF+zGF1RLARkXrbnb8H9iYMEaQADBaR5QI - UHO+tUQIqTKEpVC+6Y7rPnnDx6+7/cq7FjcvwtHSLEqTM2ANqB3DTVDHMAAYN8NMOh6FsgJqEaYQ - MygpERmprh4IBhEpoIo64iJauvczt33ohvfvp0MXHbzseRe/8BkXPvecjSewNhCta85GBCVLtUrq - eKS8OpAJgJHp+BoBaA3BYXCteLl3B+Gcc84555xzzjnnnHu0nOLobgI3BCA2IGgTmjESu4kY0t2l - nD9pMWQUhZQDlz316PaxyUIiEWKEyKCaAI6QAtqT3j0OBzAAKDknbkSGDQaVeez1+Hvff/BLv4yb - DVhGjNOsTzq+vPYtv3zZG16FTtFwptACUBDABCFkUAEREAlhNeUo7pkydooY6hMoAQGArQZCMKSU - EJiA3EvXBDOMncmDYpAb3/bz55rYsidRtBF52LryE5M+cwYTxCBaIoXJdAOTTck5TDf7rDrdhxhQ - cpy0AlB98lXPcgAEKMQUVu/wnlXl2ge9npJlQBHEANaiFgamRIGRRUMULGZY5hve+vPnzvtNsiFk - woClHLvqykZFMgAEBgwNkHswI9SOY5gAEpqeacHYeMLhQxdegosuRExIAU0wM5ES04TApSg13TyF - u1T04MF+3+Zzv/t/QyKECGJQACUQR64Xv8dXRGHMbA/1VgNaGgO6SRn1gr2OF7SNUVuaIdgquvxx - ekHLOXd6o9VEX+ecc849dqgVIxAzMNY8IXDkhg2qAJQpYjXixoAAXp2qrCtnBCKYkRIZSNfneRyA - VaGPOn2t/j6Od2cYeDUkvg7eYdNooT5xoFDvo2ZGoJjUAGNCIAKt5tDZ42bc55kh2Ph5WQAMogoQ - h0YMhIZIYUAZ/456Hj3OzVhVjCEmIoDrKbbt9iiAqJ5hKxnVXwgM3h0pGzDmxgP1FNwCCCpQIaAB - ax1ZrmpjK2YZT87rADj1gZBnNCVioWKrrj8d+8cCEOp2DAAhEoEVpBoMZHXAoq3GZY4T1QKgVDeF - pgSiOjWIQVrzOHVv3vbedkVsZGRmZGyA1fZLxIxxChDqJCVdfQe4LqZ2MvpQSOecc+5ktSTHmIrN - IaW0dWJnOp2K6JEj1/+zf/Zdd999t2i9Z+29tJrbDaDmdv8d1dKTe/81s1IKM6eU9v4phAAgpVQf - 9Xd/auecc84555xzzjnnnHPOOeecc84555xzzp0ZQoiLxWKyuTGU4ebPfOZb/+k/CTEOuYCgOs52 - EBEReYABiA80JrGbTJbzxbhwYjKNwN9/yUt/5z/+1qRtgyLUKQtmbON0sGJqBpCaMQXGOKnHQOMd - 9s6NWN9O62oiRGow07FayJ66+AoBgWvN6HFaGQM0LJddk1736te8/B9/47/7tV/9lV//DQW6FJe5 - 2P2yBGqE224i+BkhhLBcLlNKzCwiNRm9lDJpJyklY9qe7Xzf9//A9mxRzEAoeT3jzgCICIHLntzu - EKOUAiA2oeQMAzNjPasQAFA/MzOsi3wbgQwKREKxk27h1VyaGLkUBcDMqlr/BSmHoMWI2VTrv+Of - /tbWSeCrV1oL5qyLoQvwn9/5Ry9/+T/+n172lQZjNW6apE3OhYIP0HXOOeecc+40UCcDYjU3cP0D - EcUYVTXnXOcM1ql5D7acevZRF1WXKSK1akUIJGLf/M0vf+UrX2lFyEzVQqAQkojIMrdtW4p4tTTn - 3KMoJvSLPnIKKc5krt38o9e8d+BZIRGAYGQCMgNMIURKfdstP3zNu899/mHigylMQuRcSt2Q1nI6 - bJmAU178+fSX0/JWvfHP3venk42YkTMNIRER9X2fQkIN/DMYGKQ6djid3t2SDzaxPaVEK8zMzEQU - LSVNCSnG2DRNl7qUUgpN4Ni2k5RSm7oYI1EgNQBRm7jsGmu5Vu8pIBAjMEcTCzACQ8EGMyKDGJEZ - MSEwQKpai1ATUe2IlnqEQEZmRMRgUqpFggITmBRqRifvwxXEdpp/TGcKZkpmZEEz5VL6wGAGAwlB - VU3IKCiTMfUkfTNb7D/x2aNHjhy57oabP33nvbfNh22hbNE0qXAxAgUOxLsVnCL2FIkwq3XMA4Qy - MMZ7h/WftZZuIoDAq8JoBgBiZX1XgxmKGYAYQlCQwWCkDAKMoCg9tiwgTJs7aeeeO296361/vtlu - nL1x6KXP+bKLz3nKeWc9OZapzdqg00RsYhwoRB2G3lS71LJGG6wejtYse2OiYAw1FaiRoG3bkkWK - pLZTxSAW2rgt2zff9inEXnQxSYGySEYEFSnTGFWWQaQsFxFAEaawKuP2kAgXS9LLQhv9zPEjf/mR - P6X9YsoihUMxgqEhDY0wGUoo+jiO/31MIGH0BDVEgBRsqwKPZMwSN+nA3/+Slz1heuHOCTlrun+5 - veiaJNIzc9/3IYS2bYdhCCGcYZfbRsZhVekfgJEqF7D17fb7rv+za++5um2SQohFauq5MaNZlUI8 - /bABag0zAbrNz73gpS946kvKjNkYVst7JhhgASysqoTHW33FehQXTnrVBFjNTm5C088XHFlYhjDf - sns/cN3fDNMdirX8H5ggKgy2oinFviy29OhHbvjgC85/CbAvIpb7Xc52X0AMRBiDgPG4QGs9U4La - oMQJYDPjEJSEyJSUAomWHkPoTJr+lqM3HbnzU395+bvmtL3QrYKeJ0JspIHEmqh1U7lOfKeTxkvo - umCkAMQoVtehNjxbx5QAddSF8SpJnhQG6SOWNFtyf2J571Uffd/k8s2nP/FZz730hS946pemYZrK - hKWlEoIFIhhMa11fY0Yt1UsGFCvCut4zG6AWVrV/vXE655xzzjnnnHPOOffoO+WjN4zqhdACWO3I - JFYQI4T/+73vufRFL9rfdciMtsETL1hc1TS9wGjIuYmxAUxVM/hBR9BRpAhCHbkSRJqCcscdw0c/ - 2rzwxWCVsgz7DuyfSyk7n3zr25712lcTt10M68WZQbAaIAjU6VBhlU499m2eyouPss7tllUwdr12 - nGI2RELXBFUwIwsSgJ3F9f/htw4My2kKGAQAFju47ZZ8111pUWq8NAOcqCh3+w7ALHRTXSwwPbAI - CSGiSXkYuG3GOXkYJ9eRgcbPCQyEuiK25w2gOm/QTDSmAA4mg6gRJ2oJAwySWLG1gzwc+em3Hpov - p2rDYrs50EIyjt67vPnmQxwSCxSqY7YSMSjFZRbEUBTo2p7D4WdcduDC87H/IJQRGF0D6DIP3b79 - WmTbyLrpHDiuWDaT57/uNYBhY4LEiGzgMYvbVpflTPeMSOHx9dTXHjAGLBHGdLzR2MM+rqWtbmO/ - GO2cc84555x7xBBQx+mcjFEDtscRNmQEMjMUJV3duIetAmRP/hMZA0rGGEci6v3vMz6fAVQjaeuw - NKqxzUpYn0PVzG+y1Qg/UjX29O7TBWF3aObuOe54elsn+XD9fFHzjAGF3mc4NhlsnBE0PnzdulbN - mGt0/GqBa7qK316XjmHdPWmv91+PL6sND7b60+oHb2xnNCp15OJqXCGvWizrOkV+bTUO8j7/2m5+ - Nte+PTag9vbUzkMwQY3G5rS3ia8fK6TrOUDr4Zjr5im0zgtfEzjnnHPugdRIbCZSVRFZLpf79x8Q - kfe+973f8z3f0/cFQK1jaGYhhEckrhtA27Z938cY6wLXFUDqDzFGAKparwx6ULdzzjnnnHPOOeec - c84555xzzjnnnHPOOec+h6LSTrrj21tp0n3XK777+IkdBOJIY273QxZTKjkDWM4XzMwgUWFTBr79 - m7/17b/4833fT1OrIsNymVKi1fK1ToioAx4J9PCedmQPYbzkONuoSGw4hTCUArXLnvrUH37Dv/y2 - b/u2V736hz788Y8xkJp2OfTtpOuXSxDBRnUh62i3v81aPpaIyObm5mKxyDkzc03KiTHOF4u268T0 - bb/wCx/5+MfEDIwHe7m7bwrRmNudkkgOMUou9X0jIIZIbDlLLXHDq3ovRNQ0zcbGxmQyWSwWfd/P - ZrPaLtoaJW4GhhSNgQihJoXXovZmpiLriYRmts4OP7WY3vLWn/2qr/wfi0oCLxaLyIGZH04iiXPO - Oeecc+5RUzO2a+R2KWUdFFp/xWo+ID7neV9dyHp+H4Aa460izBCxr/qqf/CTP/kmwFITSxng5wvO - uccWHZY5hKACtUKTcs0tn7hz59bSDCWoEIgYrEQQghAbogWjTj90zXu/4vlf0Xb7+u1l0kS0LoTL - BF1nBz6UbrrHM+Hh5mPXfuL292mQgj7TUqDMUFXmyEZkzDZWTFqVvTq99yOfN6J174x4MkLP0SKM - iSgQEQITwdgMZuNbk1I7nU73b2xuNvsPNed3cWNzsrkx2dicbG5O9027jS51KaZAMVAkBZTYmJkD - RdPaTBkE4po+yAwSMYzFe8CmxGyiYhKo5UBqZBARJeIQY4g0DEMtT1VfJdbfCK8f9ahaLofUJdFs - yN0kApqHwZRyKd1kUkznZYZW0eHWez579ZGPvvf6d83s6GJY5LJQGqwzItTEbgBKihqyWxmE7/eN - pN3iTg+8/VuXL9vTNk6+p65uGozHEvr1qTFuCiCs0qDYsvCACBGbEW/nu6/771edM73g4sNPuezC - L3ra+c85/8DFbBNZAhSXi7wxnabYLreX0Dxpp5K1bduimVjAlK3PeUhMbTORZVnMZtPJJHAacm8h - WqC5zGyzfOyaD1E0MyyXstHSMFhRHUu5GwySczYzWOB1PvlDZqQWTai/e3b71bd9dPvOewsIUONs - BEUMmtqcgmKI2bic7tvD0xsVQg8AiIagiLa6pEeGRpt22Ngajn3tS7/lrH3nbW2dOOvg2fPZFqR0 - Xdd1narmnNfnYmci3i1ISKpQwJRUQv+xmz90zd1XxMTFBuNcqBgVosCaWE95asYpQgbKkogbiu1w - 4KzJeU8bnrFBBxuaKtVqdTXGW6nuIR9nlTy17kpMUStL7pYBJLAN/ZKJlGCs2RaYDpdf/f5Ztz1P - 8zFxeawJCAAmEDPueDvP3nfte5572QuGnYGlqbUw3aPICAoYmVH9wBVgiG5sbORemRnE8+WcmhgS - DzosZY4ml2a49diNH7r2/Vfe8tE7Z7fyQV7SXGww0mAhUWQh6CpZZl2/96RKqauEFwBQ2PjceJAT - sfXQi7qNIoMQYhv7PMx1mcMOb2IxHPvo3Uevu/uqP//gn3zF877qi5/8pWdPntCWDR2MCsUY1aht - N2VZcj80iQGUMoTEBlWYrQJ6bCwv7JxzzjnnnHPOOeece0w4tRchahg2AUENpkCAMURBwEb343/x - /9794z+F+QwUbdbT5vTsJ18yv+LaDa6hOgyGqVq95LDq3xy7WleV9kG1BxIEkGLKmM+Xx2+87kDk - 9sUvCd1EhkFDOHffgXzXHde/5W1Pee2PoGNEINCQc2onDUjEKFBd23qxMZJidS3jlL5FCgQodM+z - EAqQASYshjxt0rgKVFAMg0xuu6srMts+vrG5H4XRL++48oo0W7SGyNHMSEXBc9iBw4fRbgz9kmPY - kn4ZD4IZpaTNDaldw+PHsxsaXm9bpcONEeYgqGEwAxsHlkACJQIxRRAgCxkmbSTJ2Ok//pofeWrq - LowaAzNbk/Zh6yhyf+zd7zmYJRLqJTIGBQQQzyF9EetaTJrJgQObl1yy79JLMQwwQRPQTBGjbG8F - Dl2zsbM1o7POupfo7hBe9IY3nNe0MEPTIhBEoAxLtE7mXs1GBI3BZDZejGIj0LoPffcK/djdfnLY - 3ep9Mc/tds4555xzzj1i2KB7h5LXE16rydkwYgWsjownKBhceBy/vmeQ8TrceLXMPQsD2zg0rT5k - PcpZCXWsGkgV60FF66TwsaQL6lgnqsupP4zjmLlWlzm1p8vuEUYGgun6ZJhgGFvXatA70dgyg5EB - qrTbW0EGEMKeUV9x1aD2/HvfoWmrdPDd9rZqjSBLUkO+gXUkPBlAuvdZaqDynjBvdwYiKJvUTVH9 - lANYVyneDKw2N+PQRiMdeH3j3rGPqwXWQZCr5Yf18EqrGfHj0nT1sz1A61IC2HTvfC09+fn2PiQY - 3Tdf3DnnnHvcU3DJYkZE1KSOKZrht3/7d970pp8CMN3Y3NnZCUx1RvEjldsNoO/7pmmGYQBQf6i5 - 4KUUVW2aps5YE5F13RDnnHPOOeecc84555xzzjnnnHPOOeecc865B1REQuBuOvnxN7/pk9d82gAR - +3xFJ04KmRiXkzOAscqFGmC1dsWrvvf7//Wb3riYzzcnk+Vy0aZGmUMIxerQSt4TcMJKj8h0rr3L - OGk9ycDMZAjEgWg5ny2Xi422e/bTn/Hn//VP3/q2n/vld/zK1mIegGGx7Nq07DMRnQFB3fdXSgkh - ENG+ffvm8/lyuSQiMLVdN6j91bvf/XNvf3uI0YqGEKTIAy2jTsQLtWILMZuVUnoYRJQJY243QaVA - cM7ZB579rGf9vRe9+MILnvj0pz/94osvPnjwYIxjRaAQAjPnnI8fP37XXXfdeOONV1111ZEbrn/f - Bz9w6+13mRhQ6lQbVdM6fhdkpGYa2k6GQaQQs32+KKDP70E/bTZoVvvwx6743f/z9//X7/hOHXoU - qRF9tufBtJ5E5mlVzjnnnHPOPcaYGREtl8uu64iozr+LMTJznaZXp+ap6uc4ExQRAKWUpmlUtf4q - Il2bcp9f8qUv/OVffDsbyjAUYHNz2vcL4OSsMp+275x79DCg0BgSjJY2127xkWs/cCIflU0RYiEG - sxiYIIRCDYwiS18W9w63XnHz5S+55Ox9+56Qd0oTJgrTGh0HrlVQahEn97mQTjeD8bIvc02isQy6 - DIE4cSlGYAIzSMmMaimY0z7qkuMD7/X27mr3/hz31cxPNjMzgzHMYGxmzCFSJKKF2Zbhzm0GhZKJ - KHCt2KNExlETgw8dPGfabZy97+DB/Wcd3Hfw4P6z9u/ff7A5a2M42OQJUYAFaIAl0gDhxImISAmq - AHGkEKKIGHipArEQOIaGiPKQZ7NlN2loLNdjSgByfbns9XkeTdptxmGYtykyx9n2DOBpt2GBS7Rt - 7bXL8+nOVZ+5/H2f+Mub7r5GmsXAc6OixNxyDAlsgAqk2BK7hcUAAAYjPunDJcVY1VyxrgpFu3Wi - gJNL6Y2PAvDAm0oCQGNJCt7z7AaooeZigowjgU1UdmRn4+yDR5dHj9159JN3f+LsTx6+9NynPO+S - L3n6BV+kZX/TbQ7LPJSSUhtSWOjCYH3fkxqixoZjaAhiRZbL2Wa7mfuZFKXAygEBglKafPPR66+7 - /To7TAXggCGgtBAGIIRaxR07wyKTBQqsyR5OOi8bW68ptExGxfphexaPI4TQRMFSCUqRkZhaJWTu - leXk+uruC2psj8Y1s92gSjAUkKj2CBOlxZWffu+lhy980dNettkcms3vbZu29FzPrVSVmesJ1xla - AkXvd2mVWIOBNdGQTFiKqpAKKZiIJFg5xYkQpxJpSBC1QagQSuiRwBRJGTpeUlRCrI2FCsNAj8v9 - I+nqVTMMSpqYxQQEjpRpyHGY04kPXfv+WZovk0ZBsPW+RgF0PNlZzGmDeYOuuffKI8ev2T89p1m2 - Z+bX6LShVvfXJKACkhqhTYaU2u3tWZM6Ue3LkieNRt3OWzbp0Q0333PkPR941xU3fXjGJ3if2CTP - ZGkEAjERkRVkYzIWItq7S917uZlw0sHGnpEWTA90dLGufcp7voC6GBIBCKQWIijRvOxsDTsd3/N7 - H7vxT6/44xc95cv+wRd99fnTC+N2Yto3qMrQB+Km6wJxGTIZM4jMQAW0DhRXUPTqvc4555xzzjnn - nHPOPUac2uhurKOpSTHmejEMYF3kPGkn98DO2dyHfqDUAcvJZc9YfPozy51ZE1otxSB4kN5EJQSD - AdkKgAgmqAoCYyOAVbduvGF/TO2zvzi009ClfOLYYbH+xOyjb/zJL/mxHwMN2D9p2ig2WKYY0xhY - TagXGwUIrGOi9Sns0NRU/1ufZU8SVQAApBQAhQlyToGwPbv2Z9564TA0sLh/E7M5hjy/4qO8dWKD - 0AZSGye2FbFFkw6cdw4Wi7g5RUDspn/wZ//1jT/8L9GxmfH6GsKebmMyECEAXGON1texCcxIRALq - dQjMBgMQoCSCUiYhYDGglMv/9U9/SbfJOzNshp2t413TxK7DYtj50IfT9mJC6AtCiBySKOaiJbLu - 28Bmt3nO4ckznoYQkFqUgn37BhC33fZiERW0MVVije32ZLrY2LjsX/zAJZMOTQKxpkQEyYghjh/U - ffvBGQBMQbZKuWMAe2a/Ga/61fdkc/Pqc8ED/+Ccc84555xzf1f3rcNC9YSFlAxMgLFCyVYni+M4 - ITvpEWSA7h0PxOOcDR0XaGPoLJka8So4uQYzm4EAMyIyJatdBMQwXUXVrgY96zjoB4DB2GDko39O - O6vKQKsPjhRg3s0j5npSXHPljbU2GpCxkZGR6XoWENm69WqNUh4Hhq0rqew5fa7x2/XOGJsWG4IR - k0EAIh0bGo3D1+jklORgdVS6O6Ot8rZr41m3MDKsN5V7K07JqjUajS1bViMmefeBe35VtnG7Ot5W - ZxZpffh6UfUpiNkg62ZsACnvbm11z6oqwLDwSL4Vzjnn3BlBVbuum80Wm5ubO9vzlNJb3vKW3/zN - 3wRghp2dnTpzLMZoZrUAxyOi1gEBEELIOQPo+97Mau3CnHNKqf5176/OOeecZubxBQAAIABJREFU - c84555xzzjnnnHPOOeecc84555xz92EEBC4qV19zza/86q8VIDZRhtJ2Xb9c7t6P9s73etAJME1q - 8jDUmQqBgpi89lWv/vHXvb70g4n2iyXMaoH+ooI9c3NWM8L4VEf7GAGGnDMRNTFx04qpKQzETK96 - 5Q9+3dd9/T/99m8/cvNNTeDc50nX1PRurPJjzpgY767rFosFM4Mo59x1XYwxSxnU7j1+7HVveD0B - fSkcecgCPHgdErP7BGZ3TVtkMDECEvPTLnvK13/t133N13zNpZdeGjkwKKzi8fa+sZILxdhwOHzw - rMMHz3rOM5/1j/7h/zyUwjEcueH6d73rXX/4h3/48SuvJgUTpD6KKHAQU+kHSjGEUJb9qX7fDOAU - fu5tb/vml39TGziEsPe1k3lWt3POOeecc49dNZabiNq2BVB/ZuZSCoCUUp2sV2cCrn99MG3b5pxV - NaUkIszIfX7mM5729re/HWRNm5hTKeXEiWNd131BXp9zzj1UbWyziJH2YXnX1k3X3n4lTYrQUPPe - yJShZAgAbCwUlaXff6D94Kfe/ZyLX9yGzRSnJHtjujUoG42Rql4+53MrmgfpBdlYLIqZSTCQGkAm - QVnBgCqr0ZmQhq54eFPsZwoARKvqyavK1QAEKCAzg0EUMAjBpgDXOlFjdDcjsIYTy7tCH/k4s7FJ - XU5odfLEycXnbJx37hMuOP/cC845eO7m5KxJ2Ijcbm0NXZikmKiAhMxYFFmFElHDZCQKkcxGoUn7 - upRzriXUjMCmSjqW6DGf1/9oktxHptnOVoxx/4HNoZQTw4kwjUMoN917w+Uff//Hj3z4eL41HCg4 - e7EcdlITVM2kDALVoW6/lBFqs6udveN3kLHnogZZrfOtdnJW9/0wADZW0tW/JyeC72GA8sm/A7Qq - iRcABUzMGCEEBFOleZ4ZGxgDZtuzo7ded+M1n/34EyYXvOiylz7roueed9ZF6FMWy4Vh6CYTK8JE - otrngQQxhpDUBlUtXdflUgis0QbrrS19s/U3V/xF2ZhrUFM0TciDcIBSrdUnRADpTr+tQTRAoA9r - e0VACk2xwZQZxIliByNYLGpmQOGcyFCCMiwMJRTfvzyarG5p61UQM5iSGhdQiS2GYdFuTIwXf/L/ - /dF5h86/aP9lG+1ZuSBwAlBKUdW2bVNKwzCcodHde0pt1rKHpmRMxkpaKBNYyUAKNiIiVpg82Nbg - tCAMUkRYSkXqQYtqKQPYxrAOMKAEBQnocbd/NACEWuSSEc20ftxmFhLXC++DLaQrn7r1E7dt3aL7 - M4BgCAqtV8xrpVZY4qA2ICI35QNX//XzXvJi4RRPfeSK+1yoXj0vYyNf3VpKaboWsL4s477Uh8X2 - crs7J94+u+X9H/qrj3zqQyfKPTZdZuwsSxkPdwEiq5e+x7KPqDeN7QRjid31kyDUo2UDqNZTHb9s - WFWY3Htwsns2scoCZ4BgDSUgDGXoCygBCWiwNSy7zWUM9DdH/vzjn7z8K57zsv/heS/rdNHEA0M2 - zlSEGkkhcKJUpF8dptcVKmQRVGC+u3bOOeecc84555xz7jHhlPcjjz2ExAhAAQwIDOam3cTQP/NH - f/Sz/+bfHtI8iZyFUuwOPulJ91x91cFJJ4uSQATbO6HoPpSgwVTARAEhm0AQCS0BQ3/Ppz59KLbd - 85+/vOeO2LXd2Wd327NnMt3yMz9z0etfifk29k2ZI4hRGBQgiIwYIYDVvtRTfJFmzPchGEHGiDZE - QwRMMeR50zUoCxhBCrb6a3/1N86VMkWxoroz56bF7Z85cf2RA2INoGJiAnCkuGSS/VOccxbahkMC - 2707W2/8y/+GhqQMIaax1zpo7XEmaA2wrg1izO229VpCDSDkvJikRDCygpI5BOSMRQ+lK37pHZPt - 2SVZ2AqmNAxbm2dvYjng3nt23v1BvvceK8gB3LWzYkMuJcbm8KHNJz6hvegCHNqPaWuLBcUWse1N - TDhs7Lt3Zydtdidgfdvda/Ti173hYGwhgs0pKEAKQmBDnvdp0kJhBuLVWtueC/nGY/Yc1QZZX806 - x5sIvCe0u+J1FtPu5f+x99w555xzzjnnHiljzZQ6tIgNICEDIPW8dDwBMTZSY1PSkx5d45ON9w7D - IQCmxgZToXreY4Da6mddZc0a1TPBcQnBNCgAroPdjXR1TxjZWBpjvHFcJR8AdLowQFbNxFb53GQA - Sr2x/kqrnxVWalg7MaBKDGjNOV6FGevqrNrIdLeFPJD7DIFd1yOi2ooAAxeuywQDZOMjgu3Jj3dn - LgML4v07XKgGZo9tFbSagaC1UdDu9uc+D9Ux/HvcYAo4GGyVBr5+mK6C4XfXhEAGYRRi41WevSkB - QRnAqkONdBX3bXU1fGPonHPO3Y+IqWI62ZzPlkT8+te/4Z3v/C8AiBmwrm2XyyUAETGztm37/pGp - BqiqAKbT6Xw+x57Li2a2XC5rIY9SSoxxGIamaR6RJ3XOOeecc84555xzzjnnnHPOOeecc84559wZ - iVJcLBev+N7vK0AIcRjKdDqdL+ar2QmrqV6EkwuinPxXgImHYWBQYDYVM3nVP//+17/6h1Sk5YAQ - UtfmnMGkMKOxCEmdq0OGVaGLhz3Hxh54tsaDrmeM0UTNYGKlFDGtIdDMPEnNUy6+6D1//Veves2r - /693vjMAw3JAnalERERnTG53xcwhhOViwcxN0yyXy0GlmW7+9Ft+5sgtNxsQOOQiTdMMw3D/T6be - EAKJFGYOxACrah56As45cPBbv+1bvvVb/penPvkpgchMY4yRQ81uFxE1Y2ZmJsDMGDARADBTVS0l - hDBtmvly8eynXfaUSy753le84roj17/jHe/4oz9+56zPBDDTIMLMCrUylPK3a0H39UCzx3bnrMUY - h1xuuvWz/8+f/Jdv/oZvLENOkdV2553RapYQo85S9Pk4zjnnnHPOPVYws5kRkaoSUQirAqJEXdfV - yYAxxlLKeB704MtR1TpbcDKZLBYLAMx48iVP+ve/+RuHDp/dtu2JE8eYMZlMxtzu+5Yuue+5qnPO - feEYQ1ml9KnYhnz48vecyHfGs4oVpKAwTQq2cTslWApFI1AMx/tjodxy2/aNTTs5HDetoNbvISoM - ZSMYj2nTDzO99fFGBSGkwkXZMnolGFAEMYCUan4fQCAytvv1yp5+7EF2dw/2umLY2z/HWHf4GZtZ - 7aFlgCOnECxiKUswzMYUbaioFQ0IxGJMYAZRIjIuQK+zed4+cuyTdrfhE9pQs6/bf2jj8IHu4GUX - PuPCw5c86dBFG9ODZUG558QtpzC3bSNiYgb9/+zde7xtV1Un+N8Yc8619uOce27uTQIJSSAkgOEV - Hh8RFEHRstSqVkqx2+62qltKKaRKTdmNj+5Sq0BR0KK0VEDoT1l2dbVlWwql4aGgPCQkAiGEvAkE - CCHPm3vPYz/WWnOOMfqPufY++5x7ExPMJbnJ/H7yWTl3n33W3nuttddjzrnGz1GAsalqZ4sTCV4J - rC/J9Q8zApBQVX7t4BnTbnp0ciwccvP66LW3f+Yvr3zvnfPbttO9fMDY87xrZKbe1xrJSMHKzmhR - AcoBmms22W5ZMDI1ILFqTuPuH93dvnfrj+WqUDmA02DEajCwAgZVqN3HWaCyRu5jOJ2CLWe4cl+W - ikhh0dTI1IkyoPBMBCIiZk81qerdcseR5s7Pfurq9c9sPP+CF3zDRd9y9vCCIR8K3fpsp3FeyDNx - YAoiEpNVTCGE+Xw2Gm4IVJnmaWLDhEH72TuuvPorH5W1rQRxBJdIO7B3nhkqDJAhGDXdloZZUpgN - gnp+wCWqDBAzI5iZmAiSQFVVOrgKSrm0lqkXMkshCef06AexSRQPLYWRGSyxeYISKViMtBV4j635 - fOxpfWP4e+96xyX/9LVE7Oe2RgcBhBBSSikl5xzzo3Y/KZy/2cx9zyU7ZadEFokigb0lghkUBlU1 - 3l+38BRiQCJ4BnlGCuxqx8H7yoGjNUAONjZjBRIoAvgaRIQ8spAuzmCYDNTvG1VVPLOIJIrJa/Tz - y2/4aHRTNq0FlebDTQI0dzjqfD4ehIZk3k5Hlbvly9cc3bn18f7JaiNW9zB+vse2RVx3DvDOK9fI - wKI2GlQ7zTaNdcdNOjdrD8w/eNWH3v/pS+d0TBA1SPA8DEOvbRM78v2QCbP+3JgMRAxl0CJ8xBgr - xSqxKClJ1pesFEJi9MVOFwHee94udkd65OqsgUm1UyMHRxySmKqopFFNdah2tjcd2B/UP73xDy+/ - 40Pf8vy//6xzXlzb+ohHA6vbmXhxnh2zV0Q2r0j9O4QCTFArp8RFURRFURRFURRFURRF8Qhwctvl - KTdWEhKIAMcMwBgCmMGxg/Nb66PTncjmjqtGqGo+/0n0hVumMXnmmoOlTs34uM6SZQctkxdLCnXk - HMMMpoBg4BBq9+WrrjyPbPDsi0GEI/eA/RDzcTP5/K+/qTvncRf9439MoxF40Hfb5ldROMays/bk - RjVbP5JA+37iPiiaDESoqwrNDAzsTGD0mX/7G4eadpgam8+pGhMPcfudd33iyoPgIEKAGPokNaoS - NBw+iNrD8XQ2CwNfHRjDMyrvmJep1ov/KeDyzw5777bifiqSPHgUPJkhJqjCHOYd2vk1v/OWwc7s - rISx2tgszWbea7U2wtF7MW3uvOyK8dYsmDVAGg2PtilsHDh4+uOqs87C487EgTU4hUY1l+pRFYad - II4HratmAjl0eKvip77qn8LXF4xH8AHema+mXTcIzOzZgJTCsM5vvc9vggI+L8bdz2LoY70pt4er - Ay8CvH2/lm35zH7hKJbzxGKoR2naLoqiKIqiKIriobEYR7syVJhyEOziR7JFxHEO0aY8sFIXw9KB - fsyQ7s6TDPlyJg9F6y9v8iCm/HMeskxGBIYR+imMVPorHrXFEOeekRLIzJZZuYvhSsWpYu9QLaV9 - kdhktii/IoTFBpAvlxkgJdb9DSSLLYoW0+PHbvfj1IB9l9N5hCwS5QHitNikSRVgaL40l/4+pOU8 - ikcrBpYb2KK1BmDKjWZg0rw7VOq3W+qT3Xllj8hKmjeYPM37TYDZVIgXozkVxsdPOc/HFGCzxdsx - Pu5ujr6ljE0BKPVbfdk+i6IoiuJ4dV2bWUpxPp9fcsm//MhHLgNQ16FpI4Cmabz3zrm2bZn5ocrt - BuCcE5HZbJZrF66mdA+HQwCTyWQ8HgMgOmVv1SqKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiK - 4uRTQGFvfPOv33zL55mJnDNJs/nMh5CiPLhZaU5SAIMUeMXL/9HPvPanB3XgJLPZbDAYqKqYdl1a - P+3gZHt7mZG2QPmeMXuQwQsPNqw5ddE555zLQS8MXlavTl0cVHWU9Otv+rWLL774DW94w7Tr+oSO - vUkyj4IY767rvPc5sm44HM5msxjjxuHD73rve3/v//m/Q/BNTI55WFWzZp4Hr55wPiJCQHA+xQ6A - A84999yf+slLfuAV3+fZBec8O4Z1XUdRwiDMmzkzV+zyMjRd1K1nl8Pz2Dk4iIgkEdGN8VrTNIEY - ivPOfsJbf+ctP/9//qu3/u7b3va775inyEDwoY+EVyUiva8soIcGiykA793vvv3t3/fffa8PXlVg - RqBleveqEz5YFEVRFEVRFMXDJd9wt7zGyUHdAHL89tra2nQ6xXHXgPvkqw8zq6pqPp+HEETk0KGD - b3/7208//XRV3dw8urGxvr29nWe+mulYFEXxSKBRXXDm2h3d/NTNH8c4dYjKfSHaXGIHy2g3JKin - ipqkE9v+5PUf+7qXPjPdm2obqiUQQAIDWYAxOIFSqdd0/8yMiIk4qiQ1YRBBDaRgNdK+MrCwmfal - m09p93mz+4keN8v1oWBKILW8XPotSngh32I/b1vtjD1U+1o9nEPPYUQgNrNkAiViOBCZmZg2mLB3 - jpgS2iRTO7I5vW0wG11/+5VoXW1rZx0896nnPf3C85525qGzBvWaETF5E5c6c8kFqh0H9g4GNlaA - jI2UQTnRuWz/DyMjpYqmMj02PUprNq23PnnN5Vfc8JE72i+ntfm0PtbUQh4eQCBPgYhV+zJ0RDBo - rvduBub81WMFeKXNmSxXiwL21QM/rp9Cd7suFlX0CKC+QXy30Xh3g8lVoRJ2Z8vLmYoYs5FjT5yg - ajADASml4EHsk85jMjijCkxoOyTMP3zj+6+84VPPesLXf/PF33Hhmc+ikfeC2EQVq8LI+YHETqQl - p96FrusEgQKbQzhAdzd3ffgT74vVziwJHIZVrZMusGsVziHk2m5EDjafT4gUx1fVfwCidOaNAlEg - YwPDkw9EncRcL51UxIRMO0AA6Cm/SzylGRkgBFVTBmBqZspwDiDQEEnSZtoeDeU/XvqOH/z2H37K - geeFJuS6K3Vdt20rIrl77uH+KA89zeG7ABmU+tJtuUSmQBMlBzYkAkzFcu04h1N3SeSaikKUFDHG - tm27rotdZ0rsVUlBDuZhDGIYnczoi0eonGCM1TKrBhCI8pmNKKmr6a7NO2++7QYbJTZAQQoQlBSL - eOYQXJRoAeZg3iaznatu+sQ/eO4TbZZyyEbxtUcAmy7rQBoWQyQAF9zmdGt8eLDZ3j3327fvfPnS - D7/r9ukXm8GR1k1JDWptUu0Ahg9kasK7WSFMcCAiqKkuE7uXlgHw6OtJLqJechZJvwta/tXyOiKf - deii7m4+29A8R+88M+UKrcwqaWfWhoDB2G91RzvWyWz7yGVHrxxd8/KXvOK808/f3pydfuCMKlWz - rWZQj2B5w11UYTVQ6RcviqIoiqIoiqIoiqIoikeMkxvdDQMEcCwEASqGy/15fbc9YW30jJ/8F7e9 - 7ufPqpnrDdx1NzYOnvHk8+747C1DR8oEoX1dJWQrud0GJK1AIBMSTwyCmakZCeY7sycOqs2rPnXG - dI6nXYTDp2EwANKwnY86f+RzX7nxzW/9up+8BG6K8ciCF8DlxlMFoQ/wPrnNmQQQA3CAWyytCDDB - k2IyBzPmLTr9wpt/4zwj6mZARwfHuKuF0LHLrxxsT+oKphACGI6dJIOxkl8/4ww4wHmnpDV3nmDR - mPPyd9R/UjASgLwpLDLh8tIF5Uw3KNQ7NokMYGsCP0A0bE9u/A//19ps65zUunk7DsGSpCS+qhE7 - 3L2JO+6885N/MxQB0SRw64epGjz+2U/xpx/CwdPhayRB06LyCAOdx2ptbXtrx20cOAaeDvxWFb7h - Nf8co4MINRhwiF3jvRfIoPKAGnNS8VXehlU1L8t+MA3lkRm0u6h316YBxjAmWlnLy9zulZXDuz8v - o9VR0ruLoiiKoiiKonhI0EoibB5LI6t3lfUh2f2gc4YuhyMbQVfKUujKhausXsTe91B1grL1IbV5 - qqSdVyN1i5TvfPVN5vu5WP9m+ndIysBxobbFI9dyO2Hbs20o9YnIRjDwcvSYz+MUF6HIOUR596/2 - zT1vuccPdTXevbLe81sN6PJDurJh5816MXNevG3G3mH6xaNO3tR2Bz7mWylksUdcbDx5S8AieL5v - AlpEdIPR17FaadKx5RMWG6Pm7Q4EQBn91quLubGBoJVC890/i1kZ5aHeWDyw2qaqK9OiKIqiKHpE - NJs2m5tbr371q6+99kYAg3rQtA0R5Zt+U0o5V1skPYSvKyLe+xgjgOUNxlVVpZScc0S0trYGoG3b - uq7zG3gIX70oiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoikeT6264/q1ve1syAyF2LRGFatC1 - bf/r+y9Hku/fWdwcMR6N57Np0vT8Zz37V375DZ7YkojIeG24M5sOhsPhcHhsa3Oyve1CUF3cygXA - mCz/rF/NrQv73mR/T5qtPLB7x1AIXlVjjKrKzM45BhlBJA1Hg62trWowOPP0w6/60R954nnnvurH - fmwmEpPuCxJ4FOQK5EGnfYwcoKobGxtHjhz5169/XVSLmti5LsUuxXwX3v5lulCHKsXORAg45+yz - X/tT/9srvv/7x8OBxDioapOU2padH1UDTV0z2fFVoHwXjJqqAshrQSTBTERlMT7WBWdm0+2tEIII - QgiHNzbmTXN44+Av/vwvvPKVr/yZn/vZ9/7FB2LXAlDBoB7M2+bvskxOtLHvv3vRVMlxm+STV3/6 - Y39zxcte8tLYRF4EEJXUkKIoiqIoiqJ4JCOiGGMIoeu68XjMzCmlfAEiImY2mUwAVFXVdd0y1ft4 - +YY+AF3X5XkOh8O3vvWtT3zSeaqpqqrBYNA0zXg8njfTuq5F9vz11+CTFkVR3A8yZiMgwem1N199 - z/ROPawzqHqo9flzQF/M1jgBqBJLTNW6m+/Mrrvl6u2vv9enwwNygIIikAggHQAA1MjItOzu7ocj - zwaoOUdggjP2MAIJiEBEuSyWg+WKSYtGq74+0qk2zXWXToBOlOlN/eNKbhFgmGtGQ83MTMVgCURg - hnMUwKrqVip4r1BYLvVtAu1LP+VWaBUlBYO8CXSGNLOOR+zXqobaHd2+7pZrcDMN69FGfehZZz3v - yWde+KQnPOm09cM+MrpOowGVE4bxIrFb+7BEKvV5Hk5CJkOJVbp3fvenbvzYJ2766D3Nl6VuptV2 - 2yjXqB0kQRQEA3cOREwEVYLqomOBwUSrXQBqflEiD84SoLrS45Cfcp87PUpE4L0F9PKs9jOQoVYP - 7Pa5COdKUJoL4TELMxOYYA4gBjkThUgiAgcwk5lZQmDnqUbNc5l84o7LPvGlKy447ykvfc63P2vj - ucPqEIuPYqriwN55mFVVmLfJGGrJDenI5I6/ue6Dn7/jOne6soDF+VRHMgQkTslFc+CEykxV23kD - JZ9qJzWf4IPdJyUgOHhRJjVTM9W+f8cTC6s3EJjBOdUbDmbglXpxZfq1nBr1Ofes5sjI+sKOAnQd - OMB53px3azW3FG88ct2HPvX+M579pMf7dTPLV2HOOdX93W2PJqsfTAlkbERKsEAaVIyV+zRmW/xB - XqKPhPX7VRzfFWAQSJg5OK5qX5F3iZLFxWJwBgbIDErCj8H47ryOSfdEjThoMjCDkSh++vpPzW2S - XAdjqE/Eyl0ukyoKb+S5att5BHiIJIierrz5qhc942UH6ayH85MVYDZo/3VggHOAtmPmiu7avH1w - pl332Y+/80P/ZeKOtm6mElWMHHwAAnIzkTmoMBlMidnY0NdJNSOAqT99zacQK/Uh+7NN2q1RCe6P - j7vPz8hWv7kAAIMwOoA9GCTaWeyg+dQaZhiNHBHN2g7AeH0I4+lk6/atm373/3vzt3/9d37bC75z - ur25vYWDozMBh2QwB/VEyqvRJyvFhouiKIqiKIqiKIqiKIqieLic/Hr0u0MZ9vSQM3JzuGE43BrW - B5rkt3dGGwcxOYILLrBbb0Mbu66riRjOrO8hZCwjlvv2RjL1LhhrjJKgDgCYQWq67r023aHaH7n+ - huFkNn7Zt9r2seQxGo0wn58Bd2DaXPtLb3jmz/8cUkdM3rlFe+7yPa527fePr3b27OnWOGH3Fp3g - l3vi2cBYtJcSgahv9YVEeMbW5Ne+87t/8CXffIZZlWKAkCUcuRu6duxjf8ObxzaqwbRrhgEpwjty - jrqUOhMLYXj4MKoanRL7HcKFP/4T8F4BySNMCG7xtghsYKM9H8cWAd4KAGyaGIzpDBQ++vpf3hA6 - 0w8OzybrsR1A4JzNJjSowYJZg9hNrr56586v+E460m4weNyTLzztjLNx9hPgI5ghgCl8BR8M1Ijy - xoE7Jjvjc865W+XCn7wElUdqsXEQfjhvbVBRVOPhUGEGy/1JSTvPlQFJOu98lK5if4L1gj63O3/c - Rcfb7gogWlk9tGdV7Z/PSc9yL4qiKIqiKIriMW0xODaPRV65OCUI2AFkasRAP80BtzA26kNml9P7 - eRXenTnTsooLwSjH3uZxbAzKg4WwrPTSJysv7yEp4+BPWUYgQ3+9jz69exngrWBDHo+d7xpiIA91 - vZ855q1IYf02ue/3q6VV2Bbh8OjHei9nkofCOVCO8Nb7GnZfPOr0Me55G+ubyVZaz1a2PaH+ycv0 - brY+nDtvZmQGEJnZym1Iq6HwucmLTAFoDqgntb2PODMyAOb6vyWlZfOgAty36PXK/rAoiqIo9mEA - pnTzzZ+75JJLvvSlrxCBmZq2yUdyEQEQQhCRlJL3nNJDdjB1znVdByDHcufCkQByRHe+by2HeZtZ - ye0uiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiuJ41ld5pjf++q/NOwFABud9Smk3t/uBWNwc - QUAzmxKwMRz8zm/99qGDB4Lzqe0Cc5tiVdeqOplN19bWiGgymw1CvfzDfib3e7/Y/b0Fw/3dE7T3 - mckEajkuGoBZHyBtsK5ph8MhgK1jmyGE7/x73/Gnf/Ku7/2BH5iiiVEAEDMAvY948fsKt35kkpi8 - 956dwlJKoa4mzfzN//43r7vxRgNycB2Auq67thWVE86EAImdB6ByyWv++SWXXLI2GjoiTYlB0kVY - P8y1mzcgretaVcWUDWDyxEogtaiR1HxdOVCXs1MYpJZUxuNx0zSVr1NMi1GySqKPP/2MP/hP//m/ - /skfv/anf3ZrspPMJLb0NVn+psogwN753971TS98kfOO1I5/4UUh9ZP/hoqiKIqiKIqieGBSSiGE - lFK+9OsvBs1yRHfO6s5R3ER0X7ndWLkqJIKZheDe/va3Pf95z4FKCKFtW2b2nruuy+l0X5MPVxTF - Y5pSLnSTa5Jwn01MYFP05UdykWNWUgZc8JO00/rtj9/4YRs10TWSQNxnCqbcRKc+JyY7gzFJEovG - Fe2kzctvuvwfPvmp6KSvgkLIlX5zMwidKq1jDx8jjSoCIQcHp5JMYbZoXaS+OEyPls1OudgRA0xI - WNZ8tpyouiwSwyvliE/KlJR3i3sZr5bjXp0ui4MRcy4DtW+6cjyl5RQu45VcAAAgAElEQVSAaa4R - ZYCxrfxMBLbVMEIzMzWPvpkXpJQDDxcp3ebywRpm4JyeyWAmVUvaF6omBgyK5BzNYgebeO/gOaW0 - AzeVY0evv+vKa9bWButPOOO8rzv/ogvOe9rBtcOcBi5WTmvWQFqREcBsDFNQzF/J/ou5u0CW63Q3 - zFwJvNsqrlhWg148uFpN6O/Yiv5IsedT7PssJzhrIuPlEtOVSk28WKRGEBbhJCzRt5t07IpP//Un - r73s3vYOG06beqdF9CNUgApE4IDgmBhmKjBVIwKBHGBGAoPAyIhWFj71tfJosY7YdPnmyfo0zfy+ - 9sRjLmMs+xJnJ1geRtp3cJCSsdPdmvS6SEo2ggssoknBUOoz6cHcvyEXQARVxGRMCD6YYNo1FVXs - JdnMjd2NW1d97r3XP/eMF77gqS/5uvOfy7amUwyoJuLYRlVFYKrSxLZbt33NLZ/4wFXvwbpsNzI+ - 6GZblrTztZ+lhisXRZUAghoSpUbnRuqUneYDyYM5+yWK0CTzziIj1DQAQUTyN9cZYDDqv/ikYMsL - XG11n9OX6up3nkZ6UveByykZ533gItO6r/fVf9nNG8FYF49jZYNfLqLj95l7pouy+br/Ofl1sW8P - vJyz9s/JxRv3Rw/sTsk0H3ROON3zPo0B8O4juixuz4Zh7eatOIfRmksxzXVaHwpXfPajj6/P/9aL - vuvQxuF2KjG1psTsAZiJLubPxoR+n2CneNRrX1jOluUuNTlNTkxAkYVETNj12zMbFofsLD+8PLJn - vCjXubtmSXMBGbVFDsbytMGIDctvBC++ETjxcZyW35dltTqcYEtbLecJXhTQUwDB4IycslOA1Cwm - bVJ0zjMrw/xiJnl6gpqNj27cHzXMAOP+pIeNTVUkDSqaI27KvR+/6QoMVFWIPPpSmZz3/wSIYto2 - fuCEJQosqvh0+/aXbr73huccPp2lXqye/LcJ0Pydygcd6Te2/uT+YVoSp5rlyAfjPSUYgT3fU3gl - NTD3dUQVYGWd67bfsK2du//fP/2jq2+9gg50EmaKjhiBSWGdAAA5AEiS9+bMMDImsnxKu3KSsTKF - Ls+i83tY+eYuE1hg/e4FSkwrJ6KrpycGSF9x10BwDj7ADCJ96dSuEzFU3jVdZyKj4Xgy2xwN2z+/ - 6l1fuvvz3/XCl19w7tNnR3dcMwiuJlsuhOXC2Zd/gv4SJlfUJAXUaBnxzQCzle2zKIqiKIqiKIqi - KIqiKB56J7kkPcFc/zK+D2RatG3m1sZ6hCY942f+1c2//MYnVkBqbVDT4KzDZ5/d3PIFz6SSXBil - OHf5r3IDqAEgzd1HxibRFJ6W3e3LvnMyILXpQB0mt33JvefPBs97bjjr8alNHjywFGY751fh1je8 - 4diovviSSxACBgPk+5dcyHNxUEhOs3J5hou5c9M2w7pm0KLzxmAGWlmk1Ic9G5BMmThp59nDkstj - bWBEvBy7kHtMCMlZhAia7prf+Pc/9NJv3ejagSb2hqaFc7h3x67/tLv9NmetKldVaGJ0gDNnmhSQ - kNyBATZOQwcIzVUn9cbp1RDEDgo4zcMrcuCakQODWABQXj0sSMhDKYxdv1Q9YkKrn/mVX3lG5UM7 - qWabXoyVUHt0M6oY1qCZy9XXHb31tpg6Yh6fd+7o8WfjiefiwEHkRAbKL+5gDmEMpbn3m0O3szaQ - J5577v/yQ4fqCt6BGbYO50EYDglA6LvMaLkJVVzlZRxcBaAOg/09rCudbCs/Ln612ry+r5N+f589 - rzz+2OrKKorilMbMpgrAe29W7l0tiqIoikecPeNm+sGXq6O3TjBQZmW0KIA8lFgBKJT3Th/oe1ic - IrCpX8QoL8ey8+7gwgVavSgqQ3lOJfuGXq2u1jyOkAy82+oCRo7xPnENlBNvY7ZvbOuJX06Rh98R - 4E78NOPle1j+RfHotjo0vB/aeN9PZssjaFf+fPXXqxHypDA+YbA22/5HVh/QZY54/zveu80fP7+y - lRZFURSPfrqno2X32Nd13Xg87toEgNmbmXPBzD744Y/++E/8xGQyA2AGFcvlOZYH3Rhj/uGrzu3O - tTnMzDmXCyCuVv3Isdy89zAeQsDK/clFURRFURRFURRFURRFURRFURRFURRFURRFURRFUTymkOUa - GwCQqx7n4uwqUlVVEkspsXcgjiLX3XTjn737fX1JZ4P2YxRXxj0ed2/CIqBaj6tZgbpyqZP/46d/ - +qKnXKgpOnYCU1hffxoIjjUJgEFVke2984yguYL5gx4C+bfHAe1NDDIwDGbLItZ9mg0DgBqAOgQA - DHres5/9F5de+g9f/j1bk50oUFUDiMhge0vMK2G3IvUjMJ9osVT7Et4EeAaZOA5RRYAupa/cdedv - vf2tyGVitB9X27Vt/mRMrItkHO/YzETFAR646Pwnv+Utb7n44otVtfJORESVmckMlMuHG3kHuJRv - 7qM+b6dfVgQiECGJiZkRE7Pm2jbsuyjsgpiSY28wUe8YquO63t7Z+b7v+Z5vetE3/o//8/909XXX - B4IA7DkmBUBEzrmUUj0YtU2zWBL3N6b3RCtu//MJzkyccybyX9/1zte97nVrg5qYTSXfuYZcWJ+Q - MxVKWlVRFEVRFEVRPCxUVVXz/XcxxnzPnXMOQAiBmVU137Jni4vTfNee2QlO4okoX6z28d7Q4EOS - mGNW3/xvf+2lL/mmyWQyqLyqes/5DRAR4GwlhTO/tZP7yYuieOxZJvw56wO6FSzkDNpJ44MLYKgC - XtmBKCIJm9TtzUev+vy9n44HtpUBwSB4TaoEZRgpSAlaKZxCLLF3Zslgk2r615/96+94xit4Xg18 - 3XbqBjUcRaBt243hcN5MmfYX2ymWjEw4RWs4MHEwRdJEbtmcm1vMlvWRoYyY07sVAJN5oA8DDgxS - iEJNjZ06ElIy9Tk9+GThZdS05ra+fUfORXWdZdEbAVmus713imVWd54uDsHcZ0wel2VrAJlffTla - VJ1etIRrbqjdzTvvE44XDZKMvol3JS41/4JgYj6/mglg3hGZRp25YToWj20R33n0s5+86wP1x0fn - nXXBhec+47lPfeEIp1Vx3beDoa1XVrvIABIbB4KaSBIl7ytWp8kcLSI8WZVgpAo2sIIBysuRlhGR - y4Wc/0UKUu1TVU/l9NM9n8Iv1u+iG2M3yhfI50/GbOy9n3dzcyDPbWorzwznFNap9144zWiSRt29 - cuTme2649MN/PKethmZxOCcf1ScCuohcj4Fy+LYpBACMYA6Wazyp98oeMEpKKk41b6gGR4nIQZRM - nCMRAGA2IlLVvD2rgJmI1OXkzUXLt/Zh8n2vDcH1Gx+rmS1CcBcN+KTsoGJ5KyUsziIVfR8EAQax - vkXdaPGFW+SCc44CVSFjCl7znAIEYhpT3V6x+cGrLv+b8z/3tBc9/aXPPPt5rKdZ4wfVUFWE2q7q - NuWOK274yIc+87673W31wMEwbcRVMDRRwI6to4q85wSFEhDs2OSYhKicaq4Fum+XcP8Elky7St2w - lpkPYdRgKhT7UvcKgqoTBdgcqXgiMxODOTWCLDI/Q78awAxyHJOKIQQ20ZPXRM/GTtmYEmsCFCCD - B1zO3DZOMCUYgwxOidmrtKC+dt2inkkfDH+imofa9zVorndHZCByAJi8aDQQe29mYgqo8xQ7qyqo - QZIyeyKCglgW2+AuylvRIiD2hNOeAcZGTMZAApQMSmDrU4EVQJTggKgKEFi5ay2FdX3PTX9YHaaX - nP8do3AgtVb5gSiYWcmMkrCxAapBmZUNED6F07tzrjwbwwjGwiQutb6Jfj6U4Vo3TtU8OknJXKAU - jUMFYjNRi/kwxIveTBE4hvMsIm009mBPKRkzkDSIr6pBq03TzlwNx+DUrw4h5MhnbyDrq3SqwXIM - PCkZyJiUKl83ceo8koICYkJdO+uE+1gCNjMzIjAzm5kaKUneZeW9jTOEhArmY6g1MCRxk0L06qGe - 1HOO66AIUlBiWF8u77GBrT9fUnRgE44Glw98pjGwB4A6XnbzX93rviLcOA+VpMzIZSulP8kxM1/5 - mJIzBAcxRNuR08NfXHPpM7/j6307JEeeXGydmCGY80QRXpihiTU6KLhKjrCb91yckFKukZvQ79vA - xgrOwTN56VF+ApkCQiwwszisvKSui9HVgxYzO9hd8YXL3//pd95092fcwehHJF00gwBQU16c//YH - AgAKghH6w1iujMow3bsfXgaMHD9yY9E3jUWp1eVnuo9PCjIEQj4XIkAJaXFEMuQjCBgwE2Im5qhz - X4cdbaTSj91zx60fvOW7n//9Lzr3ZaRG5uJcBuvDTrqY0ngwnM/nwXsz0PINmAccrGIDqAMUFJXE - QApv5gFW2l9CtiiKoiiKoiiKoiiKoiiKv7uTG91tQG7NdosueYEymAwmIAcwUNVomua0g9vb9xw2 - S1UV4rx+6tOO3XLLelVjrhI7ECcWWvS1HJfHcx835JgawIQAjEz1rnuaKz85eN4L/JlnIgR4drEN - bXPGeBRi/Nyb3jwZ1M/58X+BuuLKA5pEq6oG2FQp+BRjEqsG9TJAfFQPzBBTdM4xMQiwlN9P/rCr - UVZMnMwcVwA4x3urwRi5UZhAJhDxZOg6iKCJV/7Sr5zHbjCfjbxDnKNThIDb7uhuuqm59daxmQsh - ptglDSGEZKaa1JzHzOHQEx4PX5swOTdYG2+zgyich1qK0dcBgAKOcntzn2a96C7URbz2Yi0awPm2 - OvqrD/z5P3nJN/vZtBoOIQkGdBGmiHLk85899qVbq63JqKrOfvrTcNpBnH0OiNQsipGjqqrVoAQ/ - HGzPu2lq+OChO2L3nJ/931FX6OZYXwdZZ+Z8jTw2YtGi/cBuIXxgnUz3P68T//ax0n1VFEVRFEVR - FMXD6/g02ePG9+jxU7b906/ipd39/VEZsvMot7vh7d1+HnxBn9Ut88QW8zzRhXapt1IsnGhnuMd9 - /t54//QBzO24mR93+9N+Za9YFEVRFL3xeNw0DcGFUDdNNxwOZ7PZH7/zXW96069PJrO6rmOMqopF - eY6HSq79EUKIMebc7rqud3Z2HsKXKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIriMSLHSMSY - 1g6sb08mw0EN9a/7pdc/2JsHeN/9Bosob0+InTznGRe96kd+1CTVodrZ3jx48GDbnWB05QnLp9gj - JuV4+UaY8NQLL/iTP/qj7335P5p2TZcs1KFp4zLwe7VY9iNfTlPI8vagEiOUQhiN13/un/1ITHJf - 24Ma6qpOKYlKklT7YCoD53/sla98/S/+YkrJYhzU9c7Ozng8NuzPw9h3A9dqHskDma7OLf88n85O - 29iYt+3G+tq7L/2zf/bqV7/vz99PQErqPaekZpZSGgwGzW5u90OFkyQA0/nsY5df/rKXvkTVAu3f - CnLSUgmqKoqiKIqiKIqHBTMzs4ioaghhZ2dnfX2diAaDAQAiyvfu3f9MiMjM8tQ5LyIpJSJjcEwR - ADP+zb/5he/53n8wm08GwwryUN5dWBRF8aAYLdqpDLxInPNVTRCImpiRmpGyJjbjNg26j132IR63 - 4mGEQYA06onBAFTBIA2mzsAGY1YYKwlZS3Hbjl71hY9/4zkvo8bXzotam1KovDeXUqLj8kGLVaSO - umqDz5zPZ2IdhaGzIdeUVFSViJi888QgVdGUOmv9MAlBLFhO8mUlQo5pNwIRHDsmZ0yAiJ78xZ+j - N/t0VTXajevOjwBYBrtiuTlQn0OcgwX7AOVF+vZy2s9ikd63yHDt5wlj3Zfq2udQ5uUBYLfBdpGF - jL3VvPc3by+/OHv1zzHqYog5MJwI4rCdto7ede/njnz2PZdd+rynfMMLLnrxkw4/xat2U+e5qsPA - TFMUAJUPRM6SwdQHhqjBlLRPFjdeLI3jX3pPxSojLNLY/5ZTl1NTvwxW1srKEiBl5slkMt5Ya9I8 - pW59NG7mczWLSUfjwaTdbjBJa+31d1z7was/8Nm7rse4azET1wFqbpGHrH1m/An0D6pRMuozUxlI - EdWA4RCjioJJiHM+NzPYoAZTMQDsqfZBVVWgqpryHBnkCFQTLz+jCSnMkimBmc2kTxGnBBgRlNG0 - ygFVYCWFQBVEYKZOjBmOaRGja2oG2d1WNEeDLz8OgxRCYNK8bIXUSI2aedq55u5jn7/jhrMHT3za - 2c985pOefc6Z5xHR0cmR66+59qrPffwLW5+Ng1k4zXVOkJiAPmt6kdeLHE4MM0MiTZxaiYCZ2YPd - AXlzai4qqOMNO6Odb5Jj8gPVfF7tYaTEauRMzWToiDxFklajeA2B4ZLEpJpDoAGABM4xLIejn2SO - VaIAHOCZzZQUUCSRKtQpdtpiOIYJ4tTIXO3WHFlfsR9sYiJmZt5Vi1W527di1H8EIsqJ3chL2czY - FJWwkkGdwUQ1JU0HDoTN7Vh7jIbD6aQFUFW+mad6cIJCZnl15R31Cae6ujaNc/Dzku5d1yu/ylHz - Gj1aP33Px//44ODgC5/8UrqX2nl7YP3Q1s62ryojZpPlrDjP75TN7V5Fu8c+FlaAw2yw3h7qME2u - jZKcBWnhYogamcEMz0RIhpRSUtVhVSVN1sFgzOIcg9QAYpCDdHE+NwSrqmA+poSqP9JxzgDO2OD6 - wyeMNB998nkdwbVtWw9DK91wDTvbCA7WGRlDjYwZDsoQ58kHV3ddR0ToN0UDYGQE9RaDOO6GtRx0 - OtBESYwUzha53TCQKqXHZtVFymfnBCPN6elkSsaOKrO43W614+lVn/9EG3aq2poO5Bc72/6cp59P - sgSAFAQm06i6GY/4ZnDXzu1n04BRm1YwDsFrpe18skaj3ZnAHnyBzceqxcltDi5h45Wzwd2f8/4K - YA7czJq1UdU0M5gN1qqJbNt6/Oj1H/zLz7z7C5MbhmdzVD22ozVhPB627Vzpvr4Ke3Z9+Wl6ohVH - Jz5r3fu3D8y+mdz3zjefkENdTKpWgWv74tZN/+WDv3/06Ue/87kvpzmPq3VNaT6ZD4d1m1pm7lIb - XD7SmeW9x24BWEZ/7bA832OUq8eiKIqiKIqiKIqiKIqiODlObnR3xlg0MTo1cAQcwTnAkKJ4KMaD - Z73qR297w5tNzMU5CDjj8OELnzq58fMHQp3iHA4dA0Cd4Cz37/8t/QoMwAyAGFiEmURtdvfR7Q9+ - +NCTL/DPfhaGA8CqwbBr0hmVO7gzi/N02+t/NTzhrMf98A9jUNcQVLUZd+YrZe9r7wGDKpiobeJg - GMjAPgDokjjn2Hkg0Z4RMbv9+p5IFcQQYYlaVw6EGM1XFJE8hC1hHrE1veXf/dahNl6s6hHhkWbb - vq4hhtvvOPaZa+jYvTBNAEtkQw0gCbETUQDEwXyNc84Fu2RGgpmaDGuMh3BQRR0CFMaQPAJjpWGa - lmuKYSAFu3xTXP7POgztkg+97443vnndMVREGucJ25Mj139++96tVvS0x531+Geei4MbqBnr4/bo - sXo0Zs91iklnoHG0au7DEcjszAPP/qmfRO0fFwLIgRxwEAqQr9CPDUlq7ErrcFEURVEURVEURVEU - RVEURVEUxcOJV/vlVrpu5rM2hIrZi4j3vm3bt//uO377rW+J0fI/sYjZxqIex0PyfvIMY4zMnKPB - Z7MZM/9tf1cURVEURVEURVEURVEURVEURVEURVEURVEURVEURbFfCEFVnXOz2cw5N+/aT3zyyr/6 - 8F8/NHNfFCB5w+t/yXsPVTM7cODAbDZzvnpoXuJhEpx/5jOf+Qd/8Af//Q/+DzG1bRuJyAWf81ce - +ch2Y7N57xBX51yKWtUVV9W73/ve977v/cuPtMwuXz7ZM7Vd60CBSRSO2FfVO976tu/9ru/SlFR1 - /dChybFjg8Egxui9Vz25EQvD4XB7e7seDgeDAXn3+7//+6997Wt/7z/9Z+9dm8QRM7OYNk2zL+rm - 7ygPFc7bexJ997vf/W3f8lJmwtcgBaQoiqIoiqIoiges67qqqpxzzrm2bdfX181seamyeifg8t69 - 4y2fkCPAvfeqiYhVhBmqeM1rXv1DP/RDMXYxxtFokE6Ny8SiKB6N+jRNl7OcFdonzxGZsKkwMxEJ - gdk7py11d27ddtMXb8QGCxA7DEDOOagBYFvmJQOAERyZqFhOVVN0MvvEjR997pOfz3NXYUxkqh0h - OW+xi459aSW5H17qJ9CFLz73u5N1RomD62ReVb6JbVXVoj1JqW2b+WQ6i/MjR49KSNHP1U1TmCs0 - AQJILlttDgbixIoAcw86OffB6uM/scjGvp9IyBwfyKr7Iwatz2c9PrrbAHHAMqR6N8J2TzvnKoKS - KYxhntUzQFBAQSn/enc2pngwoYb5yY0ZcR/C6qrgB16jbjabdVVfecuHP33D5YcHZz7/ohc+7+kv - Ov3AmfN5NbSDgQIrI5mm1kzgiJgj5a8nAcwGNjgDoNZnNCpIjfK3cJGPvm/xmgP6x09VxpwLgu9J - xAQAkC72PKZQLFp1BTI6MN6abNV1XVNoNmfrgwNN0/HQ3zU9QofjbfMvvu8D77z5tmvMi9i2iIiL - AIgIZGYwAy2/Fwas5FOyggkAhJFIE5QMXsDGA1d1jUZLXLELpDBRBaPtxBOcB1H/XpOaxE4kvwoz - e4KHecCxEOYS4JnZmIg4xy5rzmNmEBly4jQZgI5bDbFFmnfKDsEFxy4l7dq0Nhx3XRfnHSDOITiY - QRXOsS5C7nc3FsMywTf/1mjxfRSM6gHXrpvvfDFee8dtn/vIbX8qUUUk1N7IGpnb2MLQiWkzNx/c - CQM9zXImLcwMpE03/yoqbDjlinwQqXjtnOqcb3zyNzV+HmlOIYdTM5sHWGFillRU09bm5ub2kaPd - ZqjbWLdtN4GzgXdgDexENEaNquSZ2Kkon8wdohKMVYyhziVHbKKtwoxgjDa1FVVjDjTrOHKF04Z0 - MG7ZKIzX1g6Mx+PhcFyHKoSKmWMnyy825dUHsDEjENjMDJo0dtJFaTvMj+4cnet0FiezbpooBu/N - ByHZ2pwRQxXaxoF3KmChtcFQtCXoIsgewtDFF+8E6/fEe9q07Ad8gMg4RZmknf92xR8eOuPgeeEZ - B+j0dr4zqkIHIvNOoaQAhBRO2fDQ9uZ87QmpEByYTdnglL2Euhs96+znn75+OgYxUUxRK19rNCIi - ylns6JK2bTudT3bidCY7zc5O0h2qZbzOLWazOBOG9wDgKxCpSDTnFZAIYphBCWZMCs57A9OcjcuE - xKrcH0YBAOw8dRI7wNXY3MK4xsidNp80xkpEDt5Z8KmqMdioD24MTjv8+DO8BW/ekfc5k1uRXGx8 - YwSfhj4Nn3j46QMcDjYMrqKY12PO7V7Z/zy2ThBXvmRgMiKDUQIY0VWjamqb137pqruO3urXqOtS - CEj3sXxyc0U+6DCT92aGeTu58saPnfP15+kksPOGNknrjYML+TstBGMjqDOAfEnw/lsxliejjMVG - a0ggaD4tJQU0n74YNMbp2qiWDrVf72Q+tzgJ2x/51F/+5dWXzvwxrtJ0O7qK1kYsSafN/JSuFKUM - hRqBdhDqwXA9bG4e+cub37vZbn3Pc14hcsagHZ82OigsXWqNzYUg1p+wKhmQQGBNij6FPgfDLL4d - wKm+9y+KoiiKoiiKoiiKoiiKR6qTHt2dQ5+XfUiauw8BRIAAR/ABohgNdsbDe49tnwZhAILw7Ofs - 3Hp7186rmrpo5pZ53QzoslvrvhhAao5J1VJS52gYXDBI280/94XunnsOP/+5OPdczKdVqNHMfD3A - vUeecOjw9M4jN//qr305di/717+AaSSH2nsIOpEwcGJwDmYYDANsGUmOEJwCEcqLzjJefHws/tlE - rQJD4Rm+ZgigGhwgqbKE1GHafua333L2JJ49aev5jEYV4hQp+kGFyRT3HL3zk5+yzXs2ggsDH5vU - KgaeYIiiua8gUJgkVz3uMNbXoWK+6gyxDs99zY/lTiEmhw7g/v0J2OXmbus7xWG5pZsVsPyk3FlO - kNpVVIHTEdXabKxaj0doJrFrmhTPP/8COuccOIYDNtYbS6mLowOnzaazURjtSOfPOHRH09lgzQ6c - duGr/lcMGAGo6xYMuK5p1wc1ug4h5EEbBlDJ7S6KoiiKoiiKoiiKoiiKoiiKonikGg6HXdel1A0G - o/l8/rM/83Pvec97YjLnPREBCCHE2N/D+RBGd2MlEdx7n19CVUt6d1EURVEURVEURVEURVEURVEU - RVEURVEURVEURVEUD1aMUVWHo7Wma4lZoL/5m7/5VcxnfxX/xahJNXz33/u2F7/4xdOt7fFwJCoq - GkLQU7wU+3w+Xzuw/sIXfMNvvPnf/ciPvWYQfBNT6uJqEWlbyTd4BH7cXPN6tSq7AcakZhy8mE4m - O7/yxl9lJrnvtSUqlNNAFA44tHHg0j/7s/POeYJJMtXBYHDs7rsHg0Fd1/P5PKV0sse7quqBtfUu - xdTFQKSmb3rjG4fj0W+/7R2DQdU0nYp65xV6Pzl8X93r5hkSCLDLLrtMVYPzkJLRVxRFURRFURSP - IFVVAUgpOefqus4J3Pk6Jd8MmG/cq6qq67r7mkm+lFje4pdSR0SqGjynpK985T/5qZ/6l203B3Q8 - Hs7n88Dua/X5iqIodrFB+yjcXHh5mVjMKgx1ADETkQmSWVAyHcarrv1kct08NVwDESlZHdhUsIj9 - 7uWYW01mfank4Ei0+8LRm764edNT3HNcHLB3weW/hZk551JpJ7lvTqrzNy46fPFZvnLkFN7m7ayu - QyfJzAzMRMxgZkdQ1aQ6jd1tR75005eu/tyd1949+WLjgFp9za0mkCOnqglJleAYro+tPpnoBE2g - SnsiOS23neW8ZIIBe9K7F9sY7ZsaE+nxEd19Rjh48bkUizBv5NclAErGAMiIzYG4Dwg3Vdp9ja9i - yRCDHJtSShK1C8FcYGYkmas0VVXfq+2ff/r2v/rUe57xlIu/8WXy9iwAACAASURBVOKXXXDaM7Qd - ulQ5dcHXgQJIoibk8FR4mPaB0bb8OLqoaZ4/rCiI+6XKi6zxxQc91a1Gj1PfHp2zXXcb11datKN0 - ncbRaM2SsHLl3GzaVAf8LEyb4c5Hr/vAh6/583ub2+Ajm4Y1H6UDjIgANc3LtM+G3PMeSGGspHzc - RiEMUxWN5FxNQYDUSSfqHLx3w2GAwETJwMZOiY2deAcfqB748ahePzDa2Ng4fGDtwFq1fmi04Yg8 - O/aO4PqPTWjbRkTadj6dT3YmW1tbxyaTyTRN7pncNQ5mpClqI62Ses/DimbT2f/P3r1HW3bVdaL/ - /n6/Odfaj/OqU1VJqlKVdwKJJEECDQiCiBLQ9raPcdXWvsoYvvo2Dm0U8I7bevt6vXJt5aJebB+o - +GihpXv0Q21AkBCQGENCIE9CQhLyrqpUqupUnbP3XmvNOX+/+8fce9epPIAKVSEV5mfUOGPX3vvs - vZ5zrTPnWr+v9244HAKaUoBGZvJeupgXHfO0Dr7SbDkqqwHz0FwAbBCiyaRRBQRuiECtqsUKlaOx - GhGciAUdNx0zhv26C+noZnd0E1TM9nEASjZpRpDj3r0Y0KYzSz1XnbV6zraXb+UBRSQR0WhsRMZE - AkCRElKy1O/3D4/XDo73P7L24BcevuMLD37u4MajXMdIYRxH4sj3eh7axaCqLEwnru7HExlpso5F - HPWQoDEYGTxYUEk/jeBjXUdHzWCpWj5n5/PP2/H8F5z3opqGVdUTEWiObc7rj2fB1Tl3GQDY2JTJ - mCQ/pRFdQFAE8ylKaNLo0OjAvgN7Htrz4P0P3fvgYw/1hos0sC5OutSwBwtSCiDhRASaLg4GjA0C - Y6OU2968R0wbZ5utSjomBh7AcR5elDih1v2258//9j1v/u5f4g3p8YKQF4UZEViARGpkiZAANj2m - iTilKKkyAGVTBbOBDC56tv5rXnTlRrfGPSiSJdSuRpdj75MSmDxYklEybS0EavetPfzg/nvueOCW - L+65cxSbxcVlqXQc1oNhAjAnYjBBk0XDwEkKiXIjMI/HJkCZzAEKxNwOMJAPlCkF7xEM4sAAOh8a - HegyEhYGi6dvO+OcXeddcOZFO1Z39nmIlrzVLlXOHENE2QxsaFmbXoQXSVW7EfrW62FRuzjbWOa5 - 3apAPh04dVfu05RPyI0BCNTIFMowQCZxgtV07dV/Dx8YMSX4WhCf/PyZaJrbnZISQYQBwOsNn7/m - dS99vVfpsXFlIbQxeC8V1Gy25MXy+Gg+rSi+DJq3w8ZGAJlCgc5mS49MFWAwoI616yaVLCRT6/HB - 7rGPfe6D19z5kQP2cD1kSRY6CMSMUlJ2z8oLCI5HSFgYVBa5G7c2pHqLO9Ds/YcvXtWN2x/45h/R - RsW8Zx+MWZAsUT4toASoEQNRiXM0TI6hBwBjnjYOz41z3KIoiqIoiqIoiqIoiqJ41jm50d2Uu/pm - vZ953BAAwPCAQRxHmBMHoovf9KYH3/U72AgYd5Aaw/7qZZfuueHabWwGSA79hsx7C+cXDUwvCziW - zq5NqRyFEDUZQVmpcnWTIk8mD3/yEytn7xp+4+XwBmFMDve3Lo82Drv+8EypFifx4K+842BVXfDW - t4IjBlXlKQGJEAAmeANCYidQWJeoEiYkAHA2mwDe1PFrsJ5nQMEJCpiCGBwRAyYTtLj9d/9gadTu - GncDjR6JVgft+oHaC0KLzsKNN67dc/8gdn1HsYvBIJ5EJKTICQyk6eLxTYzbdu1G3TdNYK6WVx7t - 2tPZUPtEDEAYsOmFFJuWFmN6QYYCEEBnqy5fzxE0gnkC6jMufdtbHvq1d1bdhgRjv+B3L5+xsEKu - Rh6eEoM1PaXYRPZVb2HLXmizY9cetC//hX8D34MIQoP+AOIU4GROqrquoYDvAQYhUM5Bf65cAFEU - RVEURVEURVEURVEURVEURXHK2ny/7XTwyxhAlzQaiOTg2tpP/uRPXX/9ZwCI4xjj9BeJAIiIqp7A - 0n7MnIt6tG2by4KklERK/Y6iKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKI4LA/DOd10XQqiq - 6tD6+v5DB6+6+uoTddVjTj950//6r0aj0crKSjtpoKZQETmlS1GTYXlx8cj6hq/r7/3u77nn3vve - /hu/7sSFFI+JK7BTYC5nBceRS+KoUYzJ167rwt984AM33nxrAkB4qiQLJpChclWM3QtfcOl/+LM/ - 3bVzJxmE0IZGRJaWllJKhw4dWllZ+RLRdyeKJW21ZealhYWoOtlY7/V6/8cv/tLG+uRP3vsXw149 - atqYYuV8FwMza06b2DR3ee09jRWXrxY2GAP33X/fvn37dp2xo1zgWxRFURRFURTPNvlePDMjImZ2 - zuX7AUMIdV3nW/a6rmPmp7olkEjMrOuic1WMHYDaV11oY9Tv+q43vO1tbwsheO8BZWaRJwsyLYqi - eAaQgoyN2JiMjBUwYzUYmSMSMoVqopBMExD85HB89KZ7rtcqTDT2HTkyU3Rd51kISjYNCCSDEkSn - BXuVYEY9dmA97PZ96p6/v+Dyy0xVlTxxTA0zO6lNS23dL0VUdMRb5DRLpilaUEpV3/XzwUiNcpSr - mbEpACIsOl7dvvWFZ39j5yb37L3tH2/7xK0P3LS28Zgb+OQSOWEHIpgmMghDT/7x6Jh1nLNC8fiK - 2dMgZkLiY/P4jk1n3hyozGAAsim5Mmcl6vQx5GigM3QaUHo0BZwpEiIDBAYY5swIYGNVJONoNM3E - fWJx76dixAZWIxYwaVJrYkcEETDgPE1i6NRVW3qaJjc8fPVn7r320jOvuOKib7rs3Jcs2ra4kZBY - yFEycSBTJc35qQCnWd75fBEoTefIwErJQJrn12bFtGfJvqeuzRuP0bQIO2ja2Z47sdkAEBkbkCzW - VU+Um0nHzru+H7vD6/7gXQdv/OhNH7j94c/oMPISB0vRUqMNOUBgMNN5XzcxCMqbvpfz00aqBDJI - YjIG1EijQxQYTCiKMgWr4YeVMyB0IYSm9n2PITp2qV4dnH726RfsWt29c3n3Sr2yOty6UC9W5iyq - RkMCBEZgiBEIYmYAEgweRCYiYCM21WRmyeIojQ+P1vYceOj+/V98ZO2B/eNH1ruDTVgf9KrWunET - NG9+QgBai+aAnMmdmM3lIvZKmjgqQWlazT6nCJOBySAQAVVOjboUFeDKNUGJAbUQkwDek8G6SQv/ - lGmv86BnIhs1G1ggo69838rU1YxoMSYwDevV0EYNCUY9qaAkea2Rgi0hJo7tZLJYLS8Ptpw3vPCV - 57zmSHfo8w/cfvtDN3/23hup6qvvojVd1wlj4MTMguGkHpSCoZZEUFMjCs7BBKYYHWm3986UMZ/e - 3/lt3/q6Sy+8nNRLqm3iKqq5c0RkycyMSIhIVdmOLtN5eH1ANCJORAQycjToAYZkbcrLZDvOet5p - l9Pp6C5tR3F83S3X3HD3DQ9P7u+v1BMeRxqLcGxDBRJlJYDEDEYg8DTS3o7uEbPZYjKAdFOG9+zB - 8axgIw3cRSSA9raPvO/jf/Sj3/4vbb+41BMzAAavrIyQKClHGMPkVI8XVkBJczYDG0MdG3eHuxpL - HJnUyNixpwgzM8dGamaAGjgxKlggW1w8Y9fC819+8etG6cBn7rjmUzdf9dgjDy8tL3e9dkMbMJJC - LYqvXZdSnB0WidmOWUesosRA3DyFZFCN1UIVUvfYfuxcXeYjfmjL29zOV1z+qnN2nL/j9J2OXGxV - 1p1jx+YogozNjAwAwVQJTIQJia9qV9cKTkQBYt4JWwogKG0+Wp3qK/b4kSolAGxMxgwoElMEnHOy - oZN7D911/5G7OmyE2A0GbtxEfooEFWYiIjNTNVWYKTNF7g7FPZ+557qX7/zObtJUAyEWC9BozDBC - YgAQUwIMqgS2U/v84WSbDqMbADZSQJXMKOHoVQDTw4kaMZhhLAjaBKdH9NAnbv/oVbd8oFk85Bbj - Wts5QW/ou0k0oqqSqE+ey37KMBAQuli52plru4k5RY2x4sa91xz+4MEf+/afsYku01YvMmnHrldp - 3gSRQAAiwMadGmN6As8AyPIZ+/GePBRFURRFURRFURRFURRF8ZU6udHdyF2rT7hKgKAalCsXDSEl - ciy9PtTts7TK4pyHeEw6XHDuYM+9B+9/eIsHJZAx6axjcfbh9hTjfI45qWpKbCxABEgNhhgbz9KO - jiz3fPPQ/aMDe0+7+GLsPhMLSxgdGdb90frh4dLygA1dW0/Gd7z9/z44qF7x5p+FQGonGtDrISa4 - GhZhPnfSa0pJiImfdHIImkLrnIMqclaBGjZGqD3i5Jbf+d3e2nh7S6smLqXYNTL0k4P7+8sDtA3a - 9tGPfbQ6cmSVBaZiIEMEiCgpQkJlqDxShAEpgQeL2HUmPCNoMnqsbS79338BjsFunNqeOMFs/P2Y - NTNN784XcRD4mHufDJ45QhMc6gGqdk14W73oQqucjow2/KB2gwHWNmAUDV1ItRvqQv8A8bju7f7p - N6Hnz1noo3IwU3HU7wNshq4LvapKAcKwBHI5iR0AEAOcI5SbsIqiKIqiKIqiKIqiKIqiKIqiKJ6N - RCQGXTu89r3f+30PP7yv7lVN08WoVVWpaowxlxf03jdNcwK/V1Xn6d35PsyS210URVEURVEURVEU - RVEURVEURVEURVEURVEURVEUxdNDRM65EGPUNBwOf+f3fy+dqELIBgDPf94FV1xxxbDfb5oml9MY - LC5uHD7sfH2CvuZro2ma2vuUVJh//ud+7vrrr/+7T3xciNXUjqnUfYoxM1f5qBrJfvvfv+vLzYSq - gYEYu1e/4pve/9739etebDsRMUIOlphMJsy8urraNE3Oxjup0y8iTdM45zprFba8uNQ0Te3d23/1 - V/Y9tv9DH/6wZ1JFiIHyhbh2ItcUEcGMmVTt1ltvPfP0M+Yv5SCQpyoTVBRFURRFURTFMyDGKCL5 - Xjwiyn+exBiZmYhEpG3b/NKX/agc7J1zuwF0oTXDt3zLK3/t1/4fwJyXtp0MBoPDhw+trKzENn7p - TyuKojjJZs0a6TzcV0BknDRBTBwpw1y69Z5P7x8/3A7aauAmbQRQS6UpPe5jAMDYCAQVhllOrjNF - k3x3832f/o5v2N+vV2wcmBmkRMTMKRr4lE8XPnnI2JunRBojSEiEjahjRDIzJsmHJ1IDqRATYXxk - sry02m5MNsbNecuXPe/1l93xyK3X3Pyx2x++ZWOy1vGIeyqOE5ASTJ/p7spc83meubc5fI8NSpgG - fc7fcEyRaAamudTTuETKcc5Hzf8z/WTS/CAnUD6+h5ty1en8S6rgWfIibNZxJ8fZK07GGlUpOc/i - NCUDTYtLd9FM4VwEj4MpKgwX9HMHb7zn7++6/vZ/fNklr37BrisWeBs1IuxImaGAcY6sNeWcuvz4 - nWUaGGnGgBkpwCAl49mCeuKvnFJsGsY8z+3WTVnFnF81IQDGBAx7w7YNMYXhysJGuz6Sw4f8ox+6 - 7r/ccM/Vo+ogVkJE10ZzFXnvU4Ae3VQAAxELhIji0fWugNo0JZ0VymCFk2lqssKiEaRCOwYHHYhz - yWNkorLgl9iq5XrLGdt3n7frovPOvPC0xZ0+DTCRKvVrrSv1tM6UlBM5FnbShZByj7HZPLzWCAxW - qJkpKWlSgpApsFSfsaWa7Nxx7ovP/Sbrd2vtY/c/dvcjB++/9d6bD3cHD3cHG3RMgHDQEFNLVV5u - OeJ9msFspPMOajIwQIAoKOcDMwDELiYDQMyeIjFISIiTITKMPLOmaE9oTmYB55T3ZUBh4DRpx7p4 - 3JulEroUIFAwzFFybNyD1L5vCaywBIaCklkykgRxXHnyHKlrWgDb64Xls7ZfcvYVL3/haz5+y8du - ve/Tidf6lShNUkxRQf54J+p4ECoBAZZaA5kYMTQgTWgJ2xfD1te+7Ntfcck3V+1COkD1oG9GDszq - SQGjXD+ESJgZ6Wg29nyZG1SkVlJSQyKYmYKNCfDeQ4EUE4xhRpQ09K35zhd932tf/oYvHvniNZ/7 - +GfuuW7MUg8RaEJQYwI4EecgXxPC0Wxlnf3c1MhYzvbWYzYCemKz+5SU1ERNA5NrdeOzD1y/87M7 - /6dL/0VYm9TBs/k4/WQmpLybnOLxzgwo5+GhnJFMYECNK+oR9UnJjBgkEawwsxAsH9KIjJlhlIyM - EBrtuV4aN32prrzsn77qkm/69K3/cNX1H1pLayJNtYI2IbRghpKklCAAQUgBzce4RDBiJTbSHJKx - mTjaONz5AXZsWUhr7JvBP7n4m193+ZWr2LnAKzSmpmlqcK/qAWjb4L0HYJZywK6yEpGD9Hw/JOKU - gzkSaYJZsJYZeUMxyhsQ5/b81D54PR15n8r/wKZqbKTBumqZP3nt1SM5kiwASKb81Fu/quVXmadn - U2ZmFGlJP37TVd904etj23EUgRgkD/3r9HQIOTU85YNCiUf+MvL2yTbbWG2aDqMExfScjWcnuho1 - +Z4P1q3b4WvuuPqqWz7U9Na0P56kQBVgaLpAxN57UNAEOcUbuF7lxuOYMOn1epVyJEtijU601s8f - vuX3/uqdP/v9bxtPnIv93rDfNh1xPgATpvE6mltEAEpgEI4GspTNsyiKoiiKoiiKoiiKoihOlpMc - 3T3P7Zb5OL1J7gOsHQAmVK5SRFHA+xe/9W0P/dtfGlS99WS9vqtCXL7k4rD2WGpbjuwTYfoxT/ge - Ao69EAFEQpTMYlIGHIFBxoBx1LjkJXSBWqSuO3DjZ/je+7Y8/3nYuRsUh0t9tCNNidvDw151Ttds - 7Wzfr/5bG/QeHTeXvfUtaDo4BzTo9RADfAVXBQMR0+ZLDWjeramAOmFMJgCjCVBDE+/6gz/C+Egd - J7scqk77YEkBmpwHRuv9/hD7HtP77t9z2+1+3Kws1uP1tnboIiqGYwnRWo3MgIcCRCDCBLZ67tlY - HIJARIkQhn1UHpWPICd1vjJjPuA2G33T2epSEstrTTbf70Qa27aqfQ2HRPDWDIYpdGm8Lj1dqB0T - h42xsVRLS6O2peHSo5Nuvd+79K3/emtdQWqIB5nCkacuWs1EBjL0vE8J4mAG89PRZUtKRBUESk8c - xCqKoiiKoiiKoiiKoiiKoiiKoii+ZuZ3+QJdG+++++4feeMbDx08zEJN0xERO8mJ3QCYGUDO7a6q - av78V8l7v76+nh8TUYzROZdSKgHeRVEURVEURVEURVEURVEURVEURVEURVEURVEURVE8lafKDB6P - x977qqqDaRvC+//zfzqBJdIZeOP/8iNQIwMRmaowN6NRr9eLJywh/Gujcr4N3bA/HDUTCP/Ou377 - tVe+/qE9e46+43GZ0M/K2c2VambbRk7vMHFu0rYf/NDf3nrHnURglqTpScIRSPNMCfDqV7zyT/74 - j2pfadf1vI8xBk2DwaBt236/33XdeDzOYXgnfZaSLi4tjTY2RFhjosoY0Jh6Vf2u3/7N11/5HXff - f1/OAap81YYOODGrJuf2iUiK0cwM+PRnbnzDlVeaKj0rV31RFEVRFEVRfB3Kd+HFGOu6zrf+5QBv - VQWQUvLehxBSSsPhcDQaPdXnmBkzc07DM4DMDJdddsmv//qvDwaDruuYuV/VsWu2ra6ura316sEz - N5NFURSbsOXOn2nfhM2q75olwJmZGoSFvbUWRungDZ//h9CbBO587UYbkQEInHPQlD+KZ/lpRqwG - kDKxqRFRSskEUfTR0b6b7v301ot29Gig0aTnFSAtdXW/DCOYaEyJyMgpMxFzjNGgLA5mnKOuc2qg - JgD9uhcnnSO3Y7AjdDreOz7XvWj3qy7+yI1/dee+G+87eFvTTdQlZSQDEfFJ7aCk6VwcO1eb/3Ns - 76LpMVndOZX2aEjzNLo75zTnV6KLR7cj0/xxZPNo52lfLM/CKRPBCAbWXHR6GmypQKezqZ1/Px93 - bjeEiRJUTUMiAgOmMEJSDAZ9VZ1MWmWtalFLR7qJcBwu2B3r1919zU0Xnf6CV176+ovOeEk/rLq2 - csreEiEapzxNCgbpdN5n3bDTxTJ93gAl4+Od8me5+dzMo4PZADBZDnl18/ndODRe2rIcYfsn+/xp - 4bZ9N/ynj/35Y7qnHU6iU0sxwWqPCNtoO2ZIXukKxjS3G4ApMRJyy0jHRBGLVgCMNLoIYzJwcqwK - tcrMVdLjgeuqWge7F84+Z+tFl5//km1LZ6wsbWWW0Gjab44rT7VGMERzFjkhOQuIQEhkRsgd5pQD - LImMYAaFmVkCmMiYiMwYXWxZMMAQARRpiC07V85Lq93rnzc5MN77xce+cPtDt969787HDu9lj2HP - dWmUNxMlVdZp40/TA4EoAEhu2HOrnhdEgiV4gnOelFJKOT3aGESSLLZtIoLzHFXJYJR3wKN7d06d - VjIBQNp2IzPT42x8DIiexXEMAey6pGogo2CJlUwJlmCm3JGpIWoy73pxlNiolr5AtFXqvPiFfn91 - 9ysvvPuSV3/8pg/edO+1tOjq5dRsmJzMOFAyVECMMGjOIdCACm6l2n7Rlhd+10u/+8Lli+2QDHjV - mDc2Dld9zzBYUjObRXfDEhHJkw6pmKnm5U5ExHDEkqPom0kLQKgSEWGoGaPH0ou2YZ27sLr0vBd/ - wz+9/Puu/8Inb7j7E/vCA9YLkYIZJYPl4AS0RAYie7LhLSPOLQ9yCjUdbXK/ckaIhF4PaRSbMJGh - fPimD+7cctalKy8WPaMKNZEClmMcWPEcyHUmdZiHSlCEQWlatUbBmhQwxxw1MZTYwI4IZgaDqloy - UlIkB3hyLP3xJKYJDXqDbz3vn110xuXvvebP7jr82TQakwcUlpSMxVFABDQfRPKxUqfNwmzCLDeF - MIKRMmFhIKONpEZnDs//3jf84GVnXOo3BlirjBwx1+bMgI4BeGMLpgSAjdTYDAQojAQqQVXVOQYM - HEUoJQOp5bbdGBCAyTalwn99UExbTjKw0TQew1yi2PHoQLv35vtvHFdHhEGEttWqLzGkp/w0NSIQ - EfO06TCH1sYPHLj7C3s/d8HipTE4U2Ij50Qt5jMmQJH3YtgsR7x4avON1kgpt3nTNTId/zUGmI0B - KEUSv9autYPJJ2+/6qrbPjgZPBrrUaIAgAltABOGwzo0kzDBYIAUj68JfbYhEu+jESbaIEAElTh2 - qY1tb2t179odv/1Xv/YTb/jpVTrd0RZSIsrxMG7+pymbKnH+KyKfsk9P8qfNwtdL41AURVEURVEU - RVEURVEUz6STHN09NxuPnwUxKwiqQO5sNYavwUAV97L0RSy1Q+/QRpxx+rbzL9p7y60rswsk8KRB - 3U8QU3LMQpRU85sTzAyE5BgWkid4ILSoNDWPHthz5LNY/MKOF74IW5YhwkLoEWLTT12/6oX1w9Y0 - Cyxr7/jNQ2brTtph7yU/9VMYDBADuKtDgvfoIqSezbLS9A4thSk0QfXT7/rdugkLarwx2e7qKkZH - KqPOmaFLEI/QQYAU8fl7HrrttmrcLFtyjDhqPYMIlYMlaEyAq5wjMWiIEQJYJR05nLMLjCammjiJ - 7IedJwxyBBE4ADGZcwTCrAf2cdnYCuJpfywd/VnXXrtE4pAM4l/8Mz9z77/5v85bXErdISYam8Ze - j/vDBzfGWNpy/r9601LdQ11hyOa8KQEuEYhAgHcEIAR4BwCcr95gGNDEVDsxyttFGbQoiqIoiqIo - iqIoiqIoiqIoiqJ41uLrrrv2TW/66fWNxjmOUQEYLKVENLutxXLlPXjvT1RuN4C2bfN9mDmuW0RK - bndRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFE9Pv98PKYYYIfzJT37yi/c/iFw6/Gl/Yo6s - nmWlvObV3+Kc67rOE4NYRJJqCIH4mSr5cnLEGB1LipENSXXH6Wf8f7/5W9//z38wmuWaJadiZooR - QNx0bdT0737j1w1IBrInm5VNud0vfdlL/vQ9f7x1y0psWkeMpKTmWEIIZtZ1nYioqpk9A9HdZhYm - DRE5Ftdzo/WN4XDYxaBmqytb/uD3f//KN7xenNcQQggnMJ4jz1q+dFgNAtx9993TJ2fFxXM5fqNS - UKYoiqIoiqIovmZUNed2m1lVVV3X5RsA8z16+T1ENBqN5jcJPhEzpxSO/t9w4YXnvvvdf7B9+7ak - AaQxdpZCVVXr6+uDwUCfMmmrKIriZDIGKcAg3RTAydM6uzTtqEkw1XCkO/hofPiRw/elQRuoSx07 - h8o5bRVK8xuYj5ZBzul0iYmZNDpHwYw9kgG1XX/XNS+/+FU9PyAliE8xWIy1q6PFx0c7F0cps8QU - mMVMuy5AYNGk8gJWM1VlEHEO8jUz09wllVQjPFUrshqMx83Gd73sBxZvHUyatb3tvaZJBWAYs2n6 - 0oWsv3rHfP7mtOlZNue8W0zUSWQy4vnbjgZ1E0/fz/NU4ESaghrp0Th6ymnE+Zn8QOcBsjyPVcxZ - s8aAJlLQrKb3pgkWwzzW9CumKbTMEKajv8kwhWNpRq2ZOefEURuCKrwH+XSkO1TV6PW6m/Zf/4W/ - u/efXPTaV132+tN6u+tY+yheQSqUAyABI879imTMBCUlUyMjYyMlY8By+WwyPvX3LAamc6HIEdqM - nMhuxMZkDGObdS8r2fLKwuHmoA1Tt7z2wRv/+mO3fOCw25/6QZm6Tmt2ta9DbM1QOSQANt0kjcA2 - ze02RCKabTwANpcoj/mrpk8aizo2gdJAKptQD8NLdl36im941QXbLqq7hX5ckVTruqqiApF4ihRN - K3HJksGMiBwMMCRVm0VRkhEIpGZkUDUogY1IHMFAapY0IRkoCphJODE6gnpmJiFPTd8vn37WWZed - +5JHx3vufOT2W++++d49d1V1HVxrpIlTIlXWnIaeF6YoyPL+qACUoARVsKD2TEYaOhg5YdVARDBN - BjCcwAwhHD0w2LyTnRSklgCBKRSmlNrYKI77VFgJBjOzq+Ne7gAAIABJREFUqFq5SsxVIhbNkrER - kTEYnIgFBCM2I3RcUwVWJFVLzK7SShr1acCNvGDrFae9avv2LVuu/fxHjxx6rL+ILh7vRB0HNliC - RRCDRTQlCtjSO+OcLc//odf86FLYWo36Hv04FvFuobfUhTGIAGNjYxM4JbBh/scIGc0HF8gAkGM2 - M4BJDSBTCxYA6vV6MWiCGSwmS6ZERMKCvjRUoUbEwA13vHDni553xS0P3/CRGz40cRsRnVFKpJaT - noHHj5zk0wnjWcrvVx2kSug6eIFz0lgTyP7zJ967/Z+d1quHbELGbJwIs6MAndJV+tlYc4MGECJP - R0+TESmYmFJSZlY2lQQkZoZpDnE3NSFiIhZzgIh0XZOAvusD/TSxipZ2LfV+6PU//r6P/+G9h25M - 3DkRwLVxokRwUEA2ry+DMoIpw8ggCqNp+6eE1NlCXS0mt2v5wh/4th87d/GCuN8WXF96fXSsasxM - hPyHMzsxVSIQsRErKSypMZmRBU8MZ85RjCFqZBZFoic50BLb47Ihvh6wgdk479QAkwIcU6+98QvX - raeDUUJMkRnsEeNTNqHOSYxJFczTykZmUIohxeGw/w+3f3z3q87tyXbX1rEzZhjlI0yeAExPpmAg - hZ3Cu9jJp7PzE9g0dpqmx1BjMsrR3QYCmZE20soqX/OZq//upr8+JHttMImcQGCDRtSOotr6xqT2 - WFhwXRPpFF/2TdMygxhE8CwppdBEdfAeh9v1wTDcdfj29139J//8tW/UpIu9rbkvjY3zaLnY7Fx/ - ltsNTDdUo1M607woiqIoiqIoiqIoiqIontWeofu45iOinIcCDAB4doVAfkAM1L0X//L/edf/+47T - Q6TJBP2erR2ib7iMH340PHq4RwZKSVMwCMMLaTxmvGFzpLcApopNI0vTB/n2ttm4pgNrpD6hb+14 - ffzY/o/2T9s2PP8cnLkTbgBXgSoEc1YjkBdSjX3mmNK4Wd/za+9IDCLKAy1iAFP0s/EONVLLw235 - sg6GnWfqYAISMu4mUDMkJiAlmCK2aMe47/71+77YHT6ypFHMxDSPxooBEWpgxxQZACcCDAwF1KDi - aHUFp63mRUGD/npML33rz6FXg1hybzZAFc1j1I+OAxIAVaiBZfYSGRLlYS2FgcVDgcRwgsqvLw73 - N5MhEROPFvr72vDhj37s5//2b1HV8B5cw3FyCLO1LpsG+sjg/aZvJuSkbu9EATAn5DE1lIyFoiiK - py1faEIEIiKiU/Lm5qIoiqIoiqIoiqIoiqIonkG57kbbtgBEJITAzMysqs65EJL3vgtJRBT8/ve/ - /xd/8ZfzL8ao09uBjGGw2c1L89vhQghP/LrN5oUI8424MR692y9X/chPElH+OX8p/27J7S6KoiiK - oiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiK4ithTyiMnhOYe73eqGv+4n3/0YD0dPOMvfNdbPOlj8xM - qhedf/4F553PgJiZGQEpJSMQn+KFqAHHrg2dGUQkxkBm3/LNr/zRH/6h9/zFex0hGqrKdSGCCE8R - 9va1lVKq61pVu66rqgpMXddVvbqJgZy8/y//4533fJGELBmOBlxPIxnq2nddB5gjfMPFl7znD/9o - dWUldgFqUlFsO2YGsZkxM4BnJrQ7Myabp+4l9Ko6hchEAEKK33j5Zb/w1re8/TfeIUxBT+R6yVkF - eU7JTIGHHnm4DaFiLpf5FkVRFEVRFMUzL4TgvQfQtm1d1wDy3z7e+3lEdwhhns+dn8y3AeZnzGye - 550fzN9sGglgYjUFsGvXGe9+97u3bdsWYwSZI4YpRFJK3ntVPaWj5oqiOKWRbcpBA4CcLQfvRdvO - jNmxedfR2C/ZJ//uI53b6GTMjGRKhBijgyMyQHOCWtrUwWNgYqeq+UZs8kgKYkRKD63fd/f+z102 - WBr61U5jiLbc62n4qpM+n+tSStM7x40ce4OSsCnMjMBCzDaP2YURKQCCF2I1UUUQwA3SAjO//KJv - W9qy8N6//b3gNhJNjEF03Om5x8dAChFWzcdQb0b5IGhmzCIiZgY1VQXMaW9hsiSxgpqI1HV/OBwu - Dhbqqi8ijr1zlXOVYyHKEc6cOo1R23YyChuTdrTeHNnojkzCRqRWXTQfVJJJTBRyT7QliMDIjFgB - YzHjZNEUTuAYlmbFog2aAM6JjNMzAWY2s5TsyXuyCdNePzMYYC4f7gmAqWMDSKEaWKgSBjQaK3sE - RbBAPSQc/PsH/uqGez925Uu+64XnvPy0+hw0izzmgeshNEYWhVkEKUVTEacpmpmrvaVOwWw6C7UF - W46TPLlr+GTbNP0MmzYXOcHXkSdQ27W+rsDUxk7RTtqx25Lua+/8wD/8t0998bquPzEvwRKrVcIG - dCGBcug5ZFaMNvdSm1kCMymBRAyEGEEEX6ENSBG9PrqkAlACJ4gx4JDYae1Cb9fyuS95/stedOFL - twy2hbHq2KReTB3yJkVCZqQWCQxGsgSAiPIOkau0E5nktHgzI5AlngczExkMOk0TZ4DBSgY4GKBq - RixCOeneIKg5ORpVQr3Fatu551z8LTvfcCju/9hNH7lrz+171x+RQWx6k6ATciACVL05JIMSOUpA - gpIAhrypd6qEXLDfgATJD2ah0XmTY5ixkW4aOEBunpihycDMzCm048kR8WyB6HiOAGxQOIvsmS1C - ACQVO5rxmZeVGcHYSBhCqEwZFMB5n+xYvZhQYyv1ypFDh3eunP26F31Pr+5/+Ia/SZMGNCY3Pecn - IlUzg3OseiIOVQYk8iTmq67tHKSHxd39i9545U8tjLcN2oEkRlJxlhAsNkzGRgATyMzmwQE0CzRm - Y53t6TnMgCJPq5sQwThXXVbSVlt1MMp5AEdnRiI7GuTkWYkVr7uz+dKduy945fnf+YFP/dfr7r16 - Qx5T3xqrF7akxBSDEUEEaRqjoMTQxIAazZq7p5XhTYAoiACBWkQU47DuDvzhB3/n577nl9q23e52 - xEaNTJxLHYgkn4p8Nevka0tmDRwD06BsAsBGqhbZkZIpAlwyRDUn6siYAOYIGCiaKUijggSYDuOK - kgDMabi1OuuHvv3H//CvDu5Zv7ceVJ11NbvIUTd/I2CAEStBJQliXhGYBhIrAOeARra5nT/8bT+2 - w52/2G4lhTZIrjM/nWgyhuSt0SAgg1lOuyCCYwBQpgBSBXcpgEBMydSYbJ7RTczK02l6kjzv5zgW - P94YD6pB7CJVlJQ4sLI19fo/fu7j1NNosfJOUyI1lqccZE4pEWE++jp/nAQQ+/yemx4Z37vQ38I5 - D1kkapxuEbmNhQKRn5VD2M8ipAYDoKQGgTEo5dxuAiPBcxVCcpUETeJopJOuP7rtvk9/+Ma/Pmh7 - ZKltLBGB8nkyGEkdwVUAELpIjFM9npodAJCCgYQEgjgQgRJqh0abwVa66eD1o4+M3/Qdb3ZdxWYD - txBDZGIGxRjZEQyJkc965scvztk2JVf+69IzeW1JURRFURRFURRFURTF16eTHN19TN/OsdesGEBK - xjx7jxFIPBxd9HNvfvSX374sFTTSyiqaeNoLX7z/6k8M1GLXCqOue23bIho/7huOh1HudiQ2iMG6 - uMWxJRs9snfvY4/R6srK7t31jp0YrsB7YkIyxE4cC9iZDpwDpmnX06FTA8SiJWNyxIRZb33u3GSa - zrsGjYlhEIE4hATnYYYjh/DwQ5NH9qRDazwZL4Fo05AY2/SiH4MiGYEcfDLTmIhhBGWsp7TtistR - Oxg77w+ORpOlRVQOTgCGsRAikIAEeMym+djVpABNB6Hmz6gAMJveXOgJxFC9/H/7+Zvf+ZsrwZPg - rH/5E9sXhi/gGiIY9EwEYAUioLPQboLOF8Sm29Kmud062yjmGwmhXGdZFEVRFEVRFEVRFEVRFEVR - FEXxzCGiXG4j/7fX6xFR0zT9Xj0ej0U8iJxzRPLOd77z93//3Sfqe3Msd1VVXdcByOHcIpIf5Ooe - Ocw7p4mfqO8tiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqKIMYp36+MRmK699loWRnqaFfBz - qkGONoGaAJc87/kAyJ6DpdeJyLGYmkF7vmrbbri48La3vPVv/scHDx05bKahi0AOcRFNJzka5/i5 - yqeUuq6r69rMNCmAjfG4GvYnTfMnf/5nItwmBWCqMM6B1M65GLuubQEwsHvXzj99zx/t3rlzcmSj - V1VBI+BywtCzbYWTGVQV9uaf/dfvfd9f3vfwQ/2qbkNIdiLzHvIukAAB9u7dO00up+fg9l8URVEU - RVEUz2Y5tzv/rOt6Mpn0+/2qqgBsbGxs2bKFmYkoxjgP535S8wjV/J781y4RCXHS5DzHqFu2LP/W - b/3mjh1nGBIIp3SkXFEUz0HGIJ2lPTOMc0s1mYwW+wvaodUYUhf7Yd/4wXv33RF6jc4C5HIoHUgN - OTZt+mROn8zZ0ciZylAQjKCzPpDGb/zj5//+ha966fjAhriekyqEQGbTxNHiSzAGqRHIcqh0XqQM - Y4B1WtZ4M51VLlZAxdQS+9Yv+dPOWb3kJc9/7XV3X+U9mjjh6qRPu4gzMygzRJLXSC6hkj6baGsp - qECG/YVtW1ZPO+201cFpO+qzFvzy4nBhOBzWdY+ZkZBi3khYyBERGZmZmbFxZRUZKVTFSDRwF6jt - qDnSrB0cHdhz4ME9jz20f23/2ujQpNno4qTXr7qm6TSZA9gSW2IVFqklxC4GMIOBFAHNecOAWF6m - RPMA76ec32mNac1lt3kapE1qm88H5mmtlvNup3tQcgGU1G0kP/rvn/rT2+6+8Ttf9oO7Bs9bWdw+ - nthiv5dCUEuqQZznRF0bvfdSVyG0xMQ23RE5p1xPY9z1ObCD5fbHKId2A8axVV9T27a+rlptoyVX - M6okw/ZTd378v3/qv+wND4XFruOu6g1sbAwmKKCYNWXTn0crgW9eqdp2CqAaQBWjDfR7GCz4I0eC - 7wEGbeFZ+jSMY9QY7Fw991tfcuVZS+efVp+JpuZDbrHqJadhNBFmzAqJ2/Rb+Ggg+byDmBRQgk3b - WMyqo9vsMXT+Uwm5QLoYGbEeTb1Vmwa3ExRMroaL5tlAAp8WnS583yvf+Mj6/Tfcee2Nd3/qwMbe - wVIvyGTSNiIYjeKgx75243FLFVW9an3U9dx0yc/XQn4wn5+56cKcM8wOLvnl6fuV1Mi62ERTp8p0 - nEcAm9Zv5/kazPvcbAvJ75lV12cD5+LvIANFNSaKBPZcQblKvTCylYXtLz73VaMj3TW3fdQvxYjW - zMxABOZpU3M8k/iUlAAwi4tt9FQvucUF3fL9r/4X/Y3VQbdQRU8GZcxr+rPlNp+xeYt9EjxfF7Y5 - N3sezEx5sQPQ2QcpADYmdQwodQDYiFLtMHBh0SbVD7/6Jy654Pkf+tR/vefQHZ3biKRcITS2vFy1 - bbfRYGEBxJhMQFDmY1NU7QkPvhIGByiQADIlIuUwxgbZo++76o9/5Nt/MsQt2pKZaIBjD0ARj+cL - nnWm6chPiJGYr+jptm3IZ1bIucBISvkYE40VgGK2tYN0+gCkvh+XdgzP/uZLr/wf1/xlih0jmDcN - 4Gq6OyvBjHWa3q2gmIAqTSeAwYkUYEmOG//dr/ufd/cvGGysSupzMoh2CImVczYEJbLcwirnfRDI - R8DpWBhBZyef80kFcLQ93LwEYLAnfeU5jM3Qq/oiEtB1XWTvEjQ5vemBTx9Ie4NrzGBGMGZnmo47 - 2ZmZmzg+pHtvu//TF1z2wjRKLK4LrQkDmk8sEwlAfIrvWc8UVToacU4GJhDg4dTUEpglpSQVjcJh - WU0Pbtzz3655/wYd6K+6tTCChwhCgxpM+TwfyIf4fLA43k6keUWszcesfCz7WiEDGwM6PTe2nCZD - 4jkgHekmCwv0wPie91315z/yuh8fim9b8s6NR83KcJmsSxrBlM+L5pu7kSqMDSAt6d1FURRFURRF - URRFURRFccKd5Oju2aAAGQQw4jyISjnG2pDH9fL1emKAIC0uy+hwWF5af2TPIjMUgMf2M7a/4JJH - P/vZAcGLNE3jnCONQnjioN78G7/0VGkeKQFT7soEWTRFrIDlVvXRI+HgnePP3V2tLA/P3o3dO7Aw - ACkIICdGlloyAXuIAxFMFZpUve9j2vurycyABAUgIpoSRfXE7B2MECMmLVLEvgdGDz08OnBAN9al - bQeggfMW4+bxpDxECoCJkhkTEYE0Mcwg5GgC7Z25A4t9WFK4NqIbLlz4lp+HFwgjcR6/JpqO5eVE - 7c0IYLBN3zdN254uLjCZwXMiNG039BUYGPrLf+HNMAEEjsAMJBCpBrAxWIgNELCbj81Pr5+Y9fZu - GmxOm2LEJU9b7mmmJxlXK4qiKIqiKIqiKIqiKIqiKIqiKE64qqrG43FVVczctm3XdSIiIm0XB8PF - roshpK6Lb3nb2z70oY/icXeQTf/zdCprxBi9913XEdFwONzY2DCzGGNd123bOufMLKU88na03kdR - FEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFMVXj1k0mYi/+fbb9h86GAAWSZqOO3uZKOo08IwA - g4nIFS960bya8nMsvbjrOueciIQUhUkIa2tru3btevuv/spPvemnvXeWovN127aqxuI1ha/1JB9D - VXNYnYiMx2PxrtfrIYYU9epPfOLmWz83S32AkyrGaGYikmIUYiFSTauLS+/5gz983vkXNuujXlX1 - 6ip1bUoJqiJysrO7N29OX7bSDhmYuWIkM+fdO37j333/D/1wjJ2eoCgOAEQ0j/GDGTPt2befmaEl - t68oiqIoiqIonmn5Zr2qqmKMzjnvvZnlk/aVlRUiylHczrkY4/xk/knlhO/8mQDyXX5Jk3PouljX - 8q53vevSSy91zqnFo3mEVP4QKIriWcHo8eVsc7Cir1zUkJRdz0+wEXzz2duuP9Tt1WGnDNg0t3tW - BzjnMrLOG7dpLCjPviCnM4INpA7g4Ntb7v/M3smDW3vnmKrk3M303OocPPHYZoGdCuWjmdw8rWZs - BIjOOt3s6C3tpGyAwgKQjFhTkORPH5794otfc+tdt44jsU74pJffRkCucU0eXmJVxWpJtmztbd+x - umv7cPuZW8/asXrG8mDVQyxxihCuARbI/8/emwdbclz3mb9zMmu5976tG91orASxESAJgosIcBMF - kuIiihpqKEqyqRgr6BhZIqmwZdkxIUfI4YgJSxzLsh22xxMaSROOkcdyaGSTGkukJEISV4AEQAIk - NhIAAQLEvvX2lnurKjPPmT+y6r7bG9gNdgMN8Hzx4vbtevWqsrKyMrMyq85HQpgBIFXtfb29oo/n - UlBSpSSAqJKygqkmiZSiphWcc06tV14Ad6lTkmmztb5x8OB0332P3PXIvgcf3/vd9em+jjeib6UQ - VDTd6pRATAQvYGFhcuRIU5NDVhNBFbnzcKx+wtyzrJSdgjLoMIduQB/+etCXDvHDs5vXKaAhMZRn - PJ7dvf61B/7snndf/f4fvfL9Ba9tHhwtFctF6UM7a5uuKsfjpUkIoZtF5x1Ue2n3swprcPqjvckS - ALNyURUhxaIqtsKGnzjy8enpXrfUfforf/iN7964Vw7MXACh9MXG/q2VJScBpMzoo5oLACkBEHVz - q3SvPVaApA+sLiDBuIImhGkYF4gRDqjrEZpCZ8XLd73yrVe88xXnv7qKSxO/Quq7FJMokpap8mCW - QPmMqIMy4Fi8UDbdCjQCDIqENJw4PjFdrToe9PAAQL1vVSCOnDJBKKiSMLm6ckUZ0/mj0UVvvfxt - r333527+i6/fc/26PsV1Uoq8okoy01ZrEHFMriorSFhIGPqUL1Q0rIIhR4FjdHfnOdw7sWPTTgXy - LLy8g1C2l7nzfAtK2XWq4Kwxzm0SUwIEFIUEOUY8g1VCbJw6XxZJopuOLly+HBfzfd+699F4Vyzb - fpOqzAxARE+K+lQJUiSBAG6kle71P3LVuy5dfWV6ypWpJEV0SXJ8fWWnjtUJ+BlyKUsM8jqiUELi - uKhQ3zZA9xp47kvjPPq+QgmsXiCJBC7mM7Wj2n3goaev3vn2C6+5+FM3/rdbHvrybPngVDdcobOt - DoRJjRhBBO+REuZ++u8HVjCcigiUGMQJQBRsyuatj9741988+70v/9mVpd2YouJSiUKKz6OS9mQg - w6kaLjFkBQI5zWU4VwYe4F5Sq6QASEAK6lLvMGBoIfAKB0BJhQSIAFxTFsXaVZe+9cavXbc3PRr8 - LGX/hQ5XyFB+cqskBJebJAXAfbKUa145d8dLX3fRm6t9y1UY+1iBorI4kUVBwiD8hizUA9m5m13i - GK5NAUP9wnWVnRhg7ZXiQ0v24mzOjoUmMHsRYedUg5KgQlNMb7z7unXdKxRYQcoKMGuM4k6s/DOT - j4htsfGN+29622Xv31mMODEiZWn3fNpSwVAmpB8wdfoJI0dr71gZChUHMDtu0bFPVMUnZt/9zC2f - +PaB29fOGDdhpgKvSAlgiIqDuOy9J4CQmPO1fUJPUMwno595LOs5w8nQKOQGm/LdI1Q1zlJVkYOm - 1LZu84aHPnfmnWf8xKt+VkJUVNVSlUTaLo1HozY07JCOKIpC4Of/EA3DMAzDMAzDMAzDMAzjRcip - fXZAccg8VZZDC+DylMmR1m0ggJ0rzv2Fv/vU7/zuaL11s5aqEjzDFZe5vY+0Dz1WEasmjakoC+1O - /L2s7Uf6MH/GRcAOBJAjykmVECQ0PIPMpk8//Xi8vaDVpfHZu5fPPherO1GWJA5JkRoowAzvuXDs - HKIgKVRBcKRw7NmBCKGDJAiBHELC+gaeeKLZt3/69BMy3UJMI3IlObCHJImRASj3s0T5iZ/+EQSn - GlVVNQDiyAlRSyxL9dLLLsFkhFHVbOqs4PXR6CxfwPkEdtSfCcbRMn7REZ6LBPVZNcwlMBhJtHPk - qlIl0mQESqhKpAIEJA0SfDVuYlP7Ytie0Hw6UbjfCy3MNNN8p3DDIHBWd0MXVN6GYRiGYRiGYRiG - YRiGYRiGYRjGqScH2gCgqjmUhqqWZdk0zcbG1urq6uOPP/mRj33s1ltvA8CMdPLeAMr7dc5tbm4S - UV3XTdO0bZtDe+T3JabT6Xg8Nm+3YRiGYRiGYRiGYRiGYRiGYRiGYRiGYRiGYRiGYRgnEedc23Xl - aPxXf/VXObh6kgTnkE7M3j0PFZ2/MBBTuvTSS5G1PS+6wMreeyRJAESbppksL8l01kynP/1TH/z9 - 3//9m75xKxO6tmVmkJOUnu/0Hk6UVDh2hRcRESmdi5LIcUrpd/+v3wdDBa7wKUYRAZDldgSBgkAe - +K1/8fE3vv717XRKqqQy3drKSrwmNYCeHMfFySOEwMxVWWxMpz/69ne86Q1XXX/jV3HUKDTfH/kS - cM4liW3bjvIzyfQivAQMwzAMwzAM43SmLEvkGzfAe59Scs4xs3Muq7irqmrbFsPN7FFhZum1Vkgp - zb8zQQTe49/9u3/7pje9ESRbW1vjca0xAebtNgzjtEIUEGJWQHNQXCFF6X3bdkBJXhLiwbDvlm/f - oJOQOPsUsyZVssAbEMALCQ7RhAoUSkoACJIV3prlbZJc2qQDX7n7+ve//iVxf0eJfVl10p1eo0Wn - HzIMIikgNPgtkUevFjTsw5ds3FQSUYhLrAoVgJ1S6hTsz93xknPPeOl9+/fXZZFSkFN5ApyUPoxr - jJfqlXN3n3/J+Ze9ZNdLd1a7lv1qGetSqkLqQko/dYhEQgJOBRKS6rZ3kMkBoHlClZgov4NPRI4E - okqAMARCKAiqSs7FJiaNROQKv8TYVUlXNS/f8+rgp61sPLnxyH2P3HX3d+94aN8D61vrKyNKHklS - kCiAY1ZoGxrviKgf3Mve4WceOOzt3X2s6bi9aJGFPBcwKwPipD9CFSSCeMC14vZ/6qb/95777/3g - O37+rF2XbAbQrKuLqh6NU0jrW+ulK8uyTBLyRrAY+VqPvvMXFHLEFwAsBKiw5+g64bhFm1rFVMz+ - +LP/5YYHPxMnTSqoKHxC6JpuZQKVlGO/kzKymRssYKXDij8DoizzMdu2BQSjmlLSGDAajb0QpaJd - T+fvuOBdb3/fa17y+pW4cxSWKRRhI4gLZVWVnrsmQLuqKKOm3mbbDwWrcERvzs3+3Zjr5GEFypVz - 1lIe9XMRUs6eeAUSx+2MEwGTkhMSTQwFKzvi1HBJy2E2O3N0/s/98IevvvT11932V7c/cvOG7uu6 - 2RTwI9Sjcjbt0qwZ1yPVBJK8F1I+Us5MQzKOKdndTnBvJSfSabPxjOf9GNAglh2+z3eZze4CwdxK - 3DdYAoqJNF+SiQAFqRC7KCjApZZpFgg4b+mCl5/3qsefvFdViSjfDeQLXgQnK5JHR3CkJQs1WNbV - t7zyR6ZPdEu6gxRKEEpCEIITQDwUypDsbD7kVmIuSueFbGElKFhIcix7OnQCgvqVGABpjurPgIj2 - dnAlCClrJOXZ+nS12JE24ln1RR9488/tumvX5+/4y1nXjtfKA+1GWaIo3bRNEagqAFDpOwAAjkjt - 8SKUC3Ifi59yXH6CImKVrr3l02v17rdc+M4d2B02W3VZHvHCruGGkyVC25J2zp2sXClkVTMxSARI - pEoCEtp2pTPUAR7qhiXCyPUJs/p2I6zsPOvS867c/8CTznNA4gJJQcP8rIIFIMRtXUJfz4gCpHDi - 0bg3v/7ttFliy5VUAxwlNze8MMMl2E7TwLYIHFAeVMceygqHftJYspiccWgh/wHsHSonSU2KVVF6 - 9UGjW6IH9t1731N3hWKLmL2QI59S8yy9zKJERLU+cvChOx6+7S0vOZOmUvJowYoBYH4tE/TIKt84 - BiQYrikRYa4UJE4jusSzxu3/4tev/eq91y2dXURp2ybUoyKkqEyAKPX3UvlKEXDu8LsTPMtDqTjk - z56vOXlWzh0Dpb53O68rsl+FE0a+7LqIIsqa/NW0XRJ9AAAgAElEQVStn9oz2XPVS35YuonjqpsF - gRI7HNG7IAXM220YhmEYhmEYhmEYhmEYp4xTq+7GfA5imAshAgMKJhJQnvTi4dcgBYmgqrFn5+5/ - 9Pf3/ua/39EKpZQ0uhGd8ZbX77v2unbvwUk9apqZqsRjH4AeOlI5kNXVIE1M24lTkihMYIJTTYII - qCeMPIUYvTAFis3B7omDT912vx+Py/FkcvY5GI2wsozlJfgCHBEaJAWXeeIGACRBBZqgQIqYTrF/ - X3rq6Wbf/ri1hRidSK0hT2uykqow2JMnX2joFBASDDPc3M8CCgBlSZIcmJxrJU0Bd8ZOnHUWkm5u - Nlqt6tpqu2MJdd3PeQ6TQgRxAMB5bmkhWwAIgfMYrQJAHH7nAWgQLjlAkoSKC0A6uDaGMReOEBxR - UQZF6WuFApQgeQ/5H+cECVCA5g7vQ0qJG75sp4fM220YhmEYhmEYhmEYhmEYhmEYhvHcEWOsqkpE - 2ratqsp7P5vNtra2XFmNq8kd37zrox/96P33P0QEZsizeY/s6BRF0XVdTgCAvF8ARMTMWd2tquPx - GP37G/bqi2EYhmEYhmEYhmEYhmEYhmEYhmEYhmEYhmEYhmEYJ4f8aGLXdddff71AirLsQjyWfOF7 - wswpJQIIRNCzztwzj3xypG3iBQ0RRaiEMB6P4yzGLlSFF2CrbX/913/9Az/zszIc+Gno7QZQFEWM - kUFRUlmWzLyxtenr6p577vnCF69PgC9dDJG5kJS89zF23vsUxZNTTb/ysY/9zAd+qt2aLo0nKYXQ - dSJSFFUXEsgRez2Jz9oejV42sFCi9BlLF0GccymlyWi8Pp1+9KMfvf7Gr3rPMZ6cdA7yAxCRAjFG - BdY3N+qdO3FEUg3DMAzDMAzDOKWISNZ85hteVXXOzcWfeZ22bb33qpqOfcuWXd35Lb+8WlEUIlGS - MuM3fuOfv/fH35NSCl27NKpj7GhRl2T6K8MwTgOEhAGIF/QKTyYB0HXBuzKpm3VbstTe/cAdT0wf - 7iaz7IslZZIcs1eQzZACxbb5O28biAQGpB8KVLDCqygQNFGNr977lTe++poz/PmIKUigI+IjG4sI - ieYRJBLtzeiLv+/DJgP9CqRM4gEotYkjSFQ8oYB6z6wpatONCnfFpZfde93Xq2oyk00mkWelWT0e - qm78t67+hYt3XH7mjj2sRerEia/TaOKWZpszUs/CqhQVzMTk2CGkjhiOs56bgcGeS6lvUnVhoWpM - feIJWbLtCERgCYkUjisiokQSkwix+qViqZ26MtZLxe5LX37VO14Zn1h/7PGNR26+87rv7v32U5uP - VBPSKm41Uy2wtFTMZmGuQRwE3sdUIZIyepVyzGlCPn1zoy0WJOSDVVfAXoUJLEBeVxAFVLIWLLXc - u/9b//sn/uV7f+T9V17wuj2js2YH2iSp8GOlpKzsqZtFdvM0sYBeNKOOWVXPCtlW1WaBfdoKU6JY - 7fIHZ/v2Nk9/6rOf/Objt6UdrmFN027EoWCo9gJjZUCExZMWUE4s6oJyzHXUYRdA9mimDmUBJISp - juuJK+uNfU1Fy8vujDe+9i3XXPmOM4tz435hHfmyJiaqWSQhJmKtHKlq1FbglBypgBQuDAbKHFZ9 - vj/WrCkGKxjgHAL9WJ/bmaO9xFdJs/JZqK8iVMGqrEKqHuSUOGgidaVvujB2KzQNabN51Y6rz//h - Cy5/+NV//Y1PP9p9tyqbSO3mgc47mkyWYtsN0fHFCeZV/UK90xdvocMWZw4xbhIRtHf1bjVTZYVj - nOAsiVBaNARj2KX2pmHGfB+kJHCKXG1GQmIRYpfglKuiljbJFEVRkJt03bQejV528cs+/0Qv6mbm - GEVVmZlPkiNUCZHhGCziOn3VRVeOaaV2NQVVUiFRkIBZGeqgEBKhpNTX8MOxPhMszJhrxnNG5BD4 - /Z/3OoR+3qLf2rzYcF9skquLKJ167qLWxRnXvOJ9a3TGn9/03x8/8NB4ZRkUullTeHhGCHB80gTa - iQGQE1KVBDgQU4LTJkpZ8Z9+7Y/W1lZes/LWUbU2m20uTZYlvNC7EDI4IPIZYsz16hD0nvW8hgMn - 5VY5QlnBpAXlNkVzlBkVJCCXeQZKKNW+SilKI6+5/Oob7v2bVGrKF0v2YisAFjhAQXD50lHkXh/m - RSIVvh1dceHrZMOPymWKmigml8AQiA79h0N6c8rbqnjNxY2UFCxCOXlEmLeJgOLQm2QR+oG7a2bt - m4KEFCURWBGmbvOme760gQNaKiXxxB4aREWUHFSPlIw8E7kHkwit67727etee9FrJ9jhqFYhAJp7 - gwtO91NzoC8eWHleUEmzvTvnHbN3bVIhjVVoyo2v3f2FG7/1WR53cLS+EVaXaoLrovjKhZTb2UEH - g96O8qybncU+ak7O82XvzqQsgVFwVnYTIqEck86QgoyL8eZsS8aqmj59wyd3rZ5x4RlX7Dvw5Jrb - U4zqkCIzK6RvAYdus3m7DcMwDMMwDMMwDMMwDOPUccrV3fNpcmg/7UkEBZTm04riFkaoK+a2baqq - xNLSgw5+UpXtRlX4RkO9tLzzyisP3nTL+vrBFV+EEAr+nlNpx06YQLmfNiYABNGomhgovCdOEiUE - LYsihpBSGgWesAua4izo+nTfI48Gx6nwKD1GpR/Xvq58UXnyDs4rQVViSF0IXYMY1vft9yKFwosU - KY2hXvNkqmA+1OsKEElMXejKfv6vHx9V6udFs+fbeU0diEQoNaoYT864+iqMx9IEX1VhMnq0aV7z - C/8QZd2f4v40CBSO0E9dD8u3R5V1Yc1+19TPMBWcIirPgalDgCjAYz9iIESwR9sF7z2IGNR0LZcV - gAIgIEIS4BjQo3u7AekfR1qUdtNCcgzDMAzDMAzDMAzDMAzDMAzDMIxTjHMOQIwxB93Y2try3td1 - HRLddtttH/7wh/ft28Dw3oJjF+XkBFIMIczjdzjnQghlWYpIjLFt25ykoijymvmLYRiGYRiGYRiG - YRiGYRiGYRiGYRiGYRiGYRiGYRjGyYKINjY2vnX3XchmMmY8K+8yM+vgACAiqK6treFFGjqjbdvJ - ZDKbzVS1KIqu65IKOVc49853/Og73/b2az//uXFVT9sG4KIsQ9c830neRgkgCilVRUEgYooxjkYj - V5W/87v/5xBvhaAsIgSk3t4dCWDGm656wz/+1V8tmakeTbc2vPfOuaqquhBDiuPxuG1bd5oF3M+P - 4GqSWduORqP3vOc9LznvvPsffvhkbV/nEXJ6mRA8Iz8JLNh2aBiGYRiGYRiG8RzAzCEE55xzLr8t - yMz5pibG6JzLK8QYMbg5n2Frzrns8K6qKsaYkjrGr/6jv/+3P/Sz6+sH6roG0HazoiieeTuGYRjP - NSQgFSWGI+XsQiNVJZAys+9iUo9As5tu+1KquuhECaQg8ay9/lPnQmIwdK4UHbavUBYlgOAETrJf - FgACwuPdI3c89PV3nHNuFYsuRu890rMNoPwDAGcnrm776kgXYxIPWUeyoAQmVk0gJQYgBKfCClYG - uyReI1903sUFimlKgkjuFI7XOSmv2PPa8/iSIpaxSwznyXfTMN0fxqM1zqJtQFVFYtKURIuqFEki - klJSBRFlY3dumokIlBYaavWlA6DSS7WhSZUBOOfzllUFCibyzrNzW/v3V3W55JdjkBjc2NN5xequ - nedd8a4r1+XJex6+/aa7vvjw09+ZVJNI7YGn13lECZrlqDktqnhGe3c2OOJwebZufxmcpvnsACqs - Q3hy6j3EvmQBMXNHwY26fVsP/7cv/N/fvfSun7jyp85Zeknqmrbp1lZ3S6DNrY2qKPvg1SpCqgQB - Y7AuP/sY5c83c3ulkCyEWhcAicXV0BGemj76dHziP/5/v/fk7OG0FDrq2hDWVqvUdEG0LGl9qvVo - e5vam06VEHGEAFKH8OCOAQITHDthDlMI6Srvvmj3K9/9hp+4bM/l6SC5Wb02WpOoKaUoLTDYa0Wc - c0SaVPtKEkC2d+f0kwCStbtKDFCWvgMQMB9Sxx7lczG1WUwudLg7mfKZVyUiZjhlsJJoil1dVRTh - tHKubvZvVn7XWy5598sueuVnbvr0l+/64iYd2DFOiUOKU2GhhYJOgzC7d2cSAIgevuujIgqm3lZO - pE07RZ5rOGG23caLR3tIISch7Q2og1eYSJ0TR2BWJuUuNpX3SaOCPCOJ0+jP33NBWdaqyMZu9IHx - ifl73BccP4VHN8OEXMnVhedcosEVRZWiUFYawznxAAipLw7DEQHz/y6mZPGoQYPCGUqHGn2ln9wB - KwlpL24GMYBsrieVXHE5yZtIKYlAwK7Q0cjXr7ngzePl1f/y1T94tHlAgXJUg0JIyROSHHu2j3Bc - 5SMfGEFVuC9YgEBImUCU1GkTZsT6J1/6413vPP/88uKV0VpzsPGuPN6tn4aQQFUpf8tuAmaFkHA+ - h1mUQOjdC4ShOwBoX2ksXAnS+3+3rw4OsS192YV47p6LvCsEIr3vmVl75UEiBhINKeq3RVAGKZxw - kYqX7rmsxmrFI1bfpJn3XgsKqXPEC7vrPfHDoeUeiDCgYNq+ggS9vVtAMth8U1+YSXrj/AkUnBcP - GlNRlgBCCgVVVVU8uPXQnQ/fknyXGByVRRmJSUWUPWk6gUxiBYMcaRStK/7OU3c/dODey4rXaEhO - ODESQ0lY4uEVrHFsWCGamzaddyeUoIRImooUinZv99gXb712k/b6Smcheg8l6pqWmVPXVZVLKQnl - 7l/uuQllm/qJJmZoqk6H8ad87c8bKd7+V4iQkpa+mG2GwkVXICiKMj69/uBnvvKnP/2uXavjc1JS - tLlp0cN6Pqzc342aXd4wDMMwDMMwDMMwDMMwTgGnVt1NgBuc3ZQnPQQgEKPL0wmQAoBuO5u7rquq - MRRg/9p/9k9u/q2Pn89KTVv7Ghv7ceEFKwf2H/jG7eSJI4jomScu+6nK7VVke7lynibJQ4/s8hCl - iCBGAVAQlQWHEBzgnQORxJBfByqAmjVqlC6kTmVDlUlJlGkzKjMKsCNmhYhARAR76ipJkpiYqHBE - gISUFAp4wDEDIikoQRmFg6aE+cS2sBCUKFGeBNQ8S8SETpMbjXdefDFU0SUmXxfjh6Zbr/mn/xRF - BVfkA0wAU55wEgigDN6eb3Y4dJ5xOHfD4wiAomulrNmJlswd1DO55DGNGHMMsxGPxt6BNaUIUF1U - Mdu3BQA8sRISHWV+kftlDAIoP4oEJQzzSL382zAMwzAMwzAMwzAMwzAMwzAMwzjViIiqishkMgkh - MPNkMjl48OCXb/jaL330IyEoORCoKIqm6aKk/lXGI95ifRYvOOT9Zns3gK7rcnryi4g5VmAWeHdd - V5Yv5FfLDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMM4/bj//vv37t8HIMbox+PYNM/igcje - jzL8h4BxVfNpEDn6VOCcI2ZAp9Mt731VVQJVojZ0bdd85CO/+PnrvtS0DYHYuRjC853ew0kpZV8d - RFNKShhPlm7/5p2f/OQnwXBEoYsgB9WyqNvQiGhVVV3blmX5b/7Vv56MxinE2IWl8VhEQggRMSUZ - jUZg7mKsy/LIWC6nlMMdOQuQQlVDCEVZL5XFZtNUk/GHPvShj//2b5+69IggO/wMwzAMwzAMw3ju - KYpCRLJyuyxLVc0SUO99CGGuD3xmb7dzLqWUt0NEXdepal2Xf+d/+tBHPvKRlNLS0tJsNquqgrQ4 - 6hYGU+ML1aNpGMYLHwFlOZxn7YQFJARUfnnWBTCKyn3n4XsefPL+tKPTAki9vpHRR8JNw3hLFn5n - zxzQhy9mSAKEwIDTLAuURCCiSKkr26/f89W37HrbEq9CVUmUDrfnGou43jw7XzD4cxWYj30pQJDe - YpeEWOChOe5yXiu6RBKlrpdjSGuTXaurO9bDY8pQllNnyCQlEi/CUTV2Ck1wzru6mFDXRSC/Mi/K - RKzEgFIz65i9c2XhmUjzu/YiIqIAiEAMyc5fYoWGpEQEKHGfRw4EIMYZEXEv/s6tv4ak5dJYKP9f - vYhLrpSixiSlslR/xjm7f+iCNz24//5b77rl7ge+xemxlg9MsZHStq77GXTDrJKFgjlmdR88e74+ - HaIwJOUi+2khORR2YFaCkkCZQBDaapqdq6ubB9aruhTeuOnOL8ij6QPX/O1z97y0aZqN2YHaLZMn - ZobIsC8SsIJzvsgRduoXFqRD3QL08cBzdlFCgals3PvY3X/4mf/YLm/K6iy4RmLcMRqtb8ycg3MI - UddWR9ONmWcGSeIIinlbrKAEHG0AWQgQMKFt4UVKHqm4natnvvYlb3rfK3963Kz4gyWzJ0ezONWE - tg2TeiQiRN45p6opJhFldswQyqppJlkoA4pFM+fcQCkkCx3Exb7isfqNrMSkIICU/XCuiXTb3kkS - SQAop8Jx1255XyTHW20oirpyS1tPbuwYX/ihN370lWf/0J/f8MlvP32rrjYySgK4Qc0tBNa54Hdh - /9sHIqSHZSbhUAWsqqpCSGbtNKmcsA9bmRVAkfvSWZcqpA6iJEK5+CsrSIVVhNA5BUBa+ORc8gCD - IpCasKnLpfjQqUA9kZfWr66dtTxe3RceEYFzOcEnlsDvCcGRpEInHuMzdp7tinpza1r6ghBJ2UlB - SkBSjkIBAGtByeN47xr6+kTpKELTfAYFfvjd4AYnYURC6iXKYKiLQep6ooQmzSShk1iUaxdedMWb - /ds/+40/33vw8cIXs+5gVFQjSvGkZVPi7L8lJDhhYlXSpGCvgjRrm6d17x9/6T/93R/7qJ9VhYyI - 6QV9S6WD50B7m3W+mkRJ502HgqVv95mlYCmoP9FxYUvcX2sEUARUOZJy7JRdxVwQijPPPHPf+sPK - SIDXkoRASfs/EVYQ+momEYQhDCdgZRb/sgteTqGQxF1sA1qUVXIaU3JaOp3r2UVpu4pLnPovKsBc - sauDzbdfQPO9DoJeJZrbu49d771I0aRI4lTBnKAsd3z75n3hCRknkexvF8RQOZ5qSjhRw7YwiNgF - ieJ0U/fdfPf1l115RT5vrEgkysqaSEXBQsz6A5b/JwgpK+VmZ1EiLWDXSlSmyGlfu/erd9/w6Mb9 - foduNamouRwXs3bGDO9ZGhRgSBLiRKwMUhDE5R4+cKIG78WBLCIwMwCR5+E8KiE6IYUTZIu3EoQE - gEuQgFgmGmFKTVn5OqU01WrV3fngLWffdsm7r/pgO2u9kKdC+nKugFDfBwBM2m0YhmEYhmEYhmEY - hmEYp4znYvQtR+0fxjK3lx8y3aQCggJFWWo/J+JQFz/0a//4QFVKPZFZwvJOhEiXX77jVa94uuvE - u5g0T6goYZh1gNDCYKv2EypKSIzER0xtgghEQEqiSQGwQ+HgAVFNIWXNdkwpxgigYhRA6joNwYdY - hTRJspx0OchKh6VGdwFrgkmUURvrNk6iLCtWCZi1vouVqlPRkCQkBgqg8g5AElEFuT5btud8kceR - h1lSIOVfDnPeHUFXl3DphZgsCXmUkwNdaNZWUHuUZSDGoOXeHjk+gekGnp+wsuIYegO75ImfCBQe - wGhUAYLYpdg558CsIm5etuYvFQ4/h7M4G83QYVrshfzAg2EYhmEYhmEYhmEYhmEYhmEYxgsPVS3L - 0nvfdXE2a70vDx7c+JM//bO/90u/1HWqCihS0qbpTu5+55E+sr3be58TQwtv9KpqXm7ebsMwDMMw - DMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwnjWk2z+ZLgQu/B133qmAcx5AnDU4WsT/70mOE83MTL0H - hubBlYfdKSFLJr7PA3necc5tbmx470ejERGllFKIoW0L50n0bT9yzetf+zoCPLOkdMJqilOPqmaV - XYxRCcw83dr6xCc+0YUEgYpCQRBmbkPjnBORrm094d//239z0UUXOedCCOPxuG3bHJGGmYuiiDGu - r69PJpPn+/gOR1Wrqkohtm07GY2b6ex9P/ZeD+BQ/8j3A3FfqhUgRwosLS1hiD/zHIvMDcMwDMMw - DOMHGVWNMTIzM1dVlVICkFJS1fw9/zYLF+nYWs6sPsor5JWdo/e8+52/9mu/RkRNM81L8kbylg3D - ME4fBBg8l1navD0cFzXGFHxJrW7e9K0vpXETKIUIZNMwSTauCYbAuCSkOEysmO2PblC9JurjIUNB - ouykwca9T3zzyfaRKQ4yUwgJ2Vbb//Sb2d4iyXFbS1/McB5h1fn37cGlubV3URzImq3qbog3LeRI - NDEcJx7r8llr53piKk55yj0XDMfqC19WvgI4pSQC7733nhlwTKRKENWk4qvaOadKMcauizGKCIic - c4VzBfMQT1tJpFf/zj+z4julkFJgl0ehBUiAMhM7OOeSaowppeSc8wU7BWLiwHWsV+iMqlmtNndc - vva6n37rh//n9/6DD77p53eFl65150zCSpVGTjwR5Vjf2svGOZ+X/AM6JL43KZN6J8zaRyDXhV+x - elJPABSafwgKCFiZYkzMPB5XBw4erMZFpLbRxq2kbz719T/4i9/76n3XL51TYySzMB2NqighXz5Z - Ee2yhJVUKR2n+pSGn1ONEAv113UfK105ZxTgt6/94aof8hlALusJlJRDS5uh3rrlwRv/6xf+cLPe - v657G9oMEitH3XQ2rp33pARmbG40ZVn1NR5DCIkhhx5trqbmDmAnYEACxr4a00q5tXzZztf8xBt+ - 5iff/DMr3Rkr2FmlmjqCUIwhaVxZWRIR5xyzDyGEkMBUFFW2ZvL2zAtDmcWzMtRnJy6UWXk+NcMq - cwHzoT/DUPLi57bxl1k578gJO4VHdpcTgKQxSggalaApeWZAE4mvvDCR8FKxo+5Wsbe6cs8bfv49 - v/i2K96z3J1Bm1T356IvV4u5NySLZSjz+WLIByKUF+Rg6qCsvafeLq+ENgTVpHrC/eRcWgBipRxd - n3WwGmv+IdI+z3P+5CxiYVJ2wk6YgNG4FqQutQJREu9LjVJotaPePaIlVSjlWgUiIjKfz+Lv01nQ - TNOknlB00uC8sy/S4Lz3xJqrlOwlZQip9tfIYp3ff9LwSXzEb/vQ9bndXPjJbatQL4eWYxwFaS5S - XBZ1nuIpXElRIa50Yx8n17zqvW99xXv3VOd1BxIlVxTUJT1ELksCiv1eCM8ijL4qBKpMcOycA5EQ - UlJHUo/LjXb/ffvu+tRX/mS93KtLQUlo23idD4EXLm4WGk7ZC6QvocNlkmskBYRESfPyXP5zg8LQ - bJgAlPXQoyPJm6rqUlULeJml8/dc6LXUXuGQ7eAAeLuGGQp3f0XnmgZgcSvF2oSWGc6VBZckFLuu - c87l9Xlo9giDgHx+OMgtkQog25NhOq//B9nC4QXlEI/GC57jrTRc4ULoUlLnXKKwHp6++b6vNMXB - CFFFQUyKBGFPQK/hOH6EECSBieFSCqmc3vLtG6Y8bdEBESS9AGMoG3ziF+8PIKRgJVYWQAisjsR5 - ppRaqrR1Ww9v3Pu5r38Gy7IZZ1xRCBJCcERVVZBKPeKmDUJDUwUceiEfsq/FnicrO/FFqqswGrXL - 42ZtabZzaeuMpY1dy5u7V7Z2r0737GjO2TE7b2dz/srm2ctbe5a39ixPz1ye7lqa7Vqa7Rw3a1W3 - XMZRkWqXuyUD8z7t4iMcupjI7wGzMg1/OFzch1wFdV00jVSjggvMZhEBk1G53m1Uu/0Xb732O3vv - at1GMaFOWs/zvOi73HOB94unhjAMwzAMwzAMwzAMwzCM0wl/6nfBmA/wLYzzFcj+Zz7yV1FS4R1E - oQxyL/vVf/LQb/3rs+qSuwZlDdnEq69w6we6x/eVsfXsWmm9Y3bStfAAV5jNUDFYmAYjtJBEBgAv - cP20oiwMZUqe25f5mC31H9nn7YbFKlj873zJ/FA1HvrKkPYe7v5PdMGGnRfElDNICaL9BL5KL+3W - /BAABAQicoAvXBciCEQg51NZrb3hdZhUEDAXQbA+Kl/1v/wKlqrEPk/I0PZxMgjID5nQ9sFTf6gM - 6lemw6Y6CCD4kqAAuM4DuOX2+QUDZemG/5Jb+EOHvFmHo3Dk2Z8PDwsOzyvDMAzjhFBVAlSR3wR2 - VqUahmEYhmEYhmEYhmEYhgEA8N63beu9d8613YyICl+FELjwUUWIY0hFNQop/R+/83v/4T/8zvCS - HeTQ1070+F5hnUPUh+HIsQ5DCM65NERjzAtjjDFGVT0s6sczRAAxDMMwDMMwDMMwDMMwDMMwDMMw - DMMwDMMwDMMwDMP4nugQwoIODcFM3rUp3vvgA1llxiBRQTrh0PYEEEiJstuMCarYaqbsKHah9CUR - TdumHo+aGFJKhXMvaJmxQHzpBSopggBVIvLkGBzarhyNf/kjH73hqzclSQSnz0JccIpxzjVNUxWF - K3yKUpTV+vr6O9/xzq997eYvfvn6Ngk57pKIRgBEfYCen3zfj3/g/e+XENQX+WlYJp9XkARAiTAu - qxTCqT65R8YNf+Y95l9671PoJIaS+eWXXHzFZS+74+57suMC3BfdZ5lwUpFIRKICgnMOGr331GtU - gN6YNU/6aVckDOMFR37knohijN57DAavZ838gf+TlMDniBBCURRZUFoURd8K8/GaQowTYl5Cctn7 - Povci5icM6fz1ZQNu6dzCg3DOE4WndnOOQAiktvB3D2YX+lVVbVti8HGvfglRyrD4X10BqCkzvsU - onOUYiTgPe9+58d/458zBEnqotSYPHkIBGDyR3bz9YXgjTMM40UNK6j3HxOyBU0JgkRlUh8fP3jv - rY/cMFtbT8IFs6YoBEYEkCAYfIqkAkQAfbBg9JWmEyiBHRLQOSSCTzmebiwcd13Ucbj29j/7uWv2 - TDaKmpYjgpKCBMqACHwW2YJESYCsBRX02tQfOHQwpisgWUadBc8kh7cw2+cUToUA1SwulcRIGnnE - UacluTCrzlu68I59S+tdUxw1LPLJI0rCMI8g56MAACAASURBVDisqqrimCXF4Taqt5LncTICI8Us - oiVSyl5r4LCb+8EmuOheXliyLQzM3tB5s+sAsDCDQSDRlEuXA4AI1QSmskKh00gk55WXnHXBS19/ - 4dtvf+Dmz9/+6fv33ZlWphhLCEmyVRYutJ0jjKo6hbbrtKipJRWCEzjJG5asgRZimZuhGU4Alf5s - MqBQAuUhRAUU7KASE1DUHCVkOepUZ7PVtkPzn69/5Mnw9Nsvf7+0vLG1vlwvxdRBlFFyohhjVXMs - dauZ1VRsDz0CGKSqQ5BwXsjSbMA9olydPIRY+70kp31AdChDHZCV3gEkgECzdp1DompUBpkl6Rjq - ve9mjdSqy93nv/nXf3nHnz6C+3g5EUfqUBOElBw0pT6GuMJ5DRJ4EAlnkaT2lk10DVbWxgfCtI2y - PHbSJg1aFqWk6IUKKdx6+aZLfvTH3/TBFdpRPFX7VEVJADliUXj2AMe2I4JIHIZeCJCkcW6wXch/ - EQxlctDryqEdzgVh6mE1Xl9JLnzmHciiXzNvX4a48AoQHPWVdh7yhqho1uVSFBGAIboyXt63+dSO - cs/PXPPhs3a89Nob/+LAgcdlsj9yZPYpBC4hQFJUBbQFwALO6mCQ0KCDFoKCBcwUnUoSgKAKUFIm - Zh9E29RK6gpShZ6QDHveixYAlBayd7jMta8kQVCA84AYRFiEU84oIYGoqitoqT8HIRYlhxAvWL3w - 7kduLdeqVlvnoAmqykwKhYKUGXPLO6NvB48XUtQlNLbSukvOv9S13ofSiXjyST3gc0OcA+KzVMgB - +4cSsl3/kwgW24LtT4CHxvQQhv8fnlpGVgjwUHLyMhGN7AkQjeLIOxHtZExL9NjoJ1/5d5aa+i9v - /KO2lpl2jaKsoZ0nZUIHzKthB0BPRC9MWQiRtQFMSZA0j7WpKlQhqa1HVTvb+vqDN45XJ+973U+t - PLFrLMtdGUWCgp2wU3bMETER+lwCMQCkLDI4MnOeN5RzJZC9v/3Z0dzVEcD1bVYfvIZZRXsfgyhY - INnaoMORDo0dA4A6AMxF7BrneVJUZy1fUMeVxk/JJ0UnApYSACHleolzl44JUNJeJ0FwmnDR2RfF - jbDkxxqDA1NETUWuiHLJOewa5OFwZC5T6A9q+9i367nDbo2zmPxErqzTFslFTxnzfuwR12DfCisr - iTARFz4QK0LV3PnENx6b3dtUQRycMEVPJIljp9ERD83G8WaUEtRzUBRKVUENZKM78JV7v/ojF763 - lDJMA3HJZQEiKCjw4GR/MZyIUwUJ1DmFAOIAMEnhVASNL1PDzczt/9SNf7Q1etJ5TYrIihIugZTQ - BhACBAWSAhBHyiBSEUEkcs5pit5RUqSkAjjvlB2JMrM2ytHXOq7jeMnvOG/HBeftPn/X8p6lcml5 - eXVpaaUqJ875/r5ANaRus9nY3DxwcHP/U/ueePzJx57aePLx9Ydi3aWiaXkr6FQZVMCDAWVlVY1R - RkvV+qxVQjUqUpeA/MxHLsw9snAPyJrt2rlb3/dyc7Gf94GV0cZQlghtUELhoNA2dcXE75/uLybt - f//yH37sf7igmPozqlU0Ac6JlkKEvoOU+4dyQp0HwzAMwzAMwzAMwzAMwzCOk+dA3b3AoYZmd7Tl - AvjCQYAUUXiMRmjj3pXlcu/mqrq6dIBot7Xj6qtmX7llfeuhHcQl+SZGTqhqSELToCiAlEd1eTBo - 57n5wxJ08sfEv+erU4etoP27d9sv+dF2ig8VV6sCmIY4HteYtR10yrR6yQU4+8w467wfCRcHfTHd - sYrCJWYFkqJYeJoCQG/vxmELD/k/zdc8cr38sNHhy4/wfB/t+/E/o53XPMXPtBiGYRiGYRiGYRiG - YRiGYRiGYfyA0jTNaDQKIbRtOxqPYoxd19V1PevalJTJV5Vv2+5XfuVX/vqvP3sSIymp6mQy2dra - mi/JYUEAeO+9903TMLOIdF1XluVJ27FhGIZhGIZhGIZhGIZhGIZhGIZhGIZhGIZhGIZhGEYOg9+H - VIYQSAd7t+N77/8OgJTlC8PKJ779QZxCUIUAe/ftu+j8C5h52jaVL7z3XQxEXBQuq55fHOhCvJQY - Ql1VoQvveee7zj7z7P2b6xvTmfc+xu75TeQipGAiz5zFOSmlGOPK8vLLX3bZ//MH/+ne79z38X/5 - 239+7Wc8oI5i0hSlZKyMRv/bb/xG6kLpC1Ltum5cj1JKuTgdtv3TDRGJACkXzhPIEfmyesNVV995 - 9z0AFKoyL71Oe2HMCaCqzrkkCQAx2i5e+tLzvfcc05G5IVnMYxjG9wcRNU1T1/XcoDxXcp4o3vuU - 0tz0Of/ygmDR2z3XeL+wDsEwDMMwnjW5G5Cl3THG3Kbn25wQQlmW3ntVZea2bed9hhNANcXIDBEl - whVXvPxffPw3V1aWQgin4nAMwzBOFYcIeglQIWnS1qR2N9/8lVBtTVNbcJGiMGXx8KJzcf5NDltC - vYZQSAFCoizw9ASIQFKsamw2B7/52DcPyN6x3xXbrg8QfDi95VGOP2jvixo9xueRZCExqThF5G0l - pCIpERBZUchotd6J6F196pN+VEjm8ZVpe4B68Y715EXGPlTUethd8WHZ2IuIpU+bj3A0ef15b33F - xa+4/aEbr73lTx9b/265jIPtQUFgp3VdphA3tprCoxxxKzL3QwuBtv3K24rD/h/KFsLeQLgd+/qQ - BM1Xnh+KUCVPbuzfU/lPX/fJzb3t//jDf0sOpGnYrIpaOxURCBVlGbSbbjb1qOL4vfs5eXYgzwuc - eghgVmTtYg48ruD5Ja8kpJqNmADYOxGJXfRV4QgbWwfXdi8fxP7PfePaL9zzN4/O7uedEiRIxHLh - NG5PLszFsNmJmxWqiweYjZIra+O9B6bVsvcT6mahYFd4oig1V15q3ijfc9VPvuvVHyhnK1UYuVQQ - Dx1HPeoIixzxefhvdcHb3du7jzPnBsPr9uchnu9hLXrma4eHPYrSUPoUDJ6uby2PV6IbbW0evObV - P7Zr5dz/+jf/eX/EQXnaVezKais01QihRdvCU65YAGVwpIUmICdPCSQCElYW9KUdUCWJxAmaQgsk - fjbqzZyNz7TGPFe4z6jsPJa0GDR+mBSbb5YUq9WOUqsgjTg4BmmeNdP5sdGgW352iIBVHPGkXCq0 - 8MpeiQBWL8gi5Hy583CKt0vI9ueCVgBHtgjHpaY+4hD00KK0cIx95axw8C74rccOvuM1P+Z8+MR1 - f0ArdV01IcArSOVQk8L2rOLxk3O6PyLiXrYNqIId2iYWJOOl1f0Hn7jxvi/uXN7xvgs+2DyxoeqT - Ssll6ct2c0pV75XIhURJT1/F67Eu5GM2W4vlgfvJVz2iDhn+XATM7ARO3Wq95qRi5aiJsHhuZK7Z - VgKTqhCRspBCVcmTn5RLVaghpKp5GlGVU0rEh9erh6WBF9N/rJJ5+sjUTzoneGhJJXZxx/iMfZtP - 6trm1+7+SuunuT+Sjci5KssyEVaWE6yLEgExjHzZNh0ViGX62rdvuvrl18w2XVVO2PkuhpRC4XzB - LPKc9Qpe0CgoKebVzeCzpxBcd8Ptn3t840Edh42tUC05aJLehs4Lf4+icBKTRIUqEYQgrKKx8NRF - VYH3zqOQThHZqy+k2lnvuOC8iy8/7/KLz7p89/jMUkdoXKmVR81gQiEzEoEmKEBEcGmNRdcizlBc - FKLEqWw+3T5+76PfvP2+bzyy/8HWb6IIjc62mpnzKApV1aJ261ttPXYhpa2tUJbMmrtqzAsV/sLD - ANvHRcosva57OOqjMO88CGHWxmrkkrQP7b/3izd/5gNXf2j61MExLwMCEqACAETTyRuGYRiGYRiG - YRiGYRjGKeW5VXcfB11KpXMuP9nCSAy3e/yaf/iL9/+r3yu7WIkSl7TkMI6jH3otYth6+JEVV9Ti - gqbQMQCv4ranrUQIiaAEnxwp+MTfDjp1KCExADjN07fbHOstrHGJbtoAaIo6Xny+f+NVmLV+aQ3J - H+y6fbvXLv8Hvww3ctH3z+wYhmEYhmEYhmEYhmEYhmEYhmEYxgJlWYYQVJWI2iYAUKZZ1wJcVVUM - 8sQTT3zsY7982213pHQyo+Ix89bWlnMupZRjf6SUclSsGGOMUVVDCPlXJ3G/hmEYhmEYhmEYhmEY - hmEYhmEYhmEYhmEYhmEYhmEYz4Cq3nHHHc5xSn1Akuz1PqGN5D/JUrQsLgHwwAPf/aFXvbryXpMA - cM6HrvUFy4s3DDgDqlJVBSt+6e/94j/7zf8VwGnl7c5oEgYxiIi8cylGT7xjbU3x/7N35/GSXNWd - 4H/n3HsjIjPfVqrSjiS0IrAwiF0Ig4wMGOMVGbBBYDxe2jY2bXsaj42XGc/0fD4zn3H745n+p+0e - j/vTXtqebmza4wXbyIY2IBu0IAQIhCQQQkKo1lfvvcyIuPeeM3/czKxXKi31XpWkV9L5flKlfPny - RWZERtyIvDfi/HDpxZf8yR/+wa23f+b/+I3f/MsP/bVCK0856a//+q+fccYZgR0pILK0srK6/0DT - NEcSZTbd2Wnp3SXCdhawLSmn4PyrX/3q/+cP/mC+KpYkv+3k+c3+fHpPQMC5556bc95xhY2MOQ5H - VuYdz3tfQjpTSs657eV2Aygn9jvniKjsxU7u+3yiMTMzp5Sqqur7HsD22jFjjDHmlFMO4Of3c84h - hPJgVVUhhHLJXs65HDBsYdIkALzzKSVVOEcXPOvcP/z9/zgcDi232xhzqmBAFIAKRYabhv8xysMu - 0L7uwVvvuhm1egKxQuX4g2UBKFBiBXWaPnpECG6jy6EBOxw8uP9zd3/ujAsu8FUFdaRu1oXErHIk - vHMaETebUgkpfBqnPJ40oscm+pZfZLADM+9a2a1ZHTnV/IjPNAAAYYpDX/Fkz1Xnfsc3n/fKf7j1 - Lz/6+b8MQ9rQQ0mSpuSD977pctdBfOVcVFIoiRISgXS60rIwY1oSHAQlJDdNTT5+5a+bgMMb6yOm - j3z2z3s9/JbXvCseUkyokorrEHPqEcmRy5XPTvXIq+iRqUx/KI/IMVvrE4QV85EGgAFWAggyDWNO - oCSkXJ5FAIQo5oQGg4ar1fGhaqE5QPs/+rkP/91n/3x/fhDUodfaO2FpJTtHtKnr49h+nOly2NRf - fbgbL++qxmu964NX75xrY7cyaOQgRrLyjje953nPurI/HJcaT0qnesfKY5Seb6qmb3tKHHyNzgvH - S899zru++0f+8w1/SO0963Fvn3tXAaDGA1qiSqHEpMIKVijhcZsSBlRVNQMy7sYSksPOqp6xvLjk - OKiSKkBgJmRVBR2Vc7x9qgDYubC4uMiz8PdHWq12ZiekeEqnLy0/eGjtFVe87lC78ZHb/yqE9cPx - kPOpNCPzGIFpy7OtlzmqvSqPEFQRAlilixvqeHV970c++bcXDJ793DNeONk3Xh7uSZ0IBIESZVYm - BZEoiZKUQxPScEpvv9uQc2bm8kV4eXkX6dE9/GXJzJT8XS153SWongDRuqrqeuDEaVYVMJUhMwjt - 1ED0nebRAraPOZpllPHKzJV89dBXvvC1z+clDoycQUhCYAUpnEAgjxGH/Gg8cVQhEgBEcM599Ruf - +8rezz1v8RW1BNGecmJiqIoIEekObYh2DGUAmaNASGtSzq5TJCFIrfvj/Z+47YbMKWelCqrK2TMg - lMCSgPKdjBXaZQIcoOyJPbsMF0WQk6ogBF9xk8fCE3/24nkX7rnkZZe98uzFZ+0ZnhWkQiRqHZEq - ESuTOhUSFRUmcp4JrrQALJpy0pwjmJnDglsaVM15l13wLZe//sH1B2/90j/d/KV/fqi9d2nB5dD1 - FCctAmcKUAUpFhqXs0JBQPmGsbmhZoUQAxACq5SjrK1FyxPII0smhCTxls99/CUXvehZ7tKGAIkM - qNYAQBmIUHpSDlqNMcYYY4wxxhhjjHkm2nFdb8E5AHCE2ifQGLQBxdLowvf+iwdr7hcWDrV9r4yk - qKvBVS9vzj5zgySyq8IwiapQFWrNOPbcCSdwus2hrCeQAmXAZvbOSI+cT0BERAQmAKwggURkIFUj - PeOMM6+6KoHg63xove+6w1W47F/+NESgDhSgQNzqlYDGGGOMMcYYY4wxxhhjjDHm6a8U2qiqqoxG - hRCY2Tm3vr5+3333XX/9O2+55TMi4p2nkz28Vq6pq+u67/ucs4iUoO7yuPc+hDB/h8YYY4wxxhhj - jDHGGGOMMcYYY4wxxhhjjDFPKCKKMd5///0nmEYxjx8oiaelWMjtt9+uqgItJ22KSIkXRZadFu18 - EhFR3/fjyfr3vfl7HDnH7ql+R4+gFPHPOUM0OE+Kruv6tuva1oEk5edeetnv/s6//29//w9v//63 - kOhrX/2qd15/fWDXt53m3LddnLSLi4tHJnhMgPeOMl3xAMxW0ZTSC17wAj4mk2p7ocXOuZQSMYNI - FSG4c845ZxqQdcz0HiVQyRizNSWME4CIOOdyzs5tp70tcd3MXKYw24vtyLbsUahqWRQlt7uqqpwt - j80YY8wzQvlSU/aDIYTpYflsP14ytr33RLT58eOXUiICM1ZWlv74T/5TXdchhBjjTs3YM8aYh2MF - qygnoTzvjhBC1uQX6Ka7P7mvf1AkNT7knLbRYaE0TQIGNsV4AgQwQwHy5Bu65fZ/XtdDKfRKAjAr - s/K0PHLJ2gQLMeABf+Jz/UymJECJ5FQCVIiIV1ZOK191zWNggLLKRlrAUj0ejdZ3f+/L3vHe637x - xWe+4rR0+oI0HNFPUpdacSSMLmVS8KzKtxKE5zH24nRaA5xnAdJKW+w1VWiPpqp9rWj6fnjoY5// - 6z/5h//YNas8UHXopFePTqMoLQwWtN9a1L0c0yl60rGCAVKCegELSEiUs1ISzsIZSEIiBAFDmZlS - 6h1x10aufB+6j3z2hg/d+l8fzPfRUqpHTEk4gpQFyH5T43McStp02/dLwwF1sXY+T7qV0XB8IO6m - c9/z1vddvHQFHWr2DM7cONwRXH78cOpTgJIIyXzFEwLAbdsOm5FE9Otp5BeqOHBjf9Gey65//Y+c - 7S9c4uVhCMhoJxo7QD2UdZpDL2V9zoRMj778S9InGNPuNZ10Y7DutAGh4XCByKmWwvV00nsCSaFK - Dm5paaWk12/63bxB3qEtM0EYfbu+vlLtkUP1G6966wvPu1r2uxW/xFJmDcC0zSEGaLvZ3ce+NEEY - HCCEpH01ANf5/tUv/9Un/+y+yV20kJWjSOpirx6ZpkuQVQABspJCeUuN4dNDVmXmsq9fWlgkcvRI - C0Fp07Fe2VSnWwEDGAwGzAywzloNpVk2hHlsJI+a2/0ovPcbk3W/yJ+688bWj7ucy7ExACXJDCjT - 9Chia1MmBRORos/JNZCMrIkW24/f9nepSpE09p2nXFdeBVki0Q5rnXcanR7LZE5K2SkzoJyii72L - MaSb775xf/8ABRBc1biYhZVZPcBCyAQFSJkFEDDATEqIOcUYcw/t4YSHNMJaFb9B51WXvuWq69/z - nT//w9/6U89feemF4bmndWc1a0thYyG0w9Atcl8jBc1QJVZycA7EcKyMmJFBSo68R+Wo9lRVMhzm - XUvxjOH4tLPx7O98yVvfd/2vve01P3wWX4iDtZsMRtUwRXh2KWUitG0mBWG6x59v/NMj3CP7MhES - YRHe8o7Me3QJTH40Gh6cPPCJ2/9BhjKhLrMA6hSkEEpKsqUjPWOMMcYYY4wxxhhjzJbsuNMyVCVK - 9s5nAKAaFeA0T2h5+Nz/5Rdv+dV/feHu3ePxWvANLXh0XfXyl/Y337r2wN4loGJHItBUOhVLjz0D - rBAC7bxxX1I4QMBKiAwArPN8ccHs3JusClA5I0FUMGrWFkdnvuZqKBOarOL27Bl792DfXlDXaCqg - QgQICNa9aowxxhhjjDHGGGOMMcYYY44SYxwMBimlruuqqoox9pO0sLDQtfGLX/jSj/3Yj6+uHg7B - xZiFVEEn6+LTktLd971zruu6UqeDmfu+V9UYY6kDAiCl5P2OG8c0xhhjjDHGGGOMMcYYY4wxxhhj - jDHGGGPM0w8RbWxs9CmV0yWZWFR06ydPZhUFiKhEgDOTZr3ppptcCLGLSkREKurZqQjTSTs/c6cp - Uejs/OJogVy49prXfPijHw3Ox5ye6rd2FEcMQowxxRxCqJzLWQjEzMNmsLaxXlWVr6vnXXbpb/2b - 3/ilX3hfTD2JKiHn7Op6sLR0+NBqSWTHjs/tBjDP9FVVZvY+9CmfffbZK8sre1cPEWi+zp9IlJFn - F2Nkgqb8zd90RR0C0s763I05HvOc+x2unI2vqvPNVkTmu6Hjp6rzoOtypwSBnyrLoSyE8s699yml - GKNFqhhjjHnmKMf5XdfVdY1pQCCYuVydl1Ji5nm2d9/3xztdLROnnHVxafRHf/SHy8vLTVN13WQ4 - bEoouDHG7HyzDrisDAiREitnZHF5rOs3fvEf42iSIchZZXu5mwIAChAIJdyOAem6HGokRoxdcP3X - Dt77lb1fet5Zy02qnDApA8IQoESysdCR1GEuk7XvNFsmm+L0GCW3G1ClheEiw5GQEu/YpNinHCk3 - MqiqKuaUYh5WQ11LF/ELzn/Vxbfff/NffPw/3712x+Lpfk0Pd0maxolkhhDAglyS6qcTSjSLsS9l - tTELl3VbeT+scM53XecCVrt2YaHKnD52x180FX/bFW/ds3DuZL0NXPkqdF0cUD3Nzj3yNqYT2WT6 - dgDIFhNGt4mUtOT6zgqME6Tkm1IGkpIAJWSXBcyaQghdO3FD14eNm+/5p7/+1AcPNQ/6XfnARrfg - MBjWk7UuEfyCz5p1PlcPd+SxabB6uU/w3k02Jgsjn3OfM2Gdzx9d9o5r3rMHl+yiFULA2I3CckrK - zosoP01GMB42GywCzzXDS0yD4Lz69f3rz1685F9c9zP/9oP/O4tru73k8/LC8oHVw6H2gBBSmZLM - QuhpFksPQOlIvCbJ5jECAdOkG4Ox04riV1VVIk6ZoKoKhoLdLJR6uhZt/ndriIBMUFpaWNIMzeWh - Y+k0P2BnpU0LQakXonB6c96B/Xvfcu1/t7524MuHPhPrVqgHQVSJwER6VCz58Sob17FLNisqRtcC - gtHQ5b5tu3ZxefGz+24JN/p3XvOjLroqLCFpTBJCUNKSKz+NXVAArHSkDX7mKCOwIqjrgfceeKxA - ZiKoQrUM6YKJAIyGi5oVovO0bhEhMBE9TYdznwjTwOPZ7ufIxjE9NCAArCqqqj4fHH/j1i/flAYt - 1ElUIkHZRys7MCsyy3bKHUlWICtUoECUbjjwX7j/tgc3HrigXvKOnKgD8XQVsM/3cShYKGcWFiEV - VvROkxcE3Lf6lX+64yN+V17fWK+XqknulEAKKBReyq6TpHyMwXGGRFKlRAxWeIFXV8kIbX3R8sVX - X3XNiy54xYo7HeseazTwlSTtdMLs62rAFFJCzr2vBZSgTGAQVAlZRODJiwqL17IiiWSFKrlcZ6LK - +co3ea1zVF19zhtefMHVt9z9qRtu+pu9q/cNlpqJHl7rMFgqTYM8/PinrMmlaVWAZLqjLzO7xVW0 - T3AORNRjQk367L03v/S51z576XLfV6QAEgNCWSBOt3TsbIwxxhhjjDHGGGOM2YIdNS4FAEwcXCAQ - g/sYAQ7qKYwyBFV40a//yv2Vm1SD3jXKAUw4+5yFK1/YXHDuKkunGSQpZSJASwq2gzpSdjIdRt9p - SPkRh6KJSAmqmmc9r0SkzLGq10N15lUvw+IAoW6j0HDloaR3p/TyX/1lVA7edetr8EDYobNsjDHG - GGOMMcYYY4wxxhhjnkLMXOpSiUjbtlVVjUaj8Xh8ww03vPvdP3zgwOGcEWP2zqtqKd5xsl6373si - Kq8+r9BRSoOV3G4RyTl770+k6p8xxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGPMcVLV9fV1f8IBpaq6 - OShURBX43Oc+d/jwYQ4+5sTM5Qk5plMlD3UbmBmi3rlyRugP/uAPZslph+V2A8g5E1HlfMl8nX98 - mnI/aetQMVE3nmiWUd0865xzLrvwYs9Os5y2stJN2tXV1aWlpYdNc8fmdmN2vi4AESnp3SLivT// - /PMxi/d72DO3pJwerKpUcusVL3nRi4/ECW9aMjt4IRkzJaeIwWAgImX7res6hLC98//LLqmke05b - QtVTaDmklHLOJaw0pVQuTHga72eNMcaYzUQEQEppvisEUL7jpJRKbne5oK+qqi3kds/krItLg9/+ - 7X93yaUXec85xxDcxsbGSZ8RY4x5IpCCFQSABJBNoWpCdf7Sg3d8ZfWuvunZU+rEOfDW6/celeGq - oFmYq/cQgQjYIVGfff+pL/xT9BuZE0omsTDp9MlCooASHakgTOXdzgJNzbYQEStTpio0IdQq1in1 - OHLW2CURqX0I8NxVfmNhsHbGFae94ie/531vvPK6anVh0C+dVi3oRNwsw1gIJExSkXoAmD0oBAWE - GGDeTvVzViXnqc+oFrAR+zGN/W7ccOtf/tUn//Qhud8tO3EIjr1SH6PjcNIXyAmapsYqK1jBAAsB - KLndJWoXpAw4UgcQlLvYjU5v1mn/XQdu/4tP/JeNcKCvxuPckUci9DGSd947EZG8tS5cUjTBd5Ps - K6Sc4lh2heXF7owfuPbHL9vzolE6rdJm5OrcSpqo50BbS1rfsabr3bzfXgkhhJyziHgO2mtaTz6H - 3YM96ZCcXZ//Y9/z3npjzzLvGfFoMumapgIwj0AW+Fko8pzMpywlix3TvU0ZcVDWcTcR3lmNOQNN - 1agqyq5PmeYxxieppXQACUFoNFwsowR0VHS3zPZ0O5T3Pnifxkk3ZIlPG/bL73zTj52/8pyQm9nu - WkEJkJPRBSkAz0OOUwYHsEcbcya4Gi028nB80z2f+Ohn/66tD/du7BoOIYjMR16UFU5ASkLPsMhu - ACW1QRUACXniphqQljxuKEE2HbAVzPlUcQAAIABJREFUZRCMCCSsCoABWlhYLL91oFknOUR33ODm - jiSz23FhkCC5RXz67pv39d8Y67qvKwiV/aVSORI+slFs9ficiJhBHl2C9y4Dq3Gjr8efvvtTY1od - DhsIxb5XVe/99oZEn3GUyg7OaSYIQJk0Nf0n77xxf//1sR7kgJg6VfgAJSnh2azMipLbzcpZqRdk - BRw8UYiunoxG49OXxmd9xzd/349+x8+86tLXjSan8cF6UXadFs4IeRh4UFVDF6ouxbV2HJGa4SAl - ib2mPqeUNCmJMrMnVlVNmlKCkKcquLrxgzoMnQtVaByFPFGa1Iv5tF3p3OWNc1513ht+7rpfvObS - bw8HhlgNuxcWY6shbGrUqXwfK3NUlsNRv8W2TgxghvfoY9un1o/oQHzoHz9zQ2q6zAIQIxOVSlyz - Y5htfWLGGGOMMcYYY4wxxpjHtgNPMZduMnZZKsFSaCowqYME5xYQaizWV7z/5x8g7OtzS5U2i0kU - F5w7uvL5g4vOpcFgU183CTiyj48cjf3Iyskrm29PPCGIUwkiQcRpGeGa9sZm6HTgh4iIs/eHR83p - V78SZ+yGQ59FfDVx9UE3eOGv/goWB/Ccukm9OIKqAFGta9UYY4wxxhhjjDHGGGOMMcYchZknk4kQ - fF2RD9VguG/vgT/9wAff8573rq9PFPAhsHMxS7n48GS9bikFUsqBlYpgdV3nnFNKZUQsxsjMpRSg - lcoyxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGPMk0BVx+NxOZXRsRMV2l64MAlYZ9OZVn4/PJ787Yc/ - 7L13zoO5JKgRkeZTvnj+o1VlEZGmadq2RRZN+duuvXZ5YYF3XmCzQLMKHLsqEJGIiGSRXI9Gk8mk - PALVJlSp6xkkKaW+J9UDBw4MBoO6rsEcY3ykKe9E83UPQEm6VVURufjii+fPKZHeeHiKxvEhhBCm - SYFZF+rmsksuzfFIqoGlI5lTCJ0iJpNJVVUiQkQppXI2ftkMt2Qe+UlEpaE4tQCoqqrrOiIqDRER - bWM5GGOMMaciZs45e+9TSuUywJWVlXntyhDC/Aj/8XaOjFlk7eZb8Pit3/zNl73sZX3flysNY4zD - UfNkzJsxxpwcjNKLBRUSJQVYCWNd/9QdN/bVZEIbmYUZ27imeZZMfOSVSLiEbXvvUYIhGVRrrNo7 - HvjM11a/kl0nJKWVpWn226YuNvWba0RbX8pxkFku+yN0yBHxNP4ZPKwWdmT97R1EAdf4DlEow2lM - E0CqMEIajNJZe+SS7/7md1x/9U9coJfwQ35RlyhDGXma7+ydOCfsRAAIIzskh8xQMAmzMAtvqcS3 - kPQpcu3DEH2C93AOB8eH0mL6+y/+2d9/8YP72geFk8Y4CB6k+fGjkXlTkPM0VPKJtHnNnOd2AxBW - YQhribR0JJ7VQ33fp3qpvn/8lfvlnt+/4d+t1g/0YU009S1GDbGjcRQEchVJEhKQHm9HdEkZRoJn - miQoY3nY0GH33uvef9noJbzmh24gCeNx65nrULG4vo2sp/wmQwrW6dCETkPlZdKNQ+0BdF3XVIPF - 4bIT32/0S8OlyYHuXLrk577/l89yz/ZtM9mYKCdQIkhZe4U5EwMlhRSzevdybHozE0E1QwV50q8L - 8hO/ym2FclMPGcxa2knFLMwYm4NIafvjOswMJVUaNiMABEezcOVTAfddBoVB0wRwXu9pg3b7c7/7 - mh/wWGaap3cDpJRB+WRlq7JjiMAHuAqTHiA0w2qSBCGnwfiGz/7VzV/9+CHs7XnivXfiAAiVaAP4 - 7JyygoWfeYcQrILMPD36GtZDPr5cCSJSBSsc3GiwUFoMVSJlIjd71k7aeHckVjCk3EACEijjMXci - GmRf9/Wb7roxN33PCSxgIj2q1Smr8RaSReYyGu8EIAd1wh4dkBv99D0f3ze5T5All3EQpTIWbB4H - Azz9nkI9KAKcGQ+sfeXWe/45N/1GztWA+z55j6xILmWXAGGBFzhhVhZwYk4McYBAJxo26rP5khfu - evUvvPl/+/bL3naOXFiPhw3VIXCnk8PdaiLts7QRUQgV0yC1YW017XUVhVCzD+S8sgqJIoumULlQ - ueAcAEk5dRLb3Pe9hLjaH9yI4zAYNvVinjgcdrvi6bvbM89uL7ruBe/8kdf9y0sXX0iHmkrqNBEA - IJSGdLpaE6aZMYTNofLbyO2GgolAnLPA5xhyrONnvvrJe/Z+IRErPKhjdABDndqXF2OMMcYYY4wx - xhhjnjA7q/eNAAZGg4bAiAIFFCBAgExAgA9gevGv/dLBlcX1UG9QlXzouw5nnT668srm/GeNmzp7 - L+wyI3MZCxeQKCEzMm+rQ/OJtzksvIzmbiZAJuq9W6/DmVdfjXPORuz7lGnQpGZ4v8pzfukXMKzg - SUV8XUMjPAmBdtbHa4wxxhhjjDHGGGOMMcYYY556IjIcDkvFjaqq9u3b98d//Me//Mv/kwhUp1Xz - clYiOom53XPOuZxzubKubVtV9d4TUYxxXierFAc86S9tjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYY - 8zCq2vd9nmWboYQKnJjZdAjAB/7sg32K7JyICJSIah+mUUFPU5PJZDQalTNCR4Phq656pXv8P3qy - ldi5PkURyVAhOOdcFdr19cWV5VKrnZm7tq2qClmcc0TknBuNRn3fO+dWDx4cDodP9XxsTflQ5km3 - 3vuzzjqLgPmJu845bPpxS7IKAAci4PLnPOf03btPfFMy5imhpwjnXN/3dV2rasnsFJHtbXfOuXIO - fwihTO0UWg5E1Pd9mfEYo/e+LI2TvFoYY4wxO1XZiXvvmblpmvX1dUwTyDTGWHbrIqLbOsj/zd/8 - N6+8+hWKHILruomI1E14vBRwY4zZORhKrCWaFJlT5gRASfa1D932lZsx1F4Rc6yqKmdsI7lPaJrW - +bCEyPEkNY13DhstMqeeJmty4PP3fqb3nXAsGbCsIGCWPI2SzcazusTPuNDNk40VVCgYLoRqFlJr - HlXMva+cEvoUyTGYYu4dsXSo+uFosudl5736h17/E6949jX+0GiYV9w0rZZZZ2syAdOAZOg00ZAB - dsJOtpy+6Roat7HrQQASiEAVej9OS+MP3fzBW7/68QkdiDIGSUZOiDsrGhko8aU6jXucrnvlf6Rw - wiSelFkJyiDhAa/pwQPuoT+64fe+IV9d473iO++wNOR2TUV0uFD1kto+BUfb6PXgrMgY1XVqg5ss - /9CbfupMPm+xXxxgkLpeAWWqq0Htq4319YVmcBKXxJOPlGeNP5MyTeu8CyBc+4hEDr5yMfdd16lQ - cFXq0mJYcoebc6qLr/uWd5wRzts13JX6dCR/Xf08jv0xBndoU66nQgW5y32i+MTP9NYMqkFZj0iO - DI1t7yvDsUrDS8qkXFUVw5XpnyoUEHYpK5GoxNrxUljoDuTzdl/qMWKqy66ftOSdM6nb5v6FcFQs - tLJznoC2RRYsjJAUhzf6wYDb2PuGDtO+D3z0j1b5oXU6OI4bcMBsVWdh0mfubq4EMDMzg5GpchUp - Hzk2I9GSJ33MX5XoboAJrqoqIoJMM+aJyBHPB9TMY9nK/ldIsiaEdM/+O+7Zf0cKvaupjRMiVQJ0 - erSg28tFBliRY3YuTFqEGimpMpoRH+7W7jt0197xvWvxcGZ23uMEukqeeZglsBAoK0cAmeXOr9/x - 0ORr63FtuIAoHQeoUkoQgpIQEkOcouR2Z0JEAsMzVSksxOULFy7/tud/57vf9FPnVhcudHt4o3ax - IQqJVJz4gZOQuSLymimJJmU4p85RklwqVqlmAMQqJBk5xq5PSVWZmdk7Ysfeu0pyHAyrelB1sW37 - ife+Co32qLomjAcr6cwXnv2KH37TT77g3JcP15dXaFfIFWYrxfSYlqAkm1dIUkAf62Dg0SihbZXZ - VTXA2OgjhrRO+z/1hU/0XjIBFEGRxUGrnRYeZIwxxhhjjDHGGGPM08kO631TkAAlAiAwMMuxZsA5 - qEf0cBUW6yt+5ee/UfkOzqujXtFmDBfoFS9ffPFLVkOVQpMdKbJDdMhZEYHeoXeA4/krkHNEpZ/z - KZzjWUB5SdpmZEZURFGCI8A5n5UmhPHK4LRrrsbKLjjfVbWOlg4nXQ3+sl/9VxgRgoeryHsA2XMm - ISonLRhjjHmmoxkbEjbGGGOMMcYYY4wx5pmmrusShp1zZuZyxZEQMjRnVaXJuPsff+3Xf+M3/s8Q - pqNmMcbSl6iqso0LzWfX5pXqXeWRh9X/Ku+k1MmaP1hVFYB5hSy7hMkYY4wxxhhjjDHGGGOMMcYY - Y4wxxhhjjDFPAmY+dOgQAO845USgLHl7k9LZiZc5K4AsKsCHb7jh7nu/2kmKKqXIe9tOQngaRIoe - W9REACEi733OKeXIpI70uje/WQAmxiwZ+tFOMX0yJcnkmBwLVAlgytAkmTz3qVdSQInAnlOO7Eiz - MEizaBZmzjk3TZNSmhbG2WSHlfI5Yh5zW7L9RCTnvLKyooBOY3Y4xojtfS5EkvM04QO49ltfC9GH - RdTPF5SdJWzMSVGSOLuuKz+WEM3tXQKQcy5/WBoBnLyonidBeavzmI2yWIwxxpinH1Xt+37+Y0pp - vr9W1XLxYM7Ze7+51Nixdx4RO1JIXdXzR5zD+9//vje98Y21D8hCoszsA1tutzHmFKIAnE9CmsmB - XXBtbl3tW7Qfve3vsZjW+/V6API8icl7hmynNvHmfqFZf4qEgLZPIqgqZE0aMg3lY7d9pKPDLY3V - S7n0m5m955zz9rIJzWabw843Fd4kgFQ1OO+sR+qxkSgjaRY4cC3wQlDXC683dXY51rlquqXzBpd/ - /6ve/T0v/8Hm8C6eDCtXIwOU2GV2CQ6KTduRMqkwym1rlJBV2YMJrCDxlL2S9Ny1rpfF/oP/7f++ - 7f6/dytoJYITkAABq5KAtWQ6VlVTOurnZBqkjSch5VtLWOwxLyV9rlwjiWquKTsCQAKfN/zhA+6h - 3/+b372vvTsujGMViaA9aIIBMyn6vocDe2jWkom+6XYEM8cI55z3PidIhmQQkSJXPmjLw7Tn2he+ - 6/nnXlt3TSWJJTlPQgLvuhRjjAvDWlJLT8ZCesLRLAWWdFriPiMmSuWWWcWJsgqyMknEghs2G/Ul - S89/27e+27f1kCsCfKCuFUeeKZMKFNMyGApgGuo5a4KkhNmLCDsHgjLWxqvkaKdFyxNN442dC1AW - kRB43q1Y1t4TqNjPKlSmVlWNiDiinDMRCUGPbrF3JBZ26rlHBxcdpxz7kVuUlh0Nc2JVcqXsv4JB - J1gXhRSkUjbkFEHEjqGCmAACO8QsntHGPtf9uD7823/2bw/gQb+kSVuIOGVfUtiJoCyiTO7kLIZT - R5+T915EACahyjUENx/pfcSjLCUQUUqpVMVJKXlfAUzkCAwQyXxI7ZTpJ98RlDGLkC+bRumsYIZq - ZkbOMSLGqr3xjn+YVKuZRQQ+iOh0YIIUrJJZMgs2NePHjZ3zfZ/qGv2s96KL4Cq4UbzhU3/BC5Sd - 9lnY132Moa5O1qw/jTk4n51EhIZ7mQgyOH7kpg/zspJH10EZ3rNm9QRi6hIqj8CSkgghE6sjcmCB - n9SDya4rz3rN21/7k6/+ptdX40Z6IVZyQeGS+kQhEbUcI00Sr5MbO+qdZpfgY+PTgMQrkbIqk5II - spKQg7KWf7OKQMHT7wNeHUWRHNlBQ+78uHMbKfQ9d2AiCVW3cCad/9ZXvuvNV759sH8F4+Co8vDE - 1EXAIQrYM2YHdQywMmlpvbe8MKvgY8wZEAJ5tNrqMH36zk+up43kUuakyCJwrio76JP/cRpjjDHG - GGOMMcYYY3bi9T6lt5EAQOfj2WWEo2Ruh4F6B5Yr/of3HRrWhwVhz1mJqyyMqsJFF5zxhjduDKvD - kA5QhVd4RlUxyLc9FHDMnkiBnHMq10+5p3JIiR2xp6joBSJghnNUhVqZfT3cyBKbxp11xsprX4OV - JQwHKdPE1Q+J7h+Nnv2+n0XjMPRwpZ/WKbj02TLwjBsoM8YYY4wxxhhjjDHGGGOMMZusra0xs/e+ - FDpMKZUfRUBEbdtef/31f/qn/5/3SOmkXTKkqiUsXERKFLdzjojqui6/bdt2fpGSRXQbY4wxxhhj - jDHGGGOMMcYYY4wxxhhjjDHmKaSqJxJ3+jgTB3qV3/6d3wH7UFeTtt2YjIdLS5PJ5KS/1g5RirCL - SOUDK4jo5S992ULdiApmZ5k+EYt62+ZV+5WO+hGPUtD/aWYwGMzncp5AsOUPaJ7JTVQS3b/11a9B - Fv+U1vMxxhhjjDHmaSPGSERVVeWcAbRtWyK6SwBezrlpGmYukWPbiBYr0+n6LoTpMfx73/vT17/z - 7ZiWAhUA2GFxg8YYczxiSnU1qFyV+jxJrVa61h/uffulB+84GPczA0JZRQAoebflnqDSdzRLbuN5 - m1kunabZczL3idue12750qd4ISV06ilDU0p93/O0LPQsnrC0ukoKkh1YMnoHO2b/x9M4PaESa2oe - i3JJNi4RmSWSmJFJVXMqeajQEPLC7uqcqy+95l3f/mNnVhe3D7lGh14ppj6qgJEFKOuuMCsYQirb - jMomQEHKLBU0CDzAIMDl9XSoOSv/14/9p0/d/Y8yGqNKxCIkoilLJKK6DqWQgnuq+idJylKUTX3O - rEKK2tea1CEQua7rXHAZfe/WJ/X+P//Ef7lv48sb7nDLHTyioKqYFKzs5Eh8qdJjtQwiUtcsIm2b - mBGCc45IVAQuuTBZeNVzvv2aK74r7q2XmtMkZ1AC8jTWmkUoPynJ5k8GUjBAyqSMaXq3lFvmNLuJ - kgigSt6H3CaOfll3X7Trud/1yuv0sA9adRNdXljQlJ0gMJiRBDg2f5pmFeFnFBDkPvcZGTsMKVMJ - eBadvWc+iWU/ym7RwW3K3D1qvd3JK5mQKCGTKGXhLCSkcOKcOAAKsPiy0FgxD3Pdvun3rGl6N5Sh - voTDzm9Mnhg90oTXJ/XhP/zr390vD+gwchBV7fs+ZUlIylr5gCxbjDp+miBlLiseedaHr87lE3vY - sQIRqWqJpyC4kpL7DBgYfJKUfoaSqp5zBomquorQxPv233PXvjvckkZJOefKexBk07Gckhz5rLa8 - Ph/V2kw3Vpboxg+tf+3zX/2MDiRDAfa+atv2BGbxGYHZS5Kaq9r59fG4GtaJJ1/++pcO54OtjoVA - gAhEhJQZiL0uL7q2gwiaxsecKFCfE2da5l3N+vKrLnn921/34xctfxPWaqQAsJQjJWVWT8oA82yX - LSSgRIogHLIL2QHQebM5vaOAKgHT1Wbei6UAnLITdgpCBrJSzpyiSylIclmgmuFjczqf84rzv/Vt - 17z7ND7LtY12jpJbHAYiKCGKKInykQaftxwqX97RrJ0vM0BQksh9H7pPf+mmGHpxmZwDOPc5x7yN - /j1jjDHGGGOMMcYYY8zx2HnnYdD0poyM6U0JUMBDm7CeO0Il6jAKF/+rn3loONjXxZYa1yygWQQR - lurdb/i2hYsvdLt2CbmspELaoc5YZE5JsojSdBhkOhby1F3TRYyYdZKUHaoquOARIVkR9XCO+7su - LS8uXn7pwktfjNEC6iaOJ244mvBodbh82S+/D8s1pAVDkTM0gTMC4AlMEKhsfWjBGGOMMcYYY4wx - xhhjjDHGPB2IyGg08t5vbGwQUYyxaZqUUtdFyXjw6w+95fvfdssttzuHmCBHXfZ2QmOI5Qql+X3n - XIxRVbuuI6KUUgihXGZTfjyhmTTGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4w5ASXnDNh8LuXJMa8M - /e//w+/dcecXkyBDV3bt6tqJ90/btBh2AAlERQSkktKFF5x3ySWXEAiAiPAsj6gkfD9lb7SkkWy6 - e+xtU0zdI7zPTROYmgU1HZXPscONRqOSYLD5BODtUCVmiCr02eee95IXvxiqJfaD9KhldWotH2OM - McYYY3aCEIKqxhjL96mmaXLOOlNVFYDy9SqEsI3pO0fTdEkRAG9/+1t+6id+ognVkWdYbrcx5tSk - SqoqUYkoVI5q7ev201++6Rvjr4nvKuc4M4jApEpyAp2D876OWcgcCGCFm6YPa0TbYXzTFz828au9 - j+oFTExeVdm70vXEEJ52Q+mJX+v9zMBQfoxlpaoMB8A5R2rL87Gxk4q1AgkogRJDnDjWAA7Zud5r - CjJJbT9Op9Hubz79JT/w6h+5fOWFVTcc+goE9UiAYwdpXB6E7IPAqYAks2SWh+ccHx+XK9IAqJII - KojPHUYL9OD6/kPVwf/3xt+7c+3WHNokEU7IM3nuc59UhCTn6P1Rn3vJWXwSty7FI0WOMlxKyDk3 - i3UnG6kad4PVf/zC33ziS3+X6nHmLAoVECFlURJCIsDpLBlaWcFKJbry4X3XOcM5JwIieB9UCRk5 - w7HzcfC801523VXv8qvN6aMzuxbqa6UMijQNBY/ZxSdn0TyZSpovMO+pls03IQHA5FRISFilzn4h - Ln7LZa+/6jlvwEZVc921Y085qPOJVPCw8HTFUSMFpEwKqIoCJBv9Rtb+SZ3h48MgEipbAytObj6o - KjmUaiIMLXfomC1vlkCw89pnpaSchKDTz1cIQlBQBingWAJPtzw9ofTuMg5FQgpSORLXrUfdkqh3 - jgh9Sut04Av7bvvrmz6w4fcmmlSV72IfhnXPKaJnUc7PuOzpEsANlEBcdi6Q8pERQDx8LA/AfH0v - I1YM8uRIpyvkMzP7/EQ9yrZMrKIpIyujz33mqMP+prv+ae/kwRg6QEgBzWWkcnuHCo/wTuZ3gZID - TSri42o6cNNdn0ijtqOkYGZmG7A8DqrKCgb1otGnWK3fcufHN9J6lOQAV+KypRx4U+OxsZqbmmLG - JKYwrCZtt1APaM0Px7t+6LU/8X0vvX60dnrTrVQ0YHKJKDEUICBk1InrxD47nwNpBa2gFSuRwgtY - xanQ7OBnehhE5aZCKiRCEBKZ7d+hTMpO4FScCqsASIzepc71fZhISKxaxfqcwcUvveDVb3rldXW/ - tOx25zXyWrFySnCuLIlpUz9fa7bfVuj0+LC820T9bXfdOOED2REoOGJSEBH4GdeeG2OMMcYYY4wx - xhjz5NhhQ1M0GyHa1CU4u+IKCnSAD8OsyqNFVBWWFy5//y/tW1peG41WlTfWN9AMsGsRgzB8+csX - Lr007j59PBhNyHdQSRLYO4AIqqoKZnLeEVE+qaODx08JQoADO4ii72OMycFX1WgMGe06Pe3etetF - V9ILnofdu8ABrg57zvpGzKtLC1f87M9i0KCusbik0HK1nhxZWoBKGXt7SmbNGGOMMcYYY4wxxhhj - jDHGPLVU1Xu/vr4+HA5VdTAYxBiJXPD1nXfe+frXv/7uu+8FABARzcsgnpTXdc6VmpIxxpzzvL5k - jJGIynMAdF33NC49aYwxxhhjjDHGGGOMMcYYY4wxxhhjjDHGmJ2PmZ1zpcwJYbsVkB/97zI0A//z - //qv+5zq0XBjY0NV4fjYSvFPD865nDMR9W0XnIdI27avf921BC0ZcuW3JxoUbU6SY4P93KwA+VY5 - 5xTKwJu/53ubUDHsIzbGGGOMMeakIaIQwvybFDMTkfeemZk55+ycU9WU0jauE8xZVeE9i+i11776 - V371/U1T9X07zSWyapbGmFMWedenKCK1D0Ta66Rrxh//7EdzNXG1EqBRmD17l1W23Y1RwtvmyW1C - UiJQaRqyC1UIpHftg2v33vn129GkhCRQ9o7ctNGmUp9YS2gklLADo0x3vkdMfGTlytfWT3UciJSc - wKk6VVIFWMBJOYEziIgq572yS36QR1ec8eIf/a6fPqc5P67S0HHqoAmqjjSQ+Gl6MZWkZAg/QnLq - 49AjyYjT8t0QkHiPrlceYuI2VsPeP7nhP+ztvyaD2EmbNbnAComxIyLnSGSHHMZMj6lYkZJkBTFH - lezTmNaw1H3m3k/ecOtfpuEG6pyRg6tSBDsfBUJQmoZ2s0wjqB9jYTIjpSSiIXgAsc9EruYBTwa7 - /bnveMOP+sPDBQzbtUmoBzEDcIADKZAxjbLGjqtXv1UkINFZtDIdnd4NqJIqTUOZdf6QSBiEPvea - QWMeTnZ9x0ve/Jwzr/RtzQmBHCVIUiKUiFlWCB070HNk0RFDSCbdWBmyk46oywIpXygg6p6A8RpW - EJEjxyXo/FQbD6OyzSoJnBALlSxnAfVCPXAkpXjaxG1p/vTY+1JW2nkQLYDZusQotVmYHEABE6w1 - e/hjt//tx79wQ1+vHez2LZ+5a//hQ9WwcsFNJmPPeMaGERNASsF5UnbYPNT1WBugqhJxGRrb1Pad - 4s3gDuC9V1URAdR5ZmbRnLjb2z5w2z03hWU3idEF9uz6ifBsa1I6Mlov2Pr2hYf1YDAJs4CQsioP - 9PMP3PbAxleyT1mTSDp2kNQ8TM7ROY6xyznXg+F63DiYHrrj3lvE9+TADCJAy7EKk6gTVA59p6Gq - BRzbtDJo8qF0TnXeD7z2h64895XDjd0jXZYNpE7IMSuzetJyh5yQE+fEO/FOnBNH6sr2KJSV8yP0 - UD18FyOzf+f5LEIKFufEsTpSMAQOSqIkAEgdossT5a552WVXf99r3uY3hit+d39ItMXCgI8cTj7C - q29teU6/IYLLf6xQQub+62t3f2317sipy8qMwOQc2/cXY4wxxhhjjDHGGGOeIDtrDECBBCQAZagD - cIBXkCJBs0NC6nJU8goH5yDAwvDy974nXnj+vsq74YJy0JQTGNUAVzx/8TWvossvW10Ytb4ixzn1 - 3rtyQqECWVRyBuDoKRvA6wXqHXmXQAACvII2+jipm8PLC2d+1+tw6XlpYYDFxZg4UrW3i/uGw8vf - 93NYHsBVQCiD3AwmTM+UpMe6ps8YY4wxxhhjjDHGGGOMMcY8IwwGg69//eu7d+/OOccYY4ylEseH - PvSht7zlrW2bVFHXIWdVVWZvl2wSAAAgAElEQVRfTuyf/bVsusRry3LOKaW6rsuP5XqAEund930p - BQJg/gRjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcaYp4SqDgaD6X2oQrcf4H1EKbbMCmbnFPjQDTd8 - 4IN/1qcYJYOfzpHGIkKiDHGeABARRN74hm/f/Jxp4ZendCHQtIj/o92EVDY/clzT3OLzdwLVk/Y5 - qCoDgcP3fu/3xhhV1dORukanWjaHMcYYY4wxO0vOWUTm8ZPlOJ6InHMioqq5XCU4TcbamhAcCCLy - 0pde+X/91m9VzvdtNxoOCSDILHqWoSxg2WH1S40x5rERUaicam43xuLkrr2fu2/j7ujGokm6TEkZ - VBpP9lvuvCgtZOkELNdlCwkIqij9LeUJDgBBOY7d6j9//mMxdOIk5pyzElFKqUTKMTIhTbuVlJV4 - p5WM3pF4FvM4XVZC070kK0jUlVLPIdjCfFyZVEm9IGSEDCeUCYkpO6dcUSJMUEkYcM2ePXw9bnbn - s3/y+//7i5afX7UrK2GRUoVMrMJIm9JnT6xGNvWgrmQNg3qQMAOMPgM1VuXgA+1X//zGD7SDVa1S - n7ok0QUmBpF67/u+ParX90ms102K8mqzF50uDWaOOYVhI0EO9gdksbt39Qsf+ucPrupDvKAb7WES - dVQ59QBcQGZkhkzTu0t+MOaLd55yOr+F4HNWIqhq7rMnH3zNqRlOTv/uq35gtz99IN6rNAFtN2aq - kBcgA1AP7gBAnYJly0mUO4sCQkkoSamVoUzKTtgJkzIpzVYJKjcGNCYiEnaRVSkNmwVaH5zpLvyu - l75lN85dcsvISMKixMw5P+pLlz0C0SwzmDHpxuCd2Dke2DPwsO8X0zUKOLrN3HL7qaoMCuymAeGP - gI++7SAMISirAv8/e/ceLclR3wn++/tFRGZW3VerH3ojAXphCSEhGCGDQRiEAGObweOdsQ3Y47E9 - D9sY8zAY7J1h7D3endk/5uzsOZw1s17Pes1Zz5k9x4uPbTzeYcZgg0cgIcRLIPRE6NlSP+6jqjIj - 4vfbPyKrbt3u1uO2Wurbrd/npK6q69bNqszKjIyMyIwvA17hoCXUOQm3ypG0rCSGQo4jVxjoz6p0 - uuCEslPT/KRCEECUhQMnTTmhqhwIa/FAXlj/iy/98W0PfQm708F4mAZ+HBM5rusgGk/cyjg1EFE5 - +hMRK2pfbdmoSIDyNZWM3iP+msshxnNg5aO6sk7psvA5QsqkPD3YbdmvVVUAuPI4e89c6W133/zo - 5AFXexGAkmeRBK8AoIRMEOor2Hjy0PVjERKlJJT6fysD7FScImegpv3xwS995wt+UaN2Gfk42k+e - bzIyKClECRS8VPqN7936WHqIfGSQgDKgxLPSXhXeA+qz+NoPQg5h3Jztzn3nG/7RZUtX7erOXNAl - nSTnMVhc6CYxZF8n58VBKRNnhhJIiYV99j6zEwBITiYhtT7p7AoMBfeB38xK/dRvfFImUMocM+dM - HlpDa5crp+wFIUtNjlPQ6KE1uYqDBqYwWvzBy9/yhqt+aCnvafJiyE2gKnfzFUiZlRPbL/+3jOLV - V00V4uKIH7v9/lui16jl7PR5V5IbY4wxxhhjjDHGGPNc2lldU5j2YStQuqcc+h4KcpQgFXzlfFbt - sqQkGCygqnDGrgt+5p0XffRDDw+qA96PsuMwBDvEhN0ri9e94tzXXKtn7Tnkfa6aUcoxlxZ7IiAr - 8km9lcs56toc2+x9QAirJOtVwL7de17zqj2v/X4MhmPn15Qfb3NeXj4Qwv6lxZd+5MOoHeoKzqWE - lEAIhMDouyj6L5UYdqmNMcYYY4wxxhhjjDHGGGPM81VKadeuXW3b5pybpgHgvf/4xz/+nve8r+R2 - h+DaNjJxVTUppaec4dNUbqjw3rdtWx4AEJEYI4AQgnOOiLquKy8wxhhjjDHGGGOMMcYYY4wxxhhj - jDHGGGOMOVlyzisrK/MjjzxBkMDxzl/yYGGowHvf96sPPfJwqKuqqk7sW+wsWUp03GAwiG2Xc15e - Wrr44ouXFhdijM45AER9eHm5yvQkOoUytp8l4/G4PJgleB/3OPU5JQDXXP3ySy6+uA6VxNSP0n76 - buzGGGOMMcY8N1TVOcfchyG1bTtLRRKRcmJVfoYQjmP+MeW6dhdf/KKPf/zjg0FdVX482UgpbT8n - yxhjdhAhZBE4gFU0e3Z1w3/9tb9arw4kjElQMYJzpJxzVkVptnpGpqGFpZGFFCxgBRREEJej3/j2 - 976+f+0hqkCOc1KCIyJAS3p3/xPSJ1KbJzMXejr/5NSsAZaAKgSIWkvgkxKGkAqAEmqcueRfZtVc - Oa3IcSbuWFrEieYWYVLv1t1Lcd8/+fH3X7znFX60XOWmYg+KwlE5KfVRtMW2NmhSkJYhtUUpARlI - faaq50mEC9ylzM5NePzVh2/+/77y524BoQkppZLlmHIkVuaTNCi3MkCk4H4rFUBIVQgueDCP0yS5 - zIt0OB/488//vw+s3qWVdCl5zyGEdrQRgivZkELIDAVktnlTCfQ9NhFRhfdehaDsve+6lCd0w1U/ - /LJzXkVjIpXgQJQ5qwMDHur6vUmnYaunevFDoqRKWmqzsxRZUmadpXcT0Kd+knLtA4BROwoLg1ZV - Mje04DcGF++64m3X/d10mDUFrrxUlJIER6SYFjgiBKXNgN8+A1uVCEQ0mYx2YKWaiJip9NQQ0VE9 - Vs8otxvleDijAMC62QfRb2bHMd/nihM4IVaGMtRv5otTBkVQx1rSoFmPp5epzJb7Pa6s+1KF2KwG - CCjNfmZN5DgpRIQIXYI27ao++ukvfeqetTtGfmPhjKXYSerEeVKNs1zY54NylOm3LmVVCs7zdKub - f6HSMY5EqqqqDjSrB7JiSwXDag/HK+fMDgCYOecokqrKj+PGLbd/XgbdxmQcAomKQmruD5ezQ54T - lMDm4zkekfQp4mUzgJTobmZMMI5Ne+udN02wRj5570/gOEunJxLnKGtiT+SpS614veWOm3gpKkfS - LJmzQFWIVIlUiZm6FnCcc2Z4moSluOfHX/euq86+dlfcu4AFjspMHHhtY3UwWNhajIqWChOpkijJ - Zl2oHGoJ2Dzlmtuj9ZjnBb1MNEuFLyUGKbhDpXWNxueKxItIJvUcmvESDjRv/js/8opLr1vQpSBN - HKXK9W9XCv/+sx5XZamEjmsppWfLTjGHjTse+EZy2QUPiEoSSSerGmuMMcYYY4wxxhhjzGlvZ7W9 - kcIpe3CERAhBoAIViKS2DWCGNnAVXM3eOy9tQga6hMUKC+6Fv/Fr9y8tRL/AWqMV1AEksVvDBft2 - /9ANe19z3eGlgR80DIiCiJx3DCggJym9mxSV+hpcgVVplWlj10J15UULb7wO5+xBVWEiA2mGbkFd - cyCER3YtXP7rH8BSXf68S9F79vAYA3nWxCyEBEgG5yN6iIwxxhhjjDHGGGOMMcYYY8zzBjPHGHPO - 3vuu6wD8zu/8zr/5N/+rKuo6AIgxh6rJiq7rjrylnLZ5C+ycMhRISsl7X2Z76NAhIioDf5Th/Lqu - CyHUdV3yvI0xxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGNOCmbes2fPM7hw8imQ9+PRCIQMffe73726 - vr62sX7KR18cSebDJ/o4hinJuWmaV7ziFZhLrSgvyzmfrE9MClKUAcG3TNOBu4+Yns7cilNrqJe1 - tTUF5gML9BkMwlOF6p3vfGdVVSVT8LhTwI0xxhhjjDHziGgymaSUyjlUXddEVNc1AFUtod3lbr4Y - 4/Gld5999lm///u/v3v3bmY+ePDg3r17c7b7/owxpzwiEpEonVBq6vrhRx/61gNfm4Q18nAM7ygQ - qyopyCHn44ju6wNh59uOlEAEBqYBsf1vlRBd3MiHvnr7VyIyeVf+rgSmkgqpsCpPG9mOLw3u+efY - o2rPGrjK6j0BueynOwKcCkMSc+t54jk6KAkjUlyv0iQgNd5VYcA0YCwP/RkL3NAGNRu7wuG9777x - F1+08lI/CQ07cJd96rx0Dpn6VMLjbjIVYiGAO6YEIBNaJ8mByAUMAgbCWG8e/89f/dPb7/46GHDI - OZeWZyI65ldPx5W1u3191u/WZdek4oIf53aMNoXuC1/73K13/bewwl1OEIQQvFNVJXQELc3nCmTm - zMgMIdBRxYPOpSCX6O7AjgHvvQhSyhedd9mbrvqRwfqKYxYXR3ENQKXBJyIVIagOVIaAIz1NCh8h - EZJZejcJkzArOymTn4V2O2VW9uxEEiDsXCS3ERMpLVDTrFWvvewHLz375RWtdBQliAj8E0SEzlZd - ialWhWoetSNB3mlB1QQ4YlKQ9n00GXoCO8lKIjgzbw0F7w9wmzHnJ+wNTyRSdkJlU2ElgKBM4klZ - SyQwKSgBSUm0xLBue9Ux4PtHunWalR007bkhJIEwwSNmJcHiAtbH7WAP37P2rf/7v/xhG8aPrx5a - qJY5+a4bU5iGwj5vTDezUqGCc6Fk7M5ecESxNtsqZ9tn2Vynv99ZgR2nAJ1Po597WtU5JyKlVsbM - Oec77/7Wg4fvz3UrIgwHQAHPDuIAlHzlTP1eoHNpzU8XQXj2qTxIgOQUTuEckuZUxcdGD3/zzq/A - ZWI8Sd6z6VEmEnKcNSnro4ce+u6B78Y6qowJSeEVvu/8Z8nEbXKurkdtVwUN4vb6M2+8+sdec9Gb - /aHB3sHe1UMHk0780G10o6qq2jjJnJKLShnTSSlF13U+tj62IXdOMgHqOVecK6fM2p9nbZ5tHTlN - K3vK0BpaZZbk2+gnybUKQD2lqop1HetaQqWkKXddlyIN88py2psP0I+87h2Xnvd9NRqfnQfP54sf - fTjbxuqc1kxQEsQFrBBK4iePrj5wcP2AMBGRJybNW4+hxhhjjDHGGGOMMcaYE2bnNQ3r1hbH8phQ - 10FT50AEUFIokMGVhwJVHdnnhSUEvvrDH/7kX3/+MQpxsJjgo/M0XGiZgYwXnrfvzTdWL7lMzzpz - zbvDio4YPjCYtrkeZq32x9N8PycTb2RNYTAO9ar3ixddeM4N19fXXoXFBosLEGCw1IpbhXssVOd+ - 8P0v+8AHsLSQmNA0AAUfVIFYehKAvmtts29sZ3ZAGmOMMcYYY4wxxhhjjDHGmCdD0k/PTNd1VdWI - IClizL/83l/9xO99MmYwo20jEzt2JUIbwIkd+lBEiCilJCKTyWRxcbE8mXN2zqlqVVXlBcc3FIgx - xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGPMdhH6EULKKO3lsfd+1/JKIHauH5ddafvjdRz1B5vZAyIA - nOe2S7d94/b3feD9nUgmOu3Su6ccJ5USLFcPmsqHtbW1OoRXXvMKBokICFkFQAhBVefHmVHCaZAn - sPOjTeaTaUaj0TFesN2hwBUAmrpaHA7e8WNvZ+ZJO6rrWlV5GoQz/9MYY4wxxhizXU3TeO/LrXkA - qqpq27bcmjeZTEqMd7lZL8ZtR27v3bvye//7J/bt25cliqTl5eWNjbW56DJjjNkphPoJAObSLTfR - ltxrx1DNAMhpS2u3fPsLrdvgAatHFqSkMUbN0Qf2TCLT4YX74O0SuMsAz56fe98tdO5NoZuRkKUB - cNYcEgFq5NY7b15Nj4ITM2smiBOQMJR4bumILUrwaZEn+ecswJvJP1ef5xRWGu6EoGDp22mVFY13 - BM2pyzmqak6qSaE+pVj7UGO4i/aekc991w0///0XXZ8PkBNGafQlHLG/bBnBu+Thbk6zPZrL/0il - /Ak2I1kBICVUFZCl1HlC41bz+kY49Cf/7T8+0n4Xdc7IwXlPDuol6ZZBG/rd6hh78QmngE7fxak4 - BeAAl6JEpGrRxzC647Gvf+bWP3NnYCOvec8hhPX1SczdwoJrWxVRz3Nz69eA8HSo9pmykkpDuwte - CV1ObUrEqhPZQ+e85eU/uphXahk49SLiQh0l95U9KqnSjtRPG29l5zdxPw1bE2SPGj2+pHvO/jnp - WiI3GCyMxy17PxgOY+xYaJnOyI/zf/emn1mQ3XEte3Ih8CTmMjcncMJ8VBh83wOlUNUud5nizuoP - UoYSEU+PVrOSk47MBdi03aH7y/7rAK9U5ntEcX0KHOPK90iqPO03nG0zQgqaFZtzFZKtRRzASkdU - VDBdFdvoDeOAts0cCAAzYoQfYP/Gal5s71+/8z/+5Sd5qRtjLSEuLC3GlAA9erAaAtx0sxdCZhES - zC3UqWu6f5X/MzNniNB8USYM0LRDkvucelHejOAlcqQgqJAIy3T10XEEsz/fHKuABSmIHLhKKYmq - c44rWtXHb7vvy1qlSeyapkpdcgQCsorofNHDAmxrH5nH2u99Uuryc/XzLOi0k7q9+Vufa/2hNo68 - r7YUR3N1BvviAQiQJHOoRCTGLjR634N3dGFjIuuZQXDOOWYm6g95ANRxl+Le3cM8ym413PCKH/mB - y9+UHgshL4wOjxeXBggYdWMXOOccQhAqZdHmVIomBZRECEqqBAJYyT35/nhUaUbA3NapQqJ9ycAu - VCKIbacpO2LnnHO+DkMHh1YXeclNmne8/qfOqy70k6rWigVlcyqzk9np4fY3lFkvPGs5dghIMqf1 - bvXRQw+O0nrSRN6JiJzQccCMMcYYY4wxxhhjjDEzO6xrZNraGMCh9DkRgxnEAHtf9W2KnkCAm/6J - I4ZTBAyXMBz80uc+s/e3fuOelZUHq+GYFkXq2g2hHsFj6HHN1f6GN5zxA69LZ593iKv1TEq+xF4T - EdHcNS6lhZ/c0b2tGciMjtAREpCVBLTlaoCti1V6ZYj7B6UNmBw6xmiwtH8wXLzyyr03vqH5/uuw - uADHHREUCE3X5vHC4n0hvOQ3PozlZSwuwgXvKsABTGAioAEC4Pp2W5q71MBZE78xxjzvEcE5B0BE - 7FJ4Y4wxxhhjjDHGGGNOASTTziU9KsP7WPeQk0iOwbNoartx0zQxRg5VBim7rORCfeDg4Z/+hz/3 - Z3/+GQAKZIECWSVJBiTGdv42hn7SzU/xJLzv71Uu9waU2yoAqCozi0hpmSy3WDBzaa6c3Ugw+3Nj - jDHGGGOMMcYYY4wxxhhjjDHGGGOMMcaYZ9V0/A0SImEI92M1d5N22DT7du+h3I8ELQLQ9u/Lno+g - nrsmswSC5ygAMvDHf/Znv/RrH5yogl1KqVxyqVkkZVJoFpqLeehjS0C684YPUVKdftBpygUDLEog - l5Wcr0p6Sh0qSel1r/7+PhLdAVAQmNSVuBIAgACZZsEjz9Hnly3xMGVt8zGnp5rbkdNOQwBUGQKS - lFIZhVxEHnroIUIJ0+nHJSAIqdDmIEBb5zO9DLiqKoCJXOVrAgKQJt17/tk/baoQYxvqEJHzLPNA - Mbdhb245xhhjjDHGmKOVe/HK+VSey+npuq48IKL51wBo27Y86J85RoWeSwW+3J8YQiAgeCZgeWnw - yT/8g3PO3NdUnlVSSiLCLoDc0edE0yBbY4w5CYSgJKXhZRaTWVql+gKPpESylVQ2Jak8I+U6hLFu - HPAP3nTPX3W+TVmjsDDUgTyYRXPSrETIhDxN3nQKUoZ6LdHd07ZEVmbxrMyKWbxcH7mt/UDGpUVk - 9klKCnJpbZlwfCTd850DX2l5VaVrwhAaMvnIHJmFSOeWy9K7n4T0WwIAgJRVaHqcKgdKISj3R0yI - +ONo730+USAzhMAqrOIFXpiUAIpKkSDei6OkkXx2XrKMJEinkZHqzPXB+gJ68duv+Ymrz361Hy3J - hBaqpTQBZ2pcnTooOZm1vhJvtqNyv3MpvGoFrVi5tIaX9G5SgaLsRKRwglrhEqDZB0k86vKo8ohu - dB9u+z8//283/GEXvHbaUKOdsnJZIgAlQ1fhyy78rLbgCiE7F0kzlEkrUC2Ocw0ZVM1g0o2Sa9do - /3/43L9bX3x47NeTS4Kcc/Q1MjSm7Kp+BPO+ZRVC2kfMloWZb4guW74SEqPTpB4J2QWk3FZd9cYX - /Og1y6/zqSIHJO91gcSDXOSUXFQShtC0bX46HWdg6k6hzEqsDiAFl9J4NunWqTxJLig4durZQbqU - 1kNFkpFjNaS9e+jct/2dHzsj7gsblWaBR2YB4AUhM2c/iwtVJiEmBxBBwew5yOr40HFn0D5LRB3I - JcmuItGsmh0IQrOW/FK+bm3Y387sOWdNjoeSAhGJdqrqQKWsnh7gym4oO23lKJCZMyOzCGdGIqRy - rGdxLlOmEvEMBgjCKlBWMGb7I/oTMQUr/HRvnZ5SAaAOlEBSOiRLLWJzwrRSMf1AJKg8KCkTkkAY - kcA1IsbRr99632c/d/unxvXDOhitr284qgEIZWYGuJ9nzgHeZ+fEASwsyWlyWr6LUz29W1VVlRmA - OAEJJZZEedZvywALO+nXBjK7UjtAIgciUnEkRBBQUo5KWUkU3B+lzBObbbcoRxMFKZwKA8E3o3FX - LwyUkIUmaL+bv/PlR25q0dXMEscVgxIgEKfZJQAkYKmgPjmJDsC2iyBW0LTVQokzcWIkRiZAPSmC - w0Z+/K6Dt31vfEeuJYsSPMAZmpGFFNMKJutmsP0sBn7ndf8+25hD00aJXW4Wmg05+I17bhZeS4zs - EMmJdoRUuo5JQaxROl+zbmgzHl574WvfeOUP0+GFWnYROa3QYpxdBwbAnoNE4qNOebgvl4jFsVB/ - gqQCykp5eubVH8GPOKZPR8ma1WgAymXLZCGfHYtjhZJEtMlFbVSqHJEAMJzGLsuIXe665Nuls/Ol - f+/an92ne3gCEfjKSwIygqsAzgp5ihG5jiGTZqiCoR7qSRnlpAaggDu/dzuqSfaSSZk978ALRMxz - YnY9RnlcnMTPY4wxxhhjjDHGGHP62XlN/9T/oNk/j3Efz9zEQOlQBxI8QsCwxtLg0g++94Lf/OjD - TX0wNIeVY6hzhqpDFTAc4vzz9rzhB8+84Y3LL7lsfdfyY86ter/ONAI6kPafQUvPy+bbTluoiDB7 - mgjlOQAAE3thJ4xUmjsJxGAPZoiiAyaEPGhGVbWfOZ6xe981V1/wtrfySy/HWWchVMI+R/aDlUkY - rA2Hj+9a3DjvzFf+5kcwHKCpxyjdbLNpujb4yCsjcazVZowxxhhjjDHGGGOMMcYYY04R/V2TT+el - IficEzMvLy9vbGw451JKzjkm773/zl13vfvdP33zLbcRAOYT2IFUVVVKiYiqqlJV51zOWUQALC4u - TiYTESkvKE8aY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGnFzl0sz5KICqqjTLJS++qPzKOdfnBZyg - Sy5zTFAwl9gf6kT/81999sO/+VGB+iqAqW3bwcKwaZqu65qmmf/bUzfg+IjsagYuu+TSpYUGADHD - EaiPlDvman7OFnwHZmw/S8qAzqpahs5R1RgjET3wwAObARCqAAikT3UNs3Ou6zrvvarGFAM7VZx1 - xso/+tl/OGzqEHzOeTKZOOeEtgT77cxcc2OMMcYYY3aOjY2NUnsvP51zAEREVctNfMxc1/Xx3a+n - qiE4ADFGZqQki4vDT3ziExecd/5wOMw5lpsEiWh2n6Axxuw0CjxBhuK01Jq2K5EidTk4bmVCA73t - 7i8eyvsjugyFeoCnwaQAZs0XvLVRpB/dt+RoTj+B7598esM5z6L+oHBMMXdjOnTrd/42+Y3sYpek - 5AVCGRAhKLH04aY7Ls10hxGU7WGzJVGAkuA+j+dao3beENw7yTThFHP5zVzyaEv2oQJKZbNMStJJ - Fkci4oQrDW7Dnzt40dtf+xMX775qhc6cHJqsDIeeeH19PBwOZ6N4E8ouzMdqgOybDo/xPU2TGEnB - ApbytYtQEkoAlNK6P3Df+u1f+OZnOz8Wh5jEe79lHs9ts2RSIe+cI5EUY0pRSnEQc1YvOhh/9tZP - 7598TwdpI0985WRu051P7T1mdrL2/5Vf8ZbfEquCPLwjbvmCXRf9wEveWI0XnThAWByLK6VoCbks - vSVzAeenC93M3NXpwk4XeesL+xdsPkMQ6tevqJImv8i7rn7hKy898wo/bjiXGjqEwH3eOVM5JMzN - RJlIoaoZsU1jOep9T6Kyn0lJkibd/Akcuf8d315D/UosuaQApuXz7Fg79y+So8rtk0+B3C+79Pni - JEolx9dDp2sPs8TVI83VK3iuW4T75wlbOip164S5n7pZCFCfsd0XyMLQgLGu1WfkT3/hj7/63S+2 - 1RqGSg6qIOGMLJTAysyefIr9CZ6Q9DM4Zbsgj6YkvLnaoSSbWctzWc7TrY8xjVovezspTTdPAWSa - DTxb5+bJTA8lADb3aBKOMTvnUhTnXMxtrttb7rlpjQ5mTuWL4BLSLJsHa1Z2wjzda+i4+otLendf - kyYIkAlKTOpZHTuQx7o+fuudX0Qt4pBUVDcbYWYzOdrzs3szZ3UuVFUV0/jQeP/+tQc66bzv47FB - gmlke9l96oryKIducNGe77vxurfjcLWnOTNPcikMp8fXslfyNFvmGEqA93QqzwkgR5eUT6XUczZn - WJ5Ukswpc8rTukF5F/aaNTX1YtCBbxdesHzR9dfc6NumDsPRKLkADjyZlC7y4zxVm6tybGbDJ0Em - eejR+9SnRDGJACByx/UOxhhjjDHGGGOMMcaYp3CaNP2TwCk8oGD1jIHHrhq7wqW//iv37R7uXxiu - 1wvc7KJ6JW+0aCoMA5YqnLWLXn3Nypuv3/PG166dc+bGGWeMhgsj7zoiJYBBDJprntdCAEEAaiAQ - mOBIuXSwEE0UET67WpxX4qzIAsmAUqfQejipFw41i+GSl+x7/Y0rP/J2XHQBFjxqVhHtwNVu1zZp - Uh2ohl9HPudD7znvn/40hqWFVCsXTtYaNsYYY4wxxhhjjDHGGGOMMc8h7m9Km94TeKS5m9DIufXR - iIhijKoaQiiPmfmmm25617ve9e1v3wUgBK8ixCesf7Drurquq6rqug7T0frKux86dAiAc857X3LE - bagOY4wxxhhjjDHGGB9IvDAAACAASURBVGOMMcYYY4wxxhhjjDHG7BA8N94KiarqJZdc0g/VLAI5 - 8cPVl/G+S4D3wYMH//AP//ADv/ZrG+NJ1TTkeDQZx5zYu7WN9f7188kcUHqao0/vYOecddYF515A - gCYhIihEwHTk4OekdJoMhbPDlAt9sxKXxBjHALz3d911F4AyHH15TdlKj8iJAKaXNkN9FXLOAHLq - HGE4aLJkBn7xF3/xrLPOOnToUEqprus+wGQuoUqPemCMMcYYY4yZJyILCwvlRjzvfdd1JUKbmedP - Ktu2FTkyjfIY9MggI+85xuiIS5XcOfzWb33suutetbS0NLs5kZnLiJt84u5DNMaYE4dpa2zeXBxm - QVyy90owWwY519F4TGu33P7liMQM1mm687SQZO3TGZ2wK+FwCulbEAUkTuH6hhJfohyFSwrp9j49 - ETNDuPv2PV97ZO1BbXKXxt47p+JUQBlQqGP1whkULbrb7GQhBB/qTiiquIHEPNaxnrdw0Tvf+Au7 - 49lDGY43RtHJwu5mfWNEKqxgAQmTcv9zSyQt+ojaErE5H3v/9OSEcWz/6y1//kh77zpt8DBM0oYP - IsTSFwx9THVmyfQs9AFswSREoqCopJmDhsBVBx7HnMJKc/M9f/3FOz4bNY9HaTAIOcfjeA9SOIVT - KeNRlH8iahOcRtQaFrrd113+g+efeb5qPuFLeJrp05epbHcMdVoSjikGp6lNS8M9r7/urbUsL7lF - bYE+G1iEk5AoT8f9EAWgqrMI2CwynkxOwiKZ5xApqI+GnVYN+vB31xdxAAlDPZSPPk17WvM/6k9K - icY1DneHWzf507/6kwc2vrtKj8XQeRoEHSipuJilE0mOK5BPDsllUGaVOrEXUpLMx4i0N+Zp4iM3 - zgzkaf1cGGC4pAmD9Nj4oa/f8RXhLnPZ6o6s5pZK/nzFgAV8fNHp01hohvDWCntWwCGz3vbNWw+3 - j0c3UcoAHBwDrCWYBKXCPxvT6XTKud82SUxKxDHHBw7c/9jGfiUQgZRBkkmU+lTssqJ9R3UcNLL7 - zde/Y8/C2QM/bCejOjgu1wBIRerLN3LMku2kU1UhOAo5qyIuDZeufekNL9h9OY3FE5hq1UoAImI6 - kek+QnCevvfIA0k7JSiyaqYduIKMMcYYY4wxxhhjjDktnOKXxNFmbMGs2ymDW7iJ81oH7Fq69gPv - u/j977tH9bF6uL9Nbs+eNk5i8GPJXVN1FWP3Ge5FLzz/h9561uuv333Vle4F5492rRxqqkPerzpe - 97zhMHbUMiWGOGJmz9x3T2UgQwRZkRWJIMTiKBN3zGOHVYfVQIfq8HBw3b599cUX737Na858y1ub - 667DBRfCe9QBISRwx4F27300y6OLiw8uL5/7gfd9/299DGcsY3EA51F5wLltX5ljjDHGGGOMMcYY - Y4wxxhhjTinK2+0Ratt2ZWWFiHLOg8FgPB4DqKrqU5/61M///M/v33/QOTCj65LzXk9ohHbbtm3b - ElFJ6QYQY5wNDlJeUB7YUB3GGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4zZgWKMzrkXv/jFABhU0ouB - bQ+d/0SIiKifrYg0TQOgTfnff/KT/+w9v7z/8ceFSYkESo6XlpZmaUOn2WjMOcqll1ziAAg0CgAC - iQoAma7snTxU92mghIWoqkDLBrmxsfHwww8DKFv9LE3kyaUYQwgAmFhURuNR8O4F553zj3/hF1YP - Hho2A0cU227L3mS53cYYY4wxxmxT27ZVVTnnmDmlhJIMxFxu5VPV8uR2SM4ZgKgQQRW//du/9eM/ - /uOj0aht29lbACg1eefciV4mY4x5RliPaDWSrdOUcp8eB2bmzJLq9tsPf+u+/fdppT44qM5SBvsH - hDI4s1M46X+lxEoCCKnMRQszlJTkqMjwpyXG7L0nn0dy+Ct3famrJh21AJzAqbAKAFKGMiBKabvR - xcY8Z4QwjqnNSURi7sBa1V6jk1U+J1z4c2//5cW0a1ezO6V8aHVSN2CghNqW3dOJZ/Es8zu1gAQQ - IenzMreHva8zuoPy0H+6+Y/jcLSOVXEpaVZAp6Ovl3jd8hbPKlYEcpolpaSsqLwEp0hZWxqkR0b3 - /+Utf3IY+13DmlE5jmnbDeKkDDBpyRNlQUlDZ81w5L0ireLKF1z7yhe9ZrzWOsewaN6nUqKXAUD9 - dMR+IY6grJknq3LZBVe97KJrsc6NelIGkBlKmOV29/MhUlXuDyWiLo+6dVv/pznaUhXp6xjiAaYt - Y8cw1AP++CIheLOG00sCrlzkTgexq0f/15/83lp4fMMfjiqSOavAoZwGCkGZEufMCRCn8Jl8BkiE - tnteacwRhGfbPwmmx3HvGJIc+ZQ7Xopfv/tLh0ePachKWUmEMJ/eXYK6S849SKjU0gE8o9js+R1T - VIjIpQQlcE37Vx/55n235TCCy333qHI5ts72UOusBsAgiHZdSxXf/fAdnZuwg2Rg7kqGUr9SAgFV - bgbd8NWXX3/Rvpdy18gELArKAKAe6qAOStNq4Y67JCBJdi7kRBLFM+Wou/x5r37pm6quWnJexcWM - umq6rnOMbY8OBgDT7RwApD+jJDgHOB53o7XJKhHYuaQiZBuhMcYYY4wxxhhjjDHPilN7iHwFOkhH - oiQlvZsUDvBgQhDUSRjVAMsr1/zLf7Hv1z94+MLz7ud0IGsYLgzqYR7FihtEQRLRTvcs8xWXLb3+ - dbvf+ubdb3zT4stfFS654sDK4uHl5nDj1zyPiVpBEhUBkQOY+qZdAjsKgYIPFSmlNrUbOR0eNunc - cweXv2zp2led/ffesfxjP8pXvxQXnI8zd2VNbTsBgKZZWxt5NODhXTHec9bKmb/zkRf+9odw1hlo - aoShoMnqQCFP2lk8uTHGGGOMMcYYY4wxxhhjjDmtEfqbq+dtvXt8SoWYvGTELrcpCzGT/93/7d99 - 9KO/sb7eQpFTP6CeIz6xnU11XQNwzuWcu64ro35gOjxHeUFKqQzqYYwxxhhjjDHGGGOMMcYYY4wx - xhhjjDHGGHOSPUEu9DXXXCMAE5cxwU/gQNE6VR53XcfMADLwqU9/+u//1DsPrq1xVWWimGXSdvPB - P7NYoJ02bvV2kYJEr7ryZZX306eojMkuR79SiZVO9UXeaXhKRHLOOWeB3nn3XZ1KH51OfUqfCDbH - I6K5qX+mvGbzextUdUr5Ix/+9cXB0HsfQnDOxRi997MscMvtNsYYY4wx5ulg5hgjM+ecy315AFTV - e19OJEWk3Mr3FDM6xpCVUmZVVR4AEX7lV37pH/yDvz8ej+omqOZSmS91+PLTbgk0xuxA09BKsEII - QiWCdxqkrVwi90h9ibCFckI38mu33v3FNRyO3KlmlrzZKKVzcYDKpHAlbBUQIBNACbQZOTwLcwWO - K6gNiJLAmQbp1rtveqi9nwaccudUnCpK+ps6AKAMyse8qdyYHUI9K6EaVMH7rkuSuXHNAhZxIFyx - 9+ofu/7dYWPJq19cQtuCGZg1NCpYqeyqLH02JyERknJSkuNrGCZRBHTDjZvv/fyX7v18NxilkCJE - iAUMCE2zRZVEn/X0bqmYnIoKK1yi2Oko5qwuxfrwX33lz+5d+854MIrSLS4O19fbELY7f4YyCU+T - TQUAi3fCwbO0saG6iYtveNnbzqCztaNZO615YjI3lMe0KIaCYk7d8mCxkkFedTe86m31ZHERy058 - OfRk7rcolHxZElaZZXECECeTbmTdHac74Vn3Y/nulaG+j9kmzHpKBE7gn3A2T+CIgFtS7hMRHCYx - 8wCtGz/aPnSQ9v+Hz/zBejgU3SQzchZCCKEmIoF02iknJS21KdenFJc+SKtvmOM3GxRJSABRkkzI - BNVMWTRn9jgQH775zr9N9ThTl12a7ysUAm8ZWElIn0Fct85qzyVAfLPCnnMmx6KICgqU6vilb31h - 4lbFJSIlIlImIZK+VURJMJ36+RxjDKjTHCsYBEjSRI1+54FvaRPVkeQtly7wNGHdZa4n9WX7Xnrd - S17vxgtNXnJa1d7l2KE/k/KA5526GhUgH0QJgtrVqqrRYWPh6gtffeWF1+gGqbCAlB2yQo4/3Wfu - 2o9+g1VClOhqeuzgo0LJeZrVLowxxhhjjDHGGGOMMSfctrtqdpjSdCgCdiSlob6kd6sQO6IwTJOx - ryqAUNHF730P2o3v/R+/d+f+x13SPXv2jVcPL/uGNCE40cxZ2ROqBoPlcMbZIbYX1C/DZIz1dRxe - x9o61scy6SSmSTcR6lMHiBw7R47hMFgYhKZZWFnByi4sDlFXYAZ7cRDHfMaKQNvxOAzq+ozBaDTq - JGPfvjVuHtd80Yfef9FShabquMpwXU4N2AHsPQiuqU/qqjbGGGOMMcYYY4wxxhhjjDE70WAwOHDg - wOLi4nC4OGonVVV97GMf+6M/+qOuUwDMEIFznJLMErVPCO9927bArMuMYoyYjgaScxaREIL3vjxp - d3UaY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDFmp3HOSUxXvexlBCTN3vkoCSfukksiKtHdJTW5ZB4T - oEAGbrrl5rf98I9+4nd/97JLLl5eXBytb3jvy6D7szGvSxYR6akde+y9v/LyK7qU+n8T6TQeZbau - WY9/kGvz5ESEmYlIoM45dk6BW265BQAz8tzQ3wqlJw6LZ+YS/U2AqHjiGOO1L7/mp37iJzdWVxcX - F8cbG55dCIGZU0rz1w+f0huwMcYYY4wxzwFVDSEAcM4BKPflAWBmVa3rum3bkuddVVXXddudfwiu - i8kx3vWun3zve39lfWO1qiqiQMxElEtVn6i8e8655IUbY8yOJHM/5/Xp2qwEaEbOLj60/t3vPPqN - PEhJO1J1BKjMJwKS9jF8LCDMolgTAPShwoACylziBKetHNtt6gjBdW3OLHDxwdF933jgK+deckk+ - lByUFQKCzo8RLYDb5jsY8xxRQtXUse0asPc+t1lEhMTD7W72PP7A/le+6PoHHnvwc3f/6dr40cUB - ta26fnMWgEssJsCkrBDufyGzNnDFEzdQPtFHUiUvo9TyQvjLm//k4gsv2+fOXQwDTbNdtYxbXtK7 - tz3/bSFA0sQpgSohCCWh5IPzQ77t4S/fcu9n06CNJKxdJSACEW2rS4IUOl0WAErCKCm8YCSJSslf - 9eJrX7jrErfe1N6LiFMrT54QTXthZpT6fovSvyOtDsNC7LqzV86/7orXfu4bf+FWQnIdCEr91+Cw - +SeAlrx0IlWSjW5k0cint1KIbfaAECC+BMCzTjsFKQH+mXWTCOm0G40EQM6oKrQxO57Ui82B0YM4 - FP/TTZ/6uy//2bpqaEy5E+8CESln5Y7ApCAlEoaybZbmRJk7pDJKpzZEBSGESYp+4G6//+sPrN4r - TZcRy+tK4clHHo4FEJAIgbWUqSLb3WmUy1Ge0e98fTVDxFPlPUQxyZO6cXc+8s37D9116eJyoMDq - iRxEAEBVOQsU/TnF8xqpOiapdTUdfvDg99JyTKrEgIJ0VrMSKrkwUtXd0vVXv2lfc36TdslYF/ww - Tja896IAqKxUYNvf6nPHu27cVWHgg18ft1U1yJ1brHZf//K3fPXur8Qa5HKMMfiQc3wm8T5zmfdQ - QARREtX68OMPyt4sgJRKyIlYJmOMMcYYY4wxxhhjzBFO7ehuAqrp49L0itJSr/CEPMqucr4agICh - X1tbW1pcQKjPf88HkAUp3vy//Nuluj48GS/FtNTGKimpoDT4EqFmNEOAEBYw2I295Vf9lTieSyfq - tHuUCEQAISkA+On1NaVtnoiFaHWdVpZJhCTDhUOT9XHl2mYJe/e88Od+Zsk5OIdQo3MheHJgFwgQ - oBWtHYEQY9eEauc2KxtjjDHGGGOMMcYYY4wxxphnTrc3pMW4a1d272nbtmtjivK+X33vX/7lZ0QA - hveUogLIWUMIJVr7REkplVE/cs4hhLZtiaht26qqYowhhFlSuOV2G2OMMcYYY4wxxhhjjDHGGGOM - McYYY4wx5mTbzMPW2fgk5XnGwsLg5VdeecvXvqaSoNsP3nliJdph/nG5/JLZpZwAfOvuu9745hv/ - 4Pf//ZtuuIGDh/a5EJvxIVRSg07xyDTR73vJS1Cyn1UAgEDEJcsc/RguLGAgn8SPebrKZYRvJs3i - nIdzKvmvP/83wGYojAiI3Oa/j7UXSM4AvOecpPZOs2TV//lf/+tuMmmqqvKemqabtM65tm3R55Rs - nQMsoN0YY4wxxphjm9Wfc84ASr26aRoAS0tLa2trIQQRyTk/VW73fKV7M48txUzAW95640c+8pGY - 2l27lieTiXOUkoqIiOgUAMvtNsbsQPMte4DMWvdECXNlHyuVAGBxuXWTL99+04HuUalT5uiJnU4b - 63SaF1zaBwlCwhDhEt0NAE76IY6nSqOWHG/7oXqPLKosaNa/fNffXnvhG1bcWZyJlAGv06xuBRRs - N2abnaxtx7HtnAbnQ8NDdZowEZLggs+1Hw/e8Zqf/t7+e+84+OUOa02TYyp7UpoO7F3a6JlQwjHB - hGeQIivkeZJaP3SjdvRovOu/3vqpH77qZypaCejDOwEIydaugWePSE6OvVLIKkQ5BJdEVrv9f3P7 - pw/IfdlHAYLDeNwNh2ES43ZHYiAVzKIvCdCSCswpS+PqXXrWa196YzUZNDRIkhWW/PjUtqZ3z9YY - e/KxTSpuZbDr0OrkB19+4x33fuu7+d5OWnUJW5PgM4TJkSirhyZVFcTRZM2+gOcFhczqIsQAs047 - +EqlQ/rukW0f36mf/xxmleDQtmgaaKfRt27gV/Xxv/nmfzlv94teceHrlqvdNHEQTxoRhIOQwqlj - qRSUWIWFIKzAtPphzHGYFYAKJlXhUqOGCNh7Zqyn1S9/54tttdHSBk3r2PPbc3+QLlkeSEoATWO3 - gW0dwYSYZ+cEJATwrM/TcUqJK685RYB9RLV+0zf/5vxXXewRagxZGWBVFRWoHrGjsrI8/9LuCWBh - ZZU63f3wHWNsZE6iYC4VD2ZlUgYSACeoUv3yF7/qor1XLGBZJlRz3Y4mTajKl6Cl7kJ9xQ8kO+3i - ByFAk5CoZElgcR6BhHXsLt7z0itecO2XH/+i8ySi5AllCbZZoJeTSFYA5egw3fwJYOp0fGB9vyAn - SeQoq9IJvGbFGGOMMcYYY4wxxhgztbOaJrdNGRkQQEFgKQ9LI3uGqx0ACEAYJamWVlr2OqgjAoYL - qBde+f5fu+z9H3zRhz/y6PLK48srhxeXNhaWumaIqkLwcCTM4pxwkKpGPcBgiMEAg4EMKhlUaVin - YR0HVW5CDi57FgfUAXVA8OI9vINzmTgpRCGLK21VP5B1tHfvvewfWFw85yMffeEHP/DCf/KPMRxg - aYDhEOQQKiJ4gDKcgBXBkQAROYTqqdaIMcYYY4wxxhhjjDHGGGOMeT5R9r7aWB9Dueu6d7/73X/x - F58RQQhEhBjLMBlQ1RKnfQKHzChDgZTBOCaTSblTva5rInLOAfDe55xzzvODThpjjDHGGGOMMcYY - Y4wxxhhjjDHGGGOMMcbsHKpa1/X6+vqrX/1qTywKZsZ2gzKemIgQUbmWcnY5parGnECUAXZeCT/1 - Mz/93//zfx6zKEGoH+h5frjn7Q79vNOo6oUXXthUjfce6MdbFxwjJfpUX9KdaXbdb5Isqiml8Xh8 - 6623KiAKclx+W64BfvIoF8cuJ2GAFKr64fd/4MorLm+qGsD6+rqqEpH3vvx8ThbOGGOMMcaY04qI - OOdKHb5pmvJgbW3Nex9jzDk75572TYJzyVIEANdf/+p/9a/+p1C5EFzJAh+Px7NqfFVVRJRSKp/h - xC+bMcY8U5tRfHxk8wVPJ5Tka+GUfBxj7dY7bt7g1cgtVwRISptRfEfk7ylJZgijNNCVadqcV7I2 - BZQAIQXJ9qIEAUwmEqoqCtRzrtO3H/zmQ6v35zAREigpbS7dloU1ZuchBSQvLw4Hg0FOmnNW5JSi - qmyMx0tLK25S06HhT97w8+c0F9fdII4AQBiZkV3KLJlziUnWuXlynwDKx7H9KzJ7xJjVp1itfvFb - n33g8P2da5Xm0j/73G4mZX6WRz5wxKU2JUmcEDscag/c+djtX3/wy2M/hlcHBOergJTS9mcvIAFJ - JmQG5trXSRF0cPGZV7xo92UDGjaulgi2XN6nMD0aKEOZVKfPkGSCuqXhIqLkcVzkxX3N+a98yQ9U - cRByIO1fp0fNrdThQRIRJzJ5DuLizUk0rTOwAkpQwrSqIfN1DaWkLMqyvV4w6kPllURJQMLKJEzK - OWPYoB0jKdhDqjjRjdis/+lN/893Dn41VqNQe2aGEDRD1AlIGSABZ4b2WctW3zDPSEm87v+hAeoA - CIlAO4lSxf3rD93xvW/HOo4TMN9t2JeLAhJF3zmu3B/SnklnsYABkG4pep1zMUYVUoY6ZO6qXbjl - jr9tabWlceJuPpk7qyqgJICSMk93k+dhUe7BOccJj+5+9C5XkzooQbX/gli8k/5L9bkKcfj6V75l - mFfyRmYhxFz5EKpBiiIEQJSTUpLyjQNSirWdJKs0w5o0pzY2zVAzEGONitaG117+ep8rllz5ICk/ - kzazIzZOAMwgT5M8afNIWPtxukjFrpowxhhjjDHGGGOMMeZZcFp0jShDGdovjACZoAFgwKH0Dg+8 - 94AHBAgDDwBVwGCApWUsrlz+4Y+c+9EP7frYR7+60ty3d+XOxfDw0K9WrguUoexJc4fAkAjpNLcs - mSWyRJbsVAjJUXaUmUVCbN0EAYyUoa2QIIzd4LHllXuXlr9dLz543gV7P/Trl/72/3jFv/gfsLIX - K2dg+P+zd+/Rkl31feC/v99v73NO1b23X3oj9BYSehgsoQcPWTbGmOAHtsE4ZDzOZLK8gsex18ow - k8Tx4OCZLC88jmPHcTCeFQfbie3ECsGvccxgiJGRMW+EQEIIA0JISEi0+nHvrapz9t6/3/yxq27f - bnUj3eY26lb/PqtW96269Th1a9euXXuf8/suoxmDWiAihCLIDAANQwzBUJ9KA5HDjjVzzjnnnpJ6 - LDcAj8ZxzjnnnHPOOeecc+4UwYcfIj5nZsw8P07PWDimoYhITtq23QMPfOkHfuDVd955NwAJPCTT - AhjMoItDFVJKqls+boEW1Sdr+bymaTZ+papmpqpHFPvYOCsi9bBS2r4Sls4555xzzjnnnHPOOeec - c84555xzzjnnnHNb9cQiyBuGYRiPxy976UvNlAAGQbfzuGxbqGcXO3MySwQhaylGBrztt3/r+77/ - +x985OHQtVltOutFhISNxJRqjea6W2YpBYCIHMd+oU8XDjKkdOGFz05pAACzjXz0+qQkcipDsaxm - G+HlbrvUKD6F1T2Bp/3sgQcfuv/BL8+TRRYN6bCcmKO9CYJwKUWEAFgpVz3nih97/esZ0JSFOEqw - orX8PRGpqj3hpXxGlDpyzjnnnHPu67X5e2JKaeNyVa2H7NUDCUVk47vkxnC9lPKkXwZjjHXcXg8J - JIAM11137S/+q19YXhnXALkY4zCbdE1gZl2oD83M9Yunc86dhDam+NjmnWHtxwAQETMnTUPppZN1 - PfDpB+/eO31Um8IdsqqRPTFlrc5dKEGFVKAK5k3/BplXO6YMymJaky9Jw1bnOUSgqoQwZC3Bwg55 - 1wf+SJaTtM1kWpgEQNYSQlA1Pq70Yue+MRjagaxPKakFMU5qvQSqybJZlS12uuNMXPYDL/67o2F3 - Zw0K1CANpr1SoypllgcLR7vvWmZ8y+3fzECGnIHO1nDgz97/R3nUD5gxcx13UWAIWTbZ+vt3ixgg - VTVkZuLS9LPEO/s/vuP315t17aC5BIUNgAUzo61vSyNcilrDfQYRAhGLGkqkkU3jK178qmYYlaEM - adaESHXdwz1FNE9GBwAEprbv+1YkknEimo6+5fnfuTueJbkRk8iwAgLMIAIiqJUYY9/3XIsABxyc - HjCez5JvVAauo+6n7Tm6bWX1RBu53TAyI0UwNWUDEYjQD0qhKA2b87yfIiXopvZS07sjUAY0gkAo - qgZDtCFO9/JDt/3F274y++I69qU8GYUmT1MnbU3pVoKRKlBqujHVtGTnjhtvakLCFkBmbBxDQs5N - /8FP3ZHjsDrMRjtQdD47sVFPqb5llMEBWWEEDjDCkDAaj4Z07Ic9Bj3a550SzEqMsU6wKFAE6/mg - NrM77rw97EBCXzC0bZuzZrUYWzwhUvo0LOnOBk3adjK007sfvNsCTGEGCIwQoyCBMgEQBFsPN191 - 61njixvbEUwClAWA9X3iEIy0cAYlUDGyGtp9suV2A0qEnBMzRwmai5m1AZy10d2XnXXts3adL4U0 - DzFKKfh6dtNgANCNZO4CZC3S2DStmZW6E4jX6Tqd1YFirefmjcE555xzzjnnnHNu25366yK06d9N - dLH7y8avNp6q0WJmPgCR0UaMRtixgigv+un/4zlv+EeX//Qbz/2pn3pwZceXmu6rO5YeFHt8ZfwY - 64HRaDJeWm9Hw3i8FttJaNdDux7atdgebNrV2O5v20eY1lZWHlL7IsvD4/Gju3bc3y09fs55Z/9v - //iyf/pPnvdT/+ym//UNWNqBGNGNStflGAcJhYIhGHEBF0ChBIXNT2SQZ8JL5ZxzzjnnnHPOOeec - c845545fCEFVZ7OZqopI3/cxxlJsNBp/7GMfe81rXvP5z38RQNvGnLfz+ISNSh855xBCLaUXQjCz - 2WxWr1BK8YMDnXPOOeecc84555xzzjnnnHPOOeecc845d8oxs8CS++Hmm2/u2g6L7IRvgFqO2WAk - TCx9zh+/6xO3futL3/Zbv7myc0c7HvVD6tMgMWQtTdOo6mQyCSF0XZdSyjmfQntv9v00xnjVVVeF - IACgBjOoEVBKkcAlabfgEXHbru6HXEpJKRlT13V/8id/Emr7OVq09rHeAzkrAY2EeoVf/le/dPaZ - Z1nRQ6lZzjnndMNxYwAAIABJREFUnHPOuSeTcyaiYRjq188aH5VzTikxcwiBiGpu9/HdPxGl1JdS - iFBKjg0BuPTSC3713/7KueeeO52u168GzLyRXOWcc6cS0sPmIYxKMeYAcClFUWIbOGJ12G/L+YP3 - 3JElQTAMBsF8zukYPV8qZgYhcEGjiAUCpKEU4lrfuFYyJoA1AEfJHH6SDaf5R0BoYgatDgcem37x - gX33HexXRysrBZrywFFmQ2aIz4+5kxkZh0KhsJEVssJFSdlAQGhDn2YASEMYlp69/Jxve97f4vVR - y60ZtKBbwpCzorRjyZqVsPH+IgMbaOu53UpQAxEkgAnKyKH/yuSBD9/7vrBE2YaUUjvqJrP1lPOo - HZchn/DpTJJSijSUywwAN3b7x9/1OB7p4ywLWBEU807lGJXVv7ai2QiFlCIInLMZEhuoj8+/5Oad - fNaIVoQZbHVgue3P75nECEqmBKM6Y65kCpiBFQJjMoCUoKIsedSk5Rc898UyaUNp8wBhUoUw5TzP - oC1mAJhIASOd5d6s1LhubFqB8nH4M4wBCtbF2h+gVnohkAIZKWHX7lC0HMerbot+UjfF3DJAxqzg - ec85v7xwnsSDq3Hv777zN2btfuuGbNNxO0qTVBOTjYqSgRTGCj5qzrFzT9nm3G6GBZiwgZALSorD - 3uGRux+4a72sjZZiKhgyAJCBdOOGqgQDZj1CABFSAhvEMFvPoxCPO5eDADZg8ZZUqj35/EIjFMlD - 6O994K6DZW+SaaY8mU2b2DVNM+1nh57hpq3V0+/jVJiT5gNl34GyL1vhjZdbMJmkQKELHcDI4czu - 3BuuvCXmJSktGQgZyMZqYAUrAbCNuG6jwzq0k4eSGmHeOEkZBShkHEu3Inuef+n1MTWNBGhWRey2 - due1Mzfa1KZpPgI0BYDCeZonRAYzAD5McM4555xzzjnnnHPuBNnyrh4nF4LRfJpx8zzrYTOP871i - Nn6vCgOR1AlQ43ptG4xGu1ASQgMjlHL1P/lpBMb0IJqIgi/c9vYvfvq+M0bjjoNOpzEG1Bl2ghIK - 1Z+ZmrB/fXLr//UzYIEWdCOYIXYwIGdIQBAMU7QtLFMhBGGADUrIgAEMjVAYYIuFcwUxjFgXu+k4 - 55xzzjnnnHPOOeecc865Z66jH2CQUmqahjnU3G4zapoupXLbbbf9s59+o6qqIQSe9QkAM6tuz4EK - 9a5EpFYAIaL6g6rWIwM3FwExMz960znnnHPOOeecc84555xzzjnnnHPOOeeccyczMsWifEcT4nQ6 - HY3GQ8nf/crvuu0P31FKZpAeK8Nn2zZCGVoTeFJKgUWBPueyvva//+N/+ud//p63/ca/i00oOU9T - Cl2XU1LV5eXlyWQCYDQa9X3ftm3O+cRu5zZp23bI/WUXX5JTqZVe2BCbQGopFzKAMJlMptNpNjRR - PLViexVTgwkHIiqqJvyOP/iDYvokf2U7SpmbrmuG2SDAz77xjS+47vlpOqmpPEfe9AkXebq3c845 - 55xzVQghpdS2rZmtr68vLy8TUQgBQM45hFAP3FNVM4sxppS2dP/MUCUGqSmAPNjFFz/7rW996/nn - n7+2dnDcdkQ06ycsBKCUwnSKlyR1zp02Ds02LH6YZ+8ZE1gBY0uagxA1lml6//777n3oLuwsZKiF - f40AZhSd1y2m+ZHYdW6KGSVjHLthljoWggxaCpVaeTjooQct4Hpf2GLmnBmkBsMWNkv7hi9//HN/ - dfZzrywKaDEuNXZYhFHmz865kxAbNyUU0kGGLCaWxUAaxGBihmJiphoonNGec8tVL//c/Z+9Z/Xj - MkpgE7FeIQISygOY53XDN09F0tGmJb82RQByLUhuCovy1dUHPnjPu2+45IZWl3eu7ByGnhlBBMUC - R0PZxj/IUUkMQ+mlKcbD3ulX/vKuP19dejwLFGCDKBu4kNbw8q3OnZqBGMVAAWUQVR2xGWic99z4 - 3FuXbWfUpqAkZDEmMzspAzJPRkaHtT1jnUdsKhsMEI2i3Y1XvehDd7/v0X4WuyarihUCiiIEFAbX - 1Q1jQDPptJ8azatw1H9rOQ5fBHlmYYDnhWJq1rsBVhhgCgUliqU+54wYcXzdzzy92+ryIrAYBc07 - T5uHChupjPiRxx/myG//y7e97pa/v4vOSmu8NNoxLX1hBQpAogFgBRupEti8i3BfD95cJYkMgFos - Oc7uuu8jj6w/QDtRgGFAGwE95hBXBDnXKzTLzXhYT9KQ8WyLGc91H4DFTQyG+h6pl9QI6flPRfoH - D3zxU1+68wXPfgmgmg2kqhpCABIAMtr4gFaCkSr4tBqii0jWfl//2IG0tyATCVsxgypI0EkcZklB - SPHaS6+/aM8V4UBHJgwYqXKBMWoYNhSkR1TTUtJDWeAnB4bSYpMYBaTKagiUqdPR8y++4fYP/dks - 7i9UC3rxseqDHYsCsrFifljDZuIA0clsjZlhRMRmRwxKnHPOOeecc84555xz2+PU3k/OAMVRjnXT - zendAOGII4Xm0+NECkJdZqImwmBNzFkDMcVoEikKxhGzdYzaS37khy9RRgH6ARLmM6IE0OLBGAAj - KdoWqUcT0cVUMjVdgnUgsggCMtAtAQo1xnzunjZt8KFwbjYQzZ+kgsRzu51zzjnnnHPOOeecc845 - 505fIpJzNqMQgogQSd+nf/+bv/ULv/CLtAjqzlmZmZm3sQ5jPbBk4w5rZRAzq0cD1mogpRQRSSnF - GLfrcZ1zzjnnnHPOOeecc84555xzzjnnnHPOOedOtPl+kikZ4dWvfvV/+cN3ABARLSc4EttQciZm - AFY0a2GQhGCaB9X/9v+98wU33fxrb3nLLbe8uP62baLmUtPdVLWU0rZt3/cicmK3c5uYGdSuvPJK - rrEiBDLYkOchLFlbQds0o1GH2VATLJ7uTX5GUVURIbASUkqfvucz999//7GCuxnQw0ImFr8wEFBz - u2950Yt/4sd/nAzDdLY0HpcT/X5xzjnnnHPumaV+5SmlLC8vm9lsNuu6rgZ4m1nXdWbGzGa21dzu - ercAFAZABONx98u//EvXXHvVwYP7ATDzZDJp2rhxnKAXuHTOnYIOm9Rg5lIUzBJCP8xyzt1IENMd - H3qPjhMHRkHboe8hDCM2OkpkIBtYKA3WyY7zdu5uY/OVxx8xmyBSpsRQI8BAtnjsrQbtzjeVyGjo - B0hoRs2sX73zcx/6tm963cH1A2MKMca+5LZpocnLD7uTnRGBjYtxNmW1mmeP1A9NjMaWUw4kZWbn - rFz07dd974Pve7BkQ0jT2TREgJBylgDoPMVQDzX540iQZSJSo5oOmQ1BUJrJgwc++5H7/urWK76j - z1Mt1DQdKQ/D0LXjvky2729xFEZspMUGjRlNuePj79lvjw0yKww2kIGMjRTHlajNBiYERikwhsKY - A5lKCpececWlZ14VZyPLMGKoGhXvTp4KO9S/1yLxCixiNeerFUyAgUWbs8bnPu+S695735dlWQ70 - q9KGkpMIlGCAWanh3ACUdL1fJ5qP7euFvgLyjLOpzRgAkClDhVASgiyplqVl3bs6CR3kaGEKT8nm - qFdjQMkAMEg3tyYjpKwrZ7UHDzz24S/ccf6eC771ylesjM/py1BYjQxQNiYojEF0HNGzzm02z4xf - nCWDGJlhkMka7fvwZ//alrMFzUOCIQTkAUBtwwBl5flt20iazRJGHGjorrjgmgNfPfjovkdKmyFf - 7xKkEowywAzFpikQ5ZyayYfuef91l9+Uhlngzgw552YpplQIyvVdBlIyIxgd3zeAU5iRZk6PTx7v - dWoCAVHthwwhcik559zFpTHvuPHKW2TSiDabslYUUONSh4gwpsWvyBZfpk62v+ehKHEDsnKBCqCk - oIHOXTr/WUsXfX52kJcYokPW424PGzdkqyE7pAQlnfVrxEZmTGRKJ1ewuXPOOeecc84555xzzxSn - 9swbAQLIYo8SBcr8pFrzrucnJjCBGcwIjCAWgFAQCmDIQC6UMyctKQbU+UiKUoacjctoxWJE10EI - I8HuJay02BWxK2Jnix0tllustBiPMO6wvANdix070I1AIYY2QDvTXAYjBTDkNA/5JgEJdD7RTIaA - emKAjTgT9eCBeP4qlfk1nXPOOeecc84555xzzjnn3DNfPdhy04mI+r7vum7//v05K5P8wv/9L9/8 - 5n8pHFMqqqi1F1V141i+bbGR213vttbjGIahng0h1LKPqhpjrAnizjnnnHPOOeecc84555xzzjnn - nHPOOeeccyclPaIGfc65aRoATdPcfPPNZ+zeE5nzic8hZmYYrKgQMzMAhQ05JbUCQMIDD3/51a95 - 7T9/0/856YdBy2QyAdC27TAMdWfR9fX1rutO9HZuFy2ZCOede3YTiAykaIkD0AK1hncpaENYW10l - Ii1bjqZzX5uIEFHSklIKIfzO7/1un9OmdwJvqkG0KJu+cYktTgtnn7nnLb/6b/KQBLRjZaXuafyk - yA6dnHPOOeecO52llOr30BACgJzzaDSqB+upak31I6J6tOBxEAYBTRNEoIrf+Z3fueqqqw4ePDge - j7uuy2VQy/WoQFMK0mzjU3POuRNKN4X71uBbMiJjhgAwM2JTMUTN1D+y+tBdX/yYLg1GQAF6kMEM - uRRYqFGXAIygAEEJCkWjoZksfevVr/jWq1/RTXZEbawooPVxN2Y2lPQ4MneJABhD2RCIo1CJ5aG1 - h+558KM0ngw6A5PCihXVbHrC5yed+3oU4sIgU1GDMUyMGAAbCRHDmHMpq1QyTbqrzr/x+stf0g7L - Ydo0GloBFGYQFgPDwqagRAWp8ZHT+E+KARQrBmKQQlGoTak5ePudf3ZA9u6b7W2W2pJh2ZQs2WAn - Ms3aADBP+1loYqbZl6ef+atPv4t3UGKtud2wYGClrJQNR86+PjUUWcRq2XUj5jJIl5dfeOWLdtGu - aK0WZm4IQqTMdnwZ4acPW/x9lACTeZskBSVQUs5KUIhBABVFmIWbr3rxzniGzRAQrQCgeX59DYYF - FuHcOu0nSiCimthdL9/eeiDu6XX4qkeowdgEFaBBe8byhU3Ztba3P3N5xAorW1wiscPWVozUSJW0 - DkWM9PA7YwCBMen7FIdhefLnH/9/73n0zkk8OMV64VLbONuhExnYl2zc8Zu3w/k5yiAVZTIMsvaF - r977pYOfm/BB1USGJmCoud2E2oaVsfg4ZoAoIRZ0urSku1743Ftvfu63tMNYdEsTI/PqTGzKi43S - Q92tbn43sUE5D3H984995uHHv5R4GMrAzDHGvu8XW8W0GKKchm8UJRQUE9p38FEKCoGZBQMXBKac - dZombReDdZeec9UlZ1wxKitkABSQOtQxMiCDEhvIiDSSRjLiRUd0kmGyCIiSGmdQAbSwFQKxSqHR - sON5F93AKQISGyqKrY4n5wHwUD58oEsQMzPKxQY2QInoOKcEnXPOOeecc84555xzTyo83RuwDWhx - 0A/N56+VDssk583XnM9wG2h+ngkwqIENFqTmDcyCNEQsbciEAs1WAguPAkEBBlRRD3sDwIy64sUA - IQIKAqbTYbTUqBobCBQlKAxFmzYqQAIYtEDk0KS7bMwUExegbEwd0+K52SKl3DnnnHPOOeecc845 - 55xzzp1mSim7d+/ev//g7t1nHDyw+g9//Cfe899vZ+I+5abpyqJGYdd1s9lsGx+3VtOLMWKR2w2g - aRpVNbNSStu22/hwzjnnnHPOOeecc84555xzzjnnnHPOOeecc98wzJyHFGNcXV8/++yzX/3qV//6 - v/8NHKphcqLYPPFnvqNmjW1QVRZR1aHkQKxCv/LWX3vne/78V37xl15yww2p71NKo9EIQEqp67q+ - 72vs98nPzNrYvPCmm9/yr/9NbBsrGk1IzawMJTfj0WQ2GXXdzuUlDDmr8vFm1LljKaUQMQnv37// - j//4jxUQoVyO2sy51tQ54l1Q6/kEore+5dcuvvhi5NKvTwpLCEG3GKXjnHPOOefc6SzGaGaqKiKl - lBjjMAzMHELYCPMGkFICwMyqW42GJcBSyiJ461t/9YorL2/aAPBkMiGiNspoNMo5D8OwsrzzFPpe - 6ZxzNXpWCbyYtGBjgK0osxRo1gQp7VJcm+z/xL13zuLB1XJgmcctd9PZbLTE06IkwQrUmAmYp7QC - gCiI0GC0XHZ984U3RWlux/t6m8xsLfPimoe2JBuh5v89RUYAoRQERhOF2YZZTwHS5g988r9f/5wb - MWlNJXbUTydjEVUjPh0zAt0poRA0KOp70BTGbKwERmiaduhn3CKITmfTpfGKDW2w5W/55u+470v3 - fGXt86OV8SQdNENoqJTCCADPgw9JlWDHO9NIBCKwIBeoFTBS6B8+8MDtd73ru677oWG9F2sZYiEX - zA6rXL79GCwksZSBxuUv73zXAXl0TdeoYTIVhREKq5HWMuNGW4zyBVSNFWKAQYOSsa3Hs5cuuOaC - a2IfqQRT5sIgUZsxgSx4f/JkVEnnud1Uw+MLKAGAiSHUNkOUmSzm7qIzL33OBVfe8bkvd3tG62m1 - iTKUjFB7e2NaNDCmoU91PH9EdHc9+3Q9W7ddCGBTAEZgAMZkzJYZEJBm+e7v+MHbbnt7R+uz9fXx - qJ3MeopbfIx5cvDmvlE3hxTUX2wkyJKCFBkore6bPX7be//zyveceekZV2MCKpGMAdI6jIF/FXRf - v80tszAwz7qO/YfvuWMiB9dtstK2Q6GSjbjmds+H35t7QMssCI0xzeS8nRc+59yrp+3sQ+GDve4/ - /u1ZPEAdhwMgw0akNwhGyHGYpNUPfOL9r7v1uTKToQyhCUgMFACL0cL8rG7keJ8utJBByqNffRQC - gBRWQ1NyMSKiRq1oXivX33Jzm1ZCbs0AGCwswmAUpADDhG1zGk69/GTD8/6WMlAANUImABpSEaVm - 6K65+Pl/9sk/WJ+tYZnNyvZ9W2MzA1RC/QECUeC0jIx3zjnnnHPOOeecc+6EO7Wju2tQNxaZ3HXB - GPV8XaGkQxfM1QlZAowXUdlMxAKYoRAYCE1DUEC1FJbGigqLgGtythWQ1H1sIPXmOHSwUcmDBM6p - jJYioMxcUhYRGBhUr1tyImGwIGiB8iJBHMob4dxEkMVmF4B5y4vozjnnnHPOOeecc84555xz7pR0 - jAMMROTgwYNE9JWvfOV/+Ds//Dd/84UYYlaF2TAMi+tEMwJ4NBpNp+vbtUVN0wzDUEph5tlsVouA - 1JIcIpJzrmfX19eXlpa260Gdc84555xzzjnnnHPOOeecc84555xzzjnnts2x9s8EgXk2m0WWtbW1 - 17/+9b/1H//D+mLPzBOKGTBWUwPMjISZpZRCIBAVs5wTgE/f99nvedX3/tj/9Pf+xZveFEJYW1tb - WlpaX1/fs2fPbDb7BmzntmhCzEMKwKu+93tG3Tj1fQMOLAKapUFGTdaiqrO19UbCuO36VIye/G7d - U6SqZsbCRLjtttsee3wfAFXDMf7IvKhtTzisdI8w/eybfvZlL3vZdH29k7i0tJSns0CUFF/j9dpc - M4ft8KQr55xzzjnnTktEVDO5RQRA0zT1wq7r6he95eXltbW148jtBlDKfAj+5je/+ZWvfGXK/Ww2 - a5qwPBrnMqiqqhJkPFoWkZRS27bb+uScc+6E0CeUF2aAbD4jwUSLzs8UZe/qY5/6zJ20UpICagEh - EhhEBBAZmBapfgaAIAoyBKLG2uddduMOnCNFbrjyRe/+7MMhcr/I7bYaRkgwUuV5nNqWEAFqpAhc - ZgZiaKT7v3rPFx7+1NXjl2KIwAAqIpEyn4Rxds5VSppF2dCWNpQIaGE1hAIREzLmMmXWyMwcshES - n7v7wpuueclffPjxWdmHjNCADamAmTdNRgKb02e3UIhbzQBhMzErNfxbCRlDWJl94O7bb/2mV+gQ - dtNOVkqSlAop+ETmb6ai7ahbS6sP73vwI3/z19jdz9LQMMsQyFgpg/RYc7NPVdEAMZRERiiRdl1z - 2Q274k5ZBwoTxVIsCJkWhVGtoe6OQev6kSkQ2QJMwQWAcQ9jgNUYFkEK7gFtrC3D7Lprb/zoAx8s - RQOJaiZCBoQBrSndqjCw5TKklEopInJEevfT+6zddqGNf61Gd9c8b+hgO5d2PevMS7/rZT/4p+99 - m0Xef/DxZixqplvIrOXaWdWw7XpRzSHefBcGGEGhDAhRzra83E4mA6SQPfr29/2n//Hl//P5fLFo - SxoBNsogFVMYK6nnHrjjVhvzPJWZMgxkDQEPP/bAZx+8O48GY4gQDQZAhNQMBpBuxGkDIGU2DhaC - hVZHL7jyxiXdudzuuebC5z/65S98HVvHSrDF240MXNdF5wMANYJFtSZ/6rN3Hbx+/zlht2UrpYQQ - 1MoTnimMYHQahSkboVhRsUcffdSUChc2iwRVmKIZN0X7nIZn7bzoivOvofUGiRGyEVQjjJkGAEQJ - RkALCzABACogfWJ8+9PPGIgENRoUmQ0wLqIwEQIrhRLPXj535+iMfbpXdYaNhPfjfsDaLGu/XQoE - MUaosTFDBHqy/YWcc84555xzzjnnnHtmOIGLxN8Yh60y2aYfjjmjqEABdH5Mnc2vT4a6XllgAIY0 - AMbMDIKSgDUXADA2M4AJdWn/yD+gCM+mkxDnq/5930sMpdRE7prbnWMUYQLUUAymMMWmjan7/QAC - iEEMdiifHF/vsrpzzjnnnHPOOeecc84555w7FRmbWQjNl7700Pf9wKs/+zdfoMB9TkULAGIGAKJS - St/3AKbT6TY++DAMZkZEKaWu60II9fJSCoB6cKCZeW63c84555xzzjnnnHPOOeecc84555xzzjnn - Ti3GlHOOMXZdR1YueNZ53/FtL91CigVtFBTZGiKoQk0B1MAGLUVLkRAMxswKs8U+okr4f377t667 - 8YZ3v/e94x0rk2HYtWf32mSdhI/ISyY7dDrymdJhp2+wlFIbG6juGC3lWR+M2thoysMwNE0zzGZW - dBQDM4cQtnc/WIdFLmApZTab/dtf+zUBArMdrTiPQhU1G1BRi4YDtKiE87rXvPYn/5cfW19da0JU - 1TwMZralKEHP7XbOOeecc84WY/GazJ1zBkBERDSbzYgohLC2tlaH8cdx/zESgDe+8ade9arvnfUT - IhqN2lJKyr2ZMTMzxxhVdXV1dTweb+NTc865b5g6KWakSsrMWmBmIgLFwen+h2cPPjj5wlpeG43Q - 6zCU3LSS+wIFq4HUSJWgBDImY2UFKVITU3fL825pZiNeG33L9S/nIVJhMoBghEJQRqlFg7cYo1Zn - RIKQgvo+m2ojkBgmpc+j6Yfufb/GAsrDbMIgM1PPaXMnMdtIkDUmC6ghtQQlTIc+tg0AVe26UZql - nPPyaFlX6SXXvvScpfN5GoLRqIGqBSJWAKqAkpb6rjzOGXetk+qlKBQMBGIFJrY2kX0fuvf2sMMS - 0nToOUgp6bif+zGnN2lR6hwAkHNOSNrOPva5D+zTxw6m9dEIllQ0sLERlKE0f59vtT9RAghZIcai - RAoqssLnXHPRC2waOjQAmKE6MDORCMQLmj+ZjSLzeli1+xqZfOivt5H/Kv1Bveb8bz5v6ZJhyNRQ - nzMLcc2FNYBUYUogooKSSp8tA2AIGde7M5TNbWbxGEcpd+9OarYRAwwAoAzK9WKJvLY6NH133aU3 - vuTal+V9tDOcIdouRjFAfbEPW7zjmtXNNv8VH9Emn4Ki1jQYhpw1hx2cl/rP77/nj973+31zMIcp - KHO9w3nzO5R7sMCbT953uCfFdXkaalQKF+Wcpf/E5z+2agdKKCSYTGdmGDXtMBgwb/PznPt5JIey - QHPhFHfJmTdc/pJmfWk5r1x35fWhRNEgymyYv3c2jRJsMSxn27Rkv5VWmxI46r781Xsf/uRqPhAa - Vs1akhEpUR2ZAAoQG0jpievvz2AK5GCZbf/+x0kTmZoZiI2AALM8m6C18bUXXbeDzwzatNKygaz2 - LTTvGzftlHD4gOek+7BjKG10uRYUQYkBBlkIDEAggbo9y+eOZIWMj6Mx1DZvi/HkRhA8g6AGMIdY - vwYSkQ8JnHPOOeecc84555w7QU7teTcCeHOKNm86LabInzBVXg8FWsw5bppPXxwmRARuYgcISADE - KCBwkHo1DrLx6Ifd+fw8d6PljYdo2xaAxEPbIzHU5G8BB4hAGMLz7a/rDPONocV9ysbr5EtVzjnn - toIZZmDmurv80705zjnnnHPOOeecc86drg4/0hKYH8h99BNAhibElFIppYkdjLPCWIaMT3zyntf+ - 7dd96cFHFEhZFwUZ1TQDCiuLowG3fPzVYZtHdMS/ZpZzNrMY40ZQNwCRupoWN67pnHPOOeecc845 - 55xzzjnnnHPOOeecc845dxIy1EL3h071kkWOteU0jJtGSvmpN7whAABImIRrXfoQAgFCfGSlkaP+ - /BSowTA/lVLM5udLyjCUUuZXUzUgGwrwwN69r/27P/KjP/HjD+/dO1NtxuNkxiGkkpmZiLSkJrCQ - kRXeFOBNRGDSw3cz3SiUfdSc723HQYacooQ8DFFYmIY8QIgCZ81RghDloQSOuRiH5hsfLn7q2pzI - rjCJIaXEzLAShIQBNSEmMBH95m/+5iOPPaZAVhUWGMN43hChgBrUoNKIEWLXAmiaUIv1fNuLX/SW - f/3LGPJSaMiUCUagIIXK1369joiN9xfXOeecc86d5ogo51y/99XKYF3XYXHIXj2Ub/MPR8MAx9ge - UU2UGSCkZP/gR3/kR//+3yMrkclyKkOKi/G/KRGkPnqMcePrp3POnSrYmOZZqkhSCheOQVUDiSUK - FrnlP737HQf37OXANsAay3FIVoTRGUsms2RBjTEkRBqREgekgjgsXX/pi89q93QpjvKeUT7r+Ve+ - sCkdZzQECygBU2hiE2HTLU6pGUSBbAqErtHCDM45W1PW4vCRz9+5tzxWZNaxjCROU7KmOZ2SAd0p - hgxBmQ3fDYCDAAAgAElEQVRKmlkLsxKzKaNIpKSpoDGMc2IiDtFyPxuVpW6y/N0v/sEu7YhocgYR - CCamYgrOyhgEhSCKULacZg0wioIyEYQgBk2BKCLaOh/4y7v/bLX5yjSschfyUJowYtu8WPDEZ6hH - PWmdg50HeTLAzMHMsmUlMwZIzYhIRKRI/3C5/y/u+W+0o3CL3GPEncwjG7kQFwQDi0F0awXijVAC - ZTIAATQ2hFm4cPdzLth1RaPLmpioZJ5xU7Imto50dKqXoD+xjNmETdjAKOAe3NegVlgLa9mYoUyJ - UdiILRLJmHd2B8665YqXl5Q1piLIamxAhtTobqEilC0T6YHpV+OYzYhKEJVAAVaYTcmUahQ72ECA - gtWjOk81hmDWzBccWY21MDJjvejK8q5R362sLn339a9+wQW3dtOdbdox662mHgjBFBKQDSrIzIYA - a8hC7SsWkQSq8yW++Yreket6i0vqreZx32YxctFpj33DeN/dj374v/717/U7Vks7KzrDMASTQqxM - ANh0sfq5KbTbmIw3xl0AdL4lzh3CxgxphS0nCzyEPAvrB8P+Oz59Rx6XDCIlAojQ5z7Gxc1q69J5 - 12esAwYOHMv4RVe/bGV2xs7hLJ40F59z6cVnXC7TOLaWM7TAwApQbbY0X+WvlZqwsfZt80/q+sbZ - /JbRujRPaqQGwDAWGUoedq2981N/itHUaEJIRKbgQjwIEgOAKNhY7PTqnJWtdLx3tt+0DzpQyQzS - 0EwNJcCorJB060s3X/atvDoSiYP2mDeJwqhzTWJoDLWHVOVknOof3wA76T7slNEzCmmAtYYWFkUp - FKSUjGkgK0qXnHdFl8c26FbHqnX8TMaZa7vieVsFkIaOA1NjCAiibDmXr6NsmHPOOeecc84555xz - 7ms52aYmt+wJydlPjNQ+wqZ18SdcbdMFx14+fxLHWHp/wlbVHPCN01G35wlb5ZxzzjnnnHPOOeec - c845557hSjFVbds2hLC+vm5mTdMR5N3vfs9rX/tD+w+sASDmEAIIatu2r33btgBCCJvDuTd+CCEw - s+r84Tyo2znnnHPOOeecc84555xzzjnnnHPOOeecc88AOWcREZGc8zDrR2136YUXft8rX9kIm6oV - hRoRbexXiZpxjG9oKRAD8uL0u7e9/du/8+W//u9+48D6mhFN+77pOomh7/sY42w2m06nIYTDbm42 - 3zv0JNj9c3OiwOYU580xAyfDdp6imDmlFEKoCXwpJcuFmdWQTR/fv+9XfvVXRUIGQohFjxHRR8gp - SdMMfU+EPGQGLr/4ot/97f9AVsvo26YXcculyZ1zzjnnnHMiEkJIKQ3DMB6P+75/khsc7VtSSonI - AHRdgxpeRQDwA9/3t970pp9ZX18FVETmd+DHAzrnTnE12O+IvqwG7037qYgQQFpCQ5/50t0PTb84 - a2YAyNhIC6sS6lkxEFsqqoQYQUQ5aSloIzdp6brLb2q1jSU0pW1s6XnPuUnSeEytJqQEY8QmgqgU - lePoVuscSs0APvQUMONhwut33vfBEidakoCZQypZved2Jyu2+Wkj/HIxQ6hAPSsGgQmM64VRm9aW - n73nkudc8E1cWhsgJAAYylAANRUbhDoDieOI7obOi33XyFtjGCtrwmTf8OjH/+YDNsqJehHRXrde - cnzTAsEiwBuAqprVKW9dbAcBWixRV26/8919M1lL02JohYdZIlv0AMY1WJSOL7iSWRlgIwMN3OnS - 9VfeyEMrpQUYpEABGUg3vRDu2IwXfyJdLMUojGECk02X1wvZlBoedXnX5edcs6vbZakIIQSZz58b - RAEoW61Qr32aZkt1mYZIUAiwgkPLTlvPqncnFyUYuHYL8y4CkLZZW5s1pVnWFTnQ/NDLf+RZK5fY - RPbs2D0MpQ0jKEyRM5oGKYEMOBSSfeielYAnJrjaphOAzatvh483jJFl6Jv1j33xg3fc+55VfJWW - tFtqhmFommYY8kbze2Iz3PgVzc8ye2fiDkckaSgpJQBENFi25fTR+/56FiaJM4D6gbu5u2OtbfVQ - KjyAwIDaiHZcfcn1S7QbUw7Wdja+6aoXd2ncUGMFMbZmJoSc56uTuhE4b4yvvb68eLMsUr0Xn+NJ - mbFuBx+bPfi5R+8dsCbBoIdGJjb/EgHRxRDlNEKzIT/2lcd1rSzlpZ22K87Gsr7c6Y6Rih5Au750 - yc4rn71y2e72jGBEsnFDnfdah3+SGumhX52EqLaLDNTNJhizgoyICETEItLuXjqDC0ewPPk9Hqk2 - VCUUrmfnzbIOGMikbUfGRGxEBGM6vdqbc84555xzzjnnnHPfIL7U4ZxzzjnnnHPOOeecc84559yJ - dOhQvcUF9TBUqscVAFgc+EQKgEX6Ic+mQxO7GFtVEOTXf/3Xf/In/1EpGI06AKqacwaEOW7XZvZ9 - 3zRNzhlA0zQARKQ+0DAMAEopNb17c/VJ55xzzjnnnHPOOeecc84555xzzjnnnHPOuVNXCKEmHMcY - iSjnfMYZZ/zYP3h9KUqgJsQogUFaigHFjp1SfIJ3rgwShEWBGOLevXt/+mfe+KpXff+dd97JIUxm - syHnpmuLYrS00o6WUrF5QsAitBu1cDmI5z9s2nDyqOxTW81jqKfAYkWJqJRiYAkNSQTTYIWb+C/e - /POP7ds3K9mAVMqhNjvfk3kRv2BgoZIGGJjAwDlnnPnHf/hHXdc9Xc/ROeecc865Z5KUUg3SjjGO - x+OU0kbA9jEd+ZVzHncUYxSR2WwAwAJVvOIV3/5zP/dzwzDEGNu2HYahbdsao+Wcc88QlIF54p9o - zfzLEhCIkw15NP3IZ94/ma5Goo0JEwAGlPkMmLKy1AsJ2QYWiCIO4wvOuPSy859bihgTKFPWK867 - +qI9l3MSKAIBBQSwMvCEFPGnYB4uaErIyloIZCAARU3yRz7zV2u2z4TNRETIg9rcM0spKXIcxV03 - Pe8lMXcNt2mmACmpkkIDKxNANk8wPI6HqDHYZIcib0HKBiMatP/YPR9d0/1FemYm3XiHPdX8SFrM - oW96a6qSmhkRBRKBsFGdkC8o6NKjaw9/8t5PFNZsYMamQEsl1LISCswjfre8vlBAhF5zVg3arsRd - V1xyBduhqf75/8aAmfcn283MSikwe9YZz7ryvKt5TVoEZJWaWm8QQ1AE1aBg01k/URQlRW18pEYw - M4CAeSmSmgjLJ22gqfsa6shk82tnAFhzGTVtMElr6cyVc5rSveY7//azdz172Le6s23X13qzLsSm - ZLCiJdTWAmghLYSy0Rq28g7eGPzw4kQKIBTWNdv3R7f//v3r9x0Mj09oRg0jly5EzCOKVQm1T1bS - GrI7/5kUOLSC5NxmQmxmhZUCW4FQWcW+D937gWKJTMmKUNnIbt5UqWietK0EA2CgjJiaKy76pnN2 - X5DLAC4hNGXG117yzbu6s4dkxmRmAYEURPW2ygYy1vruwfEs1hczIhNGP6zeed8H+zhNyAxiFEap - cd1GUAZIT7cumgwrPB71zYU7LjsvXvosXHa+XnGRXHvl6MaL8LxLmmufu+v6q8/95jg0w+psNp0+ - A4KmD+01QUe+1kREBgaddeaZUCIS2qY+kQ2og8SCpW6F1IgIsCdug3POOeecc84555xzbluEp3sD - nHPOOeecc84555xzzjnnnHOHMDMRxRhryQwiesMb3vDOd76z/nYymXVdMwyZiIpCS9nGx60R3SJS - i3H0fW9mIQQAtSRl/e3ms84555xzzjnnnHPOOeecc84555xzzjnnnHOnLmbOOZtZjNHMUkrMfOON - N77uNT/4n/7r262UbEogYSmmGzHYR9anP/GVqHPJAIKEPicGuhg//sm7vut7vveHf/jv/PM3/kzb - tqrKhLXZhIiYmQxEBJtvaa2VXes8G+HUL53tjk5V667IwzCIiIgMw5C1FOaPfvTD//n3fz8DADhw - ygom6NHDFjQbEYhAirN27/mDd7zj3LPPTv0Qw/Gk5jjnnHPOOec2izHmnEMItMj5CSGU4zpOMOdB - FSwwgxmuvfa5P//zP79r1669ex/bs2fP2toaEU2n067rzPx7oHPuGaCml5kRtE52mTCAhnPpg0Xl - 4cG1z9/7yCdjR6UYG80TfAkK8GIOjw1BUIChADJ0bWcDaNq98AW3NnlkhUFEnFFyk5ZfePVLP3vH - nSEgxDiZJU6FmUFcTLce1sZKWlPDC2m9vSiKAbF8+cDnvvDVe3efcU5eU25VmEj1+AKMnTvZMFQN - SIbMlz7rqsvOv/Yze++ckWnJxjS/ClRqkDGCgoH8FEO1j8rA8+hZQ4xsivsf/cJ9D91z3bNWrO8C - Rd36nD4ZeBEQfighmwggAsNqRnbNIi066j/68ff3mA3WS4QR+pya0EJrGq+SYf7Uj2uMpqohSi4F - hi4sX3LW5SMZBQ7AfP6fF3mPSjUg3NMftxMzD7PBmIKFmy5/0d2f+1gZ9at5NTIUEMAAqx24qYEn - /UQ5A6oENiiMaJFTv7hLYD55D1KYv16nDCPAFKTzBGIA8yB2JkJJuZXQSjN7fDruli/cfekrbv6u - 333vFyb9WsswkJEIk2WLgJkasoKNtb6J50t7W9siJuVDGcMGIMC4kOW2n5jd9t7f+5FX/uh5QZbj - 7twPQkFrgjIAqBHXDkoJDF2sJ9bGzHVjvD9xm5FSCIGDmhVNiZfxmS9/6qG1+3Pbw0odsx+2MD3/ - QQGU2rIIrByTjG3HzdfeiiGgKLGpQqjdQWc+79Lr33XfQ7LSpZRCCDml2EmPYgQqDAsw3vhc3tKn - qhIkSCq5ixKi3fXFj33bTa94NpZbaglFFGxYfKEwI52nKdvpMj4X5Twtzzn3yme/5h/KWEXanFlL - nOaZxVkQa0szKis7dE8uyJh9PSO3k4Zt7nQZi2+RZmZU1Kxgz64zyJiMoVvtn1H/RLW51ux51JBu - IisgyP/P3p3HSXZddYL/nXPvfS8iI7N2lWRJltC+y5Lali1bXpimcTPQQDcMbbcN9BjM+nFDw7BN - Dwxgmm266YEZwLSBHj4NBhpstmYZwDDYxjZI8qbFtiwsy7asXSpVVkbEe/eec+aPGxGZWZuVqdJS - pfP9vE9UVmTGi7fcd+PGfe/d367Rbq2Vt9niL51zzjnnnHPOOeeccyfWc6WT1znnnHPOOeecc845 - 55xzzrlnISM1UpvdgAcD+r6YEcB9XyZd/tZvf9M73vFH43E2AzOGw+F02quCKMCsBmmfEKoKYGlp - SUTMzMxCCERkZpPJpAZ4l1IA9H3vud3OOeecc84555xzzjnnnHPOOeecc84555w7BeScU0oxxr7v - VbVpmpxzCOF7v+d79u7aY6YMMLOomBnHANowYvPi6s+nXojRADFlYg5xmrMC2fT/+fXfeNnLb3zL - L7/VQhAiIRrt3NkXAZMRKPA8AAQAyNanDfSUGEr7ucVoQzzMht1a+hw5MDMACtzlPptykya5e8M3 - vXFcMqdQgCwKAsyOMqY4zR4SERlGw+Hv/s5vX3rxRX3fj0YjHCUlgn38Iuecc84557akxk0xc226 - M3PXdfXnrVJFjFwTwC+88Lxf+qW37N+/75FHHtq9e/dkMmHmlFJ9zDmf2LVwzrlngoJECYDW2Eg2 - DsoACnJBT0vy/jvf9bDcF5KRLF4CAAYoeJa2rVazdsEQQFVD15wxOOcF514Xxm1EqwRiScRh0l55 - 7ov2j54fcmNiNQ23ZljalrsE2WovCgEEZRiBgGBgINt4HB67+a739KEXVjODeH+dO6W0KUkvS2HU - ltGNV/8TmoyGcYmIAFbM4mDZaqoxG4JuscuxHjC0qb9T6/AJBhSWNTp0y53v7eM4SybiDS96gniW - qTwL3tbaPUtkRETGUCIlQuAAjf3B8tAHPvF3MuiVhQJUUUrN+QagtKlHlbcaAkrGgSKUYgNmYNJc - dcF1IcdgdeFmm4KNlWBcjMuW5u8+L2ILkUiF+nDZ6Vc9f3QB54EhCEVB7JkzxUJtobbQoFCa9lOw - IqiRGUGMFAQK8971jQXAz9echI48bwgGEInztDPRpbCECe9J++0AX3H2ta++/ivjeLCzXWbVvu85 - tAEDzWCAoMZFCEJRrdl2aCvXzG8DGdcTgsJlzGv98vTu8Z3/7V1vGw9XJ3xQSSQXJSgYYJvVjbMx - cJRMyYxskdXNdmSJdc91IkJExbRYIRYN0/d/7N1r6UChHlCC1lZ3LcysUKpZyAoqBhZiAEFjykvn - 7rn43D3nR2mbpuklQzAMozQZvvTKL1wZ7MuCwKyS2QIpzT6FlXk9t3tbnSqkqjAzivKQPPD3n3gv - BqZQMq3NEQIMEN6Qcf8cwtHS0EZ74lntoT308Gh0cM+e7ozTyzn7unP2dueMJvvieDQ5OGGAAoud - stuHiMgAUTNbaodNaGhRY27ZUbYSEREQCu9Z2UNFa243eW63c84555xzzjnnnHNPDT/P4Zxzzjnn - nHPOOeecc84559yzyHA47LoM8GQy+Zqv+Zq/+qu/AdA0HAKpYjKZAIgxllJijCJyot63poCPx2Mz - E5FFSjcRDYdDIjp06FD9G/IL/J1zzjnnnHPOOeecc84555xzzjnnnHPOOXdKICIzU1UzSykRkapC - 9Lxzv+Dbv+lbGBikluZRPKpHG0z5KGHGJ14ppQa5iamqKhBjUICI7n3o4R/633/kC//JP37/zTdR - ig888nBom4LZ8Nj1sk8zWyR2b3x0p4wabMMGMzMzCmxmYjYcLRXYv/uhH7zns/elNvVZQOCUEGZJ - UUctvgyQYcDh7f/tdy675NLS97t27Fw7uOrXEDvnnHPOOffk1Xv0zIyIYow1kueo3zc/33woRBRR - EezZs+PXfu2/nHHG/oOPP7537961tbWu62puNzPXmwRP/Jo459zTifTI/iwyBriUwpEk9qs4cMtd - 79PhtFgXZsm1sxcZwTAL9osgKjBD04ADukk/kJVrzn/xsu0e0ChgIGJFugBrbWnZdl1zwYvaMpI1 - TUDTNLV3buvR3ZsYwWi2eDGRIpdhd/tnbr338XtsCCLvu3OnFmOotZyCUCPtufsuf/7ui6jnCJpH - dCtB5z3YvNXcbgCYJ8vW3FwlGClIQ4CqZvQ2kLse+ugj03sRtj84A9mmJTOCwMyMlEiZEJkZbCVO - /+GBjz44+exqeZwaCKBAalkkAzqrmmpaOaBgI9Yt5j8SUd8XjojUhH548fMuH8Xl3BUj2KyveJaw - W8N317ePOxFKKW3bmlljaUX2XHHmC2RqgZMRlNUIylAyJQhDySbdGCzCqqTGsy8CAME2B7f7njoJ - Lb5l8Yazb7W9ISLDpdaK5Gneu7LvwP0Hdrent/2OGy979SuuePX04X7IDYmaqM1js43rHHU9SHvr - X+N4Ftq9cSnVuGRGx5NuaXLXox97+1//Ju3KHR3iBpv+0Lg+kmEeJK+AN0rcMSkpAFMYgVvc//hn - P3rvbbmdSsiA1rau2oZCVH8gBVTnSfBBQ9vvfNHFNzYySBq0WOAYY9TOwjids/vC8553aR5b4mAi - KbWl6GGFXGuS9zaKKhNFWNHeMu/U93/8PWt8ULhnaLCaaW9KdtS45VMeGRJHKxHTtik7lmTnoCzH - STuYDgfTURgPwnQwoKXEyYgUsFOk3+koxSiAAhHAASEgLg+XuX58b7t23LypzAxKSZt9K/uhtc/w - hA0m5pxzzjnnnHPOOeecO4xHdzvnnHPOOeecc84555xzzjn3FCJjss1n5UiPOimpEg6Np8s7dt7x - sY//86/66o9+9K465kbfqygMIAptOyylhBBKOZF3d4jIYqQPZq4/N01TSqlPLi8vE1Eds6MGezvn - nHPOOeecc84555xzzjnnnHPOOeecc86d1Ji55nbXPLOcc32S1d74jW+44UXX59ypKRFSCjA7LOqY - nr6hW1gVqmCOHAOArkgIsZgRoVP50G13fMlXfOVrXv+1Dz12QMh6KWIqZrYhR4jUZgHP0DoqOqA1 - KMid1GrWC4AQgqqqGowKQCk9+Oijv/Zf/+tvvO23DJh2OTahHQw053Y4BOpo+ptSbTAv40uD5g9+ - /x3XXn0VVJuYJpPJ0tLS/I+cc84555xz21cTu+vPi3v3ap73MfCGCcCs0a5igYgMe3Yt/8Hv/f6u - nTsJGAya1dXVlZWVwWDQ972Zra2t1bsFn/IVc865pwUbapVoRAaGcaRopH07/tAnb3pw8jkaiEgJ - YVOHl4KFoQBIyTgSmcAMzEhIewbPu+6iG7hrYmnJgooVKwCCBuqaay946d7mzKRNYFJIMQUTbT2a - bhYivogsXMSKmyGapv6x/uEP3n1zF1YFEikcfnO6cyctAjRrG5NM+wGaYdnzkqu+KOUYlGfxtFxq - uuc8tnjLQyhs7OI2YgPXrm8GhKwE1UF5vDx0xz0fkdB9/h7OGqh82FTXxRZH5iLUFmag+d9kdGM9 - ePPH39M1B3OYSjQFCFhvjM0XlW3D2211fUUB5IxA6fzTL9mV9qecgi4WchaCjlrnkD4XE0efSjI7 - q2RkMR5qXvAF17VomQxU6rAhRIVpyjQhmoIna9ODBUUhRlon1Mp/A2OblafnZEDsyY1QazBeHMvG - ChgbkYRATDYdT5uwVMY6KLtH3fNe/cKvvnT/lSMsDTgySi89pZh5PSWbDGTbKQlsOnuhRQMb1ZNF - BQAzEEPHedqufvDuv/2T9/8eduYJjZW0tqzImK0+LuZXx8Cp5XaWjuzcRhSgsMQtUeji+KY737uG - gyX2YDFeLzIbPqbnh0l9xpgNUeKZKxdd8fwXxczB0HeSUguo5NJoQ+P0jy68YVfYp0UoAgEwDoZg - 8whwUqP6YbqNxrNxAHMQK2XQ3z+59yOfuiU3UzKOJZHyxmJvz7E8FyMoGBS1D4mWYhgQgpRepUeR - YBw5ErGwdtqLARxOqVqCDJg3rcD1iUBBxEajFdJI2wnXPnrFbmas3Opg39JeBhOREcCn0tZ0zjnn - nHPOOeecc+5Z5LnV1eucc84555xzzjnnnHPOOefcs9xoNPrLv/zL173udZ/+9OdSCgBiZMzvvjOz - GpstIjGcyPEyQgh93wOo81fVet94jJGI6kiUNczbzHyoDuecc84555xzzjnnnHPOOeecc84555xz - zp0Ccs4ppbZtRaReSNk0Tck9qexe2fFjP/rmRBwAMogIsCnUY+N4yU/12MkcAogA1Ks6Q4whxl4K - h1gMAnAKBvzZO9/50htf9uaf+MliVkxrLLMncz/nEBlT3fUfvvUj3/19P1BsdkFyydJ1HYBubbwp - ocYAgIAABGClbX7r19923TXXNjEO24GIWJHZIeCcc84555x7ckIIIkJEtY3NzMy8jfY2EXK23buX - f+VX37rvtD3D4TDnrpTStu3q6iqApaWlvu9XVlbM7LBoQOecO+noercckQWAMM8DTKGZ5uk4Hnzv - x96lTSmQMsu9XI9GM4IBmOfXRk4q6HsExUq7a//wzHP3XDDAqEwtIIWQOARj9P205eG5ey4+fXDO - jnYnKU36Xgwx8jaiu4FZlqcSYOvdiTmDApTNmnLrp25+VB/oZIIQveJ2pxKiYIKGqUzLiHZdfd4L - d7b7giY2mqUYEtjAxgbYhhDvLdkQNzuLmBWBGSwi07SPh27/1Ac6jCX0tt35L0ZyN0JNVSQiRoAx - ERWSztYmevC2ez44DWu8RF0pCphBRIhssbIEENYDtrfKzIZtU6ZoMLzq/GtjNywTHTRDALpYNSMD - 11jTbbyFOxYlcIpT6TgGUmtkeMH+i3a1u5Iy22y3BkWskyFY6aUTE8AAXXx8mBnAm04ukYLMT+ic - tGbp1/WgNlYE7TQrS0jMkVNKQNAu8KHhPjr7tV/yxqXJriVdaimZSUav82xsBgL6gEK26aTkE1Wz - 4QlKUFLlWZ3DBhGliMzTaVp9z21/9cHP3lRG0xJzrSXYmAxBmYwZG5LI56HyXjjdkQRSrISQMspj - 8vDNd76fhyYmFmYfPWyAwjSYkRKMdL3aI2UgKJrSXv78a3aG/UlTIqTQADyZTEbDtolDPWRXn/OP - ztlxnkxLjMilMHNQBAWgysXmn3S0xePFCKqWM2JoFDzJXVix93383dO0BoAt2myB67oQUAPCn0Om - 0nFiMUhBKaqkFI2iElkIAcC078QsNiGmdKr2O5HBzCDEABFZkWEzNIPqppbnE57d/JN/Q42qqozY - 6nCl2RWpqU+aiTfhnHPOOeecc84555x7Kjy3+nmdc86555R6yrIm6Kj6yTbnnHPOOeecc845555d - mFlViyqYi8IoDAZLIvYHf/CH3/It33bgwKEQKGcBoFbvEJndprUYhqNIv723DiHUm/rqvRAAiKiU - ssjqrou38SUppfpn1fbe1znnnHPOOeecc84555xzzjnnnHPOOeecc+5ZJcZYSsk5xxiJqF7eycyB - WXN/9eWXfc93fxc2jKIMgAgg4hAABA4AYohP9XJqzRiZXUfKpWiRYkCWUofu77PUBKNDk+nP/OzP - vfilN7z9938/mwmRGDhEhVEMAhMYONaxxWuom0cyn3REhJnrlcBUg7rNiAigkBLFsLp2aLA0vOmW - m1//r7++hj2UsohsscUjMcMQONTQ7nqx8p6VHb/5G2972Q03BKImpslkEkBLw4FKeYZW1znnnHPO - uZPSYtQvVa0JRmZGRIufF789/vhgRFQDvhfPhEAAiBAjfvqnf/rqq6/eubyiuY/EASQi9Q7Brutq - TLiPP+acOzWIiIECGliERYRIAcKqqtTYJw/c9bEH7wgt1QqzHDs6rpQCIAU0BMpJDuGLbvgSGwcI - xxihYGMlFBJuiIrSZPiq6/5p/0hJlCiAWwAo/Zar1lqPK0UFk4EVhhr9ixCo70yD3Te5+7bP3Iwl - yqa1r3LxwVF/9irdnZSM2YLkEljbEHXNmjy6/oqXh64NyqYlBYCgBSEkUAFtuR+SbPOhMU8GJQIx - jKEeRkwAACAASURBVNBbQdN/7tF77nn4rpJ6C7poodWBF2qP6zHXgADjGgo6y9ueh3dOp9P6Qm64 - k3FcwXs/8te5mZY4ERIQiEA0a/sZwY7IFlXa8vjwDEgvy03qV+XSL7iqtaVorfa2+U982PmnirJq - gFgGwBqTDq664OqU21QiC2JBFLQFbUFTECSsPn4QAcYmJqoaY8w51+E73CmAbNME1PBsLQQLktH3 - 1iuJmBoQKbQYyIG4q5zzTV/1faN+X5jG1KIQ0KZOoIo2xkRqWbUgoNnGsWykSmqkRqh526wImqxH - G6OalbZ7WO773Xf95qfW7ixpimhmYiZsILXECdkAmsWQE4xMSQFdZCQ7B0BJjQxsIhIG4aZ/eN+j - eChLn0JQQGkWPU+IjEAImI2zBFUQIRJY0dpooMsvv+4LdQ0skFxCSFKsbWLOnWppeGk03XH9BS8d - 0LDvIRAiCspBAYKyGhcjpW2VTDM0bchqoggNT2xy10N3fPbg3RmFiIsVigQpkVgF4OdWva2knLRo - z5GVzCIK5RKLcLZoRbMRKAQOJCIQhdJ2oqxPBoG4lBJDI2IxNiEkVV0M2LUFmwfrUgIMAIcQrNie - 0Wm7273IZmbMbOxDeznnnHPOOeecc84595Twc6jOOeecc84555xzzjnnnHPOPQNCCHWQxxgbEVHF - wYOHfvNtv/193/cDfV+aJi5G3zix4yHW4Tbq6Bt1zm3bdl13At/COeecc84555xzzjnnnHPOOeec - c84555xz7qQmIkw0bNrv+e7vvvElN0QAihQDDKaAmRYxgIgUqCnaz4DNIzbb/NGAz933wLe+6Tu+ - 8l/887/+m/8vSxEYcZiWPqQIpi73sUmL2PLtDC3tnlHD4TDn3Pe9iNRLjoupEgpZ1/diumvPnr99 - 33v/p9f8ywOr4/qSWWGxeUGp1IhIRRhoQgjA807b/3vv+N2Xv+xlZIgcSimD1AyHw0cffdSjRJxz - zjnnnNsSZq6379U0RzPb3pcvM6sB3zEygJSCiDUNAfgP//Gn/vEXfWHO+aGHHogx1uDJE7oSzjn3 - 7MEpNUSkClVThYhkzcVKV8YYyHvveBft1Kn0JWtIUMBqHBqAGqsJAArSdthkKWQctME4XnbO1c9b - OSuVlowB1MA5AxvBKBM0doPn77rgorMupY6gYEbf58Fga1U6m5IpAwADcRHFpgQi9L21TUsNuvj4 - 3935rtx2U+uyZhGp6cKqWkO76+3hzp2MmFlVrUjDcaXZccmZVy7TnqQhEVQBAzO0CEgRZDvRsLR+ - yGN+LPMi9ZCRYVOs3v7pj3Rxzagwc22klVIAhBAWYzscuewA1/hbMrDNZg5jwFJKzFw0T0tvA3s0 - P3zHp28tYSLzQd/ZQABZjbzd8OT2TyooMwJxlPbM3ecux51cmsRtoAgYyGpYLz2pt3DHo6pExMxm - FkLD1p57+oVJB4FapgAO4MAciYgIoMDMRGRmMcZamYcQasFzp4L1CgHA7LirNYaRKquwCqmSKRnI - SHQl7tipZ+yQs7/iFa8fYT8maBPG47y0MgiE8WpJhFELUugW68JFWPLG3G4YyGJAIDEUJQKS8Yo9 - WD79e+/+rcf6B6Z0SJusoRibqpY+t2lABjZeBFgYQclDu93hFJbatDo9uKaPf/CeWybNWANKUZsf - BQDImGYHCItoTIwAM2gpjZGu8rUXv7jVYUJiBLZZ/0ltCcQmlS4v5ZUXPP/aHXH3aNAwoFZYmebB - 4EoAKaBbzY0mQwgkIqKgmABQI+Pw+E13/i2GKFAkUi0cADMl3urxePJTQEClxqLPaxVdtHBQqwgj - MgRDsFN2A82ytM2ICGBmJqpfBrcZ8WNYP1+vBDNKodm/sp+6EJACxawncpwx55xzzjnnnHPOOefc - Rh7d7ZxzzjnnnHPOOeecc84559xTbsPNJDNdzlmEOa6trQ2GI1H8+E/81I+8+cfHky7E2PVFFMSz - e0OICNDt3GV6hDreR8653k0KYDwe+1B6zjnnnHPOOeecc84555xzzjnnnHPOOeeccwspxJyziGiR - t/zCz+/bszsSaRYCmACbxeRkKaCnJf+C9PBp9vzRJkDUUgjv/fubX/Pa173xW7/tlg9/KC0NLIRp - KRxiSPHx1YNdLrv27O374uPPnHTGh9aWBsMQQkoJTEVlOBpNc+5VBiujad//zbvf9fqv+9eH1iYp - BZ0X0PXkGgOMYQyzyKHufiI69+zn/9mf/vFll1yqRaCiJWvJRfK0mwyHw8W1x84555xzzrknopQS - QqjhfKo6HA6PnQd5PLUpTkT1e2gpAqDv7Qd+4Hu/7Mu+DKLDJi0vL5vZdDrd3ls459zJwozMEECR - YySmAEpamvzAwXs/+A83SdubSe02M4bSet4kQxkFtVOEtKiShAGW0nTphRfdsDPuRjFAQTL785q8 - SQUw6nn/6Kyrzn9R6IYJjRlEsJ1E3NmSBVgAGMY1X7NpUDJIqZQsbXf3wx//1COf6MMYkWo0bAih - Zh7XGO8Tsymde3rV6GJRJgrBJPR2zt5LztxxQdTABhUQEAKLFSJdDzN84vOf/7DxlWQABRFEIBGI - kdF9+O6bH8fDhQpIiQ2kBjHIotl2VJt/wfMJAIit5noKZbT62cfvvvfgPRLyLOoboJojTrN4UaVa - E81+a7T1tQUEQko8SRc/7/IhL0sGI2LWA6w6r6DYiIzJ/ATAiaYUjZnIzJQhwBeceeGOwT61UEA9 - 05RpyjaJmAR0UR95/GDflVKUOaBmfjKXPhOUF/vfCMbrUbfuZFObDmyow8KQzY7uWqMpqVExLkqF - qSDLwHas6JnXnvuFr3rBl6fpjtClYUI/nsLQJpQepUcKEbrliHclVoIxlBdJ3hFgmARDsJAo9F3J - VKbtwdvv/8CfvPcdeXBoTR/DQCyqQJqmkWJsTEcUSE/vdoeJgbJ1YaR3PfCxOx/8WG5zCAQhzOLe - gdlxgfqMCJi5nrhkRWNxpHteeOkrGmoDMSEpmFQYxcyUbJwnbduGSTh9eObVF7yIukHpJYQAzEZw - 0nr0YXH0bZkqzBBCsKJE6OKhD3zypoen93epM5KiwqB5ZvNzDxWCsClDyRhgQ6hfZ0gjWQwag8Zg - HFWj6qm4jQwwhXBYD/Cu3862873s8FestycDpXP3nxf6lCgS0XEapc4555xzzjnnnHPOuSfJz5w6 - 55xzzjnnnHPOOeecc8459wzoum5pabmUMhyODh0af8M3fOOv//pvmyLGWO/ZaJrmKbqYPoRQf4gx - 1nsD/Kp955xzzjnnnHPOOeecc84555xzzjnnnHPOuY3MrElJ+nzmGWf80i++JRJHIgZgIKCUshik - +1kYaaxAUTEgw/77n/7Jl375V3zDN3/LA4883Azace76Ijt37xaRtbW1lJJfR3rSSSmJiJnVxCYx - XRuP06BNbTOeTv/inX/5r17/ugcfeSSr9VliXC+fh42ZzsQiwsQArrr8ij/6oz86++yzh20bAtfi - vbS0lFLKOQ8Gg7W1tad1JZ1zzjnnnDvJ1aRtAGbWtm3XdcycUtrqfFSVGWYynU4BcACAN/2bb/q6 - r399CCHnTkSYuT6e0DVwzrlnl1l2NRnFQERmZqYSMlbyzXf93RSrk3KIIsUYSwHzLDLQABjIaogv - QJjkwjGQhVjaM1fOu+TMK9HFiABSpUXk7SxHjYCgzDldfNaV+0dnJxmEghiQ8/b603S2JMZGqJMq - IkNVi2YNGUN514ffSTvEQs0Rn4W81lX2fjx3UiIFqRiEQmqHZpLH02Xbde2F14ecWBmAACAyAzOb - bOdN2GoeIgNKpvU/qjABGVgRCBbt/um99xz4ZOGuHlCLgwvAsY4vpXn+KGaVCRlqYihIVUVEYps0 - 6gRrt9/zYRlMlctiqbge9XU+YBgvfrW+fbbIACjRJF501hXREhQqMCNAQcVoFl5KswXwJuKJxMZs - iSyyEIBCUkx3DvZc+LyLkYNpKAqBCUxhMg/jXl5eaZqmlNL3vRYJRE3THOsdTsns01NY3cXzw1gX - hzzNj/ENO1RBAjYV0amm0qbpjlde9T++6IJXxrWlkY2sQwDaNhVBEYRA24sirou0qH90Vt8oB1iR - xAngqXS0rGFXueXu9/3Z+38/7pGD8kjPE2qQpZ4A5dnkdYg7NgZN+0O8M7/vo+/KaZo5CyQQka0f - AiAFyqxYMkREBAFoCNzzJWdcfdbK+dGaQAQEINRmAxERcRbh2FC2MAkvvfyVvNYOwqDO1YixMWFl - WzVnKRaIicAGKLQYWhyQhz/wqZu7Zq1whlo9CkIIeO6ld1NtcECpNicswhjgWQVhxBqAwMZsYDO2 - U+CrylH2splRYJknbysw+2q2vRJxWIC3MYxRcOa+c1obsiUVMLNt+vhwzjnnnHPOOeecc86dMH7a - wznnnHPOOeecc84555xzzrmnHulht00uLS2vrq5ySJ/+zL2vfe2/uunvb4GBmUspOWfMh3EMISxu - +AQA6BHT1tRhOAB0Xdd1HQAflcM555xzzjnnnHPOOeecc84555xzzjnnnHNuoyy5bZuc++WVkRZ5 - xY03/sSPvRlmAWg5oMb21Is8Yfo0jENtW5mA1DTFoGABBDQu+W2/8zvXXf/iH/6xfz/JPaU4nk6H - o2UKMYYUw5aj49wzKwZaPXhgOBoByFJWdu+2yL1KJ+Xnf+kX3/it37Y6mSowWl4CUMqsfNoRg4GL - aQhBTVMMr3rVq3bv3k1Eh8bjvu+ZuW3btbW1vu+bpulLbgbtkUuyafB955xzzjnn3Ab1JkEiijGK - SAhBVeuTW6T17r+aEiWCr/3a13znd35nAEHKcDjsus5UA3MMIYZwYtfCOeeePcyMGQhQFCuiRdRK - 4clD/b0f+tT70yiY1Zw/m6d2r4dN0jzCVgkaYBQiNbrGL77kZXvS6egocWJT42KkSgpjMgrKQZEi - yzSfNjr7mvNv4HFsSgqBwFsLCNRZeqsGMzIoQRhSK/YJ2hA4aGqsE9GYP/LpWx7pP1eon2UCi5gZ - EdWM4RO+YZ17GmQpQlBOQgEkbQw8DVdf+MIdcQ/lRGA1GJQYMYStdrfzehflPA6bZgeomREhAihI - ICMtw/Gtn/4AotW2GQBmVtV6lB119qg1yvz/ZMxWqwAmBGZWqJEK949NH7n1Ux8qqVOaxXuTRrL1 - 2kIJRmybBoTf+skFAhiBwhJ2nbvnfCsUY1QQETEUMCW1+VuSkY8/f8JFi0EDCzO4UDYznsZrzr92 - yQYNQoOYNDRmyRCBADvwyGPduGMjMm5TQ0SlZIbV0UI2FGCqSbTuJFL3Yv1AB+mivUGzsycRiGyB - jQEQDFAl4wZGU5RuZKOlye6vfMnrr9p7PT3Ku2IbjSaTzE2Kg1ZMt5HebaSYn7shAywaQVgLiUWU - oqrati0HiFkfJmXHob+6/U/fffs7aVdeswMldr11FLi2o9iIZlPNAvci6tYxIL0MhnzXw7ff/rmb - wxKLiWgGlAykQG17UwGVOuASg1SNCMzMGWnaXn/Zy5qyHCSKkSEaGDCqIyxRaAbDrutCCIOwdObo - /Iv2XDWkZS1SGELMyiwbM8K3sxYhBDMRyQ1FVVAAhvp3//CuA3y/UU4hqM4+VQ1yQrbbSace+7Uq - AHhWG2jd8mxgIVYyJT3loqZVafYlrk71q2IpZdtfynj9rDpv/Loaud23sn8QliEkIuyde84555xz - zjnnnHPOPWX8VIdzzjnnnHPOOeecc84555xzzwAiMqN7773vNa957W23fqzvS73DM8YYQgAwnU4B - xBjNLJy4q+pVlZnNLMZYbwY4gTN3zjnnnHPOOeecc84555xzzjnnnHPOOeecOwWklPq+zznnrm9C - ZNDXf+3XfvMb3kBAFmljAqCqdngU8rNF3xcDN20bU1tgBqIYx133H37uZ2946Y1v/ZVfLap9ydPp - NOdcE0rcSURE9uzd262N67XHq48/rkBf8nd+17/98Z/6yXHXZTUDDh0a1xypw0O7NwwnToEVyEX+ - 08/97MUXX/yjP/bmyWTStq2qjsfj0WhUUwYHg0Ep5eleT+ecc845505mKSXMwyABmNniya0qpcTI - ZgDhS7/0i/+X7/kuAICa2Xg8Hg6HzFzTwb3d7pw7VdVkUyIyKqrFTFMIKTCa8uF7bn5g7TNFJ20T - skg2SSkskvVqRC4bwqJzJFFRgfBy2HHNxS8K47ZFQ1Jz/0x4ljkXNAYNQYkhZGh15eoLr1/S5VDY - ioW05W7BmucZrASIkcosBw4psGYpUkILANPS93H1po+/B7HUW87NTEQAEJFHd7uTkQF1tATiNO56 - Mxs2rU50d7vnnNMvDrZESAoUM2OYbb3TnQCADAbWjcnHxjCOIQUlZAQNolmX5I7P3Dop4zrAwsZ2 - WozxWO+gNQL7sLecj9tARL30Fu3Bx+97cO2+CU/qHweJQTnoxldAwbOwxtnTuuVcXkAMAO/fcdau - Zq8VApOqqqHOqsb0YkPWJjxt90RjA9QisZExxzQeXnHa1Tum+3ZM9u4Y79+xdtrK6v4dB/euPL57 - 6dCe3cN9a2sTFRBCSm0ggs4q9sNtSPF0JwsjGMF4diBzTe/W2nQJrIE0AkyzQxJG6C3HVkPUpEyr - dnZ7wZe86F+cu3xRMx7miXGAkvaWlbTWTttAiiAcNJLBqAiXXhFTDIFyzhANxCLooZNmtVs6+Mfv - +71P3P/RsAINBQm99ErYWFmh1l3ObUai7ah5z21/udY8mpEBgMGMYAg6LzM10x4KY0ICmOvnbR/P - WDn70udflXIDZYAVpGAygJQoAKxGxFGRg3Ka7Hj5NV+cDwlzFFIhwCJbJAUZthcaHUIN5c6mOQYK - jKzINLnn8Tvvfuzjyn0TE4zNzExMnnP9LUZ1J/IiH50MgBIElAFTUiEWosLQU6GGWBSjw+teMyOi - 2vCb9hMjJaKtNuGOUUjZDHv3nrYyWIkaVQEwEW27/nfOOeecc84555xzzh3fMU8JO+ecc84555xz - zjnnnHPOOeeeOjnnW2655Ru+8Zu6TlMKpaiIMcdS79agGu6NrusA1DHvTsj7ppRWV1frz0RUSqkz - 9wBv55xzzjnnnHPOOeecc84555xzzjnnnHPOuaqUMhgMZrk4ZmraxPSjP/zDn/3cff/vn/95VzJq - qke94DMEK+VZleLNzKqzy1BDbKSUvuSUkol+9sEH/rcf+sG3vvWt/+57v//1r3tdPxmXnEM4FYbT - fu4ws/HaWu5lecfKJPexSR++/fY3fce/+eBtdyhAhBBYRMGww8YMpxr+Mft5MBxOx5MUGaJi6LX/ - 2f/r53/1l3/lm//nN/zb73jTysrK2toqc1TV1dXVlFIdJbyOz07PpgLvnHPOOefcs1ApZTgcAggh - iIiqxhhzztuYFRGVogBe+fKX/uSP/8RoeVifjzHWIMzxoQkRDdqlvlsLyVNdnXOnKgZgZMaaNMUA - IZ32h265831dM1Yp0cKURAgNBaiAeZGmVvMyFQBBzJhggssvvmLf6Aw8FhtKECUCkRqRAsEiGVgb - Ni2UiYzK4PSVcy49+9JbH3x0LB3sWNFrx2RUg8QLWcykwsoGAG1sp5OJJoiCCcysw+6Wj733fzj3 - ny3Z7hhjKWVxj7mqenq3OxmFEBQECrmUIQcphUTR2eXnX3vr/R+e2IRq2q1CxbYx5AHPMjtnR33N - x4VxIaQQICUayKKh73n86MGH7n/ovtP3nsPMtYXGfNTu8WP1mTOMyWBkBjEjjo1YKSQf/eRHNWWL - IAMraBZ5y2xaI0WNQLV7toaYPgkBdN4ZF8TSBmIVASJZTQzX2nFrBCiDuG4c7809kUhARmQgmCJK - uyMPbbrj2//Z90/SWCWwUlsAQAhGLLns2rE7dsmK5tyBNDUJbCJKqJ32z/AKuSejHngwgLTuylmN - pMwaWRuyQCBSGPVGMKZp16Uljo2U7uBybMcPdlfuv/bgdV/1px/43YNrt8cW477vBcuJVQ1bqRNr - jjgZggzYgpIy58JqBInoTVKK1kvJU44IERSxlqfM07Ydvv3Pf+sNX7r3+aOLStcxMc3emHlTZO98 - fZ0DyBA4PPDwZ+74zAd1uS+5DymARWGxMIzNIKy10UwGGEyZOGhQLTriHddc/pKVsMyWTAMoAEyz - z3FWIyMqRQZNKrpWsg54x+VnXXPa8un38z0KUdI2J9aAoEoKQLdenTLH3OcmkmZTVQBE6C2jefym - j73nyuuuY5tFVRMKMWoA+Qnejs9eLHWXEJjViEEKKkAGCQhmJNQUnn2QEZQtnHKfaArAmIspGMUE - bNPp1My2lxZ/hFlxOv/c89q0VFaNlDly0SfVSnTOOeecc84555xzzh3Hc6eT1znnnHPOOeecc845 - 55xzzrlnAilo8zXxxrD4jrf/4Ru/8Zu7TgNTzoJ606kqb4jQNjMiijHWkRNPiK7r2rYFUO/TrgN/ - eG63c84555xzzjnnnHPOOeecc84555xzzjnn3EIpxcxUtV5vmThYkcjhrb/0n294yUsiEAkMAhHM - rJRnenkPp6oxMogAiAiHAELOuagQhwx86jOf/pY3ffuNr3rlu9/3fsRgoDqg/wLZ+rRgpPXPDvtj - 9+Qdf3tu3B1kIAQOaTgaFdEs8n+/5S1f/E9f/aHb7qgzCTGUoov9FmKcz+Wwt8R0MhmNRrloMRgh - F1Ng0k1/5hd+4bKrrv4//tP/aSGKWWrbwLHmQnlut3POOeecc09Q0zSlFACqWm/fK6VsI2+1fu9k - wlVXXvqTP/kTyytLi++q9a7DyWSysmOUmjCZTFLj9wk6505RpCAhAhHXEEuxstofuH/tc5985M4u - TULi0ufIoHoDNRNwxP3dAADpMQijgY5efPnLUzdsbEiBpfZ6gckQTIPVXG0VVpARUavDth9dc/6L - R7SnZTbZOEueT8fq4Tls8Of1pSJDzpkChgOYQQQUMJHVB9c+99nVux/ND2UVsjjfAmoQWp+njynt - ThpGlHMmoibEtm37vk+RW0oX7b9kSXaysM77nEVsy+2leTQ1zY5cAFCCEmoMp6pRAIgQuKhKkz9z - 4B8O4XEwQwIhMDMRqSpBQVpfC+jsaDWuWaA6i8VVkABKhshJxAAU7tfs0VvvvkljD57niB85ygQA - zGK817MtSbca4x0IAc1Z+84J2rSxNRNOZpFgMWhgYwJAolxn63XFiaWAGhuYipqZmWAQluRgPGf5 - wvOWLrtg6fILhleeP3rBRaNrLl6+7sKVqy47++q8JqUrMUaKxIwQQt+vn1cy0lqr+1mXk50RtOYH - b8CGedVEAIgoNWFSptkKxRCQlnlFHuOXXPyK6y98xb7mDFm1FGjYoqhaRC0bbLyYz8bzdPXJDW9x - FPVXg4amUysqKYUQwYGgkAxjxGU8lh+6b3LPH7/n7QfkPoyyhCys81YNk8XaGjGCEittaoQQsKEt - tKHCOXod6E4mi8JMAEiVVFiFocRkDKA0+bZ7PrKqBzqsKQqpARABGROgGwtALaIkIEUGZ1oJp19z - wYttHKIEAgAzUqNZI9vMxNA0TZenITEzJ21GuvP6S27ktUTGRmpkICND0OMdAsfGEMoZsWGL6Hth - RcsBDIzyR+6++XF5ZEJTsLGBiPgJf5zSfDrZsTGMYbU9UZsrhloLAUpmpAQFzAhGfPIf8IZZpU0K - CNdKDwFUy7aZcNBcJmJmW/86ZmAYaP65wACgbCGW4Tn7zk+c+n4KJmbWYoTtlWp3yjqhxUHnj0eb - 7HhvdsIWwzZMx3vuCdq4Cpvf5fAV3N78j/+OW/429+RtWIsjdt/Rl3P+sif2eJR9YUdMJ5gCBSjH - 25hPcPltvus3Ph4xPelVOdretyN/u/XlP+bbfb7tsxVH3WbH3CZPfMkPX4sjSuBhb/BkytJWluRo - b3LiDtsTXKEdb/ZHPyqBJ1m/fZ5XLY6pJ1PdPbUVyPHe7UTN7fPZ5pYxqM1rJNjRj8r5/DdUAk+q - PjnOKmx3Lz/R5dm0Fscs2LN/1ba5VZ+ZD+UTW96OPs8n+zZPebvlmJ8gG35rgADyZFbFDv/fMWvI - E+PwzbX9T8mtOvITc9N6HaMdsp3P66MUPHtyy471o1g3PHNYtbb+28Mrga1vz6fxQ8Y5d+rwU6fO - OefcqW8bF+s755xzzjnnnHPOOeeOjY+YAEBVzQwAM6eUAHRdR2zMINIsPYdgZjE2Rfnnf/E/f//3 - /+B0KjDUGzLNTDSDVIvMzvzPLty38vnGc6Q5AHExuF5dVuZFDyEzi8jiv3W8DyLy3G7nnHPOOeec - c84555xzzjnnnHPOOeecc865jWJk1YJ6ZaapqdTxkQcx/Jdf+eWXvuQGMgxiqKOCBw5AmCUrcIyx - ATilFmAC02J0F3o6x+rWUnqYAAoTlbwYlaqoGKgHLKW/+8iHvvy1//Lr3vjGO++5W5n7IhwiQCaW - OETiyJDcSenbNhXNSqpsFuaDcx8lxnt9JTclTbsN5htlFh8DgAKDA3EAsagVUTMQMYOgFoitCBva - 1FgRIsqKMFgqxLd94hNf8VVf/b/+8I+MswhgBgNyFgAwmAAGyfNLkQ8fT1NhWFtbw/zK5To03kSR - GY/1+d//x5954Q0v++9//hdGhBBzXyLH3OXIsRQJIahqjLGUAqjRYsJhk3POOeecc6e8mgoJoN4J - aGYxxnqzYf1vTdquPx9nPvXWv6ZpwAQCBQZAABPOOfuMX/3lt+7ZtYMNkZhNiYiZAcQYp11npBSg - x52/c86dzKyJChPJETqMMfWY2o78zg/9WW67EvueBJTYmIzFSElTVILCrKaeCaBAAAbGzThdvPOy - y0+7JhwaUk7CmpP2HIRCVLRiUQuhLyH3UYTARqHwEu245KwX7knnNDokmXV4sTEp1Vg7I97YH8IG - Nl4k3pFBCYVRWAmaFFFBBmG1gC7DFCEgWwe2kqZ/cdsf6mmTrBZtFGMj0F66pklsSsaKpEg1FWAN - cQAAIABJREFUG3geM+zcs5fCYmRIYUjfdxyJglJvz4vPu2Lv5aHEENAVKEC09WyZWX7kvMd1nuRt - pCFakR4EZXTojJQBRf77e/7m0OgRC8Q6NAERlZwDg2FkZqTKqiyYBSoT13AvIiGUkCX0DAmmUsCx - hcCifPzRWx7lzxbtWOpSqVExKkaqNEuNIFNQARVdf1LJtpaYQgZSdIfkkvOuiJLQGatp6IV7WAoy - bAo1okqlsAixLtIh3QlipKIqIANHTmw60TENOJSm7YeD0jaWAhggKIXCOMQrtLPhZCUbqTH1pYQQ - YWyAzqtxA9iUoB7VeXJhA+sivnqWaFurICUFFWVRMtA8CVtKNAJT4Zg59mBFam3ZHguvvu5LXnre - K3Z1e8JaSogZsEiEECxFC1xLCaABEmaZsgQE46g1R5kxPwNl3EvojLOSkiEK0NkwgkyzZgOKGgwM - JIUUdE2fd0xue/SmP/r73zoUHu7jRKhHgIgwQqKBZVaIsRi4BiUDTMZkXFtf8wVgJVaqBdvqij9j - +8Ztnc7KrWL28VqT2sGmJqIsmkgCgUJjLWAHBo/99cf/SpNoh5AAUpYYNBlYSGuxnycnMahwk00l - CprJjmvOfvle/oIV3s2ZAwmoA3eggprdxARG1o6DFSsikhDTpLnh/Ffu7ve3EmFqjUwxDaTB0MRo - n2dkpqOgwm0TD6nkBEQmipYlAJ1KN5i+5673HBqsGkoUJg61+U/QWSw9aY0z1/nPi3Pki28BJ3UL - fRYvbajnfwFlAxmxJbVW0BoRQaNJVGUl0sB2crc3lEBmUZUVSqHn2Acoq6qmENVqyuDk0PgRCtEQ - t3gSnI0CgESgjGTUciNagsbddvpFp10pU0lLsUTpLbchRvFz7M9ptQP5qN3IdJTLjjaNgLd43shA - s8w8I52Hgx5lmkUomsDm+Xmbg+9snr2n6y/Zqs2zPSIV0OaXsMh6vt8xwsWPPsn8pbrpqhirv8r1 - twadXem01cVfX9RjLsMRYYFbWv6tLct8LRbrnoHF7tu8BTZumSe8MOubrM5psW6LzbepeGy5PNhh - szUAeX06XjjlE55sw+P6dshANkgtzLphhbaovm59bja/WMtmv5X1LX/cYnO88vAEts/28iltvtbz - R924gWTTNtnGlp/PemPhXDxvGxZisfxb7wTa0vIs1nQ+6YYw0S0fgEfZmrO9fJQKTWfV5uY13UqR - sEXBXRQp27zR5sf7tuu3jXV7Lcyb5jz7v8IUto365GhlG+sb6iiH+1Yd/mm1cftv2vVzW1vmeXVx - ZLHZ9De2rc9HgypEIItFXTwKVKAbjkedF4Qtb/aNO/cY2/x4++ton9vH2xqfb5qtxWGHyWxP2fqj - QhSyjU16RCPn6HtqG9N6OTtueTusQtji8te9v/FTZD6b/5+9d4+WLanrPL+/X8TemXnOufdWUVRB - QQElz4ISEHzwhhFQkaW2z7Ht6XFQoWfEx8zYM71smqZX2y7bHp1uRsUeQdTuEUddPb1sXYN0zyCj - KJRQiDRI8S6KKop6V917z8nMvSPi95s/IvbOneecW/fsvLeq7q36fdZeefPkzYwdO3bEL2JH7ozP - GfrEMfmXQ8ZL+/N5hjZ7tMz3Tu5BUquAL11Ay/72AMjY8tdB8a5a6NpYZ39fc04c3oj6wLKen6M9 - Hh09sBcMT5msdbLDeHf0/Kx3W2nY1wyqtKxn4cjZL8FzeGb6XSgAFUgZvuow4ox4XDs7stpWkWqt - 3AzDMA5wcc9jGoZhGIZhGIZhGIZhGIZhGIZhGMYFAhFVVcXMMcb5fK6qx48fd84tFnMA0+lURADe - 21v87M/+87e+9Ze6z/E5fmeXV/Goqirfbx1jJCLvvfeeiESkd3XHGPNiHIZhGIZhGIZhGIZhGIZh - GIZhGIZhGIZhGIZhGIZhGMZYCEhteMxlj/7Nd/7GS1/4ohSjAya+kpSgys4571Ukxtjf2Hmhrfvk - nAOKOWAZQwIWoX33e9/7kpe/4qf+wf+8aJZtijGl2fbW7mIuIinqdDrd2tqaz+dZC5ck9FK60Yu0 - GWcgpSQhhhCyDLuua2YWEV9X2fBXTSeq2jaNcw7Mfjr58u13/Nz/8gv/xate/cGPXO+cF8CxO8fK - 1q/JlhSTra1G5Obbbnv9G97wHd/9PZ/7/OcnkxkRkWMRmU6nuZ4vFovJbHpeCsEwDMMwDMMwLlJC - CPl3fAC89yklIupd3aNgZmZu2xadoKWuvSoee8Ulv/7rb7/qqsd570UkpbD/k1mH1hm2DMMwHpbE - GERi5WrnqlOLvcjpvnTHp2/9RHRN6mzZ2ZCdn4cgJMgzWnAgBjNY/TZvu3n1gme9XE66Cc0qV7cx - CiERQ33WUTpVkCYWIUksUEZQannHXfbsp32dzJ1X9GrV/nfcyG6Jdcea9L8iV0CRKDvhwIJsKF4Z - fDsbipBEFz9/xye/Mr9ZfAwhxBg98WQyWS7nKGpQXtuJYVzYZDOxU+VOOAOAladx+rQrn45AzM57 - MENV8yT22PTLv1pMHlkcm+ewpTi9JStsQen2+c13t7cFiXU1FYGIMDOJkgpDNAvAB3oU0l6Al9NJ - BAFA5ESQoFzLjXd8pnGnqRqoVIrR84Dx6Fxn1tlrdWJ2ac0Th8opE5GyCgnApN6VSChKItgflIzz - RT6fuUonjkrC4nyqnDgnDGUoZ3urS5VLVS80VSD/b//n8Isk83ZfdORTRgN/TOeuBjrfLVZtviiu - STwAIVFSQFgx4x056V79/G971pVfe5l7rOzxxHNKmhBFo6oSnCfnQJrdRJlSkTprOA1HF1EoApJl - 3nnLSB8WFFAwM3k0tLfH9330xr9870f+mC4JDe8JQlVVy72FqvpJWb5Gae17T4ZgUG+lpMpKtrLN - RY8OlkJyxJVzRBRjXIaWvQuhCbT85Fc+drfcHikwgwiqIKpIXa7/g7RK0IsRFeO436nD9tdf8+Jp - OtbupulkQqqESEhd/cp1VADkRZOYPBM5cZe4K5579de71ntF1OgmTEQpIYQ4dvjAylm0nfKOCeja - sjJ0qtd9+i9O4W5UKcQmJV1Jcs+S7Cqdix3W/b0SK0NZ4RSu6+bEqWRV+UOUzfNDV1+JAJDmMK5g - ATMIokxekRbNbgjLpAIQRh6yKolAE2YTALJczmtXcaqefPk1O/ooEp9UBYkcSHQ4VDCMwsDUndHh - XwSA1wNVjqlM4MEj1h/zJ7oHwv2vg3dulXIwcd3vu/QXQhDuXuv2wmO2M+0xaw/LeInO3cw1GHoN - 4FV56tqL55b/s5DPKZSgDM37Xi/h/TnnIz12Z6F7lPKlw7oxHgA29Lhj/+XwWpqlGq9dJenRcq7D - IvWDR+6yXs4UrZf+6CvmVXzuRv1d70+KwQntqvOo/CvvP/x9DXN/dkefAtJy1A7ITa8vhPW6GIvB - k8bVn8MYvK4CxFKjNmNUedK+3QsAKtdD/czMOczPlNYR+zaybwzIq50OQt+Y4JDPlEMkwOVzpzly - RnQzYOd4FOun7dB0eL35bxbfzhjrStobuWGHk2yH1inB8D0yKvN5KKhnC9cEUBdbxsKQHCmcghRO - c+DoYsvwjf3RnGt7vB82uobZJD9Mg6tNhnB3vDSM14OpiyMyPBcHz0gXD/ZvdNTHs8D9bja9GOz7 - 32E5lNZxYD/d86Pnf/2DD/h0sWQr876AzyWm5fM+svz7k0qHRO9BpwmolAh5LvnvHgmCVccxKMcH - Z8qd1mN+4bAKuUGDAYYRkrtYyn1cGtTJ8XTDmUF41+Fp6/5fNmw0q0KQ8icDZaFtKoPzwRcxD4tZ - GsMwzi8bjJYMwzAMwzAMwzAMwzAMwzAMwzAM45GMHNgAwHsfQmjbtqqquq4BhBBOndzd2tlRorzi - xsmTJ3/yJ3/yt3/7XVLuvjgP39blZe/atiWinZ0dAKoaY4wxAsjL9qmqqoZwYEkOwzAMwzAMwzAM - wzAMwzAMwzAMwzAMwzAMwzAMwzAM434ZLmdfVdVyubzskkv/z3f9zste8lIAMYatyRSApJRi9N5X - VRVCEInlRtN+DdMLgGyP894TkapOp1Nmbtomkv7Gb7/rOc//2l/4V2/da5d7zXL7+HH1TN7Nl60k - OOZJVUsQTuRBwxXshov+kyppt6rWQRuAMUSLvYMUEHVMlfeOGaqSUr4BuGlarqomxnnTBIJWXit/ - ajn/nd/73de89lt/4a2/uEztbDKLKQJIsoka8FAcu/l8DiDEEKB/9oG/eNU3f9P/9rZf2WuWzntX - +UWzjJIESo5TSgCT9tu+KnG+MmUYhmEYhmEYFyhVVeUrrJRSlk0OHa5HZzabpZS8ZyKgrIasbYg7 - O5N3vOMdT3va03Z3d7O6W0Q2UFoahmFc5BBpVbs6pQVxy9Mq1vEvP/H+vfaubC4BICSM2OugNM/J - EYnEKIgKEWgktO7y44999tOery2zI+W2UwYgCzyESCjPajAAVSVWgiDJxNXPfdbzdvyltU5IGNSr - eQUkqrJvMqRoC0lGq3NJTzenP/7pj0a/F6q9Se0lisbkvQepAPlIhaRTjpi927jAOVhFGSBJuPpJ - T2b1iMQKGvpoH0jmi9O333VrSEt4EZQhXEoKsGR/jJb8kAr38uvO8bmysZAKklIUTp+/8XMPziCN - FQ7VYx712ImvHJGq5sGn6mFTsWTBwTAuIASkmEAnpM4LvAaHBrwQjjHodv3oY/7Kv/WNf/cSvnJH - TriWWSBOo0stRyEIPKn3CS7BZRklQcCRJTE2s7Ulhapss0cLACfbkx/4zJ9e99k/cceCcpOCbG8d - Czpv0673XpVIhZBAsWzlm9DVV6LZb0wKFsc6Wm1rPLQcWAWp+AIFKiJOvSfPyspJ6hCnzUc+c93p - cE9CAwcmiIDAYELndM++O+pUmkSQCCyra55w7VWPeUKKEQ4xJSXkrxpXGngCgOyqZ66JSDWp6sxf - 8rxrX+RTRS1IHNQH0azSIj+2smU/lHSCagFibw5PKZyc3/3JL3ys5aY+VqcQnbrsnMvfh660kSjP - 8xfkRfl8YdwYYIyBoaSdcTPXCurk5SklRx7KJ3dPNrIUCUSjR1mqiYhChDoKUCFUrqaGrn3Kcye8 - Q6hVoSpEmgAhslpkHEqvu1P0Xkcu9+8QA17hFQx4Ek/wlO/cEcr376CLYASGeoKHesArqoQqwSdC - 3jRvgK4rJDe69UkUokiKpBSUolJQDkoNqAECEIBEEKdwAhJoMQYfcWNFpaiQj32ldcxXcg6oIBWE - oavWvUnRl0CfHaMe2m/c3yHVCwrH5H8c1B1FsZKrByrAQQlUxJZZ667wQKWoFKz9OT3bBsApfDHI - CpCAAAqgBtyAAziAIyhoyb6MHIXmrjL2NUkBLfmsFFXKtSG/D0g4as6Hh7C+Q+7OVNVtnoSpk5KP - UzmWkveDm+ZyqQ/JWlJfbJUj81/KNB8+Ia2XzyqzBEKkseVfLOMCyRtIijDYKzzgAQeh0jBbIGLk - IXRtkBVuLc/FQ5tAOfFINBBaH/0IRpXn4RHs4Mqfsu5LH1GcCSlSSEiJYurOhStbrxAuS5UqxsUH - ApyIk+A0OG1Ic4kFoAEFUOjzQWDXiZ9HcbB8Sknk2thv8Kn0FDwqvuWIBeo9wV11Hd5VWIpHoDLu - FJAAAdRAAxCgMTcKB3HdhDmvCj8pZFTmpSuiTgSLcnmrnRh4rV/g3NGMLHx2GAQiBTQQgoM6wEnp - FiEMrYAa8GPqf3Eed9v99+b75zzPVEP6BMuQeURw9kAOwoxOVe4AghICNKyaoZYdjakNg3NRLta6 - M7WqdUz773MuYuyjPAIYzn70BdK1d5Tere80KYyOzyokZThUWvRaXOpT64Xoo/LPq/FeruTr473x - IXCNvij64RBIQQkIQEMIDsEjes1b8PmrtBH5R4JExESxyycTmEvh9001gkIZsYydFV+Vwr4PFnf4 - IdHyXMYnZytQAoiAvlJx6pvuYOzk0I1+x3fWAbQAGkLjEDySh3iI08EASYRUoBEaR9VnAhzEIUJK - wCTtG0se4wCgXI1zUx895EOJcgAzuDzre5YSVDuF/Lmq3A3DeHhi31sYhmEYhmEYhmEYhmEYhmEY - hmEYxnkgxsjMk8kEQF5lA8CJEyfaJjbLwORvvfW2H/mRN/zJn7xfFZN6ch53nX9O6Zzb3d0lotls - ll+ZTCZ52b4s7c5CccMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDGMzHHNqw6SuPfFv/sZv - fNe3fTsDTbN0BEdc+yrGGEJgZhGpp5MLxNjdw1yEQ/nP5XIJwHnfJlHgnr3dX/ylt77gpS/79X/7 - W/PQLGNUZj+to6QkSEk9u63pTGI6ylK25mw+OpXzjhiiKcQQQlbFVHUNIq58vT2rtqbiaJ7Ce973 - 3r/1Pd/7xv/+Jz//pRuZPRHPm4UATEznr7allPKNx1U9yav6nlrO3/yz//T7fuBv33b3nfecOum8 - 39rZTik552KM52u/hmEYhmEYhnExEmPMxkTnXK9O3OCnfIvFoq7rto2qqCqnChHs7Ezf9su//Kxn - PStrIJfLZX5yuJrRMAzjYQ1TBSFNjaKRabpP7v3w3/xFta2sQsqUxQll8XpRAjmv7CBJBKpl8XpS - Rwv3/Ge8YEY7W9W2SBs1eV9rXJkCBx4+Arj/ETdEtdUrjl95zROfXcdtVg8ABCIlUiUhyovpl2kx - 6S1EG4itSNwUf/2pD59Kd6RqDlYSkqiV9wIUrw60S1lJ1QS9xgWPrFlvsvyS+LJLLn/Uscs1OAjl - 5ibywM43Kgmc3HLbTdE3y7QAhMlDOapoZ/o4OLmdbSIrTVpOSpUZyce7Tt955713KEMf+GlxUkZw - j3304x3VqqqqDKIs5ANn59F62BmrUjMM44GDoQR1TtgJVSIOLSgppaiIDW/Jo47pFd/1iu+/hK7Y - CjuVgB3UQx0SQVRVyQlPiLK6WwipeIIF49V3SiCHtoUTVACR1if8Pekr777uD268+5PYjsu08FMP - l0SDiHjiLKNiCGmn26IkJEoiJIMIuFJJGRcjQn2vV05iDImVJzydsG/DIm21ty1u/uytn1i605Fy - x80qAFNSVRL05rfekKrMQI1pOuVe9NyXa0us8LVrNQDEyqwgJdb8OQFJkWQTJ9WkUVU5+Sdc/uSr - r3gKB+9RS9SYQBXDIUYZVf+FoBzRjaxXzUfhgBDC5Dhdf8N1uzgVuPWeScYN6seaQI2HFlbkqJVY - lIQgTpBrIxGJCJFn9veevidSlKIyG4UoElcehGWjkVBPHQe3TSeecuXT67RF6okcQYggqiD3QBym - cRFDg8c1ZG1TyZ7dfUrOQz6ng8f9T9c+SGUvw8+O7d/7CRYSUAJSeUQsYtec7ErautmNLyvt6Wqn - vti4ugi/FvDPkfMU5UePlro+emVWL8l4DK5WD5zhMfTeyk5zuC4bLiexM18ftCAfdQfoLl0FLOUQ - OhnsSrs7en5gn4j0PN+2t2pdnar1zLvYrPwZkSE8KAoAgO9KaXi9P160SjlKdB1Z6f6GOe4nEHiw - jaAvfylndt+gKL8QB2bkB5AifF1tPNiy0L37c1MSOMEnUFdtu52uHfYwVo+kN1sPnMHd4XGnkO19 - 0uMP4MCxHyZ13jzg9RVAy9R9/zjYTe4fuAsqoyAGPIhLcBxUWgIGtbccwagznRNyA8HzGd413MMY - lKEO4gYBqzvFpboOT6uHVuP3MYoH9vpdAcCD/PDvrgb2kaH7L3WA27Btahfc0LWO9UwM3jP2Ubrc - Hko/4MHgyZEhKcFZD1zY0nrz6aTR445i4GY/Q3DvUl4dzsgjyNf+ZdTKa0LtUrETVCG6imRj8q+Q - LrL2OvbSPPvD0VLy/pzq85l6imGr1AfnZwHSDZlXwV9XPQoLoJBN1OCFfdWh603KnqRUS+hGvwRw - QDVoyNl5L+XECEN9HoTQ8PXRrEpmTdrd18O+m7jAfsdhGMaFgD/7WwzDMAzDMAzDMAzDMAzDMAzD - MAzD6Bne2jW4rUdVmZmZ5/O5976qqsVi4b1n5tls++Mf//gb3/jjN3/5DiJA0bTNIXd1bHR7WlVV - bdsCyMveee8Xi0X+r+VymV+sqqpfGs97+4rQMAzDMAzDMAzDMAzDMAzDMAzDMAzDMAzDMAzDMAzj - /lBirMunSaEEEanrermc7xzbcnP3q7/8K4+78spfe8fbFRQ1phhnk+miWTrnBNQ2zXqiD+4xHEZ2 - nwxdyyIiIgDgXEppEeOd993zprf8k7e/450/80//yatf+aqa3Xxv78TxYzHGZtEyHOla4RxqMVE6 - /L8M4JDCiU3LzETkHFfeEVFM0jRL9i40SyWuqurPPvDBX/iX/+sHrrtOAU9ONQWJIBaAQMwc0nlT - 2jBzvj+5aXMdFk8E4E8/+MEXvvRlv/Avfv67v/M7d+cLB3LMdA4r3RmGYRiGYRjGw4D8ez0iaprm - +PHjzCwieUQ9ll5UGWLyFWLEz//8z7/yla8UiflHi8eObZ8+fbqqqnId12NGNMMwHvYoiyqJVs5H - avd472++9Ncn5Z6F7hKcE0h2fijQeQsIrCJKYAIcmB0LV+30UdPHfc1TXqB7XKFSigoh3SLS3luj - xFCWsuB7IiJJQgRPHCJc47/+mhffcNMnljTPizoLKQCizgg01FZipOJv8EHx8faTt3zq1o++8OoT - 89M84RlXaJaNc16LwkHyDrMoSfRCmH00jDMha0srqAMAZWYw/JOvevrtN90SENmDnKSN282RM6NO - vnT7jfqcFOPCVxWSppS4k8d0E+DKgKxWluDOi7YahqkqeQ2u/eJNnwto2UFEVEfLWUbByi64x116 - FYvTBKgSsw4XwMgO0l4XRSvXuGEYDzlCzMpOmMAgVhZQFPIAT/z2/NRiqz5xzWOf/90v23vX//N2 - oXY3ziPDE8ipJFAST+yhURMBqZfb0IaBx3sX2xRCrCu3bOM8nXZTun1x07uv+4Otlx6/6sQzT+3d - M60ds4+x9a6GSO+hygckBAULpZW3qDiYAbCOttsaDyWkrJSnGwTaO9VYXWJmJz61yuQa7LX+1HWf - +bN75XbaaVUhAid5CE3CIJJsueutookAMCfn4+zqy572VY9+RhXryk+Woaknk5TAEFcqC2vuy8Cq - ylSrQhKEldmnNm5Ntr/hmpfd9P4vtrJMFOEqASVpFRjpOhYhQNmJB0UAks1iyqrCFZ+e3/Pl5gs3 - n7rJzaaX+kdTQ0qDdkZdXgcJorsdQKzmX7TkqOWUAUnKpMLMSUWF4N0d995OE2EnckZj3xkp1Ydd - K8nVENJ2V57zuGdeVj+GGw/1RHBKKlHIEZPdaGHsh9buOMpjfe0uT6gTFTIASIIo3CG3KOng88PU - KPo1zd0+ssbvXOafGUUu2Dtc+4yIy48EUOpc0TwmpLNmi+Mg7934aAAdeHJ0yozT/ZbA+t1lblxH - MLJszyaKXl3Eavf2UfnprijzTJnCCfywMm1qJe3z2c0PdJ9nAGCClEIuetQEtEDOyKhOXhQs3Z1M - BwtXAN7fpR898wiURzcM8MrRSl2cL+rZ3hycx0JHL/8EzV8t1VC3yj4JDw5lMGkiNHYEtNK98752 - of3r62+nMb2ervtYSy5RioxK+tK54fuZlqNnf0xlVr6/2dLzMHWzVlyMgTQUKAEtD2tLsbDLg+Sj - o/lM5bx6EBImGDQiVgBMiPsVxeN2gS6ryHU4729Qt/o+YozpnEQhAhUIIDywwguqvgYOkxvZHjkd - qK7DBAcxpt+1uDH5X9ma11ywZSc6CGWb1Ka+Z1l9mNf2RP3FRd+CRt6Lm1M++JG17B6hQM6QwtjM - xLVo0D8hgIthd5Xg6OCQo9VhOeISN8oJ7VA+3FR/f+wvq309r3YDnvxWNzb5roLp2gsR0E7ejIFo - mUa3ehVaq8r7a0LuBfpJ/NG1up8TopxObiZ9wxTq2k53hAck5WdJnl0//NCuYRJDQdQPMrnvgjce - qKxxv5V8k/M7ar9Fno180pXKpMN6DytA18MevUkSFNXhGezzyfv/5+jk+EyAo1XFPvR9AAAp3c2o - y42SYB4xMuC0axFSRjU8fOfo9mgYxiMAu8fOMAzDMAzDMAzDMAzDMAzDMAzDMM4D3vu2bReLBTP3 - i194XxO5D3zgur/zA//1V269A4AqZtPZ+kfP6RcXIQQics4BcM6FEOq69t5Pp1NVdc6pqojkN5i3 - 2zAMwzAMwzAMwzAMwzAMwzAMwzAMwzAMwzAMwzAMYzNIIXkR75hiG7Zms53Z1pt++h++5c3/mBUE - TKtJ2yw9uxBCFmY81FneT0op31MKwHtf1zUzMzMI7J2vKyXM27CI8ebbbv3bP/jffNf3ft8nP/Pp - 45ddGmJKSba3t1WL//v+sbWkz4rSajVV77333jknIk3TNKFNUPYuikxm0z9+z3te/Zpv+d4f+P73 - X3ddAgRoNfmqFoCIppOpQEOK/Zk9d7obob0CxJyAAG1VlXDv7uk3/NiPvfktb1k0SzjmylfV/nXc - DMMwDMMwDOMRhaqqKoDZbJaN3bzRxaD3HKNUlQOBGTHi537uZ77tta+dz3cXi4Vzznt/zz33zGaz - s6dlGIbxsCRhMpkhcdQQt05/4NN/snB76gGI0wPLK5MoWJVYwQ5EnCJJCwR+9ld9/WO2rprqNDWt - 956dS21wxAQhFQErWIm0iFSIyWtKKpFBHjU1/ulXXvv441d7mXKxZaIsmk8gBSuzrl7fDCVRJ1I3 - 133yfW19CpxUyLlKs5pLi3FEuvklm4szLgY61UTWDilDnSpLi6c+/uk+Tpx4VQXpA12dhdCm5R33 - 3bbEXvSRPUWFiHjvpWSM99k9WFduzkFzE0CEY0Pzz9x0A3slh5RwhOnzc0NdLbMrH3UVhHqhBanm - eXtlwXD1CpJzXMvCMIzzjZBKtgix9sol1NU0LMOEpxPdqZeza6963mu+4Tuq09u+YRez0zuBAAAg - AElEQVShCmWCh7AqkqrSarwh5yIJjm2azZwI2pTgNWpKdYrTcMPtH3/3df9h19+tszYgpqTOuZRS - HvL0ITGrmLoMFCEd6z6hlHExkbu5bhBb5MG5l0TUuAiOMd32tze3fuTGv4yTuVaiDgA0wpETEUCV - iiopf5y0dEY1ps096SXPftWOXupiRQB7FyQBIOW8DaqNQJVBKqRMYM/MUOXWXfP45z5m64lxL3ol - 5+uQRAQbfk1KQgoaaNuUACHnXHCLXbrvQ5/+c3eJxrjkzXWIxsUCAywEISEVp3DaDfyYVAmst979 - FamSUqISzEdAhJQSkfOVU4+2kSptPe9pL5ikqYuehKDMREiSnWtiYjHjUNZUxyAtW+/tzk8kzypk - ISJBSUuU6zcMniigHnBQVzS3+7Zzz7UiT7L0wsVBRrLZ0ZeDyG/NWt8jbt3B8YHBR74nR1f7i6AG - aEZeInU7oggSkOi+wty/CSiOO4RxCNCAGlDszu/a3UdYs3r2VSZrko/2uLroZcADHuu1JutIs4F4 - g+6X+o5+PwOdZ6kF3KmXx+QfIAiX7ZDiw0bl3n98vWUUITEdTHVlXj1yzlWggHJxHOswncMPhTYa - bBdF9wGb5tCKLYBoUYSOLP+yDZW42hWQwnWVyo8zdA6OekR+SO6vtXaHfciLRyY3h/t1KrOC84Rz - t0c56oZeP5vFxkil+nGphDocMMT9ZuLNONgLKJc+opiqR+S/czIzr+LJ/ovEYb3ZIPv7mvOwlymZ - V4Z6qC/Pj57/3tudoXIICp/ACZyFsdKZYxNkdP73faDkm4d/CkM7LTHQhZSjPOJ++vGjR8EzvLNP - eUx++hAqtO97k9Uh54PV8V+sKJAgAulOB7rTxAqv8FC/dklHfcaO3Fnn+Kzcj5f6ytanuzrGcdlf - O5CuMDLcPfbNh6lMP4/Kv0AZ4iAO0jme12tIX+Qb9ZICRCD2f/RpdwXCK9v9qpWOyH+OJNTP3gsf - WsM3DiZn6SMOvoGOVvNXj+PRPnJ67ZLom8baMA+jciLDjx1WDqu36WpcOa7XLi2xH6lCui68M7qX - VAeJbxjfct1L6+2xVMiNKrNhGI8UbGl+wzAMwzAMwzAMwzAMwzAMwzAMw9iU1Q9Ey09N2hTryWS+ - bEXkxIlLTp069Ud/+O43vekfpQQAjh2AxbJh8klXtxCcI1nOzcwpJQB5gY+77rqr5JGIiFQ1xuic - 22zhD8MwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwjEc2CoBBvq7Qto54sXs6QS89tvMTP/rf - PfMZ1/zIG16/WC4JEE35A3SYZaRf/PYhhJlFJMa4eokQ2jY/raeTtmlOL5aO8WfXffAV3/RNP/h3 - /qv/4cd+4klPfOJiuWC4qq5SikqHLDrJeaG9vBo7gNWR2v2rQ8qyado9DZqyW4UqN+FJgs6Xi9N7 - e7/ze7//zt/6zS/efDM7H5NopwAUETApECXFJrF3RJRiPL/VixwjQVTy+qyu4hBkNq3bZfuv3/nO - z3zus7/2r//3aV1Pqrpit2+9xGHdH66laDYpwzAMwzAM4+EHEYmIc05V67pOKaWU8mXXqHRiEhAk - JVJowj/86b//A9///YvF4vjOdtsuVVU1bW9vnzp1qqqqqqrGpm8YhnGxwwxmjkKJ5cZ7P3HjvZ/Y - lfl2PUHTrlnTtKhmHJeXHdCKSKRa3bY7/rynvnDSnKi1lhhRlzBeqRPq7UQk8EICMCCSknMOSUgd - J9RxQumyr3vGS265/nMJy0aX0pltigyrCHDKFNmhc2hnRQjJSaoWX7j7U5+97RPPu/TKdFJjjFU1 - kfVZF1FmpE0L1TAeEnrjiKQYfTV93KOeVKetVC3b2KqC3QM9gS5Cutfc+5V7btk6fqnoFgDqhNtK - zOj94r1Xo8uz9loRACBGkHaBU1+88wsBQUiEQPzA5p+UZ7xz+bHHUuuJiIkUUFWGA0QANtGjYVy4 - CCOAGJr9bRXEgYUBxxRSqMB1xfftxunOsVd89Wtvu/3Oj97156fk7oWEVEVl0kqRsjLykO/dxg45 - SJESuKbWIQkmDpMKALUa3HZ73U1//rgbHvfip7/yeHwMgj+2PVnGJVNVvN15mEVAdh4jD5yyoIpJ - i95bxgu3jIcKAlgPswWTCNQTqRIAohT94pNf/s93tl+OOzEpnIIVTCDHQSL50g0KwRURuCgLSe10 - cun2FV/9pK+twzEXnZDShJfLZkZTdI5khRMqCiYS9Z5DCs5VUBYJHgmJT/jHPefqF930V5/CVggK - gToPotHdr5IA7LSI+Xq9JxElCdVxPz916mNf/PA3t6+tUM14K51lBysRqQ5WozIuEhjKQsIAqTIE - yqKMPMpiBEqR0pfvviW5INpu4PZUApHGEOqJCwk18LgTVz/tymt9qCfqmxQFSo4kJaogGtm+TzeG - HNBb9pMgJeTm66vyX6IkYIUilqBUeuviyh6kk2XZnZm1e31fBdeDeRiD5ssUAZgInsouhjlHMRBz - d2Rj5r1JcuZp9dl8NbcahChAiEBAmT9xR7+FSQFBPxEjAAuED3ycuuvEfNPUAzn8ESAAAkwAaNaN - aXcqVxbM/rq7WyNxKGm+/8fi4+beReqG/1lEzigSUAB8oM6cjZXRuTsk7jSO5dV8SU4VtPc4HjH/ - RdhN+/bU4dAl2A1cR9UHBhwEEILyatzE0IRcrYd7HP55xPyrg1aroun+60B72RjO/tTVAVNOLXY6 - 3SxpzhMjY3LePdIqmiR0cQldYUgWr/ZHp5sezFHzI7qypfIwMwPyzG55zY2pDwT4/f31+iQS1o5R - xx4xQd1ayl2ULDnk4TWgatdSxgxB12rsINurF9ezvtYFnRWGDOaoBvtaHVZ3grLTd5SNngC3FqC6 - pq3d/5fJvcFn3P5EzrIDXSkdtbtWGqYnJewPL3w3Lf+yRwIpKAEKJSI4cJZSrxI/ajw5dI/D09cH - lbX30tobBp8aXt2Mj28K4TxvWfqXvjilU64fkoMxCJAUAjhZ1wzno3MAlPsxEYpL+Kj1ucQuWYsw - QPlGiQBXLql50y/vS4Zp5YzPMYRXxvH14Kk8Kv++u85e292qqgxq8IaHoP1MQDe7T31SwmVQyv1Q - c+ReeFVZtZ+J6skRkmXQqY+qRwoBUl/jtWRy0FuWUfTKBL020jjK+GQs+ccK+Zq0K8lhy+Nix+73 - M2q8x0CZuOsOZDg6KjE5t6aNxx6dtT10hVblZBOBu69NtctMGY0cNb5x96UsgxgUgQgtYxCi5NAA - AjiFAtVm+TcM42GPzdsahmEYhmEYhmEYhmEYhmEYhmEYxnkghDCdTkUkL7Sxvb193333vf3Xfv1N - b3pz7+1OkgBUvhLd9NaaA/Q/BM32bu89AFU9ceJEfjGvq0hE3nvzdhuGYRiGYRiGYRiGYRiGYRiG - YRiGYRiGYRiGYRiGYWyMqrbLRlWhOqnraVVLiAz6ple+6j+95z8+5clPdiiSHu9YzraA94MPEaWU - RMR7n+9BzTeXUl5CVOGrqm0aEIEgxEKYh/COf/Nbr37ta37j3/4bYSeOQhQFoVt00NbcH4V26w7m - RU81L0bmXSLk7c777vmjP3736//e37vmq7/6Lf/sZzpvd1TAOSciqsrMTdMAqKoKBGZOMYLO25lw - zgEIbeurqiTrKSUBY7Fs8zpt7/3TP/vGV73ylltvrSb1+dqvYRiGYRiGYVyMhBBms1keqGdvdx66 - j03HOaBb1/oNb3jd6173uuVyubU93ds77ZwLIeSrua2trclkEkI438dhGIZxweP41O5uNalSHf78 - Y++NswVt8V67zP9ZPCU6sDKQqCYgLzNPFfzx+tIrdq560qOf6mKNJlXepZSiindOyzwNAAh4ON+V - Uso/0GaFtuJ0Ek/R8576gkq2qjT1WpGW6K0KkpwIA6y0mjcbP2sjQZaBW9lqP/ypDy5k1005xBiT - CrFQbz3JAhIiJVti2rhwIdmvk1VGqbQ8m+w8anrZlm7XPIWyKrwfJdLZJD9+wpHbL9/9xSUWosnB - MXOMUbMKRamXzub3Cx02tCNhRqvNnPbumt/e6EI1nb852jPihGe0c2LyKAdPGVYIcb/oRJGrlr82 - 9q0YhnH+yQouisnFxCLEiTzUk/jYhq3JhFzcm5+e+q0ZHcd90+975Q8+1j3phFxRxwlFRGhiCEMc - lABlVlCvG9bB45GZ1bxYRGFUUw6CGAElV/GS5nJJ+0cf+vefvOOj7bSpZj5I9Fw8jgADHvAAawk+ - 3DnAFCQ4NGwaFwlK0DIeLtImIspetWriE7f3Le78zzde31QLYcQISvAKJ/AERSTWIj8cWPPyx9OC - vu6ZL9qmS3hZbdfbDrxo5vWkUgBgHoipAICESUlFEpi8QGNsGcmpm8Xjz3vKiy/xl1RSISbnnPfU - xvGHmQWTCkbRhWfjPIOiSJMiJmmX7/7QDe/XSUqcDh8PGA8v8jiKFHk0yAqBqodSCtzevXtPRBux - 4dfyzrkkKiIpYLs68cTLnnLcX+aT9+wAVVUWByFmpJXezDAADE2N3HXEvLpkISg4gSMogCIclPOr - BCastKmDGRNeme+6O1US9cI7gA5shc2uL/o9C1SACJHc0JyWFlfuoVkd2lE3AEAEBWiCZjl3Wpme - VyrXPg8bNGCiXnAMHOLtXjvIzQ5hXH7yrrIfdKUKLxrRBCRogAZQAOIgd0faSq4U6M4OKUg6C7v2 - 22GW37PDRbarA8F2d5pSZ9ot4s18oDQi80UIOvQ77qNUNoXKBvWZIA7JI7niGC7zcgNJcB4nd3WB - xhU+iFcH7kp6idYyWg5Lu5Iccwqyt1tX7WTgrEZfIKnsZVWAo06BIzgqrSY5xN5n3wvIz4G+1h1x - A5VBn3bi2LJRGaIWb3e+zBrdGhVQKe0CEYgl+JCsR85yVql86KjBIZ+mAKQiAxZCchAH4f0n3pdm - pWMPYlC5Dov8eQIvERIjlXtLxwe3of97FfBROgUFNPdWo88ArTqRfBb6pg1AV6GAumalI/Kv3fR+ - 7iKFBhnvcCVtdZDORT0CpdUGoLvQzu1aQAEoG5ULLIwLhg8040Jczr0SAiNQOTTp4p3PA5uN5zGz - DtyV0zHYZZdgOYndnHQnDGY+6mO3m9XWVTnttfFCEKclOo8+A6UJc7kQz60D+1rNIBsj85+TV7ev - k9030gMA7kzbI+l7w2FSyFGLSsTsw9q4/PP6TlYZXn0BJ4ToEB0iqVBfekfOenfgyKZsKubx1RMu - f/bdCsbW/43Z5+1miEMkpDxApdV4YGR+aHDmB3Wsa4mUujGDHIh+Z4VLK8izmJEhXDKMnGYkRFoN - SEbHt7Jlt70fHJQUb3wZBnTdhGEYxgHONTobhmEYhnFhQkSqIAIz53v6Ve2awDAMwzAMwzAMwzAM - wzDOD957VW3bNru6Y4zMPN3eObm7N51uxSgEt3t6/s9/7l+89a1vS2XVRU6i+bGNYYPZurw8Yn6s - qgrdonhAWTORmfOKHsN1N3qZd/9ZwzAMwzAMwzAMwzAMwzAMwzAMwzAMwzAMwzAMwzAM4/4504JN - RGAmR5wNygSoCIlC01c/65r/+4/+8A0//EMOmDikKI7Lon2TusrrAU7rCdbXvHqQ6X9yHmPMz4tP - TvNKoohtyH8CUBElIu8ScPepUz/5D/6nb/yWb/nLj34kcF66kqKIQMkxexclgUnJhaQi0t+2ykR4 - ZP/OfbjMoiiiKDsXJAkhSsrLuQXGTV/58r/7w//wute//jnPf97f/eEf/o//73v7FeZjigAILEkJ - rEoiZZXPEAOAGAIUED1kkciNSCmVXYdQTl/noSdXVk8DcPNXbvvO7/neD13/V1z5pm1B5LwvwkJV - 7u5hNgzDMAzDMIyHDflKEMBiscivqGpd123b5v/NY+l+RH0GuKomAJg5/zCwqhy661BVfPu3v+an - fuqn6on3FTdN472PMeZfFKpq/j1j/9NCwzCMRxDkeOKWvLhj7ys3fOkTC9qTCnB5XeWyurIMvCAh - NL5ix5wSpNWaXTrNL//aV/vgfSIHB0BVAKEiN5LOFTHYp7JjH2MSEUWqqgknntH2FMde8pxX0LJC - YFakBOcwqTjGkpmhqWODqUAlgMlNeQ+nbrjl4/eFuxe6q6zOrVlYSJiVs9li/E4M48HlwIQ7KTlX - NfN2i49dfeVTpFWIMJ91KHWuKKCcIsXP3fJpt4Wk0TknIsxZe0akzMUsfqYkiiQvpVTP/Kdu+gRt - KdeIos6dT18tM4sgT+HnBWZVQeoe/+gnyYJIGUBKAYCjg+vNWlgwjAsOLl8+aiKJToKTRAAcq3Og - JE1C66YESFrgGF8+Wz76B7/lRx/LT3K7k0oq75EYgdF20qkshep0ZRt42hCSOA9lBBFmEEEiqZBU - san2Tk/u+YMP/F+fvftvmnqPnAAsCUoO7BdNUPJ1tRVadVwDgLIZuy9+Dj+DzrkQgqsooEl1c+Md - n/viXZ9r3BKKios6iSCSGu+SSJsU0+m0DRBCVGipWmmatl9w7YurMN3inTCPDKqcH/T7+yqwEIlo - rNiLKADvHZGSai3bl00fc+3VX4MlKiJoiFF5kzE3A2DEfR8VEcpuLi9ttXv9DX8R6+U87ZJDSC05 - sCciatt2MpnJepn1BkhrDBcp60a8chqVNFXtTXfc2KBJJFUF7WWQR0YEIjKpERu5dGvSnpRvuPZl - k7jllWNonCNihZD3VZKW2CqRsY5mGyz1Hk7NkYYFTuAEPsJHuKAugROAimtSdgqK6hI5ZU4g5bLl - Kx8BEkiLFDQQWsJeagOlhNhIu8+yelAceSQGXj2NDDBSAgkQoWv1XDt7H4px/EgbwCBFXAIREhFa - qECTylp4F7BiAmwBE2DErSwERhQH55QowCs7hQc4wWvZXHGQd8U7Jv/jVbUMzIAtxUQGnyVAJUIT - VBBaSAQEoQXp0Ph+1q3Xgev+KCdABAlSKsrI7Eo84Ps8C0WgzOuVSRQSEBMkQFpCQ9oyGkakkSrH - zkavLIliIkkkRYtLiEmK6zQmgBHi+ArNSA46QfCQCqFCqKAe6gEGsTInKjbKFmhHqigViISW0TIa - 0pYQIAkSkXQ4vtD1khxDTmUZ0LumgyLBA9WqaVBcl08fufx7Bbb44nlGyppe9PZu7bJ8mC/2SKdg - RH58f8ceKZPCKTtlD6aoOTA6sAYhYVJHcWTnS73sPHWu+/326ySJEFXmDguHpneIHmVDSTef+HwT - qpQXVAEkKXcLAhDlQS9xVM4U51sNCTFQWmjbUukjGkBG5h8sYFGHxGXT1XmPoLSaLdygg9GugBQI - ggSo00igCkQgUio7jXkjKI0u/yWCQvJOmhAAaCdm9tlJrHClgo373lYhCa0gFEc7IeWzqQytOv10 - hrsd8hnP2Rk67JhvPyWkGIHy2OXgfgOIYjjDsPp4Caf9e46eGSZ4gnPoL90Y6qAVlPMJjOW9IohS - atwISB0pOyWXO2igyvLgcuur5irTaghIAiHAKZPwUR4JSIzo+posSgwikAM5qCunTBl9YNjgJl7t - /MycvckxJ5mrcdtV5sSiJDmmHTH/AJaEBWNJaAi70jaUEmKra0si08axGVmf7EW73pWQUk6nBK6c - ZhfF4EaWP9AAi6hzUIwS+5mINfu0KJIiCdLo6ylKSkokYCEH5gSnrCG5ru/oZt76e8H9uC5pXG5W - h9Z3nZ23O1C2nysQgeS78cDYzEQglh4/fzFKDLiEKsK3QIQXIMgm3m7CapCch+sEkIpDYEiMQSAJ - spBlQpzHXS0na8x4KbcIQgRHeIFX9VBOwUMryAw6RZxAKrQbuccNw3gEMPZS3DAMwzAMwzAMwzAM - wzAMwzAMwzAe0eTlLVT12LFje3t7zrnt7W0R2dvbq+s6xui4Wi6Xb3zjG3/nd37//O53e3t7+JvJ - /vcn5begIqoaQvDei4y8BdAwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMM4MkPPCAES4nK+ - eOJVV73lzf/47b/6tssuudQBSOoYk9q3TWCCd75pG++8XmALQdFgXSvC+jJVqpISHM/bZjLb+tgN - n3jNd3z7f/vGH73py7eS43o6Ze/ny2VIcTKbAlAq8rksk8t3tPYa70c4AtSzaRvDMgVX+Sjps5// - /Lt+73d/+s3/6OXf+I0vevlLX//GH/uDd//xfYtlAgIEZ1gx7KEsTSqLJzNDACK69c47fvCHXvef - /uR9O5ee4MrP53NRzfdUP9CKHcMwDMMwDMN48GEuTsTZbAYgpbSBQpuZQwjorpWIKITUD/Rf/vIX - /ct/9YvTWd00DYDpdHr+sm8YhnFxk6ANWp0t/vozH1zIXiIkTQrRbq32biaFoczAdObaNopwxZOZ - Jx9wxfYTnvqYZ/rknea3ZV1P1gYIK1jLqvtCAgj1CYK1eNsEypzqOsyuvfq52zgx0S2v1dYEMaJp - ZDb13AtHaCXw5vHTgSmpkkaH0+nUh2/4IG0ldRJVVtocBQOkELCSrS9tXDQMxfaq6tVNZfbY41dy - co4rgPYbqM87hERI3N67uLvReUIiIkVaGWe7J0KsZcZc0FuyOm+NkBKpULzz9K1LnE6c8uAOOG8q - CFXNJt38HAARHNyl08smMmPxYBIS1SSSjTNFVJYLORuhDkrTDcN4yFFSpSQkSiWwFJUUi5IogdT5 - VE3arcv5Cd/x4u+/cnpV1Uy4BQMpwU9YCNoFq2LzUe68QaNyUp6w9mouBokiNdq6E3SX3Pbv/r93 - nfJ3nWrvFSdUc6S0aJbbO8cILrRSVRON2idEvYaJus24+FhppZQEkJQkqaDWlhdznPzrz17f0J56 - AQadJ4RzR0mAYNEkZTjvXUUxwhOmmD77q77mRHV5LVNHnuFQJNmUUxFCNz4vKEcgAczKQMx1nsRx - 4C1sP+fJz9vGiQl8Clo5xzy2/z2jPYuZc+ebCC2a03LXx77wEdqBOPEVK1LbtsyoqiqEoA/4wMV4 - cMjxSvZ/EU+ijIA2VfNb7vq8uBjzoHB8bKtrn5IQoQL0FF37+OdeeezxPtWsAEVG1ijmamnebuMA - 3RXB2r1GWazLWD2WixcCEEOY+hoCdkTMEhpilNp1IG55KmJFQpw6Yogg1cz7quJmVVOBxFgmRAXV - vFgs4SoAiLpSkKKf6thsJw40gTCohqshCsXwNiXtku7GS+MOwLkaEVDnvO+vDtkdZrbM99M8sD0D - Q/OE0P5dURYji8LVoBrC4ApwKPbrIz0C4GGfjpVyFVEBwLv5Yg8VRcUyIY02R+9DCMIAQSqAIaJN - NtgqRKC9HHbUY/amMpCdygIRICic56RQBfwU5FBNMX4+jZgRFY6KltVhvhdBRRkuw/rW9RhHz3l+ - c1ABRBEETZIlQzy0L6hz6SMIcAoHTCukLqAkIAoUnS1Yc03KCvLVrWtHrD8qaJv81EOQ5amrgWI3 - ZdH5mzc5llH5KTbxoijmPgl2BAUJQdn7CVAhAVwNXcVHyEpW1RY7cJd20Ty3IaWkjt1yd4/JQYGY - RjWYPrQ7wOWp7GKNZQIRkCBBVRmpM8luwGFVSioiQWJITUSIigTA0waCw6ylLhUpz8IrdV1YpptI - SxvkP39Dq4rKw3kVqKsVrPAJXsrpyB7ouEHbEUkVnGgixNjubVXkEDwFyrpYjZ2X2kMqqB9Vfwhw - UECCLLRv/gmpRA0PLbpugmNwTjqf5SM+CuAqJIiqusoDcH5sJ1iOKH/QVV5Vk4rLMYRG5AfZQK5Z - Q86luNTnk6SDbkc0MNLob99zauVEACrQSIgOsaLI2noESOMQPTkGSZLVIOSojxEIiqiInZ7bK3xS - BnnNPYHm72wEGsZlH3m+unc2a9c0RLpWpF3nwkiENCbnZbTY/R1rRw6ikIqIztOlRxuTEtSBq3JZ - 5RgQheTA5aDOdQOotXHM0QK6SCLAU7U33/Pep6RtSNo18y4X+es9X1T3R0cZ5BEJqIg8lEkZQOWr - wZu4zJsVT/bI/mgsJOVoaNiIpIwERKEErkAIbdnNqPwQEiEACpXSS2r+jpQVjlEJRIEqz92k/WPe - s9NPM4pDmiBVeSxAKhNPqqkJywnXDtj2Ezd+vJERoFjg4fJp4QpJAMpjfl+us+3nC4ZhHMbIUZFh - GIZhGIZhGIZhGIZhGIZhGIZhPLKpqmqxWEyn08ViweQl4Z75qZ2dnRreu1o0fOnmW378x3/8hhs+ - LYBzlJIeuHtjk5tUmDmbwlNKIYS6rlNKquq9b9s2P08pxRgnkwmz/cTaMAzDMAzDMAzDMAzDMAzD - MAzDMAzDMAzDMAzDMAzjPJCXvlrXW+jwFSVMZxMRufvOO7em0//yu7/nG77u63/sJ378Q9d/uEka - 28gAMcUUHbuY4nQyXTTLB/swNoMZItVk0i4We8t5VVUxhD96z7vf9773/fAP/dDf/x9/ihmzra3Y - hpAkxeQdk2MARJh4DyDf+OqryUN9JA8quW4M18TMS07+7u//3vV/9Vdf+MIXPn/jF2677Y69ZVl7 - Ma+pRsBkUrVt0LyQ5zq8Smqd+1/gjY7wnjN96pC9EKBEROySRFV17G69844fecPrf/VX3vat3/wt - 1WzLMe47eXJnezvGqLTZ7g3DMAzDMAzjAiWEUFVVSomZich7TzR6rV8Rcc5VVb1clgtDx1BFSnj+ - 85/9S7/0SyIyP727tTUFEJaLo+1i+HNCs/sYhvEwRAiqKi7s4tbrP/P+5AF2RAkJQmAthjMlAELK - ShJjcg6MOizCVk2TWD//mS+8rL6yWjJDUXTXoZe0AVgPp7rPHzNwW5KT6gmXfNU1Vz77r2+9ruWF - uOAAZsQYGXXOcv9BVpCCDxHB3R9EEKg6xCpe/9nrXvI1rzxePaZGjQSAoAwSlpIxUZt/MS54DjMI - qSaGq1P9xEdfjU+zc1WSoA+wTEEIBIjDXafv2GtPHx94tZQEYCjRykKbW64CsmtVeaEAACAASURB - VCbgWem945fuumnBc6HIDBLV83cAIspMRFBVVRCBiEj58uNX1DotMjUikcRaLKM526yQEtCELToY - xgUEAawkSklYoOKEUWIGSRHkAGACWMGpuqS+/GmXXfutL/j2f3/du05GSWnODiGKI5Zs78vmRi1K - rVGDjd6z5QSkDPUCMImQQDCb+Hk7l7Sc8E3/x3t+7fWv/okQltK6Sb3lqWpi8ORDjI7Y1U5ESKUz - zhoXMawg7ZzWlLWRICJyrqVGt9ovn7zpb7700TBr4EAJpMySvdoA5SoOIZYEZg6iDsSiuuQqbH/d - M154nC8hpay7ZmUInGcASqLgA/VXlISEO71flKyvi2lS+2c8/rmPP/aUz80/7h0AEsFYu5sQOPfa - ClaoIOXjptw2lBjKmLcnP/TpDz77qd8gLU94BkLU6KkmTzG0vJ7r0u2aF+oihLWEL1LSYnIVQAWI - /z97bx59S1bd93333udU3Xt/w3v9+jUzYh6EaC0NjDIWJlqW7dhOJDmJo0SSgVgIeymSbWwwjt0a - VgJGBhRJYIUF8lJWFDnB1kqWJKwBYclRHCQxC5rBNDS0e3qv6X7Db7hVdc7eO3+cuvf3e0PDrdcN - dMP59O16v3d/91WdOnWGXafOPR/Kfdj/9B03Q9QcoUjIJl7lYqBlQkSkvficF3zHqdkNvFduY4uZ - z45uSMkwuURXvqbhlbARQFExg+Ck6quSO4YEBC/6y9m87ZbLbH1gBhE3bDqwCLC+lzm6uwmKwAAs - D10IDBHORiJHXsUjIbHZaJOdsLrdAJdIAJa5m23NckqBI+Ry5zNRFiSAHeJTLFpp4BgbOjIkK8g9 - JWqOTnHzvV0VdyOCmaZsTdv66EtcyTDXTcKqLvuU/LmWTuOSViiP76VEIcLjugFxRp/QRqyjtC+5 - BTKNhtC1/XR1HIlulnSYb82XuYthxoLebT7Jfk2rHR47bXIIOPd9aGNwxvoe3KRYMjdP/3gFwACD - TMgAL3vI2SiyAsIgYEhgJhEJE6/AkLqmmQ3JYyAAZpjvBD8WBJfcDyi6cADRwBumvyhtIzGQj+Tw - Dh2yNM2Ye3S/ObkBxqTQBIlOXlSXUvJk9CqHMRNZQQqoT0l/cREfq28RRHB1zRSOmpQVa6/thCI0 - qTyAQOArFdEA3EEMYho6FRERuIXpJvfVbomd2I4s2JbVmhjIob3P5iewzAgRUuLeCfuOMBpl9ADC - +qK7gRoEMYc7CDDmMvEwbp6fDrNxfiKPNWis7wxYUKMAzxnqTTMDzA0kvHn6CQY3OIhIwKUr4cs+ - AijYRwvxqhZvegBLuYtBfKw6rMIE9BlxrNgsMEDD6O1mIDpt2iMQ0JBYr6GJNvSz2CAPkHUCx+cR - oDBWTJrcoNuQpQktsZcLDYiAaa1oDoCB1oMGcIYBTJtuk/VRYvbccAC8H3qhEGL8Ymm6Eme4q6r6 - 0DQNCOoKYLCh4Rk2Tg9KAOLHsq4MtBKKi57GbDU2J6HUDXE2JanHM39sRR1eHv2ANUMaECNDmOGQ - UuEn1PoSpZSCVJp9BqBANk5AkPI834DBXUOIuLLI3z82phhKEDDD6FgPzKtT5HXNQrlHnnACwa0h - AJZSL0LCYurEPBqp153LmJzjR97oDGLMwOAgB7mDKdKYXhrN0AQwhCEEL5mz+e6dhRbI8Oxb7Qnt - XFoSkWxKvB6MF3lAyy9zaaW7ZWqayAEpWwjsY7jnGMc8xoMdHwXbIL463httQjG2K3BJLSCY50wS - ABnjNAbLmMGlUm0Y7zEUDrjDw3jBCWAQl4/lCHckdpAaSVzV3M2z01D6r5UXHADIkBM1MTg1MVJp - EMyQM1pTMOGSXHXQZe+s0m8rKTd7af8BODKBgOxjwwJGMZ33Q982W1Pyv1KpfF1Ql+yvVCqVSqVS - qVQqlUqlUqlUKpVKpVKpVCbQdV3TNACYeRiGEMKpU6e6rgshHBwcfOxjN7/0pS+9+eZPmaKJwa8y - y+wBfdGofP+kbdui6zazYRiIyMxUVUTatgVgVr/OVKlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVK - pVKpVCqVSqXyFUJTHoZhe7FgwLI++pGP/Nfv/Fc/9qP/fQOKQCAicwBFdfww8HavF34zA/OwXIII - QMppa3t7OaRz+xff+PM/92e+88+++z3/NhuaWdunIbSNOdxdVXPOOWdVJaLZbPZVPJWHDk546y/+ - 4jv+xS+9+/d//5bPfX6/W2oRqxDMQYwQpeuTOVioaeND0ajiTiB3zznHEA0gkRDihYP9H/6RV/zR - B96X3Yac4qzNqtegMKxUKpVKpVKpVB7ixBgBiAgRlYi3fN1v6k7MrOu6GGMIIQQ2AxGe9rQnvvWt - b93Z3SLy3d1tZl4ulznnB/80KpVK5eFJQsYsffLOD92xdwsHEonkRVZ1zFK5kumSIytiQ0TsyiHP - d+XUc576fDoMRTDiYBttIFc6Jg1QkJEbubEznIvb0mmUPYjJLG9/+9NfENIs+twSmBECpZV0Zv39 - cioCrYndBTmESBVOwILuPvj8x//jhzT0YHNyLmM0RWVRkluXl648lHG+XEw0VtUiZ6CQ42Ovf2zw - CJBPss4+ACjYXn9hv99zMgDuBjbnfOTEKxLc1efXPxw7FXOy5XBw9/k7EncQLZY8wuQqPwkz3HDi - 0aKtGRSjEo6I+GpuktGBU6lUHjo4w0OpnYwMMpA5q9PavLeuy04OLLnp59/65Be+5Fv+Yrww38rb - c5/7ACcrzZHT2q927YiBR1szK5uTCSMP2Qzz7dg3F2++6wO//b7fOIwXeEcP04U44yF3RoYiOR7/ - +bGzpNEu91B81FW5X6wUJvZRmedUli5yOEvgg7zvc/3TWz+wp/daGCVJbMHGOHmMyckRWQIzM6ek - cJ+HFl187O4Tn3zDM5o0JyOzpGbMgY3YAZiRjS55MnKQE8CroKAosdwIjgAXIY8mC5y68cnPpT7M - pWUV1wcnF5ygBrMx8A8BKv1n7/3058/fMlCXLYE9RDHL7u6uITxQHWzloQIZyMayB7Yx6jOFW7Tz - 6ewd5z6byUTYHcyTG7iUsgiLUaOzR8+f8OzHfAsthSwYQQmA8bEQtFK5DDoS+IJGwS45vGhWqdh3 - UV5BwAFhuewXWzshtiAZ0mBuo7d73A0uGQlhIA9wC00ECxyuNmr2LqFYkKePh4MYZhiaEAwqUVDk - r1cXnRqNmseNtgZ4g57Q+0rl1zkyUYzFgAkokAC79trlMGU4M8e2bc0tay6K9PXrmOG6OFY3P4tr - YfWvDEirc3SKEZmsKx5edMBAoAgFCOrwTbarTPPxUq/OauymBbEJBm9CUPQEbae7jsdAgwCy8RDO - MA5xDhUokHLJdnfXlOEbpXxMv/mYH1YE6+ZQRyZoExmAMPoeBjSRgwQzm1qgm6ZRVSHKOpqqBxu6 - fOgYHIng7qDiU3Yip2npd7essFU9SxkKWJAwg/FYir30WQBN7zQcWA4gKQ5aR89IIBtUfX25i5zU - AajDHbp5+hV+6JrC6iqXEupC0pTLfKzAr2vP1DOYkJ/HX0ZqUIMqXOFOGDSboWmltI7Ty8JYL5Sg - tD6TMR+DBHdkhbQEAtpQjLDwkk+bvZCADE+XtzUOijBoRqdYElxADHebHBCv6rld9i6cLSscJBya - CFNPPTEmJN4V5lBCmRbpCtdVOVeg3BozPBwvxVMLRAxRzbu+t9GgbBmQAD3KLT+6VGNZ2DT97p6T - cxQ4WBoMJd8JfR4TP3puV6cytUF3FtmCtqxsOpRC5IxkxztaGk9j9SLoqlZ+ia1Dg7BDhWmZlgZt - 2zY00aevT2tmEkPTNAZdpiUTHBqDbJiSsl2X3lVTc5Rf6iApb5tZYjByG+PO1DbO6diVodUFckaf - IQ2cYWJ5PH1LDirdxkZbACt1cQCoqNbHyiNgwYWDpQPZFRChmB/YDSohAEyj9NxKzMAwgsHLrUNJ - wKbpd5gQLA/k1sQgLABcdfR24+jSlO7zGuI97RPcAlygQhisd7qybSlHyQT1saveLP9XAmeKBEAi - IQGOwCW+HRudoSipBS5XpG8D+sMEYDaLxMjqIYx3hV4e8pXnfOWyMBFI4Btu6Voivks6Slp5sEka - uIwBIMMZKWJfkxMmpqf8yWNxLu0ZYchuMIYn7SKMAWJG108qEQ5TqCIrj8L51TkxQguDCHXdMIYK - yvAIL8b6S9J55Tur933MHB8rI2FsL5dJOcKB5Ejm6gZ424QHuAB4pVL5mqQO6VYqlUqlUqlUKpVK - pVKpVCqVSqVSqVQqE2BmZtbsRCICkfiFe+47derUctm9971/9Kq//+pz5y6EwAAPKYcYbbiWCYJX - YmZN0wzDICJ938cYU0rMTERlAQ4RSSmVlTuu+tXKSqVSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQq - lUqlUqlUKpXKJtBq4b41X3x9PVWdt7Ou65qmgdv2bNYN6R+9+jV//iX/yd985Y/cfvcZB2YxdClP - 17p92Tm+BKMff5dAzACcKITg7prz/sE+gOwIEj7zH2/7gZe/7D/989/90z/1U09+4pOSZhEOEszV - zBikqqYWwtffvNZx4bnLT7ydz5IfuQq8rKmmIIEbkmlZp8xAw5Bx6YrfduWEZAeutpLv+K8eLCHL - pSWWiVgkaza4A30aAMxjOBj6v/HSl/7LX/3V53zbt+uQck73s1T91XOmUqlUKpVKpVJ5uDAMQ4yR - iNq27fueiKbau9NK67r+oYl03XXXvf3tbz916lTuh/m83d/fZ8H2zsLdL7F3X2WZ8hpdVyqVrwuc - jINd9Ivv/eQf5PmhevSsMICgXATb5YMGGlvLtkVKzpZns4Usm2c+4VsfsXhUexDZYSAQOQDn4wph - lJELcpABGeTso0HTAOdcDEaGQBZiWjzp9DMee+oJn+uWTROzpWTeNgE6usDXOhp20NGBNj5lBRMU - GLz3Of3Jp//wxm94bsA8UDHzGABxs2Na5C+rLbhSefAong2HG5HDnMAn5ydncXGg5wEQCR4s/eb9 - oEBkVkrn98/RCZgZcan45GRrAa2DR8UIGR0Luta1293PXbhvv7+AXXVyt7WO/MFRQVCxfq1+HiNP - w/UnbmCTbA4GESlMiMiPNT5O5SwIDEzXT1UqlS8PBjBxaWvEuEh/ALWVhBvgtYfbOLPDlBva2lb9 - jqe+5OyZL3zw1vfqicFZ1QcQlEC+EkZRtokPhtbHonEPxQhuAMyQExaLBmaHw/51J9vf+9hvnDh1 - 4iU3/kVd2l7vW9snPClgsWmWy8MQmGlsAw3EX+q5auWhigECF3I2SSAjOBxmZuQa033dmQ/d+j6b - ZWZ4Lm4kK4bPIn5ihxHc3N0kGBzsHH3GOn/eM1+8g9PUB5BlSkZGFKOIZQWRkyljVSkMYLg7McAM - A6AgeFCK4hzZ1Fy65lue8tz/9xO/ezbdZiFfw+CIA0ZssGNWL4bzkcmqqLuT7dO593/6j5/87d8I - dfVMwpozk4iIu9Lxjnbl1q08zCADnBzsArCCjJjhRuYuiH7Xuc/u6T0aBuGYhl6EptrsmBGI2QIt - 2+ff+J03NI+nwxCYszNg7EftP7nwA1AMV74GodWWMDbL4y8YsNLhlpDAEQgCcFH6Hh50cPSDtu2i - 3Cmo50t3OqKamhgAN8uqGkLgph2HnVe2cKYyZaUcfIIOkQBRI+YSIGRPmchhTE2mctMFoHQBAWQE - kPE6OPmSWwt5ieygNrQD0DB4HgDAHZSPKQ8DEK9xLN0ggaHIBg5gYZYm5Z7CsXwo91/OANhWUuoN - 0u+lvbmWwMlGrS8wykRdIMRzgDEQVDCgJ/gOGh4NlptsI4gAOAVbdWrr+1tDDgBBBUEgcIEZpqR/ - 3BuBkQkAMYyPJlEpoWlBWS2DCcIsgQCakP7VRSYCG0alsgKecybEEHjRlgEJACY80e3pgLIoECCl - BMICu7MDiRAIDipVUEqSiNZR9wbpJyCIljSbS4jwgB6Qle+cGWzFTG4UcKkQc4P0M2QLCeCBIwty - QudgkUYhsr5BIHKIjUZaWT2W+tLpd8rEhwOQEOc8FwAcYAASAkrMeIxrqY9cHPKbpQcouWO+EiAb - UATJ2TRIw4AZLHtgkkBjxZxCKWE87lYZ4/CRGYhBARnIpaQDAjQgmmCUdSCDGALwqt0gKJWqVPSl - ooMKz+BxlJdOOYWVc5x4fSs6ZgJLO3MkM2NiEiaRnHOQZmIOCcjAWhrkMoBNxDCBj8JgYgQGIQPg - UY28IQwjoThvxrYlMGdA4QJatbLCEHhcn/Ck9FODDJghChE1AICIODsqKgRdtWy8qqmb4qtWfB6j - UI/OQIwICVp25eV/d/BR77tx+WHKHQaFRsQmBgdlU3IXkauFscdHMi+/BBzEzJwMRE0MhpyRyWlO - M/jG1kuCy/H6gnJ6YxuNbEgMb7mBBvSrfntK/6JY7x8MprWUOrZw6GDSMreNl8MLqW3UU5ctIbCF - 1cjJKNMulZGBXm1na+5AQ5EAyx4kfvEEX57JMIEBCAgr8zyDy6B0UrLVkytmZ5gA7A7jTdMPQrIU - Q3C4erasMQRp2qtNPLiWeA/GgbeRAbacl9KCmBOUGQRmW407AY7snpxWM6c3jPcIJEiOUO7Ti0Ld - 4QYRKIzHgoSMwAQCwrQTABztLMIwZG1mIiDz7DTKxnW8TFRuG1fDd+sw/UtuffSwT2B9adbVU4HS - lAaUgIyxxNKRpJRNCxumx4kyCQAmGpNFcIISJLhDDdZIAzB6A7WQdmIXaYrsMECdRCQQAQoQdDBZ - cMLgs2EARRFSHgOo8e5jw1wVgOChJNsJhuTwJhLg3WDzpmEizwJRUL2/rlQqV6GquyuVSqVSqVQq - lUqlUqlUKpVKpVKpVCqVCZQ1NZjCMAzb27sHBwe7u7v7+/vvete/uemmm5ZdApCzNU24fKLgA4OZ - h2EgIlXFsYU5cs5d181mMwAiUrzdwzA0TfNgHr5SqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUql - UqlUKpVKpXI/lAmcRJRSapqmX3ZwNG373G9/zvv/6I9/6n963dvf8Y4+5aPlqx5iK3b7VRPmcC26 - I+SU4OAgMca+6yTGNCQhdrfffc/v/eEf/uFr/+E/fMXf/OGcBiLSIQ2pX8zmMUZVneqx+5qBfMxY - Q1kdDKpqADM5U87mDlMHwQ3EzMwAVNXN4GBms6OFEcf1Ub8aZWddOhgwtyY0WXPOGQQJAUCXMgNn - 77v3pS972e/89m+fPnX91mKeup5p6pJzlUqlUqlUKpXKQ5r1t/bKOsaXBe0bQswxxqFfNk2T0kCE - pml++Zd/+XGPexwLcp9UdTZvAHRdZ2YxTlvdu1KpVL5GcUS7455bP337J8J1GFJnCiZiYoPiErmE - FQ0AOUzBoj541BPPe/aLuadgZf16Lou5iwe4rURHODYKYiBnN0DIy1r2xY1g60PQEHdnJ59z4/Nv - +XcfR0McoAMojmv5X+Lvc5BP1jB59mbe9BiWQwpz+tzZT549f/ti6yQ0AKMFio9cEVy93ZWHPAxf - C73GKkvsZi7GLc+u273unuXtxHKpLebLhUI58tl7z/quwzwQ1BV0FaOHr7w+fpT4VQrJ7zpzZ6Zs - YgJYUXQ9qJWxDK5zUde5E1GQZnfrBKcg5E6mrqOx2+zyhsYnKokqlcqXH6Xi7Q4ExspS6KQgMzCt - nEmM7ORaqn5Gw1un5LF/9QX/xcHFg4/c/SfN6aaTYS3qtsv+3BwCYfRlenHakZXdBgYzWH3o0mLR - Xjw815zY+p0P/98Lar/rW//S+XsOlFr3wCIpDZHF4eTsNJ6RgfkqkqHKQxpf+9OM11ojJ4ODPBgS - zekTn/7omYt35O3e2dkAtyPx/EokzwYDNCcWtJG8Q3+QHrP1jBuf+JymXwSNHLN7cmZ3jwiDDR5G - HV3RNDHgDiWCBwONizc5O7EjKME9I2kT5zfsPu7pj7/xrk/djqYM1DyAPrhoDp2NWIiIjWDsgMHg - fVx+9DMf+kvf9L3zuKODMrsTWIKAclKeaASrPFSxImBzsDI7jyEWCWfKt9x+s8Zldiu3h2aTC1uM - IXcmJidmp5/ztO8Iy5l4NDdjJsBcZZUGeKgtZ+USfBUyjCMA5u4gQwT8SOjrXszcGQ7E0Y13QPda - ax2yIUuxDR41lUXSygYg2AGc4GCPLAkhuc7phKBlCuWjBMhojp2cfiIG4LABB045YTC4wgzixERh - ZdksHkxjmWDXU9iABHAH2cVJtTDnYuh0mZX2Oa/qlF2pI/3SySdLIR3q3izMDNmQS0fnwcbTAwFl - asw4QYZ5QhUWNBG7gomPAAgrBTaAoq8US+AIEA6186AXcV6ggF2EMDGvzv+LbwEAZmAHK7DaZoIJ - SGABEtEo2gZzOK5Bhcild12XJRoPbA6P1uMiIyXqExIgDgiINk6/Ea/+loG0uvTMiDFsEdreWShG - CsTwQRFpsssXgMATqEVPvWGpOHAkRQIIaIFAxCs9ua2DnE22CssooZgLS0RrFNv5LinzkbBynXuG - 6SJQHzK1jKj7OO/QDocACIEQGEJUBHZWNLoABHHz/FekjD5Bt/DIQ/gCCxlN0hHIpTkrdxuXlohN - UfQ97SX0m5aHcsowAA4vnu5yPOZQnPfELE0cIL31W7wd0dJ0ix/BGEalpXSGMxMUUGDAYDQoekUH - aABk46l0BjUkQIjmRAEYHFCwwhiu6AXeYt42J2HA8shkunGyS8OO9VUoUb0hK/pDO99wcMkKH9XU - Abia5veLHIA4OMyQDLnsXtAGtDPeJp9DS/gPuAmt/dcbU5r6hsDo8iEHOsBehBjyEsNqziYJmJh5 - nHKZv9ROjzBAwQk643mHEDgENBGxW6b5rF0/ACjX+lqssDTq3Du+YOgSDjIGQ3QQIwqYyAjugMMM - DJAARJu2J0CIaAOaAX1EI2BiySmLTL55cUM2lSAOzciKHCBOwx72jPLG7UMxKzvBGCCIAwZ2WEIv - AJBatIotULOYX+cJ1zDt9CoXgjCkbKI84yX2HN7jEDAGSWlZN9MEE1h4jGcMMJgdldhAQhkzdY3W - zGQ+NgGT62MZBArrqA9simXCoaJX9A4QEVEQjgArbHN5tAMmtizxHnmMYgi96xadIGoZY7y3ysVr - urMuNvq5c+sHuHdAXw7PHIUDIRBQeuexJlIcXdgbpd8GGBNFmgduE2LrLY+9YhBmhxKgRd4Nnlop - nfKh7JfB9CF0PcigSknGfrf0HQwwofzH6yBsk/I/Pd7jYz/YagY6AMLYU0OBQxw6lvu4u0HImBPH - zeMNhQNgCGiMYB1m0Aw1WESIaAi0PdvBcoA0E4MlFkSFHsXhJdkEXnCHwwHnMjqDMZpFc3owDZwU - QznbDdo3I6iDDXNH6T+SY0lQg0csQnMiI4RRTc7wK56bVCqVSlV3VyqVSqVSqVQqlUqlUqlUKpVK - pVKpVCqTWB72MUZ3n81mXdflnHdOzN785jf/wlvfNs6jYjbDkBTOedBLHtU/gG95lFU83L1Me1LV - tm27rgOwXn2jLNhnZtXbXalUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVKpVKpVCqVSqXyAKGj1epH - jv9MfrTc6mIx67pDJjRNo9lgvr174uK5c/Othar+9E/+1Pf9tb/2o3/3xz/6iU+CSUQ0TVgK8MsO - XbFOnK/eJoQY0pCJuImxG/o+Kwh5UICVPIaYUsrd8vWvf/2/+j/f+Zaf+/lnPuNpuydP9gcHptlW - XMOqf197OIGEAai5mTsQGlF1IrLsMFIdVw0VkZJvVy4ZdqRBv1T4VFZre/DX8F4dpey/DU2fh67v - hAVM2VRV4c7MQUJOw2133fn93//97/qN32yY3P1a1lCsVCqVSqVSqVQewjRNMwxD27YioqrXthM3 - G/oe4GEYALRt8/a3v/1Zz3rWMPTE1DTNcrlsZ3EYhu3FwszStR6oUqlUvpZwskH3Pvzx9x/4kkXJ - EQzsMzUCHzpjZYoEAHYDMPQIAUBOKT3lsd/8xBu+KV6EqAJzhZg4AHNhJ1AGXaYGGdW84/Ag2OBO - Rd1dfN6Bem6axbOe/E077z/Z60U4CXvOWVbqPqe17WAy7CDiQNwZjJDjsO/nP/zxD3zDc54OaldD - Ls4OJzUSJ/MHWxhcqTyoXGnLMBDcDe5CkVxuOH36lttgX5ERRSeomQQ6c8/d/kQHwMzZkgVjEMjI - 2YvQlmAE9nX1v+REmPmuu+6kYCsVOciFaYoIaAOIQERmpoqm4a3ZvI0z745/gIjI7NLxWKdi5LU6 - RlupPGRwKt44JhMxIbiTKScb+3EXWzUyBCNzMnNmMPVxe3Z9iOGvvOB7zv/Bmbvz55Igr568iR+z - SU15UMR+LNQhc8rFZgaCRM7JSPNcoi3RtvEC9lL3mT943+8+6fonP+LkY/phP/g88CIPw7yZq6XV - kYt9kcsjrmsRmFW+mhiOWaiNXNwALmsZHfR7H/r4B3Pba0hkFpigbjDj0R3KBNaxBAgBDjcXY8rN - s5/8bafio8KyjYiJBoizx5xzYCJf+RQviWaLFI0JBkrkRN7C2BhMqmZwj2hSH775mc/74G3vO5fv - QpwaClsp8A72Sx6xsoECBdIhMizDiYbQ37t/9mOf+uhLnvVYZ1fVKI27m1+9yq1qVuXhBhm5AOTE - VmSSZEZYDvuf+vwnLAxkbolCEFXliQ2cmbpDvPnWZz3vEVuPpwtBIg8pqURyDqOHtejDBRBAq8C7 - UmCAbFzWzp2MFAGIwBae9rzTORzk0Gcx47HZcULOYMHpR87+6hueA1JmSOScBzM7dnfAToCzkzl7 - zjlS2Gl29AC6T485+aQ3/YNfmOFUxCJgLmV8wxll/IV4kv3OACNk6CEuvPKnfuBAzg5xP7d99mwE - eGBnNmFnMTaCk21+F8OOBgEH8sj5E9/0ml/ckUcNDspNbHglliaCM2g0EcIsHAAAIABJREFUc/kV - 86O+KD3278Wtf+ctrzzzhTOzrdjO497hBUWShtzdV/EOFVmvE+DGtnn9XSxP/9o/+f0Frp+QpjKh - axQ3Fm1lgIMjUnaPA4duD3e/5g1/68zyc9hSC1AG+ThO9cW37CgRqZEpWxZz0mJm5cRhiG2/u2Wn - 3/YTv2qIkSPrtGlB5bMyuhcdMCdTCoo8oDuPu179ur99Id95KOexUA9kZhER4A3T7wQldjJyYxg7 - REPQeUxbTT7x5pvecpIeBcxS6hZxQXGiMX11DrnLYREypYz9Je790de97Hx/J++osSkFK2EMGdEA - lFq2efotIYmQKPuhzPOJE+Exb3jtW0/KoxvMBEGQCUXVSjI91nZG2uaE5Xnc9arX/a1zejvtJI/9 - xf0L89k2eWALRXBsnJ2yk5PL5vkvxrY3PGb3KW/4u2/bxuOUIQa3Ynhfq7SZsWpSMO2Gocf+X3/9 - dx8svrBhegCGj8pmJwOZk5U4zQx50IC4Nd8dDlN3mB5x+pE/92P/y2k8aYbdjVNkBCvdNnzVmZe2 - mOGSM/Y73PvKn/6BJZ8dmvMe1Xm+eRvkAMjhQtYCcF46q42TV8163wk7Nz7hBT/+PT99HX/DfKsl - m9a+wXk0twIgOBXJ6jLhsMN9f++NP3rXhc+FHYRtutBdGCzFGBueUOMdwb0FzDiBMrsHjTFtz7uT - 77jpnXPyGLbFVimha3kSKg3n7BZ7Ct0e7nvVP/3bZw9up60+N0klOWUnkAc4kY9a+s3vmR1YdvvX - nbhe9ynm3Vk68fP/5G07uKGZL7TchjvgoGIkHnc7RUVP1sd0L+587eteeV/+3DJ+gbfcmc2YfUYW - GEZuztnIy+A/mQDru/YvsW37k9fvPfVnb/rnO/Ekgfrcz8Kiic2RFvpyrnYKDhCIEEM0WJ/7GIQg - +/nCj//kK+/b/UzXnt8wPQ4oKwBxJie2YMQOdsoWBmEjVTvkeTp9HT/hDa9923Xto1rEsHGWEhDK - KfhKCQ+AkAnepITDPdz7mjf+2NmD23x2SPO81x1EbiddMvaxf3TKICcHO4k2NDTbcuq2m8/82//9 - 30N2D5MtwtbYP09hNEH7OJfbGT2WPc79jZu+r2vPD3FfJTkICGQRzuA06X7BxXPOjYftdicfuO3z - Y04+6Y2v/oUWpyIWjLkQxEHFe33587INUk/AAh0t93H3z/zaT3789ved13uUE4PZA1lcVUNgHDuf - tP/s3HOOTb+zbY98+02/QvSIGbaRGQCUiVgYwgaoQwElyOaH6HF4Ebf/0P/417thefLUzsXDC9n6 - xe784v65GOPxPoVW3cq6T9mkP1osT/9fP/HvpsV7xgCIwMS29naDR3s8QQOAvIe7XvOmH7lr77M6 - oyybpscJDqbx+ccYgRhnB4gkhnnepzhsPf7E09/0qrfM5rsCbxFp8/roLMZCAczAACgQwHDCIdJF - 3HXTL/3Irfd8VD1/4a6D3/r5P3LmH3r99+V4APPN+ncjdji7z4EAZFAiWopjV657xuO+7e//V6+f - 4bRhpxGBMwzgafcvlUrl64Gq7q5UKpVKpVKpVCqVSqVSqVQqlUqlUqlUJhBjzG6BuM8aWUIze8Ur - XvGbv/k7RACRu5sZwHBnEXuw18Uoi3qUr7V0Xefu5c3y25RSjJGI3J3qUneVSqVSqVQqlUqlUqlU - KpVKpVKpVCqVSqVSqVQqlUqlUqlUKl8RhmFw9xiju6tqCKHf25/P5znnWWwU/o1Pf9r/857f/6f/ - 7Gfe+LM/6z7B3PHVde64Iw05hphy6oc+SkiahUXVJQTVIaVUVmDf67uPfOLmP/fd3/Wqv/P3/ruX - vuxRj7zBnF0NoBijmV3pQf86RFW9uBkcIGRVrBZZBkBEzAxQUQCSsNsV6+utM9AvKRjjUpbHPzlx - xeFx537JIS6jz0OQMGjOpkIyisSJ3H1IQwhs2T788Zv/8U/c9Kaf+WcU3I/Jx8sSb2UBtUqlUqlU - KpVK5eFI+creYrEAoKpF412+yjdxT1a+JBhCMM3//K2/8KIXvWhv72LTBtVMxG3bAhZjVLW+7yXW - JUMrlcrXJuTFiWsAxEe/rGN8h4/rNDyo5PvSmY/c+n7epn4o6iXARE1ptVw8O2xcO96KOzCEmPbT - AjvPfdafweEsmAi3auXoZTRFRlvVetH5lb2Dne/HfMDlWCwCiyf5+m989LM+cv7ime7ueRPIATVx - MzfYaMwtgyGTegsjBKGUkmW0LZQwhP4Dt/z773reX4g+F41WTB0ovp7JapBK5SsMXzI4zEVuVKqF - g4UDWbhh63S0xuHZ81dgBFHVIPncwT0DJ2VrOHhOYuxgA8BmgJGRM8OoSF/K8CaYnY3MOOXm8Mzh - HRCHgQjuICKbHBxeQsklAkaVqAHCROSAGSKaebvLzmaGUXfKRGBm05Vv1am44+BUvd2VykMNQolz - BGC4rWyL66hjbHAcDFInUDByaVzyQY7N4knXP/s/f/F/80u/9ZYgXZbOaR2sMKE0P6tYCJc+JBr1 - Nuto4ZIgx2jV+DiYAMfhoW3NiBLMMtAkSzQHNXrmC7f9y3f/ry//L394d/4IPTAHhSYOOhA7MD4D - KkEdr0RZlYcVR+XHyOAED+VdpeHO/Vs/efef0mlD8P4QbQt3rIVkxfZUyrS7l2WQco+Wmp3ZDc99 - 6vPbbiYWAKiqMBN7SiYSmMXHp4fF67gqnM6gUo50LE3OQC4G2BCCOluPpzz6mY8+8Q0X7zsbo5ir - EQB2KjLxEmswO4ys+AjXqj5yLoJSAMe7S3a4GQRuIEE2SJDs2ef5/Z/+/5737Bcuwq5liEge1JVD - COV5roOL2HIlOavS5YclTqW9zOPPhIRhb7h4+323pd2evDHXNrTZDuEBNNof2YBSkGiU0JODfRSd - KhsAHXybd2d594Xf/OfosGELBHHOR4XSyane01XuDxpD/VHaDERgjme88HHL9nwOfZbeWMfBBzLA - QJpU9+QOZgC27HRxQtSOlsJbhxDFBsrMWanr72125/PtE2fOf17ROwZgBqzcjeONzrSC6gQ65qI/ - aO89aM/m+UGSnHjU75GN/UiRYia2zWeVBOVh4J1w8p4LtwkykIS2JBJWClse22SmdV5OwZAyDu9O - n6VH5X0a7tOOryvdnmqZX7MKwHilNjcy31gNS8IZ3bQ0rU5hfWrj3x0xkhJnJEG+Z3kbndjfk/Op - sSybpkesSFWhbMpjS2gMdoQG7Syk7hAdlVvVokGczNivj/ZuAxmyQzP6Fnx3vpWvP+jlQgrLXPY/ - ZQXHEh6vb6uDQiw0uY2y2x4OEcjoI9o2tu4+jg1Ob3vDPJgbyAwG6BfybTh92PG+8qAUlOBF307H - znfzU2CYIRJiOyfNh/cdtpCELiLaUVswyjvHHzfGYAZbomPQPXpX2r6vD+ctDn49su3BsfaqOpmV - mjgl/U0O27OtO87eEiHlyjmtM5ipaEgvP+EJp5DR7c3OXlzcseHnyRkeAAaOOtxSPYUCO/eKvXx3 - c6KVndndh4eKzjBtSud6WPooo1ba3SKnB4bl7O6D9i6f9QMh44LyxqLj1c7JgpOB87ptpAA0sHzh - jr3/QLCMtMyykDD9/uuygmSAOQZFf6b7vD3iYC/s9Xbou+biPTnrxuLoEsejARg0gEwMYqEdtjI0 - Y1CAi0rVr8jOjS+AKyQSESVkRjq7/Lye2juUcxo7FejxvsuP/tWm6SfIDs6mvbhopDu/sNOMIaOL - mB3LsSvqyMaXwGE9li3o9vwf+NTeEM5l8QQwwY3ZAqG0JFbaYT/2LzdJ/xb1zcGJNsaMocFiFmYO - V3Um4nUi6ejPq9d1AgArt4bsbWgdKSPNQrPH9+7Nzhws7ts8P0sBZgN7EWyzgZ2zs7khBgSBmx/c - 2wV4j8MWO1NKxTgH92g7HtEctsQeYzgzfC6dPL+Uey1mnyP5tCbOiAFzAqgELSCHGDdpvp/7G56y - 02MvYk7SHCVjGgzQOrsMMHhCv9y6cDg/2zcHKnmcZmwNAaCBfdP+vQz7MCg7H3RnZ7vb88Xu3Xuf - NwyObDBGBgJoHSNO9xwLDrNrNEX+1NkP3UO35p1EDUrAREV1fzQqhc0TD4xXMzpSt8edOxJgBmXh - sdkeU84gI5qc+4Y0YK+bn7OTwxmctROZxDsf5JFyaIqxQ1knvjwpyJvHexLD5HjvGOXAY2BLYCn3 - rQC0Rbzz4mfohr6T/SFs3oUVDTkYZfDEsAqfFBAPEhaifsf+rQOWCXISp1YPHjbDVwWJZIwDyEBs - DAICcNv5Txxun+XI24vwA2/+HmMaTt2bZB/AphNvSk3xBi6AMydxFcd5XX74tj6hm63OBqje7kql - cnXqGFylUqlUKl/LuCOE4O7V01OpVCqVSqVSqVQqlUqlUqlsRJkTTwYyYgeZQ8tfYyNqiQUKB9P+ - stve2fnCfef/2x/8wX/zW7/rgDvcy9yv8Rnc6O32Y69NkkDUNE35obwzm83Wv3V3ZlZVd885H/8Y - gBhjeaeOB1YqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUqlUqlUKpVKpVKpXDNl+VFfrRm3hvzotZo1 - ygATiUhUQ1YnYSdASF0Bb2LQNGw3TQv8xD949Xt+/defd+ONAjRxXMyKBCQAgaTsabVoJWg1M3X8 - k8YX1q+rcL+/+CJne8Xr0reHPC5VlzQDUFXANA/lkw4okIEEdMDr/+c3/+Xv/d53vfvdSkyxUWI1 - F2JYDsSpHxgkxERkZveXzw97ji/W5uREBgZYOAKgcfk2ggESy5px7mWxYtPiuyYcebuJQKuVZcvC - t84As8QQWzBhnYeXXv1jZfWSxRYvex394tjHLisGtnoNWhYBhKqufueBBUDKpoASveNX/rdfeef/ - 4RIQYj8MgcXVhBzu7uOHK5VKpVKpVCqVhyzlW3vDMJS/LpfL8gOtYGYAKaX73wcff8XYglBC9/LF - P2EQoDn/7Jve8KLveL6mro3EbkLuUIe6EZyzuoRmvL+4ZEno49gVr0qlUnkYQM7sDHBmNgI7B+Wg - TACiJs9J0YYtFuScQjMbqH/Pp3774MR9CUmYLbOjzaSgRAA7xEGAWOBiBGRkAoy20u4T50/5psc8 - W/qGfCfnxsiIekEWhxgAM7ASAIIzu7Ebe5EQioOdFJSKu5cskkVyNrKBE4Ct/ZN/4ca/kvcDU8wg - dSNkRm4MwYrmEMqjrW0SmTRn2w1bITUO6STtb59572d/rw8eZye6rgOTx1YpwCwGoUmqg0rlKwxZ - EZgpAS7sAXBQdncDdU5M8xuaR827GYMMEzxt10IZ1RSy0N1+/habdVmSqjeYiQk7KyNxzqF3TmIc - tCGP5ELuDBUHubC1iXFh+77PHH6SWFsDGZgxuGbyqcJsdmZnBnBswJwBcY7EZJSSSkQbMFz0R2w9 - qshEhZzM2QmgrAoex3FHYxzMSX00iVYqlYcE41MbmJEqpyyqbA5mJzIhJwC+cj2yC1kgoiEdgpdt - IAxhYY94wnXP+8++8+VNd3JhLWcER2ARhNwh0Ny9cYTR5VPq/2jxhIMd7AjjMyZfvw/AyO34M9Am - IGdP7hpcQ3KykJCT7+2e+9jBH//mH7/zop7NlJM5tW3vCnYiEAlTIHbPidRaCdN9SZWvJg52QvaB - xYjIjAPPoCEPfdjKf/DRd/W7F3rp1Dy0rEpGXISswREzB20AVoaVCBsIDM3Nkx/zjY+dPX47L8hN - WQO1rsGNJHL2UgWETYqbzMiMbLRpOwGsiE7M7kwpeGIkCjTkJJCWtvhi853P/q4tnYfeoxM71OAo - wkwEClCQM5eHt2Mny+zMjmClPpoX0yeBYEQDc3LLJBgMxMieGZ7p4LPdRz9x/oO9da0sPJMlbZqZ - OwHmZEqsRA7BynlZeTjhrM4szUBJZWDKgkwcM8Sb/Ccfe6/OtBfnqIEtaXYER3SE0Q7rTM7so+9+ - ABoJPliDIBRcglJorNnS3Rc+9cWn4+OYWoTQpy5EEu8FPQAnhkdAfJR9VipHKNxgDnfoKCbsAEHf - XDyc39u15/tmP8WDHA5Ulsa9Sq88cFSwGtSg7QzJ9GojyGMA4GrsDEEK/b5dQOMMNGhbtDLObwEY - EAPbpClJZVJKAzRoCSHJsp8dDJQzAB+X+mOHlcGTcs946dSsL/5ystTYUpK0jaPYDMfZNcfvKy+f - GLMxDGmxNbftwTRLouBE7q6K9UwnhrOTKWdQLhm8YeJHSe5UVhN9Lp8dNv6FGKyAtM1SkjbmtGl6 - qFwIQmYryttywUVBBgMGykN7kGRJCA3aUI459f69fN7L0xNiIIIj4g5OBDRx2/bp3oGSOYuDdEJh - IAcbgiMYxJiNi5w+h2UOhx4zg+fYbjCX4nGU1aOaSYkXgMGMAJnjJGPB27InFwbJmcvErVUlm5Ly - S04BMOeB0gHfF7cgCLs4GRHjOGOMSqk7dtE3hcEBPEdkaAwwUg9mhLUl06mMZJqRXUP6lfMeXZTd - GNEssC24bGraOO+xHOha5jdOaRyO3YlkUAaVId/xLTMzy8TJY0phmX3Y8p05tgWTArnVGTmPZUOA - AAhAEEiDHcFW4tQ3aQlkwOhaSoVzBpkDJcxlg2cQQ1NuCC1CA25l+sgwAWwQK75gAAJu0TZoBYTG - Oz7oeSmNkBG5U552CQAIsmAIhqBMDiAP8UBlGdC0mEUAY1tq8ACPUxWKVJSsEIYY4FGWnPqoWeAY - 86qkpERrU3PeFM7QoIgmwgRqMY+Yr6f2jp1j6Qsmqo4FvIvtAJEd2pOLibzMGPXxFt3KY4XSl/lq - Fu+U+qhDe5CwH9EEj4LAYBHi1XOKcTRgVSmKFZiKzd1X6/GWgUUurQ8TJCAEyICD3B7qlP5lTH/x - dmPsbkqPCUNkmEIZB3yRt7xF2MWOTLe5H10CHgXbAo5otrFDYGYeMFiAl5tPmvZa7RfkWI+oZLbU - 6pJ7aoVAAmk5jvPKp8FABHhcyRgQoMUsos3S982BcnaAnMVANIAGwKYl3gDAzGhGy7B3KHskYISA - eYO5gHm0xQM8fS43AYRZoDkWDRaqvTQmBh9AtioAR0NeVmK/SS8HMqBNzpwFWwFbhDiKoMOq+SUc - tcJTroFA5tia5ZlBcxgQzZFEKKuOdcPBgLM5Z1+FfNfUJU3LVb885LN1xxqABXYiZuHEbJ/3lKel - hxzleY2xrVoDhjMRjLLHZaa9EAZG2sFWQORJZZpX1wUMtA5xjJPmW0hE40wW0AO5Rd9+YWjuUSxh - DvNpWUoZ3BMymZsjEbrQI8gMOzNszRCOQpFKpVK5gvrotFKpVCqVSqVSqVQqlUqlUqlUKpVKpVK5 - CimlEIKZlW1KqWmapKqq8/l8e2fnT//0Yy99+cs/+MEPqTozP1iP3tx9GIamady9qLi7rosxiggA - Een73sxKwqqiu1KpVCqVSqVSqVQqlUqlUqlUKpVKpVKpVCqVSqVSqVQqlUrlIUhZNqvv+77vGRQl - eEo2DC9+0Z/99X/9az/5P7w2sgSgbUJRNs9nrRvgzsxljqiXFcuI/SuwNPOl0u4vyeXKZ1o5RQAD - PnXrLf/1D/3gq179mtvuvItjQyH2fd8uFiml2WxmZjlns68jmdB68TX3stS20epNMgeMiQmAu6nC - nGlcBpFGUbev1kldLZhKBMBUc0pH76znFdN6c61cURKuELtfgmkG0DQNCA6H8Gv+8T/6yM0f63Na - LBZEHFlS+v/Zu/NoS+7qPvTfvffvV3XOHbqllpCEBQYhBonRICxGg7GNwYvBA8EJ2M5zgvyIp+dl - EjteHp6f4/ithzGxeckLXolt4uDEiU3gGcdDTIAHwgyawAIEQggkhEBjT/feM1T99t7vj985597u - lkTfq557f9ZZd90+fW5VnXOqfvWrX1Xtb8/MTZsm09HDWbQQQgghhBCOt5SSqtb7++q9hO5uZvVW - vno4U9O7Abg/6HGUiBCRiNSQ7/rnKSUA1isDv/gL//QHfuD7iEi1zzmDzqKjpBBCADAvPG8gm8dO - MztUNQ9ySmnad6VTCI/6g10a33Tnp+4v93gqRFKMTYmIUuJZUsIsjpLJ2eY5Ty03Mhp+62UvaLpm - OS2ZCkQABalYrRYPzMfx7LD7xH2egjNPtqrTrzl/ADiRFh/SrguGFz/+wstaWtZCzlSXZ2s5/por - sO0oX2Yit2LWmztRg/u7ez9127UTWj8w3b+6uhuGXt0JQqxdv6OvIIQThOZxJgDgCU41C6SWaHAw - wOcN9+TSsJOegOVBBmCYKqbjslFI4cQQ8jRPXnGnMk84o9mGT1bfCxuTJ2Pb198/lg13k3l6ndP2 - o00AgDdbjHmjgVke0qLbCQAZ7XKzsnghsLWxwiEZfIdF8oUQTgXkNT27hhUtUrox62Ac3hUhJ6GU - WAAY1BUocl5z0VMedcXzL3+pHxgMTBIwGivIlldXu64AtNkszOOF5thpnpM3Z0d0UbYGa9lmdN8s - 82yEMc7zT9zytx+76Wo5Rye0PuknbTvs1YuZmcGVQU3OzDTuJjtqEsNJ4gwQM6cE896LupEWgImG - 5fZ7b771rptopXRWiqFWQ1qESEndl8/WPTbXpaVB36ORAU2bb33aC5d8GVMHaiwfs8lsnvNZz/vY - dsi5SHIHOcHAICMUhgJWSpHcupF1GGD18d/0xPPbC5Z5SZyFOaUkkiEwQLUIbZ0TtgQtg91onhtn - s9fUGPsaPn7IBmLcb+T9H7/5Q2mFxtOROyVpSilupf6l1zzFRfJhON2wczFzdiMlNgZ5YQjWdN+t - X//8xCdOIDZXNbNaBAwAfJHSOmNWz+17EnET683gZtb6UtstPffyF6Xx0Kc0GAxqpTJGIRSgdpLr - RuTRkQtb1VXB5w3VLPvQAEBlWtJGn8YqnXJRLk7FqRgVq1F8s8dDjkgsEgEdTqZSNBngACck8nRE - 6PVOVk4CBMwQ5a5Imbf/s8Or2nXxQ6Ixj5YRlK1nq/sLmgVOzv93sbTO8B3lBIMTMnkCoFK8RpnW - INXFlDcX5kRsvLN95Wz2PNuJzd8ag+th6zwK3XbwkdriwNaZbX646rVnWJQ7hgh45zs8qm9jVrOR - wAJOSAJx6nrpneed5O1/nGKYRxjPhvWUTaWrgw0MYZPZKjffsrZlywkhTsiMrFz6pLXPvPky2kwq - 3Ra22YfjbL10yl2CJKT5B86bud3bt0iXJhi2HI8s1h8DQFZHNtix3c/fGJ14kSLI4plxxKWBh30m - J+KkWH2nwLyhmy8JAzB2ZzgpYGyZ0dBO6ojOx21gTvMYbALAUtcQsiKmNah48Qkczc/Nic/aFnKI - MhtqFzdlWF/XEBGiGiW7nSWfv3jL9YbkiZEI7OQmWmQeT4tZEPLRLz/Ne9c8H7c3gnMxKgIRJNry - 1h5OD3p+FSsbm/Ks3asp6TNbP8+j//znF0rWnamTEUjqxujzV1GZRVxv/y0QWDwJyLkr0tdTHIvj - 97oZbj2g9jrfo15+J1OZKjoA5IJ6ZLWlk2mLLfTw9a1um3bkGsJ1UjBFX6TD/Ormo1yfaUvbtfXd - kQOYXSpdpDfpEih52tH2OF/gLZnHAhIQgX0eGW6HHiQera1t76KnwehIC6mSOhxgqhf27miVdjBg - Pjs6gHgiiNW+02yEhwGw2w7aT3ZiZyNXVhVX6QAwWJAFzHUv41aPynfWOhM8ITESuRqU52fKMBvi - 5p2HKPus/6tsTsbIhFzbpXnWuG3Zp++gKDQnZLYEwLiAzLae4aPZGAJQdyjz0bzj6sFmMV+3GSae - CFnZuqS2vUUy3jK1eQ+cZydJCU7FqQf1hCIg2f73tmUbZ4ANBto8InDUXiVUSkljlQ3U1X4bM5i9 - kbqjB2DEBhTyQpqQG2RyxmKwJ4QQjhDR3SGEEEIIIYQQQgghhBBCCCHM1UvYneEsIqWUlFJKaTQa - EZGZqSoRra+NPv3pz1511VWf+9zN9cojokMuSFrchr2Di0/q1fld1wGoVTnatu37noiIqD5fS3vU - /w0hhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIp6bV1dWa99Z1nYiY2cbBg6vLyz/70z/zl+/9sxc+ - 90rtSgJakcl4KkyDdqB9Ubea1Swpqdcy37UsWn08ZND2jkp/Hiv1Gte2aQG88z+989WvfvWHr756 - fWMjDwajjTElIWGDq9tgMGDmRQAAnbn1sWpIgriJmxfNQAIS0LCQA1bYicwaopa5/q+4ZUICoEaO - nCQJwwFzrtW/5xcqi1DbtMQMdyI6ZK5b15NDKjkelxWkyU3XdbP4cPPRxuTnf/4X2rYdTSf7Dx5Q - eLs0LKWUUgaDwXGYfwghhBBCCMdS7V37vJtdE7hTSk3TAKgHd8x8SCf8CKpafzIzHFlSTrnv+6ZJ - DvzkT7zxqquuGo/Hg8HAzOJWwRDC2caphn64uLG7kykvMkgYao7OfNrkgYjoYPp3X7runr1fA2lu - GKREClJHcWyG/FpNt5lXqE8AprR76YKnPunZZK0pHB2oB2rKQoLP4tZ2MDBVIwdcXd0H7eqznnRl - 2y0lkLo6sSHVlCNy1Cwgo23Xf3Z3ACqmZGw6SNkJd9xz+5fu+ay3Y3clE1Bx9ERETlFiOpxuGOBa - P8Hd3XDuueehxm2cgOSLmmHjbmaj8TpgdYs7GvPMCQN838H9ar2ZKeCOh+wbHuVkZ43G5qLOwAxM - lFlWVnbtfDYhhNMKAzBiT4aM1HLLrhMp/UWD8176zFc9cc8VA3uE9SIZPUrxKdhrChUArsk183NC - 5CDMAokBAGa87WhMAKwQkX1+8K8++RfX3vbBsrTfeaq9NrwsGAgc1ntRAJqtSNlmdFA4ydzd3Zmc - vGfmNmcyglhZGd9wy8f2HbyfnQ21/z1fl3wWMGlkSgYwGYtwKaX0SNY89hFPuPSiJ7iS4ZDkuaNg - 5Ebz3r5hFi5e/0lEZu7GiQfnLl14+eOvwHRgZu6m6l0xeB3QAYv+1tfwAAAgAElEQVQzCmHrKdId - 5myx2M23fPaejTtsWNQLc2Kq8WF1VnVpDXAnc/ITkkYZjhkigRkzuysAMtdSwHbXxh23H7g1N4kB - mLMAVOA90VS81ABIZaiY8WyLSAZTJU5OVAxMlt15xN/y+GdffM6jkjaZ2un6ZNgOyrTDIvN+lpVr - oALaZm5ZCMcBPZwY1RBCCMfOkWPXZtACJnRqXnNrQX5EVHoI4cSLHhTm57ZCCCGEU1k62QsQQggh - hBBCCCGEEEIIIYQQwqkopbSxsTEYDPq+P+ecc1TVzESyO11zzbVXXXWVu5tBmKTJNVH7mFBVEanl - PKbTKYDpdEpEpZR6/6eI1IIdKaVa4+NYzTqEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghHEPj8bhp - mpSSqqaU2radTqcO6LT7tuc876/+7M//5F3v+sVf/pW79+1lwNSnOqlRJQBAKKYgsIgV/UazOiW4 - uwPjbsqAwm+78/bve+33/8xP/tQv/dzPDdrcdR2mRUSEZDQapZTO1DJtXOPWabN6JgMGvOLl3/OU - yy53JicwpWnfiQiRaylENJlM7rvvvrvvuWfv3r379u3rXRlwgIupz7OkDFSDuVnU1FQ71TqTk/lh - EtdUQrhLStoXYr72+mvf9ra3vel/+xlqWpjVy55V1Y0QNQpDCCGEEMIpzN3rLXuLG/dEBEApBUDO - ucZs1z7w4p8PMSmzUv/czJaXh5ON8Stf8fJ//gs/P5lMiMjM6mFg9JJDCGcbJwCWXAEYyeJm6URN - 13XCLsLMqStrOtj46Gc+SE1pBkm9mEEyCKbF3YwFVrMtCQAWmdmNk63RMy6/cvfgQlkflE7bxs06 - EAFiPm/lyQGj+XjONpjlnKe9asdPffQzL2j/+k5fV3Q1rVvncZjkABjOIMzDMo+OOhEhE8hNncWb - NhfTT3zuQ09/xTPWv2arfE5i7dTdKHELjXS3cJogOyya2gF337XrHEJyI87HfRHcnYgAAtH6+kE6 - x41cZkvFQJn94uwEcj9s4zW2OgC8f/9edfd5+0XE7kY7SfA+vHGgeWs2nzLMa2Qpry6vRL8xhLOF - M5kTUimMRJJctceolw4XrD7qH7z0Db/73reC6X7/OjN67SQJrGBLr4YcPvsnGwBYbVjq/2+3MWGH - KoyAXbx/su+/fuAPz/2+3ZcOlqQk98aNSYgold69FG+ZMuP0OL0ZZghipRAB7ikTgYt1JpP7y1dv - uO2awXI+MD4gA2L4ZNJnRgFAIAUITjAq8AYAkXddWWpZx/Lcb3lhngwJmSRtrzMMzKKva3ca7GQG - BpwlqxoUTWq0lOlBe85Tvu2az1w9tfWCCZyLlsyUE6E3W+yonbdkaZvTdtPrDaQTO3jN5/72Vd/6 - qH6vsvqgTV1XnGAAubGDEDWgTlcMUiPOVIolcnUVkHJ341euX6d9KTMV9Oo5k5H3vbUCEMiSEzsV - nx/9iUsiNzUmB1hEgMKFzx9c+OJnfIevUdK0JM3GxiQ3zGB2GMHBBAIZZnnw9WesTiGEEEIwPFB6 - NwBnImbUXgRAJNF5COHE84UtQ97u2MkI8WmujooTiIiIcIZeGB5CCOEMEdHdIYQQQgghhBBCCCGE - EEIIITyA0tvy0qq7jzbGTdOISOnLcGX4X//Lf/u5f/5LbStdp3CouurW3O5t3ihyhFqTDkAppRbd - YGYzc/ea6o15sQ/ML1IJIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCKeglFIppWkaItrY2KhPDgYD - cd84cICZX/O93/vqV77yV37t//j3f/AOEBV3kKthuLw06TovpV1amY5GIGzWdjvy6tFTpsyZw3PO - qmpmbcql9AD+7dvf/hd/8ed/9M7/eOljLxGR4WCofT8ZjXFoZckzKqeODDWum2qeCgjOwM+/6WdH - oxHnZHCmdEgiIFn93Gp038H19YMHD954442f/dxN11xzzU033XT/3n1MYOZezQCYSl1hgNQkc+/7 - eQDC7JPcWo7TNp9/sLXlYaxF5rO4HQJpKcyspo2k3/ytt3zPd7/saZdfNhmPrC9t23qBqopEqdAQ - QgghhHDqqn1yAPW2vnor33A4nEwmg8FgMplgy7Fe13UPPqUa3Gj19apFhDY2xi//rpf81lvfsm/f - vj179kwmo8lksrw87Lru1DmyCyGEE6IOVhRxc0BJFBAYAHFO4Nxw15WN8boNyxfv/eydB7+oy+qu - fQ8XZIG7EpOA4WYEI3bUND4DIIbUt8vYdeXlL8CkTd6IuHCvrk4MF4AMzDBzY9rJzeEEyZx7gnV0 - /vJFVz7uBf/vZ29NK9nAzqY1FNjBDnN22vZMmEnhTgZ2n0K9gLKmcvNdn/zqwZsvzk8RiPmE2AUE - Iwc//JvcQzh+yBfjlgZyOIHY3WssNblnadrUwoi55lQfd0Yg9vXROvYAZItE8XmA4hH8kBFXI9u7 - /36QETkR4IvKDzvbEueb8ZZxcncHHGTgmnbCMFoaLB+6JCGEM5ozM3dG1pVBLgmeiFq0k420Rx79 - D1/5U//Pu948GBzwdjxx56Twzdzu+fkp9kXzC5DP0rtB8AdJgHsIInRwfX24Z2Wk6w38j9//H37s - u/c8dvmp3YFxKsk9SYOcvTPtrRARQJEedxphIgWAQszovXhv5J1Mrrv9o1/vb2t3Jx+7IDl7b9pm - AgzOgMFhDIeRA2DVrs2SbWl3uuCpj37GsF9peahmvJ11gWE2W0cFgC/WJTeHwZ2JmFLpinu6+KLH - P+Hip15/9z0ANU1Tejc1SjA3A7hmKltyBlCczHiWZr8NZG69DP2GWz723G958Z70WC0oquBZHri4 - M9SQnMzIAWOXbc4jnDR19XKHgMgdBiH2ltbKvuu//PF+aeR9IaCufAQkAQFwsLPOousBQBxklLjp - tS9wZoO7T9D27fOf+pJHLj1WNla4YyfavbxyYGPf0mo7tWldt+ftsc26f7H+hJMqitqFEMKpwMlm - h2y0eAYAiCACB5MkAgNciiWJzkMIJ4G7ExEz0wNc1X2Wip5kOLk8LrsJIRyFOGkRQgghhBBCCCGE - EEIIIYQQwgNIKXVdx8yDwYCZJ5PJYLD05je/5Rd+8ZcATCfaNhkAEVJKx3C+tZzH1nDumtsNgJlL - KQDqz4es6xFCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQTrKcs5mpqogMBoPl5eXhcFhKyZKGuSX1 - Mpm2ufm//uVvvP9v3nflFc/OzDXXYzwaeSkApqMRpdOnPgxRX3ozk5SmpWcWByamt915x3e8/Lv/ - +9/8tcL3HzxwYG2tGbQne1mPo0W8Sg1IoPnP0cZGEkkgVictbE5q3nc6nfTTDr2SoyEZNO2eXbsf - +YgLXvGyl//8m/7pe9/9nptu/PQnPvKRt775N7/zxd++a2lpmBMAd8/MDJSulF43K96dvMpjNYnc - 3ZeGS0XLZDr9tX/56xuTMbGApW4IOeeTtnwhhBBCCCEcBVVl5tq5rXf5DQaD8Xjs7vXnysqKqmIW - pvhQ3N3MBoOmlOIOZn72Fc/4zbe8OaW0a9eu9fX1UsrS0tLa2ppESf0QwtnHyAAnnwUaGM0eWlwo - kbujNymyC1ff+P6R7C/ojOCClECMUsBwZsABh1N9mFON7k5pnJ9w0ZMfteex3CXvvM2tqhq8hnv7 - LPZk3pL7trMEqO4yEiVu7QA/77IXLPlusaxEylaXh33nAzVEZIZp6ZyREwC4e0fdWPZ97DP/X97F - Kl3f9wISSvXG8xBOWXzEhmAEOLs7kRMREbnx6vJuAAzabpTsdjmUiAAzYG20BtEHCepmYNaqzJ+k - GoVr5CA7uLbXSbElj+QbdQ+PZuEOCTY1gsLr9AXi6kvt8sOeRwjhtNGbOkkjjRC7AuYCSmh03c5v - H3mBfPNrvv2HV+3Cyf2+3KbpFKgNLAG1xSJ2cG242DFL7wYw60BtjxEM3gxp/8Z6s9qMZXzn+m1/ - dvUf32dfzstdHrI59x2cBAKoHdn4h1OcFhfJziQipEbuzTKPZO0TX/hoWek3uo2mSW7m7jlvngx1 - zGsuEQCwgxjk3B2gZzzhykc0Fy35ajfpafvjHgxlN8y76jYPQjMDMwuDzGG8uz1H1/i5T31R1iWd - OJM3ic1Mixthy2xn28LWpT16DkCsx/p906999iufROupSaUUZq6LxzByzM70Ax75L6cdr6e5iSDJ - iQgm41vv+cLdo69iSXs1Ecotug6lYFZpjAA6PATe1QAQs8IVBe4yxsUrj3nu5S/ARjOg5eyN9cWs - NFlUFWA4bQ0MIhi5xQoUTpaIWgwhhFOK02HdSgbYHU7oHfvXN+qT+kAjWyGEEyOiu0M4Rbh/4wt4 - QgihipG3EEIIIYQQQgghhBBCCCGEEA7DAHddEcnjrjfi4siD4Rt+7I2/9+//AE5wpJSm0x4AEZdS - jm1696K6Rz337+41pZuI+r4HkFLq+75pmvrPEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhHAK6rou - 56yq4/F4Op32fU9E5HDt++m4aZrV1dXxxnqT0tOffPl73/Puf/WW3zrvnHMEGDYDOHLTAOalfIPy - +bTt8uLHSb2kthm0pZSUc29KLGCMih3YmL7hjW/82X/2zyjn3eeeq45SdL7op8wbOHYWcVMgAxk5 - yJFFBk3DgDAJE5O7FSZioiZJk1Ni6rvpdDxyLcO2ycIMaN8L0SWPecyP/NAP/ec/+qMvfv7zf/zO - d77+ta+58NxzyWYRDC1z2lr/b7HCbP1ot+Qw+BEveTjfBDEcGAwGqios7j4aj9qmdfhfvu9/XHv9 - daltRKSYi0hc/xxCCCGEEE5xIjU4B0Q0HA4BTCYTIkop1eSS9fV1d6839z3IfYUGWM4ZAAHdtKs9 - 7Usf99i3v/3f7tmzR7WfTEaDQTMYDJh5MccQQjjLGAA4w2dtqc8i7tiN+s6JGct654Evf/7OT0/y - SGHMiQWcYIADzHCzWWAJYFSMCsgIEM2rfMGVl78odblxEWOomaK+GOSAgTbb3u1WZyaAjFxhXhJJ - muSLho9++mOelaYtyJWLEbZmD5Mfnuj2jT8dAxHVIvMiYAaYIN43kxu/fN190693NAbAntzp8AyX - EE5RBrL5wOQhKy0RlR67VveQEey4hyuwgx3ubl42xusKNdoS0U0GgJwfMEHcCE7uZE56cGOtvilz - d0cd/OftD7DaltBHQm0YgVm4uc4qThgYJJ4HeUgem3wIZ4UalW1emNFIYmR37pW60g+HbTk42W17 - nnPJt7/kslddII/xdc4MELyen+L655v5yqgdpodzOo7gGca+0qbR+tSzlKHecMdHPvCZ9+zV27yd - UNNMeunMwZSMGghHe3X6IGcvnjmbExGJS2Lq0+iLd99024Evd03XUQ9hmJMa82YY0mLXTl4T4sEM - 73BufsSVT3xenra5JC0gejgrw+JvDaidZHc3d03EGU2atpdccNmjH/EE0aZMxoRC7O4Of+BV3g/d - NI4KwQmd9NNm/fpbrl3Xg57MmQzsBJCTM/kiz5wAQqz/pxV3JxJXF8pCSdHt1fuu/cLfelM8OSWo - OWcyQiJA4YASgEJuZImNeba+mZl5YmNzLsnpPLno+Zd9x/ntI6VvdKIpZWZsTDYGS+2kn9a5G2Dz - bYQj+D2cbJHeHUIIpxr2zaFmI7jBHJ35V++6G3XYmKP7EMJJU4sGR3R3HSWwekAU6ckhhBBObdF7 - DiGEEEIIIYQQQgghhBBCCOEBpJREJOesxffvO/gjP/y/fOADH+46V3URKaU0TQPAffbPYzVfEQHQ - 972ZLUK7m6apvwyHw+l0CiDn3Pd9rd8RQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEE5B9UJTAE3T - DIdDVZ1Op7VYW9M0Gxsbqrq8vLyxttakLEQ/9A9e98lrr3vDj/xoP50IUKadgOmwquKncF2z0vcp - 5246BZOZOWBwrSkjhGnxd/7xf/mBv/eaL3zpViewiJ+hBesWwdhHflfu3ve9FWUQuTMoS2ImK1pK - IUdKKUtKLELs7uTOALmTO8zqP7/9RS/+17/zthuuu+4/v/Od3/niFzWMWvCOj8ze9hOxwtTazfUi - Z1VNKRFo2k3rBda/+mu/fnB9LbcDd++68iDRhiGEEEIIIZxC6nEcgPF4jHmPt5Ti7rVDS0R93xPR - Q9xX2Pe9CDHDHSnh4osveMc7/uC888+dTEYAlpaWVHU0Go3H46WlJbNtR7qGEMLpjYwBcjgELjWG - 1ghGYBaiBBfJMqZ9133xIyNZ55bVixbvC/oCVTAjsWjBLMKWrA6LkIMNSds97cVPv+QK2/AG3Ap3 - XSfSkLTz8SibpwjXlNxt12cmSEpJ+16IVtpVW6PnPenb2n65Tm9rngovZrQdxU1yYmYyqKFXuDtE - xzbZO7331ns+P+J1aYScS9F6z3sIpxvCLNLDhJIrVpZ2k5Md/+juRRadu3f92EmdzAhHjFcTANps - Lmgz5pOKcZn0G0pac7sB1ErvOwu6m0350LdeJ2XzRSGS5NKmdgfTDyGcptq2NbO+GzsUxKBMKYFJ - bdowtX07HO960eUvf8ajni8b7SrnGs7tNcCbzdiczMiMalMGdtRwWdrSVzlKDjjBAS/eELlTT1Pe - 033gxr/49N3X3OdfLYPimZCYkVklaTpDTwOesZhZREqxou7ukLK3v+v6Wz/e5269eFpuutIxM4y8 - hxvImQBnUwZqNnzdaSpaDJ74yMsv3nWJjYiMdy2v9H2/3eWZn/Rkm+2CHQDDmyyuRVGITRjjtdGu - dncuw6dccsWu5hEoSiiZiZndUBTzjrjRjrrlC+6gjDE2vnT3LfeNvz72dUrSl1l2OVnNqjfAAI78 - l9OLA85kgBnY2QlTG9/Xf+0zX/lk4a50RkKjDkrOCW3O1kPnXUdxI+ca3Q2ABeqdcqkNb4ulC9pv - ft4TX+LjJnlDECc3sTyQaT95wOM4cqbIfQ8hhBDOekdeVEnOtS/LDCIo4959BwEmICc54QsYQpgh - IuZZB97r4eHZOhriDoOpar3w4az9HEIIIZz6YugthBBCOGPVY1Ei2tnFWyGEEEIIIYQQQgghnCXM - rI6hqapIXpxBU6fxtGdKd91192tf+4PXXHPd/J5JqDqAmqXt7qq6g/nWi2zqjStbn6xTE5FabkNE - 6s2ai6vt23Z2O2XkdocQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGcypi5lMLM7l5KERERcYIRetNm - kFV7M2vbtpTCIFi5YM+5b/4/f+Ov3/vnz3vWFRkQNwEYEAYBIgTMAzxoVvgNgMzjkIX4RN9bfmg4 - dKmF193VFARzA1AzpZ1QHB/9+DWveOWrb/zMTUjZAU5STJtBO512RKxqRGdCPZxZegHNPh4nczIw - daWvq8HsUmFAtbh7LQvgPot5qZcok4NB9Rlmri9gZlclx6BpXvqd3/HuP/nTj139tz/9xjeev2tV - gAQkYgYRea0OL0JMvPiOFsnZzHyscr3rxdWLgJpSisNtvmpc96kb/sf7/+ekm3JKqcmlRCRhCCGE - EEI4VZjZInt7ESJVe7aLKtv1/r6t3d36msWT9WWLSl+Ln0wwnf3d6urqH/7hH55//vlmxszM6LqJ - uzZNYkbXdYuK3jNkm48QQjiTkVJSSnCuAWmLwYqch6N+vNHs/fjnP2RNmWpX7wFPCQaAwIRSNAu7 - u4g4gwSTCYSRLHGXX/iM79QDsqtZtm4CL1lS14HQwNnJQAoq88Vg2/6AGhFNu3FOzOSl6wc0fPwj - nvLNux/XUAIgRNaBQGYQITIDttWkM1PS4uwMQBmeYIC7p8xTnn74xv9py9OxTs0lpdSXbttvIIST - pcbHOgPsjsTMDjNrpB02S2Sejn/11PlILIHowPoBYlUri6qt5DV8lBZ1J+oIPxG5EwBnNxjYD6zt - lzxrQEREVUXwcKPHfTPs09zBzgwzIwDGVrA8XI6S8iGcJdihvTGzJFeUQq7EBaQEkLKXloRHfJ5c - 9H0veN3jVp6S15YbiBvc4YACCqNkPUrN7cY8sZtth9GwBsAh6i1lKQRgTP14uPanH/mPXzz4dwfT - fb5UuqLW+ZAHrMSRPntaaXOzsT4eLq/0aiJiPN2nX/v0V64vZJIx0g4ZZJqcmBM8kaMUk4H0ADvY - wKQEa1xozN/2tJc002GiZF5K36edncH2wzKwDbBSiggxm1Jv1g+aXEZdY8NnXfaCJduTNHGPnLjr - CiEJN6jBh1S29P93coqUEpwxdqcl//D179fBpBezzQkleJr/zkcseTjlMQFsChEp1mPJP/L5D43b - dSJKDndvhpgUkEA7TZQcXMuNMZDNxCEGdjjBxXsrIFBHA135riu+f1kvTKVlSmDqvO+5L1KUimFr - yTIDQM7sxM50TM7ih3DU6iA2EZlZPfY52Ut0ViDCsfyoD203tp7UOL0cp7Vv8VEv1vZjOvFjOLHN - IQgAZsbHp0dBc8d6ssd2egFYHMTNN2gHE5ERKCel2YjzMd/cF9co1tOa7qfolzsLYwI5vFZzPR13 - YafhIh9LRDDzxXlyZvZjc0HrkTM6Lu3e4XPBMZ7+sdqfzzdqMgMzjkd/r04wIY3H49nly6dVT+TQ - do9O2XbvBNh6JcwxR6hHHEDt5zAfw/nUlmRr36lepR9CCEeKcdsQQgghhBBCCCGEEEIIIYRwVksp - 1cIWbdv2fd/3/XA4rEUSB4PBtdde97rXve4rX7lzR/HcD6WeyDczVSWinHPOuT65srIymUxq4Y96 - QfMxnncIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCOHmMYAQn+Ly8Vy2w2JDs37uvlfTCK5/7Z+96 - 97972795zCMvzkBLDMOwyabeZAHmqcjzslVaSg2Wyzkf8wJwDx8JAwCBGOp+5913vep7X/3BD3+I - czq4ttYOBqpar+ltmuYMuHS2fq2Ln/7gX8gOcqEAuHuTUpNS6frpePL4xz3uf//lX77+2ut+49f+ - xQV7znM3IYI7gwhwNQYBEOKccyllMBjghFQlIxYDFeCt/+p3elUiHo1Gde4hhBBCCCGcCpg5paSq - fd/nnNfW1gAQUe21ElGNYHzoidSawrWac/0TZqZ5wI47zjtv1+/+7tsvvOgRw6XWXbcZ3RpCCGeo - WRQZO8RBDMxzRqCqDkz7nlfSjbdft1fvmtpoM0VgHgC5GG9JxH3f9z2YsboM9BjSyi4579JHPnk1 - nw81QSFSwIFUCmM2dGbzUCPe8vOoFx/orRcRZrj2AKzwqp3zrU94vo5cDFQ8CzsTBHAjbMarHO0s - CADXZE3fMohU3EzsjoNfvvnuz9CAlVFKv71Jh3AybYmxdwbgbg5lB3lKlBmyg+1lW9ixNQrRvDMy - sB8xisubL4HWzh42Gx9T7fp+YqaAObODH2aaxQMMI9f8oXmcGxsLJaHmuH4+IYRTCnsNgjXlouSF - ocQGyjn3/ZRcE8hHtBvnvf67/vGF8lg7kLhHZoGBCGBMemuG7HTICSly3llLUv+KHOwmbuRQwiTb - +uDAn1z9n746vnnaHJSGEmdV1dIdm08hnCh936eUJlPNbbNR1nzYX/+Fj47oQF15HPDFSWhngAWU - E7rSqyNnJsCKspKP+bJv/paLlh/d6DBxBrv7TkZC2Bddd3YCyBi+6CcYOWA1kJsdWfOynfOsJzyn - 1aYl6LTkxDkNep3v9snm0cjYQSiyEaYdesdgRdb7AzffceP+7u6eO2XMQ+3JQEYGMjhT5Nafbvp+ - yklEsjGNaXLX+M7P3Pmprh2xgzU5WAlWj1qdATZiJwaYHAQTt9rJJEKvGDQQw9BWHn/hU5/8Tc9M - oxWybAQjUzZl0y0xdgyAbEtDPVvTT84HEUIIIYRTxpEjRfUZMy8FxW24OlCoO07/ay1DCCGEEEI4 - cWLcLYQQQgghhBBCCCGEEEIIIZzVSilm5u7j8XhpaYmZD6xt5Hbojg984IP/6B9fdefX7gHNyhtK - SlvuwX6459pqOnj9vaaG1yId+/fvByAiKaVSioicASUIQwghhBBCCCGEEEIIIYQQQgghhBBCCCGE - EAIAJxi4PjavRyVneJPT6vKSdlMv/VKTf+DVr/rw+973sz/xk4M2C9B1fSJorwSIzAKLRIiYiRmA - mU27qeO4B3c4jpjHAzw1fx7w3uYvYAWGS8sHRxt///Wv+5N3v2fXnvMOrK+NJ5N2MHBgPB5vRjGd - xvjQr5h9VrwbmId5b3084JNODxr7LcTaFyvapNTmBDMhXlla+oevf91Nn77x//6ttz7hsY8diCQ4 - A0IOMwLanEvfM2g6mdSg9NnybHnsEGGRF19/rVMrpk7kwI2fu+ma664l4Zyaros0qRBCCCGEcKro - ug6AiOScp9Pp6uqqu4vIdDoFoKqqOgtK5Ie6l5CIFjcAllLczA3uEAGAt7zlLVc++9nnrO7qxhOo - ATUvKoQQAgDYoUGSAJzhYlOMx1i77gsfH/OakjGnzRfMw/HgXKN/mcECc5ACHdOoedZlz3/E6qNY - k3Y1rNvdnYiIZDYcV0P1YMDhC3D0mMEwVSWBG+V++ZmPu/LC9qJckliqt4cTw6ymXO7k42EYuW3J - OoY7ecLe/u4bvvTxfqnvaOJkiWWH7yGEE2RrZYa6RjMwS6SGOQBCapohcx3UPM7ICDUf0YoVQzGy - reOjs1DPGi6+NewW4u4OGNu0n0x1XGy6+QJnB3aQ3+1bx1e35Im6w2oiJNUWi9o0zJx38I5DCKcj - ApJBHAYYe5865QKAPenUkrTFO+J+qck85qdfcOXLnv6a8+TRS7YLI2lpWKbIiUjQ9zZrZLZ0nzDP - P97G8jioBhKTEQp7ETc2VsIBGX1leut/u/r39/ttSqNivQyksFkc/J5uRMRAxmRtub/7+ie/eE1J - Y3Jiaxb9dgaMGGCYNyKqcAeRuIMIDTXNdOWZlz73/MFFUoXEoP8AACAASURBVJq6t3VXnvfij5bP - 0osN5LPdtBGMHfU8rBOc3ElBSm5saVB2X/HE5++ic1NJrCA3dzclYH4osblPZ95+f4MzeoUByuX+ - 6Z2fvu26jjeocQDstOWsrvMsbDycToxAicA+Recr/Y233fC10Vc0T8SRLdUX+JEJmp4A3gz1BncF - bcPTDZzT7BqWPd/xrFes+HmND9kZMGNTVmV3srpBbQmSd8CdcExqmoUQQgjhTHLIsRuZCOcMZaxN - JgIhQOSBrzAMIZwA7nXAGABOwJXbIYQHs4NTMyGEs1YMvYUQQgghhBBCCCGEEEIIIYSzmoh0XZdz - XllZ2djYYOaccynlXe961xve8MbxeJyz1LoZNUj7GM7azCaTCRHVih45ZzOrRTpSSgCm02n95aFL - e4QQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE00stmLioUF4DObquG61vrOzaNZ1MhHl5abi6svKr - v/wrf/OXf/X9r3olAzkxAQw0ObsDjtKrm2HL5aY5nWLRHQ4ALMIi5sYia6MNBaZafuwn/sm73vPu - 4fLS0urKxmQ8Ho9XV1cXiXenu6Mvqr3d6pn1u3Z3csCc3AWUJe1e3ZWY//5rf/DqD3/4d37rrd90 - 4UUyq0fmmWXaTRMxwYWllHICPmciImEDhOhtb3tbfSbnU2z9DCGEEEIIZ7GmaVDDtt3btnX3zQOr - nAGIiLs3TfPQ/WdVBVDvEwTADCKIQBW/+7v/+gUvfB4zum7SdZPBsInc7hBCmNtMjrSaSetMgJAb - F13qbr77ptvuvdUHJWWGFYIxarwZz+MPGICqikhKKB2sx4CWc7/y3Ke9CD2XqZNzSlm1Ny8iIsSz - xErfmiI8SwLclpSSmdVoNQinlHxK56TznnnplYN+hTy5uwHmNctwx5/SPGtzPs5ERiTgJf3MHZ/6 - 2sbtkzTmDMyz4kI4rTARuat73fxlkJYYcgKqKizCVIio187JwL5IT+TNFobnr7fZM0wG1BjtyXTd - rICMyJ02M1qItiaDPoyFpFlDRUSzDFBHm9rEKUrKh3D2qGcPUff05D47nGRVyrlVV4gTNGla/3r/ - /Ce/9Luf/SpZXz5HLihrtpIbgbjCNpOjasvGTrUZ2W7ngeFMxqgntsjIAW8cjQ8waSdf2n/TX33s - Tw/6vTTsD/T7acAex7+nETLO1JsmlrGOebfecOu1eyd3eVLAF/vHGsVd/8LVyI0BEUxLXxyJJWnz - TauXPP78y1MZkrK7G4zYabtZ8TUgfNFppwLS2e9OgBhIay44GQAxakv7TcuPvuTCp9B0MMjiir50 - nMjAdujZ2C37+qPmIGZi9L2C+rzbr7/lo2u2T2UKAM5GUK7nbsNpSUTMSo9ujI0DvO8Tt3w0r0Jd - yY2cNg/HvB45GrvVb7vmeXuN7yZIltLZEkvZ5y95xssu2f0kjFLyRPWP4fOUdwaYnAGQO2Cg4mTz - 7Ss6eyGEEEI4kjnBgb4zIjKCZAYAh+o3+tMQwvFBRNgy2hxCOLk2N8bYKkMIDymG3kIIIYQQQggh - hBBCCCGEEMLZLuesqqPRqJTStq2I/PZv//Yv/uIvAyCSvlciYU6l2Jbza/aQtyHxlscDq3U9ABCR - iKhq3/f1ZP/ilH/btqUUjWviQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEM4oRFsKhNfK++RILLtX - d40OHMgiZjoarbdt47CnPeWp/+H3fv+973rXEy+5lAk50WTSMSNngQMOVzMzIgL4ZCZf+xEPAMDS - cMWKW3E4q2nTtgooqAA/+r9e9afveff+9TUiWllZqZfUnrw3cGyQg7d8rYvHQ7x+W49SChGllJkF - IBhM1bq+H0/Q63LbiNvrf/C1H//bj/z6r/zKcm4YMFOaX6WsppgnEQLAliyZLV/ajt74of90QtHi - gME/8KEP3/rl2zc2Nh7G5EMIIYQQQjj2auDrPHKbaw4rgL7v27ZVVWbuum4R6X0kyal2hWtt7joR - d6ji13/9V7/zJS8ZNm3XdaWU1dXVrusAAA6yIzK8H+puxBBCOAPNcvgcpEqmBHZwHebiaT9Yv+6W - jx7o95vAXUmV0QEFgM2j0QDU6N++V3cI0JA0tnzZxc/Y01xElpg5pyFTAzCRExe1KQByIRc2MYKT - +Tzwb1uIvZg6CUnurCciVuJxes6l37ZbLyDLnSsRCLAa5bvNMRdyEMwYTmBn9tluwt0BM+n3Tu65 - 4bZr+qWRs6LErejhdLEYJz084Jo9Nc2Q/QQlncxSVQjTMlXqjRbzJdQQxUVWKGogNy0WzAEnH03H - YDi7cw1oIQBE2H4y6XyRHASw89aRZK+NnjsRkVGb2kQpUkFDOHssziWyQxyLHlCTh9NejYxYx5O1 - leGSaCuTpec86SUvfsrLyv18TjqvtbZfLw2jERBqY8bzTpQBZts/ACUHyGpCbZ0UOcG579Esy4bv - +9TtH73+yx+6z7+K1TLF2KLBOn044ESldIA59fv83k984SPeFPOeYIy6k1q83NiNCKV4ciSiTkEM - cvYNXHHp889vH20TZk7qUDgJth8VD8y7/U51fzgLSyZP8ORITuQ0i+Vm51zyUFe/9Ukvyt1q9gED - LEWSOdiR6tRq/6Oeb93WLtsJ085yygko2nV5dMf+W26/93OFRwAAdlKnUnOXyY0e1hnXcBIIvOsm - looNJtff/vE71r4IKQSADFAAPO/GOhXjQjCGGZkylKA0y/BmZi65Ha88btflL37yywbT1Yzkrjxb - 5YiMyAQubMJOYsQAaHb1hJMZ2MAeg4QhhBDCWW/e0T18EE0EzOisKBlgIHCCavQ/Qzg53D2iu0MI - IYTTS4y7hRBCCCGEEEIIIYQQQgghhLOdu6sqEe3evXvfvn1vetObfu/3ft8MKXEtbOfuZpYW9emO - Fm/5ebiu62rBu1rLI+dcSqkLk1JalB1MKS1qf4QQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE093W - UuA14HnBzCaTSd/37XCQEjdNM52OS+nGG+v9tHv2s571wfd/4N+87Xd2ra4yIMx9rwCapmHmxeWm - NZj5lDIajWrQXTsYpJyn3RQEg+c2K/CTP/1T7//gB8C0MRlvjEa7d+8+2ct7DBzXMIKmaYio7/vp - dOpqWVKbmyblNjduBrVB01rRlaWln/zxH/+7T95w1Y/+IwE1lABn4kE7IOC4R6QTUOMPExUHgHe8 - 4x27d+8+A6LZQwghhBDCmaGU4u4iQkTMXOMbSynMLCJN00ynU8xjHR+ClgJgcQ+gmXVdAfDjP/5j - P/zDP9T3fdd17pobmUxH7r7t7NYQQjgz1SBqZhSgGKuTk0McDDj3947uuOWuz1o2M4drkkVCiaHG - bM+jrEXECwRIDJsyTeQFz/wu6ZcSZ2JXRendHSJEpGqT2dw9LW4A31m7XO9AByXi3KsZnJmzNY9Z - fcIley4Tb9S97l4IcIh9g/3JYYxhgC0CO2uUMJyFQORTdbT6d1++fp32g3pY7FzCqc8wz6A9bHOY - d7coSwPQCYh5nQ2lO9MsoxRE7mSbGbnzRZu/3jHPz54/aZPpiNjruLe72477eA/eOBDB51nm9VNq - UuvbbE1CCKc1J3MydmajZEg6b5eYFs1Om3Lf94N2qR/bqp7/sme/6vJHPnXQL5WDpQGyzBKTZy2c - MwCrUcx4qCbogRioAFZzu5WgxLULl5nGI02rssEH/vKaP/nC3hs3ZN2H20pGDiefwSUnt9IO6Oav - feb2A7ciwx0MIzcxEGap7bVPnhKpAiqC7AJqBL2vpN3PvPS5K3YejEUykRAR4Oo668YfvdoBhgMG - UkYhGDnDBZ4duW4TTmwEcm6KpEl60qO/5fyVx5SJEyNlV5sCgKcj1sbt5rMwEau6EOUGY9+YyPqn - bv445w5gcjYqKpvnQBcxz+F04e4gl0zrduDqG9/nu/r19XHLAIrzbN0TY3YYwwlSL/kgMypOUIYy - nKzv++VmlTeWvud5r13pzlmyFSEy78mNvHZ0GWDyNHvMrh4xkDm5zxPrQwghhBAeDBHWR94Mmle8 - +pUGA6DqJNGBCOEkqGO2USg4hBBCOL1EdHcIIYQQQgghhBBCCCGEEEI4q6lq27ZEAvC99977hje8 - 4T3v+e997yykZsxcb5gEUHolTkc94W98Jq7v+7ZtAZRSRqNRzQivz9eSH/Vl89s4QwghhBBCCCGE - EEIIIYQQQgghhBBCCCGEEMIZgh3sRE5A/QkARTsWrO5eMSsbGxsGB3tKaXV1lQgrS8vk/r2vevXf - 3fDJn/jxf8LMbZuB/5+9dw+yLbnKO7+1Mvc+51TVffRLEm2kkZDQG9To3ULi5REMYQ1hg43xwB/Y - BsYgGCbkmIkgDOZlNA4P4TFvMTw8HoKxmDFjDSODBEIIywgYSY1kgYTUkqDRu1vqvn3vraqz985c - 3/yRuffZp6ruvefUvafqdnf+oiKjziszd+7MlY+duT6EEJxzAETEeS+6eX8yctCbv1zrD0bvfTOf - hxCgClWozJuOgjby7/+Db7vnPe9xzk2n08uXL288/xtGKFf4O9pLe3p/9b/9/XmMNqnq2WSqqk3T - tPvzEALA2vv9vV2EMK2rdj6H2fmzZ3/0h3/kDf/3G574xCem5ObNHMBkMlm6j0t36/iMK59WFVRJ - IRCBX/u1X2uapqqq60qgUCgUCoVCoVC4QXjvzSzpc6cjhEnD28xijG3bprFrjHF7e9vsGvpS6Wxg - Oga4s7P1d//u33nNa15Dsqoq731d1wAYrfZHnk/U4he0UCg8Bkmaf46miKYW1SCmBq+us+aeD77j - Qnc/vBNxiHQCGZlLkyXpX+egCkYw4K89/slPfvwzauwwdmYhBonmRb2JEa3zBjFQAQd6ACaW/tbN - v1nw3sdIwqsqGYlYucmkOfeiZ768rrcoEMBBRDwpa5n6JPWnABVUSJbuVgCiFEQDpJZPXLzvg5/8 - 08hQqSvamIVHIqRBDGIKIaniSZ6A0sm4vcTYAVmZe/lb43VSQy+Rm75mgqabR0SDZWltOBGXxLaP - wTUFGtM407nqmAkUCoVHIESSx4Yj6qh1cJWpUg1o2ZpYpU5Maj9p502Ltqpr325N9ne++VXfet7f - uu13tuutOIdlG6agAmpQwI4jDSt5ZALCoBE+KExbaFsFvyV16Nj57iH3qX//B7/6qUufuDzf5fpD - rMIpQkbnxNFC3H/nn7+jm8z3w9w5AYIgpGeUSaIYEoBgQhF4VgwKJxQ4+Oc87bmft/MkaaZeJsHM - KOp8Z13WkF8rPzJSCh//nD79EY5ZQFlBdYR2blvvuOvZL5foxaAOnSUh5L66S/o2jjF4dtXEDEJ6 - B3gE13zk43/2qc99LMVLjZQu1XlFHuGsnUbh9FCh99py/733vvu+hz8aJvseqChURDUASlUq0rBN - 4AhngBgVUdSgUftZautf9OyvfNptz511O9iLzjGyFcAZhF7p1ZzQqTlnTqlCQIwS8uQUKwwNC4VC - oVAoPJY4sNswBNx663Rv3r7hDW9UADBR7s/3TyVvhULhZBa0C4XCNSEPP+UpFAqFoylb9AqFQqFQ - eFRyhUez+ZHt4nnz8MyvUCgUCoVCoVAoFAqFwqMULWEJjxU+tnCu2mtaEffJT9//qld93Xvf92eq - EAFJMzjnYoy+qtQ5wGhh5Yjt0D8H0nUAmqZJD/i99wBERETSR977GGOMcZD0LhROHw4ni6/w6aHv - 8vDrgx9cOaH+a4d+Z9eO4QqxxtVydcQXRi9Hb/QL76tcVKFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQ - KBQKhUKhUCgUCiOSx+Wxd0VVret6f39/Pp9Pt2aqqupjjPP5nleFRa96ZrY1cdUPft/3/eHb3vaK - l9y9PanFzLouyWPH0Fra73pFDe3rz/FxfqqqIQR1rqoqmMEsaY147wxoad/4TX/vA/d+yNRJ5Ysz - 6qsznU5JzufzGKNzrqoq8c45l3QHz587H0Lomvbszk7suq26nvjq5Xe/9Pfe8jvf8a1/39EcUFWu - aZqD+x5vxGbI8c5pCwFmAJxzBC5cuvif/uiP56G7rgQKhUKhUCgUCoUbh5lNJhNVJVnXNUnrR7DD - d0Rkd3dX5IqzFKcORAgBQFVVqrjrrrt+7Md+FGDbtt4rGefzufeeZIx2I+ZmhUKh8OjBhJa1tJMK - mlkVd+3SPR/+w9ZdVEQHH4goWboPgGZ1Pkv61p11UiFGsMMt/o4XPfNl2tTaOZioqnpXVbU47WIM - sXXODWtxg/i3HuOAvRgUrqpCQwSp65rKxlox0bl/3hc8/5ycr6KPgTGfkl01hbQsZoKF1GWS8SZA - MUE0NMaqQsem4e6ffvg/78qlUEeDChVipjHnMfU3WZscgJYeqHBamIBJe56CpGcJUphkYB0cAJiJ - kuTJLQ6LQfLwLw/2JAJMCp8KSu/hgZSUcxGBRBMTkRhjlmURkISYCNWE6y6xMocUEBjLfIqIAEKC - NrxDK025UHgMYQuboEIVKmAQE4c2NFU1QXSXL82n0xmVIQR0OD+5/Qxu/1tf+U3n9fHxkptWVf/k - xiABEpJud2JFc5V0vpe+TKXkwZvQYMYYRURqdNP9Tzf3/frv/+rD/jNt1USN/eBEhV4AGVSNl7SN - 9XiDssIaLAo8l7YJbDFWRIyMiK3bv7/5xAc+9j5s2bztxiskQxenhAAxwjlxztFMqWzdtp17wdNe - 6sLEGlPVNjRqUsGbwdwxOy/hIs9MKY8qjxCApJrjnFMq99wLn/nSM+6sS9lVQEwQhVBDGn3YaC6w - OrHr6roWYn8fVETf7crF93zkXdE1FFIMEKEXykGt8cLpkSu5RtMogFCFXtLsrK/5+Ztg55sL8YF3 - vO/3OGsuN83OTtW2XI5qdF/THG0Rgyrho+7ImWo+e+ULvnbanJvKtpN63rVaOfbfES5+lCpKsslC - TbryAEyK96LCEoQC/rDp6l/r6M8gpmvWIEGej1haboGmahmRpyejdI/bWbNPCCoEoOCw/yi3LRlG - LOvGnZeMCFj2SzZqm5ayLUPkx7HPQkAozFciuUNapJKadvbhuOEWvLBGVOTqAfTGZOEMrTdc67O4 - HYu7k6xYvjSVlGg/mV0z8gAELGqvH0dgMq5jx+9MJWdNTRQEoEIHKFJNXxoRHSdmUFP5C0yZ6xkA - IChNCKESHvTrJiGA5vWB1BKV0L6QNTdSSeO6AKzuD3MR/4FKsViEESjC0ExM1GT99p6tB9O8Q4f2 - mBo+FILFjGDj2JIRy1MYRV6YAvq6LUS68PWbb19EgnSDhhYho8aIcTmvGbtwqS2nVjmr8PBDc0zx - dd/4XzdoAUXAznR2zMwPV9DDVCZUQKMoJS9Qr0uK06Dpb0hxMeQZm+XrXevqC1wMg71a+I3U1dfn - Fyxl6cif98bwuPVZ4IUqKYbFsw91hMDSVGhY2Od6TVKx6JoSXOT5oAVcfnVUWemiPNIa77rlmTtJ - Q/+8wxZzvGT3kHpYu3ErA0t3MDcjHfXUKdFVQkDH9kRGW76HLQQECDv29tvhJ7JkWKx/cAYKolo2 - CGsnkKb/i1qU15eWhkYLK33MG5DHezmTy5MaE5oQzpCq9zHGSyNDaoM1WSpuAeSY5T+UgjD3vxj6 - 9/y4AMJxr7FR0qJH6Hvt/vnpUj+l+WKPY38U/S1Iw1pHCE2Xhl55eiqIxzDPh8Ybi/EecwYGBkO3 - Nmnm0pusYQqwuJnId3Ps0NiIELA/x4U5HmxwocHFOS7McaHBxQYX2/6vWSdMsc3xYIvLh5wkG48z - wCgUChvHn3YGCoVCoVAo3HiSkA8FziNt5qIImfZ+RQACpyIASFraELbJNUrVxeQnKQyl8CqnEU6G - k8+G9MXOvM8uSzGdTKJXeeckSfVhKIH0/6lXhjXoVwPHl3AC9/FmY3y9J1mXDpT5oFt2pTxs+q4I - HJYt243lSg9U0omvxddGxXLD87AKud/p2/IiGye4FnTqhYBla7ZYLj+RBnIguROzq4eL/Wpqght+ - IDq0iwMZ2HRRmNnQC4yHFqerqnh4mJGOB2yUAxag6EoWbiKO8XS8UHi0cTOIQJfwERiexIa2UyCE - MJlMzCwN5Oq63t3dnUy32kCyet/7P/Bt3/7tn33gcwRU+50vQNd1AELXrJnaQrc7DZVVNTscVE1H - MdM4LbnzUNUUpt8M/wwnWB5riw+Fm5QD25EO7LUElnYvpfMhAACXN2KOvjP+rVj/9rCjCyAwckRj - kt6wFJtLu1LST1ZuHAS6tMsxRTLkZznbyDusocPuKObNWOh3xKZL8wBoeXNb/livtmNrlNXDxVAo - FAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhccOY//2y2cJXdtF5yrnYCH5GtfszNEiARVI - F6aqAJ7+pCe/4fWvf8tb3/pDP/RD937kw4EwMPt31uQ6jgDEe5rBDCIg0ftuO7gN8Kj3F58OH8iB - d498dRgLZhCYmbX9T6IBCJEEvOiDu5f/m2/91re85a1bdTURcYLaVyKyv7+rqpO6bppm7B0+e6GV - hY/Pmweu6Z5tXQedMQZVgTqCISm1KwwGga+reTNPBdU1be2r2AUHWLBbd7Z+/LU/9l999Sv/0Xd/ - 1wMPXnDJt59KjAQwnU6b+dxpFS3yeA5wBUunypLbYgJGowEIIv/n//sbX/oVX8YuOGRpw9n21u7u - rvdHO0FKBXmKUu4i0nVdVVXDy0fY0fhCoVAoFAqFAgBgGNQ1TTOZTAC0bVtVVVVVIpIcVsQYh++n - /9PRQpKAptlVVVVdOmk4mhnFSIE6lWixa9tXvOLu1/3sT3tljEEdzAKAuqpCG72v008OcWgEXs7I - FwqFxwBCRBod3HSKvehUQwicymXsfeizf35/83Hbamas2qazSvbAutczS0ooSawGgAmpiMSMW2fD - rS984ovOxC0Xa0gHALBAA0ycc3AM6VcLxUpldke/llSAAfTWtPMd3UGHgLlJlKrqiKluN7uXv+IZ - X/Xr93zy8tbDcNbuhu1p3QAUXdY6GiLLjumTAEB+N7nkjzmT6ZgtFVHhPCzAmc0q//573/vxuz5e - bZ3d3j3vAvax5yqouNBw6l1k6DRSAPOApmuPApONS0kVCmMImEDoATh2yBpOalClCkSsdWq+IioN - JzASUkfGpIgVaZEUcQAgAfRCT0HWEiNA58SRUCXRibPIoKpdJMRZ0u1wEEYCpGgvMbpWhgBEMRGo - BU1OYwkzejgLUQTRYqB5X2/el1ihULiJUCrSqGDkCEgJi5hU064LEKmn02gQRKeAUILOuvPPuO35 - X/el+7/yOz8fpw+Lu0jQOdDMzHztDBqCea9GG8YnQ+ToJcM58r+RWCjOyqAZCQDiJcYoJJ0zRTvZ - /yu8/9/83k9919d8n4VzO5OdcDnU2LHOJrM6xH1L+qBiSoWYmAcrANRYBI9vJJK8o6RncEFzhwyw - ItQkUkIeM7MC/dRV+7a7e+bC29/71m5rfrm5ODvjYoxCr0DUEBUAHOGoQgSxaIy+A4n9eFZuecr2 - M591+xfrHFJZROsd6qBokHSLbVmdaQVMmYYQAB3gDIAq2FGiMikvZ4mmqJizocjMtm7BrV/0pLve - 9enL91/exQSQVvKPQYUlITKu5/BFaE40dq0z51zsCHjMt+f/6QO//18+729ap965OLctmXoKK2ua - fXXVepdbuKEkxTWKUQhphVDOxJzQU0wmcR7nXei2ZzuO2Jvv6vbkklx651++/a/mH+zOXK4qtDHQ - kLTtolpUA1VTwyKgvgvBAfMO27N6b7edVlPf1bgg3/Kqb3m8f/x0f+pQGaLWkza0VXYmNkgGxiyM - JgAErHoDq71eWjGGj0VExMxUFz6Nezd0NUBKPOS8SwmfpeDoBQZp00dr2FtCCAIRPoIKB0gwRiDC - Iizv0cma91jblveSiiKAetCDCskLFEASIwVNDT5p/a4XvYDQKDAFQS6r5qY1GAMcAEk7qo5xFQbA - mRnNAArVYHk3iwJeCWFW9EwCt5tcclm6CuZ1IwjgxnIBAB2ozkQPSmeuhIJeGZLuct4IxCSOCEAB - zcbwkDDjNfNPdIJUfBXZL38t0q2MDkIcU8Mx0+81UlBBaJwgOoEChtSU2FfDdeHgjI4COhCBajCX - i0IIpRprsqKYsFndpWfSrzUzOh9AEYXzhEO/dSo50KsAQdc3Fl2vPhNOLPnsi2Ac7ccaRG1j37KQ - 5WnXa5WR0RAjooICMXOifuzlT9ABAfCpaW4U7aXoCSW8QYUtei+CQhjgTHqBdFu7/2VSXI6AW+h2 - Z7Xd1M9HSMTylGpFeiODqBbRa6jShKgBGNoKu1UXoAAcFDH5TFwr+/kuA8mVokGym0dAhAL6oISI - sNNj7iZM1ckDThghc4hZtswRyOP56/fHmNqjE7iRU3wB1GCi/cx6nStYbJTVvmS1NwD9pUF73fcI - RMCt0R6Z2uOkit7HbENSBtUUMKdmgpg2GScLLGEN9W6KWC3wBChRIL2ica6oIgBsFGEaf4CCJcOc - dzmbkJDkHFQBB3pQ1lMTp0fvW9RZUBiofWvMu5eriCqqW3ewMUYOzPVs2BkNQHvpZUUqWx2SvnqI - 3CSPqELJrTEkjzMiUucmHmtsMCUsez3FwotpHuOpiGhIs1+aI5Lc+3rPs/J4SVPLdmZKQKIhEDGP - lJLNISDHbYxM9YxaV0EgAtGsp5wcurpeW5lAlLzktVr+8xM9S08Z0j1hfrYGyc+72LdGQKs1TQph - vTi3gp6iSgeYWKDAoEhrdDRAh8d5q0a+doEakNZqAPg+A3kri/ZrhFERYZTYt9NVy7PviSy1CJfb - RXKNnSTeK4pKbuAd0K1n3/Il2MiE9lcDEA5amwjFxCHQVJMG+erx90Mg1iCAkNXciSjp8nrxbIFB - Fbnwumg6MaLZw0N7eDCiqTERaIARcFCB+L5XSk1y5x6yOgAAIABJREFUlTAiRgQiEnGGcw5PcmEG - hRHqjYh9QWjZdF4o3FQU6e5CoVAoFB6FkHROojFGVH4SYhtjN53WwmFJh3mKRhUSynUm72uTDs0O - OrvAQrx5c4muwgmfiR1LeyZ9pvT/+MzGJjisY5rOA5/WkeBUHzCqEjdJfVgR8qA0afrnBCRRr5Cf - 0ym3vBQ44mSkaseS1QfU04/8/qZreQxxSH0l6eJ1ucIFHJBnTiYFm7cnV2es15sf8G9efOqwVPaN - LP/r4CTzcNj+DKKAm056ja5k87qPqf4fbo+bbhfJLo0t0ulywPHHYC42XR/Gxhl9L49HTv9eKBQK - hULhscNkMokxpoGiql68eHFnZ2d/3kxmZ3/rTb/96le/Og0gvfckRexGjGcM/TgtSYYnie7hzfl8 - DiD59VjyJFgo3JzI4h8eeu8qLjgt7e0e747sw37NOocHj1r1P0k7htJH1zPDGba55B2jh1u55ECH - l/130uTWjTZ6pn1mabtS8cFYKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoWNoYPfNyGy - W9XkGFrwyi//8q/+j29/3S/+wr/8V//LZx98iMkblA2+88EQUHmQGDsm5KGo12LdbbZX1AOHmTnR - SKq6D99336u/97/7lX/9y560tt3d3Z1Op6rqvQ8hlN22x0Zg00l1/wMP3P64O77yy7/sLW9+89/7 - lm/5sw/eO/GuCVGAnTNnLl+6BGAymezt711PShkCgFMXYwSpogDaGH7zt9/8Y/MfvcXXINNtHZ89 - vwnPo5GsqirtAFfVtm3rur4J81koFAqFQqFQuApJtzuFk8lkf39/NpvVdQ1gOp0CEBHn3JJ7iqOO - HU0mk6Zp0vezh2Vmf91OXbSurv2znvWMn/qpn5xO66bZ95UCWJKezAInRXqnUCgUgOwv0bUIsQ00 - 1vUsGubc7bb2/uB9vz/HXhSEtqurqoVJJdblNQSF9TJIBgAOIlCDtP4FT3/ZLe5xbu7VKKIUW6h0 - L8kALJni4ykqZT/+AJIreAHFQI1dnOrWXU95wVvf8+a9uBvifGdn0jUN/KqnY/sMA72+SJ9nNUCc - UFIHZKFtLe7d8xfvvPWL76yx5VB7ryJkgE/O/WCAsfcIL9evglIoHAtL2gRMSoT5THl60wRJsRqy - UEi6LoWu1chCEb1od7/iR4jBvBDwAULQAWk1PmU4CXyZgUu+3ySJJ+Wj8Epb5xIUVPQCJMsD0Xwg - XkUoHDt0LRQKjykOD1X60cvS6EJhXbCpn+7v7rvZ7BlPeN7X3v31/+6PfnV6fqvBLglVTCqEEEOE - r33Thmrl8cmh3CyNpszyOI2kCVqEED6Nzn77Xb/xqhf97c8+1Nw+eRzb6J22TSeVEtarpplw/Piv - zJdvHIOiZi+2amJXGPcaABOELtisuywX3nvfPbvhom5LtGgRHpoVHCWPJ5OclQikktZaFX+mOiMP - uru/9CuqbltNgZBSl6O6t7UY1/beAbENskwDFFP1jmybdjabvvBZX/ruj7y7msBcoHXoW0vslS+x - /qBYlEizjNzxo9V23+/ec+8ff9kzX2kNnVRiCDFGduI27gy2sBLUpJeZhN4EdM7Nm0CNflKpc223 - r8G7qrrQPtSc23vbn7zZpntuIiGyi5zU3swkS44lRV4FTAiCSWhwNsXFi/Pbz5/f/ez+LNYvffYr - /oszT53FbW8CMNIAFedjr66nvQDqSL9Ns3JmpljCwmEUiL0JPtqgCgXSr04kKVSuFKLfNUQ1M6iw - N7zWi7TaYkWFx11SyBKLZmpMIp3QbMzzRWnOyPo9Rp5vAtiMzAYBiKUrsCyqCQBqiJpL2zEX6ZCl - 1cv/ejhsL2QobIpJztt6CJIsJfI8fUhIAaik2a5dz+ISx5N+jmUMkzZ6lt089npdjkoM8BTta0g/ - dhh20/We6I53KUmfdFgaBMi+sxAzZRbAxprxZ3FgmEmSnTbpW6L0a4QGc0kRM2vGryV1meNgP7q7 - UrNOw/X16g+T1HfvFh4GuMUTMfYqzQhAzGJ5G13hENioIkXJo2JZbrC5ded11LWTABXSDT4Le9nj - JEaMcRU7Tnvsf5hyOBjtEHDuPO6b4w1vfNNPPs8RWEtA+Qj6VTGmBg4T5k2qAExYJWFXXcdE97aO - ubo6IBU++wTtsMvJ9XK9XH9M0vqemsThvlseBV7f+IqSFv0kN8kDeT7m9ltkMVkBYApmNXtvSCuo - KWUQWaoZwOoJ9dLOQxlZ0tdZfKNv/IvrGdSrBl3kcYR5UTfHkPtdrn7hChpFhzqWHHgaFLDYTzYV - AugwfF+H0V2QoUanTnuUyX79OT/ZkaGpXiPs129zF8Z+doGDIh0q8HIcgV5NwsluEBPLWaVQxVQE - 2tstl2W+11x/FlPA4AAo4WhC6zvDEUM3eoy2uRgIqaVSMBAKahQTMY0AkIaCXKdhLhp77/f1YOdF - yPXZQYKpISrsgKIJpa8qzNrW6X1Z2R6u/s0Ri6pr/dNCQTx0ayipPufx26qRR1FABaZJIb6v7jaI - 1ouuKRB/1CXQhr4QowqC0cshjTXsSbZUdqBgre+kuNxBGOAUsaWfaovmMh763h/5h5+d3rdnD+3g - LKCtCxQ482pSJVn0fEhj7CP5amFA67yQdqa54/Xf/3sOAKEuX+xSNo89nyoUCjeaIt1dKBQKhcKj - HPXOayVCEbGY56Mi0k8XVJWGuLyd9AZTVxVGypEkLU3Brncl75hcabfZpo/IeuexVAIkDcDJnBI/ - cNEnIGV6JbyrMaoPZrkcHjm7AJkkUQ9olJ6ARO6RnFa6QwkcaDibvo9XSvS0pNPFjTew9lYOkGOs - ix4Z/zU+z80HkCQqf0rVAQdaMclcGptv11z2xUASPDV7Ino6XiF87Y5sGrHfwnjCXK38N3l6kKCI - EMOzt1wfdMO71kQBwmy0aUD61E8D65Wz08tBU5xrrJsfh0GoO1/44LjntAxToVAoFA5iJSzhscJH - IXv7+zs7Ow8/fGlnZyfGONuqQ+R0uvW//8qvfP8P/GBVVUnYO/n1u4GDusFTW1VVyTdHctJhZmko - NV4gSisPNyrpQuHGknaKM+/asX7PTT8lGpZ8Bcw7AtNmO1PosLkzNS3D4tiIQMdbalK8AsD124AI - gXpgebfQ2jMOATyX56diR+wQTJ9QIIgARF2+oqMmOXlvKETW24dd2nmhUCgUCoVCoVAoFAqFQqFQ - KBQKhUKhUCgUCoVCoVAoFFYne/PMR6cW29lCCFBxTr/zv/2Ob/qmb/rxf/Uvf+mXfmm36QTw3nVd - rKeTtmnQBXXOloXo2DtBPJhW/nxzF7P0SkQMJAgzAP/hN//DT/zET3zvd37nxPuzW1td1zV7TTqm - JNJnepNH4x+VdF03mc1uv/32+Xzuqsnnf/7nv/m33vSdr/6uN/7WmxXwVX350qW6qkMIe/t717uL - evT7GGNd123bRppCnLr7H7j/3nvvfdEznx1jrKoqfUdVr7SJmqe64TKJiwNZS4xk0u0u+70LhUKh - UCgUHllUVdW2bV3XIQTvfVVVaVDnnEtH/5IjpuTARFXN7EjX823bApjNtvf399OhJ+89gBiCGVX0 - CU94ws/8zM947yeTiQiJ0/HvVCgUCo8QTERFAIOAImbWUh29fPzivR/65Hv0rMIAjyABhEYP1goL - GoDsHZ9iTCI5UVxTnfO3fsmzXuw4ZYwnMHdPch2UgHRgNvtbNxGNMd5+6+c968lf9MB9n2wxt8qY - nSD1rvaTt/qj1bawUPoZ+azXrApiZjSDSlKLaFn5D3z0vXc/8+W3+Fu6IF48jRairybGSEmHZZNw - To62P2ZbVtgKNw8GMWbi4Elsg0ge76Xhn5iCKpJ1XahHONzI8j+EQgWODDFGLNr+SVCkuwuFwjWg - +uQzZwKn2JFbXv7cr/6rz/zFPR//A55tG3ZNB4VWYDQaolZZ52b8FHKs/DR8dE2SO9hkn1TFe5LY - 7S794Qfe8oRb7njhU1453+u2lBAv8J0pXAuBMxWKUgFE7VJMN7A8CsskCSNLg8ykz2REVrKkBxCr - GH37wb/88/s/+yk9LxGMEZVX9G5ND8tNRRoJJ+qa6rbtO5/51OdJW/dfFUApijxy3vQiiXadzWbT - zva7pn3Knc990h3PvnjhT0KIBk8JcVnr6xgJCAERSqBCARgQLdj8XR98x4uf85IpzjtRqolQIp2v - 4in5SS6MEQB0YhNFNI2QJkZi4qE+xpaYK+gwadlWt+C33/27D88ftC2ESBGo166LTgjAWW5DSlWD - 0mKM9dTvdSEQ2+f8hYcu3Fbfdp5PeMUXfc3jd56se05EKBYjkR4un5J/2sKjA0FH6Raa1r0YsNIE - QbKzrjRbyV0pe5G/a4ZZhRoQNCqpV3COUcGsQ9trHg9Jr5v7NOOL0IjONES1JD4rUOsl92xkmdfc - JaJKVTo1J73TsqO0OtGrgx+DpFyYdSKzB7YkbSgGtST2GGUxjsqalKuF62Ym3wVqXoZKhZATBgQC - kVwseaDFdfyBj1Res4d9ExBZbJUw0xDRRTGXRKPX28GlvUTaQvy1l46Ngs6hVYkH9q2tXkoyuukU - mAQQomoSTC15kDNRJSFi62gQj9OIMIgyCxNLFI1paCXIstACkzmkzTlZPfL+yhVGgSNEOkULARAB - U3gDcjFmpc01a7UwwgyWl1Uly2kPWvJDAY6Vg1eOG2oq9IpKUcEUAqGJGFIVWtL8dcdokpS1W01U - CJPn+jRpMfStOC0sc6Hxub6J6BeF8kLWoNud/C1SRZxYDWqvo2xr5r+/C+laJA1CLRjmezh3Hl/1 - 1a8UiIk51yd6/CXfLE0NQEBFVOlEGgVcusp+yXpFBk1WqoEtYCKm/UxkoeVKAbRfk1uDkeCwRqhB - IySKi6JEjAqXvFcqABP2K4Hr538hRpx1u+1gIROAX7eLTK0voGldbB2iwgAHr+ZFHcQcYIooIOAE - ABzXUGp2iIARJIyS9N/TSEFFrmCYxrFTh3csR5i+Y8MgwiGurkZPsahGQZQsS52eoxMeoiaBYhCY - +tZJgMax7v0q8fdjidR19R5Q063yhB9cnrKvbIo1DLTBKJ6iJiFJHQ/r1wIlhRGAc/Ceo+XidWoF - l+qVgSZCgTmzyjDoHwvhDRRrHVavEEJzBJBUoaIH1OCYW/eQ8NBAjjHiyytLSHn1Ag8aqURlUMIg - 0RwAi2ppALOWLrQBSiXURCMQASfIRhEYei1HuPw8bK3sG2AUMzETI5LMfEzW0ASqAb1P3OHp3uqC - 3LpO402/6C3kYhDeu6uOg/3UvkqsK7IdBVF8FKc0ESpMaAKjWFQAcHlikYZt1bG6bB3a12BF081y - 6BxaR6bKIkuWdlXyUFxbUFO3m7wBEyAkJhuSOnqENISyaTR0HRoifC7evz+93Ln9vc4IbX0bFc7U - maaW0qlRmR/TXisUqkZfwZsF6S5FhGp5HrKwB/kASHFDXCjcFBTp7kKhUCgUHoU459JhAHWYzxvn - VMQ1TVepw0hYsd90paOnJBsJuy4CJuL6dzj6/xRCM2TxF+H4fVI2nS4Z05qUqj+cnxMLRRxp4zyc - ZBgjh/og4tKi+QmU/40rvV6HaLRt8bT0WU9RsjqdgR8ufDAsm5ZoHQulcyRPe1q7SMeFMLxzAule - qR6eYlU8cAvG92jTDDUhdW2nVQiHs4RR09hocqnTP5zKyUsmX+NKN6nbjUPXOwx1TqD8h9aX2kJ6 - eVp2aeGDZlQIJ9A0rlTaN0OTLBQKhQLy87oSlnDd8NHJbDbb29s7f/588pERQphMJv/stf/TL/zC - vxaRrutEJH0nxjgssl0/3vsYo5k1TZOibZpmGEkmfx8pxa7rkge6QuEmZmEr+k2WAPpd8tSk292b - kmGLpB2IglCDAeOdOApA86E8JF8A/U7xQ7mQnNB6s69BNrzfU9W/cWAnd5/E8u7Mxf/MGxmHjFn/ - ypXNMIVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQOEGSnHBo22A2m81+4J98/7f/w2/7 - 4R/9kV9/w29YFwWwNjh1MUYhfFWFrjsQQ38c7sSznpLttfFIEpzUkxjja1/72pe/+MVf+pKXfPr+ - +x93++1nz5+zEJumUVV34ufmHh3MZrNLDz8sqpPZtIu0ECrnf+kXfvGf/uAP//K/+d+argXQdi0B - VS/ADTy/bGZJ+5BgtFhX9dve9rYXPvs57Cx9JCKDQvbNRghhNpsBcC47arh8+fLOzk45N1coFAqF - QqHwiKOua/RK28NpvvRRVVVd16Vzf3Vdp7OHR5ImL2nsmr6Z/q+cDzHcccdtv/zLv3jnnZ9HxMuX - L04mkzJsLBQKhWsSYxSg9i4gNu3cuRnr+AfvfAu395yXEFFNdN6aOsCo9ACQFGUMoE8y3gL1sZK5 - f/ZTv/jx557UPMyZeFVEbvjUPBUUajqNnnz6BYjBRRHpdvn8Z770nvveQen2230/Ua6cnyyTmZzy - 07IUU5ZfMgAkRAGCVXSwT1/4y49++v1PuPOJPtQSVehgpqpNaOgFUKE6SxpTmt1ACfOh4ELhFCB6 - MQ8CYxXDU3CraOI1u3fQQf9igAt3f0wevRZKE7zKkua6WhxXgklBq88DjvL4VygUCmOcq0Kcay1Q - h4Y77tzfeMnXN+3uPfe/ozorWsW2jbNKJxPZ68xNHMI1/OoMSjTDy6O/Jlm3O0YTgXMKQGCXugfe - 9P+94Y5zT/yC88+OcTa/3My2bo3zVp0fR2ViEDOhUsr45EYzFLSCZqJKUCjMupJZy45KMavaXVx8 - 5/v+SCZUL4ELjzAyiHGnSJN4pyAEugrenF1yL37R3TN3DqzSz4QANMmPKdVguuGbq6YhBNHIaK49 - 8+LnfPkH3/qfdSoNvCXxzlQe9L1Y3HoDD5KALS6CAGOU5hOX/+JDn/rT59/+MjRm2nnn0pVeSRGv - cDKwF1TLYr0CShfFOsOkqoIhRgLBqXO1Mw0P7H/sbe9+c32r2ze2Aa6GuqptGsnTUDgDqEJN5st7 - a5rgJ9M2tu08nNnasgf1q17+NX/t7NOm3TkLBiCSUc1BLfb6t4XC8ZAOw1rBEgYxBQwG2KDYZ1ld - VQG7ZmhIUo7Z1CugjI6mgEIFCuogTXksDGIAiY4IJjFJVgPIqtL9qyGJfvihFFshhFCFqqYKr6MM - iwAIABTZDhxPJy9FZhATBSOG+WzyqiamyZHaMMldp/yPVaRDWZnCLIuJ9xdOhahClcgmK5fqSuWZ - PbHlPkx7ecGklJnTNolEILqs2rCe4LECmqvAINNIQJKoYlBEGUsbDmmulf/BeR2Slral+NOKmEFV - SKalscMLAVfHMNTfhRu99BcxrmICiI1G0Svnf9QeBRAEAYEAGKBJYLWvAf5YbTN/25LDwP6qFj0m - AQkHhv0r5x9CVfMCL9C0vjlIHY/UYXUYJR7PrqxsH6yXks263SaWUl2sSgGAmuiV5zpXY4h/ycUi - LZckoPBgJVYDEDFIWLc+E8Ot0VSaKkFr8x5uLtOmElhA55B0SyfrleTSxWSni/1SlAli1qbVbA4I - xJXtc78K3Vt1BF20EOvT16Mzsz4RYslYQVKiB1fn+tH5uvYESzaOR4zx5Xj9pBFGNNQ23VkKCDUR - LAxljlZo61ZQAqY2slqH0XXcDutw7QSuGu0VyeK1vYatCchUykp4S122GIVEONZMajGiGCS4xiR5 - bxuku4+Vxqga99EyikVhVESXzLgBXFsR9Ar1R5UeULXUpsLxsn0wKaLvT3IzHAYwBmRt8uPEnGuF - iKReLFtCQRSmjymIogD8mg8Cxu5qh/rnBAJdTO0PRLnyNfQy1WDqIJiGfkjvpMdyymGMnX+zSXRh - VZhGQsP9GddzE0DNydo3LMdjAoUZ83R1McTl4GRYBS65F16PXD793cmjjt7vb1qJ6ufsx3kmtOhN - Dltold7TsAJABAzwAlyKe5XjLi5WZ13w+y32tY4UtD5GNaWqaWVmYlFgump/LdQaW3vzufNy/tbJ - HHtTdx6aHuUOE7iy2lko3HQU6e5CoVAoFB6FpKOe3ms0896bxcmkDiHrOCpB0gRGgoQkKeIN/nlf - 4QhhZiHHCxcnF4ooICP5cOlDGf2/oZCAkjZsESTpXLVcMjc4JIVclIBInieekuIzRNxiIYYCpOyl - +7LI500bkjbWZz1FteDTlaweZ2O8ifMEDsYPaR3Qyt10ukeSMjAWx00+Aja99/dKsuWnJeU+3Iux - ZPUJ3JRxHcBN0ByulJNNZ+xAsQ8Kypu2S+vHv3E7eWRRbLpdXKm2n7pdGupAEvPedH0IIYyL/VR6 - xkLhisijWYC2UCgUNsij6hzL+FqUlKbpvK9CCHt7e//4H/8Pb/rt3yFBMDnX2NvbQ+8440blIIQw - 9txR1/Xu7i76caP3vmmayWRiZlVVJQ90NyrpQuHGInmr8YEhVq6xBCA22heTFkZ1aauhQARpl6cb - bf8Z9p6mJHqRbM2fDruUBARi/6eAX2f3s8hie+ho6/M4d4v8DO1Qh6sbR7VQMIfTscL3EV8eYi4U - CoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQuH6OLjLNHZhMpsaGJrmzGwWLD7+tttf99M/ - ++rv/K5/8gPf/64/uaftIoCpd02IjL3/fRmFY67uVuz6Dwwddl3YS3ebGYFgMcbggNe85jW/+cY3 - 3nHH7bt7+6Ftz549O5tpd0h3vLAibddVde1c1ew31aQ20co5X1c/9AP/dG939/X/7v9qQhB1waJZ - cJU/lju0I+7voMk9/KOqv/u7v/s/fvf3oPKRJnpTK8147/f3983MzNIlJN3umznPhUKhUCgUCoXD - mNnggEJVSTrnhkFd13WTyaRpGlVt2zZNT0a/XpqFkUwTk/SduvYAujacOTP7+Z//uS/4gqfAwnRS - hUaideNxYxIhKEeMCoVCYQkVGBypRq9qnlG7z1z8xJ989I/DmX0LrQAtjT7JuQjMj3TylIIoAODg - Ze7P2a13P+MVrpshuHpaxw07Q0vqgwCiBlBBBziBAdEsTCaTNm496ZanPumWp334wvvihA3n+bCq - AFfuEMYKHMwSL32vJJZ86DvNX+sMTkLn9yPm73r/25//+S+dTLZsT2t6ExORkBzqU5UL/RmDmsTj - iBMVChuGpIEGUuVklC5FQNJXXqlySG17IZBDZS8pRhIjP28nkMlxKiJJMa249ioUCleE0byr99td - 5/3Z6bkLD3/uKbc+/VUv+sYHfueBz8w/jjPdxXBxL9rWZILQsItLjzsOD1CysNlhodCDqGbfvGY0 - A2mqEqTTGe7f/divveV13/F3/vvAzz+787jd/cuTemaxEjiTTsXSw8t+fFLdqKIoAEh+X5L8lEEh - HgxJiAspNAWSnrcEtdZdvu/BD//VAx8Ns3beNdUWENDOrc6DcFVa6jBNDFCqOMCJouFtk8d/ydNe - gvnEyxaZ7qqCGtNyCOE234FNJpP9bi+4MJuduTzH0++8687tOz/T/kVXddYrXUlWcQ7HkZozArAk - 5Mzkl8aia3bloT/6wH+86yteXIl1FoBK1MXOtAy3Tx+VPHQSE0QXKeYm2tpeaFlPvEgVYhDXdn73 - TX/4hmb28KXdB6uzzkWhybzrJpMJrRHCZZHZJGCrEBPvGKJ3zkUXW/M6e8FTX/rCL3zZdH5G24lY - gKohiioljSFPtygKj3CGGRJH/x/E0Isr5zXtpLF3zTDJFMiSAy4hFCJJxZAHUrFjSVxl9VAdmV+D - V5ghaF5XsUEp2qBKtbz8ctUwD1cG3T7tLx+A9blfyOiur96dCiGFPntIOzgZTPEPIrVrlH/umNZi - SSGWuqRGAUA1yS9Ss9QrFbJCSSKJqfdazkwO2LyJEd7Qy1jmgcRCNHO9zA+5HtN7DM7O3wjLN8sD - qrCVakIKcwMxAdjrD6dptPQypZpEOHvZ0vWJAhC+r0faPwnyRJDe1V7SoaTkMlu5/FOODDQVCPub - 0tfbxR062DZXZNFM+jIZzIsHPSAKmgESeq+CamvUn4Tk7HGQfSGkA1yfAQF02ffgqvlPApmr1gcg - 1+XsdXBhfySbjrTW49WcmBes1x4Hr4Oa74wpFAj5M0k66A70gBMaBWLHaY8x2x9BVr0F0DrUs125 - Q887OIUAUbIe/eqX0BfIcO9yQan2S1AyvN//I6vX52Q0RlY3D6EXVXdsu4YasSojL5GmC+ufLLYH - wtha9v3LqjWntydmgEANh63W9buKNUEnaJRRCUeQcEyKtlFoSUnXEyAcA4Ao156bDzhVAoQZzECF - UEaG+9A66GDTlt7u3X72/4K54pupRdGwcjEI4AxI8sMCzXFZHqsDaXFWAcVc0AkC1mmSAnMYnnqo - W6pRURAIo8AUJhoRIViYwVXipzoamObC+bd9FQsinWPn0Xo0QAM4iKzVRcrBS1UICCNcFGeoLI2P - 4E0sXZ2arWVAY9aGrygWAJUqSBVRR7g4yqsCI+H4deu5prqkDI4he6xliNBsAwfLSVXqmmsEeUqW - Rn0pHlnUZwNSS032HRC3Zv4dWIHJaAcQhjhspx+SywMIapQ1OrCoymP1L4v7wuEyU5gaCAQi9KCL - ytXtA2BO2uEhTL9UYkBum8ogNKW5lIelwefK13D0TyTCBfWdViY0UUUlMMcIWfWprgyzhvFsJavF - mxo8oqPBBIxOGqhaVKg74862uDRD1XR7nWtkGs1CFARpo0CTS2GBMs/9QQJ2zdAk7sVL8FKpfO7h - +wUM1nmthg5Qh+nJ2jORQqGwQYrf/0KhUCgUHoX0ko1CA6hmjIExxtBZjJGUtJir4pxzTr2KU/Wq - uqEwxhgjzSyFZimHKiIi7uRDkmSSk+xXzskT0BFPqQMQcarqXJXKZ8jP5sIj87O5O3710MyG0j6t - OnA9YbpxqdqYIVXpGONGK8+R1emEUzwQjiuYt5nwAAAgAElEQVRYKoGui03TeF977zcXOlc551I4 - rlqnVQ5JqDuF0u+jPQH97KTIm476xxE8JZxzzjnvvffppqiqplNqG2VIcVz4p6VfDiDGmDIwlIyd - CIdrYAhhrKO8IdKNPvDyaojfaAiqiKRHfTQxszT+wbBlYTMhTUiCStIizCztAE2pn3wocCKSyiT9 - n0om/b+50GmVUhzK3yJOoPxLWMISlrCE1wgLhcIhdnd3t7fPOOe6rnvooYe+53u+541vfHPbmrrs - d6OqKgCqegN1uwFUVRVjdM61bds0TdM03vs0iYgxtm07mUxuYHKFwiaxxcbpkSB3+nfYTy39ZiaH - 1CuNOqb+VwIVqEJd2up96FOFDtHG9PZ17zVZ7P9cjkqWv2CjyxmuxQM1UGPpwofNboJ1NwEXCoVC - oVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFwA6jr+vLFS4xWOffQ5z7HaF6dV33us5/z//z6 - v//Zn/ipZz31CxwQQpzVlcohj4qnTToiNxyIS+rOs9nWBz/60df+839uRnG6feaMmc3ncyl6yceF - 5GQyCSFUVRW74FVD04a2m9WTH/8X//Mrv+qvC0DSew/BJqStSAKoqmrezN///vdfunSprmvp1RNP - 8WTo1Rnkxr33ANIm8NPOVKFQKBQKhUJhbdKkIzlIiTEmHxjJY4lzrq7rpmkArDLjSCNb1TxWBNC2 - YXt78rrX/dxddz2vafcBu3DhQlU754pAU6FQKFwbVXUise0YMZ3Wrb/8no+8s6l2L4VGHKpK5y1c - BbOkGjVCLOmUECom2upTbn3GFz7uOZi7ytU3/Kj40ZmnAjChiZmMztI6aZpmhjPbvOXFz3gZdyuF - X8dpPgAIdawboSOtFKGoggYDgtC0la34kU//+X0PfjjUDVVUK6dKRoNFNUBSbGPRcI7kFwqFk8IG - Oa6BvEIIptPbZCQHZYqN5UNgvdCamNSuFnHCJKt4RW8taQUbAMkryYpQcEgBfKUcXeWznKgQWHi3 - O0YahULhsUB66OJUVSpSuqabuJlcnnzhLV/0N+/+5tt45/5DrXOIgia2TuBsVZ2qa34tOeAEoJqU - otP02cxb5/c+i/t+5U0/d3n6cDOZ60SEcKbOPOgNagITU1hxmLVJFHCgUpSiJgYxIYQQJnE7RNc1 - 9d67PvgHnd8POtcKZug6VFXu22TZwwzFIqP3nq1hX5/zlLtunX5ejS2YA5OmnAISxUyCUpW66Qe9 - IbbeexVPU+2qc+62Fzz9bu46Z2ncnpQ2NSuFXV9uspahkC409eX3f/J9D1z6pNRURWfZI+ha0lyF - G01WWBwJ8iVhYI2xUxWngNHoXF3P9eKHPv3eez7yR9hugus6BFUl2UaTXp44zcicQQATM6CL0U3Q - dY1Gdwbnt+22r737b+2E866pvNWVTEEfIaIUFB33wnWh446YC/tFgUm2bxRQLapF0SjZ+PTCtNcM - lZJse66pSlFqcg7WG/1hOWHwy7XWFSjgkkynUJVwHFS6FfSpJ1IwrV0Mwq4rhTBIGHk+W0IAgQ26 - 5DzmbikFeoHJLLYN9GWozOmOFAQ3C0fSrQJLf8Di2iz7ajMIkUZZK5cnFtrtBA7cIEs3TqgCJH3D - /v6ufQnIOUQWMqeADvCgWN9rE55w6KU9VwtThmvkcU5eTxOYMGkYaF/5zR3HX5whC8QiiXCm6ppm - 7kIFoQbpVeNTBlbPvxARPqaFxNz6tC9kBfPwYmlVb91roAq8ZA1sSK95PPKfJ9p3oPkL6+Q/pTFK - bii35EcwkVJYuz0K1ivPlJYs8pAbrIw/zfrIikW4Ntlwpca4GHikVIemFZMg+rrtEVlRdam5Rcr8 - YneHu+27X/aPZph61L2jxHUvIY4EvHPIvsqN5ovOADDb8NXzb9AoixudKraNNYLpAe1ryNGW/Cr0 - tTQZQ6TFM8nDP81zkHQZyRyuWf6pq6WkjvKguZMrvlgt8/mO5v5ltPg/iMrnm6ILS6Lr/CVsEY7d - gS43P+m/s9pDA+NiSrVyfji+kMHEeaEm+yy9aVDSjUzS2oVKgL2PVEYwAkHQCaIk0fFejBlMhnyl - EEmiG2GwkEDugyFO1IuvAI1pWOIiHA+vhF/7Apbvi2GYvRrFKKQm0fRc+MpV/1IkJsHE0go2BXYs - U3wko0gUaUG7fxyVWqhL86l+VuUItTXyn6djNEdLCuxDuv1tAkShhBg0rFv4AgVUqM5ynZQ+3dTz - OkLTMztCzAMKrvl37CIV9Crh7EtYc3NmblVr5yd51u3LzhmUqvRLNVAImMF4vDpy5QacIkzjPQJR - jVDmAeFKf8NsJP2wr8yeedbve2sgoMIc6BQTF3yN2rIPZHjR2k8AKPNY6IBVFwKwVULAggSdmd8S - 1NEQO+sibbh1C533cgCiULiZ8Nf+SqFQKBQKhUcaIo4M6djn61//eog50RhDXU+cU+e8alrbSnKb - jBs+XU1yUPRMMqvOOVU9rTO0Z86cGXRGhxyeQLopFRHx3ldV5b3P6+kbPiV+IP7h5fb29kbTvQqp - JiShX+ecqhORR8hBZZJqECMgouKgBFVELc1/qScUwgQKAXmy6fahwOVVDKWKhxgiQO0iIQQ3FVpM - y9RCRJqIQkVVYRGnUg5mdtiekFQ9iS0yw/bZZGNxUtbsMPttmww7AIiSFIgRtuHsKNMuPWBUCKnX - 2WzCV8DYb61O/SvZZ2ez+Ql9RUhdLQAxo1nYcPmnaxwq3SDifbX+nQLIhkJCBCrOiTA9ciMFMEuP - mkQ3FEZjUjAnxQiBOOfVSdrp3j/8O7mw6aIqnBMgbVAUQklyYyWfQlEH9Cd0VAER71R8rg4bK/8S - lrCEJSzhNcJC4Xp4xNeiNBAZXQUVwGz7TDBevHT5oYce+uZv/ub7778/DabSuEVEuq4D4L1v2za5 - 4bghuem6znufvHukl4NGOADnXAghefrY3d09xUWbQuHacNjMp4ttIKIYbXhU9Hu/iNFWkSOsCocP - 046ixQYug6gI3OFNfIQAHvBix9jnyrzVL8fq+jdHeT+YoiJI2uRjw3ZGzftrJO8AtPFVy9EL7v2H - V3+rUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFK7HYNZePTBEAQghnz55tmsZ5d2Z7 - R4Dauy7GWlVEvv7rvu5vfM3XvO5//YWf/tmfubR7WfOGOBDjbXwp5iVHrlje5nZ4s9vax9eu/IO6 - rpMsd5LxFpHL+3sV8Kv/9v/4hm/4hhe/8IVt25J06tIh+nVTLgCAyn4zt8h0yL3ruulkEkKQShT2 - Uz/5kx945Ss/8rG/MjNRqGrs1vQDcIX7G0JIW6bTxuyqqrque+jixQ/e+6EXfcmXQIVkUpxxzpFM - tfo6feXfWKbTqYwOjQK4gfvMC4VCoVAoFAonRlVVZpYcYtR1nXy2pJcxxjRSjTFub2/v7u5e/dSS - wGhwolXtmqabTtw/+5Ef/rKXv8zMEAO8O3tup2maQ9Ldh848FgqFQgEg6RQxAkEiw2ebT7zrI2/H - jjLAlKoQggYEqIIwIdOilgCUEBUwlYgpt17y9C+bdedhlVfXdZ3gBLTRVIkoEQKhpZcQiFPr4Bqp - Of2iJz7/zjO/+5fhQzJxYMTyOltSiei1plKJLH20oH+pRIxUr5GmDkbQUSW0fvfdH/rjJ73kGbfq - DiJIxmji1NKZWGoSLIwCiiYVJJxEERUKA4cOhlMPOrUQOwHd7px4OikuAsBrJVQxcNTwTHp9Iiqg - ZEzfJwbXf85BhaK9tz32cg43IHO9LouIoHc2CaB3a1YoFApXRCHWWV3NDPHy3oWdrW0fanuYL3/q - X7///k+/+cMPf46fxCTsBZ4R5+EatFeLLlsfAAcVpA5jxuSGUzU/UlFxXRenO37PLnzgwnve8Mf/ - 9m+//B9sXbp1JttqAQDFRQG1BaKaCvWmekL0KMCkF0bNCKhjCSthfipnwqjdJy7+xZ9+7E/CpDEX - 6qle3jcPeO8PPxYzgRIkLERn1Y6/5YXPeOmknXnWCAKnAJRqILVLXZtYctWyuY7MutDV06n7/9l7 - 0yBbkuu+73/Oyayqe293v/fmzQIMsREYYiFBEDsISYbooEnZkgWDlByGAjRIB+0g7QgLVtACF5OW - KQthWWHLUlCCqA+irT1oRshcJDEYQdAgTZAEiUUAKADCSgAcYoDBzLzX3Xepysxz/CGrqm/32+7t - ed09wOQvXmTcvq+7Mivr5Fonzx9NWCVvjqJ/1UOv/+0Pv/tL+jAoZiFtI9D2ooAZItJRBdpABiUY - R0U81Mff96nfvfelz/A2o46NgDNXKi/cGQWIlPsIRQyroUl1XjtxQkktqKMmPnL4ud/4t7/cVtfn - 3f7scjNfrqiPop9CjETZdDC6VRhFZSghRtSSGkx2031v/BNvvsLPrMKOAzGZQdTAYkaqqo4FFxSH - v/A1ghHBYGQwwAMKRGAQwcwSjFDQoFJsIFMGdJMUUHPKvdSht6hgpV4h8VgxCIPy7jb7CdavqoQh - YJdIFEZIFBmgY0GV10aoLHdLd06N1BjGIUlIiGnU3+qjx49jmIL4ySsPHikxE8xG5xYdBbypFyXN - LlgbpKcdlW6Ax4IaVKGJg3FnrEggYMP6zFakfcHyFZXQaxKLgQwukYAFAnV9BZ1i0Ov3psZtAQfA - 4BNVBlIyhQMRGTOFbeyBYR55t4065SOl2FFpmwCowkCscopXNlmMlXszyNLp3O/vZZVoBphM2WLe - Ztiq/ApSFoUDIgEKb/DHhXjHOty+2rPGKXmBE2UxiGrqG7QOspVDOxnWApuXP8/0lKMhGoFyzHJz - hJR7LYJalhelPsstdyez0Oem5ck9y7qbZd5gYRsG997a77zY2YThno5+yJ1z4mgUjLtsfFu3x6NW - CUCJkkFFZFJPqgO3g8bDkcE6okq2t4p84aEtI3uWiiLrVWf1bmfgBDFSsi3q34gVTgFQBKIMKq0G - HgWDh9rAKXxR+78wBZkAgqzpG2GJaE1DHYD2UrVkcVNLNmAYXgnI0rDWh8A8Xnv99mYcstu8V3EA - ExrSulc17zsQMmLAJ1JAc9jM3FLWLOHO0NAkx9qyW4THHEYiO9YtD53B+CdGR7+a/2pQSd8INgdj - GBJHs166xKyGCeHEgpOyrPCml+7LlzV9hxKzghRmQAQlQRREscBmYppHcIL2/Xbe1L19Ch0dqZXA - mquCFS6xYzcJbtKibuEb8gSm7Yyhv+31PhgAoEACtURLOq7+rOMtb4pS1iumVvNYSAIKQBzrf824 - eS3dlDRcJIIjcyQ+8ZqrF+1GfpN2k4ivtyGPT0N7joQgiIAbRioe/DAYeVYM8JZrcgbnkdFrL9fN - 2r+LTNRPuymLoIN1LZjtJpx+d4C17xmOJk4uT3XQN2rWI0WWja8KuKGBqwFW5Wm/Eat1IDBxlnhX - aIQ6Pk3U3+O3PXYyIESiFVPIrQkGIiblbcZiHrroPLHp1bsBNrZIElH18xAwMBtPTVDiRiYtUNe1 - qCwP5o2vlOESkykZ8/CKaKu7TYBv0IUU2nRv7RSxcpWAU4ji0JvRLWumUChcGEW6u1AoFAqFr0FU - FeCsp/kTP/FXkBeT3L+h65VVh12jc3hrR4QLEpO9M+dZtvER5HyBC6uWC9K07THrCzBWwlPWPG4P - M6rKee9F5A5StWfAqAS8u7t7nvmOZMlqEfHe51P6OEfd6PF8exaAzxrw55P1cQwAM+caGFWTz0Gq - eSTrVecCXFAlAMOD8N6PmuXnUwOjeLmIVFV1Ue1xZDKZ5LAgObV1XeuzJJvc+bdHZl6/x9wEiGg6 - nZ511rcp0tgnnFszNLP1J87M2RrPrQAncAPrfcJ6WJAzYt0SsjU6xyICs+PvWkpa0pKWtKTnmJZX - cYWnO3yLfS9aLBaf+9znvv/7v//RR58Yt2vMLEtrY9Dtxt2eV6eU8gXNzHvfdV1VVfn7HGYu/1fR - 7S485WGMmtnENw43PPpAju5rAiOlGzzXbUhv5ijDJ34eHPoGVyXLPmvZbdE2H/WIATdKbA9fHv2/ - AiwnHNGI++xGR0zuXbDT4KQ0eI+fLHahUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFArn - QIxRVS2YeBe7oKq+rjQmEnYgaSZ/6W1ve/Ob3/yT//Nf/flf/IVV7GPvGobQhyf86s4XZl6tVuNh - qHw80LEwbBnij/+PP/FLv/CLTVUxANVkWnz1Tgczp5Saplkul45FRFKITiTG1FQ12P3Mz/zMd/yH - f2qVkjFSvJuHNIkoC10T0WKxEBGk9MlPfvKlL35xXddZ7QaASFbVemqdhRCR1WpVVVX2MB8FHS+6 - XIVCoVAoFAqF7TCzlFIOx+F9H8s4z+tEZJzgEdF8Piei25wsFCFNAEBsbRuI8JM/+ZN/7s991+Hh - vnNuOp3G1M3nqywBPuZVKBQKhVsRY5SaRHzX2qK99mj7+c9f/9QCB26HwtJIraoQAhyBSADt1SYM - GHaz2NhZfcnd+4qHXmsHUnuHlFJCXddRw5kWnvpDpmQEkFEvuUQxxtlspz2ITurLzf0v+/pXf/Gz - fzjvDk4TAXqIF3k8Lj4RCUyZXEoRjKS2O6GP/MEHv/3Vf2aX76tTk1Jgyeo3TMY8nJwtcpiFpzJm - lidu+Wz3WaMEgNlYSGgQ2LRRQeJORSWiIcjYrUJbbM3tG2gawu6ZpdMIGhUKhacHzJwUse2kcZPp - NITkyVU66R5dfuer/+PH6JFf+9S/7mTfkTK51OndUqhwTmJMqhjjU5oBSJX4awfd5ArYx/d99tev - 7j7wn7z0e1bXDqdUsfXdqPZRPIAsLF24qyhBFDAwWAlGadQUJGMGEhikKrHzy4996iPX42Op7jpL - kgCgaXh+GCpX5atl/SpgkCsDLNqUdx+YPfMbnvmiyeGMYh6ihudIqhzYCKm+K4KIt6eauK5bmFRe - agYhyP2zB19w/0ueePyRVjnx+oT6prpod4Aov9FeE8AjKCEa0OADn/6d177kjz3AMyanqkqQMl5f - HFkYkgzGUc0AhTlYTeBGGgsRwaqmibDr8eAz+x/7N3/43nSlZY9luzIDmQoxC6kmxqDbbQxSkCr3 - j957mmhNB/wtz3/1K5/9rZfSfdyxgBKCmjczYVZVjQFVWYkVniRDuCtYH/5rCHelayG0DJoIZOPw - njUm75QCyqyAEoM0i96NGdsxLYPTLnz6QGOOiLOCsvaXjSAArKQwKMXcz/Z7F3kL6E5prgHjAIo2 - SJzSUFVHOo/oBVdPsTFiOdLZIICaR0BgXMLp2mcAmh2b2FTpzunpanUtgNoYepSzD1hWZlUkULSs - idiLTG9UnxiVFSnmhwIAFHuVT4Nk6coxx2P3vhVZbBEYbcyQwApJxAoz0sQmmpSUNys5SLV/XqyD - xiqNAepo1EpH/ycmR8H0NoUHed2+zEwpyxKfuE6WETXktrlF+bM2suVv1MFcAo9Nfsh5EAw+xVxj - 0AbOP/Q9CwEcNUud5vJQftDbtcc+B4qKlAAhEEHB0peZMQqpZl3qU5QdarRF/9DXUJ9rHJV3T4ZD - JDXSbWSR+8vw0ceRvhc1QgIU0Tgpd/lXT9MeCUpqeXZDCupAiBETg1/xDqYVPAxmQnCDDPaWkOaF - mBESrW2OGQ9lYKGkBu4HoM3tude6JrCRkvbbcQZV9PrMQ1d2Kme8bM8as8gsNDESIxodF51GznQY - Szesf+qnlIqogzlZ33z6GeIoZj2IH29n1GRM1LB6UWcaiEAWj8Ypy3fFDFVSNhCUt2j1urYE4y2X - Pujb7G3NiS0PZBvCAGuer1BkKFk19DmRLea+WgyiTDlU6FbGTDhu/3x0F6rMLAAZi0KUzZJyrpG8 - NMYdU17PaFhuKLEBZqQGUB4hOQIEYjBveQfDCDVW+zjdiDw6e4//YYCpbp4BQfIEbBjNxbLa/dEs - 80lCR8rRitwq8nbE+AvW5+4VCs1Lqk2xNW15y2t7o7XxbMzYgASmbVvjWOw+t6Nvjv6bINZ/yPAW - o8bpZtE69I16FIs363b3gXCREI0SSLHNhlp+FmxI+VLWj1xkNqx/FVBQUiRFtP6mt6hU66dh6zfe - PxYBi8IplGEGMsA0z1g3vnr/sARqACGif0nDgBlZgiZAGATkCQlwFBtZodfn1+SKr6mGpTzpIuNe - nX1tzr8phJQgAs+wpTq4XI0iDqbjBfs6yEUoUYsLhacARbq7UCgUCoWvWU5oC40ypjfVMz3Td7lP - ZWHm8yxbWqv5i62TC38iNsryfDWTFMtVXK7ixRbjiWuHF1uApzhf7b4JX+1u7ufgHVUoFAo3ZTar - iSgryudvzkHPfj0jZvbee+/XNdTPDh5dlYcfs6L8dDo9h9xvJJ8tOVEJ5/AIVDXfeK4NZq6q6tye - wo0QkYg455xzIjIctjnzqhivf6sCnDNE5L2vqiqr0o7mcdZRirIlABCRbIqjeZxpvrdiNptdSL+k - qkR9JeSncG5ZY+gN8uf8YXd393yyPkGufOdctoT8FM6tHkbDy2XInE/WJ1hvDrlIuWb0pltFT0my - IY1VOvYqN/vVwe/kmP9Af+7xV3/11378x398sVgAUEXlqy50ALJu9/qHU1fOODrn0uZUVWOM2QjN - rKqq/F+5beYYHBfVXRcKm2MEJcbg7nHCXRSARgivSXcTLHbkBx9fJRD1fu0GMJKBCKqDi1kCAczo - OvU1KxDNjIzBhARLYoQ2AIIItNmJMxxzSbk93mHiyd3UW2XwGDWFMboOvkIbUPveTdxBFeR69yVD - Spo8O0AJmlSFxQAY59NWZjaePDAYDZrm+OrfsyoUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAo - FAqFwkXRB7Ra84YmIlXt3cWTCrEIW1JhhNBVVWOEtm2f8+CDf/t//5v/zQ/+1z/8oz/23ve/D8hR - 6fKZX0UOGDd4+zvnskvt+OHsPN+yy+6JUwZJkwECfOT3P/qP/+k/+S++9/uEyFSbqtLu6GCvjW56 - hTuRz9rEGL33ZDAzR6SmTJS64L284uUv+x9+5Ef/yjv+WsoRHw1VVYUQslU8Gc/z8eFmP/CUkgDv - /+AH3/IX/sJyuZw2TVIjotgFGX3dc/xMOkov6rxqLnzW7QYQwtkqfhUKhUKhcKbkAT2n64eeyoGm - wtMBIsrS3flwXz7vmRc7ecqXZ3rj0UUgSzFSngbnZmJmQtCUnLiYYkpGhLe//Ye++7u/K4S2qh2A - mDoA3vuu64pud6FQKNwRLy7GLiiBYQKa4Vd+/RexG8hJDEmc5ROfzGBzqmq9HAHqmpeHWjVoHMdV - 8t3k9S99QxV3ajQWg4gQ+Rjj+UQ+z0o8Au1FAgxqllKqfdV2Hah+/cv+/Xd9/JcnsyamlVTUddEM - 4lDX1f5+1zSDIMNxKREgH5nXUUtGhw8ESZGYK1UQO7XIDm1sD/X6733stx/8pueH0IoncpxSYDeO - R2ux4O0OqhuFwhlzZH/9ksQsL1UOlwsIq529hQqgSCmJSF1NPHuoMblkWZYsKzYBo0YLEQialB0T - oEYEZnJ3S2KW7OYboarKDCKYgZkAqEbmoutQKBRugbEaEUHENHVGzOJiSsyoqLaw+52v+q7HFk+8 - /4u/seQ5PMORWYSZCKsqC6la22I2oxhPvpjhLNt2i+AeKSWiI2nP/JmMVHV3xx90gV0K7tF3f+Tn - n7PznNc++AZboptrtTvVGAFnpJS1lC88XPLXGtyrF+EoEJ+RmibPjo0tmnFKrC2tOp7/5ofeLTvW - UseMlOAcQlLvsyoSsyENjzhroonBY5IO+dv/1J9J+3DmFBo1MldDbgrqAAYqQE4pyLchpCEGV1VJ - fQpGCN7T4TX8yVd/x4f+5XvqpkoayInGWFccTVW3nm8kM9Co5ce9HiQBCcrxi4uH/92XPnr1wQdd - qqkSS0kJ20jrFe4+RqpkPCyF2EDK3uoQwqSqlzHEJl23R//Fb/5sutQGDmoggxMAsNTrl1qCuFqj - GRJIE/VKqUYQFb2OF1954Z997ZsuhXuxEiGniEA0YgAWlWCVc9AizVV4UhCMQJp1tEXM4rhXwAa1 - UVsXsH7FHyMMYAEMqkgKX0MjjAYh2GNpNOKgwROcE1qlqEGhhqR0NIQYeHul3Z4UITWQ1TEM3iFG - wMEoB/uCcTf+8rZ9pzigC11sc5esAOVoZgaQA+L6Fbft/A2qCK0tySVuKK1SjBDJgp19xDKcEHoc - pFxvUdvHU956cMwquGN4N4AB6UO99RqPSrAudrDkHGI4GsE3vX7e30JHgxw4GSxCPKwbJU44RciT - i6k5ziwZWXqRDuaH2CEShZnaKms+b15H+XkBYMQjlUaCAW1sFRqRSEOVJRxJt35NaoNWeo6ep61S - DGFFjDwxIINar4KsfLSbt035V8lADAGZ4mA+ByysjSLcJ5p1iLczaUJKUFGFgdSQWJCyJTNAcQwT - yKedmDMwX+wndIqQyAkIjAQWnIwLvb2QMABLrGmbfmjc27Ghteaml3dauphIQJWjpHGxUoRt1bsH - 1p9Pr4HdmRGlhKC2AkEcug7CSNvcNvUde340sddaJ/gGehAkwA1q6OwdcAoXzyyAm/eioZTFWpNB - kwZxJl60SzGGhojZtupMDGqISgyKPHZZyoMBq4Fz9wIAR5+2JoVoNQC0ccmi4qz3Lu1Vz2Gspgxo - FuTetPzUKzBbSsxmFg2kQFRz/RDDa93Y9pM9AwyOHBLYVNIglU5dgjt2tXUd8S2kZDXGSH11K4iz - IK1uX82xH2kIMDVlAoE1qJLqxjfNGgEYr6uuKCGClHTpHEJAU4EY2qlD1dfo5s8LSL0hj3XHBIY5 - kEQIodFOqK4otMxEFm2b8o+iv1n+mg0KMEUFExEsVp46zAlXc0VF7aqjdfEmjCLN62ViAmdJcNKj - 0ZwVACvp5tLRpGCDZwSDtqibqqGpthnezDEAACAASURBVBAwgQe15vU/2Kbsvb54pxaFvCDUTnqp - BCHty61MfTHya6+t2owSq9YAOeEussKpqV/rNnjQiNa1B7W5IIFBI3RuS/WaKkspGRkM3iMaUh9Z - V7P1JlIAYnGbAUO3Ve8eLDkLPw/TDwiA3sGbkABDjLZQTlvNZ0QB7aejsAr9TocxOk1wHpSMUhvb - hUMgtIS0nW53f3kI0nArDMsLaXhpJNZV4mRKw+oiEJQ3rSJRiIIMSlCKjNxDxtQP8UvGHGjB3sAJ - amDnkALEISIuMddd7WgRbCkORoh9GGVmDP3tduMdyMAKU5AxgQlumKvnIMych+88/KFvYWWLoFC4 - YIp0d6FQKBQKhXIcuVAoFJ6qlA66UCgUTsV83uYPRP3L1/PxxXXi1GL2IyEQcXZcuhhF1OwHcOEw - nXcNZK+eMXdxFIP2jhLnmz4V6n/kwu0hW4JpXztj6zjTVO3I8s65N7gNF1WSfOQrH0M9/0rIb3Av - 0A7HfMd3yedWkhM5MoMZMd7mL86cfLKlH63oNM/l0qXZWRTsjuRwbyKS5c+zAPYY+WID+ocRox4e - Hh4czJkhLElTvNuPZL1UZiYiKaXc7LNwe45ugyLUXfjqRIHYi25Dsldbf84fbZuqRtihV6xWBXHq - WmlqAGqRmcEUQ3DexxTFOQACWDRJyl7ifOUmDcIKIpUB81aYxRSWQAApTLFcQTyW8b/8pm++5Bq0 - rRO7qcPlTYfbuZe/+4mPwbsjH7h1lh2EIQ7Og4CDxzHd7Z2FBADIIQEJiIgNnGMyVbUo4sAUYvTO - gyi0HQAwee8NWCwX08n0Lj6FQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUbg8ZiIkA - MzM1S6ox7kxnDz3/+T/3s//3r/9/v/H2H/7hh7/8pZ3J9HC56P/GLKXkWIwQY8w6dnfd1XZDDCCh - lGyV4t/62z/1lre8ZVI3AqxWKy/uolScv/ZgA4mQk/35YSL8d29727/4hZ//0O//viqcc1mvutfS - Hvyf79ZxiE9/+tMxRiKKqmoqxHfx4oVCoVAoFG5DPtlUjjUVnobkA4lmlhc7qlpVVZ703opxgjp8 - 6MMixxS9pxDse77nzT/4gz/QdkspbapQKBROxWq1EieRoJRkqh/9/If/6PALnV8agY1tENKg4Sgr - iwZLlZO2Td7DFHGpu253sth92UOvdbFCQj2tlu2iEjmFUMlWEMCmSugDO2cJrRE1MxOVmnanuPzN - X//y3/rsu7iWGDsBuJIQUgjB+1ue92eD4khKxIaq6HM3wKQXazE2UqOIJr7/4+/9jpe9kZxU0SFy - 0zSLVevYZfWgLdUKCoUzx4ZUCUZqZCG0ZoPln/1mIRExpPENGZNxVmS4abZmtvYfvTCPiKz/+OSK - ojSIf5woIQ3iUiADVFXLPmqhULgV1isV9UJFZJznCQoQUVra5eoZb3zdf/alf/XwPn/5icXjVJl3 - Ei2mpCmBklU1TybWdcZ3ST5GVQVeGCmhviQHjz/6S7/1T67+B7vfsPfyhnfadu6qehli0zQxaNLg - uayv7xraa6wCAEM1S7nmH5m7riNUIDaHiBXN4vs+/lsdzTtuI7r1EVEJkrW1slHhaMByJq6TZ8ye - 88JnflOz3AmLwMx1XaUEGBEMnEApz3e30j069U2b5Yg4iaFMfKm+kuTZz7z03M+2H/dena8OFp2p - 1g2IYdsO4L10PXMWXjIYqQFeqG07ctfe95nffuWz/nhDNakRU4knfKH0EutGqv2enrIpG2vSWb07 - bw90qnO+9v++71/tu8fmbkFHypHHlFCZfUgGBQvB9VMzMziAW3evf/CNf/LNe+lKHSoxH2KEJ80K - txjnu0WRq/BkoSOVZjNEUMzfirKoI3RsSDxsLxi6iJ2pWy5jCmCGRkynslwmkSFW2FoKgBWJdKee - ptgdXo/3kGuqOqJTqJ3swE/Tm7cRVQUDWnSTyWxuaJeY7WERAOujt/bY0Q0TNkoBdCvM4JtqorBc - ZhaogWhdFe/ULVE7tLPdZgW7dm21u1cxUXfY1h5mvcbmCS+m8ccba/tm6akGyDFHIxADPF4mayUq - 0FTTlqrlshW3XX3mi4968GN8uekEy314wWQya9FNoVxx26HeSpm0RwEYeqlQyj8zVlhdvnz5K/Z4 - 6owZjcCsn9puXn6jox54vXLrZtoizABxYho0RqkmoC0Ng5Aii/T1w8wR3XQ67eiJaGvqzf2e4ViQ - LcovDEcICZrMgy9fvrzAYkZH1xkzAVQBxnYTaK4QoAEdBI44RoQE3wDDtscofco4iu+8hf0kXL16 - X0RriEQCUOxjFbojAzOATreZQtjGHvpKW2txozx0ngdOJnXUdHDQ7knlG4noTlGovkxZlXOwgjYa - OzJYQDttZktDWGBvD/PlqdrjcFkaNqHCAhNyEz81cEIQnpxy5jn0Het9CKAR3aSqV0kX+7GeucbV - qV3m2JJblZ8AMc03wJbFVh0Zow/lyGu71dtbxFBmqasVFhFxd3f3oHukBaTufyGPjwCMNMteb1V+ - T1gu4ARk5pgjosGExY5y58HOthd/JJiiRSfOMXNCcgzK6ukWFQwMct1rprsFxs5V+b2KJ2eqBiiM - WIY7zFrCJ1f/N+6OZidkBcjg2bVwAJxUvFWRCMpRedDENRgpKLCqE2jE7gztCgiom50F2ulphkdV - MA8K2ACEst44m0xX+IprJm0MbteHuGRByrVjULpzCoPB6fooQyCDQImihZXpagLPMLNEqCp2299B - v4TJywfr/5H1a88+WwAJeejtNpdyZ4AIMYF83Uzc/v6cSB/YuRT62jqhoz0a9uaFZ4JItmCW1arj - xjHFZGaUZaFhgBjMAOvNYPPyG8C1Xy5XqnT/5UsLLPZICEAcIsQahGDDpPkUJMS9y3tf0kcP5qvZ - Xp1CGzsww6QfIglsdH4SC5yHShvmsUOfkwgRcL15BEWsambZbn/DCEqs4LyRaGQEZUSQeg+NqBmW - bHe6G6CMavuJdC5Nti47YU0LBJYK5E1bYjCxmhFsq3UGKeeLsh0NjgKIYOLZEICQW7CqEksiCRwA - CegCOmvSqlv5KSW10RSz/R4rxmZjBgE5HPKwOlvbDrL1C6r1weDz8y0UChdMke4uFAqFQuFrkpNr - o9MtEQuFws0oL7y/mjiX3k+HPZmSnkztKVCGkpa0pE/zNL/XQ+9GeeY5pn7zmwE1EHR4xc3uHHI3 - yw4nTGQ51WQXUvPMzizl8igIRtY7w5xH7ta/uOifSAoJyH5kdM5pfu7jczm/GjAClEjWvzE79s35 - lyf1ry6zw99R6zi7lJkBG+t/7A0uqh7G1KCg0VbPPMcxcJVZfgwXCAGmF1QE6iPOrWt499+cS+5s - w60nRdLzyPTWhYGBdHgSdnu3glu8yH3i+vzul2wbTinBfvyXJ5NJ27b5jXba+hTFnbIyY+ZsYcwM - oG3bMZZHSimLeedIH3c360LhfEjrbpGDYxcIdS0JaGOMprWvIEZQTOoEtEFrn0MPoBOrSNXpqt2f - esdEZAlJkeAI2N8HG2IHpR96xWviY9euTqYco2kCFKQipLFjxSt3dg6+8uisqWyVp38nuWlHH5j/ - p2c/NzI5hSicgjA4xjVVcm5679W3/eovQyMmHp6wXKG+CvIw1hS48oAtVovdZmZdIPFEzMowhpmH - y65tvurdybuuq6qqaZqYopPyar5QKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCk+CXp/H - TnyRORabjxRAigRjqLGwcy77r+7t7Kjan/7O7/jW177mnT/99/6Pn/o7Hqiqapn16oihmv2tNSYA - zKyqOO6NezoX2D5A6oa/aojJCBDg4S9/6Z3vfOdf/qH/PnbdpGlSiKeJRVi4mbMlgMViMdvdqcQF - qBD+13f8tTe+6U2dIQd7894T0ShneBsv/K2erxo++vGPRU0iMpx6MCbOSqLb3VahUCgUCoWN0T4E - KMxsVO8mopTSbf+uUPhaYFzaYJjW3l63m8iGc8rDN1AAagqgrn3bhje98T/6sR95e+xWja9SCnfU - 4BliTyuA7UKZFwqFwtcwpCJVZGp15Zv2fZ/6rWvpcZ1GikwGZVZSXtv4UlIzsAEK8ZQ6mzjQHC96 - 5sufe/VF9BXuVSaMVZX4zLtb6k/bZuEGVVJZGw7yiOO0mbq9V7/oj33oU7+3IlUNUHPEUZNF8/5o - hFpXKWADcKTbreg3X3IsCaAXbyDjQSakU0JLi0fnD3/84Q+/9P5XV9VlXUK72LgaCgUGIToDlIzs - FptFhcI5k5cn449t2+q5RU4lsArAk3rKxmxMxiADZSWbk+WEYVxG5W+cq9iOSTxmNhcduUW5jnZa - e+nuHKfHQERBE5XZZKFQuCVZUzkvPAnGACtFYwWDydVLfm7z0Fvf8F/9X7/891sfWj6MMQAQZu8R - glpUqVwI8SaXPoXqFKn3rg2drxpGbBdxOqE/+PJH/vUH//l3v+7S19UPTaiOq+Ves7MKsYupmU40 - dHy3AwE9jWFgHFeNEc3yxBAsEi2I88nUHHXUrtz19/279yz5sLNl1lHL6kemoOGt9GgDeexjhXVS - xemrXvLaK9UD2GcRD9akAb2QWFZKVlCWH5MbSniXIclTXBWQmWlQdrxT7732Jf/eF377c8Ihtivv - hZDMECNkyxmxkdKom4VecI4ARy6Qtn71+3/04T/a//zzJzups0oau9hYYwXS3B8aMSmxgRBATETR - QLVfyuOfffyD7/nor7T3LJLAJbCxEnJfOto5k3Sq5KCcFQ/BgDc49VW3+22veOML733l5PpUEmDm - vW8RlRSUyADQYDNFHaDwJDEgEciyMDz1MnWi4mINYuXoqJdGVdKasbjW7e5O5vMlCDtNPb/eTqfV - rd4H+Ur2D7oUV3uzvVQf8pzjqq2Qg3aqDhsIDABufe2zUdEJUiMAhOBRzQ872W0Uq24Ol4N1GcE4 - S6uKAYAOGqWbpGzYqyfpGqc5BJXAtaEV1N73QWn7Zrhlsdep4A+uH+ISXdnbMfByvvDmHLzZigwK - x8b5DYLBKSlDb1hT3hKxmk69RWMMAqyXXQQhBERbceUc6nhoRNWVK34Rlok3rU+M7y8IAETRPxBD - N4cAos38sPOoIhIRS32Kqs2Vw+g3+cCIWQ5YoBVJZRVSFIM3aIIKJeYNy2+U3+ZYvjoZ2IiMCVXb - BgefgACLoZ3Vkwh0sZu4avPyG2CCbnjdI9CIZMbaCTsHU6ZANmwcgGmQody4/hXBhMEKTajZ1/AO - BgQFMRhZqDtbNY2T9k1NyIB5t6IqCHi5bFHJpJrUtFp2xgQDJWZCr9iaowvSMCxu1h5ZO2OrFWqI - Apc7kDE09pG/n407n1vZPxNqhmxan8aAY9N8FwqGKSMS0LjZ/uE8JZ3u7tJkaUvENrrt9fsG4znW - zxjBuazvmhrUq/3OX6rEuu46nNvCngHNgr2EGgAjkfUKo5PJND1Oq6VmDfR5d8hSe/GyVXs07ku+ - ptud1VsruLTqWPjSxImbHcz3NWJ3t1rFtLk9APBGAAjEBlZmc6TemZP+xauuGQD3RdpGylcJi1Vo - agE5xmQxD9rI7nTShSWG2JJDMGyDCZkY6cb2oz7ZpGGmOu1Ttwg1KoF0IVa+OqqxPD3MJd9qfARU - kBBbXQULicAMS2gjqOoXbkacu/ekEAAG3niFwcYVNw4VwcEoRvWVk9u1OLp5eyQIACIBhS66SohY - 4L00gGxeHhAsR+skpLySMoVFYo0RkxqPPYGmRl35xTx61JF64e2NLx8FQQDAK/ioqggm3MES3Bxd - fbX58vKRSQNL8AAPYUjvmBpcgjdiBTNifnWVs3CCqna8BAMRySUnwqefeOR9YOr17RMQmIMgMVIv - 5c4QsLEM049NiIw2wc/8wWGYTPz06uU0r65dWxB8hAq0VxrP44tiW3uGcWq9OAaD0Ci8gaNZVIio - Dq+3kJuMOqOj9dpGlyft4mE9lYrpiS89MoHrtKvhWI4ttAhwFAgRAMNvpcrK4OtPXONLfGV3twtt - t8Q9u5O2W2YjMTgyJlMARAyAwNvsX20/2etfOnggrvXVnLu+lEdVqINZDG1AM9lizanEiV0iGKlS - ZIBMGSAgLLEzQbvA5eqe5VeowhVg2nZSV1vcAvXFG7Ibv82bS5Al6UosGXwlMSK26j2zbmwP4Mgu - VwUoyvAgyLA6BIwdOHd3pORNADEoO0pQQ/rpX3vnYdyXhpMl0NFBDFIGOM+vJOVFxGZaA72mO7OB - jTlPpcZ2RMiRz4tnfKHwVKPEBy8UCoVCoVAoFAqFJwOXtKQlLWlJn2opUY7MA7NzzVe1f+lLJERE - lGPHjKekzjq1XhfZsPbm6QLqX1WHdwFsZkRMhPxEzi0lopz7OdvADfWA8bnklCgrudKJ7+9q2tf8 - 4LDT1//Z53vzlJnzuXSzY21z/HxG6bodEhGRA2BGF1UPt3pSZ53a2nvcHEpjjGmFc8QMRDAj5ot5 - Uag3vH8dDu+deT2YwUzPJ687ksWkx6e/QUQzu/iGspaa9p8NW/7tcZxzMca2bbNhjFFmbrSTU9M0 - zWq1IiLvfdd1Xdd57/N/hRDyZxFZ/7FQ+OoiDzPZJ6b3C0E/uIWYnHMCLMO88dUqLCd+CriJZwFI - TZfLqq4wn8MxFFis0K7AhFX7A696pYvmVEnDlappn7h2n7mrrnYHc0kqZEroNDYTH5J2HXS1/wDg - Y7dVhKiktJcSAFESMxk8mw1YLg9bWHft8b/69c+j3VkreCIsMdt9Irp/9rFP9j74nXearjQV5gdw - E6w61BWIkQCh0CUvkvupbrmsppPKV2YmxCTFW6ZQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKh - UCgUCucKEWV1OsdcVVUIoW1bZjaFMd139eqP/ciPvuUtb3nHO/6X/+eXflF672EojAy1820MuKtO - tluWHsRsSROwO5383b/3zu976/fec/lSF+OZx3R/2pAj4jVNkwUL93Z2r+1ff/3rvvX73vrWf/AP - /1GIAUAIIWteZen3dY/0J8mXv/JY13XTZmJmd/fKhUKhUCgUbo+IpJTK4Ft4upGXNnlllFLKR/9u - 8/tDAyH0hxANSvl0owjaNnzbt/3xv/E3/nqeLaveRNKsUCgUCptQOx9SUqbk4iOHn/3YFz+MSUqm - Doqs7GIAjcrWCjIIUpcaxzEpGfbqveWj/IZXfHv3hFXkILxqO+cqS8ZMZxxYIYtinvySDWCYqZoS - uZTMpfrr73nBC+596FPzj0Rpg4YUVIgAI3BK2iuO30AWF0Ev/gGgVziAKaBkckJQJGA12dv7zQ+9 - 68V/9htDNxWqLUHEmaUsRQwcxYYnI7ZbZFwonCNEBFJTMyRmbrslEZ25jAEBgBlgRmo7kykUZDfN - Mx+pJ7AAiYhy3BozmFFVVSd++26XvG+kOWwLAJCG0BYV0EKhcDt6AT8iY846zQQjmFgKVpmLC/7G - q6984+v+03/2O/+nuijESlFV2Yn32nXRKDmHuzWLSgwFrLOqbg7nh9Us7jwoH/j8e3bqr/vzb/je - cDjbrXeTrkIXqmb39LqVhVvCRuD8VtCYSPvoTaTsHIuPqY3orI6f+OK/fXj/D0K1VLb82piG0FMK - AimGqWOeeQIgc5U2l/39r3rh69M1c+q89622IcRKKoLlmXzWOlKA6exHMGODMQxMpKLJ1NSEX/aC - 1/zGB979Zf3ME/Nrk706GbRLed6+PTqE22EAWbgrRSWBVWGxuv6BT//us171PI41UU1l//XiyB2g - kcFy2DsGmBFBSORbbdM0PKFfedf7fyk111a6kgbI0lyk2cKNIFmkTdXMnLCSaoIQXBKXap5Xr37o - 9a9/ybfHJ7iSRlMCK5GY5ZVsACnMA2BjBWhclBUK29OH+MrdyrDq4IAq7mjoCBEw68XuxLJYcVzp - dd51sxQTL3iaGhygkpt43LBpWnT3NzvLkLqv6ER2pjzzOiMIAziSVuU+9+3J4cFiCiS+1r3ay3x+ - 3RN3bTAgr7kSAYAoA1DaTrrbljzTvYldcagB1L6+5bps0NXbvPAEZ+BL7p6F4mD/Oks106YytlUk - qo0AcwCyZGCiLP61xSsDCRPeWjJMQaMm6LF78R4ezQqtoJ7aFdK0vL5fcXUK6e7Eil5M3bKHUUU+ - BJ3NLkFnBB80ePZpCP+6ReEHqdP8MwNAAhRKE54un4iyW0/hGFG0c86tQh15U6lm42QUAWVjViZj - gNggcdrwHsFHpAqY1BMFVik27uS6/s43cKSXCQBT7FLb1HIlrhikgmXOPREnZgPlwHWb2jOS5zbF - DuRhNbReHsYGtSIwBP2ErJfWPtUGhDZVtcCS4CpMQfb4lx+um2nNRJDcErW3KSYbep1tpLs9fLzG - u7jkwYAy1MBHdpLnl6boFXm3i05LcL6bVKtLm9szzDE0q9smYgCMSAYNcrW5PI9t+3io/bShWZWm - DE+naI/ATXsVhnYpOZGJ7k1ZlvMnpHKrpIm2lO4GqVUgFbheIhSsC0ztUkV7gE8ITVUniG7bHmkQ - Fu3vRPPzF7CAqzTdxeVlt1gs0lT2mkpW11aNm25VfjEwjEwJYGNSgXnfNQzuFylYf1hb6HZnIlA3 - noAIYtRpKZcvPXNx8FiFCqRsrKRGmghkbMSkZBuXn6GSoqbUql2qLk/kkoMnUO0rG579sHN3mvao - 0BWWQGc++brWrs1TzVmNRep3As3MyNgIambZ2jbNyQzdKnaIUxBBvBfTGGLwVX3D7/LNP68tA7uu - FfG+qgAYKCCFVWcNpS3u3NiAfEkD+h5GYagdYsClXY7RonJiDWgTgbY06axkD0DQd9Q4CtlvEaoa - Dw8PfQNVOEFKYBus706pwtTUSEEx77UYQAQDVm2i0FpKADwqcS4BMaJyW9qF5dtdfxyqOZS6ikGN - LBEZGcwMiYb3RRtdOw9eRvWkicm+sn/tvvq+yWyqSAoFzJCrnPPT2dqkCVIzgBiRmIS9c061EzNL - QO5cLN9PH1CattC9BoDGQ7sUUpo6ZmjFwhhUrceuzACCbKwIvoYSbGe3uSZ27fpBVXPtxaLFFm6S - d9YEgICMVGFGIJARDdnfId0g+vfNGAay8e6MYIAwhll6Eoh0/p4r1TIFzaPcBuUBWElAMDKQ5W0e - MrBib4blISS5qLRTT1ukKbiueEuj4KOxBQDUhubZagJbpETeha6zqKJcNbVaHN7FbFJ+xKhGMCiZ - 5v6ElQG+sjuxx9nBazJhApEwRySD5ukwgH/+s//0nm/2lxp/OA9uGPbZwOYA1aM59qbx/3M/TQYy - pnGSNoZsPl4zmyvWFwqFs6ZIdxcKhUKhUCgUCltRFrSFQqFwOoo3ZOH8uPCz4ud/Xn39BcyF3/6o - DZw5t/Ks53s804uZv514KzboJW+ilftkWauHs87qzhyP0pU1vPuqODfMjrI7h/q/dTFO3vOJxnIW - rIevutjO4WLLcIv2aGdtDzmjnMuYFxFdVPS6E/lu9Dg2dCS50LRXRr9deowYIwAiEhERCSHc9ScS - Y/TehxAODw+99yklVSWi1WrVNE3+Bedc13U3HsUsFJ76MJAF5wWAHneuIngnBl1280tVQ9BGBJZ6 - 78xVhBF3huv7MPzFb3jhZc/cLadeKLSM+IqmWa0WpORkEq4vd6pLrIlbTZoAUqNgqXL1chXV0Ijb - nU0f29/3AUa4+VHom0FmLoXsz6LQ0Y/bCOKkYXKKXdesri9DSg/UjYbVgtI7nveAgsE+rFJqZu/4 - 3d/BlUsQghcgwrmkgMFNBAbtlCuuJlOoQsiSRlPv/VNgalYoFAqFQqFQKBQKhUKhUCgUCoVCoVAo - FAqFQqFQKBQKha9+jAAMIeOAMTZv70p35BlLTEwcY2xD570XkexDm1JylU8pseoLnvvcn/47P/V9 - b/3P//IP/8gnPvNp6yOw917oTdO0bds7Ho+hzU4ZiXco1dFlbv3f+SOREcxwsFgugH/4j//Rj739 - 7fODQ5ESKufJsu54KY5DG3xdtcvFtJmELvyl//Yv/tzP/dxBGyIs+0Jn7+jsFH37K2/+fBV4/Pq1 - uq7JwCKqanrmTv6FQqFQKDzNyWN6SinPCeu6VtUQwkWXq1A4D/JRvnymr+u6bPm5Ldz8DwZh1HyA - 0Xp1ClSV67r4Ld/84r/1N/83Iqoq13UdkawfnLZjkcABYIuw2YVCofA0w8zUohp4mt77b97zWHqE - KtIIysJR5nL0eSMYReTw+7lTVSIjRz5elxc98I0vfPDFyz8MvCtRUzIQiAnHhEfOEjKloxjuCaQC - SmZKICZNgRP27J5XPfStn/i9j5KII0tJnZNkMaU0FnFdc0ep10lig4JhbJTjucdB2CYCTP1uneZq - IY9lu/+ZRz/2yPwLz3KzxmRW7bXLTjyMVAEQ2HL1chmeChfCDWr3yELdqgozEVl1LVEfQ+JMGSVI - VG0228kRSEj7BqY3aIuOkUNsLFnSpqrPMq4aow9jBTNwlsljCiHgHKRPC4XCVymkSgYjNgcDWczT - BTPEzhyLg5u5ncXjyz/xjd/5Rwd/+Gsf/pdpR5LvVt2i01A13nsKyZxjXY/b1us0n6ZEXYxN3bSL - gIhLO37/INBeoivyvi+8e/qB2Zte89bD/WUNq6dVUu3a1pcpyl3FkEWDlEzR6zAqgBijkKhaSCmx - dq5970d/s5XrJpFoCNoE0X67I6tSjSbBZGoENufC9KHnvfSB6bP8Ylq5ZhWTEVzlKfbiTABEvYKN - oNgiOMyp7paVQGZAEmKIJyIh9agv+ftf/oLX/urHvlA3ohq7mMRQVRzSdkMqodebzFMFRi/sZKRB - TRho0vv/4D2veenrnte8yBaRthUzLdxVOC8mAYDZHJmCTEnVYW7z1Kze9Tu/8okvfyTtLH2F1MEZ - huUngF7Ano1NiTQqxWjGjBrO55p8SwAAIABJREFUQuPbvefOnvenX/nnm7Db8A4RJah3smhb8lX/ - 9yUAb+HukXsrYtjQv5LB5nj0k9faakm9D5EaAaZGpqRGuHr1ymw267quaRpmvuX7IFLWTqL3ymZG - qU0LutrcX2GX4QmcZZU5K2KO84FtunQCEsJEqha1tHvzL3a7zYNh3s587iez2LgCYHVAjiemG0vD - snWRQ3N18iyCr+ChQY2cuH4+Q7y+wNxWjFIgO7gyWV6Zz+eX9p4RFlRzs1NN5wf77C2xwhwZxCKO - pLux+aptsrosfUS3TbGshjje1NDZkCHGxGS1awLqe6tnP3wtNFVDFW9Vn3nWpxwBkDH1YeagITW+ - Xux3M92rUHuuOoRtC4+x/g00iJ5KVlamGuBLfD9WVLHUxNYdqqp3k0gbS3eTggJIWR2M2UQJgEpq - 3Gpi4AaNgzDUYI5O31ErUgUTZcfVPfR1q2up8rtsyrRkBDJKxAqvcAzFxtLdhES6ckTim5g4JNqj - +whSQWzc6zwmqrr1HF2AGv4Auof7Dvf53uqhKnG3jFmgN7HaYL1k3AttbyzdDXAMuCLPcKgFzpA6 - NeJGThS0L/zW9e/hJ6t7spVuWJ7+rk0B7VsoRTJw9C7VYkE5pnaVDnFP/eAMe7Ll/M3Gh3J0XyCD - EJLaVOoVJn61N/9it1c9pzsMrs5T1o2lx3vtZAeooAUUcAqKUaWrL0+f1UFmENMuAY6b0+yHG4yg - UO4buwC+xu4lvv+RRxfVrK5cg4Cq0yoEZadbSHdDTAnGpn31mBhc3V6uMHF58jWuNE8pa6sGWEIl - 0xYH99TPvr7/pRriHYtmLVVVTkraS3cb2cb2Q4Co1t4nQriGXXdvgFaocoDbUb1b1vf3t7uLQFhF - HHZpkVIiiDMJy04ZtfeJAaiCFY4MlXWABu4XdZtd3jW+mWBHIBZAnEhcXXFMUcTlfn3ttxknH8Qw - hgIpxbqqyYBoZiSVNJhWfgrhzUUv2eA1CzaLgdmUTQEWA6mGpVnto5pzrBwU+x0ahx1sPsqYg7m+ - h2QIIzsBCAAoI9XAzE+Sn0bWFBZSSUdqW5RfnXXZXrOmOA06yrNpk/Z9PZkqEJEUbYJ3jnUr6XFj - GPdWRABiXkALrDYftFZjpZRYlSOgBBXKlkjYIDWymXeLRQfG1E8ms6kLtDo8SOgYaTAG6Q1g++Ex - QTsKAIl3AavJTvXYYklqszoLn/dFYQJAiQSAWNYT36j8bMZLVExmNjH2MIECCuH8xIdqBNQPP251 - GxqxPFw+TpO0O2NT6lapC2kykS4lZYNGAIQEA5CUx/2NtEkaEbeuUwx3f8N3BBgimzr1XmbYn8G3 - Ws2jCxuWB6akAIEoEln/3lBBhtChpqqqd+IBuhQZnLBcpLgjk+2q1ABjEIPyvUdFAtQzt4gal6Rd - LXDstFNTTaJGtmn5Aa7ye1Ejg1OwEaGBuXahWKnCgcQsKZKRKLL6upCSY37mM+53kzYuFxX1bj5i - IHWiAhDBGUUlNd50Pp+3DjCsYhS6Fp9ZAc3vewEmaBZep7JdUCg8BSjnkQqFQqFQKBQKhUKhUCgU - Cl9T5KMO64KpOBeJ3DGv81eoHW/2wnW7cUM9nHO+N2R656hAZ8RYkptqBp8/T7V6OOvy3Khn/1SQ - r17nfJ7IqNs9/nieuZ/gAiWrb/Xcz8ceTuRygUbI3B/MW++oz0HC/G6x3s/fzLBvn54kpUREOaxG - rpm7aJ9ZHXwspEjvMzOZTAAcHh7OZjNcXM9cKDxJCOCbuYpmp7uuXUzqatc1aANAWHVwCSkCwLL7 - gW95VT1vL9v/z969R0uW3fVh//5+v73Pqce93T1PjR4jCb1mxOj9AsJTaBGLGBwIZsUoiMjLTuJk - wTImNsYQMDHYgRCiZYWXLCKDgYglEzkITIgRDkIIS2D0RE8kHDQCSTOanu57bz3O2Xv/fvljn6pb - 93b3qOv29EMzv8+6q/p23apTp87ZZ59dp/beX5xSPC31cZF2YkC3XFi3KyEtDxpgMoqpT72m2JFa - 6pBPxamZzvOCQURUuzOmks/u7U04Jk2XGpp1qRo31t5B68Dv2gOSAKj2GoCc0q2jsTHNlvMAumUi - 5/bTpMGiX+6EttPZj73whedFutDst+3PvOcPMJ4IBUCQCaVw26Dr0TTIBaocQ+1M45xzzjnnnHPO - Oeecc84555xzzjnnnHPOXUs555rYXUqpvWdr/1UROdjbb9s2CC/ni+nu7pe8+CW/9zv/74//09f8 - 09f8RErJmHIpALrl8jp2wddSAMS2yV0fRV73utf9jf/yVbfefLOlE81i5i5huVyGEJh5Pp8T0nQ6 - fdLjnvCqb33lq//ZP+MYiSjnvO53/fAOCrj33nsfc+ttdTwUEZkpM9844z6cc865R56UUghBVUsp - zNx1HYAQQh0M5dwjW9/3zExENadkuVzWDO/Lee4wkH9YTr777qf+83/+v+/s7ITAB7O9tm1z6YV8 - Sk/nnDuJUopEYekWev6PPvaONO0MPQ/hviqmpaYTrEMvGKoYh7hcpjBiziGdsy/7sq/hhZwa7xgh - G2LT5j6NQrScwFc1Ko9X8RUKAqxGEheCAmTGJGxEasqQphs960nPPf2+U/N8LoSoKADMyLJJZLWL - XHLR1cTtbKwIMDLeCM4gsOU6Uf46WdPIlmUxHXfvfP/bnvgld1EvJWlDQdEbrDDYaBXzVc9cnv7r - rg82XBgeXy8LLhaLa1syGcB0OiXjY8kTRnaYkwQQUQG4Ng5XKz8ajVaPPmHC0OWozVFdBXX0qbte - k7c45z4PGGMjyas2T8iIwFyoCW1Z5hjjZCQHDz74dS/+xoOD87/7sf+n7KQ2jlLpNRtLsHKJXM8T - CUGylhjQ993uZCRN2u8wbTG3vd96968/6Y67n/3YF4TUNBA7yJHD1atOH5X4SJN1YHVePiLKKRuB - Grpv71Mf/sT783ihnIlqPCObEoGMUFOR6mlxdRJnMiWVoJMXf+GXhH40wjhnzcVGk3FOHUjZVKkQ - AI1cQ65PFv++1RvmRlVJS7HC1IDFiFgDL9oX3P2S33n/b0zizr6eN0IQskyMi7RJLulIiB3XGMXa - hAhRuz6zIfPyU7N7P/QX733KU++irBTEv/i8vhiAhRrwyQApmaA0OYflh+5/z+994Lf7SbdUNMwl - KRuU1MCFAKDuPDKOIebUF7ViEIEmwyLcxHf85Rd+85PGz1juaWyavqgGzlqYhzxdVTEyBRisdUUA - /wjmTowIZiAM4XJkECAf4A/e9AnEVRwijk4INgK6vb84+4GICKBH36C5xOKVkBRQNBkakQOkAwXs - CFoGA6pD6uLJJtFSBhiMZDGOf+4fvSFhITW6cTgo6hWVDDAhDFHBWx4vAZHQ7uB0MNGs3EhBt7HC - F/5yuQRhjN3X/uDrMxZLdIwxIxjyCI2it1WQds2P1FUS7eVrMGa0266VAUenMFRA1ayJor0SeAen - fux7f8LQZaStKh8CCMGghqxQOXIFiQ0o0IhxxJgTYmQgbx95duQjNK3/My+T6amf+t6fT1gCLMhT - gGA9om6x43QIdIQAoV6BMPSEIJi2OFU65VhnssOIwwk+zS/7MmpEYAKDxpZ3/5e/9xqAgSkBAQeM - HmBFULQK5potenkIKigG66BAIISA0Ri7ZZllFBgYgl23y4PdXD6XlFnoJr7lf/uB1zHMkJfoJpgy - CLCCbKsVHppw28SDK7iecsfYQSKK1LJkYJkwiqu1Jj1xfcKIP/cP35gw2+pZBBAyAEPd4/XdRQUK - LKMT5IiIEkbY3SKIGKgb6vB43LglIIDRl9i0r/+hX8roGgSAC3Sr+q0u0sAEJXQMKOLq0lqbMR5j - l1FQTGJMqldwPVzrlXgYB2qBnR/9H34ykmWUhCAQRrdqIl3uvqNhysrDQ0ABBUdMA0aweGS7nWid - CSkgCnMxndKZn/yBn01YGnJEYPCqVPe2ERx8+WWPAAHPsIiIBBY0p3ATlFAMkYBsNXuVeIuDZAOD - A6JAzvBjzx0E6WQcJsipjWFxsCysgCpBEcm40QJoEChdbvmJaWqLZoluB4Fq+DsAIMjWR1+QwMOH - ICIGIyzQ2SJEnMbicg8ZApqiAAtHAzMSmw6t9EKnR9PlHlngZdrDsmnQtBDetnDYkTMMr39Varnp - MMr3AePReGfcsDz4Fw+MdydKemFMNBNdGB8NIFqpNSIDrIIaoE3o1c40t33BbfcooqAFSFA/Umyz - qdevRAApwQQQSItxONgZ5QwLAJSycapZyLztmaDoJDQ9RM2aQDznXb1lB2ciRrLKoDcoiFdZDtst - vh4JAg5o7rrjOctP7qeD2XgRqQgA5R5D5cUFjRHEMl12fcjGUSOTJZ3JYidiB9aaAmzEtcMx07o9 - bKvE98t+C4xmF7ec7u7Ye+C+dncn9Trl2JLkg37S1A90AYBYNlIlGGC0xf6ddrcKRp/7cRvqV4SH - 11FWBbFYEqI4JJYHwfTW5ikPLNqgS5XL6v0CAMasAYBxNspkIGM2JuOuS5PxtGSRNGrSboBEcJRL - fY54iJdY/8Y8tFyYwQRhhFvDYx44yJbRxknoYtM0c5srb9F1raQMwEhX0d1BrTUEpXxzc0fARDAC - KVEpMIU2GD6qE+yWW27a5093y9Q2lA5X9HCH1mmKLzXF8UXpkMmtSmqwAmU6/LxTC7oAQ369c+7G - 4P38nHPOOXcVe3o559x1duEFzofx9hr4fK+fvaOQO8L7CblrZ3OGlvXv12Dalou+xLWM0za7IUJA - VS/yPce12g5GdCTP9UaIM7+OK1CLQ71VvZ7b4cJc9au9WS61/Ot1iFy4OtclNLq6XsfFdYyIPlYt - XLPXXW/qY2nZ12wFjlmPvrvsNPEbK2h2HT1fb+smPUEkPDMAqKqZ1cnjjoXcXyFmDiEcHBzUV2Hm - lFKMMecsIkS0s7MDoOu6tm1zziH4V3Xu88/Qz4kAGkaGKFC7yI/bBimjGA46JIDkO+5+xq20lOXB - tG2erVSW3ShELiYwaXjRz5gwik2Xc4gNpzRfpiYyii5scXrcSKJFmglhzBDhWVoGYGd3sn8wL0AX - dNFjXOtYG8Y1rW/N6Ng9MGZoJiMr66sWtee+AcWsIbRt3F+mlLpSLAPTtl3Ol2cIQRqmPuQ+ZZv2 - i3EQMZmZ/k9Pe8YBWybrg7z6fe9CM0YeY+cUug6jtlZaZnZhb3fnnHPOOeecc84555xzzjnnnHPO - Oeecc+7k6qRQZOtfN0dv1em6jFDUWEg4WFFVJYOiNE0zbkellKZpLDZkNmpin8vf++//7jd84zd9 - 93d/91t//221k910Mj2Yz46Mc9z8/Qp64K4mervEkusdobGcU99HCank+88++OY3v/nbvvWVVzVw - 6RFsczL8zTnFQggispwvdibTEMK5c+cmk8l/97f+23/xxjfed+6ciGDVL/rye+Nf1v4F7r333pe8 - 8EUAatduH5DqnHPOXW3MXFO6a2JxCKGU4rnd7lGi5tbHGPu+Xy6XNcP78tK7DxuqIfAdd9z+6le/ - +vTp0+PxeH//fNu2DGIWsxqQBmDd+t0coakX/H5jjd90zrnrhUgMhTh/8KPvvm/+6XKmaJ/jKiuX - kAlsFobAmDobvoFIGKnm7D3u1mfc9YRn28zaELpikKBGq2r2GlW2ZMqAQmQI8FMCgQCWUpQDk2ks - 7U27t95z93M+/aF7AWIOqXQgMOPYQPf1dZuNGfnZiIxYYTwkN4AM9bUAZlPUlAiFNFDq3vuxd73s - WWfvbG7LB2lntLNEXyPolEwIbFRofebyKaHctXSRZCYzIyZmLoCZzWazUso1mHxvCPRgZuadyW5N - MLVLpDGwQaHr0G4iIhAzt+3W+WqXctE484qIYENWH4CUkkd3O+ceAluo7QLQYWURlcc86ufFwJms - 0KIJsdk//Vde/M2f6T/xwbPvZpbIbZcXwnypOXg2vpzcYnWCNMv5YtRCCLPZcjSSvpTZorSSJ6fz - L/zmT3/nt/yD2/UpZDvTIFBN12KywEeRmo0E5LpfjUBQq/NiKYGEgnalf9+H3jPX81m62t4WYzMi - YyUAiiG3bDiPb6R3hyc+7qlPeuzT416kjrNmaRpVaKkZaQYoLLA2SlTIap731Xy7DGMCEWVVzVZq - kpYqx9jefurxdz3xnvd99t/RFKGBLUUzU7ATtIeNAVMyBiDKRlpIYwtTKCyPF+/+2Du/8o6/dAs9 - zi+8Xl9UY/VM2FDbeUZBqSx58ZnlJ3/zD97U7xwc5OV0J+R5HjHIavaeKhikZIAFMh46ZRCIAINl - umXymC952le/+Mkv1bO0O9FF2mcasQQrEmOwkhRghAIYaT1yrn5yvXuEozpFljJBYRogDLa+FFJk - oGxUZuv07jnaU3wT7iTQPM9vCZOHfIV6Ch4plLAAbIpJQCvGMBgzIwF8srRdqoFYJjCIhgnfusSi - RUtDMHCd5V2BGkLZrN7E5dbPBZpRCDTGVJRgDWsHmBzm4xJW2YonOBbJYtB4k7QLPNiiACNBDGAB - FHkV3a0yxPKxYbveLwyp8epb20xqR2EoMcOUFdAooF2+dYFZBGTLLF+CAKboFZAh/RoAFGpAh26E - seh4FYN8Bac7W5UAABYQWp3rePIYYDnGbgS36HNZjKS5/E26KjzMiEO4KrJiAUDQkjVNjCgY2isC - KoZAW12FmDbC0JwWkhmIyO0to8cfIAXcIkDAgSARuEAUYwUzekK5/OX3yA3aMUKB9kgNoi1CiBHI - q8lMsXqX2DrEWyEUxAIUZ/j2DkkguwgECgiAKpIh1wNQwADKNkd9Afax3MEUfQMTpANFsRCbwzK+ - UWC2D06PaKe4yXDq8p9S27E0ZGyHWkIIEIQEVUCRgGUAj/lm5BayxVqtm5Ib+0BX8bUAESiI8ZjO - LNGNsEswBm3f/mQDEYog4TC6OycwYYcBsg4lQbjZJkd2cNjvdJUJXwCEEE7dQu0CswBuMSYw0DWb - z7jsNQew3lQFmqEBjdgU5UiOdq2xaZvyRkADWOmhI+FgFE/xrfvYb9AEREETQAAKkh05U261/mEE - y+gy8gRjQSBrwMBw9s0GNkTik0wnSxqbebxtZ+f1f+dXCyzgVEQL9BFchiMxlbp8cFidhrepD7XD - fIzd5WI5bXZAgFmf+xgjAaBLL+zw2LTVP9anvpUWRDAsF8vJePd1P/TzI0y2jELXgpARDUpIjETD - /XFmfUOnE5JiP4DO4A4cMCZhixJRw65X7QpFASAQKKNQyNObm/CvfvTf9pgXWI80xQ7qp+PLS6Sp - 86wCtXnPhGBDkc4JmcAtxmNMGx2hALEUy0Lh8rePrV6Ah0/fSibIPAm3/PIP/kZCFzAhNIwMJEGv - QEFjl31EEhBhCkoIGSnjYIzGrN3FrVTa4WTCqkCB1qXGbTKGBSqw0hcp7U2j27/36/9xh8UudiLa - iBZgIAEZyIqSwQZsd/ZFAFpDSTgfEBt7LHpQhJEWLAxQNEwixIefvLZZfGM7je286ft/v+dzM8wE - LUMEGiAZ9fKaAGCUWmxsqK8u9zUCRiPsbLFCUIMqTBhQGQoSAdBAQG2ZJ0ZCO975ke973YgQILJN - eRCEeqSs3g7RcJa0GRYjtAYEhCmmIUcUQcPb7TEaVhhQQhAwFCjAHs6cedzPfOcvGVLAlNEKmhZt - QVewRauyRtcbFLB6wUoREzRhnlDG5WbMgcjcMlPCkfKmDzz4WR3NY4DCbHXSBudiTIbC2Riw7T7F - G6GQQlFYy7D7GIdtgvXjVhvHOXcD8DwA55xzzjnnnHPOOfeocLXjWq97RPQNsg7VZkjttQnKveio - kusem319d0h99eteKC7c/+vhQNf4RQHcaIOPrvbBUbfzsazua3Bc0IVp7dcvMvzYS1/LdbjwtW6c - Wrqqowe3HZV3vSLY6348titPsEnr+61zatTfH97CqaqLxYKZ68wdAGKMZlYjumuMt5k1TbO+07mr - 7sICfrHj+IJH6bEujBsP4NUDFACZihpMkRU5IxlS/vZ7nnvK2GbzJwW6KXdYltAtiGrPXZsnDYK+ - RwycsxZTYl6kfhxGWrpF0umI5p0dLHthABBByVAtE0an2NufK0ABfSkxkhYiA0AwOnpbO6scuVVA - yEB1lNfq/RiUhm5c3TJNI/dJiXBmPJ3NZ2OQmi0XvQIGa4GxSE45It/M4dy5c7ftjGbdMgf6x09/ - 6rkQH9x9zM/++/egiVhkWEGMJDx0tCMc9hXdnAHz4vtko342Xq/xpWY9PdLRceir5JP4OOecc845 - 55xzzjnnnHPOOeecc84559zntp5faZ3KcaKp5280PBrFbtnnUkIIVDNIQGbWdZ2IxBj7ZReaOD+Y - SQwiUoo+46lPedO/fOOb/q9/9Y9+6If+4jOfXsxnTDDb6K52DbsSWykACEOHZwN++Y2/8opXvAIs - x2ezcldAVc2sbdu+71PXT0bj1PV33HHHK/7zv/aTP/uzuWQRqbuglMLMD1cqjAEPnD0bY7SimnNg - ObbcS6TkOOecc+5K1XFPIYScs4iUssVk8c59XlPVvu+ZuQ5UrOndD/0UqoE8AIAQuG3ja1/7M194 - z93dfLG/f54FIpL7XsTHCTrn3BoDClKgJtqtBzlu3nlIYtxP+2my9wcfepu1naLXGjWwGilphJqa - Vh9fGy659G1srLfQN8991ouasjuNO/1+Z9LEUejmi3ETtSgJmxlIYXxVbqHD5QsygvIqgMQI9XIa - EdSyEKPkQNGW9KK7v+gdH/i9ZZlhZKmUMAIb56zCDEBJN3O7eZ0IsQpQ4XVSxBGH11SYoYpz/Xkt - zYf+7L133HXnqN1JJUMYMCOCQanwMNDVL764a29IzlCCkSkVQC2HSNGoByNhOetnmfNVvzhvQ/Ii - CwuFSZwGbdlYj4Zp0RDPMAzZJjUImRkBRMREbWhRj3oCAFbGSb9fUFLQkWeRwQjGqgQlCIEVVCiV - PmtvpEeffiwdjg8rh4tVv865RzoG8nD2X9VIVjRKUFU1VQKKNWV6a3vHN335Kx74tQfu2/80j8lU - mIkIum6A1OfWudRIsX391ve9NGym7RgH+1AqJBJHZJbm5TyN8i/+69f+N3/5H5xpzyz2D8YyrlOF - KCmgbAyAbGgNDi1EW1e2eqwydBdiG5qPhQGgDJHbgMHMWGCSz5b73vWxP6RxTS6sfypkbFYIbCRE - YmY25MErG0SZjZscX3TXF7dpLBZLKePJ2AgH89nudIJucXzvUM1kvTot81X7vO/7EJkIzGTDhR3K - JqKgXr7kuV/5vl97t4yiSVqkPBmNi54kbZQMVguhwQhKOl/q7mma7VtgSIOPfvIj957/050zN0ka - k7FxVrIaIL3aFAAAC3VpILWLnM3dcUo1PF6HXO1Ddcsdae3Qqg5RmBLEapIWJ9Euzt/5/rd99P73 - 0pmuncjBIp9p2m7RUVw16gAY2BhQJXRlYUBgMBAKjcvpu2571stf8lfyZ3lCk5QPmhBzITVqY+yX - XZRag/JQVK7FtnGPfGaGIYMeADNCTZNH1iGNVIeLMMOFCoZq7j6rjU4B3BR2sJr+7qLLV0MgFCAZ - hGK2FGhMZVgcDQnLJ76MwLACDMmtgZspWoEQuB63q1jXDIAsrO7aIrqbURgcEIcnxVj6hTQ8vPoV - MiAB1pKNRjEUhAaN1NnMSAG1GmxpWut0bF+dbxVVu/m0w9uV0vcSJ1AQQmC02FFYC9kqanfVAswA - qAavrz4YF2jAmIacRNTHnbxoHD4xAIQCabjBGGhbRDGIRqHpalNfriFNc5XbbhQVETCG5F4hQAZi - BArUtts2dQdDzXKMDZjRAcIR0ymYEAQQnAESjAShthaI4jaZwdwAZpBhBsCRAKElJED48MIgrYv2 - iQqPIWCsUMIoZx2FhspqsdTWgj00dMBhmy1UAMbEYGCBAhxYiIBkoMO9crjm2649ITRbPsmGENzN - w1MJsMJBuEA7dA1azQVohzDpLd7y6vLLYQD2WgEEChgLjccYN2hlyMHd6lMMb7yLWpdGEMxKIMpg - NqAEhIAuo202VuayDe0fos0k7cwSxqTWcJMQIiBozTQQ0zbleV176PBmsmxOp7h6bydGADHXJpeU - SA1OIRZog0ZWyepCm/HP2238XABBUZpyEPCq8l+/Oq/ew4nmezQgQM/x6TOP75AEOy0gtbRKrWeL - AgYhcI2o3UqhHHGg0Ml4BwpkReQ2NptXHy/+TNp4i6s7mjiCAVkReDLeWaDfwS0tdsS2/pa80OqA - HDJ9GcoTQgEykDBqwFi0iNu9ZTvcu/XsrEMrxhgJCEBqGw4sUwILgiVsPRPw+gqJDVduC6PACnJB - GaOhQlCCAcZsemXfwDBqpHDBONzUwAImMlzgVSABMASjy45ON1ApkFCGaylLg0aaoASU9a7m1dZb - r/tWBZtFAgxY4tT49h79BLuNBeTVkU51PbKx2XAmu+zlGxmQCRE7AhmWabDher4BqqgXA07U89+A - DpGnIqMm9IRoQBzapbr+vEmr7YNaA2x1yX3L8kDruoWO1zBmtdaLMFjCre3jO8xPYbrd8TjslPUF - Nx5KNTCGGgpBBUxFgfbw8Ze/+GMtRFv9jGAHuPn0nR0WAeMGO1IYtv2XlsPxWK+mqBEyQkFeYHYT - xlgCAZDanDEG16tdYDbQZNzsaeJAqptlRUF5/V3PlTushS668v4NrXM3AO/q55xzzjn/Es8594hm - V+32GvD62TnnHlbXJTD1RktpvWaucU7wDehR+aYv7sJNcQ02znV50RO4Nmt17Y/Bi77idawKboRa - 6EZYhwttJmFfzMW7Fl2vt/Lwvu7mnBpXOHlcnadmPQndepPW3O5qnXdeOy5fr/hz9+ixPlwu0Udz - 1fPPVgPkDLTqC6mr0cGGInVpCgBqREIb/dJQShkLQQsWSxihy5gvf+BFL5b92SjrXSEg52I5pgLL - UjuSGYiQskaCKgJBVYUC7I6xAAAgAElEQVRhmskQCbn0gEVC3636/iiIkAuIYEBf51wgCKCKCKDY - xlhhvZzbephuVip1UlGqVQ0hZa2HabeYBUKuTyBwfTWglAxCMSTNkWHz5ZSgySbZTnG6c3H/Dz32 - Mbwz3UPup5NXv/ddIMN4AomQAAq1X2TuNDQMoCcIg+oYb6BoCSy179qxHZcAAA2GDjeGwx7BAI52 - rDzatcs555xzzjnnnHPOOeecc84555xzzjnn3Hpi+o2pEodJbWsmMQUSzqoSwjAjM6Ffdu14tFwu - mblt29T1ImLlsH/Xaqr6w+VfxfW/cMDh0Qmpj/0x90mYBGH9MIWBwEEMKKYkXEqpvV7NjDRH4mz6 - V7/hP/2al37Vj/34j7/+9a/vzQzD5Gxl1dFu8xVpveyLrtRq1fE5+wNf+Neh/x4rlEAF9ofvffc7 - 3/1HL3nBixoWUxVmEe66johE5Mbss31jOhIAw4xVLDcAhUkMVvRvf/t3/NRrf2Yk0lsxg8SgPVSx - LmnrfqqXNe72Yn9eLrucCxkInFIOElR1XZA3o6qcc84597Coo5/qbc4ZgOd2u0ePWuaxMZzwc3yC - sGEqfGYStlKMGT/3cz/3tKc8hQ0iompCSF0/GrXLZccSHyI64oIYReece6RiBYOAIewFbAwTghoS - zEhYyYCAooASUdZU2vzx83/8kfv/Pd++IBgZiKReD8hUUxx0CPMmMMMUSmqdjnQ6zTd/6bO/LJTY - JYQ4FgB93wZSS3XEZo1OAunVuLVhAC7YoGRkPQADa53nmdh0EYRNMxOy5UCjJ4yf9oybn/2RB98/ - s3M0hjFme3rztNUlKSFLX0SNQAYxZUOpSTKUqb4UKYYBr8BhpPeKgVKIkZfW97T/R3/y1pd84UsK - 267cghJYWZkN+QadesM90inBiMlYlAEUYaVknGASMeYsmToN5cDOn18+EM5ItqueXMAK5rBYLm8J - 7c2j22UWAJDUyBA1LgawcY3sVlIzMLMpC1HRTGScaWe6wzEoUyYEg2hg5s6WtcW3xYXNevWfQAZW - YBhnr4AawYQMKJkbHVEWtHaQH7yV7iQMMboKGKFeWj2MucUQI1ePehsyTZ1zjwb1u5t1mDEMUFLl - HmAKaqaSAkgMLGnytNFzX/Vl3/663/qJB9NnmnGr1BdaBSgpyNhq0h3pEDizTQaSkYJ4aNf1CDXr - x0qtnJpW1Wafmn30V37nda94+d/YmdxuZRxT1FLQgojTMkUKDcWcOoSasMdsTEaFrXA2KjXTzF0U - G+p5TYmNipIaGBAyFiqp9NxOlrz/nk+98zP0iVk+GI1jlwRQUA9SAsiKaWMalFGsn0zabjaLhlao - zPWx0zte8uQvGs0mKOBGtcwVGDeU00LIhtcnKPcGZlyVNvnRWzSBgawwkJIlWGbjQJpVG22f+YTn - PKZ94nlrP7v4dJimmS4aBl/+vFOGdU4aiAEU1sI9oCIoyYRACWJhtNO++X3/553f+NSbzu50D+Z4 - BoaUuzJqxtonEegQC8lkJGrGmhhGqjV80V2MEtc9oKRixofT8jAMSuvUtCECmI0FpVjWRosah0kx - ySgL6T7wmfe+/aNv6ccHFlB6TCdYzhJik0MyMjaQQpRrSyyJqiIEdEtM4yR2ozvHz/i2l/5Xo7Pj - QJy4N4OVICaA5dxzIF1N+MOArvpR2DY5xM5dyGA2fJ5XAD3SEIOqWEX5rgpbvVUlIjOr/UDMjIgu - ldsNgGnIyxMCwJFaBkjWM1vxYXzjxeKiPzcSGBABQkRQgI/0OKqL5KNLvtzPLwy06wfLcJ/IeHXh - ZPWnS0bnfc6VBxqAMKIdA+I6ZpKw6jd1fM2v9udZOvJP/VXqm5f2SNMogvUE848N24o376hxijV4 - mAHIatOSbLn6F90jAgJGAKGBRBDV7bhRCLdc/SOvsypvHNt1NuTGWWPrKE0mCsNLjOo9MRxZRTlc - 8LG1ubz1J6pbe2NBDQ4L3kZsMG+7f1eZ38TMAINjAGG1qXF0axO2vZLAQKwbvB4o1NTFxGOFioY9 - e6KDZbtVOvoSh4cnSc0O5zFaQEOdF3DL3NB16Tq+bnWbruq9Fq1CebMu3dJFajMSBsJwpAgAyLG6 - aItFb5QiXlWkIGDKI12FGjIA4iNrcnmL58Nyh9r6Hk4AcrgC2LYkr9cWcb0oklqqIWDGxqvSsads - IQgMmPAI0GFfr040NHzGwZHI8+1XnxtERIFgvWWGPbmOtz+y67dZPLeYYl0XRV6t+frljy71+PIP - H3B4ZMRhHVvs1pc4wWG83lh0WC6G+wMQ0DKGpODtj8fNV9j432h4hJCs15gu2Sx6yNfYqNvXFbVC - AGEwrU+OYOJwgoNlteyhpkao5/iWNre2cV172qrJQYAEAEL1Q2fdKDzs3cNiwbzKot/yqOTDCoTR - om3QSF3nI8moVC/Bb1uZ1OvnDVBnnx0aPgSGGFoAslmkT3B2oaHUMQsN5W9dWDa382YL9iTl/7JX - hw9rHDp+P9XWV60CCS2kwfRkx+ORd3TY/Kh1tQAgkZPkam9upfX/V+cDGiNizGgJwpsn0q1egjZX - mQgIIIYE7BAY48NyHBCwLnIEA4JQIGgxZcBAGHr6KGXUy55bXpk5TPseXkYIgY+Uc2WsvqC52h8V - nHOXzb80dc4555xzzjnnnHPOOeecc25rzJxzXndK9vn+3I3ALsx8Xvd33/yp9xnIwMB8vqxd/yzn - kvqceoF0fVcfyMwipFkJsC41RZtuOe4TFh32Fljmv33XM7/riU/+X5/3vN3PfvZJZLtpf3ext5P2 - b9G0Y0XsktNxsg0/K5ccZGLrn3VPHDv29MvK7b74wunw9qJ/Xf9p8/dCMAIbxBAUTcE441SvtywW - z4x827lzj5vNbz179nue/JTvfu4LcW4f8yX2Z+h6ZEXKoeF+3oMRGV3fMYGArkvE0nWdGR35Kp+A - VT+xw22x0Sf1MLd7tTHXJcErJuecc84555xzzjnnnHPOOeecc84555x7aETUNE2MUVVFpOu6+XJh - hJLVCKoa2zY0zWyxkBhSSvVZ685vtV/Z5/ssy2SYjNrF/l4TJAA3nT79Iz/8w7/0C7/w9Cc/mVdT - Do6bw/nqJIRLLephWyUgsAAwI4UpUV/K//HLb2xGbZ8TBelT6rouhBBj9H68DzOyM6d2XvWtryyl - 1MKdcz46/evDoMau10miiY4s8lK9Op1zzjnnnLs2mFlEmJmISrEY5ad/+qfvueeeGON8PjcrIYTR - aAQg5/wQkSfOOfcoZUOe4/qKWeRIRKqqVswKMwszCzrtwo79wYfejmle9J0VjAKbCYyV2KgmTQIY - pkrPit7QjBpTjnl61xOesyNnok1YW1YR5agsBjYyYiMGQEZkV+t2CNMxEiUxSL3TBCb1MWI63AlI - idPupq+452t4OaIkfQ9jnDrFfd+vNtswitaopp6vox0UlAmZTOu44M1xphtYC+ekJEDMnzj7kT/9 - zAdtVDJlI9RcwHX6JgAPBXTX2HpE+PrKn1EGVAysbGYF6SDt59Bdo7HRBgZFjjujU40GUTn6R4CU - 6xEHNdIhvQFDbBXVYG+jth3X8A4jiDIXQk2K2G5dNi+5Dpmd9VYJZfi71FjuQt08zYfY0I0MrY1r - qpuzzRs2V94596iyWUUARlorXjawMasAYBPZH91963O/7ou/aSffjCR7+/2pU+NSDhdDdmXNhlUt - NFRTtlomo0BzyDIt/+GBP37z777RzpTzupdRCFxKmXdzCQiBU78MIQA1l5d1PekHHZ1iw11MnZKl - NqoNfDh3SubJZHL24L6+nb/r4+9YyAFHTqkcm9QF6/aoqgRedrN2RAK0GIe88/ynf2nbT2Nua3OX - DLL6WbWTZfgT9Oq1yde3GwhgozodC7OFhlvRqAf0kmd9qe1FSU1KWrPkT4YMMK5HVmEQIWcI0MYA - pYNu/1Pdn//p3p/s9wfT6bRY7vs+xlj6IiArBaRDnKyuM8DUvx69TOsaiS74znr1kapWNFpMwRRC - WC6XybQEXcj+eb3vt97x63vls80OSEAEM5ShquNiIGNRkIEAZRiBG6hBEPKenCmP+9a/9Ddlr9nh - KUGNMoxZZTX9kWKV211/37z/Wm0h9wikF/xq0AI16DDD1OHsW3ri8kaHCZQs64TCI58yjscGb/0C - XOP1huVfsJgTRp8SuP4crh7hMAD0xImqF6w5EAjhgpjMjbzbK3+tk+PDn40p5urWvrJlDtaT2End - 2keiXK9oyYf3cA0oRQDLRorklTssIdjYocQnL8/Dpl4FVB8v1Q9DSdg4JI9OG3hkFkHeOu2YNo/m - o0fikf9sFKctFz9UIEN0N68zQY9unKsYd3oxxw7Pw99p2A4BCCcr1Q9ViI7Ue+HheMfHj8rhSCG+ - svJ8dOEX1CGrhNyTV/8bS+XDOuRKV3hjtVdL23iJjVe9MuuT49FlMsCEQNgunvkii+ahhKz25uYj - +Phxut3iWRCl5hxffIM/dGV15Eg5tpC65BMFrh9bl+NFWupW5ZMfj6vjmmldPDaWtvrTw2NVV19Q - 6ggnKBjHq8rDOiQcqUMOK9kTvgBtlt7D0+L6IavjdDurmlbq2TyEdQmhoz8nbTJtbu2NfTq8lyvd - s8fPjw+xqGvU5Dssw0df6/DO1b4TcEA4SZPgYm9yvZ2PH0Qn37hH22BDuYsB7VCwH4YzQq2Th00x - lJBweBamelGRhm9W2XTzminVK6X1AXR0nS8P2eEtG9aNn7r8iy3Orw84d0Pw6G7nnHPOOeecc845 - 55xzzjnnttM0jerwnXfXdSml9VSMzt0gVl012AgFyMOPlnV/ewMMpfSTUSBTWy4bjq2MWhmR8SiO - VVFnQtTcxwDJqWXFwT72DnBu9p233/mDdz7tx57wtMf/xQNPB+zs/WcmYVb2RhPhxhgYaW61yKNp - pJsBmXF20TWEMyaPTfIFXbz5kw/++DNf/P1PvufvPOO5OD/DbB+6hM2a3ZLKAaGbNIy0hKFtY8oW - 2rYoAWwIBSGvxlZLgRzuvCF5XQy0zug+3NSsq2Tz67EZnHPOOeecc84555xzzjnnnHPOOeecc+7z - iaqmlPq+L6U0bTvemTZxJCLSxNiOln1KpaSS6yPDKrJar+kMktdC3/chBFUlIgZSSi996Uvf8pa3 - vPK/eEUUIqDrEwFBGIaS8mri6fpzicCg6pJ/+BzWuc4AmBnAb/zGbxwcHJgZM9d7ho6O6lNZPcza - 2Lzq215ZuyEyCDUcfdvMmYc0m80AXDS62znnnHPOuetLVUspqrmUEpuQS3nrW996+vRpIqqfCono - 7Nmzk8lE1bquu97r65xzNwjlISCKyQQASEHJqCiBKKzytBVQGGdVbdL9B5/544++V6UkBQAiMRsu - JBF0NX5SjaAERKDBwbKXMGWafvGLXkp5zHkcdRS1jRrYRBAJkdAQGra2ZkjcCD9RJ3Fx6hm3v+Ax - zRNH5dSEgyYUWAfLklPos2gWJEIhFDRA2HYHGBeQioEg5/qz7/rIO8OIki4LZyUVszrg1wiAgh5N - o3/dDaCmxhurDTHSDAtGQ+alQMzo3OycUjEr12J0tJEZRcgtp28iIrrwiDiMvOXN+NvVPUOoxKnJ - KbIhycPM1jXYw4U3rsvW8eaAzhcHx9aTbPOY3szqI9iF6++ccwMl43HQTl9299d+9dNeTnPZnY77 - WYHCCEWwqrRBxqEglJO3IA4jwC0AzBIWS1CkJboZDt79sT9823v/jU5mKqWUEsCjEIlLQZepM1IY - A1KIMiNJKdyzISiTxx0/NCogUwJqkLUBKEAWiBHyqP/Egx//+Cc+DMpNE5KqcW/c13z01bfhBdy1 - TR95oQnIZonTweim0dOf/8yXBZ1e92b2+octEolRNIpGjaEFRoaJYdItEXgaw84LnvXindHOWNox - ES0fnhYx17OwghmAquZi+fz8wfd/+L02TiX0ZMwaIhqhQEEUpIfJVeuYZ2+efw5sSshiGaQ18ssQ - zKJClNiAwqqkykkpG+XC2YIlLZTipD2jKHOcW4we+Lfv+dU/+/QHWbIVLgsIARTBBiiUxFgUAApr - 5mHnLhdomx3K2MHOX/vyb3ssfcGZnZsWebGuIZ1zzjnnnHPOOeecc59H/KtT55xzzjnnnHPOOeec - c84557aznh5OVc0shLCeitG5G0Ed3lHnutTVjJerO1djPwggcCBigxWODYqVg/l6QG7qeoKhJFHD - 3h72ZziYfccznv79z3jqDz/lyV+Q02Nns8d03ePJJvPZbSOZHcxLj6TloFcWsKBc+3d+vRVg1HAx - UE4hp92UH4e4e/7g1tnytv35//i8F3z7Xc/8m898Jj57H+YHMXdYdlh2IIMm6nMEAVBGWe0vAq92 - mMLqIKzVfBOmQIYNc0+AtO7TVWq7c84555xzzjnnnHPOOeecc84555xzzrnLIxzaJrbN/Wcf6HNK - WpZ93jvYV1hoosSYTZtRSyKp5EfqDPA1mLwGmRPRqGkCy85k8j//yI/+ws//i5tPnSaAgZK1iRLD - teillkuuvzBzKUWBB8+ff/vb3x5jTCmFEJqmSSmVUjz4+WGnqk9/+tOf/axnB2GDEYiI8bAGz9SA - w3U6u3POOeecczcUEQFQPyWp4g1veMOrX/1qZm6aUc6qqqdOnZnNZsw8Go2u98o659yNQ9nAxqsR - jhmUAS3ZTImpYQgZDKWYZiQdpz/84O8vdN5pakbggC4nZgbApoCS1TjwQVmiBccyCjp67M1fcMfN - T0QfR9JSVtMMzaZZhx+1oqqqBTfOT6TRmKYvetYXxzIe8USAnC2OuHAurIVRCEZ1XHAdL7rVJThl - URFChqq2p8Mf/9l779//ZOZl4QKomIpBhxRGJdsM93XuWiCokhopG7MRIDBWVkMhIiJ6cO8+Deka - rQwRCljDzaduxWruiI3gbR5WefOfCxnvTk9zYa5xm0xmdoKLnetnsA0Z5+vDk2i4KGsoIDWGBdub - 7SlhncnNR5K519MIbKR31wBv55y7kJGZNZjoWX75i7/+JU/5UtkfIXGMUietUIaxAmCDKPOWlQkZ - yEAbud1sTAZDSMVMkEGdZRuVvp395jve9JFPvUfHC4uFzEYSUZBzH8fNsnRKZGAjGKlyNjIy8tzu - z0WBrJQBBrjmGxMyozDoYDGLt9K/+8DbCi0N2QjEG6cPW81TQ0rIpaSUMB5BDNM4LV182hOeP463 - aQnXvY19+DMYPg6o2uoeFYko4EITGT/lsU+y/Twto6ZAtmoOX1DcyJiMa+Q2M4iwTFosNeOY0L// - T961jPt75UEzG8lIu9KGCGMSNjCMAFWympLO9Wzt6d0PgZShhDJknJsoRBEMwQAlGKlRNlKjolyU - jJpQFDlZZClN6uPeuz7xu7//wd+Kp1LTUlkqZQSWnLW2RclAOuzQWrvUAPvJKM7Ozs/gtv/4+V/3 - nMe9cJJ35wcdxBtXzjnnnHPOOeecc859XvIIAeecc84555xzzjnnnHPOOee2ICLrqeLqZH/M7HPG - uRtBHYh/ZEguwMPwYJXDoUBafzOggHLpGwiTwEymExD63EcJ7TggLZAVy4Su/ODzXmRnzz41WoNM - linrdNKeny12BFrACTcJ2onMF0UYifBARmA82oYGdYpIJIRiUKhxVs2BZWQ6kdGD999/5yh2Bwc/ - 9Mznz1L/I+97P5oGkwlaQaugVoC+U4tcCAwEAwyF2aDECqAQG0BQJtRh4Bujwdg29rsAeIjR4M45 - 55xzzjnnnHPOOeecc84555xzzjn3KGbEAGAKoCiEuEv9Bz/8odf81E8p0OViZkGImfu+r0951hfe - 83e/67s4RKgagR5xvePG0535fA7TmodNRApKJYcQXvqVX/U7v/2Wb/jPvvE/3PvJUYxdSgbQasJ2 - 4GKd1a54+zCxmhKRmdlqcwvRG9/4xq97+dfO9g9YEJpYE8ftYY2UdgCYedQ0r/iWb3n3930vACJS - FMBWoTUe7OScc8455x7JmLmUIiJElJICIKLXvOY1T3rSE1/2speN29aszBeLyWS6XC6bJgDleq+y - c87dEAhqYJiwQVlBplCGqQYREXA2M2QQMcOink+feffH34GJmRRiqEEVFAhUQFoHzA41rEGUd4nK - eUx0bAf85V/x5WMbj0PTzQ8mYcSqTAmkRAAxwLCwSry9IRihaFLSZ37h3f/mj8MDB3OeQg2yGvC7 - gY8NF70sBIXBChEVTaGl8/MH3vnht738hX/V5hEQslzXwwiEzVhf5646NigUgBEULApRzhwAmKkR - wGyk9+/dZ5IVhe2qt66ISJNJodtO3wYlJbCJmoJI6/X/4cBkGF/ieCE2vnl6C38qgghkRFZQAJht - Pb77Ut84MENrfqSZkRkpMfbm5449/Hh69+HLe6ikc+6hsLHmECU2zUi79M1f9Mr7Pn3/x9IH4g6l - ZWdQJRhBipIxG2udz2SbVkSdEoNtqOgMWkMxUm+T3fFivhiP+WC+Px6Vg5Te/PY33PxVtz79pudg - LmVpgDCzjJp5P2MymAIGqFJhAIirF/GP5JdAqsN2Z7bAloGhma2WNeZ7Z3/6nj97Z7ODZCmlw5OG - rb8GNgYB0CZi2UEMuUdOeXdy6nnPfTFTBLPdMCEnBBAVHhrRBVBYIDM2gtAidyHoqTj5qhd8xZ98 - /N0H5x+YTttFSFuV5xrkfOx7cDJszjhlASRqyT6z9+cf+MQfveAJ/1E+kJbGVlT7oqyZiYaNrACU - hsbGo21ynhMg1GOfYaJgGBtIYSAYKaBGRz5FdTnH8aTf79s2zvWB+8r/92tvf0PaPZ9shkKRQhvD - MueUchsjoKJspEa1bQgABiblRtqY6dmPecnXPucb414rWZpmlPoC9oaWc84555xzzjnnnHOff26Y - q9rOOeecc84555xzzjnnnHPOfT4opTRNs7e3Z2YiAsDMcs4xxs/5XOeuNlplNl+AUWc9gNIQ8D3c - irQEylkZxsTolk0M6HosF4D+18953u7e7PR8eZvxRLPNuybyIqkCe7Pl6Ql3S5XV/AcH+4WByUj2 - liU0ALP2j6IBQkpoBctUQkBKGLcRRYsW0xIB6fSMpjzvH7ezu5jNzey1z3/BeYnN45/wnW/5v5EB - NsQ2EgrzMBjIAEDqv8QGFLBBBaxQHsZ9mwEKsmGfDgnupHVs2fXaGM4555xzzjnnnHPOOeecc845 - 55xzzjn3+UFEOIgqPn3fff/yV3/VVvO7y2ra7drZ7l3vetf3fM/39MtlJNpM0SCD0SMh/uLcuXM7 - Ozs555RSjDHG2C370I5UVYQed8cdv/e7b/u2V/31337r7zDQNM1sFWp+lagpVoF5RCRBSs5m9va3 - v32+XLZtW/qUcxYREam/XNX1eVQhA9RA+Pqv//rv+4c/sMhZ9cIQqSvVti2Ams7+MC/aOeecc865 - K0OrzKWUEoAYY98nAH//73/PL/7iLzz7nnsAG4+nOSdVTSmxR/U45xywCk0DAKtJvQRAlUDCBDEF - GSkZEyCGmD987/vvW/z5vDngVua5KNBGhimgZMqGGjLNgBKLcSvRAhoKp8an73jybV2YIZqxJTCZ - EfV1FK1RUGsNrKQ3VEA1G6d2wbE85e47Z39+f4d9SlozdqUm9zEUIFPQiRIoCTlj2sZ+meapP31q - 5x0fftuXv/ird3nENiQDs4kCq0A7P3+5a6deZi8EgZIxwGQwUiNVmJAo9P7znyrSDbHdV/OS4TDy - XdHY+PbTd9Aq91ppI9XeGOs87I1gbFtdJiUDmdyye3sosZcASURUm5FbR3cbQIe5tqt1WI01r6Gr - ZAoFW+Fy/mDPaJWfawzSGjVu6+ca/AB3zl0mLjGG0PWzU5Nb0iz99f/kb7361//J/ec/yTEU6VGz - shlSAIANZdtvi+zCKS+UjEVECwzIZGjQ6Tzs0ic+/ZF//Y5fecVLd++cPFUPrOF2mVO3TKGJWjKI - CbpuxhiYjIF85RvhkUqB/5+9ew+SLLvrA//9/c65NzOrql/z6Jnp0cxIGmk0PIQkHkKzssCBVygw - EAY2FvDilbEFhvWKDbC9CttgYM1usGwEIFh72QgTsEaxZgeHMAT2+gFaC6EnGr16RpqHhNC8ND2P - ftUjM+895/x++8e5mVXd0zND99RM13R9P1GRVZVVlXnr5s2bJ8+9v9/XxeAqw8uWwi1ATZAltQfl - w5/8wDpOqnYqKAZVMSwi1gXqKlC4KrRki1KbqEChN9xw9OixQ9389MbK1rzslVccdRPsTG5WQNRU - XFW1hJx03sv62tHx0ZuOzp840+3CxqNDXxdYKQgBsYWKT/stlTaORh84/r7X3/b1mE6kaNvEWTfH - SvD6h3U5xeDqMpxyUL993kt1ZRLHIpddTTDkdkvtpFS57MjthmspjlBWj0zOzE90B8689w/ecwaP - +2iee6h7VDUXyx6Cagz9vGs1ADCBC4LX24rqIZ+yr772Dd/7lh+YbB1uStto23fWtKNS0ou8EoiI - iIiIiIiIiOj5Y3Q3ERERERERERERERER0UWIMU6n09rgL+dsZm3bMreb9gpfXC5rkgVQFMChBihU - gACFQUQVyIIeQFTAbL4VQ8SZdRh+6hvuaE6f+oqUJta3nkrKMSCqdMkcOHhgvDWdb8ysEYhAgNUY - xMXgs3lpgJV27eTm5sp+KgtywFQ3sh1utYGlLhVgTUUccJecWsAFW5sba6N4pstxY31FmjL983ff - +vpTUf/p3Z/EEZNRCIBAFLqoRFdRdVl2g1UZHkerRUSG+vjWn0KHppmL75neTURERERERERERERE - REREREREdGEKQILmnEUDVOvJdyHEvuTaSV4AFzH3hx9/4pEvP3rNVVcb8LSM6Csh6ubQoUN935tZ - 0zTTza2maUIIChHIbN61bZuRf/d37nzXP/yHv/6bv9k/Z273MqbpeRBIKQWAu2tQExTHkydP3XPP - PV//+jeYiLuHEEmTQ0MAACAASURBVGq29/O6J3qaYhkiN1x39M13fOP7P/ihNGTGCHzX8q5WV1ex - jO52Bx9EIiIiItozSilN0+TcxxhzziklAVQxnfY/9EM/9Fu/9Vtf+VW318Tuth2LiBmjwoiIAKBm - zmGZILvIuFXxmuotLlGDa+l9djafuuv+D/WjzV5mEsQMcITQlD5HbNdF6vaN2+npbHVV530/k9n/ - 8e9/yTrYXFYmE0u9wBRFHIDAW/fGBK4dsFeiu4PF2E+0wXS8viGnNtPZPqN1jNtg2V1QU4OHiG3p - gYssDnWoypB5ro4Mj3aif+gzD/7pm49+hwAu2QTwRl2B55rcI3phuLi5ADU9FCYQMRE3aO/p5OZT - SftiT5+B330iogirunb04PWaxN3dXUQci5nQYS+mvuOZuONrrf/FNQeubX0ysw1TMal/eSkT47rj - T87bbYlDFA64mEEK+tObpyDuYsuFFEBhNbAUGHYpNftzCCaXvbIzJKI9RVzFpSQ3aOrsmpVj0Zu/ - 8U1/+1++71+cjidcevEhUbgEg9Udy8XtT1xU3LAYKAqgyAZtQuy7Lkbpkx+YxNTns/3WwWvGxx/9 - 4A33XPMtt/+1Y6NXeG4EoZv3o0lj0gtKPZQrpvBgCOyk8ZxcTAA1VZfhIfAIydakJ6aPffrP7vKV - 3JV5aOs4XhcvdXl4RRHAFR7d8qhBTgbBPG196dHP/ss7f3E+7SWWvZOeLoBaFAAwF0MdV0PFY8kW - mmiwqW80a3p69uTWZAPRL/awpy/vafHSqhja+8ii64sEZPfYJJPuS6cfeOCxz75u9c2YeoCIiIaA - UteYLnO7h1v1nSMNemYuwHZu95DY7bZ4F1o3YwXUrEzW4lMbD8erun/3gf/ni6c/W9a6zjCaBCQr - xVJOEjy2jZmJSFEDbHgUXBVqQJvHN67c+v3f9I5r/cZRWtEmbm3Nx+1qSQa96F0iERERERERERER - XXaM7iYiIiIiIiIiIiIiIiK6CLPZLISQc44xhhBijABqNeblXjSicw3VwYBDF8HPtWeoY+hwqEBb - r85p+JMz6+/82q+/ZtZPTp2+sRmXdHYMqMACSkGGT7RV62cbc1WMg8K9FB9BprkAaBAEIcL7ze6A - NO5l/5SaCGDFrlpp+1mvjjZg5OjMR4AACQgCc4yBnPKKIgZtUtZ+Lp3FIP/4ta/dvHrtVz/5CWlT - CBFRoBGqKIBprRzcTuYGAIXU6kT4jrUs2FHU7YzuJiIiIiIiIiIiIiIiIiIiIiIiejZmlq00TaOq - Q2qNWT0pq21j7rO5t432yT59/DPf9ta3Iefar1meXy71XrO5uTkej0spZjaZTJqmmc1mZuaO1fEk - pRREYf4LP//z1x89+r/8wi8UDOclAi/IuWoqCgDuMcZc+j71KoDDgI9//ONfefvtTRh65qSURqNR - Dfmm3aKqBvR9/9a3vvX9H/yQAO54vmHs5xqNRkMcjtXo7l28bSIiIiKi50VVU0qqknNeXAMzADh1 - auOd73znb//2b1995Ih7iTGa7ZfKKSKi5+AKMQeCO2oMNVDjaE2gMDcREQ9aJG3m9dP5iS88dm+/ - NpcWXS4SEEUtG8ygdfZtR7GkK4Dxqme3IkjYnG58SURc3WamCoGp13y+CKhaMIF7AjJQA9Uu82Ww - OLKJdZiVjRxNxxgbNKEUDx4NCJbFrSgAKOwSpkrcoYr5PAEYj8frs62Vg5OP3ffBbzz21qJlmNZZ - Vqfum8pf2iPEAXUTE9FFpGIAIJILzCQl9Jvd2YQeNVfb3F7ACUN1lxbtiqwdnhzRFNy93q8vlxZa - 4zif7VYsHhodGflYEeozysRUxNyf5+EDFzMd9oHuwJAIbgZL0Ol8s6iZmALiumMpbUfq53IJdu6L - iIjO4QKoBFWxAPc0s3FY+eqjX/e2rznx+/f8tksxyZAhy7Yo1C/2DbDC1aGGrNhxMFHMLTcq5hKk - zPpcd1+9zsfXTP7zPf/vocm1k9ccXEGYjA5aEi913za8MgQLDjWoi11ZR2tfAK4Ora9KBqjUr3Pf - TB947J4np4+lg30xuDkAy0W0BSDDq8bwaBuCFSSBlX6WsXagzd3Gnz/+qdHKpPNZ0b0y3q5DbgAm - BtRNF+oKj00zmk278cokeZqfmY9Wm6TuQPSLOOI/vC+Rp/+JAgiK7NZ1kFC0RWhkmjbbldHH7/vQ - V7/pG4qU6TyFGLKgwCNCXb0uZr494BDf1WOxVyAFYFIb7NQTOhxiWgO8rf6CwKOLGmQ8Dme7U3pV - +dB97/vEFz9YVuZFPTRIubghSIF6G7SU3HU+WW1z7rHo2GPQYM3YRivdob/+bW+/efzqZnPiWZKV - 0DYaQz9PcecojIiIiIiIiIiIiF4iOK9HRERERERERERERERE+50sAKhR3EuquszkVtVSSv2Fern8 - EXO7aY9wMYhB68eQ2w0DylA1pMN3SAITBzKmG5h12Eo4tfU/3PjKn7vtq19+8szR9Y3rxSRttIAB - vSA7XCDQbBYQR4gj0yYjFmmgeXGvHcQhCg21cHk/FQapY1wQpv3I0QBekA0QdIK5oFfMBF1AUiRB - EfTFVFy9d8zHPr9+Nr3psVM/f+Or/tGNr8bZDcznKJs9ZlCDQAwhIWRIDxRgDhQgK0zFNUIbaKh1 - 6sAQ6K3M7SYiIiIiIiIiIiIiIiIiIiIiIroA2dGG293bts05F/dST7FzgwCCrs8GSJB5MgCf//zn - U8kussy+uJI0TVNKqfnc7t73fdSgqmalaWLJedy0lnIQ/e9+5Ef/yU/+pAACtE0AEIIInvGMNbmk - c9nMzdwALKPyzIYm8R/52Edr1LqI5JybpmFU3u4zF/eo8p3f/h01GybGuLvd4q+99tqUUr3lGo2z - B9VTxJumefqVRERERHQFM8uA7XyjsfM9x8MPP/qOd7yjS0ljO533CFpKGY/H9c2Lu4vInh3iEhG9 - oKy2OJYMyQDUa0mrqsKluJfiRYLmUOJBf//H/0NqpynM8iKm2szcPYTgAsfTIvE8Sj/SNI4YB7SA - GVLR3mMuIedgfUAOyMFyyCl2JXTLDL+98GGSu3ZjPj5bRmYNekNyFEGRWCTAtcaTq0OX//hFzkC4 - CwBVqKLPQBPmMv3i4w98+cyDuel7K7FdAZD7BFe/Emc46SWhPsErdaSSNLprOrn+5Ga/6cHbUUz9 - Cz6UUo3q7Y1Xv7xJoxBG7l7HbyZ1Elr13CzPxSz3do4pAHG94fANOg8jHYuIuatqzpe08A54vRiS - J4erHVHhBe5wL9qoRn/yzBMJPdQQUDxbDR3fMf40wQsZfE5EVxIrmnrvA4K6urqiWZ0f/Nav+rY3 - 3vRNq91hlNCOGxjEAUW5lP4Vy+HQQAB1CEysaJGAqKbqCAHJMddZOTT/t5947ycf/2i3tjGzzVHb - 2qwECwEBdY+XHSZt2+ZSdnNlXIm6XEKIYq4QjZLcBI0H7Sdb/98n/4O1KVsKbUwFIQTV4Ri6ui2i - 1s3EXCDaFAseIiKmpe9ikgO5ixs25HbviQ8Dklofcgo5RUsBKaCP1sd+6jOf6Mz6JB5im5K7tWLn - bJmXQLFz4sgAjEYwgwhyLtr4XKef+eKnz/QnU+i08RCk62ZDVytXHt6+aB4WD7dBzKVAsiJH1Tzv - GtEGIaAR0yjjUkpf5rqSH9q8//c+8jtbzXpp+5r07VAEtSAIMDMxb0fIpZ8ntBOVAs+Isc1zXIXr - f+Cbf/A1B79mtHUgIGoMIkEkdDaV1kz4GBIRERERERHteTuOnqhqPQF8F8/oqTclwnPLiV5KGN1N - RERERERERERERERE+1pt99Y0Ta1IzDmLSIwxxigiZrY8BJ5zVuXxNdq7HGZAhjkWRcA6fCGCnEoo - LqU0gFpuYNp36ObICesbf/81X/GTN7/iVV06Np0emW8eyLPWE8SKIikKFuW+UAd8qKgbng5Fho+s - KGJFzGA+ZIbvo1KT2kZCdhyGd4EtVo4DJsNqXHwaeii4ZEU6mLprZ7MbtrZu2tj6n171mh+68WZM - c9sZUg/LKEAABAhAAWoTyFpL6KjljvXnQ826XGq7UyIiIiIiIiIiIiIiIiIiIiIion1q0SC7dmWS - 4YQsW/Rn+vTdx/dbWyVxNE3TzeeqGlStlNnm1pGDh37kh3/45376Z6KgpNI2wYq7I+zqyqln4l3w - Fu+55x5V7fteY4gxLrO9aRfVrvFRw5HDh7769tsCUHZ1PQtgZjV/3cxCCDXgcBfvYlfU08tTSiJS - TyOv55wLXdEu93ZHREREe1qMKAX33//5d77zx0opbdsKQinedd1kMjGzWqvI9ylEtF/VGR0TN3XA - FR4ASaU3yzGGGOP6dDNpdyY/dd+jx3PoyqIas6bkCmxnZq369uyQCVywM29aMKTt1bhrdYVHBwBz - Ncjeqm91saJmCpNhsQw1t1trca7tyDN+HoYV6kN2b7bQffjuP07jWQ7Wp5xzH9ugqoKwC/dGdFF2 - PIFdtAZjxxDMcpLZqc0aR42ccwgvePK0FcD02FUva8rIk9eJyjoZ6DJUfz8ndRn56lWr13h2uBZL - xXMICLprS19nqkQgw27QspQk/Va/lTW5uIlBzN0BlfPWmix3NkREF2YCUzPNiwBaVQ9Nnoy7Q99z - x/fdPL7tSLz6zMkOHlYmK9M5YnuJwxWHGrb/VhbjN3GoqVoEtP7YRzhbpt2R9d/9yL964NTxtLqx - NT1zYGVVLXqRXFwQRqORiMznWzGw/8+z0xiaIFEcKfUpJW1in1OH/nMnjp8qJywkEREJDhQ3AApT - bE9omMLFAHexOhQ3RVEURR9RdFeGr7vJ1IqaiZnUwTDKYrFNzWXo8yKOUN+wXMyrZB26LC4N58c2 - 6zmbuMAFvc7nsvmpB+7K7bzDvGhWVUs7poz8nG3YeYTqmTnURA3BF4OixTs+z31/5NDh3KeSM4qo - 6tZsOlkdYdKv2+N3/uF7yoGuH817L6XAC4DltqGAyuLd6IEDsrVhIjqKq2XuB/XIX3r1X/7al90x - 6Q7EPILHxUNuLu5SOMoiIiIiIiIiegnYcUqwL0pCeJow0T7HQwtERERERERERERERES0r+Wcm6bp - +15E1tbWANTuGLVBhqqambu7e0rpci8s0XMoOz6GNgRD7wHEVjR4EEdOWgq2ttD3OLP5D25+5c/c - +uqrnjxxk6e12VlPm6tqgBVFVmSBiTqieWNokqAX68U7sU6sU+uCZbUcLAdzsVrLlIMlzUltX5UG - uSApekUSLaJF1KDqGhYf0c75CAYTFIUJFGjcGmRBGll3bGP2DV34X6+//e8dew1mPUqPkF1RAuZA - GaFEWIA3gGA4BahGpQ+53eZyTosKIiIiIiIiIiIiIiIiIiIiIiKife68aJ8dlifbLX9VAcC9ttW2 - 2oJb8alPfibG9py/3Gv9yF8AUYPlIu59343Ho/FkdHb9zLht/84Pv+MnfuydClgqCgRFKb6LkSQX - IKj91L/wpQefeOopEanZzy/gPe5jblncgshkMrnjjjvqlSr1ObH4HVx6R/6ooW3b+vDt5ehuAE3T - AKjbm4jUGG+nK9rl3uiIiIhoL6qjXw2SMkLUbHj/Bz70Uz/906FpZrPZ6upqznl9fX11dXU6naaU - Dhw4sDfHt0REL5yaPG0CiJ83ayaC2Ggq2dV1onmUPvrZPzlTnsqxq/N19S8UqOFnBliNvlvE43kN - rA19jvMSetcssGBoCkYZoxRHqR2lUZObJrfBNNgQ+y0wcdsblwiGUBALmlLjK7WIpoAUPEVLwYqi - yI7E4kt6h1qDMUvIplkdJvlTD33spD/m0c1gwSWKu/h5M6JELzhVQF3FxQW+CG1V1YJUYv/wE18q - niDoC174WV91h1p8xfW3xjyyDNW4Y+ITAGQ7StMAg2s9cGCCoW7fVVxHPrrhyMsk63KZn88gcMgZ - Vdjizuu+UXxYuALPnrPl0+tPZMlZk+hwj4pzntTOMEki+gsxwE3cNJsgWBSLJhBrjpaX/ci3/dh4 - 89C1K9cGNKfPTlfHkvtLODZqix2SAudFG6O+NKgHsVYswjGbYvWq9kQ682Tz0L/+0G88Or8vruWu - n4qoSiuh7UpJbpCM0o0ChHu7ZxUQSnYAIQQAEtRaT+Purs9/9HR+AiGriEgQCe4uCkgWWH3VGQal - kqE9JEE6kzyM+QEDSv35nhhpm7hpfRX1ulHVVHhVU7EoruIQmCAH9AF99BzdLvY1W7DzUKnZEB09 - JIVvW2zoCZab/hMPfHQDp3LbZc0hQkUWzyPF4sX7mc9koB08wtVEXTBsg+LiGDXt1sYWIOPRiqtN - 0/zAVatn81ObOPGf/vT3P//kvbMwm7t5kLaNKMvMb3VovU0IFJBe1FE8NNqO5ytvfPl/8a2v++4D - /bWxBIjXzHfAIKXuM4mIiIiIiIjoJcQxnCAswtN5iPY7nidBRERERERERERERERE+109ch5C2Nzc - FJHJZFKvGY1GNbe7hna3bfscN0R0mamiloRsc5jDHNktIU2ROkw3sbGJWf8Tt7/2515z+7H57GWe - r1cf9bOR25Eo2SA7O4q6OoIjOtQFprb88J01V9guM6p/y6PRO9eAOMRVXBXDx3lraPheEN3W3JrZ - xo3z/LKt+Ttf/RpMtzCfS5qFbjoOWWGAZbGi2OozwrmNMLFd7cbegURERERERERERERERERERERE - RJdkZ5K31w5NjzzyyPr6+tN/9coO8E4pNU0zHo8tl5RSEAVQShmF+FP/+Cf/6tveirqyDDFIKf7i - nLj2uc99bjQa9X1vZjHGF+Mu95mccwihlIJib3zjGwEEYBcTjZOVa6+9tiZ2q2pth7YHe6GNRqN6 - GrmZAXD31dXVusx0Bbvc2x0RERHtXaU46uDQIYI773zvu9/97rWDBzY3N1dXVyeTSdd1TdO0bTuf - z+sYkohoX3ExlyF3rlZT1rf6qurupZSEXse2nk9/5N4/kYNWdNhVqkd1rZNsJuayXX25+BW4ZFMr - ChcDTN2CIzjUEQ2xaDSNpvXKWupa44H3yCVcxWOwGErUEsVa9Vj/36K5aDYZKnYdMKhdSoWuASau - 6rqMySxa1v3J4w/e1cfe1GOM7p5zvwfnYejK5yougJoAYhBXN3WIONr80Ikvuoo75LzwyxeKRh3d - cORlIY9qSnedn3Q5Zwh33rfnLZh41BSOXX0jCkQEAa4Ol5wvZSL1gv+1O9zO/dZhKE+eedK0N2QX - M3cAgiAIgNrykIU4FsvPaFsiembLvhRDwLYD6gHT5ioc/Tvf+d9PNtbGshoAywi42ENyJsjLfVH9 - tDOfWHznYVYFVAXTWb9yFWZx9tjsi//mA/+qXzkzldOdzQwemmiQeeohEqO6pefzn+8HAqSUELwd - RdXYpTlW8onpw/ef+OxUNlUBcymiEPfhoTm/h8zwXYaY1u1j2Gi0iJroXhhpD+NtmDjq6EJtO717 - yO12A0yR60Cj9mjRSz70ObTWMRM7P7Tbt9v1GFBienj9i3928l5bsbnPAFN4XQb183rOCJyHqJ6F - AnCpq8h8xzgHLjlZ24x7y9MyW7t69PjmI2k8/fC97/uTu/9o5erRVpmZABBVDUHO2+3Ur8ThnUww - Gekob8rrb/qG77rj+1a7wzYNLnFIZxcH4Bg2MCIiIiIiIiJ6CREIABH4Lp4NT0QvTaw+IiIiIiIi - IiIiIiIion2taZq+7wHknAHEGGezWf3RfD6vVzZNU0oJIeSc2eCP9iwBAjRikdgsBqDAAHfvomUI - cPoMdPRPvvZN+uTpYzm3ZXb04OrJ9a1DUbSRnHw9ewMACA4tKKJwmJgDLmZiEKibAGFRxmKyXSAn - i2YHCjhQzq2du+KFc8oG6/8+tIZQGa63HefqaK28EgDIiysd6BsPEqf91oG4dvTM2Z+59Ss3ov7S - pz+FgytQEytWPKysdp7jqE1AEKhAfHjoBVCwipqIiIiIiIiIiIiIiIiIiIiIiOjZDE2Z/bzG3IuO - 2K5DUIe7O+BIKPfcc88d3/D1O27iyjlRa2cA+Tlfi5RSUDOMS+77fjwehxBSsW42/2fv/tVHvue7 - P3PvfU2ULnuMmrM9Lb176KC9W4vaBLn//vu/5Zu+uYapmBmjhnZdCEFFcsmq4Q2vez0AVTG/UL+y - uu4vvo/ZTTfdJCLmriHklPbmQ9h1narWDazmxG9tbdWt7nIvGhERERFdHiJi5qNR03UJwK/+yj+/ - 7rrr3v7ffP/m5maMcTqdHjhwwMxms1nbtpd7YYmIXnRS54VUvCYvDkmQZkgusQlZZjPffODhe87a - U1Nf96EoMtbpI4HVeQZzKBSI8DzMPIhBbEjIk6dNREiG2OJ2FtWd9b5V4QrBZb8UIJgCqi6uiOYm - gObhX8PO/0sdKj7EAV7cI+BDmp0uKlZdc57MP3z3f37Dy94yiQektMgmIiLuLEKlF5FDa6AmABcY - XJAB82JodO5bj51+RFfFDBrxYky+uR5avWptdFC3YtBg7nCXHXOdCtiF5v8XOy4FTB1awvVX3wAM - 09R1+tQNCBe3OLadQ7l9pTjcoS7D/KSYCAwwsSfPPO4hOyI0wK1YUFVz82E2vlz0CiGi/UpdxQEI - YEWzuoorXDVijNVXjr7ie9/0N3/zQ/9s7eqDlrKbQe2Cu8cLk3phQN1zqklG7bzhgCyPSNaBm7mg - bUbz0uUpVsbYmJ750un7/vUH3vPdb/kBnYU0zyObNHFUci/qQUOX5ojN7q+UK4U6DFBxV09uMM/e - de3so8c/cCo96S0Ak+wqpYHm+jDIjoPmizDvxeM4XAmoQg1x+Ps9MNJeXoqbor4S72TblwIACohD - 5aJfL4feMct08xp2Dhvezvj2aAd1zAAkpKmu3/XAR2+78atG8YCbqwFa3zdFLI7Ll8WC0bMS+PIM - jeWjLNmkHa0ihs35ukzsZP+4Hs53P/Tx//ipf2uHuq00RUQb277vTfvYKMwVgGMxNFW4qSGUUaOT - fqt/xZFbv/+b/vaR+fWh06ZpyrC/KgIrYoCoqw4DQiIiIiIiIiJ6yVAd3sszvJton+O8HhERERER - EREREREREe1rKSURCSEACCGklNq2jTGOx2N3DyG4u5nVX2BuN+1ptTJkR7UPYAEePMcMdIazs7/7 - NV/3c1/52rXHTlzXza7zsgag7xsgZ58nb0chBmhYZkAjuAlMUQRJUIJbTeYOtcuBQxbf1g/dx6eh - iEMxfCzZom+CDW0mzBdfAFY/wRWuRbSI1uKcPvmsz6GVfmvjmMjRzY0bzpz5+de97sde/RqcPIu+ - NKqh71ZEUpnXiPShrmioCq+9FRZbARERERERERERERERERERERERET0XHTKBAJwb/+PDuVhR9WMf - +9jT/9Cv6FO16pm08/m8nm0rIiJy9uzZUsrqZOWqw4f/91/51euuPpJz7XD9YpxEmIs/+uijfd/H - GFU15/wi3Om+Io5GQ0rJzGLUm2+++fChtWIXyu2+VG2I11133XB3IjWFfTfvYPe4eymllOLuKaV6 - SjkRERER7U+q6u4iWI5d3fGzP/uzf/iHf9g0jbuPx+PZbGZmo9GoJiwSEe0nZuIugEd4fftsAgdg - RdtmXNyKZzT54/d8sNOt0hYTwBEsBtNw7qyADbWWw2TdUMoKBK9fK7w1xFLLXwOKWg69hd7FbJE7 - 6PXuxfbEpVoOVtRMXNzVoW7RrRbtRl/+a0OwpctF9ouumcMCgxpUF9W+RbNp9+BTX3zs7EM5dKUU - WIiNFvQXd/tEu0DVFYABi1Jrd3cRf+LMlze7syLiBlV9wYO7XUXCsWMvizYK1qgGM9sObJAd1dli - Q534olp8+E8WPw4ejx45OmrGOWd3d4fDLm0G0WU41rDzCz3n8IMCEAHUT555wkJxza5efGBmgLrA - RYYdIAAxdp8nomeiruoSXBb5tVY01x1PjDHPfK0cetOtf+mtr//2cHZkvV7CcRytR2AdgDqiox1i - uocdnbmYibmYawbM1cQxcvSbmKzGs3L6w194/wfu+0/54FxWPOc+IjSIOWeou/J993MQL02QItal - eUAYT+LJ/sSnvvixFDtpUcQUUCtah9oAABPUUbqLLhKpIcuWMosha3DT2isFe2CkDWy/TDsAyPBh - smztohheER3D+4XdOCy5uI3lIEEBhQ/Nf2LUIsUm+bOPHP/ymUd1JCIu5st4b3FR1DGGugzPC3o2 - w7pbjJBc4FEQDZj2qZnE0qYubnx548//3Uf+zUk83o1nvXVRVBzu0AapWL0ZdRM3kwzUnj9tThJy - e2x8y3/7tr91pByd5IOwKCIGFEHR2iwI4tqUECzw4SIiIiIiIiJ6CbjQlOaePF2diF48PHhKRERE - RERERERERERE+12tRVTVUgqAvu9zzidOnKg/FZHaVqN2oLusS0r0XDJggKAH5kAGUAyzgjP5V970 - tv/5xtu/Zj1f99SZI6U/uIJOeg+Y56JAO54A2OqKWbNVvMZIF0ERQCy4NW6tWWtoC4LV+n+41Pp/ - Fd/+cGgS7SR2qld2Q9Lz+LJsa1EXvV0ZNaR3Dx8ucIULiqJIbaoQzBtDcATxGIEWWGmjAiOfr6Tu - UOqvfurUrU9t/dNXvPaX3vxfYiNhfYqU10JUOAA/t/2rMLebiIiIiIiIiIiIiIiIiIiIiIjoaZah - F89Eh4uaQ+0wFxGBBA1mdl50935o3JRzjjE2o7bPqe/n7iVGPXhwTeEp9blPr3vta9/1D/5HBVRQ - yoX6W+3qihLAgUcffbSe32tmzMN7Ibi75xQ1uFmM8bbbbtvd2z927Nh4PK6J3TlnVa2P5u7ey/NX - 8xfdfRG94znnlJLTFe1yb3dERES0d9Uhqzv6PgOYTCYi0nf24z/+48ePH59MJqoqIiGEUsoeHN8S - Eb3gJAMOCBC1plAjA6YaVYMVN7EvP/6lR558cGobMhIA6iou4rIjLhCL1Grd0TPZxBEzmoSQx1pW - DaMsTVZ0OoHZnwAAIABJREFUEfMG8xZdgy5aH5A1Zon19gQmbnvhErA+5hT7HOeuvSAHz9GsKRgV - tAVtQTTU9eZSkywv5UGofxUcwWCKojDNobFP3vexKdYBjR6B4p535hATvdAWBdeqvkym9CHyU+xL - j3wBIbu7uBbDizDjq45X3PIK8SCuimCGoE0pQ5Tn+Qv/DLchrmrx8MEjq6sH6iDQHWYIF5/kuHy+ - n/fEFxGRIH5eA3l/8tST2frixcXrDLkg4PxfG/7TZ/wPiGjfEyBYDBbrztnFXbyouSDNLWorCCOb - /NXXfdcbrv/GSZwkzRcVLay+7HphgAIBHuCxHjpcNN8YcrtrC45UUghYsckkt7Ama9lYOfMHn/q9 - Tzz44T6uCxxdjh68wATSyL7qZ3LRxMRdBMXNVSKCCh546DNPzr9soUBhBlUEt+AGoGDZuEQdER7h - Kq7wocPMIr3bgufgvXqvnvfCSHs53gbUEE3UF2cFuMAUJQwHrItoQVu8LT52b+1iIlp2bM87V/K5 - px+46uJD6lYetLTpTH/y7i/cnZAcJQYFHGI7X/Vd4MyLeW4uXsc2S+qifQbiKLmlYJtlPY9m7/m9 - X39y/oheraf6+crKODiQU9vAAzIAQAB1U2RINjFDaz4aTSYrsvrD3/HOG8rLJ3lVEYKO+lwg5pJz - KFldgcY0lkZLc8GhFxERERERERHtLTuOOS1PD2blAdE+Fy/3AhARERERERERERERERFdTiJSj6DX - 9G5Vrb3V6k/NzMxijCJSLy/rwtI+ZADOKdiQZ/jpjusdAKxBCdZjljBNP3PrV17Tl5cXsX5DYG0b - Tk9zG1EMJq6qs/ls0kxKKTPrx3FUSgEMsl21IotLB3RIp95ZRqKAKdRgcPWaJg01QPdTQe/O0r5n - KTs0gQJWq6dkWEF1jdXHNGp05M3NvhXA4MDVUfps4zw7LPrUfZ//R6+67ecf+Bw2Z1hBEw2hLedt - GttbhV1wC5HFXS5/5Ez7JiIiIiIiIiIiIiIiIiIiIiKi/c6W53GJCGDuqDmuLuLAvffeu/hNAVxc - /UpPuKnJyhI0xjgaNX3fnz17djwei2gUMXfL5Qff/jfvvPPO43d/1kq2XQrqNhjO67w9LA/gOHHi - xGgyzvN5Kda2LSPxdl0pRSS0bbsxmydPt936qo/f9Unb8Xg8n0dZgeuOXhMcXqO7Uxq1rdRv99iZ - jCmltm1TSjHG+m3TNCGEy71cRERERHSZtW3b9/1sNqvFhuub6Ud/9O/eeeedN954Y9uO+76rw8jL - vZhERJeHQaWWT4oBEEBUN6db40nsY/rA8fflyUzaOJ3nEWDQGmpXY6SHySC381LQajWrmKmrufj2 - 9UMh53JOQYfJOoVDYDVer6baXt7LqiiCw2Ch/i+LKwGIQR22rDkVhEucfzFA65osgAsSMFn1D3/u - /X/56956OF4DG5m5aoTXltRmAh0KUbdXu8CWM5811PACU3VEF6km04sDYi4oAFQT7OGnHsQ49d4h - iFvRIPDnscEJAKgpUBNhh6tDvUZNXMf92i2HXxUQXODu7kUa8WziUp8I580416XRGvs5PFMMgBlW - 2gMHRodGZaLqvSfJUBG7lAnU4T6X+zhxuIqYnVvRj6J2avOpmW/G0gRHEIGqBwPM6h+7Qky83pSB - UaBE9Cxc6zBssfMZdpoxtjn3IqGf2trKVd/7zT/w1L8/2W/dm8fToj08QgzY3sfKYvfoMhxsHW5w - e3do4jVpuw45bOhuIbbdygSQCJsDLq20fUo6kq28kaX87ofuvPbbr7tl3IapTNrV2EW4ltwjQKQe - VYTs2IX6OUNEE1cMd72fuIYg5g7zpmkt9Wf6k8cfvGverGfJYggZQcXFHS7A4lOEx7refDGkzzJ0 - Lqk3K/XltT5kuPwj7eHStzvz1I4ueHrXF1eHSg2KF4HbYkgOsaiuAnOBAa7mYrLj9bwIwmJrPc/2 - C67sfCOjpS+jkWbtpJV7Hrzrza99cyxxpBP3bIDWgVH9fYdc6Wcd7AapqefBt08OMIgLPEAUncx0 - Nb3nD/6v0/LlfrK50W9MVpHmfSMR8BB0PrfYYju+exjdRXiIZTRKB37wu370unDLSndYvXF3g4cQ - CpKcOzbkQ0W74dm3I2MLKCIiIiIiot0V0U5mVwMwURE3uDjUI2Cm2QHxSwnzNbhCAnxlfk1Ee+H5 - R9ml4goi2iWM7iYiItpz/PmcKEZ0MUQkhODurEgnIiK67FS1viI3TZNSWn5LREREz1N9VVVVd+es - Cz2TGt0dY0wphRBSSjnn5U9rmPfyNy/TMtK+ZfDtNgHDRwAAN0iwVPomRADIUkQ0QhRQpDxrg6P0 - mHU/dsttN/V20yyNLdXiqCzocxkLJEMFZg54EKTcARiJekk63DsA+M56pHNq1bbfttRvzRfNFHzR - 5mAfl5w8ZxsCBUwMvizRLgAgcKC4AWgFAIohCKa5VmuZytZab42PfuHWrzjRhF/+wv1oFOMc2tay - aAjmtbNFLS60p1VrqC/KrMW387pdzACFcjdHRERERERERERERERERERERERXMLnAmV31VKplDs2i - 5fbyNwUAUskBePixLz/04CO33His0QCBu5shNJpSinrldHv3nWeSiQA1vMTNIBImoxXUM9ocKiqC - qOHdv/TLb/mWb4kSXNBbARCaWFIG0ATNJV/smdwOnH/+W73KocDjTz7R930Q0RByzkGYHLSbXOCu - oYkplaZp3Oy2V716eTL++au6fr9oKyZP/0nQuunAXSDuLsCtt7y8EbGcIdLEYFYAaNR6zv+FnqeX - Td/3TdPUr5umqXHjl3eRiIiInicRKaXwFY0IQK08rdWF9VsAf5E+D33f1y+WVaunz27+rXf88Hvf - +95Dhw4hxGTFBFEkpdS0AYAVLAtd+QQkoitVKBEQQF1Q1CBe06nd+thIkfnJ/sRnnvj4mclJUW1d - HeoKkzkWRanqCA63XHKGtrFtEnJ2hKYpfQlDCm8CktYaShsKOYcwYGBRk7sIAt8zkwwmCCVCMhQQ - 1NBiE83BLCJ1WGtGZe6thpQSJqJN4113US8YdU6vrpMiUdxCUZdcGmyUjWbV/+Rz//GWN7wCmKCP - MIU0JgZJLtnggKlHuIgrpCjM4C5mog4D1JjeTc+DmDsgEHE0jqLIAQWuFrP6fY/ePW3PlsaK27hp - UpdCuLjbr+HWNTjTBcEQTR0owbKgGaHfQluaEMJ6nh6S9uXx9pvCbeJNblNO1rRNn6cSIF4r+vXc - G0dWU0NbFNAsYoIgWeESm7Mb+fabv+ahe/58qzs5ngQrxc306Vmhz75+3HZ8veOuvSym67eDvYvm - 9ebsn536whsPf+NoHkpvsUXGPEtWaeAKUTEEz8Fs0RDgyjl+QUS7yAHTjGEAp4uBkwJIqWtGsS9d - 04wtl2vGN/3AN/7Ie/7oXzxUPjuzfhJaN0ObkpVkGI/gvYqpS21OouoIsBpMjGGXmBe7xmUTFdSd - 286Qb3cEjZ7d3VU8lx4tep8+svX5//uPf+NHvvUnrp5o2UL0NpQmiPVSRB3FBBZM602ZeN2R647O - JzjvAOhLk8miN8ni8un7dxmioNXFTDWl1Ih66dM4P3Tq/nuf+FQ/7i0gGFqJ7ugkm0IcQVBcVENj - jefiyAh55pAWIhBHcARDKIraKUUAh+6tjjIGYHsDk2H4ali+LzBIr1DUfG6BxjAvRYGQZBLXLCeo - z0PJkkxNMfy/RYcX9mDnHMCum5wsb3zYyoc2QcElIs7LzOL0RP+FTz304be9/L/ut7yEqCJSihtM - gmijsFIsvPQ30Yvz9LRyf/oWvR2FDldRlyIQ16DmntyCAi16zGXkM1v/47v+4O5HPpyO9H07jwYk - FDVDDwDFRgB6oAmb03LwgHZTm/hEvelSf0AOvOOv/PjN+TUHyzXuoRSLIcaofd+HIAaNpW5damJW - +z4xbZ12x3IjNwAQw7CDFY7hiYiIiIiIdoEMFyOs/c5Pvb9g7uf8UAF7/sc/BQgYt1iTnVcNt7/9 - Ld/oEe0RjO4mIiIi2qfcvZSiql3XjUaj5ZUs7SAiIrpclqmi51V4EhER0fNRuySYWYyxhjGr1nPX - y+VeNNpDzGxtbW1zcxM7umbknGPkoTTaC3acXSGLUy4EosgpNU0EYMW1UQBd9nEUlNQ6sDFFKn/v - ttteke1wP28tByDXdoq1PaLHRfeBcwrbLmj7zckzTh8atgtLjKeE/AVp7V5av37mX3OgLB76eW+H - RnHa5cZmOem7brv9f7vvs1CFuIa2lBJim/sSY9i+VQHchiIlsfM3KgCAQZ9lAyAiIiIiIiIiIiIi - IiIiIiIiIrri2bP0Vq6N5B0CfORPP3bLd/9XOecAQRCFiCOEsHdygF4cIqKqKRUA8PKKW25510/8 - /V/45V/0xSlqy8KoF+LM7fl8jpo3IlAXd3/m8xvpUqiqC9wdIlH1yJEj56zg8+LtF1fWxvQ7QwMA - eA0+FKkJ8AoB/GXHbvRiKiKQZRkdi+mIiIiI6EVWSmmappQSQiil1HLU+vVF3c5o1HRdeuihR9/x - jh/6zd/8jZWVFYgDZmaTycRR+r5XiTsLXYmIrjxDgaKrixUZupHXNG4EmecuTMonj390S8/OMFcT - QQDUJW/PM/gwtzBq1RqZzvsi0YEQkHPfNm0pWQB4rjGBwyWAOjPkywpcq18bcM5vXt5LaDCFRJOs - 9T8dUhXNHS4wywoJEpqIufWbW37gEsrcHQqr/7uKBtMi2mVbmUjqpp978Pj6609ZHyZyWLxxV4gB - BriLCWpgp6Kmr29PBhnQXNJGQbRN6tPbRQGBCWCCApWmPHryoZlMc8hDoqqVKOKXNOHusp17LQ4I - QpC++Dxh3IrMtaQcR/C5vPr621fzAROHmqhD3CyLBNkRGOkAhqfJ4sZhqBOcCyX7eLxy87Wv1C6O - xm2fpuKIMRa/xCGfXPj/PufghYundv7omYfkyJukSNTG3TOKhBpWOvwLOvwvZsKJVyJ6FkPGsOCc - 0NzYBvOcc25CdHOfN688ePt33fF9v/7RX0zjBIMGnXVlvAoxdB3a2sZkGEiYDO1HAB/yugG7QEzv - wuJVou69zcV35iO7AKvdn5+69/f/5M7ve8vbD6IZ4cDG2a3ReJy1dyx3ucs/EbjrzuYoYsvF21eK - WynlwGRlfX4qr0z/9P6PdGFmAZDtyG0TOCAOdRRx8aIwuDmsOA4eCLNchlVsgOvipdDqa7XpHhhp - b1/ac/2OwWE6LHw2qIlEBGjbtpZNzBEEUiDDm45Fo5ft1TVYDjnOX+vbm25wlNTFKBJkNlv/9AN/ - +lde/dccvVuMw9sVd1OBQRFjROGU0bNSF5EiEFMJEAnqki0VF4+51zOfe+gTf/zJP5pc25zqz1hB - u/g7H3Yyw9DI3Q6syXxu4xhDjiHFpqx851u+51UHbr/Kj0WLBaWglFJETLXe8/LP4bhQ6DjRxapb - kT/z235Z9IlyngpDRERERES0CyJGEaPLvRREtCcwb4CIiIhonxIREUkpjUajZWJ3KYWRVERERJdF - 13Vt2wLIOdcmIzXJ+3IvFxER0RWitk5Q1RhjSulyLw7tRVtbW6o6nU7rt8ztpj1jUbkhi3onWMDQ - NSA2AaaAWJStktsQmyhAh26Kmf/0a9944OSZV/b9JFgpeRqhgBqCozUAKECR/Vdb9hKnwFqrOZnB - QoyHgzZnNn7mllc/2uLXH/wzTPrQjoEcm1jMgwoMEHWBCFAMAAIMpogAigJA2G6UqSzXICIiIiIi - IiIiIiIiIiIiIiIiqvwCjbYB4H3ve9/f+P6/bn1n5gqIiJntw5OvzExEauycux85dPjtb3/7P/8/ - f+3sbFqD7mqF1DKVeRc5sLW15e5wr/XSjHzedSJSrLg7NKjq9ddff2kbuSxTdnz4LCJwf93rXlfT - 35eh3cuviYiIiIheNO6ec65tl2KM9Y3MxeZ2A0h9AWBmx48ff9e73vVrv/ZrufSqMee+9B2KhRAm - k8nm5qaZLRs9ERFdedRhMJOiNRqxJjWKicKbfMZP3nX/xyAeAHMPEZKtJnYDy6hIM8HZqY0nkBH6 - kpsRIED2ru+auAjIk5fepbgJoAYBdEjpsmAxeHTYWGwUShGknAGsrmDUwvpnW9vnW8QKWk1SBOBq - YgDGDXLnTQynNp/89AOfuOPl3xJc0rTT0KgbxAx5sWYNGNKJF3F0NSpMFz8lukSuosvnOzKAYMFD - saa77+G7O+tFhkxNdw8h5EuNvq4xsdiRcBcEuQAtEjpVHYexdPG2W79CNXhBUClwAKWUtmn8QiPB - Gig7THSKQeozQuEG90aam6+9eYQV080OgMHFXuBni7nkhx75kr/KTREAh1nxoBFeq8azAPAIV9N+ - uU6IiJ7Oh4HK+debmShUNYT/n717j5bkus7D/u19TlV1973zwGNAAMQbGBAA8SBAkBJFiiIpWpHW - shnKsmSF1rJixY4VRQmdyMlyYilLzl+2FEfLK1lS7BWJlhUrUvSyE4kUaYqS+BLFBwiABCCABEAI - Lw4wGMzMvbe7qs45e+eP0/fO4I07HGBwZ74fejWA+6jbXV1ddfpU7f0FNxvLOJvNrrvyhu8Z3v/h - 2363b5+y2LvDkkj2To7tZsQhdaADALrtgFuBixUd1VtAxdtYRgDuZmHxpa998vyzz33vje+XXqBt - EyYlu0MgKGo55BwyAHUEi8vo5fo0N5/WtlfQa86LPgV/dv8YhYgIoIjyzfVH7nzwNuwRBWCQzVR1 - PRavjmmIwyIXz12jMbYbi176Mm5gNgMcoUzEg7pBVFxdcqmjW7wmxtvbvXdB24RkJY0osBAW5gPM - ARPxAMQCAYKZOsyWbX+2w5omjjmbIooD8tiBRx948muXrVwzKXvUVFUEcJPixd11czxPz7T1qcTM - k6m6BpUgXlSkEYd7mLZP+9OPbHz1dz7zqxvt+jButIqyNWRzhat6BCyHbAo1l4IZxEYzLVq693/7 - D7/t0vfsyeeiR+1NVy8CARBCsFd6ZEdERERERERERESvInZiJyIiIjpDlVL6vm+aZiscdBgGRlIR - ERGdKl3X1QJLVa1XaPE6LSIiopOiXgwdQsg51w+/tXsC0bOklEopXdeZmZnFGDkeo9cIFzhggAMF - OaM4CqTUkmNbjIAIMA2xsRzygMUG+v4fXbF/+vhj543jxXEyqUHdiqRwgToUUJ4p3pnM0I+2MAtB - Qkm+WH9915w3Dvsl/OSV+zGMGBZICyC5jb65/QCbVXayVdd+bBfncqz+nIiIiIiIiIiIiIiIiIiI - iIiIiLY8b5jFF77whXEctwpyt7o2n2lEJKUUYxQRERmGYd++fR/4wAcAeDEAvhndjRr4c1L1fX/8 - /zojn0+2GigIoF5rvW/fvpezil/wZ0Rw3GskwE033RRCqLHr7l6T4FV5dSsRERERvapqSncdl25l - eJ/AuNTcAAiCu3z0o5/4+Z//+aBNznk2WxWRtm0B1OJWAOzvRESnMXEo3DWbFgXEaywgimd05d5v - 3vnIkW9oQCsqAvcsyMERDME0mNbMSAfaGUZHbJEzUFDmmAlWghyrj9yJ94BLts0ky82iTg3WaK/a - Y5wDgtAADSwhLV5kTb+A5fqxWkBqYi4GWBDJCSEEbeVzd33GV3KPDZciMEip1ae6rDm1zSrUYxOe - 6iKu4qrPCYMk2g4rKIAa6t7BxdXVh+bo1x67K2Osb4qogDkA3eaMrxy38WOzMN8BS94ENBEpe4Jp - E3VszurOveh1F4urOmACE5UgCC+6fFVXF7iYHYuh1UaDj7YSd5+/50LL0jTRBOWVDsoWM02PH3yk - 9zxKcTEA6lGshasAggIYIF7jWomItq+UohJVgmVXhCixjMUX+u4bvvetl71jmlfKwvauzNLgltCG - CVxdUPeqwZa78e12sdj68RKsaDYAHmJpY4lSEKeeVtY/fvsffPGhTx3WJ5o9OowbwWKTG3V1IAcv - 6hBT0+AaLKpD/ZXeKb/WxRjnfR9m8fb7v3TUD7lADaF2oRG4QI4ddrWMuWsQJyiwfuxFIK77dsdY - EEsbrFFX02IyupgJdvT4XBy5L63HPW3TCnKx0bJPSpwppAbAIxQEhzqiIRbVbV4UYCiIKMCYgKDo - 8Ok7/shXR9FU17moqqrCxYpZ3t7SzzjmwYoniCCoFS3F3UWCj3p0XQ/86//vFxeTw0PX9zY0Tdso - 6h5AXdWjuJhoHcW5QwA17XwW1tv33PC977z6vSv5HB8057w1W1jnCYmIiIiIiIiIiOg0w0v3iIiI - iM5QIYQQAoD5fL6ysiIiXdeVUuoXiYiI6FVWe/QsFouVlRUAKaWmadg1hoiI6FvXNE09sG61M1DV - cRxrswOiLTnnrVkRVd1qtEF06jkABIeLGdxgAyDQ4AZEnUaMKQSHOLKhn/+DK/afP+aLcpkGCV6e - yBsqkAB1iC8LqKoAiDOzeScRhyC0TZznUURmxaYA8nooPjncXzqb/uz+G3/2zi9jlwMWdZZTsrYB - 0NbXfbmTsxrcLtgsqFN43R5OzdMiIiIiIiIiIiIiIiIiIiIiIiJ6zfNj/37km499/f77r7t6v8EB - BFWcicndUFUzG8e+Xn1mZlHjf/kTP/H//M7vPnn4kIi4sTBqB3P3ejW1A+6+a9euE3s53R0CVbVS - sLnZXHTe6y688MKtcPeKlXRERERE9OprmibnbGZ19GtmXdcNw7Dd5YhGs1ysAGhb/aVf+j/POeec - v/v3fmyxWIxjWtkz7ft+HMeu60SklMLSRSI6LYlDAYNBzBHEY026LWIJ41zWPvu1T4/TdbVRHQIU - gwrgBo+ovwp1oIjFqP26hYDdU8Eg7ShxCLFtFkhlu3F5rxkuuQRzAbzWikINoTRiTYeV2JZ5eVoa - jI7FiGiIUbDN6bUarV2Wycg1vRsQlN5XWrWc+zJ/bHjo/oP3XNVdv6vpUIrAsPlnFAYxeHZRYKvi - VOBaozhZg0onTszhx21DBiAgAPZk/9ij6w8ULQXYGiLVTlzbom4GWN14XQGYQGHL4vqIRYE2ACSv - y5WXXbvS7pJ1qEaYuEFdg6qXF1x8sK33lwMGWf4xEdiQ23FyzcVvvO+O28M0FM8qr2xOrIkJxvXx - 6KGNQ6t6VrIS0SpUTAVmAkGGKIAanmuy7Sh0IjrTLHcSxx3rQ2hExF3SmJs2Rm3cPFpjRyc/+F0/ - 8tT/+/j9R7+yWF9f6SYplZwgAojJ5klTcdSd8XYfiQAucKBoDkCwqCUAisbWF0O3ouvjod/50//7 - gh+8pMF0RfZOrYUr3IvWIGoDoEAwBbTAXAxQE0PNmd7xjq1SfYGfcBwbyxXLopo0rY2Hvvi1z/pK - LiheoAo4XK0A0SAOCFwwJqzsnpj36yO6iNnKbO3QvI3WaOsIJuZSShxdYGLqiBliOzXlRF09ecwB - QUU8adYpHFhblK5ZRnfDI2BwVWtMIJIg2wjYztlDB0Q4UEYbJX3l4S8dHL/39TJRi4bo7ooi6iqw - 5YejF3phz3BmYholpwwfBdENQNC2yd3RR/s7fuOPf/loeOqwHwmdKjD0Y9eG7AXLvQGSwLQOFREi - LMEFXZm8/arv+6vX/1A33xtLJy4hHmvJXs9fcx6PiIiIiIiIiIjoNLNTJ7WJiIiI6FtkZjnnGGPN - Bx2GoVZ3nOrHRUREdIZy9xBCPS7nnJumqUfnU/24iIiIdrx6SC2lhBDMTFUXi8V0OmVjLzqeiNSh - 1/r6+urqKoCtrHeiU25Z/SUQoAEKtACABO2GRd9NJoiCxQDL2Fh88MbrL17f2Cc6jn0QSNNgRDsJ - 41hquVSd/tvcA9px97QDuGj24lmbpnlyHC9t4zDmnHwlYlfQMedy4ImfvuraJ87Z9a/uvANhEbtp - AbbqxB1qsIAgwLFCvM2GCVtbGhERERERERERERERERERERERET2P4669+9RnP/OGq6/SEODLa/Dc - /Uyr0DWzrQKoVCxKMJFLL730Pd/1rt/6978LSIGjJjcDJzeoRIDpdCosin6FhRDcvUbU12utRfCS - l+F7Ddp6ge/Wa1nfdPONqmo515K6muft7lt54UREREREr46UUtM0ANw9xlhKyXkbCUxb3D3GtuSx - a7thHELAz/3cz59zzjnve9/70GIYkog0TRNCGMeRg14iOjO4L8tZBYDH8tBTD9z7za/47pKGMZho - hDtqsqKYqquJuVhRFNGUrO1gCZI72Yj7L7w6r40pJZ80RVB/eMfdFx1LSC6AdQAgGVDNK8GatJhP - OnlsMS9IcRYjMooKomN8+SXA6iquNa7yWUHbEfDiIjpKP3Ybn7zz49e+98Z8aBFloq41Qh3LlMc6 - 9WMmm5GPri8800O0De7HJomX+wdzQ77v8buO2CGbFDNoPPbD2xoxqUMABWwZU718O5ijUUnJTRAj - RJFHm6aVGy+/RccAExF1R0Bwd5VoZs87VFOHeg19dBcTE3W4RHOolehNM/p1F9/40Tt+ZzFuuEMC - zF/RgFgzddP04ONfP//SS2QIQTpBFBcA6gkCwEwcDhM4hOndRLRdIpKzARpCIxArxR3TZhZG9RR/ - 6D0/+iu//4uPzr82H47uPfesp44cCm0ADAL1zejoE+tk4gDgNcYYWRzRGwA5e2wxwObY6FY3/tVv - /+///Qf+p6lOfT5IjnZs562oZ6OWOz01AVwBOy1yu7fN3aHZZ3b3A7c/fPShcu4IkQBVMwDlOQe9 - dtIM45gcoUHXTspCdsuei/ZcXBYQD0VQQrIwmCRA1DX6VFxP+Uj7xO4BNG0spbj7qBuPrd8vAdZs - Zmc7AAW0aFavJ7vFtzmjExukDAAhwFTm4zoa//w9n37/NZfEssssmKFY0QARhNMjXP6VZC5mppIF - IjLRLoxxcTA98gef/80HN+4+jI242iRLlm0SJeey+fnGAEBMYMs9jAsGxLJyw0Xf9tfe+oN7xtdJ - aaSu7bV6AAAgAElEQVQoAI0KIOfs7qpa07tP4VMmIiIiIiIiIiKik46pA0RERERnKFVt2xbAOI5N - 03Rdt1VMQkRERK++EELf923bmlnNiazNTU714yIiItrxuq6r/bxqendKqeZ2s7sBPUvt9VZzu8dx - bNu2dhI81Y+Lznhbnwmk1vGE6BpRk5bRTSfFhpBGDOP/cM0bd6dhfxpW4ePYTychlXJoTLun2i9K - pxCDbPZD9GXBLQDW2e4kBkCjhFDG4eJZtyjDAEwEklGyjbALuukooXv08H93xXU/f99XoQgKSFNr - Gwt02S2hFjkKsgJAAMQAw7IwnUdIIiIiIiIiIiIiIiIiIiIiIiKi4z3zQrsA+eh/+Nh/+rd/pI2N - F3d3cRXImXbxlcFVEGM0syDq4jkNaVz88N/8wd//yO/Px1SvWnTfbg/zlybAysqKbOZI1zwVXg55 - 0omImTlcQ1DVbb2Oz0pjcC8ARGBuAfjOt78jpTSJMaWEZRIP+54TERER0SnQNE0djqaU5vP56upq - HaNul7uXUhzajwMAiI6j/czP/MxFF11061tu2YzrtpSKuE8mk3EcT/IzISJ6zdDNoEQTUygQXdIQ - Nr5035+t+dPSDUjuDhFAkAVwRIdDIDBBETg0FdszWZXR22Hl1v3vfN8737+CLnirZQUexXfkP5Ba - 4KuwrqhZGAwAgjqmnT52+P5f//gv3vHNLyXLOo2WkXMO24zMVlcDXMwEoYbSAQI0ocljCp3oBBu2 - dtcjdzyx8eg+vSh4B4d6gAuk/q4AMIGLGRwukGVisTx7lpRoO1wBFwlwiIhB3d18HLFx5wO3LcKa - Nm5lmbS6Oe97IkzqhqoONUDFxBEEpSB0GA2thwt3X3zFvjcEawNUDIrgapa90QhHnWl+bthsfSd7 - fVtJATbDZd270HoKF+6+6Py9FzzQH4otsr/yiffi3qS7HrzzzVe+PeS2dV2WiEsWbL1dzUVehcdC - RDvaco/3nN4jZnC3JgRVmBnM4S6ClXa2sbF2yezqH37Hj33oY//bdDI5dOSJdqbFsgDqtZ/Jsr+J - +DbHEA51NbWicKnnGbMp1DWEZsxjnIrBnzx6ACH82kf+5d/53h8PLVpfASKgwRqxIK4u5prh6svc - 7nqz06C5ygvu0/35vxM0JizW8NTn7/skZnnUwU1Uo/hY285g8/VRh4lpkFIsKKI32JDyNN73th/4 - zuvfuwfnhNIAMLGi9ecNALzF5lFyx/1TtCT0RTOAx9Ye+vX/8H984+jX02xop/CM+mRdxhIAmHiv - pibbC6TXJtoiIyNEKeropMThtns//56r/qNWdrdxNScvUlxMIGYmCNta/o73AtvtC1AvCNI04lIc - qiXmh9bv+vOHPn7bY58tu/qgSJZQtIsxDUPbIhsgasHUcwDcUQRwLdn2zS7Y3978/jf/6N5yfuij - No2plJJqlPvWaWt2qCMiIiIiIiIiIjr9MLqbiIiI6AxVSlFV2QSgaRozU+UFdkRERK+2elyeTCY1 - t7v2i6kB3kRERPQtqn0TzCyEgOM6KZzqx0WvLTXZvW4qqtq2LQDmdtNrhVutcxPoMnl7GcHt8ByG - EeP4j6++dt9iPukXqwFBPQHFS3GsdkiDtfqMGjmXrcLjM61N6OnA3BdpmAoW82EA2hZ5RAMosEsw - Dr0O6YI4XV2kD162/1/cfy+yha5B02YEg2Irm/vYFmDComsiIiIiIiIiIiIiIiIiIiIiIqLneoFW - 8gn+55///MZiaHY3tW2ziKiqnWHhNTXcbtJ2Gxsbs9mqmaHYysr0Xe9613Q6XYyjA6pqtr0O5i/T - ZDKpj0EAwEXEz7D1/+owsxq9Xtf0iUTmqMC9XgyrAjgUuP66N4p527YppVpJh6330SuzwRARERER - vZBSCoCmaQDU9O5hGE5gOTHGlFIIjVnO2QTY2Bj+/t//8V/7tX+zf//+GLWUouohyGKxqOWuRESn - HYUbRNW3QrgtWHSxI+Xp2x/8oq3kVFIbRBxicEFRQKCicEDMxVxgQNu248JW02yWz37Tpd+xp1ys - C1vRVR879Z3ajUcc6gJXeFvUchiLujgU2deGi3ZdeuW51x3YOHAoHOytd/Ou7YqdyCFp8+9tBnc7 - Skpt0/VpsADEtKFHbrvnc997w/vLPIs1ABQKh8LM1cWOhTgKxGFiwQOTu+lbpup1mtFdJCMXpFHn - Dxy4fwjjJAYUADDDyc1VLcVjq8UNjjyg1e7CvZed3Z4Xx6gSSykximozjmOUF9y9CCAOF3GBqWnR - 476jUVQTJpOVC/Zc9OjiQQ1Dn/tXtoZf4IDF9JcHH0jNoksrluHuAW5SmxEoAGwzXpSI6HgiAgQR - SSmb5dqHxzz3fT/rVvv1ct15N/+N7/qRf/Pxf9numY6+UX/LAEBdDGInsCNUV/GolqEGgQmKImh2 - j3ARwTi6CNrd3WJYv/fJr3z4i7/3A7f+LTeJmKppKCFY3Zl70QxXEzg0mMIjdDxZK2enMIEAo/Tf - nD9416O36QVi8JRL22gAxCH1gCK1D4mpw0oJAkeTB+yOK5Nu95uveMe55aLJfHfMrWsGtCAAKigA - fCf3rCma0WCwedPGbnV2wfSqI2ntKRwoeQi+PJouP9cIxKFiuq1Dq2AcsyqaBg7dyP2uWTPfWDs0 - HnjsyMOx3XVWs0vQmhjEY+0ExNYvL0wdBm2laUQHDEnXN3Doric+94df+u10dt+LiQImXpBLmc3C - fF401k3UiiIaxBFcxdqJzc6TSz/w3f/ZheHyfLhM2ul8Pu+mU4RQT1XXLmTHZ3gTERERERERERHR - aYMTsURERERnqHomGJulIxVzu4mIiE6JreNyPRarKvNEiYiITpbjD7LHf4XoeFutLjg3Qq85YlCD - GArgSH2BwgMgQBlxeP1D7/y+//X8Ky5cX9/tGTALtuFuDVKBODyhMajBHQ5kQdJlXRCEwd07kJir - S/QsLoKJQBNEUWrnUUeEBEjxMab+yj7/s0uv/kcXX4Gj61g/VFAMyEA5rv4teo6egQw1sOcPERER - ERERERERERERERERERHRszznQjsDHDgy3/j0Zz+TzQwwsxhjDXs706hqSqlt25KymTVtLCnByg/9 - jb/exQgghKCqDsjJu0ZXBQbs3bu3Xha+FfxMJ9dWO/IYI4D5fO5ACNtY1b5cjosCDlWBQYCVyfSW - W26JMfZ9v1VVVzG3m4iIiIheZXXEW6sL63C073tsVqHWr6tq/Wjz4otKKQEopdRQnxqZeOjQ0Z/4 - iZ84cOBA/fW+H7WJruLuIrK1WDOrX3lFnywR0atAVa04EiZNB/W+DNqFQdJn7vpknvaj9QgwgcYW - wDIEbTmD4IC5whSuVtI41U5T87rV11930S26vrJir0M/UVcg79CbSzYppsVCD8kAxEVh6tYC3vtb - b3pbLF0exiDWqlvuT+AVANQELvDjKkkFIeeMADTogdQMX7j7z+ayVrSMXlxUYwcPJau7uEttVe3P - ODAVYBnpTXRiGm1KcRGHSioe2qbZZbfd85ne59rqmJMGoNTc7hNvvaW+jNkWwMVMAEXOBkfusRIl - DN11l1w/8xUpASZBopflJL+jiPpzN/TjRoFuEK85nu71PSZBS3HJOpXVa6+4QcaIJFFFXuGcx6aR - eb8+yNpDB78uUbIVEa9DSwBqAa6AmWQAcPbQIKIXpPXmdQ98PBNxM1PVJrRe4AVwlRhyts6a2bDy - xn23vvfm963YHsmQgORwF7jCTQQiJ5rr7DEY6o7UBSkga85SVGMw1RxLKUnHxcr6J+/7xKe+/seL - 2ZEcBkdR11iCmFtADsjRatKyuITTeE/oCtd6Uq+Uoqoi7l5CELOM6Dku/uSOP2zPs/VhPRefrc6y - mQtcoA5xOKIfd7jLIxShQWu9Xnv59Xu7s5syC6UVb8QiXJdjRUgNRT7lw+wTvgUD5mWWJ5OhDWt4 - z61/xY+GpoQAgSssGqKLOtRc3Zf/u71XRyAKT2rZmy72toFGcsh/etsfxb2afBDx0Ki5p1QmzYQT - Q1Udm21dg6GqpRQBYikN2n4Qa0O/8tQXH/7o733uQ/mstSFaVhSDuLcSGtE0lBgR28bFikEVGVDV - LsRmHi/R637su/+rc3Be3ijtbGU9DZPZrM7piUg9S14n6071miAiIiIiIiIiIqKT7/Q9YUBERERE - REREREREREREtJM5dG3oiyhEYWimAYCszbGY48iR//Haaxa3f/l1eWNvTjIM04CUlsVRcIhv1ifX - Yvtlxdyy5B5YFgfTzhLc1OGCIoBDHVIbwS7LIdXEIaUrac84vm6+uHhj/tNXX42CbpxHKwEwYGMB - D0hptHHwNNZ2QBv9fJkKT0RERERERERERERERERERERERC9MRR348Ec+UorH2GQrz4ofPjOJL3vo - N03z7u9615hzF0NOycxCCCcxktkcAKbTaW2ZjRoKxcjnk22rHbmZlVLGcTyx5bjBDarL6xMnIX7b - W98aY8QrnV1DRERERPQybH2Uq2lkMUYANe+qaZqaD1SHxCfyocMhgkceeeyDH/wHTxw42MRuNput - r8+bpqkhQCmllJK71wxvfq4hop3OgbHkpumiNmOfxjx6i3nZyM1498O3Pz0+KRHqKO7FzUWjQB3B - N2sja3WjQIDg6EIMg7752ltjaqdhl+dGpQMAsZ17r8iKUZEgSZZFoabwKKoFeybnXnPZDU2JSA54 - aMMJRGU7npG7KQ41iIjEUAMaobCY1uzQ3Q9+xZpc89T7YcimbTNpmvYZSxMAUDeILZ8F0QnSUjzG - Nuc8jn03W1lL64t49KsPfblosmNbl8JjbZZu3+qMe12mhTaWgiDoVNo0XfU9N155s/WqFra1OH/e - +UxXNynFp9209OXScy/fE89pvSsjXumW733vzaRZs0MPHfjaoD3UXXLyEZLrnkA9LNsNLLGpABGd - HBqaxTg0IZa5nRXOe+f1773+ojfrRtd4hEMldl3nBerIBaIncjZIYHUMA4cBWZEDTAywYBpMxVE0 - D81iMVn/8Bf/3b0HvzrG9TjxUlKMMYSQDUVRFCaAWB0d1Ydyuu4NRSSE0DTN1iRGKQWKuc8PDk/c - e+ArazgkrYprGvsQlsfZ2pTGoctEakFxTFeaPKZJmMjQ3nrD26Y6K2MRF/U6XDdBgRRZHhvtlI+x - T/we6HTS+VR6mcnqRWddevE5l3XWeXJ4dNH6Y+Kqy+h329b43DeH0+IKV9NsYiZWtDz41P0PH32o - NCkh9WMvIiuzXRvrC5zGGfPbISLz+bzv+/qOrps3gCbEYsk6HJW1ew5+4d999v+SsxZjY0WwDJV3 - hZjCgkEcwyK5IwSkhLZr5hsm691Vu6//0b/yn1+xek1Mk6gN1CXA4HUakIiIiIiIiIiIiE57nIcl - IiIiIiIiIiIiIiIiInotKoBOVhdQCGBubigJueDw2j+96ppL1tdW2hGNZWQB2mYaHJOis4xogKtt - tiwQqG7Wkpksy6iCQ5xJzTtJMLSGaHAgK1wU0BYxIjo0Q0eFCaJZaxaQIlKbhkuG9NPnX4mnc8w5 - AENvkxkMaLqoXStNg34EoNN2jsxaIiIiIiIiIiIiIiIiIiIiIiIioucSHLvcrrgD+Pgff2LeL4pb - E9tl9/MzVU38qb3LFbCc3nrrW/T47+pJbm4TRM4+++wa3S0ijLh7JYjIVht0d19bW6v/8WK/U1ui - P18vIxEpxQUYS/7+7/+PJ2373J8hIiIiIjol6icLVa0f68ZxzDnXXG1VVdX6oQPAZDJ5eYs8NiQ2 - h4Z42213/tRP/dRisRCEGFp3qcusC8dmgjg/1xDRacCh2QATMWmnrbQok/HOB7/48OEHks7bqApA - UepcjmksaDPagmhWK17rzEJQ+JjPmp110zU3qbl4KZKKmgkMaqI78V5cBa5e1FNACu7qHtwE2cwE - TRt2X7//1ga7IrosKGK+zQJg2wzYls18ypqwaAKNoTjcoQDUj/rhP7/3M2OzkNYRUOCqKhKQazZh - fTmV2YF0MplHDRpQUCy4dfaNw1+//4l7LKRjP+OKmop5otXvskyvr8swAMOY22ksBT7Eybjrhktu - 3jM5O6J5ngd4XBqni/lWoPjmG8HE1FVcAXVZnjIocIeqio/5gt2XXHneG3SM0Rs9gazabdIoo27c - +8jdiCnJ4CGLFhd3wTIBXUx92VfgVXg8RLRDyXLPVr10MnFKtnvXnvk4l4A2h/Oa87/vlvffcMF3 - zA/Jardinsd+PgvRRjSTONp29z4GGYHl/lZNHXCgKEwBWHALbgpALAVbtIsn/cBvfuLfHsyPr5Wn - 4tTnw1ETQ4ALNodSBvETiRB/LavjtOeM1kTE3SVKaEP2jNZLt7jzwS8dmD8yRxIRRfBiInB5nqOt - CdBgQMq5RA8Xn3f5FedfgyQKhyRIAiBuKouARfAc3IDXxEj7xO4BLSK5IBc0Mt0Tz7npiptDblpr - xAHJCgtu0XL0HJFVTmyEooDq1hgbKJoPlae/cN+fpWaOdtSmTklpCPFEFn86ats2xti0IUQZU98P - c4i5l+J5buvDdP0A7v/Vj/7SWvfkHCkbpDZQshbemmbAxDVYDI7V6cQKBHDH7vas8+zqH377371q - dpUdzsMwJE3juAAMGkPTMrKHiIiIiIiIiIjoTMB5QCIiIiIiIiIiIiIiIiKi1yIB5uNCgQQguOYe - R5/+he957z/dv/+8xXo3LsqY15NLQNvEI/2im6wCKtDgGhzBNWw2DBVAN0uOa0lPrTQ7zUrMTnvL - kiFAvRY+qh37Tv2XBTdxKwIHVgKajcUlo/2TS6/C0UWcz3dFhGLqxVEW8zkgmHQOb6ARgRcQEBER - ERERERERERERERERERERvTiHG/DEwSe/fPvt4zjGGMdxDCGcbj3ft0kd4hCHF9u1e/WKyy5JuTQa - AOScT/qfu+CCC7by814iT5pOSF23WzmCBw4cAPBiSYIvkOSkQQCU4gAUaES/+93vYSQhEREREb1G - pJREJIQAIISQUqrhQAD6vq8D15rtXb/yshe8HB53XTeOOUb91Kc+90/+yf88DEPTNCW7GWpeeIxR - REop7l4fBhHRjhZjzDk7oKoBMpZ5mvaf+sonSreIU0cxHxGCiIiboFgwDa6bRZGb80tA68jzdNP+ - N+3t9jaI49gjekLCskLWduJ9XUUuMK0zI6YwwNW1FG/CVIf2svPecMnuq2ZlV+sxJ9vWfKMJHLDj - ErtRM7xd3T17zg4RqAPmY5g/8OTXHn36GzorSQZpAPWc85j6KFp/UbbKVoGXE+RJ9JLMcowxNGFj - XAsr+Py9nx7aoyZJUSPndbnNy7Y3trr9w4HlbiRDlhttcoiqmobUTfPut1//nePhISJsN3rT1bCs - 09/61eXDRUCfNmKMMU1uvPwWX2in3Svb8t3RtZrSYE15+OCDh4dDRXrXBDVbrj3ZmrDdbCzAtzAR - vZiXvVfUIDqOuZ22LtbPF3FsL5pd9X23/sDlu6/xjdh5i+yKIC4qoZTtPQxTuNRdropF8Sget9KS - a3q34NgYqWjWPX4wPfpv/+CX++bIBp5Gm4sWkeVBYWvBgMEVftruDVW1lFJKgVgIQVXNLMu4oU9/ - 7q4/7Xa3UJSSGpUoy5HpsXRzMWCZ5C2CvMDuZiob8u3XfmczTBtrI8S1FM0u5mKQDMmuxcWA18RI - +8TuTVAkD76IMSg0rZcbrnrT2e25rXcA6qFTgMYQDVKH1idMTJaDAzN1TO3L93/xcHlijHNt3N2H - Yei67lv4A6eVvu9V1d1zziISYwwhWECPHnvS4+O9v/Lv/8ViujZO8kZGbBAMwVRd6mu03Jgdk6bZ - ONwH0UZn+Wndnfb9ne/7Ly5fvc43Gsm6Ml0NIdQJOjPjJQdERERERERERERnCHbeJiIiIiIiIiIi - IiIiIiJ6LQrAvmY6BVwy8hrW+//m8jfg6/evLNZaSw0wbdACIehGyl1oj/bzQTEIAESghTWwCFNY - LZIPhmjL6O4sSArbbm0xnTouy/LAtqApcEEK1qslMcAjrDWLBgBFkRRDwFxgAcEWl5b8c/su/uC+ - i7E4KjaXcS7AdLaSBAN0dOiYuuJneOtYIiIiIiIiIiIiIiIiIiIiIiKiF6DHbiIOFPNf/83fiG07 - lpxzbtv2VD/CU09hAmtjVMcNN9wAoCbPuctJ7G8jQHG/5JJLtpLtzKwmTNNJVOMJa0KhiDz44IMv - fxUfn4ZgxQGIYNJEA9785psvuOCCkk5+mjsRERER0QlommYcRwA5ZwAxxsViUQfDNTDJzGqS90vk - J4ltxkNupYApoMOQVDVnU8Vv/MZv/8qvfMgd7l7julNK9b/dndHdRHR6MAHU2y66l8V8bqF87cm7 - /3J+v8UBgjJACoKou0NMdWu+yI7F7gLBoLldlbPe8sa366JrTJsAVQMykKXmNe7AG2CjyhDCEGIK - UqSW9wZ4kNBKabtx19k4721XvnOytjpNzWSZtrut9V+PR8elb3pUjy7Ibg6ohFiAAm9tHYe/cPdn - xzAfdcM0JRsdSdyDQmoyJgDfmhQl+haZiHtJOY8aUEJ6cv7Ynd+4zWYJ2qtDXJdR02IA/ETSu9Vk - mToPAaSmcqLtZH0xNs20xezi3VdcetZVM12xlOtfeaHb8UsWr1Gx2TVLfahbbwoxiBRFljFE9YXu - f/31u+KeWKL4K/vGEWAs8GjzvP4X998RpwWSzLKLmWC5P/UA6FaKORHR89vcAx93GsgAMzHb+pwr - y5vA1IFifS5oQjfrbCztxq6r99z4/u/4wFnjvmZoZqEdB2vayWJ9aJttP5zN/idWjw7quhwRyfK7 - NS5aHMEAYMDGol17eOP+3/rEr2FPPzQb2qKUGuWL+sRMauY0ToOBjbiKqyzXx7Hz1/VUafbsIqWU - MQ0QRxjvfvjLD689qF3QAEiBjMEtOuBwoAiKQs3UrJ7dk4RdkL22ep7uu+n1N02H2cRnsGBA0TKG - PAYfFctbWG4VO/SmSAg92l7bDEuh+AW7zr/hshtlaNWXueZqqmUSS6t2QtHdrnB1MSAHRzS4oGju - dXh6PHj341/uw9FSchMaADmnk7mt7Awv+JYMIaSUzGwymahqzjn50K/0T8XHP/SH/8vj6Z6xyes9 - 2g4KiCM41Isg123bFC7wJA1ExNsczsuX/8g7/t7V51wrY9RmopOZeiwLU42hiV7SM6f1iIiIiIiI - iIiI6LS1s88TEBERERERERERERERERGdthwYBkmpXaxjLP/tVfsvTmV29PDZjQLogaGgmcrhwboQ - J00zibGWBBvgMAMKrAAFMMABBYIjnFhREL0GFDm+1sdMrKgVMUPGsjzs2PeLogAOrMZmlvtLkd5g - +YNv2I9+AQj6HtBcJDuCNKotuFUQERERERERERERERERERERERG9qNrJPMZowMc+9rGcs7urqvuZ - dQGWPzPGWfzYdYl1hVxwwQVBlul3InJcFNO3/KcBAPv376+p0jXi7iQun56lvnz33Xdf+BZWsgrG - lAF8//d/v+XMSEIiIiIieu2o6VYhhPX1dRGZTqc1V9vMapx2/egxDMOJLd8MTWzMAOCf//Nf+MhH - PuruXdfVRPC68PqJppRykp4TEdGpYYJUsqsUz2ZZIbOV7tNf+ZN5czjrQh1R0CnEkXNxAYKaoEhN - z4UhGgCHGHTsrrzg2ov3XtUM0zCGaey85J1eFlvn0xy6zJEUUzd1ABq0GwdrMAv95E1XfPuqnIOM - qM+I0HwZDGIuEMdWrajWjGHVgiIR7gil8aK5yTbp73nojqcXB6QrJQzmY4whNlpKUjiwub5dNxM0 - d/b6p1NLgCa4KnJOLrmZ2F1fv/2p8cBCNyBQh5iKq8LUn52c/TK5wOXYBKZuZng7XAKKK8bmbde/ - A2s6bSYntHwHXF2DbT0nA2CCXEqYqFnufHpWd+5Vr78aCfoKv2NSsqYRV0FTvnLPlzz0EszEXODH - gm8VEHEXcJxJRM9PtpKfffm/Ly2X2XQ6DIMB2rbD4LF07WLlxn03/9W3/vWuX/EhaAyuYoZG4wk8 - KhPUgY26qVnYPAvpAheYAGLqCI7gSLCFLmxXf9s3PvexL/yBrQyHN462TdDSxhKXv6hmmm17I6sd - ppRSz+iFEMyLu08mbZL+z776J3GXH9mYi6gGwN0yGg0ATFAULtDNLiUOwKFo0prcfNVb97Xnz3xX - niPoxEWK1qMhgOhoXWTn55uYIWvUMec8lt1xl/bh5qu/fVJ2iQcABoVHdVFT+LPPj7+kmrMOwMRM - EQ3BAUFRy5J9an/+lU+O4UhsICYAXGW7f+J01bZtjFFEQgillL7vRSSshCfs0V/8vV94Iv+lrfRH - F+uruyYlwwzqKo7gObipq4kmtTFkE4thMsmzbn32gXf9rbde/h22hjZ2Y/Kx2DAULyFI46kUG9qG - a5+IiIiIiIiIiOiMsNOntomIiIiIiIiIiIiIiIiITlNiaBR5xJHxH191w/mLsevXoxXP5q1Kg14x - eNg9aUvJ3m80eeyKRYeLjYpebSNiI9o82qhWxAAIEKGNaTRV01e69JdONi2iSVEUwLKeEIKiyLoM - 9haHOqIhFvWiITSLkg4jZxma4ejrn376H156FQ4fBWJZpEloVqCbPS24NRARERERERERERERERER - EREREb20Gq52+OjRD3/4wyGEEEJK6VQ/qFefPm/XmpxzCGHv7j3uMD+WQndy//A111xT49JrzF69 - p5NIjlNKueeee9z9Zb+Sz942ak7h2btX3/3ud5dSGN1NRERERK8ROeemaYZhEJHV1VUA7l6/qKop - pWEYzKyOYF96HCvPDjprmkZEsrkDMcRS/Cd/8r/+4he/tLa25u5t26qqmdWBd/2kSUS0w5l7GfIQ - ok6ayYGDB+555I55OOKCGOpN3MUdqlqkmFoOltWyokg0TGDQMlkJZ73lurc3i91d2hPHLo4RWRAI - LWEAACAASURBVMQAqCPu0BtcgyO6RdNoiFaiF3EDUNwM6g7Pze7ZedddfQsknMBso4vVLOF6ONLN - mlERMUCjeLFgoZWmiI9xOLI4eN83vpqwkJC1sSJJkOFZHOKuy6xBgbNzNX3rDOKiiDG625GNQ1+9 - 7/bcpjEAguBQj/AA2HMHVNuifqxwvr4FUkbTxTSWfee87vorbgpDm4asChez57uh3gAcN7irYdgm - EIe4qgs2J0Ad6gKJbpajdzo2t1x/S5Aor/AbRw0hBBcU9YOHH/3mk3/poUDFpAaLGgB4rIHoxz8p - IqIXJ8DmTsOwuQNxsTrMULc2hsX62tl7d/djWpvn2erexUa/KpPp2vS7r/+em698Rxv2Isro81kX - Pfl294fLfG4FkCGjIqsjGOBwoNS0aQCwaIiGIGhmODQ8Ybv6P73zj+5++M52GlEQrAmlqWOhmlGN - msS807keux2nzl1szTDU2OOHH33ooYNf91l2U0sBClcIlunodX0aENyCm0OLwBuMWWbd62667u2S - OkldSa34zLyFBwGCS8zTJk+a3AZT+KkfZp/wzdDAG5QWuQ15FtIsDLPX79l/xUXXA2oCh5rAxE2z - KVyw3YYsyy1QDbU5jAFAUdMoWYaHDt73wDfvi1HVxL1olOUR/Izz7DPLwzDUyToRGYYhxth13ZNr - 3/ytT/3qY+Xrh8bDpmHXnqZf9F3bjSNMAMl1SxYHYClgiNhosoY4PbznP3nb337jvuuGQxurKyvD - OEIlhq5pdk27vY21PiKoF+s5XiIiIiIiIiIiIjoT7PxTBUREREREREREREREREREO4XjmeU4z1e5 - 4YAbzJAS5mtYX//Za284++Dhs/I4A1aCuqMfDSGmgpxtMYytagtMjjsB7IKix24utX5qSbZSn1/D - xI/dXuS7wDbud7q6udSNSHBsDbig1EIvAZZf1+AIUDcZHXtW4uBogAtcL5yP//CmN2NtPSikAAlw - QBWy2UJouZXa1vZZv1CAcmz7tTO17ouIiIiIiIiIiIiIiIiIiIiIiM5Q9eopUTWzEATAL3/oXwNw - ERHxMzE8+nmuIgshqOrZZ59dV1fQUEo5WRF0AgQNXddd/PqLVLWmd7ug/ge9pJd/LaWZwdzgOefF - MDz08F9md7MXaUn/nJd4M9lGBSIIwA1vvP6ySy5tY+O5nB5XdRIRERHRThdjrBHa9TNFSqkGXAFw - 9xq87e6lFAD1flvqAs1MNeaSY4gAfvzHf/zhxx6dz+chNCJSSnH3+knqZD8/IqJXwovtrGKMqioi - iLKBw7f9xWfWcRidFcAKvCBn95JFEGMs2WvoYFEUzQ6IQz2G0p3Xvv6mS9+CjdDIJMa2T2OjIWpT - I/RsZ94DWoMn1aF+bD2KqxdMu66U0mjIg7/lTd82070+1G/XKOJYYyzrDKS6ag1rPG5C8lmTk1uV - p4CZFBiii2dHzfB2JCnrzdrtD35hfXFIYDHGlFIuHmLjW4GdYuqqLjWcmOh4JgqobM4CHttAlpGH - CkTxCCjEXLxkyzmHiS5046En73voqXtHbEhzbNPVZyzkxB8XAN0ManWgbTUP3ubm5v1vntru3ZNz - xCT7tsd1wHFvs2NpqW6eVZGTAREFmsIbL7p5L/aJh/pI1PGc9y/0+NnRE0orb0IsYylehrIxtOt/ - 8eidqQzurha3zlU4pGaO0kkXXMW1HpWOT0bfCoAXoMYVL78CFY/BNbguc5EBAOIqrvWdYvLspRG9 - Bpmou6jGcRy7ptUoxW11Oit93hX22pHmr73zb15+zhttPWiJ0YPkusc7LpdXlv9Tv+5Sb8tvPcPm - AUZ92VijchxrnKIOy0gJkz3tkbSxLke/+fTjBQnu6gZAj3s/+Wnx5nKx40ZlCkBgAlNVBM3ZshV4 - MLFD6Ykv3f85a8eNtFjZtZKtmEMDQkBJJhblWeNJMXEgocHsiouuPf+sy/Ic4rq6ujulYfn3XMRV - XdTqcVt3/Pgc0Yu0zaSZTPt5v6vZPUkrb7rq1pi7YCqucDW1HPIJD4alnkKVzUsNvObQpz6vYZZu - v+/zR/MaOgGQx7R57EBRmKghAlG8jrgMYiZmz+wddFqw+rauYyVAtYkm+P/Zu/doye7qPvDfvffv - nFN1H92tZyMEBkkgCQmBBAKBIARjnInNJPZMEsfGjjOJnTVOSPwYe5wMWcmsyV+z1tjOmpmMg4Mf - 8Yqd8YAzGbAnNtgQ24B4ybz0AAmBkEbohbrV3ffeqjrn99t7zx+/qrq3W43Ut9Vq9WN/1lnV996+ - t6rOqapzfud3fr/9FW6Y2UhlnR7TB/7fz77vjodu36Ij3Vrr0OlmZsGgxqmdN7QWf1/fluwYlzU8 - Of6+W/7mG656y4Xd/nFa39yYprYlEhiVYjnr0JdGZDzu+jx7rtfz2Gbzqbir47TGw9nCGc5PV7hp - edIRQytCCCGEEEII4VlwwGF+bO1c80WJ3UV96JPtPNxxF0ezHUsI4cwSQ/RCCCGEEEIIIYQQQggh - hBBCCOG08O2xE4vxGVZHchTTOtwi9wPMoIahx8bmL91863te/or9h5+8wIbOjeBTNRcWAoYyZiQz - IajbFJgCZVkb1JEMbZkvdR6vAQoUmMIMdgbOLltOE6LFDDwGM3gx9bQuIF/+7/w3T/D2bMcwXkw6 - mhdoODrg3LGcwm0ABOTqiXhrWuroAOrzvqFcdujQP7n+WkwOYnYATYbseHPOZ3wp3OAGWP0nLxYD - AIMrXGMkUAghhBBCCCGEEEIIIYQQQgghhBBCCOGctaOO0rxmU41MMAfg6onTbZ/+1L1fv98lGZG7 - mxV3dVcRATCULE166h0vR3yd5Z4yfqwWF3YqRdfW9qBmNrOfXNmpHWXnSaQFZF4kx/ymV73aSmEQ - +Tw23XBSUSvnk/nYyx3vvWVgzM7kmOVvkqskIiKD33n3XQcOHTGAtiNpdlQZWwz7pGWJsXm4yPy3 - RRpyJOK//9/+hA15lBKfAwM6QwghhBDCuYIWADRNs/PnZsbMZlaDvevv1NO9pfm3RxX03Vl+18xK - vXUga3FgY3P2Q+/8kemQt6Z9X3R1dTXnXHKfhGg+s8pocQ51LgYyhRDOKlTz4eruiI3YFtmiRtsB - pTVklB2ulphZuglmh0cPf/qBj/ioH7QArWoihhOcIQk554RWStNRAwYJ8mzWkjVOzSBvu+G708Fm - vVvr82SWZjqyQYfExG7sxjgrbwGr2xAwI1MiJXJiAGIQgyA7zZpU9o33XHvxTauzS+BwAufUWGtO - mWBM7iSWkibGdkDdzo4dYHsCs3JRLjBtCJRNGJbK4D0ZQJiuT+46cNe3nnykk2S9MbXedL27EpzM - OLNbzZQ1gsbxKOxgVJPohZ2TIimLzQOJjdzIyIWsYWvI2SgbD+5Ekvomb7WHP/KlDw4rh7JBAAUy - MQDyeYfjSfafmzeSCkAJItxnFIYy58Eu6C4cTdq33vDW1K+XWSJJPt9r8VOXRYQesGzf1V82Yeft - flRnI3MyYU/EZUaJRg4d82p7cN+tV313UzpzdCQNUTYUQWobL0jGab6tcEyW7YljZzJK3ChAa/Y4 - f/MzX/84d0gu4kkziAiNKGOah6YdmcVk8FOJnJNK0gZgJxhlo4yaFs/aly1mYkfHXeKmtwk6IhmJ - j1JpGpMGDDOFMjM7izVsDbxZ5Kw7uUd6dzhtfBEwb7TjpNYZx+wVneHsYDibJ0LjRu7KyGYTpWws - xZMNK/v4hT/wlr/9ktEr1qYXWu8i1KbOBrA0WUFCKREBKCAAzo6kxA52AjtkWTfDj0622VFSox4j - 6hM2oAEaYNoPMkbhkjkzQG4qWSUbbR9TyMFneXGM7RZ4PYI4kUPMGCoiW9PJeG1VjYW7GfqD44dv - +8ZHBpqJYEs3vDMHTMHOCa1Y8sFWk8BgBAWY0DnWdTSetG965ZuktI10qtmwhTQDDYDVKGuQqqiS - K8HojGhpn/ytkoBmNOsxkbHnfjbK7euueO2l7Qu7YXVsjWvObJkgROK7LllTU+prbHdhKEA2Stoy - adN5j0N3PvC5x/O3Nnnq0LF07AywsRW2QmLUkHVijZgxMig7qxEc9bTirM+XMc2AQQAydiRKQsnA - zlIcTdNNp1PpcEgefv9dv/Jnj31AVwZ3Us3u3rQggnt2Lki0lTEA1Kas5EZsWNW1PY9c+kM3/r03 - vvy7RNfL0IBGwiMUZgNpSchCWVpXLrM+N2n8nK4sbTc+d4Rt0zKRfXFmTQayHUntR4W1L8suHbcd - G2dsZ62jgtzcaHFKwlj0XT+vTy+EEEIIIYQQzmKLOQxmyI7s88Ruc5gdPbjnKdneJ/wAy+rSxx84 - pDv6OI8X8B1CeD6c9V2rIYQQQgghhBBCCCGEEEIIIYRwNpkPia+h3V5nSSQWzSjFmrZF7jGboM/v - uuYV44ceWj385Irn1oscPTOWFrN0CDCCMjJvT7Cvoc6yWLjmOh+vxuKZiLb/XT7N4z7zOgjlxG/P - Dbx4QXd+y8dbO3YXdye2Wv2UAKD1sjeXCza2fvra61AK8hR5Bsw3dx4sD0PRUmcuTqabDGJAFi/H - 9uOcK9szhBBCCCGEEEIIIYQQQgghhBBCCCGEEI6Ldn61+Mbdx90IQLHSpu5//1e/nEbj7CBhEWnb - NqVUSjGz1dXV2Wz2vDzz557tuD2Ku7v7oUOHErM5SjFmPrlqwkLMzHDXUuDOzMKNwd74hjfU9BRV - rSl6Ua34GX27cYbfTtu2k8nEzFJKd9x15y6qkdHOL7hp25wzgMsuu+ztb3vb3rX16dYk98MunkoI - IYQQQgjPE2ZW1ZrbPZvN6heqmlICMB6P6y/Ub3fl4MHDP/A3f2gymXTteDYbmFmkeeY/CyGE5xMD - WMbZApj3Cx2dr0vuqqpQdPrlh+98dPpQxpSI4AJvFqm8879j58SpDFoGSMLaukBtjPFevuBl+69Z - by+AAgwXdoG7u+pRD3023jo7UANZDVCCgR0sJDpkZsC0DHmt23Pz1W9q+z1iLRGExI2wmB+Kmta2 - Y7Mfm9s9/ymAZbjjdtSlUTEycjhhlvq+nXz+y7er9zkPbdtlVUV9NDCMYDs7lCx64MKJ8Dr9HYDZ - fEo4wxmcMtmRcvC+R+564OC9JU1WVpGHGlTAgD3LrGJmBswdpUfJ1jTctCMHj2g0/db0u27+nrWy - lwaGwpy4aU/iEY6p4j5fxzyQeSJJ1Lg71C9s9r/qO17b2uoYbT9VM08JZsiDptTU3NXFXZxMbvf8 - T51UVQSFrHT5YH78S1/7PFpLjI5bAH3pOXHTtap29N47nAIGALaIEE4AGytI3X3v+j5yKqVs9Ic3 - y2HbkzdwoJfNSTmibH3JnCS1bTFVzI9rBFvuwA3kRPGShdPJd5MZU2ujGM137kDZDp4xHss6b43W - 7aIffcePt7OVNdrDKhsb0/HaWFWFBe7D4ACkBQAGyJgtLXew81bNt3k2Rzc85y2TnWHeyvOWD1Db - POUpa3h2R3cD5uQ+X8dFLDk5uallZlZ1dy+WvRtu/+rHJ82TxgrAyOZ1bbwefUjAjaSS1RWpaRyw - AiqgLbruRddfsnpZKh2jISK1mVGeP5YzOxsAFCdzsmfVNj4DbufvODJlc3IAYtKU7k03/EWeNskb - EeEk5tDivvt4pcVLBADKUAZc2NkyQFDGlm38+b2fwooqWclK82AnR/041PheZ4LTzix7OkcOE03T - EBFMzbR4yZaLZZihAEaDFh/bZO3Qh7/4H//znX/gewflPG80Lk8qAXJz99EI7Uq7MS0iMk4rbW7S - ofE7bv6vb3zh6/el/aJjU3FjchbUFPZ6glTTs2BU09DPUMuTsuWp345oMV4sUQHorHPs+cVT/jeE - EEIIIYQQwinAAJDqWRaBCdi+TINjTqdP+lzMjrrQQ4sLQHGuHsKZKnpeQgghhBBCCCGEEEIIIYQQ - QgjhtKgVBhh1Wr1CDQYHlH3mSSCJ4QY2aP7pl7z0Ow5vyWSCOmWTuFZ4AbD8YqfdFlU8M7GDsT3K - pM5dMiwmEpEZzadq63zhXS3P57qdduSWYARzMl1MMhwYA6Nh2lPsRUf03S9/NQ7PkAswuACEpuXU - tdR0m5YLaDQaeR7IIIbO0DkMGMAgAUlM/Q0hhBBCCCGEEEIIIYQQQgghhBBCCCGch2b9PJDbzD7w - gQ888uijZganYSiTySxnFRFVJYccb9ya03ZV5XNP0zSqOp3NlnHaxx30+IyY2NzMjBblrMyKW2bg - 7W/7rmVcN4MMDj53N+gp9e0ClpbBBkt91tF4lUQg/Pu///vzP18UK6MTSZNxAywPAwEC/PiP/dj6 - 6lrNOxyPx89iJUIIIYQQQnjOLc9iREREAHRdZ2ZEVOO6AUynUzMDUErZ7f2b4f77H3rXu961ubkJ - YDQaqWrf51O5DiGEcCrUDLDt72merVvngTLATvM8OTAAhgDe02TKm1+85/O9zdydmQEYuRGMwI6a - G21kBiOBECxThg5aaNLefM0bL9x7sTn6nImYjFyJ6NmF+p4hlitB7jv6YogIxILGCkFbLqOrXvyK - S/dcLrkVJWpYWZ1dAFp07iz/eEc34+4me5JDQGC/4xufe3TrIWoBOMO43o0zObPDuDgVAIRzYQpz - OFXYjaAgVUJhLmLK83x6rrPoWVWy8xQobA28ozZNaMva6Se/8KdT3TAyA88zE+e53WX7jb3L5+ME - gxbTBuiYCDCzUooVb5Qv6i567cvfIsN6m6jpiitI+WTnRy8zSudq+3DZGT4MAxG98JLLr37BKzBp - paFCEAYMZjBA2QoDANuOJ7DLFTaCs2eoCMFAhI28+dn7butHRwbtBQQmVSXyJFRKYY7J4KeSk+Wk - OWXQIA7REbxzKk4DmRz+1pYPaMdNswePlgd+75O/eyA9dDg9OLq85NHMWj+0OS2aJLUkrFyce+ee - qRcv7IC35m1EBoQzmddmDJnVy3TLxcj6Mk6j9XbP/rX9P/S9P2pPNk0ejVZka9iyol0z8sytUDGY - QGtmjlGj1CiLQRkal/tOQG1L10IszsUJSuxujSRSAkyb2YYe/PM7Ps2Ja84372jLKcPIHUOboAo3 - OAtA7GgocR7fcPUt+1YuhTYEqcf8xWGOnXj7UiMVwq77Q8409QhMzuzsECc2MFt69ZWvXceF5mQE - GCUkItApeX+SGgEMM5CAkn7x7tu27EARNXbnes5ktZoOqMyXbQww+fys6mznYLizMoOtRW7VGhOh - VW3WqJ3aZNg7+cN7fveDn/+/uBObkjhAVvcV5oAzG5JBe0upmfYDCUYtbKtf2bzo1pe9/ZYb3vyC - i18IsKou+/rOnHaRAzvOphcx7c7k9VXm5e4VO86GlbfrLBXmwrRYzuj08RBCCCGEEEII4XlB9ZKo - g9AQmsVFHiZAYLKzc7P+ge9yqVfPyUDmZHWGiNcHRgIxIIsOfzuhsfchhNMi+tFCCCGEEEIIIYQQ - QgghhBBCCOF0qCnUCijM6uwmMIzhoIZQ592XjK3Jz173yhdNJ/utjEFCzCzmXuowjHN6wEUd3VJX - 0RZLze2uw1DmYd6L+Ubh6TkMVItazIfqzAsxWGmLrcy2XrBZfvKqazHroTOyqcMN2JzODN7yyOFM - TExwg1udTj4vvAAGcQz/CSGEEEIIIYQQQgghhBBCCCGEEEIIIZxvmqZxAMRE3Fs+Mt1476/9aupa - AF3XjUYjd2+ahogmk8mZU/r51KKjc02OCSNX1c3NzUEVAPNJRncvw05SSsSMRb2q9ZX1a6+9Fq4y - H2DJONl08PPKbkddqmrbdar6yMOPfuqTnwFATztk0L9NvgyBiLA6Gv/gD/yNjY0NuHddV4PtQwgh - hBBCOGMRUc4ZQE3pXoZzm1kN8K553kQ0Go1O5rzPwYxPfvL2d7/73USSszKlto2IvhDCmYmfsnc6 - KjlsiZwTJUqUefL/Hfj6vQ/d06w0RsYwJ5+H3e7oEHBCtp5EUko5e69o0rgb9tx6/Vstp5zVCSkl - V1CBSHNu9LPRjtDf2qVmhKzWNJ0puaXVdr1M0Pme11z3+i6P0bNRKdwTAw4ChMjJfJ6XucQn0dnC - 5s7liB383Nc/K6uYDVuSnIjmQezzDkA3Voax47ivezhvMQpQlK2IKc3DVsmZPLGzU3HuVbKxkid4 - mtjUV+3eb37paw/fNd7bDJZLduFlYkGpbzDbfW53ZYJSXDx1Mm44QaGqHbe2wbde/9YL0+WprIoQ - i5dSiOSUbQdmInJ3MxMRIhqGgTS9+ZXfKZNR166awAziSCkV08JQBhahjCf7sGbiJHCfd40rlfsP - 3ffN6QMD9dkyEbGIlsGsqCqznGxUeTgOIyuSi2TAeZ4bOn9HNZT27dnDSSa+9chw/7//8K//0Zf+ - 03v+w//65QOffWh6z0F+bBgP7d6Rkjr7bJgpq3IGZZASlB2AOCRKKIQzmAFmZIs2AWMZfmzepEQD - pJc0Hd340te+/TXf2/V7WCUluLvlIty4wQVFAYDcxD0ZxED183SyR4HzRE3gxrJpTQVwJThBiNlB - BpDpeHrPN7/4yJMPUbuMpoZYLT4CB5yLeQE5ASQYshb3xGi0u2zvFVfsvzbZiF3ImAwiiTnNrwT7 - ImCIrOYQMc6B9iHzIjvZIE7M1lwkl1734hvdkhq8eCNCdCqubRIW8VCjYjCAOzy++cA9D37e2qwN - AGMHuTGM3QjzY4STOdiQ4LJ8I5wDjXMzMwUATuJMBnc3chB78YmtT/7kK3/4/3z6fXnPkMYNANBQ - g8wd7GjhiZ3Z0Sba2siJebVtZ4eHdd933UU3veOWv7a3vcgKhtnAzo20DCJzM9tRzQhAzc9evKOf - M758VJp/+4zEWOZ7yOV1egMMVGoeGGBOWpej1iiEEEIIIYQQQghL8/rF87LPtXcMDkCBQgQCCDo/ - Wa+9X7XX5URu54/gDrP5suiCA+bX2bc7HApQTscqhxCeSVw3DSGEEEIIIYQQQgghhBBCCCGE04EA - hgGlwBUQCJkADKrDKwzaYzr5+ate8aKDhy+2TDoApm7ZNMO9DuQgcnd2HLOcA2jn6jgAOKFO2Pbj - LQAYJrtZnt8VPM2ckBlOSI7WUKeCiYMBN1/t0qXdGk0PvKzwz7/sGhw+DO1tmDphPB7BqQGLwocM - IpCCFVJAJkCzCKEPIYQQQgghhBBCCCGEEEIIIYQQQgghhPONek0QcXV3gEh+/Tf/7aEjh/uSc85N - 0wCYzWZN09Qst3PaomqNM5xrjfZZHpquu+++++a/UVO3addBE2pa/1BV3QpgBOpS+9rX3Li+vg7A - zJb3HNHdJ86PfinIsaw0v/PrdtRtbG5wSn/44Q/NtNZA9+O/jk+77ZMQOf7GX//rl1588bjtAFfV - Gn8YQgghhBDCGcvMmqap+Yt936eUsJjSVf9XVUXE3Wez2Umc7wDzhvQf/MEf/sIv/VI2BdOQs9fw - oTptigxUiwefX/OhQghnmO2Sxew2X2C1D4Gcdy4AW3bAdDz97FdvO1QOlkZBZuagUtPmnLY7H5wM - 4kUHN2KmlKjB+LrLb9zfvFi0AyduEoRJmZEEVMo5WMrcyJygZubkRg13YqPO11Ie3XT16y/qLqWB - DarJiYgMYjWi22xRDr4eNciWiYMnihxWQKy2Pnzm3k8cLk8UHpyx6LdhMiKHUy0iH51v4WhkgIPc - yZRQ2JzMAXZmJ3IGaU3vBgqbADTj/rAf+NBtv+/jaUlTI7A0QIIzYHjWQcVUgyzdh6GYIiVO7C3k - onT5669++zpdMKLV3JfZMIC99lrv0vHTEJdd07Xp2LYtANH2mhfccPUl1/UTB6GmbBKJwZRh8zny - y9717Wn1u3k2SgJXCEENpbWD/K3Pfv02GhdKVtxExIq6mohYfIRPKSdXGVSKEwEMUpCSdaJjVs/9 - pIhO2q0PfemDD+pdduHk4fy13/7wr3zw0//nxsoTT+CxoZ3NMMuWU5ecTLnu0os4pEaGHJXkEcIZ - x2i+P6ztQTgBzI6ubcWgk2HkK2u+D4dHf/nm73/tlW8ejmC1W21EhtyzYDp40yatO1Qy8SKu88Ih - i0Tb8DTYa7QzACN3wIzmx1HPhSHOtoFvffbej1k3ZJ8ZAT6vMQLAiZWhXIhRihKBE2UtTCLW2ERe - /bJbLmxfaBNpvCNnd2dmd5rnDC0K25DPzw6evy1xahix0fwKqdXt5CzarpQ9t77yLS1WnFiIyVHK - sz2Yzi/RUgGsKNybQhgwtW7zz+/50wkfKU0xMvJ5ijhDCQVUnIoTjOYvATntvKR7dnMlgSYucC+O - 7FzcoRNMN8eHPvfoH73vY++1NfWOt8qmp0HZjOBgWEsmPD8PBYA9a631ZlPs48v2pyv/3l951wW+ - X0prA0il4ZEww8zd4Vr73GrrzqjueXZ3MnWS5o+7s2XGNTx+mR9fx13AmXecdLMzu8l8UXFl9IJe - 0PNiIeqjCzGEEEIIIYQQQnhm8y4aX9TTLUBxmC/yth3mMNCJ3i4Sv+vp/vwnywBv1BLS9VrYIkX8 - eVv3EMJCXIcLIYQQQgghhBBCCCGEEEIIIYTTw2oONUCAwNP2FVsqKD2ePPgz111/2azfM5s2xVYT - AChg9Q+IapEXOzdm0Xwby/l0Tsvpx9uVIsmPmn9dv64TvE7k9rxSt54B7JD5RLH5FKwBmPb9E/2R - y0br68Pswq3pT11/A6ZTgVM/STDXrKUwN8QtUEPj4eS+KKsxn5v3PK5eCCGEEEIIIYQQQgghhBBC - CCGEEEIIITwfTBUEdze4AQZ//MC3/s1737u2tqaqm5ubzFxKYeYa432eqCPWnACm0Wh0xx13NEkM - UDVmPrlo7STJ3WtEtxATfCjDO97xjkQsIHev/+v+bSKlww6+fIFODBGpe9M073//+2vBMBZ55tfx - mPt3WLFR0/yjf/Qud1crAKB2Xn00QgghhBDC2a7rumEYVNXMlundAFS16zpmXkSc7kLb8MUQZgAA - IABJREFUJjOkxKXgve/91d99///d97nrxvOJZhHUF0I4Uyxiw5YWSWPH/20HyJSHg/nROx+4nVZ1 - YhOS+ucGMlsULWeHA0YQkWJORIkam8Gn8pZXvU36cYsVQVLVGtfdsBBR7SM6+zEWEzN9MQNU2ibn - DHCitt/KHY1bH+9tLrrmhdevYN3MnAA4DAxir4Hf88g3W9wpOe92Fq0wwNo30wePfP0rD9+Z1tyg - yznP8+g4slq8/hxJBwynDmEe2OlkXjM968992Z5x1OnhZMaaLsBtd/3Zwxvf6NPWZj9rVlBMj/e5 - ZmB3PZlVKaAEYh5yNrKmEeqBDX7jtW+7WC5f5XUqUDVOqeu6vp8+q5XfoXZQi4i7l1JqWzF5GvWr - b3rld9oWs3aMhkhKGbgRn8dD1v2AOYGdT2K2tpkzsxmY4Y4C26LDn/3qpw7bt2hk6gXuCdSyiMhJ - tFfDiTBACU4FgFgj1riiW++eyA9/7sFPfuK+jz6OR/rRxqzZ2JAnvvDgp/7nX/0Xdz/+xQ0+MPUj - a3tXcs41f3RHlDsvkkRDONPt2EvPI7fddehnrXQjtD6hvXzxar7ou17zjtdceeuTD26NuRt3zXTo - m45NiRbXk4yLco1E5pNozARgWZKFAEgitHb/E/d+9dG7mjX0OgPADlqUGXFA2ZxACapwBxE5e0oJ - Q1rjC2+86nUrfgHnRiBkDsDM6vHawTsTjglPd2pwtlmsIAQgsaYbVl92yTWXrL9IrCMis2IG3mWe - C/til77IfXIAZE5mhqbriHlzMIz6+x+/++GNB4Y0VXbMG/bMDoYDuh3T7bVIEQDU48U5cIGciJyg - BlLu0DbSoilbq0/cdfj2f/fh92DvbFq2ShmUbGBVqW1MJhf2eaMRgLmTGvUY9WuXj67+se//ybS1 - smrryTpGEmoY7MXMjImYn+dutxPczxnYab4AOCZOiOaLiWMR6X3Kn2kIIYQQQgghhHBOoKOX7Z9i - kd49P6ne2TvvJ3rLi4UW97vdY2O178KX/xn9/yGcKWJkXgghhBBCCCGEEEIIIYQQQgghnDYmsA7c - 1Gu1NJ+MhtLj0IH/8YZXX3H4ME03u5EosFkAATEREwuDyeDuxxY2rFHKdTn7LUefsIF9McWa3MiN - FrPC5unRPp+jZHSit+cVXmRs1/E7ydEYUAtotqCO946aSb85062VYXK1p599yTV48jCbY7LZJkop - AYALTECkwADkWszB5vd8DkzlCiGEEEIIIYQQQgghhBBCCCGEEEIIIYTdIQIRCYuIA8UNxP/b//Gv - vvq1+1bX1oklNe1oNDbznPPJRVafXXy7LjgZiFN65LFHH3rk4fojq4Xgd78dfEfd/2XS8zg1b3vr - d4LmlbVrYre7P+8lts8Ky/TuujzNuFMnDLmMVlY+9olPfOFLX6y5Lk/NRz+2iNnym0UBegJakb/9 - I3/rypdeAfNSyng8TinlnE/52oUQQgghhHAKMfPW1lY90SiltG0rIsxcE2TrOYiI9H0vIidx/8NQ - uq4rxWp69z//5//Txz7xib7saCdHencI4UzCjmWMIjloZz+PLyeEwshI0NP08/d96kB5pLQZydyV - gW8fRGoioGRmSEN35YVXX7H/6rGvURFXaPGiSgDM4d6c1C73zOLLNPQd3SxkxO7sRDXLDyjMhaVP - r3n5Lfvai93JCACRI1Fyd5AZ10RkLGOAd33sICSCkvY8lJXymXs/uYlDBmVKtVeupsTVmbwxkzQc - hzPAx0xvX0zlJnIir+GKUNacpt948isfv/Mjso8myMpwgrvuSJ9f3CuZg0+mLZQhIE1sDbxxzyXl - 9B3rV7zh2relYd0GV82pGQs3LFA7Zf2TImJmcCaIKbS4KciT9O0rXnTDyy69ti1jVzDDTIm8fqaM - zLg4l6dugRPkDpgLgRzOULaBh0e3Hvrz+z45TUeUFYqOWzEmZ6b0zPcYThg5iSbRZAzlomyAiTEb - E6fDevjwyjffd9uvb61ujC7tet+kTjH2I3Jko3vig7f9zm/+x1+e+IGtjYPsYBdAFElJ4EIOhhJl - jvSOcAbjecAM71gAWD9Mmi41iWeTfpxWfZNHuuey8VX/5c0/8JKVqzttGyEFuONSQJ7YAEIRFLEi - Rcmofo7idPhpkTM5nMzJajtyEd3NIo2hDLZ5+1c+tSkb2gzOi/8y1FhfIziZEuD1ijfMLDHEudGV - G6543f71F3OfOrRUzJEloeZ272jM87JKzjlR38bYawa52fz6aSITztLp+PXX3Dq2NZipa5dO5no3 - jruVqDA7ETnYE6zVLRy6/d5PzPiIcXFiuJALOZHPP3FG8EUKFDlzrfoyvz2LOZmRORk5d96NaAzn - wzhwx8af/fqf/EK/d7ZVJi17nlm70hZGYSgBADtAZgQlKANAv1kubS996eo1P/z2n9gvV3W6AgUp - yJkhUGhxhojQIiVrnj3PDvade7Pndn2ddn6UnuERFZJJMnGmpIsFEEDgDVvD1og2ok3SRlRi/xlC - CCGEEEIIITxVPR+fD57fvk57TPcmA4J5bwuf+FLvgZwJicGLeyzLR7cdsyFCCGeO6EcLIYQQQggh - hBBCCCGEEEIIIYTThgGGM9WJMAAc0IzJ9OdvvPGCJw+ubE0uGstkpkZghjmZuZm7u5m5gwhNcy5P - kfXFEJM6yqRWhwRAgDjYIYbl3LDln5zg7flGHOTbM658Ucy0FEwH25xlYh8T9qZmfGhj/+bsH7/6 - NTiyAQZMrSgAJEAISNvFIGg+B+mcmEoXQgghhBBCCCGEEEIIIYQQQgghhBBCCLvkTkRupm7MzCLq - NplN/5df/JeTfsZNmk6nagbA3U8uxe1s5PNQFpRS7v7Kl4dS+qIgIqJFSfddq39Y78HcRk1z0003 - XXXFS2BuqszMzETk7k9NlQ7H2G3lLyMUs/f+2q9OhkIAMWpI4QmiRdn+lW70T9/9T4bZzMxGbTeb - TFX1/PlchBBCCCGEs9fq6mrNZKq3qgogpVR/oqqq2jRN/flu1djvJjWlGDPM8FM/9dNf+uKdR5UG - jridEMKZZT6pcMdMzWW27jz5zLlkyTPevP3uT5ZuNrFpGqesXnuGFl0TvHOqpxVLQsWKF78gXXrL - tW/iPkGZVNgbEWnaRIJScimDCIPO6mg6BthpXsB9MWHTABvKrGkZZOS6ujJ2VShWZPXqF167Ty4S - bWp6OjtqV9ipqvDujlJQHLRKX374C49MHxx8ALi+rkpsYHYWj6NSeApnJ6qJ8uIQ25HezTUEMZEn - cnGykrZye+TjX/rjg/3DB6ZPtGuJWmxO0I2SSJ1JzmT8bKYsk6MVwJBZvSEnH2Z+kVx688ve/ILV - F69ijw7KSbhJ06Hvcx6NRs96E8yJSCnFzEQkpSQiRCTgEa/s4X2vu+qN42HdexIhZ5jP19OpZkVs - 3w/v8lPGIBgYMAMJOxklUOufvue2I3zQJLurEFvRUkr0x55a7Jy0YROgBog6AeQGWO7yITrwb//T - v94YPz7rJge2JvW4lcV7GabdkYP+zW8c/PJv/PZ7Hn/88VEakzOcHaLESuwEQhEvZ3sUazjHObMz - 1eaBMxZp0KkVsBbLKSXPNGpX+w1t+vFLVq/9ke/+8TQZ9YeGtY6nmyWlRBAjVkJhFIGyORs773Zn - eN6ZtyFp0SpmuAAwQA1ONNWNw+XAnV//PK3qRHs0dW8y36q0SDt2QlEIi4jAQACyr/i+m65+Y5tX - 0VPLUnQAnBKZaZofsAyLvGgA7Mx+TpQcoWWKs9W3t1giEx7aW69/8x5cYIOCMGrHOvjJtFeWpzCe - arIyAZK8aN8XTQ0yoUj+3D2f3KIDytkI8ARPALMT6kcMMNCO8y8AjqPbEmcpIhIncZBzRj7gjz3Y - f/W3PvprT+CxWZpwR1pw4d7x5uaMZbF/IDPW+VYgA9CA1nltrVz6177zR1+69xW81a3ICrKys0AS - JebERLWddtQl7zP4BNMJRu7kNWBMyZTNyJRq4aCaEJYcCd66t0CKs7YQQgghhBBCCOEpDFBADcUW - V7UVcDDQAE3tqiFI7UOj+ZAdPsFb1N4FBxzkTEAtosww3vEMFl1KHHnBIZwh4qMYQgghhBBCCCGE - EEIIIYQQQginB5sRIHB4AaiO3cg4cuTdV13zwic310wTI/cqAiMUZ4XXOWsKB1BrSx5T/bCmXC+z - rs9eTvPhLPULzHO7rc7UbsDkSD4fcpLAiQXg+byjE1nOs9EqtCzH4AA4Iw2UCiUHN4SO0DDUYQS1 - 3JbhYvWXbvX//cuuRt9jmLFlJwyEGQEO8dSBBCiAcp14dr4moocQQgghhBBCCCGEEEIIIYQQQggh - hBDON0cPl1okDrm5qSkIxfHv3/c7H/vEx4eS2/FoGAYQtW03DPk4d3b2j/erVLXGkxdTAF3XZS1g - +dAffaRurZRSzRJi7HqFiaRuZiKCKwM55x9+5zutKDuaJrmqu5tZSq3qcz6arcb1LZ4bLYPDn+vH - fS7Qjkr6pRRmrgNTm64dSk5tU0yd8JV77/nQh//YAQWYExGB8HRDMYkApKap1chaYQJ+7md/pmva - NjVCyDkDaMfj+kUIIYQQQghnuNrgb5oGwDLvcHlqYAvL3zzuF8dl6gRWVSauJz6l2N/5O3/3a/ff - T0myKTfJ3Us2PGUeWQghPF/IQYt9IBGZGREREZgMWryn1qeyde8jd39r8nBJs2aFtmYDM77dHpHd - oIC6Ozpu9pYLbnzJzQmtu7MzOzHMrDgKNy7CVvT0re1pseifMREqOiM2R9HcC6Eh0ODNrHvrzW+X - oZECNiFCKaUeYpzmPTx1GilwMrFzxdGOkBWDl2G8+Z8/9yEfuzMRwd04NSBmiDjnXEgkouDCUTzB - EwPJPBnJPJHanFy1MFJDIzfJyLnbuPuRz/75PX/qKz11aVaKAm2LXIp5Admiy3HRi7z7NzM7WAFz - JfRiw4DVNL40vfQtr/wvZCZWlKRReNYZJ4C82CmLaVTVlFLdK5qZuxORu5tqa+3rrnrTJXJ5Y20p - RRKAecqiM1QA2n2/+WKNCUJGdVPNI1SV1MtDk/s/dd/HZVSYMQwzdk7EdurWNwAg5watWHJ3tQKD - iLD4BBuztY33f/y3Hsr3D6OJUVkZAQoB5QFplEoqOW1kma5dOH7x5d+hM4gl8qQMJSixAQzl7UIL - IZyJCACY5zk0lYGsUFFYjZh19lK0a0aS23Zz7ZUvuPkv3fR9+7C/y916K1sb09SRERTIjgwYQORM - Ts4nE418XplXTQHIFkVU4OwkTeZs4/4zd38st8PEZtxg+9pmvdJNAOYXqd2SKQNggmeMqHnh3iuv - uPQ6KWlEAsuNAMlK6SVR7QmhnSFDzudG8RaGAXBSp1rnBuTEzoAl59Fs9Y1X39qgVUXu+47TrleZ - zOvYgGVhHACA2qA2NE1jzkqYIuto8/Yvf3wm07TSzoYMiHgDJDMwb2/tRePfzuTM6aean8K477zg - DkCabrY1a11Wu9EE0yPtk1/rP/+e3//FQ37IO9SWlTSYTvu2ZStGBs9gsWwzSg72km0kzSiv7MX+ - v/W9/+Dqi26aPD5d79ZhIGJyJmdXuNqytSYixw7+OF2H3XlCGMGObgIyJ4CZ2czUCycigSIbzYwm - RrP5gpK5ZC5FLJN6I8YyNS1M3rSD07kxBCWEEEIIIYQQQjilzCwTCgMOrz0CxRZx2s6wBGMYk0Ec - 4iDb3aI6v1/tC5xRDHByXfQELDFAi3DwEMLzLD6HIYQQQgghhBBCCCGEEEIIIYRwOjjgnIoyCJSA - UlCmOHjg52688eJpv2fQZAAgDjGct5PY63TSnZVJCUjMZPPynS0nBUSaTVUicvYTvCWi0zZn5kyw - nJjLYICVyIiMCIAYZFF5c7mdWy/N1pH9w/DfXXsd+lLvQB28/B1PAjLA4YDBz6ONGUIIIYQQQggh - hBBCCCGEEEIIIYQQQgjP6F0/9ZNDKUPJ3CQi2ppM2rZ9vp/Uc4WZU0rMPAyDu3fj0RNPHhytrKjq - Rz/6URICkHOulbhPIuK6hoJjnocHAPsvvuQd3/OXUStXLYpp1+Ftp2E0285VqJHhtVz4c//Iz62U - UtM0tRR43/fdeLS1tcUpNV33r3/lPZv9jICmbUspjmdaWXcQlSG7oWFi8v0XXfDOH/yhPWtrrmXU - dl3XuXs/maSUonR4CCGEEEI4S9Wo2lKKmc1ms7W1NQA1o7FpmnoWw8wnchJkbraYm1OKzmbDT/zE - 33/s0W8JN6WYqq+trWnx8Xj8nK5RCCGcELId2WbkTkladx9KdldumBImulXG09u+9LEeE2UMxVMC - AJF5yNzOLpxamDwlEiJy2JRec+UbLuCLBQ0Jk28nQRqZnVW5dM+gTpMFkzMAQs3fViczKkABCqOw - mzgn7a56wbUXdy9Y573We+KGyCE7kt6O6q3Z9VZKCX0PEbh4307ufezuI/lgj5lCzayYDmpWVLhJ - qVU916LTw7PDBgFYDFznLBsDbAQibbqkqtNpn1rxVg/qox/57O/l9ojKVNmcGFi8jWkx0xmLPwfq - HoB21/PK4ilRGkppGkmENq+97TXfs24XpdLUWdJGcFLnsvgYPreStFSaC/jSN1/3VprySrtmGQKw - My8KCCzW0QDsdkf31BUgZ5DN0vTzX/vME9NHvclGhRvmJg3D8CxXJxzLoIN2zahhgZl72dQjfLF+ - 5K7f++rhO4/g0MzNFclABiveNFJKAaHrxKy86da/oIONZEROtRiAshnDCWS0yzd/CKedMznImecx - 2waYkRuZkym7cg3wdgDssof34VD7tlf/lVuu/IvpyKjNzd7VZtZPjdgoSaLUgAWmbmbM52mJlRPG - i9js2ptAALETOQYbNJVNevKOb3xuopupZXWU4x1b6k5GpE2p06zJsdaQbfrrr3/zSNdTaWq73agA - NdC6Bgp5jYt2MiPUo/m5wgEYOcgAq+Hx0kruy8qw9tqrbul8ZX3Uwgi7vC5sx/YMEZzhYEfTgAnu - ms2VmBo6NBy4+4HP9bx1eHZovL6iBlOCM5PkYoZFywn1JbRjoqfPcMur6sd0l+VZv762VkrZyIfp - wuGeI1/4rT/51a3xwSI9O9jBBgC1iSjO1mN9dZwz2hHPhpmZ7R2t9Ad0j13yg9/9d1964fXY6la7 - 9a2NI6VY03RnSwSPqqpmM0uJRSSXfsgzIkogAQmIiZiIyAUuIHdz6FB6J+vGnQn1packz/d6hBBC - CCGEEEIIZyAWltJndyNQn7MBxJgNx+tbqT+h3S2c4AQ1SJfgAFGZDSAiGMNqN47NL4QleDrdGyCE - cDxnR79hCCGEEEIIIYQQQgghhBBCCCGcA7KjMECA9+gPY+vQP7vh1Zc+dmCkQ2ErzAYkR+dovA62 - OFFGT526c9Yiq5P06nxjdhSzRNxSQ5CplU7aQ6XfM15RqJEq5RO5VZxfpQGMkAUDMwGy+Ak72Dg5 - J59ne9fJdQNjYFCyCwWXP3r4H7/oZZjNYLnLOTkUKIv07qbeGznYcC7VvAghhBBCCCGEEEIIIYQQ - QgghhBBCCCGEox1blOnb1cB2AFDgscef+Mmf/hnpRsXUCE3T5FIWxZm2ke82d+RMZEXJwZzM0I1H - OefRyng29HfceeddX/lKknbHKrKfVFBzDQRiZhEi4L/6/r+6Z22NHc9LKfKaU455lLjVZL4ay3cW - eep7L6V06NAhaZITDK7uqW1I+MN//Ee/877frWX4c84gMDeYZ0rVZICj76dpAMC8aZqGCeZW/J/9 - D/90/yUXb21smFkpZTqdSpOcz77tFkIIIYQQQmVmIlJKSSnVZu2hQ4dq7JC755zNrH6d0tPV2yVs - xyzVxRxD1q99/cF/8A//YT3pGI/HW1tbZqbl7D+BDCGc9Y6dRehGROLEzmRkRsWpWBoe2rj/K4/e - 6a2BIAwG3OFO5MseMlt07dRK5Q4X6Zt9cuktV79xVNas1KBHY3dapAOeVbF0T2fZQ1aD6MjnX9T/ - dHJbLLUHh0t70eplN13x+jRdSdoAKF7mAYqLjkr2ZSy67Ta9mwha0HBjZgNPH9168IsPfK6MZi4K - YWJmZndyd2Y+bvRjON85AVyTtheseHFXTpzGcqRs5Hb6J1/40FcfvyOnLZXiZIsQxmN6zXc6mWLp - jKYMvtKRzrTL+15+6U2vefmtzawTS1TnU9POOdG7f4j65zuXp+VkprTmF7zuqje+aP0K2pIODAU5 - 01Fd57t+Ikc9qTrVG0YOrkmu3XD3w3fc/c0v9u0mj9HbLKUoPn/qOYGb5GpQ67r2SH+EL6IvPXb7 - R7/ygYf6e4oYFMnQOgmBwMJNmWHMPGz4q6557dUvfSV7awoxsBshg9SoGAFgMonIgHDG236L7tyP - 1YInNcbbqDgrSDWXsa+t9S/4q7f88LUXvmp1WPVpbhuYezHWkqBc24pOWmOhw9Oio7c/kzMANN43 - /V0Pff6hw/dT6wYvBU2iesBavko8bz0yAHeHIjnJrL10/KJXXXGz5JE4AwZSJ1U2o0VikYNhBAXV - 9jzVZsBpXfXnwI6DsgHGdXXJ+tx33Zgn/JI9L7n+Ja/GrMkzY2me3aPVPPv6CCAGYO7uSGk0Vhke - eOKrX3/syzoaCuV6RHDfmXXNmL98tR1yNm384+Z2Axg3STVntn48/cKhP/3tT/zyw/bQk7YBmBhS - gRjgcLKarr7ejjYPTNsu9cVSR5pLl8eXd1d+3xt+5MbL/4IfSd5727Ik77puNh2weKs6Lbrg5t+S - H/1k2I39OT/h4cVn8JgWIDNSSsWtuDk7hChxm1JS6XLX5abLTVukUUkm7EjEXUoCdxvgGT6QD43s - +nwwhBBCCCGEEEI49zlQOMmY0ZJZ15CjOJBaKEEJYKsXWsAAF1Bxyg49waWQbuhm4YJU1DJMQSm1 - Yxzd6bT9fKLzM4Qzw9nUuxpCCCGEEEIIIYQQQgghhBBCCGc1IXQEDFOUHmX2c9dec/HGkUvgyRQA - nNkSkAAmzCfen4hzdQbacsJ2R2xu2XOBKqApOdC7Os1/4URuzzcOKLES6vuIALH5m4qwqM6AOuEc - DhiBGNb3F8OuMPmJK1+OzQnD2HW+AaneJALhpOqohhBCCCGEEEIIIYQQQgghhBBCCCGEEMK5yoAM - /w8f/MCv/8ZvqHsxbccjETlHkoWewt3dXVVTSkQ0GwYREZHf/K1/Z8BQ8lOrb+9K/XMRcTMyZ+Cd - 73wnAJAvR1eezgT02WyWcwaQUqqrrKrDMJy+Z/DcGIZh3759wzA0XccpERGYJ7P+F37xX/alsCSD - +44SYt/uRS05A2jbtuTs5gDefMst/82P/mg/nQnR2sqqu5sZM5PwUPLpWLcQQgghhBBONf7/2bv3 - aEvu6j7w371/v19VnXPuvd3qVktCSEhG6IEQDwkQEgZLYDAW2GOHrODEM2DLzko8y1mwNE5sE3sS - G2JISMZM7MzEeDIM4DfGiS3HxsbY4WGMDQa90BO938/uvveeR1X9fr+954+qc/p0q1uo291Sd2t/ - 1lmn77197zmn6tSpx69q7y8zAO990zTdFwBEhIi6IwURKYpCVdu2LYriQI+z1z420fLR0zVfv/7d - 735PEarxeMrst2/fMZ1Oj+AkGWPM06XznNp553LJWdWHQI6aOM2INEhfvP5/5JWGgiKhcpAGBBLp - ixn7KseletgMkFCoq5effuFpW87ME7B4QJSwHIuri/pGPfZbKO8Zz2JWXhprEUB0HgksAJSRg475 - tS+5fBBXSxqIiEAzKea53fOMb3R/e7CFxikheDBczjEBvEJfuv4vGr+75RZExPCewZokY74RNGY/ - lHTv9uatNK1Owypqv37tXV/5y5s+x1uScAK6j7b0gYVLC3D386XH5INsmc4AOThqdSiD1fy8t772 - HTouuGUnDHQhlxn9R5Dnf3IERckAu1Ss+RNf/7LL3aYf8AoLAVBa1Hr3Dr5LgEi3klTu0tO7GZhZ - Wq51ED//9c+s4/F1rKeQJrNJWZaHcdKMEpTJOZdjrRrFZYzyPdPb/uirv/dgc3eski+9Jw4gEiIQ - +wCRkkOoqxP5lDe+6gpuS88hpdRV+TO6uFBRQImVbH1rjnL9Ikoq3Qq8+177ONpFgxARSkBiRa7h - mmE1O+Gdb/0nO/xpVRq46DyXDqwZEgFB4QBHsfvGPKWuPQioiyEWJ8yK7NOE17984xfycKYhQ5QJ - 1AdFi1C3Cer3HkkhlFNqvHdOBjIuX33e64a0WqTAygCEREiUoGDAQR1ISBWQbje0C5Y+PtK7Fwc4 - AAARUgEy1JP32XFdvO78y3WjqPzoELr67HUqm/rIbVJIAimCY2ZukySQr5B4+pWb/tKtynqzG17J - OyhnqHP9Z2q5+5CAhPhYOT5iZmZejIB1lxmAJFFqaJJWJ7dv3vTrf/bR++s7YjV1VVYCKZN6Ut/v - 6gCApKZdGw3qSfbwAx6s0JB2he//9n94yVlvkp1FmasqFM1sKhAA3nvt9zD32ck86oiI90ykOUeF - kAN7alIL9awOGqB9HyoosxKyQsk5p6q5jazwzuWUnu3pMMYYY4wxxhhjjkIMBCSCQqjNmG7ikRa7 - Z9jVYNxgo7u11H29XmO9xWZD6y2tP537FuvkmxrrEVN1CR45thpzfyA/H3GyMU9jjjZH4yihMcYY - Y4wxxhhjjDHGGGOMMcYcfwgIGdQmaMbGxs+cce5Zs+TrOknb1aQFIQcSoCuJ2O/Z3KVa+t7xldu9 - 95Ul8wIwUUlQAvswcL7a1UzLLWu76oZAXuGBp3X/bE3Ts4TmbRxaRgYK1VLVqxIkAQKwgvuEbwBM - ym2LLCgHJU/Xz99Z/+fXvQmzddDMafJdPwcCFMuFPc/yRBpjjDHGGGOMMcYYY4wxxhhjjDHGGGPM - M0yfdAO6VuVwJMBP/NRP3nDTzQm0Md7MUOzTlRs4PnowMXtmn3N2ztWzJoSibts5c379AAAgAElE - QVSHH33kv/3B74fgRKTLolNVJtYnz4NviYidyzkDUMX3fc/3nn3Wi6qi6C+h7JubP3PKsuz6X4uI - iKgqM4cQnsnX8HexfPXpoi8/KUII0+l0OBymlOq6TpKT5E/8+q99+W+/ysQpJwAhVABLznjKOPZq - MGjblhmOeVCGD3/4w23blGVZlmXbtqpaVVXOucvrMsYYY4wx5hiVc04pLeIPVbsULEkppZSIqEvy - BhBjfDoP2D0CmMD9/vZnPvPnP//z76uqitnv3Ll7OFw5EhNijDFPgzCEsb9BGCYozePWMgLUpyfG - j37tzr9pq1lC4gxEeALDQbnP7e4elNCHkhLIQRKv6YmXnHuZSxUlKsNAlYQkUx/4DQAgpX2zgY9B - 0oUgdgODXawlKVOfTM5CEJrHXhIBxBpCHp6+9qJzT7mAkgeYApS63+i6vXMfvsiQg509ChI4ZpHE - DFW4Ad2z66ZbHrkmhyTQVLeaEzkIMogCHzPjYOYZIQwFZSEo9RmipGBlZp8koopPtA+u88NXf+lT - TTWufZv7z70s8kZJ5kPnXWH9fFXz5Dr6p/N6lJRBofbD2eqbXva9Z5zwEmoc4AFiBSGxCpShAWA+ - +OHqg8ECFqUQSsnMTfGas7/9vB0vlXUUVO6ZVwKXu/BU6CFEgZIIixKTMiuzInPKnJIql7hvctef - XfvHadjGkCKSIh+ByXxOU6BNjXLmUic0GZebV3/5N2557GtSphDIqbisLD4nzqoZOedc6rDaXHvj - S7/3BSsvKtIg50wllAUACzsFQ0Ei4HzsRLGa56b5KktAQovTnUqAA9xSIA0YGZQzxZWVlTjJI3fC - Gp73ju/84R3u9DCuitaX5Arn+yhfAoCcj+wK+jigpPOzzAJKgDphUmrd9J6dt92x69a6mLbtLHjn - iNpGCQJIn/atgKKL+lY08Mmz49afWJxx0Yu+3c04KHWb4Ezdlr7LDC5YPSuDBJRBSUmUIEvv9bGr - X57Vsbgu5lwpKcmwHNTTugxVicEZK+ecseXc4Icxtwff24eFWNFFpydQ6o6MPIEVqplZcs5t2yZC - cvGOh256YPftWrbqRZABdB8QdO87KfWXJrASK9yx0muI5qeYu0EwImJmZUzSJK+1t46/9huf+5Wd - 6bEYhDgpFJBMLOQV3B0HEgBCJlHk1XKLa0o/8aNmy9sve+eFL3g97xqWcbRSVEgtMxdFOatrMM2v - yhBA+n1QAMp73bqrDp7Baw9o3gtocUupzTkTqQ9OSdrUCHIWSQ6tQ3RIDpGRGJmRidX5tk2qVPqS - 4QL5AmVuM9n+gzHGGGOMMcYYsw8FMuB9yo1Q22A3MJ7iwRoPj3H/GA9u4MENPLiJhzfw8AYe2sCD - m3hoE488zdsED03wcI3Hd+HBFtMWrSsLCgEKLE7mAtwNUOh+SkqMMc+K51pzcmOMMcYYY4wxxhhj - jDHGGGOMeZaoQIDYYDr+6Ve9Zsd4VooUBaIiC1iFwQTKgBLo6V1Z0RWH6NK3R7hg+IjrKmf2qRFi - YkcUBdM4FfZhNHxsMh6urYybpv99fOt7Fi1EnD5Xej6yolAIIITMcAKCMCBdcR3A2vd3cH2PBqmC - g+ads+n2UG3PfNeNNyNFtG3XJAPwAri+Eh4gq9sxxhhjjDHGGGOMMcYYY4wxxhhjjDHGHL+e5mV8 - c2VV1HVLgGP6n9/1zk/9zidfct65zvsc07F+Xd+BdF22RcQ5R0Rra2vv/+AvbI4nGSCiRVw3ER1C - qylV1XnGs3P87vf8MwB1XYf5pWusz2j+eRfX3XUSB3DcRFCnlMjx5uZmORgMRsMo+Wtfv+YDH/gA - AHIOWQHNUDAjCxFB9/9eVoNBPZ1R976ovP/nf+Hss16YY2rruiiKGKOqDgaDNkXvPQGQ4/RTYYwx - xhhjjmuq6pzrvo4xMrP3vjtSABBC6AK8AXjvuy8OhECgPrKoT+8mIiLnXE7pE5/49bPO/LZ3/MA/ - 6H4iInhGD4CMMWbZk9Y/yqrg4DTlNkb1sVwpp/X0+m9eO/Ob63l9yK7wXNdSDf00gtkBinlc4F4J - fwSJdMbWc84+8cV5QwsuPYe6bsmLAKxM4D1jTMc4ISglgLtJA9AHxfUlsNrNGSGwUhcjp0Kr5QmP - 7Nq8+MWXXv/wX6uq9jORSZkBmf95FzpIOLjxTCYgi0B84WLMk9nGytroKzd//oI3XOqLUmJCyqhI - MnBcjIOZwy0BUJI8/2x3H9gcm9WtK4+2D6Rq/Hv//TfH/okN2eCgIgwVUL+gKgD1QPfDhOWi8j7M - 4CCWOiFp8mzkRnndn3PKBZe/4s3tE1gNJ3ALEoDEIUNF0eV2H9yDHxoiBnFMmb3f7k953UvfcPtn - b2wkZ5eUxWd2ii5FUokVAB1EYHm/klCwMpSdpswpuX49m7SltfSFGz574XkXn33CBS6xJCG4Izex - z0EpR2Zm76dct2X9hes+87W7vkzbkrJCoCmTEjFlqBJUleB0pi/cdsF3vPg7sStUPMiagneSBWAn - YOXMAlBmYcvdNEe7bsejy+1WUgDEYAFrv5sn6HO7E5RBrk2xKH1O2eXBGSecf8XFb7/6rz71yOZD - bpRRQqFZSbMyUDg79H1qsnQPpUwASQlAff21W77cFOOaa8/QlFNC6R00g6CAgJ0yKUgZSAKEAGmT - NO78s1+1rTy9bAKLQp0yFsncDAdlKBEiQ3KXn0yK+UJwPOyoK8+DWhK6HRuwy+wQVJUzj3T7d7zs - zf/lS7+kW5kOfQmVbs4xQApHnLLkDGJ477vZmDk1tPHX3/jc91/yQp2mJumAPQgxt44D4GhPqxye - z/pj4xOzPPxFRP01Bpxou972+I2/9T8+/vDs7lS03iMJgL4tjs7bDi16ELmAJrVBiqEMsY63vvHt - l11wBe0ehjwcUNmON4mlKEqB857b3AY338Xcs23ds5pafoH9vyR4NrbCIQRFzpKKIsScM3JZlOLi - jNZ1T/MlQXeMrOzIJU2AEjwxVFVVvSuOl0NnY4wxxhhjjDHm8CHAAQR4nmDzx37uB9flUS1UHBER - KRgKJWgFiHADSkwFQN/6kedSSj6W26rn/x8//Z+24nkZSC3KAujGNObDSdR3RAb0oB7eGHNEWHS3 - McYYY4wxxhhjjDHGGGOMMcY8I0TQTtGmf37Oy05p21VygZFaUcBzV1Kb5gVpiAyhLm75gGnccnxd - dUHzic/7/gdaFadQCo45eZrEVsvycc1xOErsu9qub3k/TO2O2WyYjo3qo787p/AQARogMWYOTlGI - 9I0cCJHAXRx33/qCc0yB6NTRYOdkSqjLIvzcOS/5uW9ch22M0i9fYKDzy3+Or2XQGGOMMcYYY4wx - xhhjjDHGGGOMMcYYY5bsnd79FJdLKVA3LQjD4WA6mT36+ONXXnnlJ3/rN1/0whce+Vf57BARZmZm - VQ1FMUvt9dfc+NGPftQxZVEiUqDv235o0T6qAMqybJvm8ssvP//8872gCkFi2/U012f28jUREZEu - unvRUpyI9ABR1kebA+W+EBExDYfDum0DF7PZ7KqrrtqYzoiQcy6KomkbibH71S4fCsCT25rX01kI - Iacoistee8mVV145m83KUADiCGE4bJomqwAgcErJsV1+aIwxxhhjjj3dIcDicMA5F2Ps0rvbti2K - ovuamWOM3+J4gfZux6tdQ2BJOTlHOeu/ft/7d5xy8ne+4fLY7ZAbY8yz48mjOn0BbL8+ZM6aRPP6 - 5s4bbr5GR7kVjJQcgkNNQqqCpYQ7wl5DOokw4OI15752FLckOHKcW2U4JVESztwHp1FfS8t6TBeH - dvnceb7+ZwagLF0+HAn68S4WAMQMZnCO4Fl40annnnrK6evrjyUFdZl/ypkEygwAsm9N7tPACobL - momhmp2jGFWqyR0Pf+PBxx48Z+Wkyrsc28yImnxixjM9HGeOaiTdWGHucg1YWDgISAEOG5MNWpXP - fPmP7njsG9PhhpY5E5EGhoBS93EmASQADIp7PuPdp0T7GPuDeT2IBO/9SYNTv/tV3zdsBoFGsXYh - DKCbhOQEYM5ghccRHF7eKxUyZVVWR4WO2/NPffkrzrnwbx78Ug6ihKCeM4OSsKCLojyoYWZCZkDB - hCBEYAKigxJCRAhhc7ZzNMSffunTz3vLGVXCGpf5mF59HnWEFKHwLdIkTW+4+68/f8On4zD6grgh - gEgB5ugkqzCRijKwWm173UvfuA3PlwbOk7oUacYoSL0TKCdAMmcFZ4AgB2ouYcyzrl9jQ6AK6hpV - MAAWJ9StBokBUO5ihhNyTsk5UqBwK5ON5pKz37R718bnbr16tz66GdscQFRAlCV6zynLcdZE5fAS - WqzQBZSgBSmT4tGdD9xyz3Vx0GaPkefpWJjI+0LiLPcZ2wz1rMSq3ZY3Ayw6LLZceO5rQ1rxKCC5 - 6z6iYCVAvcBDGZoAAgHzhCElVTouOo0oA0ziAIBTJlUCFLFJVTGIcaIqA7flpWe+esu123b5WrIc - 6JTr/nCfsd3Nuvn8IvWa1BGigBkcug47DEYuZjd98+uXv+x/OlFHnisiyHwYClCQsLL03ziQkuKY - SO8mIhHpDiH73G6RqYzv3fzmb3zu44+OH85BmJJI6wnqkQXiEytI2QlImSAMREJwCCKhxlsv+8HX - nfuWtD6o0rDyITdNSaEIflLXtdbVymoRypya+UvoF9356oWx99Fl97Ye6eOdAy083nvRpKo5Z1UN - ITRNc+O9125UjzbFlJShRMqs3uXA4lz0Z5521rbBibGmwKVTzyKhKGNuj+wEGGOMMcYYY4wxxyKF - eswwy2gm/gldGdc8zR45Z5CwAuqhZRfdrZygBxHp64S9eqSV3ZOHGNKiYQyLEjnC+W5QiHnPKS9Z - OpFkjHk2WXS3McYYY4wxxhhjjDHGGGOMMcYcCgUEAsB110AslUnsFWwsAAEkkIg2XvWic05r8sps - NnAsEongqesw2dXESFdcLISjsKZSuhIUAHvKQqSrP1lcEtLVgnZFwnmpNKVvKaPA0qTtXTjHGVDi - xEiMTJSYBcjMTH7WRl9VtUjrWDx/6LrrwIxQgt3TffUpvvfF54xixDwmnFQIcCJO4ft7dSqkcLqn - G4QuvdRDrXTt8rL3raU54qU7fTlX/1wKWVyw06V3iyIABKSu1QIXInH3ZFoQZoDXeHLd/qtzL3jf - vbeDmTwzBQDQvksElpb6xaTo4tul/1P0DTeP7AQbY4wxxhhjjDHGGGOMMcYYY4wxxhhjzLOEnZOc - J5OZd6RZ77z3nh9857t++7d+48zTTheAlebts7G4ouyosri8TWn/ySVP/rmqOueUMG1qdfwff/mX - ZjEDIIKoOO+zSJ9sx6wHHeAtzNw2TeH4F97/b8pQ5KZJKS2uiFu8bD3cl6YtT+OijfrmePzYE48/ - +vAjG+NNR7zlhK3Pf96pO3bs8H5P657lKwyPtotAu7fvyRgUY8rehbKY1LOffu97b7n9zu4KwyyS - m4YcqxBUiWnxJi7ey+VrCFUSKUaD4pf/4y81s3oQCgbKarC5uVlVQwCk6LqxMzOOsvljjDHGGGPM - 09GFDOWcAXTHAs657qgnhLBI9c45l2XZNM1TPhR0vlfMzKIE1e64UkQBiOCqq6766Ec/evGrXnkU - HkIaY5475nWCBLASdQWwWRVZHbuycJvNeNKMH6nvu3P3bZtuvVxBO06kWlTcxuiJCaTKwn0KqRMW - QJ2QomiKE3jHheddGDdTWQyQKLWpLMqos0W9YpdmvTSQcLysEklE+/RuLNK7waRYNG0PhRtvbJ6w - ddumwwWnvuLeXXeuy2MogCTQpVGaQypTFWJkOHaOc92gKFCM/MZmcjK5+Z7rTj77+SeH7RCI9MHg - 3vuU26NpQIfxpEmXvccJWbmvcVayVvhPw6L4GFjEgio/adbt9RnsqukBEAlIlCS7HP3suru/+sUb - /3xS7splgiIlDfNRcQZED/iA/Y8IOn8N1AfaL34OANTFxHY7TQxWbPVV+1h+w+uuOHP7OWUuPXwE - 55yZ5vX6yiCe5zIe6SF6YUZM4p2DkNfRWjjx8le89bp7bpqFlPxsOb9TuyVU5y9peQR+6RG7V96t - SLsZuPjF7gtWZIJzPKtnoaAU4y33feOrN3/59ee9oZlNWStWT4p+hdOvXUVIFmGVrAyw6wvC5Wj6 - vD9DlpsesO61hlmaGwyAvc7yZBbGu90jn/rix3fzo1pIPUtM6pkyq7ImESX1REhctavnnvryC864 - UGdUFQOZnzoRglNCv0gzqWi3bBzJub9Xzqvu82TLn305xI2vAgTutmv9B5kBhS7n/h4rW3MGhBXf - OkmaAJ2fheljevc3mXoMTftTE2D+lioD1C1Je8+rxcaXy0GI7cw7n1rdXp083tj1xld+z/1P3HHH - WKbtgxLgHKlkzQcOtgVw4FNdz0GsAJjQbXxT9PHGe67bnR7PrtWEpMKKcjAaz6alBwCGiPZhQaRQ - EhcgEQNs21GedvYpLy4nA0pC/d4+SIm6BO+9VkrcbWsA6TbEx5U+h74PJHc+5Jwzw7nglFd4y0Vn - XfL5b/5xLDJYWABwZumWycW+Sr/89/ufjPkHnhRMe52Lz6IUvKOUBaQ5ZwHBEaY6faJ97O6Hb1s7 - ZXvlK2TkNpXDqsktK1gdACURYgJI6Oh7G5b2J5d/SgpS7RYpp5GaOo/X/aO/9scfeVwfntBmWTjp - psi5pk1dco5Q17Zoz2OW5OJYOYbvfPV3X3rOZcO0ndrSoxBJishF2cSWHK9UK5OmIWYmAikrZL6C - WtwfNotDuae4n/9m34pnz/l1UQAkbZQQAoFTzuKFSrnjwVt//y9/61F/T1OMlUDKLjsnHnAhjlbj - Cf/wbe866YxTGokIYOXYtszHzSbGGGOMMcYYY4w5fAhwyICDS0iprDfp8RxSy8oB6MbZFNBCCKBW - GXQwZ15FWLOHKPlB15i6STG4smuQPK9HWB7CsfRuY44KFt1tjDHGGGOMMcYYY4wxxhhjjDGHQiAR - mUAMJlmqTGTJQItcILh5UWFsJ0H1w5dc+tI259m4ALEgA6pw3Ed3z6/TEBDcvMB2n6oP3bvss/8D - 2vcnR4KAhRzAUHG6p9uBKDKLLr02p/2p6KyAB2tfnE+K4DkmEcB7arMSg9i1ba6qctK0SQuqhlPK - u2M7OunkR+tZU5Ufue4asAMziEEE4n5GEYP5IC5uUfng/fdBBRDEDCKoYtb82MWvDrNmGPNWkJ/O - VomonTmgAAskK5ynWVJmFIWfzlJgkCAEnkUpHdqMEFyMuXCcVNCV487Lz+Zl58x9Xnj/Xul81h25 - 9G4B4vxLr1AFzeO6+9LH+f2ixluEAAdKLYEYhaCYzp7ny//97Je8/5ZvYChUDYFCMxz3uewCZADo - I8B1Xs3jMP+Ku8jwvqLe0ruNMcYYY4wxxhhjjDHGGGOMMcYYY4wxxwx9iu+e9Lu5/w0FC7IAdz9w - 33d/z/f+yR/98emnnRqIGZTbSNCqKKfTqSsZgOr8SjwRBoUQuhC4J7eeV9r/9WaHpUk96dIViXsu - LYMSnHNtigBEUXgPorZtmdl7R6CmjeVwQMCnfu9Tf3D1f+8uIVMFCDmleX71PLd78fr3irkghXrn - U04E12XXMUFUSISBf/zDP3TWGS8omDfbGMoSughqWcS0HMokq8J7P5tNQwhFUTRN04WFk/NN27oQ - nHOzprnz7nuu/sM/vPrqq2+67dblzAWdt8565UUXverCiy699NLXXHzxSSfuaJqm9ME75wgbm+tr - a2uxaUVkZTiazWbe+5Ryl/CXUhIR5xw5VtWnnoqnc6nhUzyCksYYR6NBjFFyZvKBXdu2vixSys75 - Nmd4/ysf+dVf+53fdY5zlnn8EzT3X6kIAUwsKgQS6HI4DAuQ1QH//gMfPP2053vi3LTehxjzoBxC - ASIRYWbRfKSjR4wxxhhjjDlCumRu59ziJ8x9mUxKyXuvqt3/LnK7nXM55xBCjLHL5+vulx9WZB6/ - mrD4XwWms/jj/+zdv/aJj734xedliW3blmWpmlNKgzKISP84fQgQA4vgRYvqMcYcHqTMAAsrIROE - BJSVUgijtkks0CYVWqRi8+prPzXZvst7zq04h4ycAHgEgaSUvdYJZcWUyE19VfCMEidsmWx/w8u+ - C2D1KaW6wjA4n2J2zgmREJRlnoLJx3pdIiv3VZZdaC4Akr3qkpVlHkUMoKv6VBczAurw+m+74m/+ - 5qvtluk0TZQASqQeBGHpMu3mIesHQThAxac8ZOSsUZIv0Tb05Vs+99qXXzIRV5ar9WazsrJVmpyS - AIyjZhPTV8jOv+0SnWk+qklETiEqUO0Lfp+LMcQHhaEOgDCA1M2yLqETYFLq9zcod4sfoDmjrKqU - Y5YYCo8k5GUaJxjq/et3/u4XfvMJ/2jYJrOpFIyKnGq7V301AIpAl3fY/2AeeJmUJDO0W7alq7Vn - IVFCSygL326myhUelHJDQ/gWK7u2veSUV19yzuuqPJAmKfKgkNSMyUNBQtwlVYMgh7Y+eVoZpYsP - iMTUOuecOI+QW67Ctue5877rJW//o5t+tx7sZD+rZxgMUE9RbCmbtnFY1M4vPefysxOcgCCsIAFS - N39SF/rIyj6LEyiJEpi9cxSL6ae/8t/OfNHzTyxP3R5PzxMdFAVIUhQiYh+StMpRKQqB1SEPXA4+ - Q0jEIR81n/dnAPUZ6tLVzjsFKZwwREMIs9ggUDkYzGZNrOPKyspstsnb8gOzW//zH/ziY9W9NNQ0 - psKXxHGWoxbIWYehiE2bRU/glRPbF73tgneMsJWZE3Ibm2E1auuWwUqau4VL3WIle0T7RQAgVk2R - 2UlmhkhW5yjtWYAZALRrPvD0gqv3xsLMDipEGVBVdVSodsuuCCXWPYv6UR5UwvPUWFKoEpRVsy6d - HhGBO8D8yRlE1B3iqSrAdHjzYp8lrPMWEiQKB8JiGwHk+bspUFYKABxcjuLJIWsJh5kOec3V/ANv - /rGP/OH/uautx2knc0MFcgudp3PvWSr6JZC1O+c478dC/QnIg14+j2lCSFmrqqLUICngxCGGdlxs - fPb6z+pahqSSgAxXoInifJlp1p3FYxWoAxTUCiMDQShsbH/L5W+nMXxWTy7ljCAKkLLvd0oFxMKC - eUg0KWi+s3rsL84QEgDMfV8WVubMQgBpIoVziRQuaitvOfutN3ztmsfW7swDlBSaNuUAKaAZFYNk - vjTOF0jtVh0kQL+j3v1PJoAEDGhCv04Q70CKSJAgjPYvr/2zl3/fK6RtY+TBYGvT1vACCEup4FQk - gZTJsbBwPnL9ag4eM5yqKgmxZiUROJBjBJI6zYpy0JJupM1wIj20/s2P/sF/ekjurYtahjSDsHqC - 15w9Qyg5hzQDw3nySVMksNKo2TqcVa99yXd850Vv35JOmT2e1opVFhWKKGRGExSA+hxjoAL96JqQ - gsFd76Z+V2r5VeveidfLYdtLk7a/6e2aSemB7gkC1T0Prt27r7z4CYlQBuA9i2SHkJBSSGN67Opr - fu3h6tbW1TOeUpFFJMTgnG81jcK2bwtnnbHjTJ76ISoSypLLwiMn4sNy5YgxxhhjjDHGGHN8oa4x - 8qDEMFGUMgstnShSACzc9r8rOKgTrhlZObOvAjuGKxBKX5IAtDzAwP0TPZdOuxhzlDuaz0wZY4wx - xhhjjDHGGGOMMcYYY8zRbj/XVhAE4ruyJEDrFpICe9SNf/DhMF4vkB3SUv0GC2H5BoD2l9t9IKz9 - 7UgjZdK+NQCAebVV31JzTyWRQsAZTEw5I2YQYzgqI9Ak8Q4AkoLLciNjXFSPl+GOnHdv2/b49q33 - rRQ/ddstH7zn7p/92ld/6bZbPnLrTVhZweoIqytYXcHKCMMBqu5WoghP/6ZlKYNBHA51uIYtW7C6 - Bl9i2wm/ct31v3zHnf/ujtvfe8vNN6bm0S0rG9u2P+iLnSvDJ8qwi7GhTGUQxXiWVoq+v04bZW1Y - thkJIKJRVTbz9pTdTNj7ZLzMa2uwV0r7kdS1w+iKhFnh5kvIovhq8W1fcN6j3JegwykCMExxdff4 - J192EXJGjsgNBQBIdVek1N+6yXzSi+iryNTabBpjjDHGGGOMMcYYY4wxxhhjjDHGGGOOLYdyzVOf - xt1dMTVt2sfXd33P3/u+r19znQBtisysirZth8Mh0LfsFxFHPKwGIYTZbHaYp+LvrGmaMNc0Tc55 - MBgwc4xRCaEq67Z54IEH/sVP/WQjmf2Br2Q8wGVzCiVQF1junGNiAolKd2XajhPWrnrPezTG6Xiy - Ohodxm7TzNy27crKSs45xtjFabN3TYzDlVES+dtrr/nxd7/n0su+4wP/4d/ffOediSgTJSAD/T1R - Ivr69Tf8X//vf3nXP/7Rl130yu+64q2/919/f9fmOEFnbbO2dWvdtr4Ig8FgY7zJzCIS2OU2ds84 - GAy89yKyCOo7cobDqontbDYbrKyIyLSpq6rq0gSd9+zdJz/5yfd/8N8q0GYhxtIlof2X3XeiMhgO - BQqmUBT9bygcgYF/+qNX/sA73pGa1lH/WVi8Zd0X1i/cGGOMMcYcl4hosW/fNE2X3t3ddwc7HVUd - jUb75Hbvo/tf55xzLoTwxBO7fux//fG77rrLOVeWZQghpTQajUSkbdsjPFnGGLMf3Sqsbmvfx1QK - B7nl3hvvn97dFBMATrwQMiMzQCAFK0SkqFydRJRCCLFpNaOichS3XvjC11D2WaUqihgjAFJy4llZ - SboM2j5N+NjHyrx3Dpzu+wtL35A0qS6KIKpo/RqdfMmLL/d10f39IqVS+ll0KIOZT/4LJRaXN9Ij - X7vjr2IZZ9KEEDiTiKjq0ZQLuJduZG2fyVHVbvTVOm8flL7ueF6JLCToI+cXiQLSFZZXg9F4PNWM - UTmUJhHrVCd8gtyxcdPHPv2rTbUZ1mhjmqoRJAKa+4J0Xao3pgSa19ov/xfm2toAACAASURBVHxe - qky6ZzhxsahXhd9cTysrq6qUo4xGw2YMboqT/el//7IfHOkWacAIrKjrWVkWmCe7zx9ZsJ9a6MMv - hABRldSNlMZat4bnvers151SnT6Mg1QjVCCPYojZrAkc+knee6p7e683umWaF79GfXKqE5BCBOXA - xZxmqU5+tonH/+vnf1tG9TSNy7Koc1PHOiOTd7FuVJWUAVJCZlFKoAyAn1ZO+XFI97wB/XvA7HNW - 50hVdq/vUs2rW7asTzZ0mJ6Ij3zyzz8xLh9vQh4nyZQVeTaLVRnqCC7QSixcUWaidf9dF771BStn - ueTbnJTEea95sVZdbOwAZQb4mVhCZf8ZIf0nZU/zgENqXtH/LYmCZB7xu3TKASLHWkJJNx+IaHG/ - 56hu341jl8LSRfYC0O73+99VPq62Ssr9rSdLt6VfmKd9kzKUScnl4NMw1Gv/6Lt/ZAudNEirLlE9 - xmhUZNV9ZxEtPR2Wnu64yEE/BN35TYkCJaaQcpbV9iu3fnHmxw01Ot86KEhJlVSp/ywzhKHdOgeA - BzhVJw3POPfUCwZc5bpV1aIq+2fp29cIqwBJSbTLolZm5e6/nr15cNjJfJ8EAEjhhFU1I4NdVkma - AvltdPJLn3fRyK9JRs46HA67HQzvEeOepXHvpbLfc+/D5rWPohcSIRHq3qc9m34lCEOC3PvEnXc9 - clviOlR+WreyJ+tpebZrt9U+mggA1QxVKDOzc0SkgNR1O6hGUXIj43Jbuv3xaz/2J7+6yz1al+Ps - auUIyuiO/jgJJ1W0NYZl8I5yzs45ZpRayU5/2Uve/OaLv482hzKmHSs78iwxCIAQMkkmySwy731E - yugW3W5/aele97qHou+x0+/v0re+n6+RqL8HDnyPfqsKRb+W5MUGkhWpzc0sFkXBJeug+dKNf3H7 - 5k2zwUYsGi2SFDl5TWUb3RRVrNPk7LPOGfkVSh7Zq0JVVZX5ONq+GGOMMcYYY4wxh5sDM5ySZO4H - WObDOAwsJ20f5PF1N57DCcoEZjDNfw4kQT/itDSoczyNqhlzDLOhNGOMMcYYY4wxxhhjjDHGGGOM - ORQMZjgP3lODSYATBYK6AggCItAKIU2xe+Nnzr2AJjN0hUzEi04r+2258sxEcR8Upyg0F5o9skME - kpBkhhIY8AIv8BksPlOoKdQcorIqykE5FeyaNAKEQHWGAkncDOW4XL2HB48/7wXve+iRf3nLze+7 - 44YP3XMjqoTtJbYNsOoxDNNcq6NMyItrXA4pC5oAhvPiAY6J20QYrWQlbNmC4QBVwNbRx8Y7/9Ud - N/+Lb97w3kfu/tLQ37Ky+ugJJ+0crK5LARpW8LkVCByDCOvTZjQqCajbNKkbAFDmvuwMABhCKgxR - EmFJLNEhMhLxPPP6KEIKByVol/nNAlIkQAknlJV7bBc2a8xqUM4kIJQld8VgXuHRVQZJf/lQ9+Y4 - gBfp3SxH3yQbY4wxxhhjjDHGGGOMMcYYY4wxxhhjzOGyfE1g1xlZgbZN9z/w4A/84D/6k8/8aZuS - K4Lznr2f1jVEIRqcH5QVROu6zjmH0Ed0KO17A/Y076a9G3kfhhdPXaPwPsVElp+UyLObTaakOhoM - A3EzmWpMg2q4OZkSUd22/+TH/unGtFYgpYNuKaUAe6f9FYEimhSZgcITAR/60Ie2bt3qvV9dXU0p - 7TfiWvckrxzM86o4xznnsixTSjnncjCs65aZx+PxL/7iL77tbW/71B/8flEEAsUU8aSrPbtvY4rB - BwBtaq+5/rp3/8R7XnXxq//1z//cA488HHMG8+6Nzag6WlntvuXgfVmEEFR11tTTekaEwaCaN9Df - /20pu+aAt6f8c4zH40FZMfNsMhHoaHWlSTGURZTc5vTZv/jcj7/73V2AhHMkstdVmvs803Q6JSLn - XGxbAEVZMkEUr7/0Nf/m/e/33hdF0b1Ty4kUxhhjjDHGHN+6uNnuYLBt2y4rlJmdc8U8jNY5N5lM - niJKZ7ELzcw55xhjCOHee+//iZ/459NJLRlN0xCRiIw3p6Ph6t5/LYAc2vGRMcYciJIISXKSWUDC - CoAUTCrOMRESpVnY+NtvfnncbPYrMRJ06yKFYM+gFoOQoZwzZyUpiTDzLzrtxaed9EKXS4cKGqBe - RMHUDVJ1L2FPeprKc6ububIqXAialYQC+5e/+MIirRSxgC4PiDEp6Emh4E8LiXDqctYVYGFSATUz - t/srN39pPW8mVu89IETKjGNo/i+P4xEpES1a5ZsDEEJXxi1Al0Ho5h/gpBTFNaC4SLVU4lpaeDdw - Qaex0sJzGGPj7tkdV//tb9+5+Y3abeacQ3YyZVdAADmYJbRLzfSZfWInyIzkkBxAyNO05gOi5pz9 - IEzGzRY/XNUdl73mLafuOKOdofQjpqDqmJ3IfpJFGcI4susTRhfqyEREHhQ0aQtgx9ZT3vzqK8J0 - ZcQrrJi0IAdkeBB3H2QBC1jhBE76Qu9F4nGXX57n5dxd0uRy9CMAENqclZR8brUOI7rtrhv+6utf - 0CJGN6VByiFrQa1GUHYqLgfOA8oVlLOfJr+ZXM7PSHb0USUTC4Gl75ZAgIIT++zcNLWu8IRUBnIe - 48nuwQnFTjz6h3/9qdseuaWONQv5hLKCaFO5Ks/c1srNptCgApSTLa847TWvOO+VVVGlJJoyVJlZ - RDw9a0X3i+OO7rDlSDxFtx5W1W/5WTsWWg8sfcKeNLueYv4t/uvIzedjAyXMzzt15x9B4gRbwur2 - cOIPvOl/OSGdXMzWthSDzUmLAgJW+KW9QbACyqRM4vvTo90PlxPhnxtY4Z1TEWUSR+p94+LY7fzK - zV/IMtUUsyLNF1nFfMO9Z7donhgNUIsiDV927ktXh6sSk3OOiFJK+3va49t+W6AIEalqNwKjSoQw - LFdefv6FXBcuuZRz0tQFpTMt/r5/qG5Hnfrjl4NAiuB8lHZCm1+84fM80EZnGrJQcuJJfXI5u5Zz - 4XOhJJlTF8R+tCBRbrWLqlLvhBkinBMJFcM6OyFPA73z8a/+3md/9eHpA4+3m62TzPCaCmm9zsCz - FFL0iAofEDU2bRsGmtq6ysFN3MXnXPqmV19xUnHyIA8qGaZxGoaBturEO3GkBakncfOA+e54VuVp - 3qM7gGUhVuxz3x2XsvZ7av0uG6knKUgDSbF8g3qo7zrxzG8MsBMKmVhZwcIiDKgjDRVWBm6UpWlp - 9/27b/nc1z/dunqS64hERCIQATmIwKfBiLacf/YFjkvVxdacc1Yi9+y+/8YYY4wxxhhjjDHGHCuO - hZNTxhhjjDHGGGOMMcYYY4wxxhhz9CGgAPvurCsJkICkEEEXYQxiQBOaGWL8385/ydbxdEBwxMxO - VBMUT1mPd/QRQuJ+MnvL3T9ZwfP69UwsgKoGCuuzZmVllAPH0q2HYmNQjLefeF9V3Dca/Oydd/2H - b9724a/+LUar2LoVaysYlNi+LTpWH6ZKEVwMVnP32EuvBCQ4hDoiRUrIGd4zOZcALatp5uyDDlcz - eYQKvsDaGtZWf/Oe+/7vu+76hZtv/tnbbn90dcuD1WB9ONoNn32RiMghALNJUwEleMtwZRTK7iV2 - lc9d8TMIBDjdU/enYAEEvGg8cdTo47cBYYVTsCIDmVBPNp4/Wv2Zl16EVpATI0ER265UTyACFUB0 - /iDdpCugfX0ZM9hZbwNjjDHGGGOMMcYYY4wxxhhjjDHGGGPMc4CqqqpzzjkCQYCd6+vv/KEf/v8+ - /rH1zQ04jikVRVGGIsc0m0ybWZ1zdsTOOeeetZbKyxnhy9e2ee9FxHsP0Xo60yye3WhlZdY2RVVm - lauuuupvvvZ1AnzhDy1JPOcMgIm7LwjkmHLSN7z+29/23VcMqgqi9XTKOJzZBt171OXeFUXRpphS - Gq6s1G3zwz/6Ix/68IebLAo0KWao4wO+L45dTNE7T8RJM8Cb08mvfOT/ufiSS3/qZ/7lw48+esKO - E2dNPW3qsqqyyLSetSkmyVnFez8YDIhoOp0eruk6kKqqZrPZYDBg5hBC3TbqqE4xVOWffPbP3vlD - 7wJICbLcwP/JqL9n73JKALzzbd2o4MzTTv3Exz++ubmpKTMox0hq0d3GGGOMMea5ojtoIqKcs3NO - REQkpSQiOee2bcuyxN4xovvVpX0DiDF677sfOkfXX/+NK6+80jmnQgCr6rZt2+q6xiGktBpjzEFS - kkzSZcIRAPUAQkFZmqwp+nj3xu03P3Q9VVBVgpDuNbbQ5cs6UKqTdyBGi5mrigqrrhl++yvfOFuP - DkOPsqmz90F1r/GfrnaVsVcu7XMHM0tGzurZk/htox1nnHhWiENSzodnjoiSZEambkiIAWRuZdDe - vfOOWx+4WQcqEIh4BnE+DE94OO2ZAd3m8MnjUF1ot6rKU454GQA0D7TuwlBpuYYcCspA7hYYUJft - jTa3Relj0xTsiXScdjWjye9+7je+cveX1s4Y1pi0bTvwVarFe58Pcp+FlX32IRdOfLfDsxiy9kqB - OKe2qoqmjqVfDZPRZS99y8Uve/3jD216DCgHUk/g4Ms2p6W/FZAe6dDunrImCeyJXB3rzNFVSKlN - 4/ya817/ihdcXLariE4EWRE8KGsXMEl9Ni2TMJRZmfr9PQEE1KfeZu6/kH2G9AlESBnEQFAqUuTa - reLPv/Lpmx66Zhp25zI1WnvPmmIITpUAxxKc+O6JlHN0bXLx6IoCfaYw4LpATiUhZJJWoy9cSpnZ - hxCSNNFNpv6JL9z0J5/7xp+W28uGMsN57v4KwRVOWFodeKQEzm5HOOOKi//+0K3GOjqQ56BCpKRJ - uz3/Z9ciUloP8LmQv8MYf0YGAKZn4kN3pPSvfHk+7HPiY/m7fRpHdP+lKou/ei5+sqg776ToEnC7 - NGPKBEFDZTM4/8RXXPHqv7eaT+S6rIJLosoC7Huyivu+Hf0MZAWwp8PJcworPMF5L9AWkqp008PX - PrB5u+iMIEpQRiYIq3LqgrwXs0hIQJIZUIRYbStOevl5r6gnjQhCWWZQm9NzbHbOLY+udAc+pKxw - xAxmYVJiDWeeetaWsK3Uofd+3DbOwwPSoHCLBiv7DQI/GKKC7NfwjXuvvX/znoYnKBWsrMTKmSWz - OiUnLnPKLspRt1bJRCAi1SyaRIQEKpzJTbWNK/W941t/588+9uDsrljM/KBvIsQKgnQzb7G+rarB - +ibKFc45U6YwHVx0+qXff/k/GKQ1NwtlrjiqB+e69exIGGBWArqNq8z3YLHXrtJT3wMASGXReGff - e9rrHiQKWuwuL6616PbQnnxT9HsLACtB0O3seZYg2VfDaoL1nfGBP/ri7054Z+Ma9RBkVZUMIigD - yjQNZ59y/okrp+SoACuRgpk91EuGjRMaY4wxxhhjjDHGGPN02DiaMcYYY4wxxhhjjDHGGGOMMcYc - ki6tOyOTZM7gLuYYDkwMEJpUQxrsnv7EmWe9QPJWiSw5q0TJEaoAoSs76Utql29HIwLm8d3RQQis - 8AqngLKCuzhqhjjNDo1DrMAetMrlZJpbN3ycq/urldu2bHn3Dde876F7Pnjf7dhS4IQVbF2DD4CX - yEAFLQIPSP3Qr3B0Xtkre4XrXkJXxMKLGu+DoIoQ+mobIsymWYEQGEDK5IoKvkJYBY+QC3AFP8Da - Glaqf3fPLf/67puvG7mHTtjykAtjDY6HBKrg16oVhkyn4zo2TgVAZqT5DQqn8Ioiwwuz9Ofoj87S - Su0qhbR/ZwlIzJkwCAEbu7dujN973gWoG2obUAwlYx71DUAB6SsnARVSEUgEGkIikMB9i46exhhj - jDHGGGOMMcYYY4wxxhhjjDHGGHNME5pHmqhqzjlnVcVgUAJIwM/+3M+/60euvOu+e4vRIKYUY6xC - sTIYVqEIIXjvNUszq/tHoOVbf5lWF1a0z+1wURIlWX7eDoOaWT0oK88uNW1gR6Ibu3YXVZkJ7/vA - L3zq6qu99wK0baJ5QMj8QbvLxp4qDYWZVVW7SYYCIKIsulKVv/qRjzhQnNaLDDx/4Ajtg8XM6f9n - 787jLbvKOuH/nmettfc+59xbVUmqQkgYwpABAihhNDS0DK2i+L4CToB8bNtGfbW7FQQFB1QURxRQ - UBpU7BZFxYCahgYRGcMgICEhEDKHJCQhlRrucM7ee63ned4/9jm3biVVoaoyVajn+9mfW3XPPffc - s4ez9t5r72f9SqmqajabxSqFEMTsqzfe8Nzv+/73feCDBgyxGYQAQEwPmLFnZkUlxNhLMSIOUaBZ - S4a0Kn/+1v/1mMc9/ude/oo9K2vKYVZ6Y0pVxSGAQQQzVRUAG5l8dx0zirEqan0RMe1Lmfb9aHn5 - b8/9+x/+0R9Rph4malikCdLmuCPa9z0FBiClMHFTN1JK5LBlNPrTN7+lCnHb8pY6VbnrAnFd133b - 3dXz5Zxzzjnn3NGAmYfQuxDCEL89nBAOpxUppa7rhkjvqqpUD3p+pKpEtPkEIecsYqq46KIvvPSl - LwshjJrJdNquzVqKAQCMh0qr+XT3pFE6544ZSqpkRiZki+aFATZTQddTl+vuk5d/eKdcT7VJNh46 - ggiGeb/Q0MVERFBEQs6myRQia/zQE896yMln9StW2QgaoQxjUFDwojfMQDr0f7FtznE7ZlAQEaJA - SGwhWf0tD39K3U+AaARjNcI87+5IKKhgX5jc/GWU0aV2Pa18+pKPd2G9NzElQKF3Ulz4Xe9WoaoA - DHrsbT1HbDioMILZpgTvebq3MWxeWZwC59yllIRknVa6yepfvPdNF9/yWd6ue2RXb1I3CVpGTdXn - Mm8WDkdQZqWhzdl4kAx1k3LpwKJWKmqwmh77oG998sO/I8wmUcdbRye060WFmKOqhhCMdB63SIuo - V9yZ/eoHw1SxJVLr+7ZQCYkCcWUj3lM965znbo+nxH7SRAIQFMHmn8XhV4EIDFnazAYyHVbA0G8v - i6cqYDS0lTxfUAYzVBWKIavEEe1pd7VYXU973vnJt12vV928vnPUTErbNjGYmZgZoASCRgmxjEjr - EnOfZkdfFOhdbghKZyOyAGMlCBehPjUp92RSda1YMt42+8Dn//79F73Ttvd7yh6umIOaIncIlIrM - iItpSKCRUZjFZzz6u++3dEbIDZTq1KRQkREbm5kZ3RXXeg6RmMmBrnrc1h1rP+cXfbC49HCvszH7 - RjCTRabvIS2UYde6mPGNFPBj7sO17yzVWAHh+QghtaZJWV5uT/iWBz/tCQ/+1rrfGqmhfdcr941e - ogQlXYzeoXwPfWqOBgSg5ESsqtm0D9LG6fmXfGBa34LQBxAxGzE4qM33fbTvNxWkRjqMdzLqJw+7 - z1nbl05KXFexLqWIakzpnpu5ewpjHsvM+/pVaJHWrMYgRiAJmm3LaNvZpz0xdg1zBCMSIKCy2MBp - ftAy7K/ZlA+/iyZniQnrtrYeVz540Qdka9/KOhEBPByfAQgS2WAkwuWo+yQoEZnGIiFnZDOLWifU - fRYs6SUr//bWD7/hOr1+Na1rmJH1UTlIJRQLI0cIIQhiwSTWu3bOtp0waoVKCRM6/pH3eeJzn/TD - O/iU1I1SqRtqUJRhqQqGYqw2P4GEkoFEuVcqBASNhzoZ8/x4Vw4ylVtNyiIsyqIsQiqkwkW4KKmS - KhflUoYpZCVlAxsDIBsOPGKQmrQSK3vLrjJZ+/AX3nvh9f+mS7MWs9BEJDImKALDmJirqhs/7vRv - qbTR1oZOSFWFMXPYfG3fOeecc84555xzzjl3Ozy62znnnHPOOeecc84555xzzjnnjtRGVPG8Ghkw - nj9SSs2E6exlj3jU/ToZra5S7ggmgAIEBKIht1uPsnKYgzGaF5QMRYaL2pUIJCDAghALIbMKK0GN - tB0118FuqusbRs2VgV955VW/ednlf3zZlTjhBCxttVEt44lEskAIQCBOdSkAEpAgAT0C8bxEZrGo - AciiRO9wl5xKMQUTcqeBsDQO0RAN3VRjQF9g84E/gaqyENEkVBFLIzQ1ti699cqrf+vLX/zFq6/a - uX37paK7mqXdId7YroU4SqhqHHBIUN6oeSYDA8GUoWRH3VA4RvM1GwxBMVR3z8dglX5MelKMy3tW - XnT6w5Gz7LkFkPk2T4z5zBCGAvr5WKtQzGucNq8+55xzzjnnnHPOOeecc84555xzzjnnnPuGZGa3 - Ha9/Nus2boL714989Nu+49vPe/e7NZCYZpVp17a5V9W270RkvDQ52IvfUyNvi0gIIefc9/2WLVvM - zMyWt26Ztu1v/+7vvOGP3zgEhFAgA8wOe1DojbSGIb6OidWUgD94ze9vmywzaGk8DkS56xl0iHEI - hzVrHEPbtiEljuFVr/6Nz37u3+e3vTFxiEPMy5C3dzCqaoCoFCkK1M2IiGMMnelMyhve/D+/6exH - v/q3fnNt2mYphayXUlQ5Bo5BVUXkTpyp25nZUoqqGlE9GnEMo8nkNa/7g//+4p/uxLpShpSXyWR0 - 8BhBYF+wN0UOXdsyAJXffvVvnvP4J1QxBWLJuYopxtjN2rqu74ZZc84555xz7mjQ9z2AUsrwdfNJ - RM4ZgIgQUd/3t3N+QUQiklLaeKmU0vBt15XzzjvvDW94Y9/nph6rgDke7HWcc+7OQQoywxC/vUj9 - M5BBSokRJfU7u69e8JV/z+O+t44ZBGUb8tKGyDpWsBFMtElMQBGkmrs2Y1qd88incZu2NMcHSVY4 - xboUZYZaGX59cCynMwJgjoGTipggSnXW/R61hU5gTfMk0Xm+4BHZVCa8qP1UEIzQE8ISXXbjxVff - fGkJvcVh3d6b1sG+gFjSAhEz1XK0lfQelXQIt+b91vawtfG+/xmTQYukiq22Fd49W9771nf/yaW3 - XJCbNYm5z0aEGLmXTiFiOLJuXSMbAlxhoCHB1dC2fWqYyPK0xK459bgzn/mE5x5Hp5Q1Wmq2Ss9N - NWZjVSUiHVLbyTbWPtm86vsuzjZkRhAxIopV5KDZsmYdURPa5sTm/k9/3LOWbDvaigSBmFGwSPq0 - eZX28DaBW40db/O47luhRSq5GcxABDN0lsMILTqd9DfZtX/2T3/c7OC1fk+MHDlNp22qGyUDjI2D - DuGRyUiNxI6tZpcXud28keE67ASZuety04yKGk1CGU3Pv/h9H7ro3St8k07aqc6UraiaoQoBQEic - tU8h2CxgV3zsqU963OlPxnodtQ4UTWBFIcOfvMcO5o20mKiJQY0V0LsiU9sWMD+QuBdvUUrzd6+q - txNAPhy6bE46N7vVr9zer38jI8X+exYjBUwzxrw1rY+3lO3f/sT/97T7PCJ2E2QiA0FhDIuGCIvA - MIjFIlYZgO1rGu9Yuvy9DxkYQRXKJHV33erVX7zuwtK0yj2xEQWioMPmSPvtQZSHWHQAYK2qbvL4 - M8+JOTSxLlmlWIxRb/8a4Tes2/bSqKoSICKRYqBAxlCKpX7sGd/S5C1dW6oGMEAwiqR5nkxvBAMv - jjnmRx2HJQUYilixJl903WduaK9FEjNTAsBkvHGapKxGR1mbYjzsPInMSImIKTIHZcRt5fLdF/3V - B//sqvayPWkt16XXzqyLwkFZSXOYN7akHKTKM1keN900o01jnHD/5Yf94NN/9D7x1Ly32lafqC0H - DVXV9H3PKYiqgpXYiIcTViXMj2SM+XAmWpx7HsoEYDHu0TzxfXFqpgQQdHjOfLAhG57NCh7G9WFl - MlJi4ULbdDXd8snLP/jRL/2LLvVrsh4rUi1GOj9uGA6phXfU9z3txIeFXDGYmRVmZiISQ0UHHu/I - Oeecc84555xzzjl3ax7d7ZxzzjnnnHPOOeecc84555xzR4TmtblBEcECFprXZM4Lifes/NTDH3HC - +vqWPJuYjIDATEzExIHBpDCzW9f33qZa42ihQCEI5mOICnHm2BH1YAYPZRyF0UZ0EUaYRb445Kvu - t+Nl117+a9dd9vqvXY+tI0xGGC0hjiCBJIVZCcYEkbwOKn3uQ4yqUAEYSABBOrmT6jE1RPTtCqxU - FazP2vXaFQLGIyagiihZKQCAilFAIetKDzBQQSrUE2w9DsdvedWlF/36rus/t1xdvrXZe9xxXy39 - KooEEgIBUVELakFUNrCAe+JMMAKZBkOwfRvPUWVRhQeAFSwgMg6mkWAiNlvZqvl+nWBlGpoG0s9z - u5mN9iWUb7yEQQnzaPejc36dc84555xzzjnnnHPOOeecc84555xz7s41pHdTYAoMJjCFyMzgyEPQ - 0Y27dj//h3/4f7zkxbfs3RPqNFqeIDIicwxFZchmA0Cmm6bb+4t31t2GixsX9/3d4XExTXUFJjEt - prO+a5YmK2urv/ua33vtH72hFROgzaWIAUj1wdMmbNNE+6ZFFDSYwAw1XRo1P/T853/vc57TVBUD - fdv1bbc0njDzEIaHRYrJHcTMXe7ruu5LyVLe9Y//9La/+btsCJEM6EWylOGdiR4oXZsAQkhRTUHg - EIjZgGk7K6ZZTAEjNmIN4bVvfMOjH/uY17zu9XtXV8EUq6ovMus6MHEMYrrf0N6bJjrM6SCvg5SS - GWJI4/Hkxp07s9lP/Lef/LVX/8Y0S6yTAmqo6rS2PiMgBjrAgETDKmMDwIxc8jilALz2d373+5/7 - vXt37xk3jYlILqrat92kGVm5O1LJnXPOOeecu8flnKuqyjnHGIdviQiAiNR1DSCEEEIYTn9uJwpr - SOmezWbMPCR855xzzgQyQyn4oz96wzvf+U5VXVpaKqUMpwEw3pdbdkcyXJ1z7iDIaKP3iYFgiEZG - Vqq1T15y/u58M40ki4SwSPYdOm2GwEWCkjLARFCEADNlxPsd9+AzT3kkZjTikRaLFMxMSYcIugNG - LJMdc+MnD+18ZIaAjCuttmL7N536GJYaho1unyPvGyTMQ+M0MhSkQ9edGlDJGnZ+6osf6WMnXAAc - sLvoaDb00xpgZrcftuoWNn/uCEY0z5GeRxovEg0jWWSLTUx9md2cSjUACwAAIABJREFUr9872fmm - 977+oj3/1o9WqS79VJdiVXPKOSOgV+FEcviL36gIlxx6YQU4CAeJZOiAEhTActh6Ip/ygqf/l21y - UuqWx2HZMrWr00kzYZAWoWgiedii73hn8uFSVRGhGIbjQ4hKLpaxXG3p9+LxD/uPZz/knHHeVuVR - BAnMhu5dViXd6O0dXgm3ClNfxEvPLQ7/5unmgBUwAwFZEEeUxrSSV1br1Zvp+r9695tssrrWrbad - jJe2llKMe+OejFkTa2DjoBz0yMLW78WCgo2GZTvP1oSwIVHVd8ohWbJV2/2ZK88/79PvuNmurbfH - 3dN2vMSibTFwQAikmoULV9S27ba05f582vc85gVVvxy5MqEAlr6YIDBDiYz5novYNDPFvmhtAHdu - G3nAE5IDX+vafC5zNCNVUrFSrCjJoTcpwz5oHrx6rCLj+fUlDDHeqmRGYI6zldmWuC3Omq1ywg/8 - pxfuCKdsCzuibhxMBthw4AkjKEN5MYoFsSEem7t2RoAaUUCFFd71qS9/bK/eIkmU1czYlNQAGIEN - TAeKjjawpgdtP+O0HQ+LfcU9SZaUksCKHWv9CTy0Qmy8WFDD4TAAMLMWIwqkRBYCEnI8afSAM05+ - BAqbQgsCECjsa8WMARgtmsHD7Z8hhIpyRlXFbP0tdtMnLv2wVsVsOEpn1hA0glRZ2EB21O2viZIZ - VAtUApi56lXWbfcVK594+4de/5X2mrXUtylnBjOiISrIUIJmRgagMSgHQ+RkvdVanxB3PDA9/L88 - 86cn7fY4W1quTpxNUcWtbadFUE8me9fWqK6EgiIJkqA2RENQ0NBWK+mhTgAswtLBp7h5Io1sYGgw - DaZRsZg4Ckfl+X/mUwgalZISAxqsDOMOCZe2nt4gV1648xPv+tRfrzW7ZqELDUk2kmFXjQBShSqC - pjNPecQJ8aSkVaSoZkYFgYeeRj/fcc4555xzzjnnnHPuEN0bLk0555xzzjnnnHPOOeecc84559xR - iPZFFQNUEMpQJGkIBPQdip683m3NfSwCG8p0VNVUbVHsDSKkdPChKo8y+yqNhpIhUmEzFswrBqlw - mMa0t0pfG6evTiavu+baN13yJTQVlpcxbtbruqvrLqAwNDEIqCstGQBXyWCpqrIaBVCEDXWehDAK - WNTRDRg8THTYl7y1HteAAcIRIcVQMcygCqDknGrucy9QiqSwAKtTlL4HA1VQYqtiqWrbshWTyV9e - ftlrr7z85V+66Kbjl27aunRjDLPIQoCBwAQOAAFKMFIjVVLbr176qLMxLoYRMlEhkCEqgqAmMDAi - bO27l51xFmYZYgAK2AAFKzald9t8lI0ADcPsDj85WmfcOeecc84555xzzjnnnHPOOeecc8455+6g - eQIHFnEHqjCDWSkqClUVoBhGo6YAb/u7d5zzlKf877/+q/WuVaa27znG2NRFbz3i/0bI9V3tYH+o - auq16boRRpPxLHexqdbb6Ytf9rLX/OEfFUOq03BjYQgUEue+HMmfJgKGpQUGHvnIR/7e7/yWFoEa - 1EIIVUwi0nXdEHp3pwghABCRvpSqqdvcv/JXf4UABYqYEdTAMYQYVW4vU0FK4RA4hCHee3jZumnE - FERiKqbTrgVo1+rK7732D7757Mf8zu+/5vobbqiamgKLmRHdDUNpF1EQKWHad7v37nnm//Ost7/j - 73uBEto+g8CMrssxMjNkkaVzgA1PAYKKMZBzfunPvPgHv/8HxlVaGo+GVcbMo7ohoq7rfIhw55xz - zjl3jEgp5ZyH4O2u60ajEYC+782sbVsAZiYiw/nC7ej7fji13JwwOpwxEQiAKn7hF37pIx/5aNf1 - TNGHEnXO3aWGoDkCgjJbNLABZEqGGGNb1mZx5WMX/SsmlqFiIKL9owH3tVHMLL0EYFShm2Gcls84 - +awlbFuOy3nWmkiKoc9tqoKYIMBIh0pWMgaIb5VTe8xQVdUCgJkTU8VNaKsnnvWkqtRB53uBRRCq - HiQp9esjrcgiMO8JUgIMRYXq/vOXfmKd98xoWqxsZG4eRW6VhjgPf90Unjh000IUorC7P7z5XkVB - BjIlwFgRgQhEtkjzBXurDUxbmfGy7oo3/e/3v+ULt3x2rd4jdW67cvxk1K/0kYOqIKBqiJn1MLuN - lVS5GBXleeo2LTI1mwZagFmYlC3PecoP3H/ykAmO45yQKRiqlNrZOrERa9+3nHjjQ2LzIue7qT1h - ZgBm1vd96XPF1SjVQXm23m0bb9e94RmP/a4HTB66JMdZx8CQlj5k0+ow2TxIcvGCtjErvPEPmbKB - Fkmrw+zViSCAoK6xum4WwTUyd+vY87mvnP+uj73djss2kSwdgCE2EqCh+J2Mo6QoFR9LH5hNidKk - YJAyjA2sZBqrptnZ7ixbp1+46d/eef7bdtNNtiR7Zl3dwFRNESKEMc1FA6ZZzGwUUliN3/cffmiH - 3W/ESzCGIFBkhBhjDJWIbD7gv/uZmZmYCbBv0IM7LwBWQapk83EVhofuxRvUvtZv01rb1CQefDWa - 4Tah3cdaLjKAIaA9sPHQZAGwYSuBNeOl6bQNJTZlfDxtf8G3/2gz3VLlJgqC8vDZNC5G80YSG9uS - seKoHrXjrjNsh0ZUQr6lv+7Tl37UalObL4rhY2dmYkTDns+AzZ90AxlSGZ192hPqfilJMsGkmaRU - r6+v34nXYe999juwNCIKIZgZGcyIjSNVXFLqm8ec8YSlalvpQYqKUXIJIdxZu83hFgMyNrZV7P7c - FZ+eYkVCr6RkTBbZgpECSsasEUfZ/tqIAKbCpEQIhbqVeMvX+Mq3vucPv2ZXz2gtNJEDiBASigHU - M/XD77JGDGclUDIZ8ahZbx5YPfiHnv6jO+yUrWmH9pRbjaj6klNTF9JZmS0dt9SV3uarb7/hboD5 - OD+HM+FwJsX8vHW+a2ADG4b08aDMxmHTBLAQy7C7JQF1EtqcVqbNrotv/sxff/Cte6tb1mIXJ1zE - tCByIAMzYowBISLUGJ1xysPGuhQlASyaiS1GVisGEc138+p2zjnnnHPOOeecc+5e6ii778E555xz - zjnnnHPOOeecc8455+5FCAaAWRANAWAbBm6cTtHlXzvtzO2r62MpBeAqZEANxkOJpWFRxFjKfjXH - m6s1ji7GATVprRqJI5FBlbQwFeMylA7mwtMwuXG09RVXXfVbX7ka4y1IS6i3gmpDrDkZIEAPtISW - 0TFKVRdinSdEg5mGJHBhaIAwhCD7LRAmm0+HPQtE+4YXZWAohmFDAEhDFQQaKjZSQTEIQWAS6iiM - wtAIGKKCLAA1xsuoa2yd/N61V7zyyouvOXHbTq4ljISbFqagyBEoKRiTGkAJvUEIyih2O5WY9wwC - hlogAwqjjegDgiEZagAGIVBQnk1PovDi08/CtIPqMOICCoYvs2JGDBq2FsShnM8wrPejbZadc845 - 55xzzjnnnHPOOeecc84555xz7s6y362AmxMXCCCIzodtXpu1wxDOX9u75ydf8rPf+/znffgTH9cY - slmGWmAxUxjAZmSqARSJrQgWYTMAiIiIwGQEMImpqmJfBrbRwTMWiMjMhueHEEIIRGSiiUNuu7qq - U4zttAM4xgimLFI1TSeSzSyla2644bue/ey/OvfcYXbabj4GtKhJ2T+rYLEMNhLBY4zzgHMiACkm - pnl+SV2nYfj4+5988lv/7M8jh0hsooHYRIc3XFXVAe+3PEJqfd+Px2MAfZ/f8Xd/f91XbyiAAcWg - NgRUi5SDp8rYfNIiQ7y3mooKCG3XDmtwGB/cAIEN90buXl/7g9e9/onnfMsv/eqv3HzLLgrBCEYE - DkRh+BXmaEYmiJwIAcamBONgYZhYefO08QQYS1YyjrEiCqogCsyxL7mYUqraIm/+0z976tOe8bkL - LjQmG26CJRigBgClDJsGAAxrh4h4yBcc5sZAhkgg4EU/8p9/7qU/uzxqpM9QM1EGMajve2ZGYOOj - 7UZY55xzzjnn7ipDbjeAjaSrqqo2ztGG0O5bpXcP50e0MDy4cdazkeRnZgqjwMSsBhF7yUtecuGF - Fw45Un1fOEUl5JzNLAS+nfNB55w7AkyhzKSiBDARGQTaG8EqfPKSj67RzlamIlgap9zdKpySYfPs - VzOJkQBIh2iUZHT2mU9oZCK9VClEplL6lFg1A8Vs3t10q+bsqKu3vesRGTOX0geCiGiWyur7brv/ - g+7zYJpxE9LQN2UK6Dwn+LAZgoag852H0ZBvHEtnXGuXVj78uffmZs2iwnjoV8SiE3JIjjzCv3sn - UTpwBioRFVMlICCEMJ1OUwoHfKYbGEFJdSP10AIssSZYMCNSA2AmCBAr2bQEsYlctXrZ337ozz99 - 7Ydl6yzX/awr45hk1o0q1tITwYBcTLOksDkd+RAQLCIThl5PMS0kGswAFkpttS3veObZzz77gU9I - s5H1rMUYFMgCaSAl64klRLOh+Jl0nuA4VPhvTHclMwuBiuYQQhUa6y1oZONYxZI1dKOTqgc85ykv - aKZbGpqQIitSjX6o1U/WaS9DhPqmpm8jvZuN52mshCEJmKFsDOOgsM6CIQAlo0rIxczAQhRsD9/8 - qes+/O4vnHsDXW3jAhXuY4NxVaVeWlRKAdzHWGrSeJcun6OKESiGYsophkDSdawyoSbkKCV0rO3S - 6gU3nX/uJ9/y1XxpPD7MxAJhxIweicBEfQbVkAocERHqdvKkM5726Ac8aVxOiEjDWYBmJQRTKqWE - EJhhJvfUmBIcqc09BWaGiFGkGKIIsN8Wp/PvD/+9KUxVVdVM7NbZ1fcCw86OmVVVTJnJTFWlmDLP - 94bDjtMOnsRtBCLEGGezGTOXRaIqHV5reO9nDAS2SIZgICigRlBGB81cQsOAcqZx3noiPfCH/tOP - NXlbbRPrJLGZzTiUIvMrWQbAoGDdSNi9p+fv7pdSECtZ2zjWD372PVPeVdfJDAZWIJgG1Xkvg4EL - YBxCMoMCgcGgJKORbT37zCeGro5SBSMR67puNBqV0t/T83e0GK5NhxCGIG1GMEGw2MjkYQ94xIi3 - xFJVkVXAFIZLq0bz/O8hNP3IiKCqkGd94pCW+PqVay644lM5TUMK0pdEFYBimSNBOCLxXXw8czBD - C6mLjY2Zh0fA1HelCeNRnEy7tmtWr8O/v/E9v/5VvnaVV2My7vuqj1G4N0iNLqolTaBQEE0jl9DE - jALqU7GHTs76z9/6/z0knLo0a6TrORqxEAsHK9oi9Ah9169wzKBMyMEkmLBpsOGw0ZRFDm8qwnqw - qZAM0/CtBhOy4T8aYGTCKjCxMtzFMWxFw50bRqQwC1FCCCkotR3voa1rO/nK91/8D3/9obes1jv7 - quUKOSuUqxRFxAAOyF2uubKOt1THnXXqo7iPpMOYPgqYlpxSUJUQPG/IOeecc84555xzzrlD4l1p - zjnnnHPOOeecc84555xzzjl3JAzIgDIM6HOJoHnpdt+h6ItPP3PrdDqRzIZJw3t6MWK9N48OwECW - PhALtKgkpsQYVyjAasAtNd+8NN6z44RXfvnS1134RSxtQzVGVQ8lXFhEZkcgAmFxrXqokVOwgQEO - 0GEiKEGxb2TLob5zXlC3z2GX0xEQsBHfTQBtXDRXAhgKYChx5eHVCTYfkXLxAhtvK0TUY9QTUMDW - 4974+c//8lVXXJuqm2PFyzuUq5n2AFAWJTEZzZiaJhVBCkx09JX6bxosdVHrq7x4TAx1lSKQ1tZP - nOUXnnIqeqmhOWceBlMFeD7OJu97tUWNGR9Jca5zzjnnnHPOOeecc84555xzzjnnnHPOfUMZbsdS - IBsy8IGPfOz7n/+8//bin77gCxdyiL0UMRUzMKWUUkoiMg9CBjiGlBIFFpG+5FLKRn5ACGF4jtnX - v69uGD7bzPq+7/t+GHl8yLHOXdf3/bZt22KMK2urWcQIGYoYepUPn//RZ3zHt53/2c9y3D8V5xBu - DksplVJKKcO7BZBzVtWUAgG5ywGYNM3b3/ZXJx5/Ahnu6vH2hyzwnLOIpJT+8bx/2rhZ0Q5tjr6O - /QMtNtY7MxVgZda+/o1//NjHP+7lv/SLV13zlSGWQ2FmBqYhUt0IinkY0nx9SelKVlVjMjNjYmYd - optUAXAMk8lEYX3fg4mZxRTAeDSJqb7oixd/z3Oe/cpf+ZVda6vF5gHq8zd5m/kNHNTUFltUiBEK - CAiAwQw/9WM//upf/43I3K5PU4zHWtiEc84555xzh0hERGR1dTXGyMwiAiDGSERDSreZpZTMbEjy - PqAY48ZhP4C9e9df9KIXXXfd9SoYjUZra9Oqqibj5RCCqm2Efzvn3B3ExioWKTaxJoEqlABoqDmj - 3d3v/Nzln+jSKjMioW1zSovf3L+XwAgIXIqlwEFRl+bBO06/z/LJocSgxKaMAiqgYlwWycG2eA9E - xgo+BnO7F8XISlCQgpQMQVMs9SMe+M2p1FR42DMwgxlFDh4fenBDjmYwwDb+IgcERpj1fRjjomv+ - bY/e0MesDCxCuzcMcXR3YC6P3O0Uaw8hgkOkuNmQbH7vC469uxnPt4V5FLQBMhR2E1FIdVFYRKtd - GEPq2bRauXjnBf/rvW+56PrPju+TcmgVqFMs3SIqG4sUcIDsSHpb+wKOCAFm0ABKZBFEKUybpdlx - 3/6o737SQ5/KexvqYp3qGBlQoCwmBYRs0Sk7T7UkgO+uWudFNi0AUNAQlMkA0mKllFLTyFbSqVtO - f+5Tn9fvxpiXI1B6pISQ0BVBAEf0okbzrZ3mBeBMBoIOS5U3FXEDGNK7yZiVyTbHpXPFQTQ320e7 - +Zb3fP6dH7v8n6fNLhoVkMJs797do1HquhnUAgUGH2sD1hcVCtT20yL90ngSLLTTLlVBYj+r9n6l - u/St7/vjm/mGuB27p9OUKBjyVJdTpAKINQ1nxrRFNNS5fujWM5/5hGeHtg5WTddmo9HoaOs/V1XV - olqG8RQwXAiwjc3sjiIisBUrxgSACENw6Z3w0neLIZx7sTdhIwhsOJXblxW9mBu6zS5JCcbzT6WZ - Fc3zK3dsID2Uq3jfWBgWF+2wss0PKBRAQG85W4+gCbHR0Y5wyoO2PPwZj/0eXh/vmJxoXRcJZqgq - FAVsGLsiAjBWLNK7jzXZMhI05WtuvuzS6z7P49y2LSQMB8zDoR0AgFnBBhEzs6FZLwVUOLT1o09/ - XJVHQVNQImMsNuNjcHku7HcOssl++0M2Rm9LYdvD7//IkGtkIkKXJQz9OaRYDJJzR9pSM6RY55wN - QrV85ssfb9PKrF8bjUaiuSt9qkKfW1aico/trlWVmWOMZpbz/KYFIhKR8Xjcdnm9X6926BWrF/7F - P7/xRr5qWq+XUAJKVbgSDspCKIQ0wrQDiS1XIyoqWtambUo1zeoT6L4/8ez/vq07YUm3YoZoIQQm - K4Zilg3FkOeTZViB5c1B22TGaqRmZrBD/WpmZnLbiciIjBlEBqiZqBaRYgQ1K2JFREFgjimleiRm - YA4hUAhgNiIAxjaVaR/aVVlt45S2dV9Z++L//dw7/u9n/nba7OnTbBjZZ+MQzggpUc4IIZpQLaNH - PfibR7xEhWlTZPvi+aqkRvfMqZlzzjnnnHPOOeecc/cux9qVUOecc84555xzzjnnnHPOOeecu9MY - QQACRjFab0FRcgb0px9w+v12T7eopsgt0APjlMABdqiXaJVur3T8HkGm22INnY3q1DRV12svSDyZ - KW5ZWr502/jnr/vSr3z5c9gywvEnIFegBgSwzguZDcEQFZWiVjSKxtAAFcCLYiZSkCiJBtNgGqAR - GjZFfe9z+MWfBhbEglgQBdEQDRFg2L6JjINxMArGBBJQAQEc5+9EAQjDAmyoWstAx6i2aa6xtAOj - 5lVfvbo/46FXl7LOsUrjCARGZEhBAALoxmkehaQSsupRdcneAGEIz1daVCMzIfQEASIDwMpav3Xc - jBgngs9MI6xMadamRCvSZbEAkGwMXDr/OpS5k4H0zqnXdc4555xzzjnnnHPOOeecc84555xzzrmj - 3e3e4Tb80AgIUMK0l7e/451P+7Zvf9FP/sSlV1wRUlWPRiKytraWi6Z6lOoROIKpqLR9l6WEFJum - qes6xni46TjD2NlDfnaMMcY4xH6nWJesKgic1mfTWdeOl5ZjVXW59LlMZ92PvOjHnvMDz9u5uqqE - rtg835puE3RykHkf0uOqqlJVyQUGZtR1KlkS0/Ayb3jda09/yIMrpjocILJuGIL/zhqIX0yH8cQV - trK29uHzPy4Hy2wZ5ui2c3rb5xzsEcIQRW5AVuMUYooKzHJ585+/9WnPePpLXvqyq665pi+lHjV9 - KeuzqRFCil3usxSFgSmkGKsUq2RMYkoxZCmzvlNYMx6NlyYUuO27lbVVClw1dRYRU45xbbr+pUu/ - /BM/9ZNPfvpTP/6ZT/cwAJPxxIblaLd+84v3KQQiopSSFjFRGAKBDU0IP//in3nFz70sgsapZiIt - Xyf9aPNa2z8zxjnnnHPOuW9wIQQiqus65zwkG2FxXgZgaWmJiPq+TyndTuT2xo+Wl5eHI/Y9e1Z+ - /Md//IYbbmDmyWQym83WZlMxK6rHXtKic+4uZpZCUIUpwCSWM7e56S665oLLb/qSxNYgkaMWEFgJ - RvM0WbJ52jSgCi0GEYua4mz86Ac9bls6Af0Q3WhKtq+HggxkZERGbHToBbnf2HhfdwoHrR556tlb - 7QSUMNTeks67GQ/PRgwwZN43NOSKQoMFUgrMrU5vXL3is5d9REZ5hr5Ahjg7AEOa6RBueifO6RHY - yIgesGF4S8xspkOXlKp6evfXw9BarVKwkoJn4Bm4AwmHuLI6DaNxz9CR7OWd69XNF97wsb/+yFuu - nH2p2R67Ml3foxUoUjQmZShBCMogU1YEBR9+jCAzIiNPIT2accrQPesSqdmST3r6Gd/91NOedSIe - kEqTOKlmIzEuSou0w6GkeZ4gvjm3G0MStt71aa+2kV9uPE+uBZRUrA+RosXKRk3e+rjTnvzkRz1d - VuPIJtYBGZIRCDEim4a0X6/zvHUdItWH3O4FHeaXlI0BJmO2SBrJmIzJUFEovUxnnU1ob7rpvV/4 - +/M++7c38/V91fbabz9+Sz9dH6Wq7/sh3/yYCn5U0h4lU44NhYBu1rGmuh7NbLZeffWyvZ/6w3Nf - vTbZtRpmKwKKCIRgVHHSAmQ0FLXXrsdkXHMXTrATn/cf/+u2fFKVxsICJZT92skDXekY0l5BwLDK - 7upZLlYUphjacxjsYFea7kiWt8gw6ACMaYjBvk2bfZTaHN09JI4TWZZ+/rkmtUMaBkSNYGalFBou - twzRrff0fvOecOALbBSDUO5Dq6GIFe1Q5/GOcP8nnfGdj3/w08pumXAKNoRPgwhqFXQEi0a4t2xL - dzojLaQdz3hZPn7Rh/d0Nxbr6pSgwYh1saQJygayCIsAqwJERAhAlDjWLY8945yoDVskYz6mL9sp - Q2+105s30Yur+UYw0mEiKBlXWp992hNTN0lWA2QEJcVwARQF84V/hG9o2LlTYAVrryHQl2684OIb - PodGBUVYKCjYGCFSDAh3wy7jgIa9xtCsAWDm4dQgEPclS239cnvhrg/95Qdfe335yio6ZQUQlKPO - T20MEMKeVdQVEkhms8AIwKgONKtPHT/6Rd/988v5hOOaEzTDCFqERDloYA1sgRGIhykRJ8LwtQIq - 44gYESJCQqqsrq0+pK9IFeIBJy4IQkEsGQ1TBY5gBjOnEKoQKiCWgq6Tadv1RbJoUYipmGaUQiKU - my3Uh3Vbllkz/deL3/sn//iaD1zyrnL8nq6aKSsrR+EozKbKaqRqpgUAuIQmLz3m9MdTofklflLA - yObHuOa53c4555xzzjnnnHPOHTK/DcU555xzzjnnnHPOOeecc845546EAgIBsL4+gyIBJJpUsWf3 - fXJ/3xSLlSJaM9pW25yLyCGW8h5tod0bVLIB2uf1Wba6kcnkCqJrlre88rIvv+nyyzFZxratMlmy - itEkACA1iKEYdEjvhs0X3DzSuYAUcV84t24u8l+UzO0rESHc7tiXX48t6vAM2MiYtnkR2nD1nOeT - MRAFUbAYANSUTAkloBBKKb2KIDJiAMBVzELYehzGk18+/yOvuurLXz1+cqm0K1WaKkrGmBEUZaYn - pqhGdTUihCOfk7vAUAwv85B1TaLJVFgLoxB6xaSiGliftsFkur5rW9u//GGPwPoM1tWBm0DSSsWg - +RClDPBQAq7gO1Sb65xzzjnnnHPOOeecc84555xzzjnnnHPfYDaFJoNQ1akY3nHuPzz5qU//wec/ - 7x/PO0+ZJ1u3KGyWuyylQIuKEcUqMXOWMuvaLvdD3psumNkw+v/tDP1fVRURlVJyziIy/GKW0kuh - GIbRnmOVKAQwzbouVulN//Mtjzvnif/w7v8jQBEBseGw7+Uzsxhj3/cAUkpEpIq+y5GgaqOYfu+3 - f+dZ3/lddUqSi329KOg7bgjtjjEy8xVXXDE8qHTH7nQ7hF/mQH2WYmpAMaPAu9bW3/Y3f/OYc875 - 0Rf91396z7uzlMnWLb3KrOvq0YirJIS+5CG1XWFCJqZCFqtUjRswTdvZ6vpaJ4VjHC0vtX2/d32N - Y+ikfPT8j734ZS895ylPfse7zh3umeQQq7pZm66LCIeD3scYYlRYCCHnXNe1qcZAw62gr3jZz/3M - //jp5clk0oym6+t1qlJKd2SxOeecc845941KRERkiOvu+344fRvCvEejERGtra2FELAp7uhgqqqK - Ma6ursbIIZAqLrnk8pe//BVrq9PZtBuNJsNJVtM0X/elnHPu0KUQSilFVawMrVmh3PNsV/naJ7/0 - EZ0UrUxEGFQFKmVRBzqE1S3iLckgQEjI2ZKNtvH2M09+OOcUjNnmeb5Guq/21ggAGy/ybhnzUFM9 - pioUN0J5h//YIqONNe2oTn7AcQ+JOVYxMDDErfLhDy89hDW9YjdfAAAgAElEQVQaFaNiYNiQGgsr - OYVohGLFxt3HL/rg19ob+qq3RQjhRnr3RhDpUYL330SGzlIj7Up3TEalHhZWRFgCGGSgAsqgrFSU - LE1Ga3mdxrRHb5lVuz9+yfve/oG3XDP9Eh/X99S1s7xtUtVcTadtSBBS2Qi1pWGl8BGMf86MnDGK - VAduV3MAHz9ewmr9hIc8+ZmPe+7xOLm/xZo0BmDIot2mcnQdUjMxtCTD+wDDeFG8f7dsDPPs8H3t - 2PDGQmAzAVDTSKcU2/pZ/+F7zzzxm3l91NiktjH1iEwpIOdNn2sDbL/X2Vy1Pa/dJx2aSgDz9G6A - lEmZDdNZuzypzWzWt/Xx1S696YNfPO89F5y7Ntm9Gzev5D31pOq66XhSFZJO+2Mt/TGloKRdnirl - WFettSu6osvTC7/60b983xvKltWdeXcYp5gQAkpvhJBC1XUlRpiABA3H2NXL/fbnPPmFJ1cPotmo - FCuat2zZMluf4igLGVadxx6bGRHMYGZHsB85mGHvMFyBsnkSthxV+4vbR0RhGNNiU4b3kFN7sKFC - bHHV7zZURDZCu+fpvseqjaVHxov22cCmwZSg2dAyzarj7eTvePyzTz3+tNBWscckQTMSB7aw396E - QMde5rSSlVC61F67etnnr/wURtorUkoBNP9QbzwR831QjHG4hkxAZIpW3XfpAadsOZUswZgRjrVl - eCDDLnuzYWPl/Z4DBZA4UIcHH3/ajnRihbEZVU3qS168zvxwemB0mAMHGYhAQK9SNUmzEas1/Ycu - eH+b1lbyHk3CNXddV8eEeyi0exBCUJ23b8PVdjMTEQ0y47V2afXSlQv/4n1/cs3s8ty01YSBzUum - AEoWSePy0hiaVMkMbNAWW8KO5bL9+572I/dfeliUiQqvl9loyyjV1bBbEStiJgpRiLIoi0IFmN/1 - ADGIDT+FCaBqoof0VQAZXmrxVXX4ysZmRkpmNjRfbMwIWswKQ4mMCaEKVYwxpTQeN6lJSCZcMvfK - WVKfq9nN3dWz0c7PXPnxP/2HP3n3Z/5pZ7hJtsqUe2EFwBrJFqMbkSpBBYkAMFk6ael+DzjuoaUj - CjwP7wYA8EaS9zF28Oacc84555xzzjnn3BGLX/8pzjnnnHPOOeecc84555xzzjnnboOBBAIwmYwg - Bij6DtP2Fx/16OPzak/WMFRRExuUx6O1dnbbMQ5pXja/75GjNrcbwLrJiUtbdq+tKeJ1wC1Loz+5 - 7BLUCSGBIygYOKuScT3Pwx4CslmhDCbeP4xb943dGXge8TwvgQZwmwJFuu03h7msaP8CqSHAe/6j - /V6KsRh/kwAFh4167XmyuKUYDCwEI3RTGTchRYIAFrFMGJVXX3sxprNXPOThJ66F7X1ZtrQLMzIU - UKt96OUOjvB5VxAC27wIPpoWQRdRGCEQss16m4SwV6QOPI5xvZ8+kMPLH3bWb19/MVJPOq5TjQwA - 1kCAQACYwUfxFu2cc84555xzzjnnnHPOOeecc84555xzd5LhTqnN94Xd7j1ixAxmGMxK1+UUopmZ - yvs++KH3f/BD97/vSS984Quf/4PPO+mkk0op49F4NpvC1MRCCDFGAKUUERmGXDc1MwvEQwCAqh7s - 1q2+74mImZl5CBsY1M2o6zplthD6klNdf+2WXe/753/+1V9/1c5du0UFoBC4FAE4pCilHOKcbsQM - iRiAGKOZmRkTmEnFEvMv/9IvvPAFz69DhOi4GbVtCzpwBMLBchEO1zDvgSMRX3n1NVVVdbkMuQn7 - bk20+Xf75o/2e/wAs2kbP7jN6NhDulJMIn0uGjkA6EUYKEAAznv/v/yf9//Lyfe9zzOe9vTv/M7v - /KZv+iZLEWohBK6q4a5MYoYZqbY5DyuRQ0AIw99Us/Xco0pXXnbZueee+85/eNc1114/vBUDQGxm - WcqwbcQYy+aVuL/hR8PXvusYgNhy3bzutb//gh/4wb7tqOietV1kqCdJpBz6erntfbPOOeecc859 - oxpiuQGoalVVqjpkiAKYzWYppZzz/Ki774dvD/g6dZ26rgfAjFI0hGCQqornn/+pn/3Zn33zm988 - na4BVlXVbDbjOzFzzznnjFVLCQIwYGYSx3FWrX/2kk9ctfcy3m5GMBqSnuucOwOUQBh6IhRY9Jgw - wKDCoVRnnPSIHdXJWOUYKrWy6ELhIeubh3hagDZn0dHmJMJjCrOBbN6RomQgkMaqmzzi/mdf9oWL - SIJBiKC4VR/WYRAuSrxY7MoG1RzHaWVd/3/23jTskuOq8/yfExGZ9953qSqpSrtkeZNkSRZYeMFs - pjE2eG3c0Jjm6Z4HhqFhWGZYuunpfpjppqGboVmmZ5qGhgHMw25jbGAaLINtsC3b2HiVZEllydiW - tdfyrnfJjIhz5kNk3ve+VSWpqqySq6jz+3Dr1r33zSUyMjIyMs75rYzqPG0PjR/4wF3vedULvlW2 - gtduZA+9mRW9yfvsgZlVBdBeSasxxqRnc+j2WQL3xmsBFCRCpJQzN5Pc5CqPddIsbb3lHb992z3v - l5UZLbdTkriFQVXXHGbtpKqRIOXcpc6cPa+Zc+f0yZIzgiNteeCqgefJRlyt933ZNV/18i/5pr18 - qYy5CrUKsjRVHZqYoJyJGJBeQMwKAbGy0I7VXUj6xuVM2g2pjMiWFbMQMbR8WDGNp21Vj2JKw8HK - dJYvWL7stS/+1t/+i81D8QFBBEuMMzA8IUU4lAL0AJSkaEH7femD9rnb3xLRTyrHbIwALlBG9k41 - o502YeQ328PvPPgnm836t339d26v63g8vWB5JaZphPdVhfyYQ8d/L2E4zdl7SpSmKQ8uqMbp8Efv - fd+f/u1vbVWHNuNkdd9gvB0DgncxKxQ6axutQJWbjfOe0d7ZpKma6h9c99oXXP3S9DAP/KDNysw5 - NlXlgWOvZYtNEu96rsTzH585Ukp9G9414aogJn3SLradF7xt227HCUoigNK54FpWJSICEZGKAELe - xZgeb9Mf45uiMGdmQYIyCERnYYaJM46QgkT7ik0KAiRlAhE5JQWTq5gzU9Y0qS5Zvur1X/8dv/Lm - n3P88ObWxsrIj9vEJTUIFSn1edo1VJJU5VjP/up9t2zikTa3oUY7mzp2CgEkUyc1LwiYwUAEk4oi - q0v+uqtvGOVVFi8kTpX0uEee5xfHt7d0zHddz4EECkeeMg/zyg1XPe+9n3tQh0QDigl16Uj1DuWS - YOc0+ucqLCLqhEuPJSe/XN/7yN23ff4jz73kRQweSJ1ShK9UOanQ8QmMnhKcczlnVWVmEYkxEpF6 - NNzEpfEnH/3AG//6Nw/R0TR0gpSnUgOkLCwCyQwhOGGGb6dxaTho4qRygZlH9SqvrfzA63/sGcPr - tx+eHdh70ebWhhvqmMY5wnEFIp0nI6LuWkoK0u5aWr7tn/erUwFJuZ86mVeoJ+oc6lAQCZSJhMkD - GaIgESUFBKxA5byISBZRBaBERMoO46YFZ3EKL3AQl9vUjmXz9gf/9gO3v/fhI4/mKm7pI+JmYRnT - CQKBlEm51B7pu3ak8OxYHUV38w0vqtOS4wpQka4IqL9xLhdZGxA0DMMwDMMwDMMwDMMwjJPB1N2G - YRiGYRiGYRiGYRiGYRiGYRiGYRinjaoKiAFFTmjaf/nMZ16mshzQTOOAEDwfSbKHfIytnkSsQ4kO - WQy85LMmbCwzXKju3d4cjvauVe6n7r4dowFGVetqAXuwAyQheAYQYwzBAURwCjC4C0ziPtZLd2eo - VAFBwdKX0byoThDqdVrRXwS4vmyl34Q5uvuX6D3fve2bu1+UiGXJIMBxk2Llq8GSI+1N4J62VNkv - AZMlHf30vfd+zyVXPd0PtpOs1quSmiY2jlF7IpGSh/QsQkskkjBQorSSIhHGrS4HRzEDvKfmjSZ6 - wAMyPXqxW8Xa1mDfCpyABKEPPetM7NIV4Fm2o4ZhGIZhGIZhGIZhGIZhGIZhGIZhGIZhGIZhGF9M - FJqBXBJHOyDHnBxxmWbogn/gkUd/6j/97M/9ws/fcMMNr3vd617zqldfecXlrCjqZW2l6LddCFBl - LvKkTpnz+LKcubRbVVNKquqc81UYz6b1cADVKHLw0/e+4Q1veOsf/+nhjXUFqNNEKJOrqiAiKSaA - T8keMd8wIir5u+s6tLM2MP+7//3/+K7v/E7PzIqU82R7PBwO29R+AeX7xDBzVmnbFp5jjLO2ZXbH - l9x8PuETToLb+c3xP13Ieh/b1lchxZgkdx85J1nmSozPP/TIG373997wu7+3ujK6+uqrv+JFX37V - VVddd911V1999YX7LhgMBqUk6+Eg55xEIHkym95///133XXXfffd9973v++OO+44dHgNgPcERhZ0 - rxAwQVB0gI/j7QYQ6iq2rXeeFJqzI96/b+9v/Pqvf8ULX7C9uTWqBzG3ey+4MDdNsQ+e32n9DcMw - DMMwDOPx0O6urdPicU/btsV15JwroqPHWkLTROdoHoWkqt5z26a68m9/+7t+4id+4sd//MezxBgj - s885mr3bMIwnDVUQIRCg2qpmocCHpo/eesdfYaXZamc8gPeIES7lwCEhAotjI8LKwhAgZ9QuUAxf - 8oybq2ntxBFIwJ16TXuJqQJgWhT9kgCi3bfnYfvWlYMQtAtAdnVafs4Vz/2LO5cn7RHycB5JoHTK - qjZWAZAcAIF6FjgVBjhgFidcodXIpNUAH77n/c+/4Suu4GcSDYioGw46W2FmTblIuwnIEpumORdU - sV9cBDQfj3VQ7oK+WWZ5a7C3GvP2wfs/9ifvedN6fkgvkqlOJq0OluCGziltT7eTYLTKOUkpaFKw - YEEyLaemylYQ4Jxrc2pm7VLYc6Huu+nA81/9wm8+oJdP1/NKPSS4WRyHOkCSV8oEgEXBJKJdbD6D - i7W0CIOBU9yMLxQFGEoKZBaCgkSFBj5kScokgJeBbPI1+2565Vf8oz+59Y0PHvnscP+Sap7Nog9A - r/+ct35CO+2hgkGYq3AVRTMpXIK7uxIoOyx+WG2P2+CwVPkm5YjkKmSsf/SB9x1969HveuUPrIYL - ttvtgVuirCJyXrW2rJybVLnKB7Q6k6p5RA9/6LPvefsH37zmHmwosofGOCCX2pgEPnBOUM6oMUnZ - e5YWOEIvufmlL3/eN8Uj9QVLF2xvbNcrS0libJsqBBFZbIPkizqcLiTT6VSgcFDtTjecxNOlk0QJ - 0O4knM1mqKGamb2InCvPEVR1LtgWkXJ3NZlMTm9RbduqqqoSCRHL+ZhzQZVEqLTDIAUrk4JVwZRJ - chKFsANBkHIVXTVbusBf/k9e9c9/489/iTUn1zIT0QwAwLyQpeSLezY99SggId2/8dlPfPaDcTBR - JgBZJARlVQEyAyVBihRXOouIkiPKohoj9rvl51x5Y5VHRAwmlUznYwd7N8c/71beyXZDJROOlBuV - nGPlg5uEm6970bvv+fM8pJgje0CkOKUF6C7cp/IYvYdJWUT8gCez2Sg4QMaz7aWlfe+67R3PeNp1 - VVurVlXlmxSdGyLnJ17kmSHnDICI0A92ee9lGNfl8Kce+fgb/+oNj6YH0xBceYmp67mQZELXFChI - 4VTE5ZimvnKp0SENKA6+5x//4CXDK+N2e+GFF2yNN6uVoNxuTzeH9RJR22bpOzjFTA9SgPp+S2lq - wAIuNm6HVG55To6dZEfoF7j7ewBSEvGUfc85s6cy9QKsgpw0CRKPVIMmiuN2+9D6ofvu/8ynP3vP - fUc+PfGHxrqlQ4+akuZGhCeoPTSDVJQTum5zd8H04iSCGHVYvvnZL8xbbhjqZpYceS2NIcDKUjaV - 9Hy8whiGYRiGYRiGYRiGYRjGqWPqbsMwDMMwDMMwDMMwDMMwDMMwDMMwjNPGdeEzscVk8j9fd+3l - aVbnlkSCg2SouP2Dpe3ZVgVecshd8MOJl3WWx4ZF9ltucGh5sDEK/+32j2NlCT5AuYLvQjgyHANZ - 4GTmkyhqhC5ik0q+R2QISMgBvRy6D1tineu9AZRgkl167y6yRRcCoxl8CmWmmJexo4XP5oufB9Lo - zkc7/yUGASWSGX57OhkNfe19Tg00iyKECs4DWEEAAKzCRSzjV9YOYxJ/+DnXX7g12QeqgWWHrTZV - fHLpPJ8qWNkrA8hdbDYYqDKcoKpcjFL7sJ1izqgZbsDjiQyBoaafecaN/+ruT2JfHg8juVUH1AIH - ZIaCCV0FMAzDMAzDMAzDMAzDMAzDMAzDMAzDMAzDMAzD+PvP4qyzE8ALP3QAnCdVLQI2gZYJcrPY - OZXHST5yxx0fu/OOf/uT//7Ga6798he96JWvfOXNN9+8d2U15ywpi0iO0Xvv2amqZhERFMXCY20g - Uc65iAe6RNKAiFDwH/zYR95+y1/ecsstd9/zqZSz9vshAJNn5pQSvE+pn8S3k8x9J2/14mSxxW1g - 5pwzCDFFAIPBYDadVo5/5Id/5Pu+7/sktgxyzKEe5LZtp1PyTvs8/mcCImLimBKB9+/fjz6xOMBl - tuF8X/hxdS69RQrYPSVwvuEnOBDcJc4n8iqSsxIhdE70kugczLSxNfnE7Xd+4vY7AXiiIllfWlra - u7Ja13UIoW3brcl4PB7PZrPUHxXvXUxZAGY0STFXtjBBCUzOUU4p+BBj5N05vBc3NbadqiflxMCX - PvfG//bLv/ycZ11DEEoS23ZYD9YOHRqEqqqqJEKOH+dgmRrJMAzDMAzDOG9pmqau67mfu7i6y40Y - iuOH+QkFqMXbXVW+bRMAERGBc9S2KQT6jd/47csuu+z7vv97jx49PBwOiSqIdcENw3hyUCFmTkjM - zECCTNvJ397x4fu3/o4OzGQKUoDBjNSkwWCUJELBygCEhRUEYUUi5IxKaGVp7zMvfnaIw0A+xQTP - JSuy7JKiMUBcRjUIRbgrlAFmyHlm7+ZuqIoAZCUoQOp9dpfuueLAvosOb9wPCIFEuqDdU1i0ggAl - ZIKAHYQBV1zLHjHCD9DMZOggTI9sPfCBO979mmsvHcgSc3cIOrPpWYmqElPR0LZt2zQNj1ifUmfz - uQYlRgOApIbWWtKVUxSe8iAend3/wYPvedfH374dNrd4cxobDhhVjJk0KSPksOxTkzZnUteErNRJ - e7kT3/J8PPkUtogJTZNGS5Vsst8KL3v+K15202vDZLWNWnkfg8ZmwiQMj1YZmtkrMeEYK245iQgE - Ki53gkCArqU6k0gRcEI5cwYJQwhCydWhHqfkqjBppjVXK1jaOrr2wmte/MjaA++7LW7HI56YFez7 - ItR5U9CZj7VEu6tXKsPCUiLkS2shENZ+aL2L8cZ42oYaHpRTYoXzrAStaCKbd6999Gd//9/+j6/5 - /quG17Wbsz1ujzaKwHo6ztFzElK4xMN6tLa90Q7iuD56y0fe8vaP/7GszHiJU4OVyk22MlFeHdSg - emsyDoG45ga5VazUIlvNC5724lfe9LoDuJR5aXu7HSytattQioOl0WS67X2nAFgs02IVBbD45Ojx - n0c8WUwmExEhogw4gAhEOOaWpDyg+ULG9omoV3druQ86V7INqCozq0hXJI5FZGtr6zQWJSKz2Szn - TMXzSqTn3c1aqdEiLLkz6YIETkFKUC6NciZppM0UiVAhNNtxMLjw6Rc9/xu/8p+99T2/491Gyoc0 - AACLEADtWsWn5pQ5exCW7Wb7A594/yYOzdy4qpZmzaSqtdMZExIzqVTSPbIUElJiZtEEgIGLLrjk - 8n1XhlSLUtcDJwHOqw72LgiC0kPo2XlC3HcVugw1JAwoK0uodHjV/qevLu+b8UabUqiAdmcJrICy - EOvpJBBisM/QDJCDpowEHsmnj9750c986GsufRljlT3FmMFKT9FF4wSUi0jpbDNzGfJamz768Y1b - 33Lr74xlm0IFaWSafeWdo5iiLki7ScEQohSGmE6lYqrc8ipf+l3f+r0X+0s4xmoYDm/dt7y8mnMz - mzQgxUp7dGt9UAeApHTwwFmJS02HAKKkQlBQZpTeLOmpHYKFzs/xbXW3ywC0QCKclFREYmzGs/F4 - tjWebk7T9JG1Bzenm49uPHxk89AkjYWjsuSVRt20VVANBc9aHdVLHn5jbWM0gjAypVIVSeEEpEAG - wYvw1Vc8/cKlS/xaJQ5C8yxLDADKTBJP+1gahmEYhmEYhmEYhmEYxvmHqbsNwzAMwzAMwzAMwzAM - wzAMwzAMwzB6Oklz/76PrFDw/ONFqEigJUIyxtMrkh4AXJQSvhtAUfJ4tuXZzWKuHfS0wmtOieNj - IHdFCtEucfj8v9RH4Qp1obkl8B5AJiTy0dFmGDwyGPzng3eh9giMEKAEriAlgrj8GnBIMYbgAQfZ - 7d6GAFLinhmSwNTZsLuXeVDXjrcbxyyh36nTl0ELwFABcSmA3V9hV+6G3WbvuTVcgOFwVPaCPXcL - UQGLRiHyEIUjdSETiOGY/q9Pf+o7rrj6So8DkTjPlgc6m8GXSK2FwFotSVAJWDgET1kIZqkMQlBC - 1i4imxRtmwd1PW2alWqAnGY5xakED8lox5O94N9/zTf/k/fesuTcJiKKuVy7gnJP5Q4YhmEYhmEY - hmEYhmEYhmEYhmEYhmEYhmEYhmGcCR7Pw3zMDxgnKbQgUaWUMoC5qq1kfBYRIjjHKUnKCgEpbr/7 - 4O13Hfx/f/O3hnV42pVXPe95z3vRC174rGc968brrx8QgR2IMgFQT6xMjyd+c66ItA8dOXLnnXd+ - 6EMfuvNTB2/9m/c/cnS9JHoW7WYFKsg5l3JSFQYDyCnVdd00zUnt4sL7nDMgVajatiWgnU5r7/7F - j/zoj/6vP+Sg5QezNg6qKudcVVWUfFLFeNqIOsfinBIdOHDAESUVInpcX94ueg/Krv8eTzdJcOHr - 1DTETESS5pNUtWm7lNpFfpSyEuA95yxESNIVUdzcXN/c1O7o7NTH+WbklDvhepFyE4hZRQDl4CXG - UqxFoA5+TEuEY8pZc0qjunr9P/qW//gffnK5XmpmEwcK3muWpmn2LK/knIt9UGymoGEYhmEYhmEc - h6rWdQ3AOQcghAAg51zu/rz3IlJ0RwCK8eiEy8lZidC2iQjMLufsvU8pUf/VT//0z1x88cWveMUr - VEVET1HeahjGecSCIZuB3q27E/MqXZBp/xvnkIGUkvfeO4ZLh6cP/82d73arsplmgyWKUUXgXQkc - TdL52ISA4upVcCYhh0BASxftvXR1cMFwNnKJZ5IA7sSCC0GdixGynZK2DK6Q4Lxr4QToImFZu5BN - UqZMNY8u3XvlZ7YONthKlFThqFJKpF+Ava+vHjmjGmDWYlBznEhdU6ymH/rkrS+95pVDHQWuQY4S - EzmGgkQ1K0HACiaAtcjzurjsnhMqIU9/a1khJAxBcf8VR/Li3hCR46RpltpGG3LIT4naUElJqURq - cymEzsB3nAh5/t/HcEjv2iOS+Rn6WH91TPQ6P8Fw3bGuR4IArMV8SMickm9i2Lx/7a63ve/NBx/6 - KO3DGJMUclUPc45IElssLaHNmMxSNXQUc07qulh1Lm7sebPDsrNSmW97H149Lxyab3yiAdXNejwQ - Lv76L3/1V17z0j35Yone+QGYtqfby8MRMs/G05Wl0axtiBeCtfvx7X6hWt4Tnsp4Z+72hgRAKVin - 7F1omoaDi7Gp6+DVt1t5abhy9PDkG57/Wlb85Uf+THV7tBSixDbCMQMsVLzdAnTCy2IFPeGKlXYl - Eyji0CogJRCpI4JqzkqOBXmGvLRKa+OHfvnNv/CqF7z+q294aZPGzM6JJ3Vatv90NedlM06YV6FL - btAPnD/h65xuYcdvEi2MdC+ca73dc77Snc2ZlxCAULv18dFqfzg0efQt7/qdj97/frowJ9fGqFXF - TZNHA6iiaZusqOqBummThAgrPvCYLq2f9m0v+4696RJMvUTx3iuEFJ5dbNuqqkQExzV5J7Rin147 - VZoL7SsJsLuIFhsfZSVsp42IhoiKGdSBtHhICbQgLj09bzcpVMEKotymRuGzek8EzYwMBeCg/AXW - rlPcpl3FcsJHOcfsK5WHeMwEz4xGJlvNmlKaZ+Tg0pBR/2yE+nJThvpyHSSCiEzzVotJjQEzZ9I+ - 2Uh3cZ9v3kJp8/E1/9yGutQZO676/tCrKpMLjgUqkjIkeNdOZ3v2XpCm22mLn//Ml6xvHPmLj/7+ - YO+glVkuKT3mUvnSus+P6MKhXSg9JsW8tAlgnOkHkKfK3A8tgHB5uNdf8nXn0kVQzpwfmnzuI/e+ - rxlN1CPnTHDKKeVF0Uh/tSWBgkBMFLM64gGPLt175cgv61TgoEBmsBIrqyKzHHMFeRye8Mn5uYIS - dlcdnqe+6T8pdXin+uaYRmFllseX7rtybfuRGbdKEIYTkHTJVU67WITVk2/b2cBzzhlAGNFWu+GX - 9D233fL8S1+44lZlqp5CzsrMqgISqMeuzRZAhEo7paXy7FwpTomugeJ5x76UBDFRUVZL5ACt49HJ - o59av+1N737DZLAeFVUdUoICrDydtq7q+kLz4iWIEmYN6iHaqTpNe/at/sW7brmgujBNEytCCG2b - GM4h+MCTdsvXTnNG2Y3S1ewmZpS7D1WSckMqYAUpMS0kUzqJ16LLluP7ydPplIgAUdWcc0oppZQ1 - TeMka8o5R4mqWSiBVVxOlMTlxK1wyoMkLguQBezgK7QZOYv3rm1Sm/LqynLK25h34bqOsgBImpeq - lTyprr/yS3nqh3551s7qeiAJrEzlaovSBWKFnOT5axiGYRiGYRiGYRjnMTyfz1+GhGg+CKBQ+P7z - kx5CmUcHUAKSlj/sRpv8znKoX7thGGcHpu42DMMwDMMwDMMwDMMwDMMwDMMwDMMAsDuLIQAItJv9 - kKEAOTABIsLEIJGs7ByJIjdoZ//uhudeOWlqaQKwzSUfADlwBVYVT8gCHBftvBgpPf9qHvd5qnER - dIIZGSxzLTd25NP9HosySdYAECiBMlNWqR1Sq8ses6yMqsAAACAASURBVAT2buqqR31YW139pbvu - Ql3BAUwg7lI/UvEz969gH+oS3bHzg27beOdX83/BJ5Zwn+BTPmYfT83eTSUpav/aLeb46NyFakDH - fnPM3+wyfxMymAOXECJAiUBgdSG74Hz8zYc+j+n0Xzzz2VdQLVvbAyQGSlKdURiO47SiQaspE4QE - BJd3XNqZSqDXGU0N0IUmlrXMYw6VUAEpNhWhiTNSEMEBIkhKA1dJlgcOfgptRqvLDuLQSb8XIUDF - JgwZhmEYhmEYhmEYhmEYhmEYhmEYhmEYhmEYhnHuQbvfK4jABOdcGzMA9iRZ+4z/rsv/PJdwL8z7 - ok7irAqo7rhERFJ5o6pF1aaKlBazQaHVbkNiE2+/99O33/vp3/nDN5fv9+3dc8Vll1922WUXXXTR - /v37L9y7b3l5eTAYOObih2vadjqdTiaTpmnuu+++o0eP3vfA/Q8++OD6+nqSTplSxNFzu0U/21BT - LtsmKbflq7aZHusM2DVhjFTVEYsKwQV2SZIjFzV653PbznOH/5ef/YXX/+NvZlVqkweBQeSSZPIu - alRinK4Z4gkhBamSCgGS5bk3XL88GmxNZ1kU0H6eIQGAqnbTChfm7/X7u0urR9B5Bq4T5mRfkI1o - 3m3MXlRS7Rx2xCR0zFoW2D0ddNeWdJ+UN7lPJJYSMauWCghfhRQjCFVdt01DIO99jJFB7ICsFbBv - z8p/+j9/5rWvfg1DPbQKIedcsqIzU5Tc76+CTvZg6alN/TQMwzAMwzCMc5ji5D6GcpuWcy5v5jyW - t7v/tnst6qaUEgBiFlVVlYwf+1f/5uJLLr/++uur4HxAG2cAQgixzc45Iso5n3B7DMM4fxAS4SwE - VgaExJf3pCWiUEAqiszQ7v49g11s0qAaxBhRY4qt99z23zdxf0ObcIhZiUAKUXCNqG2xjBVxnRMv - xJmQqVUHbbGUB9dd9RxtSRM0Ze+4pRJLS9SNAs1bQu10v9QZBJUeU2/89xYSUBSCwpOQU3HdgZGo - SAnXPu2mD3z63bqkSeF8yIn4VEqoU+oCXqBUdISSuPtKIyqCJOGAWR5T7cfTo7e894/+6Td896xJ - 0oZ9g0vacQxe23bKQSMhESuYFB5SSQaQqdi7GZ09FztjZ5j/rwxO9rrTUykfhwxlRS1wXKygGkAC - kaqq2qZBwIybw5NHso/ZpS7G+wwiSgKwFtmrQslpP064O45aFh2Qc5N15+ruy4GPKRDKgkXNrfSR - 490nxwy7KSmpAiCi0lsgImZfugTMDiDNIpqY2bHnHBQcGVOdSq20Rz6/fu/H7771XR/+7zFsxAsn - wq0QEzEa9XCKGCrECCUED4mZlYW4HxrlTAKSopNnhev0ihCSonMuG+xcaGYRDFcTRCUjEFxGrbVO - wuX+qq+67qVfd+NrV+TA7KguV0uSRDgPQ5VT64RDPWwjMw1Vs5CwyrwoZPeoKQNd0oCnINJZOzFt - EWQyIAqGU6BVJe9Y4YmRRBDdgEWwNN3r6j1fecPL1yYbH/n8e9amj7hqWCFLhBtUCSlKrLyqgGcI - gRukItF0CoBJyl53WRHm2kkApHAKKKpSLKrKDIKoguAIbTt1tduOj77lg7/1ue17vubL/sGle65c - Xb9wCctJRVTYu5xzzup9xTp/iiEAiJUUYFKhBUV3d64VSaf2Ef3HWj+1E2P2rzJXffevPP9We3Uo - lz/XnVNqLvAVEi2qWtLKI8aYhIkDsVMBVIhY2hQcMUNVM4jYAxy1meZttz/d9ugH/vwjf/LJQx9r - h42S5uScimZxAY2gjXAOzpFSnKpUHlUzrKbLF+ql//Tl/3wvX+lkWcHkFSQ5Z2LhIt3NXQk8fs3r - quupSlVLsagDVCgpMkh6YTk7EJHG1IbgQJKikBuIy4emD8mw0ZiCr4g0S5rfKChBkPAFPJ1hhcsI - KYPi+niTLt0TpwPSGBwIDQDIsoCVU98MMuuZbJ/79pYVICGdt66d0nXeYvTvRVWRZVgvjbenw3rQ - 5vHMra/Hh4UjegU1qYcyXKskJB6U+kscs3qogJJGqWq3Nn04VZNBrCGaWYSJigBGISQMAfJC9gyG - ihCzLjbvZ/r6dWbpEm/ITj9Fgcwyf49ccnY4hoiSjgZr4/Ew1D7TqPEvv/7lR4/e+7HPvz+Psl/m - ze1mNHKxzYFcigwXQBmUgBOpu7XrhzgFQVgxl6+fObqrOQl2n9cnPIrcCZUpkyoJKAuSEzDYUdWm - GEZ+Y3tzz549sVWZKS3nt9z62+0F6ykmAMJJOLUtnEN5wumlW1ffK0cglawcEMS72fK1V34pZSYW - pZQZIHYSXCYSzk6UhMvDzIVat3jtnPdVdrojJKfbWnzxKT3t8p76rlfnege6Z7Yk8wsYAYgaXCXC - FOtrr7jp7o/fxiMIgxiscAJSVkKm1F/+TuH8VSpPibVWzwkAZ05TVh1CZPP+9YMf/sy7v+66V4fZ - HuTKOUeQTAIoKUMdtCoLASXhRkkFCSQsngBWj+7iPb9MH3uUj7lSMUQlBl+T+GmT2AXPTlLrvYsp - +8qpZg6IYTZ2Rz6y/Z43vfu3pvVW4qg1Gm3hBISk4n2XyqmMgpXOoQJCcEBu4RwyxU8fvp3FO3HY - ybM0z+5TZOS7MjItdJXnEizdebdwpp+0ursrmN0phx4XJ0JAmJdq6Z+rlpRB3d1Btw/kAUBaOMAB - lMtJq61MOqW5gmW+X5IZVKOZNvvT055/5UuGaSU3qaqcqiiCgEKZ8EBJASEvxP6MXk8NwzAMwzAM - wzAM4xylG51jAaQfC5o/QOE+87OCgQAAiKcygihKUBLhDEQgAqlIgQVQ8HHpd8+z592GcbZi6m7D - MAzDMAzDMAzDMAzDMAzDMAzDMAwAC+FYuhDZSKogAm1PtveMVgFklazCUOccIJCEcfyXz7npqphJ - JkPvN1IqoXoCuBIsWhIWEEhPysZ9qsbuEzIPqjgmqqRbBQAIgUjQBVCBW82Depim00mLPUOaTBWB - 1zgcqev/+Mk7sLqKYQ2/+ynziaeWLESRL659vuaT5DHmrZx+QFwJcHocb/cTreBE3/Rxj0AGFPBd - aKVAmBkRECD6MFAC4efuOfij11x78XC03DQhNSvBSaStOK3hRHOL5DxLSc1JXQpQ1mOd62eMnZUc - E3Y4r5M7nyuDeSs3ywiunf3QdTf853vv5aVaJIPpuChiAclCyRuGYRiGYRiGYRiGYRiGYRiGYRiG - YRiGYRiGYZwL0MKb+TQqhShEsneURUWUnWPyKWZFyeuscwn3nKIA6NVt9LhSthNvyTF/kfv/H17f - WNvYuv2uu1U7FwyBevUJqOQU73Hsshyrqcknyj+tuwvguM1Z+BPd+Z2qVlUV2xYAESVJALLGQaja - 2FbO5Zz3Lq+8+U1/ePNNzyWZGzZ2ZNdPjYSgiNWdc4AmkVe/+tW/+8Y/LDvFzklSIuIitwMTkWo6 - gTPjhKXzOEdWn+gHJ83je7v7L7j7RJlIVVRJ2TkXQmzbFCMAONc2TT0YNNNZjHFQ1bFtkBEIL/iy - m//rL/7i0696mmeXcw7ebW5uDuvBk7D1hmEYhmEYhnF+o6oioqrOORFh5iLkPlVEBAAzE9FkMvvu - 7/6et771rc94xtUba4/u2bsEYDwe77/woiNHjhDRaDSK5S7AMIzzF+4EroX5iBH1MmAVdMLUbuAq - tnk0Gk03J9Uyb8qhz27c/Yn7PpSqifbeSlaQFBdmLx+eL16Z1SWfAUhETfCpvnTfFcEPpWWirFCQ - 68evwGBaNI31vkMpQZba6Z/Pt+hEVoa6zqSO4p0T5wLUXbR6+YhWp3kNXL465SE12fGPoow2SW/W - pl0/E1Abw/ZtD/3NXYdvvuKCG1brS9YPbawOVmez8XBUNzLtfth5HHvrYbeU45LS7xpZPDWj4SJF - Pd79MWkfGK5JRZWYPAfK2Nputp2DpB0x7RmCduJwiRQqpEQi2R9bbfv9XQjiFYB1/tdSliZz1Z/u - vF9YWzf618n9qDuDSiQ7AC5uZoDgmIgcqSrAIficc84RQHA+OJdzjjGKiB+EqU50OcXh9IN33frO - D7/t4fHnZDRLbirczs3QTkqV2zmKO6HrurNFIAHk8eK1FUpoUwy1d87llHLMnuEIDoEm9QXusle+ - +JtefMPXpUd928gFywe2N8ehcqwQ6qp90R70a99VSnO342NuwRml1y6W/81LZqfqd+9ESQTsKcTt - uDK84LVf9a1H/+xwfCRFmlKI2aeUZuKSKJoGwwphUM9mDQIIvc26H3YW2jkuSpCS00B3zkDp1rxz - 3okieEza7cFo4H16353vuOeRg694wWtffOlLMAVlhhAlDb7yRE0zCyGoKjMzewAptypKSiC3aNNE - X8HnFRJ9k8C9txskorzzuvC3/asAKN8C5XB3uwCSRbf9glejfC7tNJPzwXuwkywCgWrWuLK6tLW9 - EeDDIKSmbdO0rmsMYlttve+T7/jr2/7i/q17ZW+kWpNm54jUZZFEcA7VoFyToqrWHjLDMC+77eVv - fdX/cPnwma5dqnio3TbKrq2d78KZQWleSgxkKDO4fyKlRGDmLJHJw3kRZJX12ZHsGhIiJaWMTp28 - s0DsnNe7avKpbJWKayZpcxrHQ64dHJKSA7TUQO7PBmY9zVWcBv1OCbpeB5eLl5IA3SsAECUVTrny - 7IgEEcO0FdcAHCN+1vIojubPYoAub0dZDAT5yORQCm2O0SFA1DFDQbpzUVpYHkOp1BnBsakeznWO - SZ+iJ/6WpdRYShJT5cLW+njPnr2v+Ypv2fzLzYPrd8x0Mhz4GJMP3DaZnQ++ijItFz0suNhPyNlZ - ogwpXVxR7tXGACAiBM6JlpdW1zY3BktDWqb33/HOh6af2fBHReGLjb6csGDVclHo/7zvhik5QSZA - Ey/R6kWrl4dcVRQaTVyKS5mUHcDaee4B7Gq46NiS7cxGwspfpIvsk8ripQoAFm5rGDIvBcBBVVnK - 5anyw8v3XlXFYSCaZiWCEJxWUAi3stNHO7W+rhBYhZVZy3WNlUQIxPDL+pd/+2fXXfUll1XDKi05 - 4qaJHMouCIGABDAhlYYd3UFjIWZlOa0ToPZ10zQgVIOKSgIZ8gI4UDOdVcu+4dl0ePTWg+/8g7/+ - Nd4nkZvjeq1lU449AWW3HVsoiU+ns4nnDiTlkgHa6b8moX5qBJU76672zY8XZ/dl13z5sl6ojQuu - ynmaWUCBlKGgMsuju4l76q6nhmEYhmEYhmEYhnHuMH+WWEZaSOBBCegeoBRK1l+hnWc3J78CEpB4 - 6M7I8Dyq4kQJmY9/bGoYxhcBU3cbhmEYhmEYhmEYhmEYhmEYhmEYhmEARb1McF10dhfun0AAPLBn - sIoMSZlqnwGGANI0W3WmX/rql13x8FZAkxlrnFwNbsGKTMiKCiyQBGSCfzLyLT7+PpRIPCFoF0sj - 1OufS1aFLhSq/Fy1AgtchkbNo8pvjKcrI5ebPG1Uq+VHVA8vjf7ve+5CzXB5IdrLOBbZyQTRT49R - kMKVYwFo8ESAp5//u0991zXP3O/8lVQ1G1tD9iM/iO1sWPlJRCo5LxSZQASvIEAUYDk2DPGLCwk5 - 9go/CGHW7p8kzBqMvOMaICi7xUC17qQyDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMM4N+knQDlH - jllTFu3t2QqRXCZ4FWVa71yTEEKMOzm9VcuCiOgYdTcDnWnjcVf+WP9H1k7o0n+v83zXc5k3mIko - 5i7ttWPHXKRHKiIqJ177CSd+0cKrzn/Xf9rGdjgcTqfTrGk0GE5n09qHNra1921K1z/7ml/7lV+9 - 5pnPms1mS4NhKZTjsr6fcZxzMUZmcsFNZ7Pv/q7/6Q/e+IfeuyZlUlRV1bZtBgbD4WzadBPhdLfi - 5DgIUN0tNf+CeaxF0XHvdw4EsCuxVylbVe99kiw5S19Bq7qOMaqimc48O5Uc22YQQu3Dv/7ffuwH - vv/7NtfWRURAk8mEB8O9e/c209nOgs9Oy4FhGIZhGIZhnPUQUZF2z2azlZWVpmm896p6qgJvIira - 7xBCznl9ff3bv/3b3/zmN11x6X7v3JEjR/bs2bO2tlZVFTOPx+Oqqs7QHhmGcU7ghFlqAKAsXIxu - AmIoBMzqAWIFoETiKCk4cMgzqZerjXSoXV57+zv/ZC2utaH4gTs1JSsDDE1F+z1XxiqxAJ0sPGGg - wwFGl+2/mqXKKuojIKH8LQCAejtZGXAQLCjzzk+UnQwBJ1oBkhlKmQBWKcV+YO/Fe+r96/Eh4sgu - KXDMgOOTS/LTo9Xn3vrh3/vef/gTa+vbF60ekFnLHllF4aDiVYDE6klZEXoFXWfj5YVjfbw4sBzu - UzvSynlHDZ6ArFTWx1ASAdgpCygfOfqwB3EGuROs+smCFZw5kCup/4lIMpjBRaTYbSc6m3U3Yrcr - 4jx3Br9iq5+LBMqorwIErVjCfIXALs2kW9AYM0SoOLMV6kBM6qCAKhSqQirOETuIxLYRZnZDT8N8 - dPowDdvPH73nbX/+pwcfvMPtoWpfGsdGCIpKIYQEEnALAMqyKAjsjOPSb7OUrZ67WjML+kFsJ0xU - ajUooG1THXOtA7TsaieaZ1vpGRfe+E1f8/pnXHxtc5T21fsCDcYbm8PBIKEBZSApQcBcArv19B3w - ZwOZU9RYVWGJ9qV1/c6X//Bv//mvfnL9/Y0bNxzVYeCxxEgNN1NpfHZ1gMbehL2w471xuR8rZiir - skBAaW6ewMKws/ddaPwszlQ33bA6tPHgH73jDw5e8Xcvf+Grnr7/WbPDszrWIxowM9WhaSehqgBt - Y0vKVRiAkXNe8HZLfzDKgwkw4ERIuVwRWFlIGi+5q6X962NBAERLdaes1Inbi6aSisBeQcoEsHpS - QIkzOThQzjIjTY6VKgZwaPPw8vJKFNmejavK10Nq2rWj7cNv+sDv3nPkrvXZoWqZlWTatMLgCsqs - gpzAgAdyhkr25CmGAZbDZOkffv23POfqG4bTlar1mpR2V8Uuyv5MersBCJVnF56grFyeNBX3Z1ZV - gnOuSeKYQUGElcLRo+uqSgTRDICIVJV5p+7MKUf2lBpPIeTAwokxXp/cF+nIyA1Ihiqh6KozXGm/ - WOEyQ52wnMHHDcqM45XGnZq3KGaVBDp/DxDnlEha76GuSTLjio8cXYcGFg8uT9kSiMsFx/VVnrRk - ICn5OBgsGfnRow+TE1ElcpyUyNHcj0yYy31Fizmmc/AC6Ko9ynX/jJXPWQYrcppVwaVGBFqPBpM2 - XX7gppe98PVb7994cHbPrGnCELNGvEcdaDLZ8s6jq/Myf0QngFJ/kSIIutwvT1m3ctcR08fu55AA - 2SlUgxMnqJWQKWVo8JRmcYlXt6Zbrh5N/PZ6vv9dd//xJG/Aw3sws/Z9P32MTqAQJwmCSpAoV6uD - vRfvudgfDR4hlYqoRMpeAEgtOauQEsAEoLPa73oc2FVKAACf+95uVgil0loD3WV0blVeyM3T7yqJ - hEgkIhWILrnospqHtQ7aOGUHlCaFROkLeVIsIGQu6WlACp+7B9SJ8pH20Ls+9vZv/9qrNg4fOVAd - YGYBKSWiyBoJ0jXanQyeVIdlj3IxRkPKrcROP2FeFCcqHlJmYkKGg7jYzhpV8mFIiordymC4kdaa - 5e0/+8Sb3/7R/29l/57tdp2Iz/RV75ynHCMG93MzaGFih8w7RaVKJnAMNz77xgCvGcGHmKbsvKgo - EQhQK23DMAzDMAzDMAzDeEKydp5uVrAiKMrTE0fIihkwHwlq+kcSJ7toEkArlpqlBiogdEZgLUNs - AsxnAS2MCp+3j78N46zB1N2GYRiGYRiGYRiGYRiGYRiGYRiGYRjAwtQGr4AynJRwUwYjF7O3cnBZ - ZRab5aqGpJoI0+n4zoNXErWskwxHmLZY6hcIgpRo0KcqKK54u6W3d5egVloIDMJCxsaaKKs0EC6G - cu+pTdNpHgwHE/hH1f/kp+7Fcg1KGNRwbPM8npA+mm8n6akDMkGBCOQYh6urGG/++mf/Dk3zv1z5 - 9CuWll0T0cYlH462DTuIgLs44Xm8U4mS/SLt0mMTY6qDm84mA18PY/uvb7zpp++5neoW1QoYJfGB - 2d4NwzAMwzAMwzAMwzAMwzAMwzAMwzAMwzAMw/j7g0KyImcAVCwjQAZCcDFmBSAklAFyjlQ5xoii - PZhbnQGFquopz8fThcl/C3jvRaTkZOcuZZQAYOoythfXgkJFMgDvvIiIdg5nnJZnej47UXCsqdp5 - n3OeTqfM7JybzKYEtDkGopTSq7/hG//rL/7ihat7p5PJ3pXVvKCRfipRgjIlFafi4Ty7m7/0ea/6 - xlf86S1vG9WDSTODUAghxjibTkHusVLez6Hdb55ce/eTRUppVxVStLMGAAGV8ymnUp+/9qu++qd+ - 6t8//eqnOdDS0hIAItq7ujodT3LOnm1WoGEYhmEYhmF8oYgIMxfx9ubm5tLSUkrpNJZTbN9EFGMs - tzAPPfTQD/7gD/7WG341BLe6uhpjLLruGONoNDq9tRiG8fcIpqJV5hkrhESLHlhLXmIi8QBAidFF - kpK4SHGm29jTfvDgu+869PF21EpFCs+aqA9cJWUlLu6xYsKUko0cYAgUXuGi2zs4sFzvTZtMAvbi - WKnd8WjqjoW4/KkoWIrScmec5Twyk5EyK0O9wGVyiRJIGKpQzokUFdUX7bnogUMV57FzBD2T3lMg - OuG9OHj4zlvv+KuXXPuqtp0E7zy0iQ27wMqkDDArCSGTR3E8zw/e3EWtzAqhxaHRBf3zqdD73RNI - BQnFLKmeEFQIlGMc00p65NHPa5bK1xHxDFchVQgTqUqxIxITQ6UMz+64zLvM/Kz9LnRezF6Q2dEJ - gLvDqgzQTrkpc/8VKdALs7vzD2CFKhExgSAqkPkIpwtu0rQ5tb5y7ClxUtXs4gQbD8z+7n23vvOO - z3wUo5nbN1trtgXgihXcC1CLhxgARBkaQAoVUOo2owgH5uds10RAi4SyyNWVpTuvhRSxwcoSxy1p - m3Y1XBA3UdeD5z37S1/z5d82inv99nKNQbsZmXg0GKTcwgkgcyukEBh0Juv+U0Q98pPxtMZgtb5w - Mpn+s1d+76/dMr538+OuPpx9bsdIimHtU6BGovMVYkQpauoaUqUdyzIplEoDwoAD5e4cJO59ol0F - Y8Z4jNEAta+2NyajgMGwPnrkkY8/+Deffdu9L772q77muV+7xPvXjxxxyddhUFfDUvGcc1DOKqSs - Wh6BQAkMiLIj0RNlVyh5G0rQvRJIRbutLZcSOdFrqeo7g+6skP6gc78WUGdwVwKpc1WNLCk1IGGn - INWcVNzKcHk6bVGBBjrljWndHHzgtj/70FvvTndO/fZoJbCD5NY5DDwahULYgTJxVio2V0bNlWwP - Rtj3qpe87sue9eKqHVQ6TNM04JoIQsDczKz01ORlKBd0UoI6gEuOAmUBkHP23otGxw4KsDLjyNph - JVWIQIhAON7ZffoII7IoSRR5dP2BUCdKCUmYAgABC7GSEMHJTjfgjEK6eBy61iNzea+ACFBsu6UK - CZOwalJSyjmKSxH5kY2jUCbxjAQCSBQiu9Yyf6OlxVMgs6xtH2mpGTIhM2fnyetc1qJlI7rEEt37 - bku0O6w4Kx84nTlIOItjpuDanIZLA5qmjYfam65+0dbs4Tf/9a8PBtOj481Qw3kf00z73mbRwWPe - Hnb27rJQQXe9QAbc2VSepAASCCQOGoCA0nJQm5WqerC1PaOBF480bN/+3j/+fHOPLqUQHAARkWLv - fezLoBISlByrOIY/sPeSAQ2ceGR2rgKL9P54hvoMcqIaSj0EAH0CDbOc69WThOcP0nc+zDvnY8k2 - pAyFEoFEnaqK5pRSWlpZ3Te6YBwf9mHaW85FSLomR0+nieu6xVQyFQGAEy6P/rPK6iVLt37yr7/k - 2udfu++m8Xhr6JalS4QkoDTvZAIMeHT3dKQoL+XW79S2p2nF+ypyUk2uKimJSDOaphmn8Wy0+bYP - /9F7Pv0OXYprk0k9rBLaU97n85a+2zzvuS02WSC4jCrWl65esX/lYs7syXcTQpj7KR475z5pfwdu - GIZhGIZhGIZhGMaxMIGoi/sQQKFcRguh1L0pX/UPXE5pCIXLyCQYYFp4rk0QRe5HpFkBgS8/tZt4 - w/iiY+puwzAMwzAMwzAMwzAMwzAMwzAMwzCM3dD83zKVggGgyblyzHBJ91TDDMFsho3tf/P0Z15W - ha3Zdp1RAy6hdogKdJGiiAoCnMDtxHedKbQ3du/aG+3D9XoydZsXVQFU5Mm7qO3hyWz/yuDI9uxI - 0ocYP3/fZzAcwjGqEZhVMz1FIaLnJLvnwciu1JsZYCjBDYdRJezZA4kI/v958HM/dO31V2f2Kbaa - RzVvNTKskRNYEcTTPGL+7EsqwYqVUbU2aUdAyq2r/LI2P3j9jf/lzrvhRB0iAMARICXe3qYJGYZh - GIZhGIZhGP8/e3cer9lV1gv+9zxr7eF9z1AnSVUSSEKITAmjDKIBFES5qIiCtu3YH0QcALURvVe9 - 9zp3O/Rw7duf5l5R+nPtj7a30asICKIQgYAg8xwIGcicqkpqOKfOeYe913qep/9Y+z3n1JCQChSk - yPP949Sp95yz3/3uYe291/RzzjnnnHPOOeecc845585mi0QLAmpiNVWAgcDISSqmpEYQMxBMBWWm - JwPuKfj5/vSpOmlJu6PXBLa92GxlUu8y2dRO8EKSnd+nAjCzL5JOfcK6DvNMbdPtdZOUmaHDlPFa - pos3Q93U//Nv/faP/ciPnrtn7ej64aauZ7MZzKpw4tQ3JcziTAc2iEgIgZlzzk3TpJT+7a/86oc+ - 9KG7jhxeadvN+dxk6LZX17HvuuP/mjFM4HXSqwDORIe/U2z/U//KPW02IjIzJg4hlER5BlVVlVPP - gEkOwBMf+7hf/MVfeNH3fC9UVWVz49h4PJ7PbWpk5gAAIABJREFU5+3S0tbW1qhpAaie+OF2RQQ5 - 55xzzjnn7pPyJBLj8DRUArZF5OT77S8qhBBC6Ps+pbRnz56NjY2PfewTv/zLv/qHf/i/q4Io5Kxm - FmMt4nftzjkAujsbuGQcshGG3GVgGKOqxkrGlIVHSO30hiOfverTb52M13M042DGZBxK7dMQG1ym - I8+sEGaAhYhhZMqGSEw9n7/vIUEaUuYYFUIgQyCrDYv8y1KPtL2uZTSr7WSQbccSPyiQUkmARmXE - hqgkRikoDKkKtjXvzz9nX3WoCRoiWE1pSPg8Iww4lnV5rX7nx970qIsvbUdXyLRZG69ISkSAVawN - wErZSErcIMN2KtB2DrAhWLrYleHN92vnlsBFFR6GV7MiGpkpWOY2RZweOnZnzkptxM78+F9+SqYh - SUiCbEoAG5EGNTq+cninEs2CabUIACirZcTbVYy7d+UwWJnUhnBigHZuGnbOC1LdyZ4kaGAiBgyi - 2hOAwGDa2NpaOWfFYrM537Aqt0txY7p+893XveuTb7l946aN2WFpO41dqGk0plk2JYVt78ddX7HI - F6RF5eUiOvqUVYVDLSKAMsi9xD8rViL6TY2R2nppfiwv2d5vfvjzvuPKF63Q3jTX0FMd21iRZlEp - 4eumBFu8+yIfWWFn9/h36dHWI51LbZGwJJP8kue/+vXv/ONPH3xb10oTIYapJIpNFar5fN6G7Vz4 - wSLieqi9J2UrrRllfPfiiLIhQGLYp32PuoYReumrFtN+2lu/dN7SZH5HssNv+tgNn7jp6uc99QVP - uuQblvpzrQsqsZsmYRmNxxzQzXoI2roRHU5t0hANQGBjXQQhC0PYjCAEYyVDMJAxGxQlzf3evzKw - c3SVGNLdh5lRKQSyUtkIygYhAeU6BrJgWShRQIUclgIl7vpRd9vG56/6wNs+cfMH56MJnU9pJltJ - mgAGShsHGWCoOBAQjCNXGV0PsWR7+cJnXfG8Zz3muXqMo7QsoUYdKOiQK7+zckpgu3/l232nRNkI - 0AgLsLAIoiVm5CxmgakGIOhD4C6tb2wdsVGGGZGZgZkAMxrakXbHot/PFWIkQEkPHT0cYyAykVTF - NikLbZf8ZGSg086RvT+Mh30wpGKjlCQ4vvQoP1JC5hSrKuQApUygNh6db2z2x6xahOkayvEMlLDV - ocwejkzSsjQBLOhUN9dnR1fjRZaJNbAGhZVgdRjriaHLChgoA0okgJKBUR3fJPe1jAx1Vc3n8ziu - AN481i2vrtnmhq7np1763M2nTv7+I3++tFQlwnSeYkRdA6qk23PCDC2MRsoGLRN1DCUDpMTznOFD - zk7KuqZ7uUKRgtSMMUz/Uo7MDJhJVmaDclPZSD/+hQ9/4MZ/7kdbGTAxDJnzYKbSAngPb6ASemYm - QSDeu7Y3z3Kk2pTAoZRWRkPyM0CwRaOt7aR3n7z25TPqWX7lBcqDxlBob08EpOUkH27Qtgtwxvbm - IuUAVY0W9u258Pa7rmtjnazX8hhVjje7P6Xo9sFZSpigSsZR2cDCQBUOp0NYin/3L3/9kBec38Za - NQKyk7NujKFwIaWym/L2WmyXNSfcNS0KxVPuTRayECvpZhQRxqHvs81nWXOz2hxJd//9R/+/j9z0 - 3g6TJL1WeWaJvpSLx4NC2UC77vSppHcPBxgWBchw75FGT3ncN6zEPTE1gaJm5cCiCagAKDEtFrU4 - bJ1zzjnnnHPOOXc8Y4BhYC5NJol4bsMc04xFxWLY3bxlpSXlPqMe1IHmQA9k7Kp7ofIuII8Jdu6B - xs9J55xzzjnnnHPOOeecc84555wbbA8P3h6WwOBhlEITEJHUKlEAlmYw/n9e8L0Xq4lOOCJkNIAq - MkMIRmXgKJTAiw4ZajuDds7sB7FFFxAMud3bYzisfCUYgRlMNE9ZUibGUlsf2Jrr6jl31dV/+Ozn - MG5QNwjD0EEghK/Eup/FyvbZOYoWXyuGEKYJVQUiNnBgDhHg7j9ee+2vPvzyfdKM8nyUbbmmnIyB - oEOfHTvzie/329a03ztuui7NRZerOFnfOLdqMevQjHQx3wZsZ4CQc84555xzzjnnnHPOOeecc845 - 55xzzp3VCKhDMJGLHvrQ5z7nWx/3uMeJ6cG7D9x2223//P4PHDp0qEspAERQAwEUYpY8BKYQsB1k - fR/YojPjvfcg48WU6dvx2wQiIjXFrsRuLNI5yitEtPtP7h9drOduVRVSktGo6eadGWCIEVc8+jF/ - 8prXPvXJT5lubh09cqRpaohWJaZOvzrTSOec67pWmOYsfTLYkx7/hN/89d949S/94nw+r8BJFeB2 - NJrPZ2D6aq3nqdFwEN2XI6QoOzrGmPqegIqDqJTcbgLWllf+7a/88k/8+I8TkXR9Sqlp6qZp+vl8 - eTyeTqdt2+aUF1HvzjnnnHPOuS9J3/d1XeeczayuawBd1/Ep8rC+iBhjzllERqPRfD7f2NhgZma8 - 7R/fuff3fu8P/uAPtra2SkA4EfV9H+PZH6zlnPuSqHEGoCSgYc5xMlYCl6qsEsJHNuQtk4Za59jM - o8k/vP2Nd/f78yj1BmiuUJMxSEsyIoAhUXIRs23EIFNoKJGTBgiWRsumxBzZ2FCpiCKCStwll8hw - DH8OHB+syEZnPGjxAUcXHzkS4iJylAgSiInJJI1G40iBEaFyptfGCKLUyXwLh9/63r966fN/bs94 - b289xcDGKAmSBCNVbM9of4o0ZTIzIpwQtDzEQ55eui0bAyCIEQxcKkPJwEKksMo4yIGtOzbT4USi - 96kK7UvDBi4jt80MakLGBiNQOX7Ld0YgbA81191prLujPRdbkBYjlW3x+yc6Lq+dtusKzVSYGSBT - GBMRMbOwLa3Vh6cH+jBv9vCmrn/2c5/+xLUfvfnu6/v26DxshT2Ro3W9bnZgQagAgzLYQLa9bGiZ - z5xksVa6k9sNLPJHhwxpoISnAosoSjIFwMoEzR1qZs5NnuFhK4/8zqd/39Me9mw5WuXEK+1yDJRn - cyFrqtpMUp8oBICH48cwrNRZnh7KxqqZCMvLy9PJ3BDWls/DjL7vyh+m929cc+BDs3qr3TNan840 - zau2zvMyoH3Ixubj64iH+QQAghq4hHoOZfXx5WhJah6NaDazyRxLSxg1YT7Lm3lDAyx0o3Pb26bX - //nbX/fB8z/wLU94/mMvfnLdj+u6DVanPLdMHCNHSpbBGYAZB2MokxHAiyhKtSHAW4VVSdkQBGw8 - zIFgYPC9ftXts4MBVt4dEl+KHTaVkgNNamCFEIOIzGBKjBjaEALNZKsL06Ny90c++f53XvOPB9Id - do7MsNXPDYw4AhiSkGQRoFwObCVVGFhTMJWalr7l8d/+nU970dZds30r56epmPCoaXPOpSgCgLLx - aXGdPZNXMIYqEhmzMYhhUCoZ1UZECjYlIjJSsx7RDtx9cy8zJTUYBUhWRKL72nJ1XxHDlCbz6bFj - xxrsO6EpSglDXi5lEJ/pErpcL7bTbZWGa8JwXiwuSWQEgCEmmTkGqiiD6spqvu3uWxLPJSRQZttd - 8C6WbCDd3tE63NgAQtpzf9uhWy654AqF1RRJFAFa3pR0MQcJhpKRFFCCAMqWdzUVPoho0hBCzn1d - N10306QrzdJsttVi7XlPedHtd93wiTverzYjS3GEnEGAsW5fFxY3pQApm+ru242yh0/zfuMrQEkB - tSCK3gCCAJkJWebjteVjWD84vfmfPvz3fdySOLT+AmBGabZTVVXcY40CmUECMRvatk0qHANnBgSA - URZCDkRmxhiy5IeMIn4wTBJSygclkKntSj+24YtiKK7KBoGJUYjMHDkk0PJ4GUrQQMQMFcbiSQoM - 5VLgnI5g0EUaszCiKozJGMZJUm+y59zm+v2fueojb/mhb3xZmk7JImngchtprIuJhWx7T5LScIdm - bIu+CveNERBYATNjYus1zWdN1YZlvVNufvun3/iBm999DHerwiKqpZg1meiD7qHtNHA5igAYtNwe - lM3FxgLoELwOAMHAGltZecJlT25tzJktAYwQYpZEPKTIb29stuOfK5xzzjnnnHPOObdt0aBAlEEJ - iypXJeWhMnf4umibO00EUC4P+cfXi+jia9heja9Ac6Vz7r7w6G7nnHPOOeecc84555xzzjnnnAMA - 3p7BkIYxqzCEMqxlMXtlYKIqYD6PscLmsf2f+MzDYDNGxRRhpfvFVCA1AK4SB0MZday7himdOVrW - uUxncHzfjJLYLTSM1SmDbZICMAoIhDE1mz2tN6s3if759Z9DE1FXIGhvoaZ5BkcweX+Pe0Q7gyMX - wywXG0sVKWGpHv6be+OawQ3GhJz/4NbrfvGKx126HuvZVq1lXFvp05MxzH+hwrCd5T9QVMB83mWl - NnKezM41LFfNv3v0Fb938HYD6nIE2rAdBBx8sI9zzjnnnHPOOeecc84555xzzjnnnHPuLGWg0qNL - 9H/7/f/lh3/wB1ZXVyeTiaqOl5c3J1tLo/Gdd9756Ws+8573vOed737XddfdkGGQXAdOqmYwA8wo - sGF3BoLueofjlXhm2vW7p+pCdnL8tpYegkQnvG4wItruBrj7p3TSL+9ejVO+eE+/npMQMJ91bcV9 - 0tWV8c//3M/9jz/7sxXFycZ60zRZpeKQsgAw0a9aEjSTEUyNmVPKo/F4a2P9J3/8JXftP/A7/+vv - G3TP8tLG1qTrZ4CCTtH5zY7rEac4QzP939P22U6BP/W+2L0uDCByJSqp7xkIHCIHVamJ96yuvuJn - fvplL31p27a5T6urK6nrYlObWQwBWSTlUdN2XRdjjDGmlLaXa96j1DnnnHPOufulxHWHEErIFjOr - al3Xfd+f1nJyzuWb2WwGoCxHFVXEn//FX+89/8JXvOIVsW7m83nNGI/Hi+V/7YdvOedOyUiV8hAm - BxiRUSAricIZyGRDdYcSA1E5Z55u0uH3fvJtNxz63KyZUcsy0yogZCVjQzRkLbG8tsjFHCgIKNmC - hKwa2TqZK2cigdSRmyw5MwMwsqFqZahq2MlYLFmtw1BZe7DVRZhxD5RKKI5QM2UzNmYjUeU69pKz - KQdWTRygZ3L8KRmWYt1PO6vC5+/8zNWfevt3POXF+XB1TtxHSQCVMDWCUDBEDPGBcQh1XnyixaIM - JTDVeDtj+PQxFpm+OiRxloWHKMHUzMC1XXvjpzZxFK0ly2e6HlISVMEcSpgyKQEMM0bQks1c1naR - rGyADGu+k/V70occXiGAdTuoePtXefiedl4vPzEyqXolBKkYIVJDzFm6XqYU+3Zvd3Djxn/5wHs/ - cdOHj6bDuZa0Mk8mTYNZ7qVDUzdNjZx7FaOS2729ThphFQBwBxrOWraduuvFbsawX4yNGFDWbARh - ABqFWSMAIw0NBWmq6fgpD7vyhd/43z909Ij53bpUjUNTIWvq+8CoAvdpKqrteDTPAsMwoXoZwr+T - lXu2IqDhuuu6jnoexfkkceJlq5bHl/7QM37hHz72pvff/Lb1IwfRomoQTUZ1UNh2kSgEAGS70tOh - w6lx3LGxeLtFtC1Bq0CziXHE6ipyxqQTDogRZFBgazaP6HjJPnvowze++7MXn3vZc5/2nRetPnxf - fRFbw1aHSEqYd9O6MjYzC2rMHGCRDKxcMqRBRsjBhFVBmQzBYgkXVRrOgXv9qkyyyFiGBj4xrJ3U - yECZoYpyoBGH2oRzryFEa2gLm5tyCKuz93/26vdf886D3X4dqzSzWddxFUOf64ZEbaZgRl2DhDUR - aTRQxpwIEJBUl+y57PEPfdpzvv47aKtZCys0Cw01Cs19olA221f6UCSz2rSEhQtQgmNBuezrEIe2 - BIIgphym193ySQvZSNWs4qiqZEa0qwHKdi/8/lx8SVEZgkbLdOutt5538WUUQ1JBmc3DlKEgGKkw - A3riDv2KMGD7fUvYPEpZzRYJrMK5Igtg7aGfv+3aLm7lOANlHa5c5W+5JG6X71F+AhgxACKIJeH5 - F+647mkP+fZoeRQqyWacjWQRJV5KS8VQ5hsNt1WlgCWABQ+qJFxOktt2tJVmrHl5qZlPttpQrdQr - 8z7N1tOP/KufP/z6rYP2OVT9bK6I0GGOGN3J7Yby4mQ8LmrdTio9zoRFSDwWt7LDBytrdtKvl70u - ZIYMZIIGRQnwrSre1LvXw13v+NDfHJreQrWSoWIWMgBEBEBV76nFFgAIFUMVgU0l9blDDCmlyFFZ - jLOyANqXYp9BhqBMOzcnJ7aE7mZfC8HeDAuL721x46pG27czBivPJkpgqCpqQlQFBTOyTtPQyE6n - 3MOnt4l40RuhzAjEgDDYFGAiSknqPTxLW6O19n3XvPsRex/95IdeuWwXBG1o1xuVaYVg0QilgCLT - AGErbdnRFvfEix4DwwPXqVZXsxpUqaqbGKXrluuW9lS3zG78i/f9yQ3rn97QwxqlHgUh3drq6tYn - I/riyoV1Zx+UY2070psUhHL3FKR5+HmPuGB8cegrS2RGzCHlnsPuR6idneZT+TjnnHPOOeecc6dA - CgigoAB0oAwq1UDREAUK9AbARgojzEGQRRv3fadDIx4L2MDDdNZgIG0/vBN5UrBzDyB+QjrnnHPO - Oeecc84555xzzjnn3IBOGpBQBjuUccQATBMIiIRjk1c98alXNLXMt5Y5bPayGmguNjXEhrJRGTIa - yuAcQAjbA6rPECUYUOZKYCAuRstY6TBCENoZHlmGC1UBXY+2Qco4onasGf3OF27E8gjLVUfMMNIU - 60oVIT7Y5jU4fbZrDBqh7G2FAsyMKiAY+jnqBiESEhAYoQYBkD/83DX//rLHXhLq+dZmi1yOGQOU - FLuGuCqd2UPodDFjqmhjSDkvB6gib26sLe1BylEzOBqGtTfiskH8IHLOOeecc84555xzzjnnnHPO - Oeecc86dHei4fAIsuob98X/+o+95wXfXVZhNpkt1O+3mJjKuG+3ThXv3Xfitz/1Xz/02sd86cODA - O9999VVXXXXVP/0TI/ciABQgMYPhi3amopO+3kPnse3IbVpMtH1ymPfJv7z9++WVe8ztPk2lfyUz - i2hK+r3f9R2/8iu/8tjLL099H4koRMsSY1QRAIFYGXaa81t9uTBz3/dEVFUVEUvOTV1vbRz7hVe9 - an19/T/9yWu3tiYBlEVDFSXn+zLfddmIJx04Z9J9OEIK1SH1KoAZlHN/4Xl7f+KlL335T/9UHePS - aByJs6Q0m5tZiFHNJOWmabquM7Omafq+/3IdJ84555xzzj3I5ZxjjESUUqqqYdBa13V0mpmiJau7 - xESV7wGEQKoG4DWvec2FF1744he/GICImaUv9+dwzp1tSBd5wWTEw2hIUiYzKBlAYDMto2yNlWwa - jx3obvnHD/xdN55wGzenaVyDMsiUjQVRqcR+A1A1DoDRMC4y2PCOaiUe1jb6IylME3VIvFw1MBbA - KG0nVm4HU+qQSFxiLEHGbKZ0PwNEz1JGECqzxycyDgbSsllYVfuUeClO+0nSjEgiykQ4k1U3ZODc - t5GSzusxv/eT77po72VPuuBb5tO+pjoYQB1IgRoAWRxiUEuIJu0OltsdCaun+oX7zAJAZJmNWctV - lINGRpVNEnKK/eduv2ZGW9Q2KsKkZy77VDlv0vpGdaiqmoBAGlS5QjQhs0AWFMzGAAdFqUEUHk6O - sgDsGoF+8mHOpgQDAablRGCwkpKx0ZBLSsZGJekYSqJBlZJYAiOEAMozmUzS0Y9+4n2fv/MzNx/+ - fFdPdJy7aqsjcA0yzAQcEGLsspJSiDWQoYJFMvSwv4yZVEnpHmpChyhxlEDlxYeyE4fwkwXWRqe0 - 1lz4bU//rmdf/m2j2bl2NKzVK6qsqSeiuokmfdIUqxg4TuYzqlpDgDEZQLpIRT3r00Ml5dFoNBWR - LrejaNLVFOYbum982Xc+7UepCVdf+5YkR1W6fib1KHQ2ZKbuzlYtIfElwpYW0ddGuyqQF2fBsN2I - tVcwyJBzyZ4HE3oBFJERKphahwkvVVnn1x/bvOltn79s32Oe9shnPfGyp64t7etmW6TULo0sZSxW - ScCEzFAJvMinVIbCyqD77TD47UHnJwfE7lY+JgGw4fBeJOPuRiinGEPJoKpUmyD33FPTS9vftn7j - DQevufpt/7Ce9k9oQ5s+KyygqYNkxFCzwqyvAmINSdDe6tDmLBmEwIxQpWY5nPfki7/lhVd+f310 - qZZxQC2z3NaxRx/rKuW5shqZkgK8KAaZd32QM4GNgkUAmQgE42w0JECTckUNDKKiSBpyF7a+cPcN - iFIeIohICAHggJxxmo8jp0aGIGAEAMT5toM3Pf7Sb2hoqZ9aFQKGcq80lLEuAkzOKF0cMLZTQC1S - Uw0As5WTgtlACCDmzCxskSTKlm7efPf1XZhYyACCgpSHqO9hKdufnUHZFi8zQ8U6mu8/ensXJlUY - G7cmAhIlZYMSM/JiOcPa8eIkJYvDqvKDKI/VgLpue8mRuZvPVsbLVEX0SLkPTMvVik3wP7zwla/9 - 29+e9JN2Kc+tw2IGFSPFIqhYDWU/YZHebcADNdmWMWS2K8PIwEZkoMBTTGbV+rW3f+Sj179bViYW - kggCmZqZgdmAoeiOMYjIyYsmQyCIIAROkre6CbWYTWYtByMl02BqpIAoQ7jMVKPhwdQYqFQy3cuV - hXdetiFjmcttsJUYbA5WM4VpmmnMmbvN+TGLqhg2/uJmrPzn/jYYD1nOUAIBwkqalUJd1928Z0BD - F1bav37nf73khx/RxHNZmlLeYPE4xQYt3xNYuVyNaLsZ+3Q2T4wBpGykql3uwkju3PrCX/7zn3/2 - yIfn7WZuMjMkiCpGI9Kd0HP3RQzp3TbcrQGAsUFLZDsbgnDMzeMv/fplWw19iOAqRGbqZl27Msoq - MC5HSDlqabgNO+tviZ1zzjnnnHPOuTOAQDw0cRmzDvPiltcVYDCIYTvtKafVHk3DXLswwMAChPLg - D95V3WRA9rBg5x44/Gx0zjnnnHPOOeecc84555xzzjlgkdu9mLoSoGE8jBLK5AFt+QEJ8hy9njft - RJIBSLIHmIllQCJEiYFKOEADgJKcDSgD+hWKXqZdo2YUEEJnYIIqzNBWQZMQIWc0QNtzH6oDy0u/ - e8P1WF5CjEYhlD9lCDICK/hBM6fBl2AxDgrEAt29q2MAFHVd5l5d9MtRUKhBBNbfvfHzr3r4Ix7F - o7EKKPXWKdC2fGymTRxGFT+gcrsBQNEwkiEwm2gEAtCm/LOXP+k/XXctllmIQz+juqEH6FBG55xz - zjnnnHPOOeecc84555xzzjnnnLtnBABVXaeuJ6AO4YpHP+bF3/tCMkC04iA51TFozigTLRnMrGTk - XHTBBT/2Qz/4Yz/0g/O+/+jHP/bGN/3dO97xjlvuvAOwiiibEZOoAAgcVNUWs3dTYFMFwCFo6fN3 - wkoRlQRlZt6d0n1irPK99/mzU8R1by+5LByAqsJ2vdHuZZIiBIgAIJCZVZE1KwwQfdIVl/+bX/rX - L/qeF+acZd5XzDspLWYwBGKRfOqt/hXpKWdmIQQAIjLMYw6iEJjwm7/xa+c/5MJf/+3fFFhgSMpD - IAgPu2bXuu5EIhFz2ftm2M7M252Pfh8xc9kRwxKws1PAi7ejk75B6Zq4+K8Ni1It3VeJoGGIRNHL - H/XoV77iFd/7wu8ZNy1B2WCS82IjgM00kzGBJOXIwYCcM+8Kh3jwpGQ555xzzjl3JsQ4TAG6ndtd - Hk9KeF55pTwXhHDq/K2iPDWURwZdPK2IWGAASAm/9mu/fuGFD3nGM640QEFMmnMOIbRte+zY+tLS - Utd1/GDKgXPuwc7YVJkDMRGTqJqZmRqDmcjEkoS6baiaz7KZSt0fzLf82T+8bho3NVrXpaU2aCdt - ZCxqskq8NAhBUbIqh0TV7VxVgxESI45w06EbjnQHVqo14oplpUpkNLUqLRJnd6q5mKKZEEJkwGCi - pSqLHnADLM8gBRlqgkYVgpACFllrBXEA1SlFu+3QHTOaCWZ1g743ivc3IPA+YCCagSwZeESH5gff - 8J6/PO+7L3lo/SiZ8nIcRWhKU44dUw1UQGBmkWRmzIEMZgJSomFHLyay1/u3zgpmrnPqCBygEQYw - cyDjnHNcqo/y4VuO3nbd/uulSYJsQc9okl1Xzf7wzb93Tn3+vvMuuGjfxRedf8n5aw89b3zeUlil - nitpo1QkNQsHjVAyskxJ2bhUuSqICARCgNkiRHZXTSkbgiSknbMEAWBigxmMSQkAEwMgIjI1NY0p - 17NNWT+4edv1d1577a3X3H74VomaQq97RCkzDBYbU+s0BzCBDVANxMQENYDIYjmdueR2Q0EJlBnH - RUsOqd4AoFbqgAlEMFZTLasdQLWwGQnBmCjH2C097ZJnPveJ3/HIcy63Y6FCE2OUbl5VTQ4KIJuW - Ss1kakpUtbAAxLImZGAIIQNqZ/MoZgOsQic5WEVs0BmTilUxjNIce9pzv/spP7La7Hn3p//bxvxO - riX1QhW0hHoGiMAIdVX1s1QRM5W0TgWG8ewlG7K8E5myMaAoxxiBoRAsjkWYIQBETAohJYZC2VIA - W2Ua+xunn7r+Y595yyfWHnvRE7/x8mc+8rzHqK5FGpNGESVYJAtsi8OGxYys3OdSoNrUUHKbCSVl - V82AUGroDUBJ2CUYqZkpQY3AIzUCFKSAYBFOSUQiEijGUJNS6hKM2zpmm/Uy02Xp6s3P333Nhz7+ - vmvv+PR6f9S4szorA2AmVUBUCIAyWWyrdp7mlimGSgzMLNwbC6lFjat03nd90w8887LnV3cvNTYm - jWaIscoqHEPSTkPJt98pa9h4qEw/szXqDI0AKAQlUSSFVKQRxMZN4HknIIQ2HEnH7tq689b1W/JI - MhQRnaSqghmsNIOcVCDbySnpXwwZR6vJgDDv6NjHb/zn5zzj23VejeJaMKqpEk2mEqugsJSMmYmh - qma2+9lku53lS3fSp+Ahw56IzMiYKDAUJzQvAAAgAElEQVRgBjJUVpNSABPbLG9uyaGDmzft37g1 - VR2VyHtlRgRAw+E5XMjYdkJ/y2EggkCwoDfdecPByf6l5bXJ+vqobgENZATAlAAu67O7pDWCRbOA - UgJbOkVc/dcsTmV7kraRpOvYwjDxCWXptQ2j80eX/ODzfurP/uGPj8wOxhaZO4roEogQoqoqGQKV - CPvFQhVKbENS/JndmGXpu69J5XtTIqJyN1vKt/JDsxg4sAKqdagCRVPNlhLnrprfduz6N7/n9Wm0 - kWKnQAil3gCLmoMh2P2e6g1YYR3GVZzO8sp4dO0t1x79pqNVO5Y+k1gwgrDCiKBEiiRiwcq8JiCi - 7QoKM9u+yqPEXW+/xdfA7TkpUKKth9NQwaRGDEYgXVwhzSDc8jj3ua6qLTs8lc39G7dJzBkpgMnA - 4FJDMxT796vwJ4A0MqmSyhDLrCodc2wpwCSLzjDhPe0fv/E1/+YHf3eWdc94OWfTXsb1SFMGEFlk - 8cwWEIBgRiA1qGJItS93AtvfM7NoMrNQMQAzY0bWTApSy4ywWt06+8Lr/+V119z90X6UxDIHGKEz - EBDVgh53bLiTkZ2ikZ0NhtBLpspiDGkmozpYxyNdfcpjvlEnXFsdEQHknNu2FZHhcbsszUoSuJKx - t+A755xzzjnnnHMnMi51IcYgqqQLPA5kkYgzGZAZAKlCGNDFhLm03SJ2H75GYhExKxXtuQIUCFTm - tQ7DfNZGIDAyAPLIYOceAPw8dM4555xzzjnnnHPOOeecc865Ae1ELx/3eifShgBkaIIJRH/zyU9b - nfVEKgxTKDgDiWAAG4dFRPfOwJWv1GyS28r4KgOEoIw6ct/reNxqyrnPI7CahgCtwtGeDzfj3/38 - tRiPQUHqwEAAyng0gyk0eHT36TDAwGUoP5cRdtujlouSEk9sgKHiADT2f9580797+GN0No9ptlxH - aN6YaSRAIYomsNoDblwl2zAKdns8cSP5gkmPqWCUEiQ2NboebVRB8B4KzjnnnHPOOeecc84555xz - zjnnnHPOubNFSUEmSn0PIIaYJP/4S14CDAHIw2/Z0LuOdfivEULJNlYoYbkdXfkNT3/mlc+y3/+D - z3z2mje84Q1vfetbb7zlZl3Mtm0qBIQQASTJJiXciLRMs07EMWpKi5Wi3XEFp5UJfV+UADkR2Z2I - sB3pTSAKrFmGz69DereZBYZmJeDrLrn41a/6he970YvOXTtnNtkiotXllenWFp0NySlkmM9mS8vL - P/UTL3v0ox/9kp946WY3H1VxnrIBpjr0L7WdnOxYVaqqIiXVO8RoZioSY1TVE4Ildoejn2A7H/2E - PylhFcycc4YaCCV4ZTg+y35hJiJVhRoW4X85JdOdCdIJGNXNc5/zrT/9Mz/5zCufoaq566vImhSL - Dq73u5vrV7h/rHPOOeecc1+rykMBEdV1nVLC/X3oU0Xbxq7LIvbqV7/6da973ZOe9ERVjTHGCGY+ - fPjw2tpqye2+l+cU59zXnlg1IpJSNtIQQh0CEAB002lVVU07mkw2wfPR0riTblatv/ndf7k/3Sxt - ziSRQ43Yi8CGiciVFsFjJTOMh0Gtup1UZ8N/rcLBw1sXtOMPfva95z/+/LZuWJQkKEiFzEzNGGAO - xazvWAkotSQCABxiCPkeUgm/RnEyjRzAgbKyUeCGqOlMhPupze5Yv/nGu66jFY1tmGzlpZaTnMnx - pwZVzOdYXgvzvFXtae7auv21b/g/fubFv3jp6hWTmVYp1bGOFVJvfUqxqmZ9ZybMXGmgQKRhUT2m - x6Vm0skZl/dJr33TNkEUObdcqSJ3GZFSTBPu+/H079/+1r6ez2EQa5qY+/xl2xonkZCm46O9Te86 - csunD34k5DgKS+eO9q6151y899Lzxuc95JyL9u65cG20GrlhYVW1CJQtosRKZkbGrExGZBxKMnep - /DMoi1BSJBBKfbQOkd82hHaSgojYxCTn3On0tru+sH/9jpvuvP7WQzcc7g/O41RHGWvoLJW/ZWNW - DsZsrACpMoFKHHLZR2X4sHFJdlzsqXzcvhpG5ZffYaOSValGwzh9NpQ88UAhz0STLI1WSON8I1+6 - 91HP/qbnf/2FV15QXRJmUQVtFVVSFjXOGCKPh2RTZcDYwNuHChkYSpQZoqRkOKvTuxUMYi4fCgCG - LEaCLvPIOn3+k1+0ttK+8er/d4IjcdxPZWaMqsa8HwZ3b03TSltLghoCSiX2UPc7hEeXNNxh/+5K - 7zY2Uh7iNvWEwFkjJYOSggHMEXgqs1CBQ/r4He+95oaPXbp62SMveOxTHvvN42ZtZXmlppiy9b2y - ECMGCmSRwAGsxhAQB0ANiYiIKQBW0pPBKGHx5d60hHuDDDBmVTViHqJko5momWo206qpzXQrbcKo - HtWAHpaNsNzfPd3/yU997MPXv/+WzRtlNJMmTXlSVXHYFDRMBEEGAuq6NsFkcz4eR1XtZv3Sysqx - zUndNqSobbmZNd//vB97wt6nrU7WalnJi/H+ul05TnpCPnQJcl5cJ88gBYsxESkRKFBANIIKxGQu - c5rHdiSV3DXdz/voPe969xE9quFMXk+NzVBV1Xw6H63qsc273nD1f/3h57/C5v38WA9ta4qwkGd9 - qHjUtGaWNZXGr+EioYoz0P51AjYQWHIm1Rg5hqhqopKziqEeNfN+qz7PQjt7y9/8lYSJRSiVgFVW - 4kXErypt718t59qiYUYDwAGhJun7qz74th997kOq8WrfmwmCNkQEVQOYGQRVC1QifwEqZV0EIkiN - 5EynTT+QlJYtDUCwsjUZpICaCRtVwmnKD1977Hd/84/82dv+ZDxqj3b7l5eaWdfVDVHk2VZeaimL - RQy7bLhA2Fd5shRmzpY1q0KIiAOY2ShAa81GUBaSnMFEMSCy1PNjdOTP3vJfutFkmjqO6BJCOO0C - RRNiVdc1TWfH2rD+2Zs/ft4jz5v12tJqEGbESLUBqspEXIfcZyYQEcDlfCwnI/NZfJG9Z7or6njn - LGND07a5l5QSL9phy7/dfI7KuNVqTLfcfeNmOjIL0zBiS0rGMCZlhIztaWHo9K8B5VhdrJgwYCAz - ImILUANUqJ/QOkJ8zV/9h5f/wKtiJRA6Z23vdH3aoG6qmPsU2Ighap0oEEJsY2TV2SnLExFBQIwx - a1JVkIqIqsUYmTlJylU6mG//L//42v34XN9ulrlzyicjAxt4cW319O57pqCd7bb7uFDVpmlmupU7 - LDVIW7IH5z7yIZePaE9DS5VFAAZRiBmd0C6vuJ8h8c4555xzzjnn3IMCwYbGB2RI2y5P0iGODEmh - 0lKTYSg1G2XG4EUotw21i/f+FWCIBeWGY6nVnUvXhqY03AQsmtgAQKn08nfOPQD4xNjOOeecc845 - 55xzzjnnnHPOOQdg1+CGxdQAZaZJBpZCyNobG5Fh0r/0YY94rDZNnscAA08ZGEZoIirIEIbc7p1x - DoxFuPEZwzaMkjGC2LD+SlBCUlSmVVvtn8wfVrUBSrAW1bqkSUOfG9Ef3X492lVQRKRhuCUhMAyU - AIZFJBgtRvq5e8C7vgIM3hlfWbrjELCIuAYgSqwUy8ikqkEMv3fr519+2WWPTqtp62gbMG6R5xhV - wfoH5qQSO4d+JmQCGSrVPZtbv3HF437ntk+NRhGkqFv0qKqv8ro655xzzjnnnHPOOeecc84555xz - zjnn3GkoociLDDMGCHjBd37XYjZkK99sh17w9tz8GF4pPySRNsY+J8n5CVdc/tTf/q1f/de/dNeh - Q2948xvf/k9XfeiDH8mqAFQygKaOfZ/NhncHwAGaE4AQgoqYmSwyiojuYRrme5qd+V57MMYYc845 - 5+2FD4nRKUENVnJSTLMMn5gMMIjAEAOZ2BMec/nPvvLl/92LXrw0GkvqNac6VrPZbG6TQIx7TVmw - r9KM0sfPbW0A2rbtuq6p4zO+6ekf+eAHfvZVP/+uq987bmI2dH0moK4ryTmLwYwIuU8AQCBmM5PF - BtzekljsqRLCd09rUrIoiGgnKJ2IiEoouKgSEEM0guQ8ZIcv1tpEy7RizBiNRpPJDEATyYyy6NJ4 - 9MTHP+ElP/pjz372sy+95GFbW1vSp6VxqzFoygBocTAvFnhv3UR3p7w455xzzjnnvry2nx3KN03T - dF1XHtZOd0Gzea7r2Pf58NFjP/OKl//t37zhoose2qVkZnXEvn371tePxBjbtt3a2orRpyR17kFB - CVn7WMW2aUzUUkZvgUKguNKu9rnrpt1ovDylzflo4+5jB97wjr/4xIH3pZUZVW3utQqEJEHBMWpJ - eKUMAMbYCSsdMl9tV0adEZJg38XL3ZHJuz/6jqde+pSqrmobSULQEEIbQijlXs4inWTLTTMyCAAl - NVMzA4miAtm9V1ycpbbL/90vGiGEVkWz5Bq1oeoTG4LWquM84yNXf+itXXU0hSmAEKESAJy5aE8l - BsVmGbPczzvU1WzP3mr//s/9xbv/r+971o9etnL5Be2FsiVp1ldVU9eNmrbjRlVU1QxZFapkSkpE - vKsC88SD5z4yzsY6SbPW0FKlHVQ1RJaQaC0fyXdcfe3bP3ngg2ltRkBQ5LncU2Xql4VSDsEyekZA - zSK8pVvTdOj2DtdtfZyELREZj+rRuWvn7tu377zlfRc0Fy41e9ZW9qys7BlXI6bKBCbMGsg4oCIj - SABASgBCGabOZgwLlill7hP6xP1Wt3V0cujoxuG71w8cPHrw0KG7jk2PTtNRDQIGKrIRwCaQJJnC - dva1GrNoLEHPZEwlZpIyb3+s4YzbrvzOWGRyl+rhRZZ2NBBgRgiB1JS0xEwCgBpITInaasmizY+l - C6rzv/vJz7vyUd+6t74kYEmn3PcSQCKGbCFyrIJIyaKnRdVxSbGlYcWgTAJkUALy4hfOVkpsVoEE - PCcoLBgqJQWnUawmx9ZXRs3GEX36w5+3d+3i11/1xwcmN+xZjevzTVMsL/F0rgIsL1fdPEVUKFEQ - pLCd6NDtJgwq+RNDo8fx552BABgb5xJlEQWkzMbCEM7CiDXyDNIj8kyQurB17ezIrXde9+Zr/9u+ - cy961MMe8+hLHvvwvY9YW9lbpyXuKKYqUkUIAJUqcogRkagRgZmwiGMnMAAVAGQmAIiYiIgDs1HI - ZgKlkorKxIQ6hEiVZepnMunrWbXEHac77rr9uv2f/8TNHzqweeesP1a1XK/pJM21l5V2nHLWRbr8 - rqYczLpEauesxfk8g7G0zBtbm6NRCyFs8b7qoT/1/a94CF22N18Yu1iDlFSOK1IUO3NK8LCpsX0p - PLP16UZAE0Q1QxWAMKEJYqy0vDyezee9pY28Xu3lD93yL+/61FXt+ZTsXp8vypl7f8tMY4WhQ0dj - bOa+bo996AtXX/zZy77+4c9cbc8dh0ZmFjQsj9bm8+nW5Gg7bsDhFMv58kV3K+1O5B2KNTKYom3H - pDafz7uuixXHGE1Q1eNj3YTHkw3c/faP/M3t658J+4QUZoBGQyzbhkustGGR3q0AlMuEIiXYG6mD - WqpGdN3+z9wxu4niQ5fD2nJ1vk1J1AK3zCw5AVbFKltfClKFGqlBYQpStrO5gDtNSmokBIUGaFUu - QxoSAMloq6qbT9qWSc996tc957Yn7f+X665aGq1N19f3LI+Obs5ClffsqTY20vIYloZLVQlC5iEY - 56sQgl7ektkCQowRbCAVqIjkhMgrIjZmiZWl3AvJjNMWrR+c3fT6d/zpJB7e6A5rDQWsQTJEOa1G - Oq4C5ZyTpKU9o42N/W//4N88+qKve8jy19EkIzeUY+QKTKp9p11H81BxghJRaRkmosjMzNvN09h1 - W3DWIwVkUUSU0pvKUbe5sTUeL49GdUpJssQYKLJkWTtv5eD67bP+2KRdv+r9f38sH8VI+qz1zuMP - L66wp20IfCIwoMpMqotmWyMLKozAVhmSkCaaSbwLff7Pb/6ffvgFP3HJuY88cODO89p9MiNJYMBE - xJRCrKtKqMo5zru+qpiOL1GGFQ1DQ7aZgZQChxDZRPMcdUhNf/Psxv/77f/xoN0ySYdHK8FEyJAB - GEcDm2pZ6v2IKn8wYVMlABHbeWAAGVQ1lmdCAVuIFuu09PWPeHpLSzW1RARSNTUr3QmCDVtZFWBa - hHnTV6F8c84555xzzjnnHuAE6KnUWMwMmkXqtknSVxVkeE4HGcwAC0AsTU5MICjjPnw1jrlejWu2 - VdpdNIYAIAuqANvub28AMfjBU9Hr3AOd95NzzjnnnHPOOeecc84555xzzrmFMpshHTcepAz6jyYg - RUqYdFfU46XJDOjYghFgrASA2EputwXAoEJDhwy2nW4TZ3R+ye3uGMKwRXQ3ACLEWOeuPz9WXRJG - CIgb6NLS+EBDf/SFGzFuO0VoCB3iMLxRYUyEADAUJkCZRuEMrv/ZbTHg3Bb/w3Hp3cNAFwEMEIDA - zBQNUFgyaoMloaXqtbde/5PnX/x142XOHfdpqQ7HeqlpmJfzAcWGWPphxRQwApmdH6t+sonJFGEE - MlQBgaE7m8g555xzzjnnnHPOOeecc84555xzzjnnzgJEphpDlJyz5Cdd8dhzzjkHpSfUrl6GJ8yQ - vpPhTSBDn/oYY8UcqghF6ro2VA/Zd/7PvfyVr3z5K44cOfKBD3zgb9/0xve9731H1o9JP8SiKFCV - eJJszAgx5DRMic7MJbHgy5hbgJOipktGuIgQoKYAAocQQkqJYGoWA5eQizrwM698xs+94pXf/Kxn - BEPkANHU9Zmoqerl8RIR9X1/j0HjDyRGCMzS98x8zp61GOMb/uqv//ZNb/z3v/5rB+46VDpX5i4B - CEDVVH2fmFhMS342M3MVVVVVt6PKd6dxf/EV2BXvXb6JHACoqsGyZAwxJiymzGAiMyu9C9kAw3wy - qwhqqKvqaU9+ygtf+MJnP/vZl136cEm61I7SvKsITdvkru+6rqnrL3237M6wd84555xzzn0pRCSE - oKo559XV1el0Wl483eXEGFNKfZ+bpuq6dOTI0Ze97GV/+qd/evHFF3Vdp6qbm5urq6uTyWQ2m1VV - 9eV9unTOPZCJ5ZRyIARQxSFWAQm576VP6f9n702DLTmuO7//OZlZVffet/WOBtjYgca+iKAIUKTE - nSKpxRyJokejcVCOGIXCo/BEeBkrFOGwZjRhO/zB43BwmZiRZYZEhU2RQ45JkeACUiAJcAcIYt+B - RgPo9e13qarMPMcfqu599/UCdoNoohvMXyAeXt97X92qzKysrKw858eRu1iuF+PMaKU8eMc9X/7J - i9/VhYo7Wpc1ETEQvQeDDEcVJUFrrLRQUBtii+M1daQgxmq/nzunnervvvq3f/jrf0Rst++4QEvi - YKOISASY2WTWgVVEQAwSIiViQVRICDWzexVK7cxzzHTQZBpNNIABw0FhjSW2Qf1Q10d66MdP3XXv - E3e7LWEgkID5bKYaVnSGi0fYgFHXmFtAfx1Lw7Ut5888+sI9//cXj7z75t988+VvW+hsY5ORs0Gk - rD3XIgQDYiKA2RKrYZCItBJCks3q99PbHZcxSCEcVVXVWiemWg9LI+p/95mvf/3+z9czfc8VEYy4 - GIStypkU2kWJrTWXYS0TkRcRQalrNnPcYwBDpaPV/sefh5Ns1m+hysaorJy7Yn5mbmF2W6fTK7Ku - Y+c4y21hbWYbVyQoVCHGWIWqrEf9qj+q+4N6vYyjw6uHPFWBKmUvJirFSD5m3s6bqEFVg0JVlcYu - yjYQuC1G5VrETmTDjdR5MtWnJLQRFy+bAoS1CTlnab3d7cfK0jsLa8AK9dAIZ2zmuv1BHUruIH/9 - JTe/96bfvmzuKrM6k4WZYR1sZvLckmj03hIx2RCC0iaVr4JBrXaatDmQQIiANLuorwVVJAkJQwDT - HAsrgq9mirwq/Xwxuz6oL9127R9/8L/91Ff+6uHnfrB123y/6lc+ug5I4WuvYyutErfqUIVSqxDG - 1LOMcc0yqehE9Y1WEEo65ZMgaU8cAoC6lE5GbI1UMdqY9WxAfaQ8aLYVB2nfkWde+O6j3yxk7vz5 - PXsvuHbPjksuPe/yXLsZZQwDIVYQGR5Hm6tyhFI0EWrASuSci1DSGFUZiKoMFQrMKuQh7U4JQeCj - ibVWNY1W49LzR/Y9sf/RfQeeWh2ulHYYZsMw66sNhsAiQsoEEaK2KFhkk+GSDBcd1x8Nm5h4X0sv - MxRsVvX27r7+d970oR16/gX5hdVRH6PEPDR/u1lu3RRg20ynXv85tEspY9V+kxKRAYhgQDi0slTM - 2Tofoah+vO/7f/PF/6s4zw1lmegl1d0/M+R0UNbdLkqBusp0+cs/+Oz6YO03fuUDS4sv5pjrurm6 - 8s7w/NaeSKh8VLCqNlfAU3+kcmp70zTgTTk+mpYkEupaiMjklLmMmUIII6mgorPVKFu65+lvfuMn - X8RctdofmR4oWqhttmUgjQN+QqOt1aYzVBCQG1vWIevYEKp1LP3N5//DH33gj/Ne0e+vG3RAJmMQ - G2YjEnz0xKwkQqpQhUT2UEHTXs/5/u10oABlqIUaICoFAAJYa2NUIsrZjUbeUfG+2z6wsrr44JG7 - PVcyRM/aUQy+8t0egodFc1bwRgqWqY7u508IQUlUNSISA6zMbJz1QUSDJy+oK4xioaO8PuKf/8xd - n9zXf0SKgdiQ9/J+WUULa41KPB11N4g5xNoVGNQjm2OlOvDJL/6H//J9/xyGeoUz4nztY1DDKLKi - kxWlVkyqqqTNmcgq+jLmJc4VZFN/OG4bynmeB/F18DF6GBZWAJH9/rUj3Z154MHn/uHvDg/2B1t1 - Cjsc1aTgcSaZZoDEKs2LpzX6nKQnAsACMOI4eY0iIhJgQAwWMaioGprFg77695/739/7pn9021Vv - Hw3WO7Y3WBvmLrPMRByi+lCConFcFFmsBif8XmNMEA9AWUEQDUSk8JTpkFeeG+z7d1/46OHs2dgd - kgUZim3PyqTWKJRC0yWeVuP8RYMVNPa6CzFDBJiMd2tfmpyhIlWc5S2z2HrFBdfZUEBIVQWBSMHE - zNQsKJi6AKWSTyQSiUQikUgkEolE4mQwYIAAn4EFzq33vN/Sy7IwKGFrIWnmIRW5gglCiKxy6jM6 - pAxPUhczumCRW1gD+BidmXrItem2XaYyRicSiVeNpO5OJBKJRCKRSCQSiUQikUgkEolEIpFIJBKJ - TTQhMWYqBtUyAxZSwod/tfeGreuDgGp+pijL0iisAopIG/k2ARBECELjLJw/l1AHo5DG2w1EakMs - WEGCelgToIYCaal+ptddVN7n8JGHH0beA9ngGECeAaGJ42l2u0n6iDZGMKVW/ClIEwaF8aKY1m0N - AVghAmi7GIfRBNIQItTkhAjiTFCy4788uv+PL7/60jWT12UlWuQ8qnzXUohnZ8SMkMICohgZjka8 - Xy5M/udXXPPnjz2MuZ5yIGuB1H4SiUQikUgkEolEIpFIJBKJRCKRSCQSiUQikUgkEonEOQMxA1DR - EEKzeu62W2/NraPYpvBmhfBGbiahE6dSmun26rqGaJ7bGHVYDgzZ2V63DoGIti8svOcd7/jt97+/ - juG73/nel7/6lS99+falpaV+WYuPaJaaCUSiYSMiTKSiCgVgjMExFreTrdE6bukZneh9MmyIY4yN - IMEQqypUMpeJSIghSGyKwgAIsveiPb/zO7/zoQ/+3p49e0jRLQqI1qNSKFrrmFmJyrpuZBF8yo7o - n48KmrChG9GpNFhVVbKhEH0cBkOk3v/2+3/jt37jN//uM5/+1Kc+9a27vwPAWY5RfOUZUEhhDTOP - aq8ioW6bhLW2KclpG7cx5mTZ7cmwTtkXiIgbeYk0zaDRfVCWZdGHoHGytpPGSb0ZIOCi111w6623 - vvc9v37LG15/3o6dqioilijPXF2NAOTOQiJUijzLrPPeT/ZhusyPr4Xja4TG/hhJiwMTiUQikUgk - EolXguYuj5kBrK6uOueMMUQUwump9XzwIEDbG0aJeOqpp//0T//04x//GIC5me5gMOj3+71ebzBc - ty6PZ9bcl0gkzh7EZNYaQKPWwcdayDvrTEZiIBgN7RBbRvc8ddc/3Hv7Yn1wrXOU8hg8JEjhCmYf - BcbQyNcw3Eh8SUGN8FXAAI0nWZo4Shq/woD3QI4RDQ9Xz//V33/8d9/1+0PTn3fnO+oZIhUiURKJ - YCgaZ6UiKoMIxASQ8Gt2AmJaUDrxdjMUWpNhYq7Fr8dhLVHzqPng+4/ccfsP/i52+uu+5gIaIF4s - W9UzqKZWgsLXdcgcRc9ZFssS/WqQbXNr9fNffeCTzx388a9c+56rzn9TWZuq7GfdTCVaZkMWyhSi - Ro0RQcmyOWbjLyNFPSmq9dWZud6wqga+nu31BnFtFNfsDrnzvi9/+SefX80OVbZmABG+CrPd3igM - X4mSODGsMIaNISHEGH0tqmALa0kVAb4RsbZTeECt1cjWbByRaYLQD3rQIgAYGGqmBxWqpKoaRaDG - 5QCpCgAiEkTVqKqaKUhBQUkAJVI2MMYOQ4zEaFTQrEQgAARtPt6eogAAEwBAprzO4+MSAk/MzZuP - t/k/lNspVlKQAKHXtcEHlCAg04KjpeBQ2p7rXX3hdW/b+84rtlzXHcxly93M5MFrr1NEEghC9KrB - ZhmrHY1GJhu3k0YmjYl2vJFbgxDH+9BM8J7DXQQrBFEBhYuIRBGkrERKDPY+5p1uJd4wTGVn4+4/ - fPe/uPP+v7/znq90OcsLP6pGtiuDkc8K0hig42zzyhNBZBMJPpnjFQKrCITBm2qXhBVQ5gBAomn/ - pOnPswgJYAUbVqNliMMygMkYR0IhVCSlyYyY+lm//OTj9+qD6NjOfLFl59yuXVt379p6/s6FnVvn - t85kCxwKVmfJCIHESOMtJ4q1VyYDgmEDKBNDABH1MEJGKq1Wh4uHVg4eXHl+ZbR8cOWFQ6uH1/pL - gYJaRATtCWe0VpV5j43l2gcAzsvbY84AACAASURBVAERZVVnsEa50ZYLWaUQGQA6Xbe6PLSE+TlX - lR4es0W3Xs1uu/TtH3jL72+rd9iR8SNfZDaAKopTJnselyqPJ8yFJmJgkunUE2cIIRgLIhCxKqlA - RGoNwpKdl61jqU+Ldz1wx1fv/fvYW/dxJBwYP93xefzzglPfI5AHofYoctQjWFev+aN3PvCFA0tP - vfWX3nvF9tevDaRwswxdrRZZ4cxcoyZtHk41l8KJyfuVYiPzgzIrC0mWZZUvBWIte/WjUQlWN5ut - hyN2Xr/xky994Vt/a7aUMQtxCFPDEDdWXpBA2zYgtNFnKrV5JJoSjlVY6BWj6AfV0HIcFt3/46// - tz/6nf/6svnrc2cYmY++qupYa8Ymz6xI05HK+Cemnv/8osBQafNy5JHAVIG8wAFsMre6ujrX61a1 - 7ziuvJ/jLb/9K787+ubSo4fvE/XdhY7Wy1WtLp802qYbZCUZd4avGmRg2BJrBEcNAgkUQYG6niSU - ulLrOnoxFOGHT/7gi9/5/OF6X2+7ObwynJkzdR2glJu8KqucT0sGLQoow3vkHYxG4Gx4cPTc337l - r37v7R/eautOtkXIsKWMsiDWDys4KAkRGbLGsIoqoohYdsds+uzMe3L6MCCsDDApTU43tqaONTl0 - ZouAeliuC6nrkWbhmcHT33vom48cuGclHi0WsuFwlDlw3W5OWZTaB6eNzPvUGXe80pwD0EbuDGmG - QaRCAgWBiQxTVIP1qtZsTWL8zDf/6rGn73/nG97/uvlLrO350lvkpFYNiJhIYliuSsldfkJ7feOV - N5bImjpUIXoYKHt11VMrD3389v9zLVsyPR0Oq06PBmWwFqxwkZvdEzrjT/ZfCxBYIdqOyQUgAhRK - rTqdIJbBAVTxFRdct+B2YmAkgjgqNfp2hbaD3mYwA0C0GZk33cIv0PUikUgkEolEIpFIJBKJU4GA - TDSDQRVsZ+Ezf/6VCp7AFkbRrJ/PFSxgbe/X5bTurgUxoCJQBz2HDoljcGG4Ct5Z1+5BA8v4G126 - hU8kXnWSujuRSCQSiUQikUgkEolEIpFIJBKJRCKRSCQ20QTAbA7+VsSIENGvtoO7CMio3y9z04Sb - CrexDU0clyg2wksmLmcAZzSgixQ0DuDR8bezghUZYDkTwTDGmGXR5fvDcLFbfOSZZ2AtyMA6B1ao - qLKd5EUY73IbSYi0zuMlkbG1HW1BTQLOiMeBlm3S0iYHRYRqjM5YRIEXOCZj1XRoNPh3jz363++5 - yjpkftRVdC1pUKKzK4aNFErSxnrpJPWFOAdItdtnH33Hr//z73+bjKnKOutkKd4qkUgkEolEIpFI - JBKJRCKRSCQSiUQikUgkEolEIpFInCuobKz3y62LIVx99dXMrBHYcJOMPzxeHXW8wKAsS2aO4qv1 - kXVutjcjIsP+unO5qubGFtbFGHO2b3vLr775TW/6N//6Lx599NFv3PkPX/va1+758b1lHQAoIBK1 - cRWM0zidTAL98iAiVQ1TxjYRUWgny6u6ApBnuUbx0V9+6eVv+9W3fPAffeCmG24AoKqzeaccDIer - 671uF6rWWlWt65qIXJahES7EVzMh/qljM8fMIQRmttZ676uydkX+jz/4e7/7n31g3759X7z99s9+ - 9rMPP/pos8qUgBAiEJs82REgUN4pRqPRZJtN2QIQOWkhTLe3RkchKgCa8leoYQPRuqkLskQqIgJ1 - TBdccMEv//Ivv+sd77zxxhsvuegiZiIFEVlmERGQITZsNURiSIghBGOMIR4Oh861qfbbJa8v18Yx - 7X1JJBKJRCKRSCQSL48Yo6paa2OMzY2VMebl3fq5LIs+hCDOmRhjjPj2t7/7Z3/2Zx/5yEeq0WBu - bq6qRmtrazOz3RDCOa26TCQSp0Usa7bEBmIEVpTrGoNa64rK2pZPvvDY179w+/P9Z3wxXIkrva3Z - sIwAnCGor+vIFsipHqllIYURkDIgrUEMMIpITVhl6xRkbWNz52bzcuTZ8LqsRvGf+OrHb7jslrdc - 9/4uL/SymZxzjgbecDRGTGYcwIisiAplGCVuVGWvYY4RlKqqRp/lzstgtVp1WzqdhfzJFx/74Q+/ - +eCTdy+FAyZToO3Cg68yk4UzK6QUNmAFhAbDODNjZzoQ0Kiqa4K3y9978a5HX3zyxosfueWat7xu - +8VMOVeAchCLCI7McNZmFkYj2hbSWJ+baj1N6bhRzLmZYX9EPSNZPCwH5nd0jh5+4e//0+eeWHtw - RQ9rVscIq8RiyYoPozMt7KxrMQbGMBuTWVXVoOpFmaGCqCACE4hBBDUkUkUKBhTH+vamBcQYiYjR - +mvHDYOHcRVjly2rmbwlIpa5nS2UqAI0WmHnGAwSatzwAgVUwZMw8cmEHm2aCWyC4qWZoRz7sY/5 - jAAMBkEguiFrDAQZDcUBGZtcOyYURjqFmZ3JFt721vdctPXSXbq7U87NmDnv1Ze+mO2U9SiEICTM - TEQ+RsAYlwPSTMJLG6o/tmCqEGTSbhQGeqxM9BxEQBMVtAHAClJm5Syzq/31UsqscAYkFfV0ntzc - 267/rQt2XPSFOz+3GpetWz9w9PDMdhfhBQAHCKNRTRCUmBRQKFr9JwCltruOrc6Wx/U7OU2sECZy - YihMBCuc5RCkCjUMODOGTBM7r7XYzBBplHo9DkHIOnBzdr3uj3Tl6Nr+x5YcR4vIRqwRt623M7cz - vaLXmel1847NisxkZN1MpxuhiFLHOta+9GX03otfXV0p60F/tLperpYy9FyqC+KiRxXhQw6yYAtV - qEIEMzOmLGNVS1ZAGXUNjSgcNISxyhcAhLhp56trw6JrMuv662XHGPam8Au/edsHfu3q34hHXCef - tWSrOIgZlQK2TFFIlYkEQjqxd4M3+1B1U5GeQULtpa1bITJkSTMEVw/M+guDZ79016efOPzQwKxw - HkRPydv9MxK9dHIQoRwgdxQV0vGrg6M/evZb+xef/eW977rlyl/dXuwpa866YmClEiZqnqRMq7tf - +T1TnnbWBvGucEKhklEwwc1x4LAuyyu89P9++q8fXfwRtlUjLtdXsGXeiZcootQ8yxGMk0ooAbqh - Uh6bvIWFjdJoUNaA61JW0JHVF7fNbv+rz37sbTe/98YrXr9n52V1H6puy8JWCry6uNrLM9ImDQUi - iRHe1Lx+USCWDCAhAYlSBIEEUA5erMkiZQKhGDK21aq/ZMclv37r+9buXDq09kK9OrKFc4WslyHP - Qc0DT7VQgEQIyme88b8E3ns2IMtt/hcCESLVNfoVDfIZP5CVHz703W/de+ehwSGeA82FQ+urc1t5 - VEVfodN1EmFhSE+vV4lKCmQF+gOYDDYzy4tL9eIjH//sv339VbfdfO0bdmw53/isrl0WC5tZY5wI - NMQgXpQYxjAz86vqPT+TqAExQMf2D6Emq4H9oFxVE7nLwmEF60vlC//pzk8/e+SxEa1xVwfDstOF - VCAACmFpPNYvu6eNBABWm0szG7VQIQ7cJj+KEgXkRKkZjXVmab0fKlntdrr37f/u0weeuP6S1996 - /a9un7kgp9lMOhyNFbJqyJFjc7Lmo6oggbGCGDWwY5vZIY3u3ffdT3/zrwfdJe2Ug0HZ6WVlWbOF - EkRhSKDQZizRHO9r+n7tZ4cUbVIeZRBDRQiszAYGVHsYoGMcPN9y9Ru5zkkdEWlzu9C0MlFVPibt - 08/S5BKJRCKRSCQSiUQikXjtQ4qoMB2EYO0WBhcoVMDsAUCdjh87tc+hTmfbEVJjVKPOMWNhAIYK - 1OeWBOF4O7BAOC3QSSTOAuiMPIVKJBKJRCKRSCQSiUQikUgkEolEIpFIJBKJcxGFEjwAwE2CEwiK - QN7jaP9fXX/j/OpKJ4ycQWahFTCOU7XaphMQwPOmRJysTG2gjuhpBpCfOqSwYAUCSWAIgRVW4BQZ - UAI97i5LvVaYJaf9jvu3jzwGtwVZAQdRD4YSGEYFzBaNAny88UmKycTJGUe0t+tmNi+8mZTdJOiI - UBMAyWIT4C4gVVI0SQZ8xKD606uuO2/Q75TrMxDZCNc8KyBFI3kvLQTIAwMcCUqBDBgw0T7L9n98 - 4VnMzqHojEIonE1NKJFIJBKJ1xKTBCLtWJdkPFZpM7YogcoBjizfcdutNyyv7BgOzvxO8VROk/b3 - ZmR+uNd9eG7L27/3HWzfETsdc+Z3JZFIJBKJREOTRPjlhS0Y4Lfe8+5PfuITCJGIeHydP14fAqB5 - 9xcuOVUikUgkEolEIpFIJBKJRCKR+Blon2yqsjUhytfv+tbv/v7v+8lMozIAZohIYV0M4Y7bb7/5 - uhsslAAjCkC4fU6qBIChdPwiL9XIzNYYVQ2hjjEaY5xzvvRExM4SkQ+BiIxxUUWAEIISqerRpaX7 - 77//S1++/Zvfuuu5Awe8bAjbmJgMhzA2bR8/O3qSedkTTqPq5D0FMwMQEQKcdTF4a+xMt3fZZZe9 - 553veve733355Zd38yxW5UxRGGNEREIEQEQhhDwvvPdBIjMba73EEIJzllQn2eSVNtbXTWeR3mSI - OcPzvQRhnXhfePKNqioiztiqqrIsIyJrbVmWLs9ijEHEGMNsjiwe/f73v//9H/7wez/4/jP7nj2y - uCyAM8bHqIAALsvqum6KpZHt/ZSpciYigujkYwQwMbSxP8CQUY0Adm7beenFl1xy8YVXX733jW98 - 4969exfm5kREYyQiiBhjIKoaG9GFxGiMCVEBZFnmjPXeq2pmLIA6BkyVtlL7D4JObNzH10VTU6TY - pM85m6bojUAIQhRIv/S1O/7JH36YQBE6XhcMTDd7nPR8aZiuu8Ydcib2OXH2kKr4nKbp+lJStTPE - pA+ksU7p1d6jxMuEiBod4Ku9I4lE4qVobh6Loqjr+nS7XDZOYgRJ0emUw1Fzp+ochVr/2T/78L/8 - 7/4b51xdl8YYYlXVY2OgEonEaxQCui6vfDmUMrgaMyjN4ODacy+s7Hv42QeeOfD4oeX9xYKLth6E - oS24qoXJGIaFSNAgoAzRIShYYQQ2WtuYK0kCgQArEMLI2khsVKyETECKUsCZKX0sitxE1ToULivX - woxu27Pj0r2XXH35nqt2zezu8nweC+MLVJxzx8CRkMjkNu21KgzcNNLWMRGxN5OtVkuSDXWmenLp - 8bvu/+bD+x4YxvVhtTK7c3apXDddV0uExK4HAZHHcuMzAYEZ4qGKTlFI0OGwKjpFUCGnEUqiJhga - mG6Y2bvruhsvvuXmS9/QoxlnCqPWSEZiSC0JI5px3ntRwsurWaNsS6BLi/nSsLe2FPbf9aM7fvLg - vVLEI9WhfJtbKdeLwkjpCsdKw9EILjuzbYitCSGGACJYi3biVJQIRMQwTS3HGGOUGJHnpKqsaF18 - BOZpYS2rqqC9xyVFblkhKpiMC5o/BAAGM5ovmsyxhtD+agAiMNr7OBVSaqKVWyGsEFiFp1TZk5HH - xoyftp5B6Njx3DpR0ai7BWhkAw4wQlxlPMo6uuXinXtff8Obf+nSN84M5wrpQdl7H0J0Lnfgqh7l - zgFovN1BpA5CcNYxxbqJxG4mb5uQ+cZE3rYeENQ2vRFIGBEYz5afawiJcgRAYliZxgZoAfsQZxfm - 10drIZbdopAg8KQcfCeuYrlPR7909+e++/g/5Nulr8uepFGzsza2c1ZwbCyxAoYAIowN2/rmyXlS - gNBMmzedCUfLyka5+YCSeNQmM2CEEGOEITAbQBgaFCJghrXMzCGE4JvsBMwwBgZKRIYUTWUTkSWr - ZBA1KBARVUlImQypEjEgJKyIiJQZmXjkm54SEVCRYByzgRfvowqBGZbg4FTIS4wa1YAtAIhg4xSZ - zH6DAZA1iALVHvXqI3L51ms++KsfvnThqtm4lWqOXsiAnB2VtevM1GXVNUKqAClBplzgrNw+B2mC - 7FrN87FK71caZraqkSmwCWJDScPVuLqCo1+/76v37/vBUnieu5EsjUaSsWW2HkFOnO9iIxCvQWnc - Nk4dQlQ4B8tuecnPzXaap2N5YST4nPN62cxh15uvfd+t1755R3erLa0ZFgV61tqmy3uF1d3tkSop - AcxjO6+QlH5gOzZyqFByV8XE5w489+hz933roa8Mu6vrnbW+DGLEQifT9UiiZEQJsalMZSEICwAS - SwhNpo5AgMIobOQsKDPXjOBkrVarOC/bVh6tF9zMju6ua6645fV7b9vZu6heg/OdbZ2tYeSNglQm - nbOChZhPU9V8jmNJnFIQUyrVAJNaI8Rqg5DLijJWxnBZrRXW5mT6uux3jb731N1fuPM/LvlDmK0G - Osh6NCzVEkgsqyNV5SAUmhPRRig4GgEBgXLp5OXs773lv3jree/vlgsKnoymjDRPD0+v/JuTi9ue - c+Pct5kRDV6CIIpRJVHVmvtH66eePvrIT576yRMHHhvwWjZnPcf1wXpU9OaKqi6JwAQL1AP0up0y - Vic5f08AKxvKQoyefNbNBqM6Bpy/detwcWC8c2q72cz52y+84sJr9p5/ze6Zi2exJQ7YITfErNxc - DwyYiE42yjz3J4gtmt4cYGGQCKmSRKqRi6dRhZI7WmP09P6nH9z3428/9nWaq/vlsim0RMw7pi5j - RsRBFagsB0YkGJUiwCjC6UjPlRCaViowAiMZqVFAOIqtQVBABSSZqhqKQhIU1lqJsTA5e45l7PK8 - i8XVl9x0+e6r9l5w7fb8vKzq2NI6yS2ZSNy4onGM6ZlVNMBQ1BDIu9ytr68/cPhHn/zRx/udI+Jr - sKiVKsS8Z0MIzeh00r5l/PT/dNXyv1AwYBVQVGwjWVAwGqyAlcGZZ19pdMCMzy/Jr/+T9/2b2XJX - Js4IlINQbAYbFLlZVSC00TuRom1oaX7vHGN8W3McqspsJ2Ohe++974Mf+gOcfpc7/cw0LXJIJBKJ - RCKRSCQSv5go4BEcmKoAZ9dROy6cwABgDxVoDgAcFEogTE34nyLNTTvVAAMxwipQi1FCBhhSxnjl - eiQAgZHy8SYSrz721d6BRCKRSCQSiUQikUgkEolEIpFIJBKJRCKROFuYDg+eehUERVX/yxtv3L6y - WmRqGBwQq1ZdfAzjTI4gHBuL+HPLS0hTvzSrP3qw6zIs2fU72ZKljz72MJCj01UDiLAxQAQQVZjt - 9F4LJus9jiuZxAk4+WobPfanNqtpWDEs0clARMpNhD2sRyf+r4898KeXX3mBmS8Hax3EJuSiDXhu - K4lPGFzHiknSzDNEs7Bokgg2MEwTua0IEYYBCduy7h9dc+O/f+wRFM64dn1Ck5aUAYK0wT+pVSUS - iUQikUgkEolEIpFIJBKJRCKRSCQSiUQikUgkEomfO2MxA9CYPwAADIg2L4plEyU6QgjeAjfdcKNK - wNgU0kDtFnh6m9NLBxttcxUCMxvjjDExalX5Isu89xqiGm4sBCKiIsYaJTJsyZrztu/Y8Wtvfdc7 - 3sHWPvbkUw8+8vD37v7O9374gyeffHJUVQhixt8ip5ym92RL3KgxxAAMFVFHdOWVV1577bW3vuGX - b7rppquvuqrT6ahqo3gh0TzL67JyztV1TUR5nsfaG2uqqmJma62IiEjjXFE9q7TOLSdMMt84dVR1 - ZmZm2B9YawWRFKH21lq2LCLiw8Lc/Hve9e73vve9AILIkSNHHnns0aeeeubgwRdfePHgwYMHn3vh - +ZW11dXllaCKEKaXX+q42Uz/hOhkRaolmpub27Zt2/z8/EWv27Nz587zd+8+77zzLrnkkisuu3x+ - fl5DZIOJ51tCNCAlAsBsCCQaCDDMqspEzExMRCQhDqvaWmuMqWMIIVi7kXroZVRSq8w5y7zdiUQi - kUgkEonEOU0zUG/EKv1+P8/z07XWSYzErNByNALATDGq90rA3/zN35x//vn/+Pc/VGSFF4+gxGk4 - n0icw0xFGrYy3U0iZBIhFQ6RY+QaFAfBo0A/9p87+tzjDz7+7NGnDq49t1wflaz0NMp38gjDUa1Z - BjKt6xdRiZUIRUE1aVXCddqowrG+dyO8Udv9EQWmNZOOoYrMcQw1CFToclnObzNVufJM/6F9P37s - jh/ZHs3vmt994c7Lds7t3rPz4tl8odeZtZRBCEpEZECqRMpZcCyW1QCNMHWqBEjQBDBuisE8Wxjv - VSvoihyjqaKphURNKxUlqEgQCYL4yP5nlgdHnj3y+FOHHzlcvVjaoeYhZL6YMX2/5lzWH9ZZ7iwr - sVAb0nySuaef+ScrYo3MQRRlWUJoZqY3GAxcJ6t9FKMe6lzsbjWhWn1i6b79h57++rdvP3/bnssu - vPzC8y/avu28XmfWIZMAqx1SBtiINTEnJVJWkmh85KgUQGFcdcdqBZsWLqxGKC/ModVDjxx49P7n - f/TEoftGWDE9M6j72Ra7uLo+t+BEICGIsVGRdyHhDJYPCN5HMsgKAqBRQ4iNjVu1MW4LABUi4txa - cupr3/i23diGqaKKyZkTqZmyNsQwgIi0tczc+uwJpKpgEpGm6RNUxiels0CEShsY3piOg6gxRMrc - nD7KSmAlQgRqTE2Z6obheDyjvOEC5Fa7Pp4cJ4VVNgKj7MTFAebstuuueP0tV7/lol17ncyatawY - zeUoSq5gTNHNYoxVXWVZJjEaYySEIELGOJeLkkicTH0TRME8PsExjlAGWKlR8DIUoEm487nNxNsN - ZRCyTvfo0mLRzYqsM1jvF67o2k5ZVzw08/mOjis++LZ/evGFl37jni/VZXBF7TFq1ewMqEweXiiL - 6MYk9cZppZu/u3mtaZMKIkAZagAoRAhkTB2iEiwjcyRRNURiRAYbMEMVIUjTlKwlIqNCoioISgC8 - qhKiycffpYABAUSG2TZtOYzdtwBUY6uHJ5CAFETMIFYmZWtNjDFKhEFmKTZT5oRQe8N5ZmwERQ2N - QLuRFR4z9iUAyjriwna0jOyLt1//9nfe9Ju7zaVu2NHgSCkryCMMqtLmuYjmziF6jB2ZfAYuOtSc - YtBggjeVcJje60n1KWFDnEoRWnkZLK0dffqFJ+7fd//Ti0+M8rXSrWMuDCMoaNEBahOCwMoJPZHN - d9NYUaIb1/rm+06tPwRyR3WtIfit87mvoxefd7PhqDIZ6lhls6jj8p0PfOG79915ya6L9+655saL - b5nNFvI8ByAiLNQwvg/i8XkvAFisi85GS+o2+iUSBdqrCcdo6sh+w7hMbWU11Q1ASZSEHCryVRwu - DhefefipR5555MCh51fDYlUcDWa0VtfIYQ2Gw7pLlqi9zo6PcqMAafK7tkVFCkDAxsdIzohot4BE - 9MNqPpetlYeqevXw/S/+8KG7L9519Q2X3XLRrssjr+XdjIWn7s9YMH2A3MrtFUasEWPETZmhRek1 - I21lUgYCKEItlAAWgIhijABijJ28yxI1asFF7IcbLnz90g3Ld/74q2vDg1nH1oPgNrLAaFsy1BRg - e9Zoc6Xb6BaYFaw8eewFbBrlnvYhYKOxReODqQJXYkSMRvXrg5UXDx3ct/+5A4vP7F+9f2RXhlRL - HnxWrQdVRdZFRlm/XxYdECF4QLAw11lbG3F+OuMTiI915gqN6kufWTK5Oby41MmJsjgKYSSrK0eP - PH7gwTukty3bva3YddGOK7bP7dy9a9fWhW2ZK0iZBSxM5JoxG4u1oUNqzraR9ssgsgQziNxUtIJE - SYSCcoSR5f7hw8sHDy4f2H/omf2Hnl0droZs6HuDCuvoyEjgcgwGcSY3YRSZN27EJlmG2rP5NOoL - G0P6dmvNOE4QoQYgEENVoIpmwCDKbCXG/qjsFQZdWhkcni3mfvjUnQ8+ec+827p7Zs8Vu6+84oKr - z1vYnbtOJAaYxZCyUSG1NjjW5mGxslIg0bxe49UfP3fvZ+/+f9a2Hy5NPxdjiYPEokAIIQRY3uj7 - WNv1FS1ncrx9bv8E0F5hpUnLQ2Kb8zRqiDHaDBmMrJrLL702l06mGasqQVUVRAQiYmaAVZW1MaYf - m9IqkUgkEolEIpFIJBKJxHFwBKwzqshNoZjcrVP7uImANgu1ATY/Nzm1rVOzpQBYg1ghM3yiwA4G - JPmCE4mzAzrdpXiJRCKRSCQSiUQikUgkEolEIpFIJBKJRCLxmkQBj2DA6r21OYBICAE5KXyJQf9/ - ufzK3tqKs+gwadkGoAi16ytI25i/JoTmuDDLNpz+zO0/aRsOqQQYVBHOATVyRlCIAtYuOvPMTOej - TzwFNwu2yMbZRjft2DiF6Pjf4zDmSdRt4mRMivGnCbybX6lZqyOAtJGsTZQ4AIaPpYNiOPyTS664 - dLXeLiFIlWW0VutcrygHZQQydkoSKAIw2m6eFFaayHk5c+lzaBzOGwmR2kNuAqzIoqqx4MyAu/vI - /utnnsSO3sjYAoYUgRABAzEQkmbFEmPqPML4JErtLZFIJBKJs5xJmok2ywNNVgxvpP2icoAjy3fc - dusNyys7hoMzv1O8eUgmGA8tDve6D89tefv3voPtO2KnY066hUQikUgkEq8wqmqMeXlhCwb4rfe8 - +5Of+ARCJCKeZLU6Ueq05t2USziRSCQSiUQikUgkEolEIpFInIzjFC/tLyJinAsh3PGNr//TD3/Y - KxTtfxaQ8UKmyy+66O5vfzt3TmMkPekGTwE5Rjlzsr899nWloGKJyZqqql48eODxxx9//vnnH3rk - kcXFxX37n3vxxReXV1d8kGmVATYvXuTxEdH4FQLmZmYX5mcvvvCiPRdccNFFF+3evfvySy+78sor - t23b1kisp/disiqSjstN1SyCO9lRvMbSRh9/pI37Y5zQC0SkBAlxWI76a+vrg35dVkHi2sqqQNuy - ElVC7rKsyI0xRVHMzs7Ozc11i05j1D5+dn1KGLDprVexeE9Y7686RiAEIQqkX/raHf/kDz9MoAg9 - xjNy3D9OzHRFqGrjRkq8hklVfE7TSH1SUrVXnBijMcZ775wDQETGmEaWkziHmFivnHPD4bAZbyQS - iXOCxs0jIpOfABqv4Uk4c4vNggAAIABJREFUJqxp0yeNxcc+9pG3/tpb2FKR5Wtra50sBwASVWXm - 5ltijM0XtbQSuDMeH5dIJE4dITROSiOWlJtJAyEAQoZFghqJti7d2mJ96NnlJw+s7Xv6+UdX+kdX - 1ldr9WQgRoQmsmRpPKmbbvO1VXg2r8vUyj1SsB47wdX8M3I7P3LMB443m5JYVktKUDbCpMxqSDl6 - zTgrst5MZ2a2Nz8/O9frzXZcd252e+F712y9bofdyd4RXA11zqknI2wFgAiHyAjUKr3PHqegEERJ - RAqbQ7SSWoryaHz2qaMPLcel9TgcDKthVfqqPxyt9QfLw+FarUE4KPnIIZqoFJRkuhaa6GYCzKuy - fnI8z9IGWRMAGEGrFI2O4IwYEwlCauxst7d9Yctcb+t8Z1c3n9s6u40Hbu/2X9rV3VOteztrl/Xw - /c/8qLeV16tlMVHBEOvYkQKiGn0VfBXqoR+sjlb6w5VDh/ZXMhxJWVMF62GiqIoGNQAgDGijQJaf - T6iqnPxbfpZ22DhE5URTWEonCGRuZyendmdjRnfy55su62injVkBiVAAqq0IsNGaGmMARN8OFQxM - IF8JjIMDIZANxknmQu7qbCHbdvPeN9581W275y7mqku+w1pkYk2MRjWyTJTM7Y5RE5eEiXRWiLkx - mJOcQEM7+TzG4dgb45NzeIjSFEsj7W7rTnkqX0HzLgA05nUDK1CP4F3t8+r5/tPffugr3374Dp0Z - Vtkg2BAAAIbZwEAVrN5HKCzDElpLvIJoUpJNqDuEoUCkNly9MWS3e0nS6IGnHpHw+PXjngW07W2s - Id/YApoTtPVijJulTD7c/DVNvTwddX5ce+apS1XzIQUYBDIiIUYIw1oQQWQiFwcAZxlAjJpJ3o2z - cYVet+3it//Su26+9LaO30r9LKceqVUSIdGxG74pfyCcpCb5Z2+HBDEkETGyHK4PPbD8Izsn9Who - jBEog4wwK3uJtUQvWqvvV4v94crS8uGVlaVh1Q9aixFxErhsLotNl4jxevuf2itu6nl+Riu5tleK - CawwwiS5iZaUjTiuO3PdLdu2bF1Y2DrTnekVvSLrOJuPE15w2xuQAMh9foHZc83uG8slcihcnoXg - I4ISsRrNaWRXfvTY3TI3pB7WVgfdmV70bX1FDSH6OlRVqIL4o8tH1oYrS2uL6+Va1FqtgilSLTSM - pg6m7QlJ2+tsuztTx3LM2OaYw5weQenUVZIVDENqEYyJzmiRUzejbM95F/Y6M/O9hW7Rs8YYdtZm - mXEcyXuvRR7Ea8R8MVcdrt5w5Zs69WwW8uablWMkCBww7kLPVcY9EgUhhRLr9NVqUuatIBmIESNf - VMNZ/7df/sQ9++7EzHAUV00OryrEAmWyKgYkaiX64GCVJRghAgXksVuUs//5r3z47bve3xttVWqq - bOOaoi+RNuREKBOJSh27eS+qrPqVzm597MgDz/WffHH9+ede3H9w6eCwXFUIyCoLeKBcRRYliXzi - 4QRv9Lova7x3otMQ0x2pWFZmcSQW6ljIxGiMyYveTG9uoTtXZL3tczsdFedtfx0POtedd1tPFizI - CIucrD88B6iy4fPx6cdefFBM7A/X1su1YeiPqn6/XF9aWQxah1gLAjiqESUJFCLXOo5rmvQAk5Js - 7oMwdSt0WvV1wj4EU5V+gm556vLXfJ4VAGtgQ9aqJTEIZMlmJs9Mvmv76xZ62+fz7Qu9hbluYUbm - l/a8icvCccZw6jkU1frswc9/7zN3P3BX2RmW2WI0YdIHTkYmZ89N1rlFU5KRIQBLBrBRCIVgAlnU - AZ1QbBld8Ce//T9cbq/v+jmBjtcgTOpgU4+U6uIc56SzrKrKbCfPOu+9974PfugPcNryuE3PTNMi - h0QikUgkEolEIvELy0aGW2rvtE3zanO7rRuPOQC8VA7hn/od7SM6aX9uPMba9MF0b5ZInA2khbOJ - RCKRSCQSiUQikUgkEolEIpFIJBKJRCLRwmAAlgyiiGEFgkjOwGD0L665dld/ZWvXjUZ+UOvWrBAv - QYNOhX1Op546LsLhjAe5KYHJiARVsAAKBFiLMsA6ii5bFDma5x99+BG4AmqmvN044TKRycKO5DU8 - ZU5htc3UehmazgEJwlSM8ciLuEJQ51J/5InH/qeLrrbr/RlDo1pni2xpUM6CZvJCoMPaNzXUhjq/ - cgfz0iiNM7cqUxMnDWl83qpwjHUfmeoFQ//VZVd8bPEZY5hgMHUmNJFZUAa35w5jKl8DwGl1USKR - SCQSiUQikUgkEolEIpFIJBKJRCKRSCQSiUQikThjtInynfPeg+maa675n//iL4pe13sfYsycGwwG - vV4vhGBA27dv7xZFWZaWeUNbMmWJOOXU22PXwE/7/DEyZmeMiRARrWLGfNHu8/fs3i0iaLxq1gDw - 3q/211dWVgaDweLRpbIsq6oKIYQQGumac25+ft451+12e71ep9Pp9Xpzc3OzvV4oy8w6IgohqKox - RkIIdZ1l2WmV50sfxWuG44/LAFPJtxC8N8ZY5qzbmys6qjsAMPO0TbYxoxtjnHN1XTc2vjaBsoiI - QLXx4pyAs6Zgz0JvdyKRSCRecYwxZVkWReG9N8ZMvN0p0f+5RTvGE/HeN97uiQA4kUic5ahqlmXM - HEJovNoAXHMze0q0Z3pztxED/viP/+Rzn/v0NddctbrW37Z922BlzRhjrPXeV1XVdPXW2pe0gycS - ibOC6ekpbEQnMoDRaFTM5EJ+rV7+y0999CheHLplntehrvtcjYEx3DicmrmIqY1OfUEj+ds86Jt8 - 4/FvTf5JJ/vAtJFOAZaoYWJynXzGwFSifTFHKqKSeNEYNURWfb6Nd+k14dbL3jzvtquYGGvx3qEA - qNHUtVs5ofH31YYMSAkCZpO7fA3rDz9739//8DPr+VJpQ4QDYLgG+SijkMG4l7JBt9sEAMRX5Vhp - 868TeTwhclAbBCMGbGRS9apLEQcXYReNkTnU1pLplVvedxN+5aot1rlaRk8efvgL3/nMUA/Xpp/3 - XFCE2jjOEQOrEKAkalicehpVMnIdePEiCoConXkTAU1ZroXkhDv8c+an1uMp/fmm+UDGSaZeCY3m - dkMzcPy3M4496QAEAQjEYCZLBkAzQylRQoxERETGEBGJiEbMd/JRv0Lknpmj0uahe/Xrrr957y2X - nrd3lhcy6dFabmKeccFqYozgGGnDP72BjgOLN0zMJ3YTHvv5jUN4LYxYpty046M7xnE+eZdEAA01 - k2UlJ3nOnUtnr565ee76K2/6/Lc+dbDcV+dDdGRQD0IQIQkBzMgzNoZVQoit7nqqUU21FgUIRsYT - v1P+2na/FABvan5j18T0i434uf0JaX+H8PjDrYty+qKwWdStU9cUOvmzBiHwcTblqlZro7XGWohI - jKoKItQ18hyF4xiEAljZCBWxQ2vZW697+5tueMv5sxdn5awLHWe7LOx1Y86/iao3P0Wd+8q0RlVl - ZyrEZ44888V7/r8VPRBrXxSZIAKw0TbNJUBL0kCBXVDUAgULOkKt1lg27O/aHkZzvTiVPknoxL+f - NicaCYgRmBEcALAgGjugI0fWHNZYI0jA6gzMeHwybpdQAJ2q+4GbPvS6rZfkdivE+BgUSpaIqK5D - DPWL/ee+ePd/XC0OSlFVUQGGaNOJgVUQAQ2oBZFzjloHjSikdbSrisA6AK20u+Glr7MnLJ9jRkE0 - dZUMCqJIFImbl/olZZbt4qEXDFlLDmCN0KhQNiBHXAVvekUkVP2y0O62uH371m1XbblRo6Ozb8Dz - szHuc5RPmK1l+kUFCJRRl3y2emT5d9/1B+Xt6/c/951spqj9iByBRRWCqCQiYiI5YzhCFKRtx8LQ - zR1aK4mfPAs+redxQqh83evMGPV1Xatl2zVPHHjoLz//sUFnqW9XawpCNc8ENVB1qgr1P/WcbNvY - /8/e3cVKll33Yf+vtfY+p+re21/Tw/ngDDkcckQOySFpRRElOpYCOTYSSIoCI06cD9iCHhwggIAY - SBzDAWRDMSQhiWDYT4oeIgfWS6wYEQIEgQHHEeRYsmU5chyZpEhZsi0pEiVyONPTfW/VOWev9c/D - rqq+PZym2OTc6duc9QN5prpu3arzsc8++5y66/y/6j3xfgPy/fOuPX57JmBlYERZWgQcn8cp6h0r - Uautp9t+XK9cj6fqt1794FN/4ETXU5uq1q92th4+1/ln/uH//ku/8febtFm2iy2uc0hzLvWoRgR9 - Qb+WYgAQb3Qwev3Ofp/nH9SX//U3zPDuD10ABEtQ3WWOCA4AoCpF6+984TfGL57otNZFxedrvLn+ - 16+/9Mw3AdpmFqtbf+1//Zmf+kef+3uvrD7HVRANb9QHfo2D27cnJQK7HkaxO2N1QQhCUAQVqL5+ - 52Pvu3nylJ1ZUV3cd0OO+3T1mdudUkoppZRSSin9vuTcf+RwX2XB7g9pdj/+2q6y3nO1Tb/MG+Y1 - lZQuiYzuTimllFJKKaWUUkoppZRSSimlHXoTCLRiXzZ5NChu3YLj5Gy+cXxy9todBa5WuPvExeQS - FbMJ4WyD2iZ8tDqov9bCDFRsF27NPlP0J/7Fr6OuoIpBv8Y/EUlvHgXgCAWk1+8R66JnvT7+5Cru - nP3gr/zyj7z40rhIjbltl5vDWCjztFkA25d4KWCx26qBiIu/Iea+/Lv/QwXod0CYF5ysrG194nJl - uHK9KJYYbItafZ8XXwBQe02k41x0dwDAZdqxUkoppZRSSimllFJKKaWUUkoppZRSSl/PIsLdxzI+ - 88wzf/JP/slxHOd51lqUmKbp5ORkmiZ6jOPYWnuI8znPs6qWUkgGCKCYmlmLIOnuAZrZzes3Hr/x - mIiomrtHxC4KmhQRM+vRbrLX06Pn7TSU4u79Zf15VT06Onq4S/3oKqUc0kzlHAA9baIHdffHEdG3 - bM/s7j81s74hHt5CpJRSSjs9txtArbW15u7DMMzzfE/EY3oU9PFGH4Rk8npKj5CI2Gw2pRQzc/ce - qv1lc7vPn0fo4RkSqiBB4vu+7/t+6qf+p+eff/7zv/fyU4/f/O3f/u0rV66M47qfjLTWekz4hS5X - Sulr1/NT++PY58hRoup4dHQkBi/lyvrklTuv8HosxuVs2wSiKlYo4uEk5T43Cee56N+LmXsA8fqP - 6CGjcCg0hBRQG5egSziUd85ePZtPCbTWwmkqZRg4B6C+G99oXxm8fOndpqqVXGKaZoywNWSQJTYh - M6yJRAicM2SGwRS+j3V7FBEIRQSVJiI6hCIYsYSHbFEsQuE6405d++irjZytrsmit+b4oow+2WZq - zlLCVqXQ6fBwuCuIcFm8wF36JhYRiARBkocM4TfwaF9nM56f/y9ZlvMLLdFf8UYZ2QDQ3mgNiUAV - CrSF09IQqIpS6lCHfp05iAApBKKilFftpj9WefzY+M6XPvLNH/uGjz9x8iymemJXram5gG7qRc7I - BQhixX0gQvoauQRXEJlAurvMsLAn7ZnHrt1837/9gZ/75N/5v/7J3/rcy7917fFrU1lOOa1PhrqI - L/O8bSFAARUisAISwsA+opj7KEmLHvF+KPve2detx5dmdgrvyY8UQAlBKCGA4u6UQMiXhOHK6/95 - /gk7zASxDyrW8/MDIPZJ1RQM69GXNk8wiGqtSpqrSRlcRHoOprnJYms9ujE89V3f8x88f+PFJ648 - JWeFW1VRJZdli6qQgDQIKSG7TN+L7ZkJnSEgvdZJ+Zqf3SnTcIy5Tpu2VUJVLQBEGNyUAveeNCyq - FSARDpJ+2CSv7w0uU0CIa5QjBqbFJzpCoKoKM7H9hQvFrjUGgEZ9efPqrLFalzYjIlBcjBFNTwqG - GI9wK373rLy8ldN6Mi6La+w7HxFIiIASVE7LLAYW9M8RgSlE0BZc5FYOyj6R3hBChhNbD8Ogi88I - gKqmaiZiC+JM5k3botZSSgjbdl61od6wqcwSY3FVav/eUKnnTwDfDghlrae3p2cfe9ft6ZXv+YP/ - /iu3vvib0yfleGnRdk1dQwosgMYiokEIVHYh3cowUhEKAEHdHUAD0AffTyiw1WqWIF2EhGKQaLwz - 3b4tr7X1BhVmDiEIxBwELtN5N4Ht0gxiYlqECpLhXLBALYZ25qHLIHVercW8aR9xXLIh9wOQWF0p - S9ls2waFqO5cqG5VW0xBAqBAFRCcuxB+iZY37jMzBEkRCMT6GDkCjQtGerxWStS6gvvpZpoADEfT - TFgJyFzaL37mH31h/Tvz8cwCi8NhN32tXEI0ev9PAGy7i3USDmhDjWpL/fD7PnxcrwjM3R/2LKeU - UkoppZRSSiml9PUpo7tTSimllFJKKaWUUkoppZRSSgkABKhWQEUjSQxKhiwTzP7zF198aok42yhR - AAu548tYKv3L3OXkIViVetqWVRm2yzwAj6+GV7dzmMbR8efL8BO/8c+wXmMh6rC0szoMgF6q0qC3 - Le6rl61vjpkYpUJUKmLGuEbMn6vCUz49HE3THVmWxjDgpJYWbTlXPmn7asCLzu0+CAnuW5EQChwN - crr1G+uxbeazs9vXb9zEvKAWlEYpAhh2CeUAINpn+PJU+aaUUkoppZRSSimllFJKKaWUUkoppZTe - RiJUVUTgsRrGpTV6jOvqSzs6OvKlFbWA9NyysdaHFVHZI9N6unb/87AIn+cZQE/bVhEQwUAQALn0 - CIdSDAIP9+a+eF+EiFAVFYVqT3CkR0+JPnyQu5tlmMpX6ZCYfgjqPp+UiX2ed39xD1A//7v9Qeah - ppRSuiRWq1UfGERED47tg5CMdH20REQfZvRRX0S4+/kxSUrp0ur9LclaK4CvOlS71rosyzjWaV5u - 3brzvd/7fT/90z/91FNPfO5zv/fss8++/PLLJNfr9Z3T11ar1ZeNBk8pXQrK16cych+DfXZ2Nq6H - 27dvtfVma5v1yXB7dSditqqUAoCCYDgDgH7ZwQD3MasXNRUI0fPDD0mii/eSSxeBiYoaCCrHlXFx - HayuRp0KKSagO2AUUACip8n2DFq9TFdWlJi3m7HWoZQItIiIEKEUCWkhs0sECCz94l/fmBe+/i9s - GoJSSrR+oVLCIUoqBNjOm3E4KmrmgHprsy22YLvZ3mqyHU6K13nL5oY6WvMNGSqUAoL9zXrqcDgh - UAgFIAO825YO7Ypv0MYexSmAEL23SZ/rAN5wJ94Hlr4uwPWQuHxYM7vnZ4iBhlJQTSOoEAWW2YVa - ZTQpuoh7CPUI66fGp1967qMffP83PnH92cGvajuq2yPzMW67SFGjCRlL46TWhjpMGT745qHA4S5R - hKaiUdiokw4c10dP/ZEXv/tjz3/0Fz77d3/mn/6dM758fOPK5s5ZRJSq6/FoYXM0j6Bit00Euq9J - l1BAQSh3LYVyLgdYQval4XquLR30pqbnUsAJoH9jsf+I3RT6+qhXCex67/tEDu/av56b7hPHRQEc - 3lAIMhS7s10BIqJ5+AwxmLBG0aWO26PHj5/6+Ie/5RMf/Y4r8k7drOI1G300FFkkpJVigcXFIQ13 - dxyTCz64hMBsmDA1hkssmDGES7szbeq6NrJ4cYSiUdlUBWZlkGALOmK3rYRQJfx8SnfI3SPjZejZ - DvM2u+82qqEUCCgMp8Nft2YCQMFY1iaDenNSIKEGKhefuEwTTyc9xUg7whwe5SwEhSr7cGuS+6x3 - 6Gq3LpygAwoS/WuxC13ewyMKRACFB0OaiAoIU5GgaoDg4my0pitMsixYxrUONi63t7e3t/0qXSAC - QiF+n4PB17kQnbd+7eSm3zlTyJPDM3/ij37vj/1vP3qn0e1WD213RzGoIhwCSh9Ec9+r0EIAagCU - Pu39mwYCX9WtWOZ5HlRX6zq15dQnqtdVXa/HaVhcw7kwQEIFVXV52Pvgve1TIRJEgEphQETFQhTb - thnWQ6XgjocsS9vIXC1MH+Wvkils3LbYNk6qQovwRnFAo3/b3nfSw+v3K+uhb6lDf3K/W8oQIAhS - RCBA/54aNEOLmHE2FpQhWsRM327ncD1ZH80bdw2vbbw6nHKWgligl2Z5H/Xp3WH53aNABABBAbSh - TONVvfah97wksxjE3aW8HTv2lFJKKaWUUkoppZQuWkZ3p5RSSimllFJKKaWUUkoppZTSTrirKiBS - hUCVAAPTdPXs7CZVxQQ+qDG8KLZtqXqJqvcpmCIK1NtyZCXQbm3nAsRw9Kumf/nTn8RwFaptgBmK - WeNcZPWw5/rtbd94Qvb1Nb3ypgom1BHbabbVGjJj8L/6q5/5wfe/dOv22VDWZFSfTblZmgMjAKAB - vi+a/dKC5wvS57zf1UKoCgiizRwEtzfTSitCt7dv/WcvvP+v/vNfxVAhxXCuUFEAecMq6lBAMlc+ - pZRSSimllFJKKaWUUkoppZRSSiml9Cb50ltX93yFWiraQnchfVkAmMi02RbV5t7muedTuvtYK+Ke - t7nf7bAvgpj2gGdRUZFDcpuIBNjTuPs/i5mqCqU/E+4AQJqqiLCnRJPeWuzfpzOzQ3bjIWr6rVvC - ry+Htaeqh5V8fpUeArz7411+/LmX9c2XkagppZQug3meh2EAsCxLKcV9F53UE6DTo0JE+rYzM9w7 - SkkpXXIR0XfYZVn6iYOZmdlXlq59t6/u7zNNS6loDb/zO5//03/6P/lrf+0nnrz52K1bt82qKrbb - rUrpHf6un2f2FSldXkrF7hpXUHaRloCWUqsNR6tVO5KtvtykvXr6BT+ZIGCQ3EXQqQD3K+/rCAjk - Qqf7a3S9uLI/Xg0GQLxfJBHSQQJ+Z3p19OZYSEaIyiASS5tNBECIoqdXEiqBy3ZZS2I0E/eI2Rhi - uhDL4tM0YQThCIiI7i4XKUUiGiQudv1f2FQFyzQbTFFNxAmPfrkLZSxtWbbNlaOpDjqsZKQ1s0qy - tbawTYAMYMFmnixgiiIQQUAJiEAUIERCevwmsc8lPNeiXjd92Ovka5lSlFCXcznc91ya3rWTe1CF - QM9LP6yBcxGkPfGW2hOZ9aiMy7JM29kVOgQMjYRH0TJiXLUj2dT1fPTklXe+9P6Pvfjch565+Tzm - orAyraVJ24YxVpV1HKI5vYlQtQZBL0vYrsdJbwYhDBINDlM1iJnRBCrmk5/o9VrG7/zYsx/5wCf+ - /qd+9pd+7R8wfBm3UaP5ssxLUV2Pa0ps5gkFAXg/HFBVoAEAfs8A8A1b3f4GC4IA9lHciv277Wc1 - cC77+/BWEuV1ebi6C1O++0rdPw4BoXcL5wVA29/e4W6St7KgX3Qnl7YdRtGq7h4ECkpvgzSZq9+x - x+rT3/KhP/SJD3zbM+vn9NVx8VLtaK2jwYQkWj8ONfGQRgkKpC8gL/zGEkq0ZRL1YSVDDcgEmWzU - qliwANZ3X4Ug2OCgLz4bIKIiFJGQCAKE7Ev5Dyvr/DZ86D3bvn/DIflXIKQgpHmLwFDqufkNEQUg - BhGGT23ZGFcigkYtHKRqsZCpLSFiTjjgDhG0CGGI3LM2EGgBEYhAAVWQYIMvEMMFHn8Bw66Zh0AD - Dqj1eWP/WZCNIEECBALDCgXYzDCE+yTBUgogoIE9YEJit3ihfBtdr1MqXOBqojLFY0fvkCJ/7BP/ - 4d/8+b/e1lPDVgvcwQkrgwnUtEVx0ZCZIiJaMASqi7moCyhw2a1JefC9XQhzN5EKoC3e5vHI1HF2 - drbYPGOBgqomTgVYSQj8Uo33ihUJkeaIIIQg0SCASkjMbUGbRGSwYWVj0Tr3jOtHVUxtA2uqTiEJ - Ew+AHrtDlABQgdApiNj1IZdmewG4z6mcCHbfSPdBssjueB0oRVrowmn2adDjsZbjcbXdzCYwoXsL - +KZtHRik53ZfmuV91KdQsCgBNACuCgISShSRFVZyWr7hufe/68qzdksLdpsspZRSSimllFJKKaX0 - psvo7pRSSimllFJKKaWUUkoppZRS2lE1uIfYrvjw7Aytff83vPDRcfTXPl9RBLqEL0ApYlV8uUTF - DiHwcABrQSnyyoQqwHD0Wy3+8m/+S4xriEJhuyJYivQqj/RQ9ZtcAL4L8g6IgoAHqEMdgoAWHQC1 - v/jJf/pnn3v+Ga0nyq1Pc+Dmib12x/f1bCoEASJ6ZaDwrYiWp+BQOS1QAYqEVptnX2IZ1E7AJyCY - F7RmgyF0d9sLBQS+Xw27utp9qXW2zJRSSimllFJKKaWUUkoppZRSSimllNJbgCSCEJRafGnjOChk - O09Frc3TydFxgAha0YjogdYPZT5ba6paSumhzsuyHOZEVc1MS0EwQHq05iZ2eIFIz/sWVV2WpT/a - RUdD+kK18J7b3bPZzkeDP5Tl/TpwWHU9lajr+ei4N+u0b9Pzv9U31mFzpJRSSg/XMAyttVKKiPTU - 51qru+dx6tGyG/6JuHufmtkhDzildJnp4QxOhOTR0dFms/kqTtb6jm8mbWH/7f/3n3zqz/7Z//LH - f+zH2jyv12uSAFerYZ7n7ORTeiQcOgJKhIC77FWQ3G6nMgxn820dldJYmtQewLdLqez9QE+F/DI9 - yi5R+yKm7HOr96TfAQDm2QH03Endpc1RRIajOjQTQwv3xgoojGokQkKh2Oe+GgFcrvBuIYaivjRE - I4QkVMpQV0frxe6IIOAACDBA0ltYucj1f9FT9ghdNynaA1dVYSECmDQPkI7wiGVpdSYHLTZQQKCM - paI1QcCtopoGIxwAvF9Fk30ALdmrpClQQU8+j+ClWANv8voMQnvVMAWC2C34vh/g+bBkAHeLi+8X - Y69BKBDRM4913kSx4XgYSLbZI1C0DljZYjdW73j+yfd96N0vvf/pDz12/I7aRk5mmyOTcVmWZZpX - tV4/OWbz27dvw0q/qmxqoiZq4R4Rkucdbx6lgkUY/euAOWYwqmDUQVli4fF4PHJ696o8+a1P/yvv - /wO/8Cs/949/85dOT2+RsR7WLvP2dOMKG8XBXtfdC9IF0SMkQ+LQqHad8/2PFOz9rXzpJj5kft+T - 271/HMI3bBU9or6jEaqoAAAgAElEQVRniKsiQA3RfQ1+9Pfp4eLSDxUMpUKaROm/XweQbM0DEIE4 - YlEuOsTxVbv54ns++q99+Ns/+OTH6uZIT+vJeA1F53lpGye9qBXViGVpM4bDzCtYQNnfzD/ul5b6 - plgVm6UtnBgTfBttboIW4AABBGoQBUkUDZKqIiLKfiAJntsasp8Sd4+zsv/PpejfAG+7WeyHewEp - JoaF9zYhEkBjRDjJIlKLhsNbKFBKdY8yVKWS0hYMxyUsmocY5BBeu1sPQt6NYTeY6O6oEhHRRxMX - s7zA3bbTZ6CPygg0352m7UZ0PWpagAXSYIaTigKUJgYZyyhUoAAGIkQvulleWoNWLL7EdHJ0vDmd - T1YnH3/vt33+1uf/9qf/5u1lO1xBqcAEISL62gIlXCECyG7oSNn9LwSQ2B9eH/jIpYSKglR3d49o - gjYMZXU8cLBWSghElUIiWkR4WLlE4xYgIpoSJiIK0UIJQCGcg5Cl2gBli/AmPlNIlEf7+G6D2iBK - afQWzQUCMCAKgSgVAIMUACrcdQ4PfUvd7U/uQ1VI9nM9CHYDc2CeMI5SSgmJUFg04eLTZjWM0+aO - YjCTxsXdxxXaBOOlWNKvjykYygJAoNyNLkBBEFwwxKr48cfe+022HYYY2VhM3o59ekoppZRSSiml - lFJKFy+ju1NKKaWUUkoppZRSSimllFJKaS+wOMsIAm17ZqrYTu+EbF/7/NP1eFm2CgsU0dgszex8 - LfMlQC2rcVmmBXF7Wh6reA3yyjj+4Gc+g2H0cTQFGkRgRldzxKNdCPV1QO5OBUCvlhfAFIMCUMVM - qJZQLQJcw3/3W//8h5/5huPtFCrHK7x8x68dy9lECQzRK9fDgamAgrFd7O0l5N7HhwrVhYjZB0M4 - GLMGjjf+X7z44o/+5m+KsZdMQwBBAwKwnuJ9yPM+yGz5lFJKKaWUUkoppZRSSimllFJKKaWU0gXr - GdhmhqAQ83YaSqXH0mZTpQdBX5qYuvswDA8rurvHZLq7u5NU1VqrmfXUTHdnoxDQfi9xAVBEe64b - SUZ4xBJRSlERgfQ0aRH0PKBaK3fPCM4lOz6Uhf060IPeDznch8f9eeyz9w5ru9baH3SHxw9zGVJK - KaW9iCilzPN8OGBtNpv1ev2w5ys9sENQ9zRN4zgCyNzulB4Jh3MHAO5+69atGzdunJ6ePuj7qKq7 - j+PoPpFQAYG/+7N/7wd+4Ad++Id/mCKnm9vXrl27ffvW9StX75MOnrFBKV0i0tNbgZAAwB6zSgVQ - bSDcfXFfIgKmw2o849JDK89f/Olpbvf9iLfiysQ+tGz3kQBgFdglWEMAEAQhmOap+ACglDIMgyyl - +eykKvchr7uSxF3Eq1yu9O5pWoqajZXBpjFF20zTZtouw+K7+d5FuwlVqcH5ci3AAxHUERqgT80x - O0IhCircFyjMBm2VVggVraY8W6aZDoGKULAsWNBE0SQ8YAIR9LxLKEw0PLDPnt9FKPYG/eiutC9L - 0HAoSj6k3e+n5x1Cuw9Pn18l+2djlxTYa5ujOChhg4/WynVeeeL46fc8/t6nbjzz/mdfvLq+cXV9 - VaIs29ZejZAoUjGHKkYbVuvSWrv96itqMoxmphQhMbfwxaHFbLDBos05kHizCItuBwNYF7dJNIgW - 0Flinpb1eBKBdharemWF9YsnJ+/9+Af/yId/5xc++XP/92f/watnv2fXAkc2iXthNICwKEIIQ3s2 - 9iGUdPd5wLlWdDjWdD0wWAnI/AZHjbsV9HdRQGmQe45AsVu0/q+77y99p6YJleJAo0YIIOhh9op+ - MAthKJqwBAKKhRBFMYgrpnLcrl7B49/0/Ce++X2feOGJD+s0+Bel2oiid7ano5VYwohqtai4t5Ao - tS5YwAKBhAoNLGAVBvUCG7MgEAjfNmzQ5nEQX0EVILYE6MGGUNCBkCAVQVIoRAAIiEFVzGxZ2ht/ - xqXqJwWlCPftgdEPiNK/yNq/qDeJACAmBi2ipqoBhQhEQ9koDKuoNkqYcoBPINuCUvddX//mK9DH - QdVKz+p2D+AwRlIgLnQVxfldojdmgESpuwexm1MEUYiB1uYQYylaRdUVC5btrFaUSmgfCkKo3CfN - v20Iw+CAuy2LuKAVrk6mG3/0w9/9xdPP/8Pf+pnT6Qu6hpqIDIFpiYDOu3YjELjKBBkgC2QJaRRw - twIVUCUe6OAlAOYQRjGpw7i0djptbs+3F58d7tJmBNlEQAXVAWi8NUPur4yAGiB6U3LSySApaIAK - tcBWg5QaELHBODgbHtl84RDMvmx9bpxdggUiUIUIvPUTkODuhAQAoP2Q9DDn+XXud8Oj6FHd/bQP - +wEzsTKIy+QT1L2AmBCT+KxW6BNUi8ZQdChGFZ8X2OVa3kfebmcJ9L8aUIT0O+1o2/JdN979wtMv - clMKVy0aCu+7gVNKKaWUUkoppZRSSl+DjO5OKaWUUkoppZRSSimllFJKKaU91Trq1rEyjMVw+/TP - f/BDT945PTHzmAAGYgGKDRLN5JKVCUucbTcnx+PmdDoesXG8TJQPvA+rgqNjGmKB9toYIUgTe9hz - nHYEoXcbUwCCsiuEMsUCCHQpNoC2Hr+4HlbiWFihRXl2Rtjh10Coa7xlNTjKuwWiAgFIKBClmtMd - WKto+DXBa/OCaUExWIH1X8WhAHRXOy1wAIAhi7hSSimllFJKKaWUUkoppZRSSimllFJKb4WeoKyQ - trRxHLfbLQAThWB1fHzri188OjqyYehp2REP7a8GD0HOpRQzI+nu8zyXUgAIoaKicv6VDdRACJSg - StHioBBUQdBBBahikGD0/G8RUVUR6Uvao8Ef1iI/0vpqBNCDH3rSXl+xZva6ADwRaa2df/IQ2p3p - 6SmllC4DVV2WZRgGAK21Ukrmdj+i+tAXQM/tTik9KvqpRO+Na61mdnp6Wkpp7T5RfPcREeM4TtOk - qma2LEt/k7/xN/6XF1544U/9qT915eTa2em21vHsbFuKPcRT4JTSV66nlvoht1oCVHcXZVv8+PqV - LV9tU/MSaoiASM/BZo/GVBHo7vEu9fowvRsAfHG0X7mKHj/ckzIFPHRvAvTAshASo5lJCYc3OkTc - yRhqaWwAuMutDDB2CYiX6bIKoSglYDO59YWl6FDLeq1lEJjIorqr/XUC0fploYvfBBclBO5goAKq - Oqi4BsFQQIRhAZl7+Ha1cJliHoZhHMctX5umBRWlAFoWb7LPMS0CgZASLSihPT9xF90tABlgDyx9 - o/b8SIueIiq7/D4edg3sMl97yP0Ozz1PYB8GL1RAhaJU7P4pSgAqLE9cf+rGyc1nHn/Xe5544dnr - 73qsPr6K4zKN4sWWyi2cUW29qhrwtkQphb60hapQFR2Hng25nWctJYBQES1iJch5marKo9ueLyGV - SgR9AkILoRYuS4vx+GSaWlEdyrq1rbit6sp5fGRX3/uHPvBvfNO/+Q8+87O/+Gs/99u3f6OVLW0R - U+564H2t9z4H9Es21y4MWHg+ulv3bbOB9+10X7fpA7v2ec8vnPvoXfU5dfeMQIKAQwISso/VPTT1 - XSizwA39EFJMbQ5FqctKN/VmefKbP/CJb3nx255evXtcjuXWqG4rG8MRiHUdvM3rsQqxLIs3lqEC - OrVZi/a3FipYlHYuXPyihsoUBGBmQ6m1FIPGhBBAMVQ4rKAIaBDpkZ89UlehIgqAjEA4w9vu64WH - cHx/AAH4wh6ljHtT48+dj9ydYzqpxpClNV+k6KBlEOXStqrS2hx0CVatp0vYqqyGIAlCuIt7l/0n - +dJExKTf9+Dul2sXfQOOkHPh9CCjt374filVoYIgQIQDKNU0arQ2+9KOY1VrLTb00OfD3CohPHT8 - bxsSIbOZKJXkMNRpM9V6cqW+47s+/u/dOn3lUy///MyzULAIVIlQwojeZWlAA8pQBhAKOvpgUgHo - 3b7uAfb3UgqCs2+xLDCpq1qkaFGns3/xqiS87+nd5dklXfp9aHraMwQQlWIMQa06bWNq8+CtMWgS - Lu6XZta/WqUOYsamZhbii0c4AKhCCKEIhGQIRERB4SVa5N051JeOewV0ikIgIrsgdiGUEIcqByks - AmkRUCnjuN7Oy7hauWNpc+9qEDiyh/mnEV+n4u6uf7hLD3XQdUz27udeuHH8pN1eBwcr6hH5ZwIp - pZRSSimllFJKKV2EjO5OKaWUUkoppZRSSimllFJKKaW7mhMmiAXz8pf+re8evnjrsfXYpmUiCkov - gJjaYooI6O/7dm+tAfBpGhRbx0bLrZOjH/k//xbWKwhK7CsFNSCw3ZfFl20J3l54rn70UMhMCNQB - FagAClTAAUNFMWD50X/xqf/0ufe8UNdc5toLKRsCSmBSNAWAwXuh4AXOfK9t7rnd+3rs3f8p2twh - gGEOLwJM05Vx/DMffOmvfPpTuIq5zbUeB1QAOeR29xt8WHhvqllHlFJKKaWUUkoppZRSSimllFJK - KaWUUrpIh7vXC+6moPWw6n7j/unsbLVanb8n9eHPmvgw/sDpEAXd49mEqFb26RS7H93zSgH77bkJ - Cp3sN4InXQAoSBBB7rJeVHusAw/vk7ndX4vzm+OQwN3zMg8/ul9EdyZ2p5TSV0FVRSQPXhek1tof - lJK3rXu05TAjpUcRyX4qUWuNiIggedid1+v1ZrMBMAzDPM9fPtJ7miYA/U0AtLY74f2hH/pvH3/8 - Hd/1Xd9Za1VDa20JFpHNZjOO4zAM7s697ElSuoSEd4NLIRHwwSpQzjandRxk1tXREaMF57vRqLtf - 4C5stV+iOD+96Ao/KlCUKoTKuUy4fVwigEOwtxIC2GyYrKCKWGttkMHMACo19vPK/WwLL1fxbAiI - AqsAnLHQ52Dz8JCeBSsOKgQohAsAscsUDfiglLCAEBpFoxAQNJHGQFDqMPi2AXBFFEzYciCXtj07 - Ha9U5waBcSibjdeiYFjfqAEJGNSg4C73TmRf2NoDJvvHv1F7fqQp0eSeRdklzwrCD2G0KjAAQYb4 - LnISSld1VR9GrCrH2OC4nFxbXX/syjsev/b404+/88l3PHn95PHj8aqyWIhEMTdpxbxaFMBAFUGB - koglgFLQiBkllEqALICg74lltTstFxBwzgDMeq53enNQYrG59ysKgRs8BEXEfAkxDSLQrMdqzzAM - K63+6vKEPffdH/6Pvv2D3/nPfvdXfvGf/f1P//Yv37ZXlrJZZNPIEAxWCscl3BEsMIiIkEQQgELI - Xd+6C/mmSzAk9N6sYxL3DBj3HXIfRhpk8aaye03ILiZWBCoSh6vmdzv21qRZQRFFUBpAFBFVcQ8q - Alh64qwhDHAIh9LWx/O195y895s/+ge/6b3fdHP9ZEwiWwXAsoR5Y1hYjQKHGBduQVWTKLqgEapW - ox9WiB5SvovblLi43O6+uppEo1EGd1u2KFfMhFMLVxWIBIxQAkEVUGA95jlIgfJc8jTvffDWHN8f - kBEmQOi937sFuO/p7k05FTFGKasTcPCGUmoA87yxQRwzrRUJNYpytDLPG6vaM7GFSgnh3Q/S/v70 - u28vh8+5QEoFz6d37x22V6DfYqL/yClzm8fVqu9LpsPm9hxgSOxv0BCKkH0itevXx6HvK0IJmgcg - GMTpMmlVwqvXp8vzf/xbvvd//Nsv/8vNp9uVhaWBolHNnRFBjKP5Eha2lrV5Mar0vosEQqhyd9z8 - Fc8PdBEJhpTSpLnJZpnDxPthmooIBSFw2d2gw3iJdknjvvmxj6UHBsAGjbalahl09FOGcTGfsBWt - uGRD7gekwhKLCatpmbenZaj9oofErt8AIAglQFBCLtPuZb2J9n+8bvR77wj58HoV0GmK5jIO4hOs - rGeHlGHb6N5kpao6Wgm4tzBBXJ4G+vUglLvxRL9dDwjzQX2sPP7Ii//q7dfmx8saLGfLXKsoL/aW - QemSy2uwKaWUUkoppZRSShck/wY6pZRSSimllFJKKaWUUkoppZTuKiZAgIFXb33xU7/y0WvX261X - oHABGL2ARREXWVL5VVJiqHq2xDAOW7UvjOOP/MqnMY5h1muBIL0ctJeJKnDJaivfvmJfQdr/SYcA - YYBAhbscawKEhkBPyo/9xmf+wjMv2OSP18Jovfay9fJKCSWq7+5J8RZU4ggBhALa63z7/V5Feyls - 7OsGV82vnW7RAm2pq3HhYjJqQM+X4xHaw7+zbaaUUkoppZRSSimllFJKKaWUUkoppZTS16DfSv4r - n6aUUuqYUVKPlPN3rp+maRzH16WZppRSSl8HDse1iFBVVQVA0sxIbjYbM4uIeZ7N7Mvkdt/HrgLo - z/25P79er7/jO75jtKG1bSmlFLt27dp2uz07O7O9B3//lNLF2l/Y2e3LPWJQFMsyq2JVR/fhSK+d - np3KMlcdIQ9QGqsXGsVHCZTDnAP70O5zU0rsX6BCVMpRXBtioMPMRGVxp7uZ7aopJSCBnjB76Whr - 4b6Y6VjqMBRoG2J9hOt3ps3YFGiQABxQxwpUQTy64ZsBuMJCBi+gqdK1Ae4aVQdZxJe24knxIh7F - BJAh1lf1Md+8tpKAS5tkXKKMJbj0dquhQts3y4DwAdNzL2Gr+EoFsCoSGiT3GZmqFFBVVcRIQTBi - 91MTiWirYTxeX71+9caNkxs3jm9eXz92Uq+864l3r3G01qNBVhrFwjSKxaB3VGJ3l/J9x9IvH2sP - FoQodomzAgHgIRG7HfZQFay7fwLCoIQSIQHBIx7tebmEBGVCzzymSRgQFEDgEpRdmruL2m4XUYMy - FK2i8Vo5funxG88/8aE79ur/82u/8Mnf+Me//ru/2mwqQ9lsNrPTxnEY2bi4LwBMVEQQ9PBquyTv - IAGYwGpR1SVaj98+hHCLQEQYQoFAe9fM3kQlihok+ii3x6L2aMppoQig/ddJQQRJHK1sXnyaQoGx - oELpXKZQqABFh7EWUOapOaPE6ro89Y3v+/jH3/+J91x738n2WtkO9poCyhKuTmmUAAMQZYT0VRoA - XBREyG4v67Gn2KXYBgAVD+Bio7sB1cFEIsx8PfJaTM1IM92cBUUtijGUC6RRggKD9o1O2d9BouvH - 0y99/8u6P8Y9wwIAvb+Jcz9HndacbHu6HHMlYt5ICaiJUNWq1nbmulh7LexodWQVzeEq574Jo/D8 - J57/LByyVC8OJeRuEuv+3hL72y1QX3dNk0AZBy6nvCNFK+ZGtyvD9XU5PmxH3Q19QmiP6rjhqxVC - So8t7xnS0depRqln9szq+X/32//jn/w//vsvTL/1hde2J1cEFFFTiMLDBVJV6rzE3NruFhn7dz4k - 5saDfZEaU2tmNljx8FKGcTyS21LmWrGWogFQG2QJcYqAWkIvzy7Zx29KqQ6lNuljtEYJFFu8FegR - jm3RCh2KIgQej+4hXsPqfHTkN6blDCFrL1VKa41wod4bmRz9MHDZFjUe7OQOKy2kLCjK5pv5yB/z - 2cILRcZSYsRZvLKKk82d16rq0ThMy3xxM/92RIFQ0CjhgkAhzGKIO/bcO973nidfuO5PysYEGEot - Bb7kJbiUUkoppZRSSimllN58Gd2dUkoppZRSSimllFJKKaWUUko74RSh+1kJAOXdZeCd10qvMhI0 - BUCLXdFdXLLbeQngSwyCVyZ/5eTkL/3qZ7GuUFMIZAEEog44FMDQk8j3JdDpoRDgnqLOXhcLBEgI - AOuv6endgAtcKyVMZRqPTmTVtmdEK7vC5FBicBhR+Lq3vjD7kG1jCOCACyg4FJ6FgkQhhoibjj/z - /g/8lc99BvBdmX6DFFAhvTIfIYABAQWgGeCdUkoppZRSSimllFJKKaWUUkoppZRSujCHu/B/Fb91 - Gfy+8/9As/qg6yGllFK6DNy9lAKA5DiOy7LUWh/2TKWUUkpvPpIRYWbubmbzPKuqu/e8Q5IiQpL8 - yk/t7gZO9d9ZWvuBv/gXfvypH3/ppQ+XUlT1ldduXblyBcAwDKo6TVNP7454KyqWUkq/LwIhobuY - 6lAgKBQCMQzjPM8k2sy5xfueevGG3lhslqYal+YuxBIBYLcI3d2g7j4NuecZ+rI+uXJ1uCkNalBR - CVG1XUypRE9XxD4K9q2psPwKKXFlfTTPs7TmzWNeHPOVdv2Fay9u9enQeV9tSkAca0IFoQ/QsV8u - PUldiMENUJdwW4AG4UIhYMe8Itcel8f0TOBhLOXW+huufCz09iJnWsriAali5pghDkBClOWQSsu3 - Uxq0ACsbhFBVs6qqprVIEdHV6qiUMg7r1bAex9V6vV6vj8e6evzoMaEYpMe5KlWhJkXcTIqxKI0e - EWALhFSMvcb5EGPcwyCpgf3V457bLVQKwaphu/nbp4kDAO4OFO7+1i4DOb1ZgroIFazYpdU6pEEc - uoQoIcKiBBRgAJhAmGmtIiHAinUd1x7XZ9/5/At/+Pnv+fzmd3/1c5/+5X/xS7/+e589wx0tvHXn - C2XkUJTksrQgapXVqp5Nswi0SK//bmBjA+EBEViBqgZJcnaEs1ZQoLuued9OguFEaJD7AO/e+2EY - lWSP6yZJgQoEmF/zUjAOILAE5oiqKCsTr9aKzUe8bTaNTxw9/v7nX/zgsx/5yHPfuI6TiiHOgjMa - A0VEArtmPAAQIgTNWghdFFAICNlF0ffKfR6OUwHx3ZELAOwi+x+NJQgjcAWPv3DzIxt7BUuUUiYV - Qi1UCJUZ4O5Aydqzn+P1sdwCXPp9T0IYPWKcr5tjiXuDcgmgro7ede3dA4ZKM7EgwqFqbA6QJmM7 - +dAzf+AVvLKUbaj7dl7rkVLP3RgksP8GjTgMSPYfS1EOF7h9d73rrint+0aV1z/oP9UQSJXtOHlh - rebzPLR6pd1oL7PeHEv03ScAhuxCqy9qzi8nCkUpqiiggEcQQpoiInSN4xeuf/iPfeJP/M8//z+s - r97Z1HZ72i5qpKOKRAx1CAwRRYcaCtfoq1+pAvRGczjWfYWGQSNcRXxuQqDZuD156alvvB23QkOo - QkBmShAaokq9POOZkN1YoAQUaKIhffcM11iW2Ypcx81rfqVMitZEDvdBeSQVH27KUx+48tG5Ta6E - tDLYPM8Kipjy7t1g+kkWoOB4ebbXA5MQtIhYICGALDevP3HVbigGcfGGBm+0F25+7DafjghCbfUo - L+/lI/2GQjJTPPqog8WirE6GF558cT2vuY12tlmVtZSGOfTt1qWnlFJKKaWUUkoppfSWuDR/NJNS - SimllFJKKaWUUkoppZRSSg+bmsB9NMPZ7f/qQx+8eetVgqtaNq1B4AIlINHrVC8bAg6UK1dvbflf - f+azODrCqgJEBAQQdYT3Jdj9RuZ2P3S7OlJAZRemLiJQwO++QIFdsWk0SNGGYnX8bz71yR/64Efq - ne2zw/E8T70kVREgjDCAgBJ+YZuYguD+3q84X3imfffopaEu0H22d92eXS/HWBYZ6yAyTdNYRjRg - AHuUdwDRM70vfylwSimllFJKKaWUUkoppZRSSimllFJKKV1SlydfPKWUUrpQpZRpmmqtPa+01rrd - bmutZo9wZEhKKaX0OsuyHI5uZrYsyzAM/Uc9yfuQpR0R/Zj4QO/fE8FJefkLr3z/93//T/7kT77w - 3veent2+du2G+0KyZ4SP4xgRh7zwlNJlQCAESig1AN1FinIzbyRYtBrqjfXj/84f/uN+ZZZKW1bm - 9cE+Q9gT/N70aQghrdck3i+R7HwdLzXKaO1OuxI36zzO87ZpQGK1OoqzBQAZcQjVAwhFLwe+NJZp - Fg8TH8xEh+NxvPrBb/3A+16QwSkh7IWYQahjTYGgKfyC1v9FT0MIQCklFEAzd23GJQRqA0nazNO4 - Oj951W54NG/xsee+5bknX6jreWmn0BKQYuulNVoDAhKgfkl09wMeki7BmvnqpgKYU2O37CIGQGGA - MEREhEoKKSRVrEqxO6Vwt7/3sUE/grs7SRd1QLWqqhZVCGcXgJAQUnp4p+73wqDs0mRltyIFKNz9 - VF+3jhWQXoa/2z69kjqjB99Mh6YfAiPYE7l3OcQNKEQDFVBQKZBSmnhz1wihIlScaFbL1aPVjZPx - yafe89y3fOjbbvOVz/7WJ3/513/p//vCr92ZXtuengV8PRQYlmm7vTOtj1cOX2LhLhQeJCKwHpQR - EWgeIhBBKQKVeQ4qwCaCXcMBBDqUiiB6y1SFCBAkvUVftt1lHYICE9EKBDlBBWstwvL/s3dvMZJk - 6X3Y///vnBORWVXdPT0769kd784ul3uxeDFFEzYs0bIBk6D9IkMwTBiwJdjAUiQXBCGRlC1AlCyL - 5lKySYvEwhRgigAXkg1JD37Sgw0JMOEHgbBNSza55K52xMteyL3Ozkx3VWVGxDnf54fIzKrqrpnp - mpnsrun+/5CIzoqKjox7ZFae8/2tJU7FptLH0btvPv+RD3/7v/L+b3vu9vMH6ShPi8VxF4M5Wykl - dylId48am00XBvqc2TxZnDu0t7ePOVhzkz2PzSUIDnhsorL3+ncnJ1PHlNPBH3nftz/9vsNyM2xk - Kd1pawFLc/QvKuixiRjPZ4ndcbYu89zOZsyLHxMe9ZVtN9xFd2+W62yB/b5l9+QLP05HtmSlNRrZ - mADW6pnF7ODdt57//u/90/UQkw0lp+TAZMnNYdsZ+u7uEdtX8d1rhVlkwPa2vs1Zd6u223HbFTzL - 8AZgc8B8a+xsZLXMqGMfhSfpmYP3tFcK3cD5sPSgN5tD5a/Rm5/922xAB0BjZIQTHlb7UoZputG9 - 4zvf+2/+7ns+9Wu/+3+k28hhlm30SMm8tRY+1HWbLBhzTjeIXVCxbYft0le+FB1km9a0g0UqKS17 - 6xfv+Obv/57/NB9YAObFwogpCIe5XaMzEcFtdHfkcAB1cx5U0FPJtQ6BydbdM/78AW+N4zEs/O1c - FSS18u//6//BH5/+betSQ2sx0sJryzlv7hLbdQvG/F4U0e/z+nDF4VUxyOZ0lgUT2njCdXpqfJYD - +tSP7pbLuwyeoowAACAASURBVG88//3f+2fyUWR0rcW21s2jXtPHYwhDZNDBAaybt9GRGdYh5ZYP - cAvMVnI2q61VryxFb6FFRERERERERETecoruFhERERERERERERERERHZCsAdbcLxyc3W/qXloa+O - 11Pd/IpwOt2v1sPqYalmU8qfWw2rd70HJYEpLKM5jXOvoLlnUAZs05NQyd2P1Lw/CAcClgHMxSDg - JO7ts0sAKAnj4F1n6A5weOcnP/sbf+O5D63GBs7VBpxA9k3nm4ewc7cp3W6xebkggm4wBlIY4HOX - 5SDouJm7E3esJpQJKff9AhXhYGwSvpkAd7ipA5GIiIiIiIiIiIiIiIiIiIiIiDwcb6Cu9bXyGsvP - t2+tdBERkQfTWuv7fn5+cnJyeHi4WCwe7SKJiIi85Uop4zh2XVdrzTnnnFer1WKxWK/Xy+UyIub0 - bjMD4O4XEzRfLbbtLGuztbCcvLbW8Id/+JWPfexj//Pf/bvPvuud6/W61nq46KdpGobh4OAAgKK7 - Ra4VpxuA2PTmCwLWnEgZCCOMjfWYTy/eNdTTmFppB8mvmjYaAPc0jDki8b6+kHM+se9iNblZ2el0 - 1YX1OCAz+xQR49hWq1WPwggCFt64CQm+bt1nGUBzCy9Gaz4Ow7Ru1tlT5WkfACC1jkGwBayyB0Cu - wGl/23/PQwBmbnMo6ZSqW0tRLTA1I5H7hhZ5OPQwBFPuj79Wby7e1aWptgFBd8tpuR4G62LOJWUA - Z/G0DrpfOZr9OmyZNzK0iGSGbQg3fNs/GUhzd+R5szi30yS2bEhzKi0xRywHgFQ6AA1t3hwVkzd3 - 95IN29Nt9zdnBrY5smYBxjbGOOCbnut2dgaHATC4z2G0dGwyj+d/XNGDbxULQ8uEzydCSwjAaUEA - RIAcDTBkizKHjE4xVW+GamQicsrMKToDU3NiQIdbZVreKE+/+x3P/bF3/lu1G148+foXvvTFz//B - 737xq59/8fhrp358UMZpNY5ceXLvWurgCZODE1p1NhBIAA1BhId75IT5MHEgYnNkBdoYDm5itBNB - cj6qw2FmxdJ8k2it+RgtImfrUmee4pRcp5v59nNPvf9dt//lb/nAd7zz5rueufmuhR14ZVuDYXBE - ROmYUu+Iad1qrcbcdb27b84SmLO6wQkEk2eGBbe3pDkJnbCYywDMIeKGzXto2/ehbGxomFZT6svt - w3fmyf3YidKVEmBy225JOA1wgzN2Xwi9enT3vQG71+T6hjljfpdmvXsDcGGbBLD92isV4xScGiOc - JJm6zFYKUUdD86fKc+Pgo48pmM1Sy9ttcj7I3F/ltXy7rfa21pfdvOYV3IVG7y6tDMBTavm0riM5 - UPuUU7P69dbxADC31sydHgRp2xoNT0p6twWsZSfCaguklgmzSB4++ooWmMphefr7/53/bBz8//nK - /916VK7NPdO9ovPgVJcpFaOFb7YbEWGYH8BV68pYeDJkgJHqKsY21RJPL56NIcyTtaWFETUYjSno - 4ORsj/xM3L1/C2QGUlQAYwI2OdXejhvgqWuFvZ30tbUgrVy3d9xXkz1NL3e30jOpWYM7WljAPdUS - 7vNHko3d286YryePfE8F3timN04tplYZ3qMc5C5Hx0Q0Tw53tpO4zXfjxBN6d6cFcH2Oz7f5MDL8 - AECkU7ACYFhqmXBGc/dhnLoEIsOnpWWUctpqezufYiIiIiIiIiIiIteTortFRERERERERERERERE - RDaCIAyr8Uf/1T/6rX06fvHO7ZRbaxVkwAjANz0jrp+J6eTGrdOu+7l/9us4WGLRrQNdMp9aKQQi - AQnOMMS5jnvyCAUAC266K6V5TFhKaOdLThAgApgmdMUQAANHB+D6xaN+ObQ8tRyNQAoE4NvOo/vu - Vem4t+rrtoeqMywHAtbCg3AgAet63JUbP/Zt/9rPf/bTOKS3FhWpm3vjbzcCAbjBnpQuoSIiIiIi - IiIiIiIiIiIiIiIiIiIiIvKGzNGhwzD0fX94eOjuc6ap7T1ESURE5KGab3kppePj46Ojo+VyCWC5 - XK7X68ViMcdpu/s8TdsE+1yhaw6Rui5N0xQeL7zwOz/yIz/yy7/8y4dHy1qru5dSImK9XpMspbR2 - tegyEdkTpwfDgwlAzCmezgDoMPMpwmtJPSPF2HzwnDOmlK7Ws9SDYGBPw911al6mbQwwLOBECgPn - rF8HzOldOWAgpta8ojSQli0zYwJAC2+cl9mcsLCrRi3uVRCRGMGKMLrlkiPV8Nx6d6fn5IUBsDlB - FKcbC8j9bf+9DuccVgszp1t4ojGlKMnZYRHhdTolkHxBpsjhwEF301udjoeSirHUIYzdAiViAlrQ - AUOkbZfWANzoV4nL2+/xvO/hVCsJgLNEIw1A1BYRZJBM8/UgIiKSpSAIxBx7HrGJ/Y4WEUGQAYKg - GczMGU7fJqNzF9ptFzrU+2Uxt9spNpGWsX2+PcXnfsjU5/S3EjeRnT5vXZ93VBiBFMHttRRAEE5H - bX1CsmwEmtc2hhOwqSGlUnLfM09j8tOhpFtHB7dX0zrHU888+/7ves8fj9LuDC//4Te++LW7X/7i - 1z73jdOvffWVL33jztenV1ZWWk4g2dkighENdM6R23D3CuP2sPGgzUevA2YZAD0iIhoYczJ2ypEM - mU6GwY1IKSWzbBMO0o1nn37XN33LN3/g3R987tZ7D+1Wnrrp2I+6myUWddVa9T6lnDMSvNbJa6tO - MuecrDjZED6Hz9MBBIyBFEAYwwBykx7tCAfcAJ4FYBsCTgbSvMH3eEDT5zOT1aJZqgt4XUxdQqkT - g2CYBUB3oDEFkWK696Y6n8tnEdEOIBjbqwof+TXt4v0i41z9Djt3neH2ArOL7g5zJK91WubOG4I+ - tYCnyKy1Gkjkuq5m/WE6MI9ofmGOuDDzdN84IMC6uXDuZX1xMVj9LFB8U7Rh91aNPp/UxUtM6MPY - ocWEtWfkkjpv5pwThSOIgAHZn7yrbQowUJOD1S1ZmAMI63JuiHE1JS6OFu/+nu/6U1/5x9/4+vDl - aTgdsU5TQljnXYz5RrlVX2J5ps+tq8nnvRRWmxkuDVp/TeM45mQRRLBY6ftlTcuWpnEczVOuPcPA - FPSgBX0+2x/5mbgZwrCJ7k5B92QB5HCGLZlbG7yNyXp4DxhLcvPANa298yAYtsAiarTaGJFSsUR6 - tOaZeX4rC2yut0bfHQ6Pfk9dcj15fQG4+0HXw1JGpDpiao2sMZYwkGHRWlumhQ9BdB5BTsH0yNf0 - 8RgiOngXhKcJoIUxbP4MGKj9wtqyOWKaWvMI9zY1lPJWH/UiIiIiIiIiIiKi6G4RERERERERERER - ERERkS0SqA0Tn25x8spLtzOmWgHMPe/Mfe65clnX4kdvSPn3avzib/9/OCgomKY1un4AUUoAHZzu - iAAqmBkI7KKh5VExzAHYuy5pc3ctIl3stFsBB1JBnZDznG2dkdLP/v6nfuj9H/wA7fbaEpwBJ5xo - myoVe8y/diLOeoHev2KeYA4wLOY+zESyjDrcPCWGwNKZjQsLBwMEGh3wNPdpBUyHp4iIiIiIiIiI - iIiIiIiIiIiI7F9csaESr1n98Vdb/uu2nCIiIvtgZtM09X0fEdM0dV3Xdd2jXigREZG3WK21lDIM - Q9/3R0dHACKitWZmi8UCwGq1igiSKaXW2rbE6AN3LCJbrc0CiJytNv+n/+8/+8m/8pf/27/xMzdv - 3rz78kvzCw3DsJ2/iFwXsUlsRQ4a4NiEVbs7zLy6M5IXeodIXS4Thqv12SMDILGn4S5cchP1C8w9 - LjeJtLQgiTk00RKSn6KkHKgoNTofvHqLqdYcPcMRIBwMhF/DvomNiJxhaLXSa4KZWTCcLWAJATjg - 4EA6OREWcID72/57HQLBCEYDEQaYg6CTTCk6JE6+DgApN2LMtcEXuW/D4L42I6NZyYgpM1c42MBw - OiLO/9kzrhRtjv0ez3seWrdc+iaVOzzCPQCPiFwKsMkZ9djcpklM7cQRJGlMMJLzWcFAIIDw5gyQ - NMuwmKIZjEGGAWaBTTww5lxYD3qzCHrQGUYvFrY9bue3HAHAA8FtoPQmkXf+3R77Oz9x6PPVvpFB - m4N+CSSHwRhgpCCCaERLAwM3LJmjNa8+1XAYc85MliIipmjTFJFS7rqDaNPJ8ZBLf5h6JjKiDXUR - T92+/Vy8o9oHMcWwqqvVcHx8fOflu9948eWXXlm//MU7Xziud09PT9bjqvnQJSLBDI4WaG2TG+0A - 5oPR60TSkOiEIyEV9l3qrZaS+sNy88bB7ds3n37H7WeefuqdN5Y3n3vHey16CzBIT1ybISVYv+jp - nMbm1QtzYsLoQ53QGRM9GBHmLYwe4WhIc793MJA8pZbNCZibOwPwmC84cAAMA9zCHBY0BwNogCEI - 318XfgfcpkxjQUQiUjiKLXMkxBQA0UgPxnyxdRpBnt34Npfg3XPQtyN3V8VrdD0EAnHhI8a5r72c - 2ERZb9ZnvsIQjYySGqubVXqzweBmzRgl93DP2UoqvhpomKy5+bnbh83Pt8Ozkg4WdEaQwX3df+eo - +M1HNRJzXO5mxefI6bMFDYY5HNUJ2lx+IrWIGpEs3GsQzWrQg0AYIiEMjL2WmLhWCDBqAuBodE8r - B8xzcuOINgxHt47ujifTSfdNz37nn/2TPz5Ox30+jIjKoXozcBxrQvfepz5wcPxU8hIYapqaeQSQ - BwbjKtHIDljXB7maHGFojRG1jOP6tOtz0B3NooFTs1aNAGw+FB71mTgPiUAE6UANbq530ZgjZXZB - eqC1lFKeEN7FFGNp3ds6LX7+KwdBIx3ugWTJGxILcG7v0wk4AqyAP/I9tb1+Xq2hA+nGyNamsdWK - 7BjbFDfMk1W0Fhg5OtqBdSkhvEYA+7wePmlDRAMHsiGtwRaezIt5B5pHW021dTFGYymd5YTkwxhq - 8CEiIiIiIiIiIrIHiu4WEREREREREREREREREUEAhKM2+PTnPvKR5x03+25ajT2tzf3+4Sl87qIY - Dyu9e36Vcz3sNt3/5q53u9DkRmtMJ6X84u+8gIMlCkCUriM4AtuCK4bweT03/+1t3Qvq8cL7nwUu - dpLdyBnNI5kBCaWDtf/x9//Ff/38h2/Ss9cUm/6+jQYgxX7TuwEAm66q5zv9zGPmFzYgwgB3orV6 - 0C3HYfyxD33457/yOdKBBG7+c9qkmGfSASdcTRpERERERERERERERERERERERERERETk1bh7KQUA - uYkhiwh3Tyk96kUTERF5y+ScI6Lrujmfe5qmUso8ch6zXC7nKbe52rs+PVdWq5OYJvzDf/i/fvAD - 3/wDP/ADNw5vjOPo7u6+WCzu3Lkz33xF5FoJhm+C2wjYNA2HBzeaz9G8KTGlyG3daLZJTn0wvufh - Rea80Jf23hy6YLHMYHUHo00tomXLllKEb6c3OuZOjW5+lSS7h2FqNaWck7UwEM5o4XAj4QTpBp8z - kp0TkOdV2vde2NPQgKD7fXcjh9Vp7Ps+WR/R3GLy2uCwOB2OO2PfFbQ21lpyYcPog6Xzu9LPde42 - bHthP9DwUW+TNzmcprbdDk4mmBsyiBaVpCHmmzWAlJIZOQf5ukegEQhYICLgMDMjzRKAiKCHB+bP - 1Lu47rPc7ouCDnicpQTPud2xW9J5IYMGzEGF52Nxn5Qo2YeBvu2ZvbHdcfMGN8ZuZzkDMcEjAsip - ZItmcPdxXJsZSSPMLLzV1hC26A/cETVimiPc+w6l2GHUhimWjJtAFMdTzW8F3oOxDOvFaszr8Nai - TtNwsjo+OTlejavaxha1tdZanbxFtKAxvOtzsZRSylaKlUU5OFjcOCgHN5a3MvqEgmb0hGZmOUdn - p8VQAIAMNOyCwD08nIGSksHQnGFd17UUQURDeIQxJRIIj3OXVZs3l811G7ad+ol5w5717Hee60If - ZsBDOJIjosENE2hEpjMi1tNomYyLr063AOh4vXDPzfXkWl4P55v3pZvVt7+dV9CJIJhKm+rUam21 - dJ0xgt7cuz5P68GiAkhmXsdxHA8OF1PUi4UQfE4xP1/AYQ4Id87XtD2ur537cX5RhO1e9P63LkFU - NjMLchhOc06lFLaYajWWMD+bz1lS+5PIwuZgbGAur2HhXPQH01CNKbmNd+qz5b1IlUOXcxljjFRL - KdFHQ/A0J+8ZxpgY3OwmOmgWjnjwD9cGRGuOFsvF0luqtaaU+rSI8ACCzYGw5vNhTyPJuBZn4vbi - 6PNbuPmcmy+SDgzTCAQtRzAypjqSiLd5rHAAwzSRtJzMbKhDNLeuM9ueinSEzZ8y/NzJdR321NnN - 7Crca0QObyWVxeKwrb2lqHUkErOVLrcW7h7VjclScpxdnzV8s0PCMDkdcMDnNO9gA1C6sp4mmhlT - eIx1MgaucukRERERERERkVez/dsbMH86v9fut4Z7vh54s87/qXb+U/BbOHMReVNU51pERERERERE - REREREREHl8X8oTPRhBwd6NN41j6LoC7p6ubi4x6F+PqGUO+MybEUepWbQyQVi1gAOIhhXYDcMI5 - L+vmpQEzJAA1HMQyYagIYDKuy8GL7ND16ApsXllLQA/EruutnWssQrXceNS4GZZdT0sCaduq5mJu - d9rtRCKlACJgSB1SoQ93Y/FU+JItRx0dBfBcptr22rvSAs5NbjeABuBiaYy6bTDEzcRIKfk43Gx+ - 6oRP8IQgkGEIbgLmRwCwDhNQAVPCvIiIiIiIiIiIiIiIiIiIiIiI7BXf5lXF3+7LLyIi8mbYuRby - uwxv5XaLiMjjZ07TnO2Ss+eR7m5m7j7HeJOcI2xzzrVWACmliJizPO+zHcmzpxEggMAnPvGLN2/e - /s//zJ92R0rWdd16tSrZXrtL2iWpwAobEtkPC4M7YEFvm8RGD5iFd2kxDZPBmCyiTqhIjkvP0Nd9 - iX06K4Ue5386n1u5STqbe1+6hQM0BElPGQkNjOYMEKAFYJFwtqZ77F95VYR3MIwTEAnFAgjY3Ksy - zGITdA2U2CYEPgbXzwB8jm+OTV/UoFtnU6xBJ+kxJYJuESANgZgSkCyxRRCNCYFNrKm92QC7t/n2 - PPtDcCB8d3gbiACDCSlZmn+P5oRxt87b/0oSZnMX5d18531kYY5dH2EPno8y8HkvGBytgIHg2Wl7 - Fjp78cP4Zmftktev0fn4tre9SNgmtnezbYNo89beFFNwAOZmgZpgQZ/f5AWiAbBieTdx+FlMaQsH - bVuIIOYp6ME5EDfmuZghzVP0teTTRbOw8M1siuOpzdwufINBP59SjM1xbfO6IIyr+ZLIbbT2JtiY - YYwGnBV52JZJ4GbSgCNIgB6bFQSBREN4VASRkND8/IHazOdN6Jtbj29Df1PM/39egHlLAs7NBrbY - 4/FsYfAOAM0BtwqAlQ3F2tmms7MTEEDYa31TFIbN1cDPj7luXnuZ4twzr63LJRzJSh2nBATJMPdI - qXNHsuLTCKAsyuSTYX7LdOGldpts8+/F90h7fQv0au/Htmf0js+nAIFwgNGnHuFe3cJgaOHzOUU4 - IwEGTq95KDyGAmi7vw+HzVtw87YqwdGCnmgGIDInAsUSw5lYgBwTACTAmGoaAASdYfMtd3sPvcLB - YAF3GpJlTG0EjBloFeT2qjXfFmmRMJevmW/M18ruLrPZnhZ0ps12IMNjKMl9isJu358X9o0JQLiH - uyckED7VBAAOzve/Nk957j3V23aVw1LqWnNLqcHv1lNk88kzepLw4OgZyQO05LCIuFiiSN4CFubR - IeYrFZs54K2hS9YquvlCQSKaNr2IiIiIiIjImxfwBncE4IaUYIyzb6IJv+Tbq7ArlWm+r9z1XEx4 - nnNsv3ew7Zct56cTkUdG0d0iIiIiIiIiIiIiIiIi8gTh3Ek0Yq5OUrpumMYgbx4ssT7G6cmf+5Zv - febu+vbyCKd3T9q06Bejj2hxPkz54aR3bzpZBbBp02EWc5KxO7DoMAwoCeuGqZQX++5vvvBZdBnp - rAcG7+noTHXOuGa4GaTzYy47us6N2zTxcVggN6BP+O8/88//+gc/dDSN0XCz5zDGUAfuvz3A+X6A - u0ZIZ11V7xvTwt3jmUV/CsedY3Q9EDGtcLAENg2VttP69qGDVkRERERERERERERERERERERERERE - REREROQSZtbaJkpqvV4vFgsAN27cuHv3binF3Xe/fcD57Z615j/11376m55/33d/9x8j0zRN3qab - N4/W6/GtXAEReRN2UXkXMiDjfMiXXzWu+2F6vZxCv2d4fl3OxwSejT8bee3W+lw8+dmS39N5chMT - ed3iG9+ceW0u7q967ve++e3ZWqdzU144sPe1iG8TF6OCX+8IP0vqfX27bX/xlNxFbl+YrQGXHKKv - s3eu3fn4ONhu83supPeHEM8TBLap3pfN5DKvstd2r3vh1VNq5fWW+K3x2jeOVzmYz4+5sMpBv+SN - 8uWbxV/31d9C22vm7kVtjk+/Z6orz/dxuZCe3xHnynFcsna7+8jbJV344jFml/3qXHzwJWv3JF5v - z2+z85viQqWL7cXqwpQXz+hm9Wz6N1Hg4txOPAv+uXeeV7lNPxr3LeHFzxQVl23Dx8tjejbF2TWk - zfv03IfH5OeuMLI35pd/3kmP6UEnIiIiIiIi8qgZ4AYjjAEECICIC7ndtvljWGzL/sa2bvXrDflA - f0tRTV2R60UnpIiIiIiIiIiIiIiIiIg8vi4LQuYcKky2WkHk0oGOsSGIZsvV9MzB4XB6HIgD66u3 - cXLMgd/bBwCLvXewTIEjx4EjiMkQNIAOd8SiS3cGtIwV0ZBPU//fvPCbOATyQwkVl0cl5t5Qm65u - Cd7N45O/eJBXTADuDDESI3B4uHiUi3qfAJhphrvrIdf489/5b2BVAXC53By1xNzDOc1T++PdW09E - RERERERERERERERERERERERERERERETkDYrYhSWmlBKAvu/dneTdu3f7vp+mac7t7rruDcyfIIAf - +qEf+s3f/M3WWs45l3J8srpkSc53uws7e4iIiIiIiIiIiIiIiIiIiIiIPFyE5bAuckZJYefatTi3 - ud0Ba7A2j97ldj/gAw4MxIoYiAmomzjwTcXgBKTNTAnY5cWxReThU4M2EREREREREREREREREXli - bFs5zKVDUs7jOE6ofUpAYFX//Ld8+1MVfnwCTAScvpqmvktBYG5hQfjc+CHAPecKE8hzaws3wBgE - IhDN6mpsR8vUAncrTo9ufCUZDgsOCtJ+F0muhQCA+UhkACRu9j/3md84LV1aHI5ABW4uum+cHGPb - JOiaqNUnx6Lve+ftkwGvrOCo0YBK1Pns3DQo2hToUZMGERERERERERERERERERERERERERERERER - kXuRnKYJwJzPXWudx8/p3cMwAMg5AxjH8Q3MPxCllKm2j370z37u9z+fc+eOUspVZqEAbxERERER - ERERERERERERERF5uOb6tg40wAHfhXP7Lnx7x3kuvftBh37ucY+5lG4G8jz7V5lMRB4BNWUTERER - ERERERERERERkcfWpkEEtz9sh3PbiUCkYgTaNKI21Lh5MrzDeQO2QM6WHVEShrFh25aicTdvM9he - 07sDqECDWVhpKQUIuFUnUo/TVZua8ej25/v8N3/n0+j70ylCXwE/9uJ8Ox+HO2gohowvES+GWdeF - w732fO0ZPWwEuoRlxzvDUKfh2QG/9B/+R5gmGIG2W6WEXQC9DmYRERERERERERERERERERERERER - ERERERGRS7h7KcXdU0rDMMwp3SRrre4OwMxaayml15nRhYrE54sF2ziNMLx05+7HPvaxP/iDP1gs - DoZhinPdm+4tZiwiIiIiIiIiIiIiIiIiIiIick3M7VrmsG3W87/hNmQbZ+nd/qAPYBvOnYGEyAg7 - /5rbh23zw5vSu0WuA5W6FhEREREREREREREREZHHU1zaMCHO/o0IowU8F2IY/vq/+z3PlUNfr+gN - 8LUPp63mxGVvDFjAeTaDh/BVqwMjUAEnEWaAzStEDBOsM0+LdVn+3Gc+ja64WSoLNcR4/HF3DMfm - R0NNBYcHv/C7v/PSopyMftgvptHL69XVecgsME2IGstceqTDqX7pU58GfVofA44AAwTS3MKIhBHX - LH1cRERERERERERERERERERERERERERERERE5Lrp+34cx9aau88Z3hHh7hHRWiM5j7ySRb8AzB1d - l1/4F7/3Ez/+F+68crfvl1fpV2eqdyoiIiIiIiIiIiIiIiIiIiIiDxUdrKCDc9neCmsIQxg8IRJg - CGOAgbRp3eIBD+DBhhZIQA8UhJ2Fdd8n1HJG5DrRCSkiIiIiIiIiIiIiIiIijzM//zR8bs1Q3R2g - GdAi1pgmND/53BemOy++My+BFgxm6wvGMWL0FCBg52K/H0JIdhCjYTBUgxscILw4siNltJQGK188 - PkXX4/BGhRFm+gr4CbFL7zaA5shIBcvu6weLFRIaAdT6SJfwPgRuENlhBiAKkccBdVyWBN+cmGnX - 2IgGqjqPiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIyCXM7OTkxMwA1Fq7rkspmdmc2A3A3QH0fW9m - 9bU7GvHCT3P/nvWwTpaSpXGsTPy1/+vXf+K//IvVwwnnq8wH2MZ1G2AM42VViUVERERERERERERE - RERERERE9ogN1ubo7mAEsClyuwnwtk1paXds28IQ9oAPwBzWNnO4JLTbz8pW273tckTk0VGdaxER - ERERERERERERERF5AvBC1nY2W40D4ASWTKgV03TY6k103iZHjIjB3VJKQCHSHCoMAAhuhr59vidz - 241mDsDpjdE4t/pAVAwt7pT0ic/9HpgQMPb6/vexd3a8zQchNkMDPDL68rd++7dw49ZpDQN6u17N - cyyQAgRqrZZsFadHJf8n73sf1gMcIMFtaZ9AwNolrY9ERERERERERERERERERERERERERERERERE - BAAOkelogwAAIABJREFUDw/nlO552FoDYGYkx3Ek6e7DMMzx3m9A89a89V3fWkTgH/2jf/zxj3/8 - 6rNRlzcREREREREREREREREREREReTQa6oSxzQWd50K9F8r1zkHbtm3i8iBDNKARjRdnxXOZ3WeU - 3i1yXagdm4iIiIiIiIiIiIiIiIg8SQgAHlh2fW0V3uANtf6lP/od3TgAdYjaDC2DCdPUMgFHmttX - nEvv3rSQ2DMj6MhAtGpLWwPNYIGlsUV65XCBRUYuPjQAcVkDDXncEDhXLqcBAKzBaCgdwleHB0Mu - AP36HQ0BVCBns8wVoi/p+Vzm6O7T01UQMPjoAGq4crtFRERERERERERERERERERERERERERERERE - XgNJAKUUACmleWREzGPmkdM07aYB0Pf9/GQ3Bvd243HAAx5wAMM4bGeLT37yf/rkr/ydCCJlK91q - HJhTRPhZR6ZLaxCLiIiIiIiIiIiIiIiIiIiIiDw0hkhz0d6KsWIYsapEMzTDSDTbpHUHrcGuVALX - 4RXDCncrfSJggAN0hKvljMh1lh/1AoiIiIiIiIiIiIiIiIiI7MXcegFAzIHddMQm89iIwdtBypjW - aI7Tdbzy8tMHS9xdO1ANjSCQgBSYa5bYxZnHHAGOKzavuIp5zu7IqTlivR5TBgO1YV1xclB+/tO/ - gekUhwfWL4Y2WSqvN0t5e+PZP3a+LQ4Bb/Bs+fDwp/7PX/urH/zQ+xdLrleIwP4D5h/Q3HroqCsv - jpOj3To4+urxS0/zEGPD2A6OjtZtCOey7wCQDDU2EhEREREREREREREREREREREREREREREREbki - d08p1VpJrtfr27dvHx8fzwHei8VivV4DyDlP01RKmcc/uI9//L9793PPft/3fd80Tbdu3T4+vmOB - xWJRa93LyoiIiIiIiIiIiIiIiIiIiIiIXIEFQFoYGrHCnYa1YblGIcJhDWaoPSvQRlgAGQSM2xLW - rz10NMdIpFfwyi3enloquUP4uD7tlsuzpQhcn5rAIgJFd4uIiIiIiIiIiIiIiIjIk2Cb3g0AIALI - SIBjmrAef/Q7vuu5xOO73zg0NKAZgmCA5xo57GKEGZvc7nmavfKKQpSIZFgH2NAc7LtVt/grv/1b - KIanjwBrHl0qgNu9CePyGGoAYAYj3LZB3gTGGtkSbh6cPH3z9OQ047TnhYTvRyuIMXA8TkeL1CJe - Pj1+qiyG9fiXP/JHfvoPv9xaa+Yl9QBi9NxZhFFtjEREREREREREREREREREREREREREREREREQe - 2C63O+cMoNb68ssvl1IiguQcsJ1zrrU+SG73JZ3niL/wX/zFX7p1+0/8ie++c+fOcrlMiXfv3l32 - BQDCgL33uRMRERERERERERERERERERERuVQD5kBuS1hh9R//V39qOnipsAMDyZt5NRha7wPgY7JG - 5mYALOB8/WEKayfj0m+8I7//F37ybx+mZ5ojMXeLAwAENrWANzV1/dIGOCLy8Cm6W0RERERERERE - REREREQeWwQCcLjtAowJEAbQ4OEpdxhPF3dPb+bUGQAENy0aLM6qhLS5pQM3M8A2vXu/Cx/IQGc2 - NHegA5IxmL5W8aXDgsMFDrqTVhe5b/QOHMcxdYu9L5Y8Sg7YuRY3RjjgzWnGPjNOG0v+uU/9xl99 - 3ze9u1u2OqW4LtHdTgTQ5TQMjcRRLsO07oHbh4cYxnTQ9ywTfBxrlzsECAV3i4iIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiFyBmQHIOQ/D0Pd9zrm15u4kzWyO7p6Hr5vbfamu5NWq/viP/8QnP/krH/7Q - N4/j2HX58PDQ6/gq/+O6dG4SERERERERERERERERERERkceeAQlwwIGKU9w8rYsXG1lRA2M1nxKI - tqgAMSQ4YVdp3lIcN5ddPRm+cVIcw4R1xjK5wQwBFdMVubbsUS+AiIiIiIiIiIiIiIiIiMgezS0W - Nk0g6CACaA0RMBpqQ7NnU4/T02SbuG4GzJEcyYFN+Dca0YgALJACDOf+Q5ENcPfJ4AldQmtRI9Xl - rZ994Z9jWSJ3OS9XbZ0Y3lpXOsTrz1PetuZmP+674zkw73FLEQwCXPTICX15abm403ejXa8GO2EI - IgLuSG26WVJPa8creGAMTK3AcjawAdDBLCIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInJVrbVaa9/3 - 848RMQ9ba2ZGMqVEcg7zBs4/Xt84ViO+9tWXfviHP/bSy3dotlqtWmubX9PPHgrtFhERERERERER - EREREREREZGHi/Di4wJ1Ce+xsnipxZ2GVyyfWposNSQ3S2bIoKE3LGmFKT3gIzLu1HFd7vLQJ0wV - YdbDOh8qAMB5byuc61UcWOSJpehuEREREREREREREREREXl8xb0/NKABKSETBNDaX/q27yh319Za - NHCbzJ0CFpvvUxswGaohtk0dOKd3A7b3dGE7DaQFUsFpQ1cOVmnxFRi6xVT6AWUCSipGizqpmskT - IAC3e0aED+MQ4HqYYEAxlPSJz3z6pWT1mrUIcEetnlPXW7ZA1LYK77rur33vv4dhzCgpaIaIBp6d - biIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLyICIipZRzBjBNU2st5xwRtVZ3d/eUUmuNZM7Z/cr9 - 0VJK83/6whe+9NGPfnSapjc2HxERERERERERERERERERERGRfaAR3lqtBMZ22h2CPcYajaiEIyoi - AhGkM3bm5683bEC5iRO0NaIiBxZAhsPKAsBcOvjcstj2ISKPmM5DEREREREREREREREREXmsxb0/ - OdAaNvVAmi8rn8pdIUq3Ce3OjuwoboQBFrBKm8waLWgMGMDYe2530IL5cLFcneJ0jYPD7svT6Vdv - 9D/7wmeBUmwBwIGMFK2lUva7NHJdBIEEMOZj2wD0XQm49aUCoAFEn16kV7teTQJKSRERAfcKAIbo - bOX1lc9/ARMwgGuHO40NGN33fIaJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIPFZIRsTueUppmqb5 - OclxHAGYGYB5PIBzVUlfpVIwzx6tRSklJQL41Kc+84M/+IMRMSeF3/e/HFSkt4iIiIiIiIiIiIiI - iIiIiIg8TNYawnrk7hVMy6ff+fKIOyN4kMaEaqhmjQjOjVtGciSc4Q84BHAyguWw8qBiEVgEgACq - 7+pen6V3hyGuV3FgkSeWTkUREREREREREREREREReazN+dsIgIARMCAlsE6YJkQsorZ6YnPDhnmi - c7HccdbY4b4Z7z9Y2BF316sbvR32+OrJ6LdvfXmZsTTkDkACFjADLCUwRVUpkycBz0rgzCVvgHAQ - GOoEAEwoHZL90gsvNNp8lDrhvGxesXnM0+xbNDcwgAp2GWODuy/IA6+oA6KiS6AFuFqvclJ7BhER - EREREREREREREREREREREREREREREZEriAiSrbXW2pyonVKaM7wjIqW0Xq/d3d1zzuTcoegKvdLM - bJqm1gIBM/yTf/LrP/VTP71ej5tCw2+43PCc862obxERERERERERERERERERERF5cywVB6bAAkcv - 37lbeuSCsbUAAmDAgCAa4eaAA24BiwcaIkAA1k/rmpANdAcIZNuUCd56CJWrReTBqdS1iIiIiIiI - iIiIiIiIiDy2gnNktxMIIGApkAMBmAHHJz/2gQ8e2tQVq0CitU1+NwA4vMEbPOg5vGue3S08CJ/n - fPWo411M8s6cqXzpA/CI2gHmsR7Q9fml8L/1W/8UNwoWaEQJdA7CAwDB3GH/6cvy6BiQ5vh54iy3 - GzSzbLCjXNJmQsPiALkA1sHGQOkWARsDRwc3ptgcYNzNEXBYwPaa3m0BRJAxmYeldUUG+obFNC6m - E2CFMk5YV+ZVxeFiSX8IYeIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIij485jTullNKup5EBiIjW - mpmllMzMzGqtEQG4GTY1iA0pcTeTM3H28Kgg5lBwb0DgH/z9/+WX//avBAtTD1hrDeQ4TZvZXhLI - bWd1UM8Su7cvoPRuEREREREREREREREREREREXkT5oq7HZFQO8uYNhWhGciOvqE0NKIaEGAYXrNA - 9H31osEAW1tmFJwWrIttqwQzB1Ig4XzpYFXXFbkeFN0tIiIiIiIiIiIiIiIiIo+neLWnAdSKVjFM - N1an7eROreNRKW1y4CyQew7nnn+0ueFDwALnp9n/KsTRYokpHFiX7htGLLpmNs6/9gtrpqYYTwC7 - 91t+ziMvtsahwTJyfuoDz59mEjYNNYIFvHNy90bKFvfO941F0V956bev62QgA5YCJerTi/6HP/Jh - sFpiBbqcETCqPYOIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjIW4Bkztnd3X0YhjnYO6VEcn4+/7a1 - RjLivt5Hu/mYAai1AojAYrFoDb/wC5/4e3/v77tHSiWCKaWjo6Naq7tyuEVERERERERERERERERE - RETk4YpNerdta+FabGr2EkgOCzjR3njhW2MgRSWqoRK+fVk48m4Z8KoNcETkEVCpaxERERERERER - ERERERF53AVxlmoMADkZ7tz90W/9tmeWN8KDmT7VR1IIxOJVHwDM+LX1imDXLV7M6X/47GdgKUWU - +bvebY7zNnNZpUzknMwf+N//ty/29FR6K4VY5nwEQ6ucE+gBBxrQCMAZez9+Nvniscvw9gAYqMcn - t7sFVusEXwB0MKLVuu/lEREREREREREREREREREREREREREREREREXlCTNMEwMwAjONIck7pnqZp - zvCeJ4uI3fP7hTsAkqUUAOv1uu+LO37mZ37mV3/1V9095zxN0507d/rlAradDx28rO9SqCCqiIiI - iIiIiIiIiIiIiIiIiIiI7JdaqomIiIiIiIiIiIiIiIjIY8vnWOsAnBe+Hl2t0S8Oa6Rh7I3uMSH6 - lB/Zgr4KMm4vFxU8ZX6p70GChl3NkjkJeVugJB7dcsp1lAwFd566eac1bx7up3VKCADB+WgxwILm - 2xo4tv9jyAIpYBFzbrcTBNLU+tFhBVOFT4kAmVLa+9KIiIiIiIiIiIiIiIiI/P/s3W+MbVla3/ff - 86y19zmn/tzumYEgkwgLYmOBRYKVvMurKELKH0WKlChGKFJGDIYZMwZnjI0T48iKYg0ECHIGhUgG - M8SK8scisWVF1sQQ2ZITmYDBIBhAEzIy2AKmZ6Zv33urzjl7r/U8ebFP1a3bffv2vd1ddW/XfD/a - ve6uXafWWadq7ze9n/38AAAAAAAAAAAAvgQsodpm1nsvpURERLTWJNVae+9LsHettZSS+aSnjLyU - zMzMJQV8v5+Hoex280c/+tFf+ZVfGcd173lycjJP/QkR4A8dHo6zw0aYNwAAAAAAAAAAAAAAAADg - XUVdGgAAAAAAAAAAAIDbLn3p2WHLfxkqRXM7aVF3U5U96DpZj+e9XftCTPlovxHLN90kTV33trte - NvesfuLTv6H1Wr7SHEqZlEtct2m58/sUjUzwpaRUnR7/8K/+ih/dcR/NfTX4XWWawpTmSk95yJVe - UuVGst8tVTKWjPC8yJs/8uGo53/0tV+vORWattve2mVCPQAAAAAAAAAAAAAAAAAAAAAAAIB3wt3N - zMxKKWbm7r33JaL7Mqh7tVq11nrvT5oo5TJJrbVSynJsnru77ff92z/84d/67Gc3m800Tdvt1lQe - N0VI8eictEUFAAAAAAAAAAAAAAAAAFwXatQAAAAAAAAAAAAA3GYpSX6Z3i1J6pr2H/ojX7fet/ev - Ny3iy45XZ7vpZKzPb5mPYdKqaBjLXbfPuWsYtTpWTw3j5Qt0Jf8YeISZ6lplvJfapl6L3hQno/Wi - sMtXXOzdSPS7p3yJq1dcttjxVMQ8bNvLc6iFdtvxaFVKaa1d/4oAAAAAAAAAAAAAAAAAAAAAAACA - LwnTNElantlprbm7pIiQVGuVtN/vlx2zN33SyMxaa8MwSJrnWZKbj8PYe5ZiX/jCqx/84AdfeeUL - rcXJyZ3lLZ4K6d0AAAAAAAAAAAAAAAAAgOtBgRoAAAAAAAAAAACAWyuXeOAllzilVCqkph7vDx1l - 7rb3Vqbz8/1eejC1vOb44rDL1GRZyt4qc7t1bZV3j1d/5bO/pWG924eGUSZZSKGUSSH161013pNS - 3rVS2fSTO9vBh3HoVefK++3hSSi5p/kSqp03kd79yAovLoeX13dK9K8oK+2bBp/mndyWRj8AAAAA - AAAAAAAAAAAAAAAAAAAA3qF5nsdxnOd5eWZnnucln9vM7t69K6mUUmttrZVSMt/0sbdSyvLj7r4E - ePeM/TyZqZTSu/7pP/1n3/GRj6Rbus3xLM+9pRPgDQAAAAAAAAAAAAAAAAB411GaBgAAAAAAAAAA - AOC2uxLdHerKrmk6meaVNKos+dnH63LTwcVvJaVStHX//dG1HmRldbQKU5fy6ose+Re45JFVvv4v - f+kXX6vezc63atKweviC5bSxPFwi1y2kkCSlRVpcJojf291fe6mv3tfcpRiGISLevMMPAAAAAAAA - AAAAAAAAAAAAAAAAgGcwDMM8z0vY9n6/32w2kqZpknRycrLb7SKitWZmEfGEeZZs71JKRMzzLKnW - 6u6ZmqYmqTX94i/+8p/+7o9N+yaRxg0AAAAAAAAAAAAAAAAAeM6oYwMAAAAAAAAAAABwm/WLtGDN - oQxpUrYPf+0f3uz2iqmru+Sp3dTliueU3l1kLsWSwO02S11Kt7Oufnz63/3yP9Loqt6b5q68fKUk - yaUiXcYwAwuTLCSvWg/3N8MUebryNslCJeUZ3aKbuilv7rR3ybtH2MNrLUxNMuvv9/IX/6VvlFqo - uftzuhYBAAAAAAAAAAAAAAAAAAAAAACAW2jJ7Za0Wq2WnXEcJc3zXEq5fE3m4TG15eDyU2Z2Ofbe - e++X07YWEZFSSu6SFKG/9/f+/sc//v3Fh4joLcdhvd/NpZRlttbao0vzKxsAAAAAAAAAfMlx98w0 - MzPLzMv/ZwsAAAAAAIB3BaVpAAAAAAAAAAAAAG6tnt11ERJcXdlLhva7O6Gj7NUyLnK9dSUM++ad - K9dHR0sgd611vR7H9biPjKOj35+77pxqsNZUikrVbt+WW71pkslSlopH87wBScUlk4b8XDarq/0+ - 7qw0pGrIU2nZPdJyOXNu5uRJO7zXkhfuKaWXVVU0n7ar/aR5csU87SROaAAAAAAAAAAAAAAAAAAA - AAAAAOB6uft+v48IM5umyczcXdLVfO7MPD4+vkz1fuMcSyZ3hCSdnBzt9/2nfuqv//iP/8Q4rksp - u93u+Pi4tbbdbnvv6/X6+j8WAAAAAAAAAAAAAAAAAAAS0d0AAAAAAAAAAAAAbrFqlsoIydS3s1KK - VO+nGUdK8wy7yO6257nO41p3u11Kq9X4YJpf203nu2lfyue9/PBv/IZay1J9lKTetFlVSSHvS7Tx - IbI7iDnGVXkZWh/7n/x/f6OnH6naXqVpTJVUWHTL7hGubgq7uaTstEf2d9PUs71/XK96U8qUwzDe - 1FoAAAAAAAAAAAAAAAAAAAAAAACAL1GtNXdfrVaSIkJSZkaEu5dSxnGc51lSKeXs7GyJ9L7CLzua - thaSSq0y3X9wnlIP/dAP/dDf+Bs/PY7rTOs9h2El+WazWea8Iq5sAAAAAAAAAAAAAAAAAAC8m4ju - BgAAAAAAAAAAAHBbxW46d+XgUqqsB8m0b3/8X/zakx41e2bv/jCt2Oy5xXe31vYRg9t2moZi7zs9 - KtViHO4Oa62PtTmW1Pq83c1jVfbDjd5DM5KUMkRjEjxODtJLp1JuzUN1luxik4WsmUKKm8rtdl3k - dlvq8uKr7qth9WB6bVRqv9dur1BvyyoBAAAAAAAAAAAAAAAAAAAAAAAAXItaq5n13pfE7sxcDkZE - 732apiXVezn+BJlpVlprZr4kfJdi+ym+/+M/8A/+wf9d6xgR8zxvNpvHRYADAAAAAAAAAAAAAAAA - AHBdKFkDAAAAAAAAAAAAcDuZdDSuXDFtmyQVqc1q+S+sTsY+e/bMPKRfyyzdbiS7+LGatBmHdNun - 5Pbq/fMHLftq/epmrVKkatHHaptNMamWw0+55ApZXHzJ/V88Yu4KqWeqlvd99R98UIY6bNwOJ1DJ - w+aplPr152SnFBfB4X4RzG2pkmrzvtowt/23fuO/IhVtd6Ve+3oAAAAAAAAAAAAAAAAAAAAAAAAA - lFJ672YmKSJaa0u69jAM+/2+lBIR4zhGxBNmyEz3GhHu7u6958nJ0RdfvfcnP/Kd/99vfda9ZubZ - 2dlqtcpMWbx+AwAAAAAAAAAAAAAAAADgGtC6HQAAAAAAAAAAAMCt1bObtCpVqUipVjXFF1+tLVxK - KVOSzMxSCvPnlN5t0tTb1OJoVa2WWnXnaHx1u/3kp39dm2NNk8IUs6lH65cdTsoSfuwhD5dff/Iy - 3mNKhkvn5+caho/+3U+9WvWFeb/LaJKkGhpDNVRSktIU13sOuS5qFCxVQpeX22B+VMd7OXm11dQ0 - dxHcDQAAAAAAAAAAAAAAAAAAAAAAAFy/aZok1VrNbL/fm9llRPc8z5KWVO9pmpY878fqvS+TuNfW - WmauVqsHD85Dun//7IMf/NZXv3i31nG1Wg3D0Fq7kU8GAAAAAAAAAAAAAAAAAADR3QAAAAAAAAAA - AABur2rWp71M6rIi9dDUvvLoTtUhNNhMZq50f653T4ubmZk0z+3+dl6tVmfn0+alO6pFVVqtlpxx - KbLP7lp6n9hyzJQmu0zyBiRJJg3FY787PT6WXGqvHY358ksPTM0kqaZWoVUeortv4AowSekl5JId - Tld3qfem3jarmp7H5momr5lvMRsAAAAAAAAAAAAAAAAAAAAAAACAd2gcR0m993meV6vV/fv3zaz3 - vlqtJJVSSimZKeky0vtxvJZxmqZSSqljpqVJkski9corr3zzN39za22e53v37q3X68dMYCF7wvwA - AAAAAAAAAAAAAAAAALwdRHcDAAAAAAAAAAAAuLVCXsbVsmeSFB/9+j9az89LRi7hwSmXuaWkfqNZ - wZ6mvIjazlBr4UW12mC6v9vr9OT7fu4X5KWbZK4ItSapDEPvqSv3elOHJG8RdYyrUpLKMEpFkk5P - Xx3KvbnVcWyudqVYwC6uhWu2XHRhKctDFr0kS43yzJjn5tFW55Pmrj5TzgAAAAAAAAAAAAAAAAAA - AAAAAABct4horZVShmGY5/n09FRSZu52u2Wn915KefIkZtn65O7zPPfWzH3a7w/zS/vWP/vbv/Nt - H/oT7vX4+HSaJiXPDgEAAAAAAAAAAAAAAODdl3bRd1oKO2xKmeShRwJ8TZd9nS+Ov+Xoy3soq6tK - flkGYwpXO8y6vD2AFwb1agAAAAAAAAAAAABup5TP6amqnDU0ZdPZ/S8fyjhvSy6J11U5eKZn7xZZ - Mm6opsFD3s3D5PIi78pVHaauUrK69qHfs5U2L6uYS3LXsNJ4JA2yUor5w+oLN7kvd34pyMBVJlnI - JatzVq03f/XTv1nKKqforqlodk2mvalJJVQj/LrTu62lhcuVPrkml2W4IqUz6WhV1y0+0EJTU7RO - GD0AAAAAAAAAAAAAAAAAAAAAAABwzdy91rrsD8Ow7JiZpMyMCEm9dzNbDkpaXm+WyyZFZl9CwKWQ - IqMtjwaFMqWU5hb/1z/8ub/wF//zqaV8DPO0El1utdbae88e1d8iIBwAAAAAAAAAAAAAAAB4glTM - iq6mVJHCe3OFeaqW7iWq0kOeJpM8l57OfpHA/RRjuqd7uuUgDa5BS3GMNWlv2pv6sg7l4TsAXgRE - dwMAAAAAAAAAAAC4taqV7TRrMCm13anUOLs3hHQoXDClL/tpuoHcbpc8ZelLRnJKIaU02mpu80vH - 5Xwrl+rJ8f3jYxWXuWnJYHapSr7kcx9WasueG3d+8RiRERExh3yzVh00lF3PcVyHKU39Yktzl1yy - ay7nubjEXPJuniaZTKp1OC7lwbaVWSfyP/8N3yA3kugBAAAAAAAAAAAAAAAAAAAAAACA56X3vuxs - NhtJmSmp1mpmrbXlyDAMmXmZ/P0YbsuzcLXWucX//L/8r5/85Ccl6y1rHTOt9/7g/vlqtRqGYbfb - XfunAgAAAAAAAAAAAAAAwO3nikMD58um00v75qUrrx4J1Q5JsqcbLcIiLFKSPJYJLC76TF+8O4AX - DJclAAAAAAAAAAAAgNvJpGxxNA6y5cao/fv//FedlNFM3SWpZBZFl/pSP3HNucUm1dCQqlKRSkpS - 95hN3XOW9vu+qlLobJ7/yi/9Q50OKte7JNx67u4uSefbc5U61fLaPClV4mFQd1xseYNx2ZfFCind - a3u5HQ3abOo07yylzJhn0rsBAAAAAAAAAAAAAAAAAAAAAACAm9d7L6VIysztditpvV5nZmttyfA+ - OTkxs2mahmFYkryfoNa6BIGb6S//5R/46Z/+6WEYlsnnef7ABz7Q5jjfbddHm2v/YAAAAAAAAAAA - AAAAALjNvKgWuVJF1aOUkCtMTQpZkyIPwduKi1TvK91532qzSI8s+yj7rjkUcslcKlLVoe30IRjc - JHuY5w3geSK6GwAAAAAAAAAAAMCtVd2jdUmaJ+37V52+XOcYfbBcCiMilSmX3KRrzwnO1/0rLSUa - Hi3mwdWasmkcVnMZdLxR5Y4u3gk393nuyxdHR0ey/P5f+5V+tE5zW877y3PyuaZkH9fxbG7bWfPc - FFkyFDkUe+RSAQAAAAAAAAAAAAAAAAAAAAAAAHAjSikRERGSltTt3W632WzMbBkfPHiwZHubPfHB - pMxhHFtr4zjW6pkaR/++7/u+n/3Zn91tp2EYTk9funv3rpmNw3oJBQcAAAAAAAAAAAAAAADeNpfs - ogjF0y1lKZnCFab0FhZhyqVHdLp0CNl+qk2SlNbD59QU6n35cXmqpIrkystXXmZ+A3jOaPQOAACu - nTmKAAAgAElEQVQAAAAAAAAA4PZKuYrSZVVzW+/2derZ5iWxuJXoHkUqKV1/enGaujSbJtNs6lfe - rmceHR2NpmKa5viKr/wqFe9e6DWCdySzXpxFU5tVTJ5nJVNerhbtWOim0rvDtNQMWT5cwdzmO+vN - 6aqYqRT3nBVNU1NSWgQAAAAAAAAAAAAAAAAAAAAAAADctMx0d3eX5O7Ll9vtNjO3222tVVJrTdI0 - TcMwvPlEitbdfb/f9x6l2DRFa/re7/3ez3zmMy1j6i1Ckkop077dzKcDAAAAAAAAAAAAAADArXUI - 5V4Gt4u+02nqfthJqZtSNVUleT7tJi2x3CFFqHe1VOShr2+Vqh5pJ90l2ksDLwSiuwEAAAAAAAAA - AADcXiZ5KlNzV+8nra3MqgZdli3Ykg3slrJrrmQIU3M197AlwPiwOk+56dUH5+6aZQ+8fuRn/q56 - Ss4tXbwzZma9q6dKHVRcm2E7DN2KJMul1kd5SNS+Xm98C1veWmrK7W47TX07q1hWmeZZSoqLAAAA - AAAAAAAAAAAAAAAAAAAAgJtnZhEhKSKWnd67pFrrOI7zPJdSJJVSzGye5zebp5TSezezcRwzFZGS - hsFeffXBhz70od//vVcy8+WXX24tzIrZ9T/jBAAAAAAAAAAAAAAAgFstTOlSUagp3fPQdzpsCdjW - 8qWlW1SlS4dm0U+zSbKQR7V0yVwKRSxR3lIcMryXztdL1+t4Tr8GAI+gzzsAAAAAAAAAAACAWyxl - JjcV+56v/7r1+W7t3pXdPEwlVVJd6pJLJeXXHBXczbt5d4WFKUpqDA2hoejl0/W2a2f1/ORIpais - Nd9AnjJusz5NMqtF+TAFO+6PpVlVVkmWFwU9Utxg/YAfQsMP1sO4Gldz6nRVxnH0Nn/kj/2r2s9K - qosAAAAAAAAAAAAAAAAAAAAAAACA5yAzJZnZspOZvffW2jRNknrv7h4Ry3ffzBL47e7TNJ2eHi9P - OU0tS9HnPvfqd3zHd/z+771ytt2XcXiwPR/Wmxv5ZAAAAAAAAAAAAAAAALitIjV3bdPOuramKOEl - vIQ8ZCkPlfSSXsKX3tSS8qk3pQ9tHNpR7auqavK36udLXjDwQqjPewEAAAAAAAAAAAAAcG3Mesyl - FLXp1P0455yjK9LcFJaS1E3SwzqJa+XpIXnKpBIqUpFCal337u/W4/rc6/jVX6VVlVupVfloxDHw - LMowSOqRxW3XpxOXNpv/9pd+6Qe++uvCrFycXWmyuOk6Hk8tudxpmubJZKuiaerb/XZ9vF5NkyL1 - xMY9AAAAAAAAAAAAAAAAAAAAAAAAAK5Da63WOk3TOI6llO12u9lslhhvM6u1RkREmJmuxHu/kbtn - 5jzPku7fPyvFek+lepekT3/6Mx/72Md+4id+YrVarcbNfr+vPEwHAAAAAAAAAAAAAACAty9MkepS - SF7bZpjvSJHWw0OS8iLAN61ETVNYC0/ToQ/0k0cLG/q4mk5W0/GgVZE/ptrFLkdKYYAXBdHdAAAA - AAAAAAAAAG6tlt3NpC5l2e+OvLaYitV2JRPYFZI8JSnsGtO7PQ+h3a5wya9UT6TLU63Hmdlf+JlP - 6WhUNtl4bWvBlwYrkopbSmMZpabatFrNdWy5d/Ohaj9HHaSQ2XWe/Y9zmRaesmJ17vNQNTeV3o/a - rGlSHN3oggAAAAAAAAAAAAAAAAAAAAAAAABItVZJ43h4wG2z2Sw7rbUlvXv57jRNpZS+BHE/TkRc - /bL3w/NLXiwyFfr5n/9HH/vYn/nEJz5hZkNdRZukcPfe+zAM8zwvueDu/rjpAQAAAAAAAAAAAAAA - gIdM7spUTUXR8bD7spNypOy9z1FSUgmXJAulL/thSounjO5WesyxzpNNe7lqLPIiRYS5h5Y6mYsq - l/SL3tPUvQDPH9HdAAAAAAAAAAAAAG6nlMyLq2ma1LtHi2hFJTJklqaULGUXccVhT5zu3WCKIhWp - KEJKKaSQWle4TjZ3znZ7uWm9kpWeUYzSCrw7XOry4lWl3O/9A8PQ51aij6N2k0ZTRjdzKd56rnfD - UnJ0WJtXk62Hspt6dY2W436vdOUNLQYAAAAAAAAAAAAAAAAAAAAAAADAW6q1np2dDcMQEdM0Seq9 - L+nazzTPkuFdXL3rU5/62R/7sR/7yEc+st/vjzer1qZlzt57rXXJ7X5COjgAAAAAAAAAAAAAAABw - waP3UkZlVsuf+Ev/U9e09JzuCpfKoSluSOFyX35EWnryPs0YClepWm106ln257vV8VFmd8uQ7PBC - lynlkq6/4zWAt0Z0NwAAAAAAAAAAAIBba+rzxlLb7X/8h7/2jxazsdg0FymzpHm3MGnMkNRNz9Yd - 5G1JU+ZSQqGUmjS7wuVF2fSFB/e/5o98g4aNrOx6H4on1RV4J1KyJbR74TJXqbk52s737hwf3T+7 - f1RsPaY1M68RNxGVHZIrPHVIrzf16G5lN/WUjo/qvQfteGOappu4JgEAAAAAAAAAAAAAAAAAAAAA - AAA8ncw8Pj5+7bXXjo6OJLn7s4Z2L8axTlProVq99/ivf+QTR8en3/4nPnT24LVafRzHeZ632+3x - 8fESFj6O47v9UQAAAAAAAAAAAAAAAHDrpIoN6svO0UmpXc0UrtoVkgbJlBfR3VqiuyW3Qx/ftxib - YlILxbE2RUVTrtZHSplZ6rKvb8h0mBvAi4ELEgAAAAAAAAAAAMCtNZZBLSU/nqZV0W6aqnsqJVlq - CQZeWoMsEcLXLSxCkVKXunw2n4tmV3RFylcn3/Z3PqWmVB3K0KJd+4LwpcEuMuC7iqz8V7/8y20c - Pnd2/7iaLNukKbr6c4iJXy46kw3DWM1SevCg/XN31nW/+3P/8jcqbyJKHAAAAAAAAAAAAAAAAAAA - AAAAAMDTaK3N87zZbJbE7ojITPdn7ms6TYdH5zIzU2b6+Mc//r/9rb85DMPR0dEXv/jF4+Pj09PT - eZ7neV6v1+/yxwAAAAAAAAAAAAAAAMAtNktdxSx2sdbRiU6HedzoeKPjdR6v8mStk7VORh3XPKl5 - UnVU8uhpxlFHg04HnUZzxShfSz5t90stzRvRWhd4QRDdDQAAAAAAAAAAAOB2MklzKKR5fl/xdu98 - 7ZqiV3MpJC/pJdRNkytMyuu9fxqmlFrRZIctXN2UUqbqevOKuqJp3GRTkVZen0OWMm4dk/xhcYDL - XcX2pR5vNlPL3V61qkpd/YZXtUi5WZ2jt8x11XrU2b3dGDG2rscXHQEAAAAAAAAAAAAAAAAAAAAA - AAB4DoZhKKUs+60d4rd7fzvPJS3z9J6SMlXK8J/9p9/38z//89M0nZ6e3r17NzNrraWUyzcCAAAA - AAAAAAAAAAAAnsRC1rSaNc4Z25P1qmYoNJRSpapQhCKUoZRSspRFV+TTjjINVauxbtQkM0njZiOz - UJHs0HN3mRzAC4PobgAAAAAAAAAAAAC3VKqYq0uv3T/aT5vBM7UuNmf4UheRLnmY0pTyNI/rvoVq - ktRN3ZSmkFu6pdR1nv3B6aj3HUnmRdN+H32W4nrXgy8VoYcB3q60B23e9xhXJaXW9NLpS6a0m63p - 8ZSkNLn7vbYb3KtpmrQuOqnDplRqjAAAAAAAAAAAAAAAAAAAAAAAAIAXzZLVbWaX6drPOkMpZZlk - tVpJ2mw20zSdnW3/1J/+7t/+7d8upQzDsNvt3H2/37/r6wcAAAAAAAAAAAAAAMBt1XtbAry7uqR5 - H5q7YonTvmw97TKXilSW9rfxtKP3pYF1SuYyqYeklOWh9a9fzQgmLRh4QXAxAgAAAAAAAAAAALjV - dvu/+h/88Zd61lr3qanniVnJ8FQ366aSKqluamZp17gQT9VQvQjjLqkaGkJDaDP63Wn6wd/8xxqb - BuumcbXyZ29ZAjyWXWyHr4ZhPDmae2utH2/MpLv3Xys3UD+QfqVE6aGIeP/6xMzOZ1XXUDW3nfZ7 - ousBAAAAAAAAAAAAAAAAAAAAAACAF8c8z+5eSokId2+tbbfbJYT7mfTel8zv/X6/2qy3u61M6/X4 - +c/f/5Zv+ZZXXnllvV6XUqZpGsdxGIbr+CwAAAAAAAAAAAAAAAC4ZVKuum6qU7rVoyYfV4NKUSou - srclD3lLb/JIN9XyDJtXV0jTFCqSSe4RStnh3a80+L3SDRjAc0Z0NwAAAAAAAAAAAIBbKkNtVvHP - /dZncz9F66VocM2ZLpkiTGEuyVKSTLnsXO+iLvOTM1xh6ZLv5li/dEcuHR+3QbumFs/crwR4vYfl - Oa6UUpaSucbyO7ttWW88dbbNo/Uwyu2ai3n8TS4uS0W2adqf9b4ePVO7ve6MG8Wk1tWaQjr87EWN - U0q5DAAAAAAAAAAAAAAAAAAAAAAAAABuyDAMEbHkdkdErdXdM5/5KZ9aa2ut915K2e92MhtXq91u - Kq7fe+Xut33bt9+9e6+UYRzHeZ7fRjQ4AAAAAAAAAAAAAAAADlJXu7imlIpUPLGzazz1+A5Wpdev - IN/hnA+nLG5Dyk3KlFwqMlMuYdouM8kujuSzbFJmRsSw8kPJjMvK4XMcevvmkhEc74nOuW/yKS+9 - 23934DkhuhsAAAAAAAAAAADAe8ZjSjqeVMoQag+U+2k3V41HwypSs2uSJPllIHDKU2NEzebXee8/ - TLOrmTxVcimmCEldZSrauqQj5RCpUiUv/T1RXoEXV8hCFv3qVRMhk9bjJ//JZ/eeERpc57sWylS/ - 7toXV7giLULqUpg8ZYpiadlXpmkOSW7aTttVSc1nmvZqUihab32SQtmXC7xL8/LRDtcxAAAAAAAA - AAAAAAAAAAAAAAAAgOvl7u6+7FyOvfdaq5nVWiUt4xO01pad3rtSipx2e0mRak2/9uuf+e7/5HvO - d1OYd1l6GYZhv9+bmaQ+R/WhWFXYdX5QAAAAAAAAALg5mbRQAgAAAHA9UopQhDKUSimkrujqoYjL - tOzlG5ctnZ9te/YlPS4pOhVxyBSPR175LEwqh82L5JK5tAR1L9m9F/vLdy+PPOVm0mi2cjfJykUQ - +MWb+uUilrlfzNqW1HImpK6eD9F16E388ES4jr8+8JwQ3Q0AAAAAAAAAAADglsrUULQ7O9kcbdbH - r52dD0VT1zBKSw2DRRxKGWRSuYnCdZdkKU+lKUwpNffdWP/SP/6lZWVucqn1ObPfwIJwqx3qV7qU - S7FOhiwmSXXYTfOqWPGhlBJKt5sr50lT2qH2yVP+hlKbNLnaD/57/65258s3vZSlj09mvyze4VkT - AAAAAAAAAAAAAAAAAAAAAAAA4LkzswcPHmTmki5zmcz9rCIkKVI/87N//wd/8IfcainF3bfb7Waz - qbVGxHq93m63mWk3+DwUAAAAAAAAAAAAAADAe1nIQvbGoOU3BDAfXuNPPb5ddmW8yJCWFIcA7yvy - 2UZL2ZXx4dvllSjtK695e/M/0hX3jbNdvOPbWP/1jvnop5Bc8dgSHHvkxHj3/u7Ac1Kf9wIAAAAA - AAAAAAAA4Gk95kb+k9trTHuNwziU83tfOKlVauu13dvm6eNCf687BthTUnjKpbDD1hVTsXvjoHGU - aQ6Zq0+5GQflG8tZgGexnNMXpS7FohQpNQ5Fe63H1XY/uZqVMknuL9YZZ6nf/PSvqw7qXV4ywopL - 6j0rJToAAAAAAAAAAAAAAAAAAAAAAADAC8PMhmGQ1HsvpfTea62Z2Xt/pnlKKRERkaXYJ//7/+ED - X/6B7/yTH95ut++7c3r37t1hGEop293Z8clRa83d+ov0PBQAAAAAAAAAAAAAAMALxyR3WUg91UNF - clOV5GqmpbSjy1wmqUkuDc8Yz/xsBRxpEXKX66KxdB4mWRK702ShcLnZo5nTYnw3xoPQoWmxytXD - y58+L5t+u9Klt+oBDrzwaGgNAAAAAAAAAAAA4PYq5b/4t/+de1949Xg42rXm0nabL68fd5/0uoO7 - JUmesotKhZRCMkWazoaqWjV4rTJpHE2pbO0m1oRbLHw5sfNKiZFMberqfd9jMwylFDMLSbU8Yabn - 4uWTY7VJpahq7kvxluowPO91AQAAAAAAAAAAAAAAAAAAAAAAAHiotRYRmbnkdi9HnjW3e5GZksys - uP3wD/83f+tv/u3T09NXX33t5Zdfjggz22w2rbXlLd7dTwEAAAAAAAAAAAAAAHDLpJSmrtrlIUnd - FSVVUibXwy2klofw7LchnnJLRVemIhRx0SzXJMmlanJT0UWw9yOM8d0Yl+0ybT11OAGyKl3pV1LS - Lw5evjLfsoN3PGuOO3Bj6vNeAAAAAAAAAAAAAAC8U2+8a2+SMtTz9//Jb3/NOMQ8n4yrHnuXdru4 - vFHqqbQbyu0+LNW0dBxJyVM1ZFXT+liZck/lsvbWow6j3lgmArxdIcmk8Dq45uKbo/vn51IOrlWt - 89xfqOxuk7b3zyRJkeHDOIaizdNqWB/KfXT57+v3AQAAAAAAAAAAAAAAAAAAAAAAANyYUoqZSbp3 - 796dO3cyMyIuY7yfXoQkrVared5H6Oh4/J7v+d6X33fnm77pm+6dnRX33nutddrtJI3jGEG3XwAA - AAAAAAAAAAAAgCfpUkiuwdRcaapaQrPNZX7oheyhQ8tky7fTD/lpfyQO5SFyqUh2kQZtUrE3zJNv - iJrGO5d+5ffsF0Hdj+ntmyYtf6NHjkp644v5A+FFR593AAAAAAAAAAAAALdUShHj3IuUmdM0ecqk - 4Q23SeOm7pwuxR6WHjrUKJjUXZ/4hV/QyR25pmm3fKOWmhE3mSmO2yZdfihkKUsF0nJQkknT/Gqb - +vFabpmqXuwF61PjqdPVKDP1vtvNy8FxWB/66RjlDgAAAAAAAAAAAAAAAAAAAAAAAMALYYno3u/3 - R0dHrbXlCaBnze2WlJnjuN7v51KGUsr52RShP/dn//z/83O/UGt1r6WU+/fvbzaby7BwAAAAAAAA - AAAAAAAAPNnSodZVTTqkLL++6bFfNK+tdtlC+Wk3f/pNciku8sQvpBQ6BIpf3S7WmYpkfHdGyUIW - h+xte/hLvjwl0g7b4W/y2Aqdx5w/wAuNcxQAAAAAAAAAAADAe0e+/sb8Gw48PK6UehwpR7di2ZXF - 3SR3kxSSpWQPqzTsmnOyLVVTNVUuikTC1E374jra9FrmPq/HwSK1n9VlUa53QbjdTDKluUtFGpfo - 7pDk89x1tPqh3/i1e26tyFN92o8q130JPBNTxvlOvctzczx0abvfSjK7vC7CFfTXAQAAAAAAAAAA - AAAAAAAAAAAAAJ6vWmtErFarzJymKTMlvY1obTNbAr/nee69j2N11+c//9pHP/rR3/3d30239DKO - Y+99HMftdvvufxIAAAAAAAAAAAAAAIBbxKSaqqGSl72Xu1yqOuRou9LVFV2KJdw2r3FzSWqpWctm - V3LE37zN9DOlg7M9cVsyvLvUpHjML9x0UfETl/Hql2Heh1PKpMeUBV2cT8ALqT7vBQAAAAAAAAAA - AADA9cjQfh5b5Dxn5sZrRqY0R5qWG/xxw7fzL6oRQnJPl+QZKZcN4cPgmRFmbrVKUusq3NLF25QX - 5S2+nOyHEiWXqQ5Fc1Pvu3E8mffW+pAlZReZ8i8ET50ORZFaCnRSq9WRlGa2XEiEdgMAAAAAAAAA - AAAAAAAAAAAAAAAviCWuOzPHcVx23kZ0t7v3nqUU92Ge99PU3CTpd3/38x/58Hf+5E/+5Mvvu2Py - eZ5ba5vNZsn5BgAAAAAAAAAAAAAAwFuIJXE5pH23eyl1WaqGDVJIXQpXNclMUvhF4+a3Gt1lIbk8 - FG85dvWudrmouiRF+5UG0Y+vN3HGd2nU0iE7l9+0Xe5dNs6OVOhhh+JY/riHGWgHjPcs+rwDAAAA - AAAAAAAAeE/KR/bTLm/dXzb1iFTmnVo8dqFWVHrvJkXoIvxXlhFyN1kuFQDXmFvcXS1Vamlzz4yT - zfH5+YNBZbSVsg4qijiULBRLSaVSjYB35moyfUhXyo966Pj4QcTx3L9sPU7bqWi48eU9iWfUuSm6 - os0xuFvPkJXLrj2uCOnRzwgAAAAAAAAAAAAAAAAAAAAAAADgOSilSPIrnZSX54BKKUvA9jAM8zxL - qrW21h47yfLK3nWZyZ0pSe761V/9ze/6ru/65E/9tWmaTk5OItocXRHubma991JKRLTWVqtVxDU+ - JwgAAAAAAAAAAAAAAPDeEdIkK8pBUmqede+B/lmRz9qEhpBLKmomuWpXu0xzfuqxpmTyVLzlKBXX - piuPdDSrj6rFpFRxScpD/9yrhR9ensMv7SZkPv64XW9DatdFR1+XH95q+cdibvtS3MwlpTIyipVU - D1kqTSUj3N1VWota6sOflXTRLZx+2ngxEd0NAAAAAAAAAAAA4D3PZOfb86PNkUlza4qUNCi/5xv/ - 2Pu/ePcD6+Pdbh4kMxuKIvLNShOuW7rm3k+ONw/Otg/O7x8NR2fz9qWv+EqZK6VDfLhS0eUmOdUG - eLvsdaHWdmWUVKu8bM1P7hy9+ur5qYbQc7oq3oSnbNp/61f/wb/26gN3C0W1kko3u1wpqd0AAAAA - AAAAAAAAAAAAAAAAAADAC2u/3x8dHUly93mezSwz3yy3+y393M/9wp/52J/90R/90WneRUQpNo5j - a20J6l7Su09PT+/duzeO47v5MQAAAAAAAAAAAAAAAN6rQpplKRvS9SD2H/zub6lf/kobt7tcNStp - kmSpKqtpkibvaY/pUnu1ofOjzZ1NktJlcXWMrtcdUbpUc7f+1/+1f+M//De/+Y5eKhpcFq5RNZWx - NJU+xIIfpi5yPVuU+HtjdLPHHl9Cva/tfSMPjX2LJFOVLSdJzNqNtS656XtNo9YyN/lKR1VDKkxZ - 3CXtp+1q3LxgnYyBt0B0NwAAAAAAAAAAAID3vNbber02aZqmcbhoq3E2j63/gTun0/3zlZeItpdW - /VB9EHZZg7FkFl97CrCnqtVxVV89226k9ebo3jTvj+/8qf/zZ1S8maof6iOMW7l4N9hFoVEuZS92 - EQafqZTCsgwxTaPUTZn2QgXFl4yXVqsvX6/V25Uyqdct8eKCAQAAAAAAAAAAAAAAAAAAAAAAAPCC - GcdxydWOiGEYIqL3Po7jNE1v8hPxuq+XHr89VKoi9Lf/9099zR/6kQ9/+NvHcVTmdrtd3qWUkpkP - HjwopZDbDQAAAAAAAAAAAAAA8CiXaQq5n/zYJ37827//39oOd/dDnV3hIcl6emSV3H1nLZ6lT3Nm - l0Ly143u9Y3Hw9RDP/2Z3/w/fuev7x/Mg5WqMVrWWiWlhaSw8DzEdWvpr6vwVJhu0xhze+zxsdRr - fV/J06SskpRFFpYpi1JybrtxHHe7nYWie6a9z/7A6ve+7Kd+5H9c2TrUerehrFbjKjMP/YCX4h6a - A+OFR793AAAAAAAAAAAAAO95pRSXZeY4jMqczrfjZqPIMeLVe/dPpXG12e22J8Mg6Wyey3O6nd97 - f23bvnwzTtN0f3v+mrQtVaXKLwoM/n/27i5Ikj2t7/vvef7/zKrq7nk5ew67wBpQ2A5bF7qyw77A - 3PjS+MKXjrAtoRCIF63FSgssK5YNBYpwBEhINmFedsHEInZZUPCiwCFbDgVg4wiHjZAwAiRCIIxB - RmZZ8J49M91dmfn/P48vsrqn58ycs+x5meme+X4iozorKysrc+qfPTVTTz4/c61xy5Qd4C2xBtUr - UiF5l8qh5MhUx/O0eVnujLaf+zBseutve4L9H5ultJ+WpctM0jQvu3FQHo5Ikh1OEgAAAAAAAAAA - AAAAAAAAAAAAAADXUURM0zSO42Vcd631tXO7X09vKsV65nd91/d+0Rd90Zd/+ZePYz05OWmtRcR+ - vz8+Ph6GYZ7nYRh672/1oQAAAAAAAAAAAAAAANxMVvfnU6kbG7RXSrtu43ksS50nb712d3kv2aNG - N9PiSntMT2T3x7atjcz847dQTlOO2s8KP81bWlKWitBms5nnKa9sx571vrN+/Pg/tXvxZI7cUi6t - 72nIurmiaZ515wVbpjw+urWc9VdeGb7kzhdVG0OSfChVUo/uRg4ybhiGLAAAAAAAAAAAAIAbz2XL - shRzK0WR4+5Ikub5yOs7qo/jeP/s3KSlt8w83oz7Zc4nnood8qbcbTYvn0+bolp06+TOv4zQZiep - XGQSpykkP4QTA28Bl0JXkq7NZJKV8fatdvpHbc5h3Ozn/fC4uqinxSRf9O53vqhMU+7GYZ7b4MXK - 1Z0MKS6qfAAAAAAAAAAAAAAAAAAAAAAAAABcI+7u7suyHB8fr0taa+4eEZ/TdswsM3tPc5npG7/x - r7zjHS9+2Zf9B2dn+4h2dHS0LMuyLNvtdlmW1ppdp+ukAAAAAAAAAAAAAAAAnh5vS263t1NaQlvf - TrrzXd/80fd8159+uf9+lPMudamUNFdLuVS6Wzyu1+vD5R6X5Rmp/sffmzS1WUcmpVqTUpttnab2 - yr3p6EiX/aIt5Xl4Qn98ZPiN1/rj/9xKKW/ny8YhFD1TFkqFHZYUV5pubTWd56YO02nbn/UXtre/ - 45v/plSk4iprw+C2tM346E7SIhjXGqMTAAAAAAAAAAAAwLNgKNVL0dLUulKaZg3jfHYaLU7P9m46 - 2R1NkT11Oj02t9slWb69X6Fuxk1f2mCau3rq5eV8Pj7RUGSSYs3t7hcRy3QHwZtl6zAKU7jSLgdV - Zk6zBv/IL/7DFr1J8zydbI+vVQGBpwZpuX+uecrM8/NpM1YvdiWBHAAAAAAAAAAAAAAAAAAAAAAA - AMD11XtvrdVaX3nllWEY3N3MPtfcbkmZuYZ/m1RLlfQ1X/M1v/RLvzSOo6SI2G630zStueBv+VEA - AAAAAAAAAAAAAADcXHUYJcUiLbJU1W6jd80vj5sctu6jyUItFLK1N/KrM7ovZGZmvupuZuo1+jnb - Y8msq0olbVukUJtbrXbnTsnUqyaFMk2RmZlhz9itW3X3R2/f7tdVSCGlFJnqtr6HqWmSpT7yYx8A - ACAASURBVOZJ27F6H2L2u0cv7V9pO500uaku2VsPyTfj7pF3ex0z7bUGD/DUUVUGAAAAAAAAAAAA - 4MZ7ULpRq4ZB06Rx1H6qyqNim6JS/PT8zKXbd26tJR5PPv/XpZhbjz66qitNU/EP/7NfUzHVkEU3 - dSnWwOWUMkgpxhuWUpP6xR2TilRSkkJpR1u5Kfp4tDvZ+s7Hs/3p09zdR1jq1jhMp/c1jG5+tNtk - aJ56tlevyVkCAAAAAAAAAAAAAAAAAAAAAAAAXEOllFpr732z2ez3+4gopZjZ6z3n1Q+65MOwOT09 - lTSO4zw3pSLyG77hG377t3/76Ohov99P0+QXPsv2AQAAAAAAAAAAAAAAni8ptVI1Dto0efiJXvzh - v/pjOs1N086GUaW2ofTR0hQKVy/t0Slqj9ov5i+mkt2jmz86LalHp5ZyK22v6TTHcrTdHJmGaZ/7 - 857SOh1+pCurpXvKMkzB7Zu/LaEhNIRKqIQ83MPX+eONa9HQZdMwvxK7uDUsRx/90CdSY9UmVdzG - WsbeU1I+JqH7kAdOejeup/q0dwAAAAAAAAAAAAAA/tgeaZpxWGAmN6XUU8U0Djo7+6p//9/7U2WY - p7lJXlVMkXrllXvVlRdPtAepvyEp7e39ar+alzSTWmQU2WYjk7Zb2XK5jku+zuVjjhf4nDwY4GlK - X0eUuZ+fn+62O22G/dTO93FcLcIzM6/TkDufl+3JiSxSS7bqxcZNkR6c9uu5cp12GQAAAAAAAAAA - AAAAAAAAAAAAAMBD1ixtd8/MUkpmvt7aj3twWZZSBin2+1nSONZ5br/3e3/w1V/3tR//2z/8rne9 - q7W5lCKptUZ0NwAAAAAAAAAAAAAAwAMpRchC5l6083K61FvDS9Pv5ZCDv6Oaz56ylGkIzZeFF6/q - UrtWfKx1GZkyHXK20/yxL2v+mOWWyrDtdjftl3npyzyPm82wsVQPdT1oFu0hd7ksJHnqIhCa2zd3 - a4fiHF/fTUWaIqqktm9uqqW2fT/Z3NksJz/wvh8bdHfQJiKqV5NFqLpl6jXec+D6YswCAAAAAAAA - AAAAuHEiFaEIhRTKUEZbFpnkJpMyNE0vnJ2W1lJyk3pIclORDrUgKUt5HgoF0uLtzu2WQqWHcknz - YrHu1LCJXGOV5ZJdzSGmQwjenHU45TqX9WrbmlpHmSQvvitS63tXvyijuRbSvMlUR/VJ2dxNTZK6 - qUlNSlVpULoO5VPXaOcBAAAAAAAAAAAAAAAAAAAAAAAArCnda5Z2RLTWeu+S1pjtV3mNyO31uqHo - fVmfK2memySlfuM3f/c9f/G9r9w/szr0nq1FLSUjTKHsygcdpMM8/TEvCgAAAAAAAAAAAAAA8Kwz - uaf7bFpckm7b0W299Pe/+xffVf7UfD9T3ctc67Kf5+Ib6dCxee3erJTy0N7WTLrs6hy1xOhZLWUZ - j06K/uiU2WUxtUk1ui11tKY5smV2u9IyWgpZhLewCIswMb01k7S4+sW4OLTDVk0NVquq7i3NRzPL - Xb+11Uufpy/Z6mjjtUhFKi6Z7LKJ9uUkXzt/m5yIZFxPjEsAAAAAAAAAAAAAN8dF9rAp8nJJKrOp - Kk29KE3KlMUwnbsiH9evw6+Edj9JS+tNslKXnmkKd0Vm0frVrSnW4gJbd8xI78YbZxcFAYdE64vR - vt6twyBJ8lBJuZlmXbvxZipLa6ru1tczXdISEY9P6ia6GwAAAAAAAAAAAAAAAAAAAAAAALhGLtO4 - I8Lda62SMjMiJA3DoIsY781ms+Z8f27bl37pl//J+973DW2Jcdyum621mpm7u/vVOPA3sH0AAAAA - AAAAAAAAAIBngakfujjLJYXUhhO963u/+YdvDe/c1aNiSu/b7eZ8mnzt8JryOAR1P7wlKeXpkpRu - 6Z97h+fLzrIR9lCX2Ydf6zUa0OJNygcx3mkK0/qHvDQts+7c2Sqsn9aPfPMPvaB3lqmWdPtj9cl2 - kduNa4yhCQAAAAAAAAAAAOBGuUjwNenwfbwr3LuXc2mRppZyqS/lZNOvWRTxUO1ktz1bZvdadttF - ITfp2kUm45nx2JqAdlmH1BYb66mimY6Ga1c/MNRBralLYWr9sND9sgyHZjkAAAAAAAAAAAAAAAAA - AAAAAADAdbYGdbt7713SPM+ttdaapDVpu/duZtM0vaGNy0w///M//23f9m3TNG02m3mee++ZJj3I - 7c5MWjkDAAAAAAAAAAAAAIDnmJtUpLJ2QS5SiVCOOhpOt/1l76nzSRHtaLsN85DCPOwyjNltzfBe - W8Eewp4jvaVF0Ff5RvF0T6XUXXGIc5/lUy2b4puzz+w3fTxuX1D1jq6u8WnvLvAWuXattwEAAAAA - AAAAAADg9axdMlIuv/y+c4m4LN4YqilDY7l/dvrUdvI1nLWc53k0t1r+6N7+23/5l1U8Qkrn21u8 - 5SwlydeeMmsZ00UxU6wdZ9zOez/aHUfqfInrloQ9t2kcis7O1VPFVNVCrUeRymMa5XAGAQAAAAAA - AAAAAAAAAAAAAAAAANfIsixmVkqRVEpZlmUcx1qru+uQqK1SyjrzBqUvS37iEz/+sR/+eO9Zy1hr - zcw1MjwiMvMywxsAAAAAAAAAAAAAAOA54zKX5JJJda3RKCHrplq1++i3/Ohxf8dou6OTOu17Zh76 - JOfDk1wP+tqu4sqEm8Hz0K+4u1LKrMr1nY15ngYbt31z1F78oQ/9+KDbkmSLjPcXzwJaVwMAAAAA - AAAAAAC4UexVPyWpepXUWrhkIfVUW47Hjel6hREfb+u+h7vPbfGjKi9alkMC+eVxXa9dxs322KYy - ZkqlzDQMUeor5/vTUK3XrQFNePFc5q/90i/T3KSIyFI0Frc8HBeVOwAAAAAAAAAAAAAAAAAAAAAA - AMC1NQzDPM+SWmuSaq3n5+drqPZ6u9lseu/rQ7XWz3X72+22tTDTdlv/2n/17f/TP/gHaWo9zV1m - kRmZkty9yCy4cg8AAAAAAAAAAAAAADyX0k0qKaWUSvWuKBoGHW/1wkl7MadhOmvHu6HNS5pSnqY0 - HRK7c53WtGcpFa5whUWQ63zTuMKklHerypprorHJa1PL3fLOD//lvzPodtFYNLRr1twbeMOI7gYA - AAAAAAAAAABwczz8Zb2tS9KjLVU6ru5dLmlZFLZderlmxRtn+1Zdrbe63dTbd5TSOLb2GgHLwJuX - skNZ04NhFpJkU+uKvrfo43By63hq164UZultrCVPz9RSKa+WqbYsUqwHlLo4qKT4AQAAAAAAAAAA - AAAAAAAAAAAAALh2zExSKeX+/ftmttvtzMzd1/TuaZokuXtrbY33/pzs9/thGEy+37dS7Ou//i/9 - 43/8f/beJV9fxczWHZCUee2unwIAAAAAAAAAAAAAAHjbrV1b17qJlKRQpKK1LNoOOvmBv/KxzXR7 - G7tcLqosLLROisuNeMrTHwrANZoq32xpD243xTYa7+jdo1440u2dtq2HySi4wbOB7tUAAAAAAAAA - AAAAbhp/uCwjNPpQQ3VSldRC7u/9N//t3dlcr1l091Bsu902aT9Pf3D/VJutTLU+WMEu61FMfJ+L - t4lJKQ11UB2+81d+2Y92v3/vtFSPa1btVIup9XrelCZlKM00DIPiot5LV2YAAAAAAAAAAAAAAAAA - AAAAAAAAXCettWEYpmkys5OTE0mZuUZ0r+ndy7JsNhszK6W8oVfwiIiMUiwiI/R17/kvf/O3fnu/ - 3/eea3r3+qKyMOdKJAAAAAAAAAAAAAAA8PxZ+82mlGvY9tr92Me66ZNvdbvq1h/+xivD/iTnHDc1 - LcIi19xuC1m4whVrq+TL+ouU0ugLe/OEHQbCKs2V8pAtqfv5fd/w8dt6d+lpGWMZ5yVpkY1nA+MY - AAAAAAAAAAAAwA1xWYthSj3cJ2Nu6pJLLWWhzNvpd7PUuF7Z3RF5drY/HjyL+8mJSpHScj0yvr3F - WyqvxlrHqx4xqUfXssj9M9N052jX2jU7WUzm3pbpHZudutTbehQZba3xeuiEuWah4wAAAAAAAAAA - AAAAAAAAAAAAAABqrZk5jmNmSlqWxcxqrZIiwt1rrffu3eu9997fwPZLKb2nyXrPTJXif/RHn/m6 - r/sL5+fTsizrFUiZub66GdcgAQAAAAAAAAAAAACA55tJCpebSls0ju457HT75z7yv433T144emk6 - m2VxaPS65nyvGd6HaW0He+gLvaZ34wYJU5pksoySD3oRW2pchrv20lZ3NtpVH5U908ZhfIp7C7yF - aP4OAAAAAAAAAAAA4IZJqV9McsmlUrUsklRNg+RxJBuXpeRn2dQTVsvQpd7Dh/pya6pVkY/t+PFQ - 7DLw5q3DLA9nTErFi4YqVx98jnSvyutVQtCjb4cxp0URMktZqps/2Mmrpw7nCwAAAAAAAAAAAAAA - AAAAAAAAAHDd2AVJwzBcLnf3iJBUSrmM1r5cYbPZrDNXn/Ko6N2kvLjMqLWQ9Lu/+6/+9Ff82aVn - uk2tj+O4LEstY29cgQQAAAAAAADgOlr/j3Rlj21JBgAAAABvwpV/ckjWJJlKUS1FmTKpaneslz7x - rT+l/WBRhlLVlSF3mat3yWSuNb07LtK7n87B4E0Lt5YqplyiejEVSd6Go+XFH3z/jw4aSpdSMivm - CvHPVDwb+J0FAAAAAAAAAAAA4IYJKSRdZHjL1gBvV0quMy0qsUz7ev2+2V/asiseobm3aRg0zxrq - +tBFFcvlwSlII8Zb63BCHAaYr0vcF7frFtp9lSlefSbY4Vj84i5nCgAAAAAAAAAAAAAAAAAAAAAA - AHCzuHvvfc2k2e/3mbksi6TtdjtNk6Ra67Isr5/efbmxq3d+4zf+xVd/9dfMUzs5Obl373S3203T - NI7j23EUAAAAAAAAAAAAAAAAN8Ta7TiVrpSZQpqWKBpG3drprt3fnAx39veapbajllmSzDWMWhZJ - irW3bbrSJbeU0RP2RknT3LIOnl3F5GaxtEHjuBx9wdGfbBp32kpS9DWtPXl/8ay4vt23AQAAAAAA - AAAAAOB1rBnXXWrS+XSmTdGgbpJcHuOtXVe/TCm+JsZhbD3cFcU++mv/VCe3lv0UcZnXfb32Fs+k - Vwfau5orTMprF3W/8kM91lrf4NIhutsePRYAAAAAAAAAAAAAAAAAAAAAAAAA115etPUtpZRSJG02 - m4iQZGatNUm11tbaMAxrnvfrbe0w+cWMlhb/8B/9ow9+8FvPTve3b98+P582m900fZbtAAAAAAAA - AAAAAAAAPJNy7eJqFy2QU0rNS5Orjp6pMY+3uv3RD/zoZj55YffCaGU+1+iy0Fh0NmnYKvzB1tbQ - bqK7b6JxtIjw1K5Wj6WEfD9ulxf+xtd9+B36IouQQl4zrfes5WnvLvAWIbobAAAAAAAAAAAAwA3j - F9MqpPHoKE1ny5SKKinybDqLYtcsjNjPl7mWmqmQKUNuw9HOXVeKTFIKWRDijTfryuBP+dW7piu5 - 13bI7LZrWECQ0mWgffrlslz3NHX1zImH7gEAAAAAAAAAAAAAAAAAAAAAAAC4psxsDeTuvUtas7pX - 7r7eXW8/a273ww6XINVae9NP/uRPf9/3fXie23a7PT09PTk5ecsOAAAAAAAAAAAAAAAA4CbIy86u - B37ZknYYqxRLW5YllKo6HnXraHph+v9iyN2ubOa9dpsyTar18KS0SNM1bGGLP77W0t2X0DS1bP2k - 7Ib9yQ9+049t9A7ro8KkLjMzK8Vam5/2/gJvDX5tAQAAAAAAAAAAALghLtKGTaoXScNFcum8z5Ni - HDfW+9i75tgO9ay3uF7R3TreHLXeIpUmjRt5kbTGdD+aOkwOMd4Sqdc4DdYRZgrJU379BlyaQt7N - 1tIGk1tKUpf6YY3DRNQ9AAAAAAAAAAAAAAAAAAAAAAAAcFNExDAMEVFKmaap1irJzDKz9+7uZlZK - MTMzc3+dvqlxZVq57BD77a7v/u7v/smf+Kl5brvd8f3799/+IwMAAAAAAAAAAAAAALheDundthZX - mLKuTZ5TS6pv6jCO3ptcw1Z3/7v3/8id4fNzHq1tj+p4dtqPj0zSvCgfNLg91Gl4koZ7w5hUTG2J - zXarOi77ZlN9qXxR1d1RR6MfyUepRtey9FQr1V8d/g7cTPyyAgAAAAAAAAAAAHBjpEkWayeNNbe7 - pIo0lLEpJBUVTaa9bOlDvWbB3dI0TSarUh0HzXN66ZH20G5Si4C3zsXQiqtJ8CnXQ0Hda+XTtTtb - JMnTPE1XaxtC/lB0NwAAAAAAAAAAAAAAAAAAAAAAAIAba7PZzPPce4+I1lpErJHevXczq7VGfI7X - 3KWvsd8RitAHP/ih/+N//4UMuVdasAIAAAAAAAAAAAAAgOdQSrk2Pc56WGJrfnek0lI2qDUd6QVp - +//85h9qHuezVB9HH/f7LK6eysvW0Li5UsebzTxpitncbx/drn3z3e/7/o1uW1Y1tfOQzF21FilT - 7WnvMfDWoG4MAAAAAAAAAAAAwM2Ql7nWKaUsZSn1tWAjB1W1pvvnOotv/9L/8MRLLdcujNjMJHXp - bL/X0bZLKZk9ktdNIDHeEq8aSPbIoymZ4tqdKA/ptpY2mFLrMfTLI+NMAQAAAAAAAAAAAAAAAAAA - AAAAAG4adz89PXV3Sa21cRxLKe5uZmY2z/O6jqRlWd7A9ntPuXkpPbREvufr/+Kv//qvrxf3AQAA - AAAAAAAAAAAAPFdCCkWsXVxDSnVTV5OiSB7qXWGy6qUPd/Wu//H7fuZ4eOFoc1c5uG2ia2najJfP - lrQGeMerW93i2vPU6SvTraOSFksssdS63Nrp1rFuFQ0qqtsqqU8ykxRNLR/pnA3cRER3AwAAAAAA - AAAAALhJcv2WM/0QPHxYGibV4hpG9ez37s/3z6KFX69k31C2YSitaBlGpekiN7kcUpVdWZQuuT+S - swx8zmwdRg+PpocG1tWaget1tkiylBT5yJlQLg/CDrd+5R4AAHiSQh6Hv4pDClMcPmCYZLIr9dUA - AAAAAAAAAAAAAAAAAAAAcOn4+DgzJa23vXdJpZTMLKXs9/uIiIha6xuI3Db37FqfWIrdv3/+X3zF - n/2DT37qrT4IAAAAAAAAAAAAAACA684Pk70quzaVkZJZqQqp91Cq5HhLdz/y9R/tp74pR62FD25m - 03RoM2cKUztsmD5z14JfbQB40Y741ctd8nSpDpvxfO4ZGjQO53d/6AM/vtWdmmMxTdMckkylSKkm - FQ1EHuPZwDgGAAAAAAAAAAAAcDOYVBQmyV3lIo/YJVO1wZQyybtq+8xyf9wOFk97jx9m0ka6t7Tz - W7f/IKvMq6L0rlBJFUnpUl2/xrW8kk8MvCnruHqY5aGSJmuJKmtpTbpG54ynTGFrDZaF1kY8lpJG - aTysJJny8MsBAAA8BblGc68fW7Ov90KaI1OSQhmazobNuCyL+SOfSQAAAAAAAAAAAAAAAAAAAAA8 - r9Zo7WEYJJVyuDxonmd3XzO8JbW29nqWu1/OrCub2aGe+SAuJ7eUorXm7q1npM7383/6n/3n907P - fRjn3tJNRVbtfH9aB5fFg2ndt3RL+rUCAAAAAAAAeELW/y+NCF3571AAAAAAePPWlq1FvmY3r61c - XSpy1+g2rH3kBmksrqJi9bbe8Xn6gpN+e5qWsLDiabJyaAVdU4PkGUoP1SAP9ylzz3WSp9zkF7nd - HqPHeBHcLpMsq3KYehu3ZUwdTUcf+6b//pb+9W1/qbRRWja7bmtH7CpJVQMdf/HM4FcVAAAAAAAA - AAAAgBsmTX0tAlizhy10qPpYw7yje2hN8r5OPOXS7ZPNp86nfd3KXL2pVC2zFFd7hBw8ugT4HKyd - Yi6axTz0wMXSdOU1O0+uWE8Z2avOhLjc47TrvPsAADxvYg3qXv/qdreu3ltTD23HaTo3sx6NkkUA - AAAAAAAAAAAAAAAAAAAAryUzN5vNsiy999ZaZtZaJZnZGtdda42I3ruZrdnej7XG21xd5+zs7Pc/ - +cmv/MqvvH//vnvNzN6z93737t1lWZ7IwQEAAAAAAAAAAAAAADwFdmhL65d37NDJ2S9bwx3WMSm9 - 9ttb3frwBz/64u5d2Xpmn/Y5+Gj5oEesXTwzr2wET0c+7s8/XY/vzZ3jrtz7TB/mzW5+cauXNO8s - 13Wb1B9Z3433F88ExjEAAAAAAAAAAAAAPAlh6tKn7k/Ht27/0D/7pzKpuEwa6kUG+ZVJh0hyAAAA - 4Dp7tCY3pZBS8lpVXPc+M9SyPT56jfpdAAAAAAAAAAAAAAAAAAAAAJAkM2utDcNQSimlLMuyLEtm - ZuayLKUUs0NNcmZezj9qffRV8d7z3H7lV371fe97n7tvt9ve+zQtvffeH206rLRIru8DAAAAAAAA - AAAAAADPG5NKSMNtvXP/+31bj2otm80mu0zyVDctJkmWVFZcD1dLXFIKebinh/WwFqa46A2Y1sL3 - 90+XP/Hudx5NX/iD3/STVbvNWFSj61xyabB1Va2NA+NiAm48orsBAAAAAAAAAAAA4Akx1/Guni+T - 2iLLlOTqyywpFToUMShFrCEAAABuAFtrEPPK/QtLZsjVu45PtrXcu3fPSsnHbAMAAAAAAAAAAAAA - AAAAAAAAJCkiaq2Z2VqTNAzD5UO11t77sizrfCnlaiz3o9bA73V+DQKXtCz5P//c//o3/vrfvHfv - tJTh1q1bZ6f7zWbzNh4SAAAAAAAAAAAAAADAjRIy02ajk098208c5+39Z1rM4S4PSUp5Zk15mmQh - I9r56Yo4vAWuvOgKmFVyKWTtsFZ6yGUh026jf/V/faq88sJW7xq1MUXTXjVSLg1XNqKrP4Cbjuhu - AAAAAAAAAAAAAHgSUlpCU+tNpnEjK3NEhMpmTCmk9TYOK1N0AgAAgGvNHipA9IvpoFg5zM3Tcnpa - 3Vr0J7uDAAAAAAAAAAAAAAAAAAAAAG6SNbHbzCLCzFpry7JEhKTLHO7NZtNa6/31ipPN7OpTeu+X - 6/euH/iBj37iR35M6fv9fHTr9n5uSlde1EKvTaUPraUBAAAAAAAAAAAAAACeIym1sBY2ajPq6F/+ - k5dv66XdUKVZcl2EdnfzkEthSX3F0xe2/nQLt6hSkSRr6UpTmlI1VcPk0s7Li+Pnf+yv/fRWL9Qc - ss+h6MpQOfQSfCitm/cXzwiiuwEAAAAAAAAAAADgCQlXS6kOak2m4tXM4qIC4bISgfRuAAAA3BT2 - yH2TQjJZj5QVuY2tnWyPXEb3OgAAAAAAAAAAAAAAAAAAAACvZRzHeZ4zcxzHiKi1DsOwJnlLqrVK - mqZpnVnzuV+fu1+uZmalrJHe+o7v+Os/+7M/51bPTvfjuL3oO0yDVgAAAAAAAAAAAAAA8LwrrsFr - Vd3q5Gc/8gsn07ty3y0kecgtXToEQkuPtqLDk5amtIhDlz9XVqWHXYR220NJ3KUrXxn/3X/jS4uO - tllL91pqUw95qiole+g9dfKO8axgKAMAAAAAAAAAAADAkxCmMng3iy4NG7VIWWa6uyR/eDIqTwAA - AHCz2KEc0aW61iZGKKTz6YVSpnuvVC+Wr7sFAAAAAAAAAAAAAAAAAAAAAM+3WmtmSoqIZVnWeTN7 - +eWXJZVSaq2ttVLK+tBjXX3oanR374fly5Lvf//7f/EXf3HdWphiXYv0bgAAAAAAAAAAAAAA8Bwz - qc9yKZVV4y194Q++/+8c57GHQkqTpTwUpu4hyWku9/SFtDazjkNba4vDwkvWTM1D3o/vxhe/7z/5 - q1vtLKXsko+6ZTqSlCZ5k7eLvthOh2w8MygLAwAAAAAAAAAAAIAnJCIk9VwLGsxk7q7ItQbhshLh - SknCw1UOAAAAwDWTWkt113umlEkRWaSxDur97/5H//F4vj8Zx9aXp7qnAAAAAAAAAAAAAAAAAAAA - AK47M3N3SaWUYRjMbM3hPjk52e/3EdFaM7P1Sr3PKiIiwszWp7h5psZhlHTv3vl73/uX/vk//43i - g9Ilp0ErAAAAAAAAAAAAAADAOGh/tpisaOvL9kQvlXtHmz64QtY81xqLJos0Wic/Zfm4ftZSHppa - 5+ENMoVnlL4b57sf/ssfP9KLo6pMKqXtlyJfi2ZCkrpsudgkFTV4djCOAQAAAAAAAAAAAOAJia5a - RjOTJHNJmZKZ1vKGvAw9DEK78bZaG9Zczl+9CwAA8Ib41Yrd0c20fqq129JR695aoV4RAAAAAAAA - AAAAAAAAAAAAwOs6XHz3yExEZOZlYvfl9VClFEnDMFyuefnES5fXT6V5StMyr0/+1Kc+/d73vveT - n/yku0eEioeptebuXHIFAAAAAAAAAAAAAACeU6bNtmS6adj5WLT9+Ad/upzeVotSwt2VXqSS0tXo - aDw1Fy3+HsR4t/SYFg3bsZplKGZt3HW2PVredaLP3+mOpLSQRR031jUckr7VpZRk7WKT9A/EM4Kh - DAAAAAAAAAAAAABPlF207LDL+bz46jbX8oSLWwAAAOC6Wj+xxoOPri65LA6faS8ePlr6rrVC3zoA - AAAAAAAAAAAAAAAAAAAAb1TvvdYaEWuGt6Ra67r8cp3MPD4+fp3I7YgoZXB3dzeZmX7rt37na77m - az/96Zc3426Zu3sdh+0hyRsAAAAAAAAAAAAAAOC5Exmzu0xlOktJRzoOnbzoX3JkY00ty9J71jRr - 8upBevdTZa8uk4m0DAsp7t49evnTc4Zvi+8G1bmc5Is/8P6Pb3R71M5koZBceegnaIefHutCBf2x - 8SwhuhsAAAAAAAAAAAAAnrhHKw+uLknny1wAAADcIHn186zFg0WpbW+b3gud6wAAAAAAAAAAAAAA - AAAAAAC8UaWUaZrc3czWcO7WmruXUsZxXJZlXef09NT9NS/NW58boYgIZaTM9Ku/+usf+MAHlmXp - vUfE1JYWOp+mzW735A4PAAAAAAAAAAAAAADgejDP6ItCm40pNc3LXb37+77xY/7KHKXhFQAAIABJ - REFUpjQbao1og8rxprzySthQnvb+4gprsiaFpD/6w7N33NkVq2efiU23o35n///mRnc32tih93WV - Xt0lOzRIw2EWeIbQ7R0AAAAAAAAAAAAAnp4HIYf+UKWCSSLAGwAAANeXSXroA6un9KDK1tY1omQO - IaP6FgAAAAAAAAAAAAAAAAAAAMAbEhHuvtlseu/rksw0s4jovc/zvNls1oWvv531KZJKWVsMazNu - S7Gf+Zn/5Vu+5YNHR8cZJnlEfN7nvev+/ftv5zEBAAAAAAAAAAAAAABcQyFFZmit0SjajDuLcdDd - f+ud/045PalZd9txmdr+tL/44ubVyc94GmztA3h4K0KmNA1bzfOs1m/vjsr+ZDi//VN/6+9vdFw0 - KOVyl5Trkx+0FHTJaYiNZxHDGgAAAAAAAAAAAACeFJPstTML7eoMX+YCAADgurPLhO6HxINFLqmZ - lKZ8nU/CAAAAAAAAAAAAAAAAAAAAAPAazGyapswspfTe14juzHR3ScMwTNNUSomIcRzXcO7HimhS - jGPtfTErkqZlbj3N9OM//ne/53u+p9a6vsTp6em6AgAAAAAAAAAAAAAAwPPEW2apg6qUmueQtPFx - p5Nv+3P/9Yv6E773KpsmlaH03s/P6C/3lFmGUkrZRXT3+tNdbumy43LL9y/8t9/8IxvdKRpTLpMp - DuubVELWpOZSOTTP9vUhgtnxzKDbOwAAAAAAAAAAAAA8OSmlvSql+2LG1kTDtdoBAAAAuPbyMF18 - qvX1k2xK3ZRrfaJFmsIUpnwk5RsAAAAAAAAAAAAAAAAAAAAAXp+ZbTYbM5NUSpEUEZcR3cuySOq9 - m9k8z2ue92OtD10+sdZRUq01U+76zu/8b/7e3/sfpv1iVsZxu74cAAAAAAAAAAAAAADAc6XYGKHW - Uq5x9Lb0TC0tj/QF3/u+Hx6W7XR/f+vu0WyZPe4cVaON8tPnFlVZpQfNroexvvxyFNkrf3R6bJ9/ - oi/c6NZG21jXyVA25ZrPHU2ZupLnnU7SMZ4xDGgAAAAAAAAAAAAAeEIuKklCOnT3eCjGWw89TNkJ - AAAArrXX+MCayvXTbNdFiaKpuzqd6wAAAAAAAAAAAAAAAAAAAAB87nrvkiLi/Px8nXF3M+u9bzYb - SaWUUkpm6koy96MuVzAzd2+tRai1JqnWKunrv/59v/ZrvxYRvfdh2DyRgwMAAAAAAAAAAAAAALg+ - PFXkpYwml1JDKWba1VujXjCdvDh+wa7c2nubPc7PYz5tT3uHn3tri78clMNhSVal7/ftpc8rvc0v - 3Hrn93zgY6a7JTceF00CsysXqUmxyJuKJFcoL/tie0qXPbSBm47obgAAAAAAAAAAAAB4QtZKhjC/ - jOvOdemVFMO1HoGvcvGmuS7yNA/Dab2T7lcHWLqnm/JaJmm6pLCQ9fUcsTS/PJqLPb6Wew4AwPPM - 7NG/n/M1c74BAAAAAAAAAAAAAAAAAAAA4PWVUnrv7r7b7S7vSsrM/X6/zvTeSymvv52r2d7rFkop - 60VM89yGoZSiP/NnvuK3/sX/vT+fe+9c5wcAAAAAAAAAAAAAAJ43LsuuUEwxr6UTbV5cPmhzS+/8 - W+/9yDbu9H1Taru1cVclv5gu2Kv7LePt4ylPpTxN3RQmU5i0ccVZHscLfv94qxd2uj3IH7QEPLw7 - KQvJTS65XT7Ee4dnDnVgAAAAAAAAAAAAAPAkeMpSygyl5EoVKRUpSXGR2S1JTokC3rSUujzkpnXg - XTxgMkUxSV2SwixVlOXaDTm3HIpv9v1MPsl9mdJC1iPlTeqKUFwcWnzWzQEAgLfe5acHCykOn2Cz - Sr5+oC2SMpWjhYbQEDICvAEAAAAAAAAAAAAAAAAAAAB87l4Vy73eNTNJmRkR7t57X5esaq3rOqur - T8/M9Zq+3hetl/iZtZ6tq/X8c1/55z/1h582VUm992EY1mjwiKi1rvnfAAAAAAAAAAAAAAAAz6CU - QsVN8uJjk9JVh2Kh0jXo+Lb+td3+peNlvDP6fp9LpNI9XXmR3n21RsOUpjRP8zzMP6Xjeka5VEMe - MfV9Dt5cVr2aNMXYy266vfnMOz/6gZ/Y6mQjSZGayvo+2SAf5EXyQapSWfPX7UFrbJOMvGM8KxjK - AAAAAAAAAAAAAPCEeMrTDyHDKeUa2X2ROpwSX+LirXM1sPvBnTx0lum9y01mQx1rre26dYxJLzac - n58f7wZZSBpGU0jm8SDrnsRuAACeNnu4PFrrpw43hSvscNckWZLbDQAAAAAAAAAAAAAAAAAAAOCt - 1HtfbzPTzC5ztWutZtZak5SZa/b2muT9WOsKmenuvecnP/mpr/qqr/70y6/UWsdxfPnllzebzXa7 - rbXu93t3LgEEAAAAAAAAAAAAAADPtHzQITkUa2NYLRqyVN3+yAc+Npyf5P2srvWhK/1hXXSce7Iy - NVTf7TZTm+amltEjjsYhJvf90d/+1p840ksb7SylaF5ka5NAuazKXHKTyqGnoB+6C5KwjmcOJV8A - AAAAAAAAAAAAADyDDoUuefXOOm8plVplkvv9ZT/3eCjn+zqwaDlntlHSNCm6JLnU0yWn3AEAAAAA - AAAAAAAAAAAAAAAAAAB4vq0p2qWUiJimqbXm7sMwtNbWDO+TkxMzm+d5Xfha27kM+d5sNuuS3/md - 33nPe95zenoeoePjW/PcTk/PW4tx3GbSnBgAAAAAAAAAAAAAADyjLpKbTSoKUxwawHpoVEhVw6jb - 7771J4/y847LxpTpLT3SI03r9KDFbcrS7XCXVrJvvTDloDmipDxyGFzmc1eEtn5y5HcG3TVtei6R - oeLL3J/2LgNPB7+AAAAAAAAAAAAAAAB4Nrkki0eXpzIlRcgVXsJ9GK9dy5hidjSONk0q5RA8boc+ - OBf76nk1khwAAAAAAAAAAAAAAAAAAAAAAADAc8PMpmmSFBGllMyMiN67me12OzO7f/9+KWVd83W2 - k5lm5u7n5+e1VkmttV/4hV/80Ic+NE2Tu5vZMAzDMHzWTQEAAAAAAAAAAAAAADwLck3vlilSKUWo - yTJCo25/51/4/nL/br+fvqx9YeNikuSSK13pl2m5h/TurOTnvsVS4T51Lcv5WEv1ofccRlPWcTn5 - rm/6/lFHo3aSlj5LPoxb3gI8nxj3AAAAAAAAAAAAAAA8a0zyNdU6dVG6JElph1KmVKoUmb3zi794 - v7TzOZ/avr6Gnr3P0xjS0qSMUJ9b3Q4PDk3qUn/KuwkAAAAAAAAAAAAAAAAAAADg/2fv3mJky6/7 - vv/W+v/33lXdfS4zwyFFmjIcwEaAvORNb1EubwHyosBAHpyHyLSsIZHQlkVGiiyJpAQDRiArgqgL - RZm0SJqRdaGo0Ipj04CAOAEMBfZDDEWRDdKiI8ZSdJnhmXO6u2rv/V8rD7uqL2fOzDlndO7n+8Ef - ++zaVfXf/11d3eiZXrV+AAAAj8cwDJJqra215WZEZObFEG5J4zguwdtvJnP36ap5ns2stXZ4uP7i - F3/tE5/45DyHe5XU9nY9pgEAAAAAAAAAAAAAAJ5VuR+SpKY0T1MbbF102Oudn/reX1rPV7qUp9KV - prTlGZ6qyqp0T3nKMyTtkryToosHKU1TC+vUSpaabTPnKO+71rp649rL+tNSL3lv3dCt5sjkxcfz - irc+AAAAAAAAAAAAAADPqriY271IpVRCrgyd3vrBL/8v6+vXUwp7LCt8U6486Puynf7Sv/vvKUPK - MtTN6alyF0yuXQa5dreeuPBxAAAAAAAAAAAAAAAAAAAAAAAAAA/LZrOR1FrLTDOLiOVIrbXv+2ma - SimSSilmNk3TW0y1PH1J++66rtZ6fHzar+rHP/6JX/iFX4gIs7JMeBbyDQAAAAAAAAAAAAAA8Ezb - Zd2GTFJolMJSNne9XggdXM0XDvOohCyly11t0+TpkkxhkmeYQlIa+bkPWIa6rjYpbC4ZK6vTJsu0 - /txHf3ml652GiBazlCpepmiPe73A48GPHgAAAAAAAAAAAAAAnkF2Htody+1lYzIt21J17apOT062 - 4+353o9fVPfTcXu97w+tqM3L0dXBsOwsFVl5lkye1D8AAAAAAAAAAAAAAAAAAAAAAAAAz5HVaqV9 - Mre7u/s0TZk5z/M4jpJaa+4eEW+dt70kdrv7PM/u3lqb57nrymYzh/SDH/3hf/AP/2HL7IZ1hLpu - eDRXBwAAAAAAAAAAAAAA8BichXDvArl9Cb11eWvNpME1b/263v2z3/P5emtdW7WULaUZFmHK/QyW - 8jyb88nrffv0s3RXiaZJmi1rl0Op9XT4D//9/3TQizUPiixydpdSrWVxu/ukwLOI1tUAAAAAAAAA - AAAAADyr7lyWNMcsadpu5K6rV7fTtBr6R7uwuzuN6aXDod0aD73I3IuN2+0bH/ZWXXMAAAAAAAAA - AAAAAAAAAAAAAAAAPLtaa621iFj2u67LzCWou9a65HYvjzR709bD8zxnZkR0XRcRrTVJraWk1apG - 6MMf/m9/8zf/r+VEZxMCAAAAAAAAAAAAAAA8c0I5SyHb5XbnLrvbTF5Lt9RNrKs6rdd6qd46qvO6 - hEqcRX7vKyssZLt5TKR3Pywlaja5K01z07yZX7b3/uX/5INV66Ja5J0XmVqoFHPyi/G84q0PAAAA - AAAAAAAAAI9Iptzd3Xc3gEfmcmOZ6lVStzqQu9ys1KWhzBOllzbH2wNTaalUKvthuPiNQ8UDAAAA - AAAAAAAAAAAAAAAAAAAA8DwrpZRSlo/slVK0j+jebDZLGvdyvNaa9/CBvmmazvaX5242s5k2m+l9 - 73vf1772b7xWuXddt91uu26IkLtn5txGGd2lAQAAAAAAAAAAAADAM6BJTVIzNSnkkltWZVG6F8nk - Lpf3uv7ZH/qV1XRlmNex1arUNqlYuqu16U0KKaiveJA8Peao2Q2dTZPU6bC/8skPfvZlfbNraCaF - F9VmUpVu708MPEdoZA0AAAAAAAAAAAAAj9TS+0Nm5/vAw/KGqoC8fIdLrpCUrnziSghM8lSN2N86 - +9fPdu3iowEAAAAAAAAAAAAAAAAAAAAAAAA894ZhOD097fu+67p5nltrb2MSk/n+E1evvXbzAx/4 - wO///v83z/M4zn3fHx8fr1arJRG867pa6wNcPwAAAAAAAAAAAAAAwOMQZ+naKTUpzxq+RlW6LNIk - U5F6HQ168Z0Hf2ad16+Uo/F4vnpltd1ma1O/6pZHhl3ojWtheYdT4k/icHVoc+aYgysm9ePRdb3r - UNeK9i92SppSk+m8LzHwvHni+m4DAAAAAAAAAAAAwLNqyelemnEAD92FKO5camP2b73zxGuX3PMJ - zpAvKU/pbO3pud+3XfL4ciMey/IAAAAAAAAAAAAAAAAAAAAAAAAAPGlaa13XnZycTNMkKTO7rrvf - SUIpK5GKlLm++jtfe+WVV7bbrZXitR+G4eTkZDlRZp6cnDyE6wAAAAAAAAAAAAAAAHj0Ii92qs1L - kc+h2DWGzaHq+o984Kfz9VV7vR7a4Xi8OTwwLzo5GW+b0VPkdj8Mm5PTrvQ5Ko/1Qr7rJz742UFH - Nncml2Lfg3g2zRLR3Xh+Ed0NAAAAAAAAAAAAAI+DmSTTE5uYjGfI5XeZ33bfk/oeDDtbqu8WmZIU - UmgXTF6k8nhWBwAAAAAAAAAAAAAAAAAAAAAAAOAJNc+zpFJKZkqqtS4Z3vfJ3avkfd9HKJp+67d+ - +0Mf+vDx8XFESDo6OpI0jqO7933/YC8BAAAAAAAAAAAAAADgcfCLvWrv1LY2TOGSUq6DQS/+7Pf9 - j+9c/6nceG/dPOY45sGVPk2xPPw8Ljpk8VCX/hzq+3672Vwdjq7oxdXrL13Xe6oOJBV5Wb6UFi65 - XHnnLyfwPCC6GwAAAAAAAAAAAAAenaXTB/Ao2JJv7ZLv4q73lvImSU27ohnLJ7GA4A7lVGm5LFtS - ylLGtxQAAAAAAAAAAAAAAAAAAAAAAACAvYgYhmFJ146IiJjnueu6tzHVNE1d143jKKlWby2//OVf - /9Ef/dFl2pOTE0mllNPT0/V6/WCvAgAAAAAAAAAAAAAA4JFz2dLMViaV3V7IZ/nSw9Zd1RVSyCRV - 15Uretd0o/TtILYZk65fPzg5GVOe5nmpu+wT2Pv2aRezj33fx83av37t73zPLx/oXVO4ihSyVNPc - 1ExuKo97qcDjxE8fAAAAAAAAAAAAAHikLqZ3p4gdxsNku2Tui+852+9oeQeaLOVPzzsxlrWblPsL - e3oWDwAAAAAAAAAAAAAAAAAAAAAAAOChcndJZjbPc2aamaR5nu93HvMq+TRN6/WhpEyr1UvRz/3c - 5z/zmc+Y2TJ5rdXdj4+PH/iFAAAAAAAAAAAAAAAAPHJFsiW3u+TS/zVSKZuXG7brbRvNJGnQtV4v - /OwPfuagXOmtXw+6efOkdAqTsipdutA5NsnPfZDCYhvbyFzP1z73fb9yXe9e62rxvl3sRKyQirJK - Snt8awUeK370AAAAAAAAAAAAAMAjkqnMzMxl73EvB8+4u7zDzmtl4iEv5O3ahYsr9gHkZ0fOLy12 - y+fbCQAAAAAAAAAAAAAAAAAAAAAAAICk1pqkJVR7ObJkeN/vPJlp7l3fn56eLtPOLeaQu/7G3/jv - v/CFLxwcHJjZ6enp9evXl5MCAAAAAAAAAAAAAAA8vVLe5E2+a/m6awLbQnM7a6acklzK1BxSSfU6 - cq3GWy3mzLR5Vq1V6SmX/Dy9O91oIPtApakcapy39eTKoV5e6aidyKRcXnVTURRJIrcbzzuiuwEA - AAAAAAAAAADgkbqtx0cSOoyH46weJiVT+HLIdKHOaTnkJVVS++olv+ftQ2Zv/b0Ru4XY8ljqHwAA - AAAAAAAAAAAAAAAAAAAAAAColBIREXG2v2zvf6bImCPC3GutZpapWjxTZvq+7//I//q//2+tpdVy - fOu071YP/EIAAAAAAAAAAAAAAAAeh33Y9sWbi+Vg+lnjW5ey1bXe8bmP/MpqOtJJXj9abU/ntJBd - qtbwpTsu7sL3Y9dJ2FOe+67CpjR5uqcvUdx+XF6q7/7bH/lc0aAotVdMt33teNUBvg0AAAAAAAAA - AAAA4FExk6TVanV+Q7LzhGXggUpJavKQipppkkWaQh7ypeDGVBW1hlwheTzM8daLDbt9pMmLIuXu - arl7/q5waFd6la50KfcDAAAAAAAAAAAAAAAAAAAAeA4swZOZuwra1tpjXQ4AAMATx93d/WxfkplN - 03TbkbvMkimpzXPGPM/z8tvXPMfy6aap6Ttf+cBv/6uveBm8rrZza61lpnmapxeZZ+SspQ/12dgv - kH6wAAAAAAAAAAAAAADgSWPnNQ2u8+61xdW5iu0Py2TyIi9SWvauo3zHod5rf7w+bEftOFZdlc1h - Y2azjDSZqyqr0jPusojnmmf2mX3Kl5RumWqqhiyUJvXajBq6g76ut6EuVy/e/Oaj33/Xoa6YrPk4 - 5dRVVcli6dZru5B1GmDj+UapFgAAAAAAAAAAAAA8Umf90URuNx6+3bstQxkpLT35/OJ9afu9cMnv - eStJFq57HW9j8ZayVLn81CKV5Yqk2F8Rud0AAAAAAAAAAAAAAAAAAAB4SPLJIykilrDJiCilZFJQ - CwAAcBe11mma1ut1RLj78hvU3QO83/DBqAi5yUybzfYvv/LK7/7u18d58tKt14eSWmtd103TdPPm - zfV6/VCuBAAAAAAAAAAAAAAA4OGws5Tn8z03Vbs9+tZN7lJmM5MmDbryi3/zf+6Pr/WxzgiTZDLL - dCmVqYiMaI/0Yp4NKUnuctc868qVcuvWrc1ms+rMNt3B5sVP//Df63TgKinVrkiKcddqeN+EmLh0 - PO+I7gYAAAAAAAAAAACAR8fMWqNGBI+EKSVbgq4l2XmZjKWU+7hrz6nEbCGF5T0PRVGU3JVRlbzL - WEqtwt503Mb3od1FKulK3y04VKSqC+vXvpbrrk1yAAAAAAAAAAAAAAAAAAAAgPtnT5jMdHd3l7Ts - j+Nod4+cBAAAeN6dnJzUWl977bVSSkSYWdd1S4D32+Cuacrf+70//I7v+I6Tk9Ou6zabjbu71e1m - 6rru6OhI0mazeaAXAQAAAAAAAAAAAAAA8ARxq5Kyzq550Euf+YEveavTNnwupdXmSqmkl/Dmmsod - mtDigjAbzUbZLMlSHmrmzTyathv1rtPTVg7MilbzwRVd/+QPfkaqrmGWTRGSR8h7XmXgEqK7AQAA - AAAAAAAAAOCRaq2Jtmh4+JaeMbvcbrnkKY+lUCCl2D/CYi4xl0yTTK57275dnve6VSrPv1VcJrlk - MklLmPfuAKHdAAAAAAAAAAAAAAAAAAAAeIjyCePux8fHETHPc2ZGRN/38zw/7tcJAADgSXdwcCDJ - zJbfnTJTkvvb+bBUplpTrXLXV7/6O6+88sqNGzdKKctva+4eTcuvbctJAQAAAAAAAAAAAAAAnkkh - SUptUlPV9aqX5xvdi6trNVyRkoe5pVt6uqzcZTbIQra8qPKoytpczWW19tXbpFKUlu7ebVb5x0Wq - a10zDVXr3tfTlLtaGFtG7OeNO54NeE4Q3Q0AAAAAAAAAAAAAj0jmeV8P4KHKs4qYlNIvFstY7kO7 - JWmWRbNopjCl7mPb7HxMfpexnNBTlve0lZTmzT2kZlLR1jS70i+s3XZx3lJIceEOAAAAAAAAAAAA - AAAAAAAA4IGxJ0xr7fDw0N1rrUsw5GazqbU+7tcJAADgSTeOo6S+7zMzIoZhmKYp4i0aE8cd2xaX - YplLf+MSoQj9xm/8s4997GMRYVZOTjallIhoc2ZmKfue0+lKesACAAAAAAAAAAAAAIBnTWtyN1dt - Uxn0ws//wN8fbl2r6ryEZ+dRI61ZLMUWaY97uU8yk+c+dVtSVmUN8zDNLaOpFK+1nI5adYfl1urn - P/aFQ71gGqYpq/pxzK7a2VR7uy7FdvEY8JyhbAsAAAAAAAAAAAAAHimiu/FI7YO6U54XD0r7xjGx - D8C+v/Tu+xImS7d06Z62kjdT2L61jcVZGPnl6HHtOuBYyN6iSw4AAAAAAAAAAAAAAAAAAADwjFjS - HyOitZaZ8zyvVqvHvSgAAICnQN/3y46ZZeZ2u5Xkft99WVvLYegkTVMzU63K1Je+9Gs/8VOfmKbp - 6tWr8xzuteu6rg7LWQAAAAAAAAAAAAAAAJ5VEU3y1rLv+qrVkd5prx7WNhSVIjOzsGgZLZV599me - d3axg7BCJnmYD8MwZ4xj3LzZjlb9dDNX2+srXS866DQcdkcurTpb+l5vt233bPGKAxLR3QAAAAAA - AAAAAADwKJlZREiiVAQP34Uoa/O4WCFgWgpvpFSob6rLu1IK+b1sJZVQtx8lVVL+hlEujF3G9huG - 32lIkRZhKckVynCpkyykWWpS+rLgdnbgUb60AAAAAAAAAAAAAAAAAAAAeG7YE6brOjNbMibNrNYq - qbX2uF8nAACAp8M8z6enp+6emZLybX3Qz8wytV4PGYomN7WmH/uxn/zFX/6VzTin2xRtO0+SaumV - vnwY6oK49OEvAAAAAAAAAAAAAACAp5BJMUfXlXG0Ug4jo0qHevnzP/SlcjJoMmXKIkpGkejHfDee - sou53Xa+/43XT46uroZ1uXZlqNNqOL36uY/90qBrvVYWvjmZLGVSV9VS/aqk4vYXO+nei+cX0d0A - AAAAAAAAAAAA8EjtoruBh8kkly4U2PjZwf3dcXZ3DdWmsj9wL1vPywUHb1Z5k/ttKu3O446B3mfL - lCTFLqlbsQsdl853AAAAAAAAAAAAAAAAAAAAgOfJPM/DMEzTtKR3z/MsqZTyuNcFAADwpFs+2Vdr - Xa/X0zTl3tuYarMZu66cnm59+dRTyE1m+uhHf+jLX/5ym7N4Z1Ykb6092KsAAAAAAAAAAAAAAAB4 - cnTF2zyXfjVGuqnIyzQc6cXu5pVyslKLsFkecrlkl6OpcQcpC0lL295IS0t5arXWNG2225an5q8P - r/7rTafrVYcxmaT1qpM0TZLkrsjb+vu60s+7BAPPH6K7AQAAAAAAAAAAAOARKcUj4urVq2pNZhkh - aWrT414Xnk2m82qYlHIf5p0hmZrppI2SKdxGW8mK1IW6jHvZWmqUat/PsmYKL800pcKtmTfTbdvZ - NOvOI4q9caSbp0pIUlhIc2jeqm2tqUpVKpK5pCqv8kKQNwAAAAAAAAAAAAAAAAAAAJ4b2+226zoz - k1RrfXt5kwAAAM8b9/MWrGe/TS2/StVaJZVS3vjINzNNTVKEYvkMVMhMrem7v/tDv/mbv1lKMbMp - WnrJzFLKkuG97GTmvZwCAAAAAAAAAAAAAADgiZZSRi11ltKLSQoV907rz//wr75sf9rSx8yu67Ip - m5z2sW9tXxFsIaU3n9NnS9WIDHlRMdU2HBy/8Os/8087XXGtSq1nz+76pT9v+JL+rUxecEAS0d0A - AAAAAAAAAAAA8MiMLdy973uVoghzl1RLvesTgQfClvRu19zGUVMtfcp02q5ee/GGUlKY7nEraT30 - N8YxzbwfttG861XLtmW4hZdlq+oqVdWtuhW744jMN47M9LASJZb2N2m90iVTNqmZmtSWdaQUS6kW - JRAAAAAAAAAAAAAAAAAAAAAAAAAA7s84jkuudmttGIa3PU+EhqHLtFdeef/Xv/7/ZpikrusyMyJK - KZk5z3MppZQSS+I3AAAAAAAAAAAAAADAUy13m33qtCtUcriml3/yuz/dx0ExnRxPljoYVjHlW8wE - SUpXVuXSsDpksyss1RW1rbTVajr8/Ee+oOxdqzZLKVnIlrjvkGZpl9utXW6372KLl1tkeeO5RN9q - AAAAAAAAAAAAAHhEXJqm6dVXX5WkTEmRYRQs4GEzxVlud0qmSVFUmrKoaDj4vZsn3XBlYzZJo+me - tqZb23Hoy6w4Hrfe2RjT6dy6g26rtlUbs43Ztm0eYxkxZ86ZEbcPc50Nt92AICCqAAAgAElEQVQo - KlW9q2+SZ11O3M1zVbju1JWGyisAAAAAAAAAAAAAAAAAAAAAAAAA96/ruiVaW9J2u33budr9MGy2 - 03ac/uCPXvuv/uK3v/qN11ar1enpaem7puy6zt3neV4enMkHogAAAAAAAAAAAAAAwNMvXQrTLM3t - LB63uWnd6eqVfOdRHq27OtQybeehGzyJ0H1TYZ7mKZfctIvZtgyX2kZX+8MreuFwur7W9Rfsm4qq - 1+UxcWGkKW2f22235XYDz6v6uBcAAAAAAAAAAAAAAM+Loe+Kl+vXrmueVUpGeHHdMYcYeAgsJSmU - fa0pucp2M67Mb3T169uT1XqwvNd3YzMfvZyM01ysdHUzbufM9eFqmmeVamZLQU7ZF+aYWWZK8cZC - naHrz/Z933DGslgrtdZW6u93pq4q3Uqx3UXshFQo/QEAAAAAAAAAAAAAAAAAAAAAAADwJzCO42az - 6fve3fcfjbpv0zTtnpv5ta/9m/e//wN/97OfLdUjtN1uOy8LSRHxts8CAAAAAAAAAAAAAADwBHFJ - KlKTcrnZpFSX6wN76cc/+In3/eh/oX7aaDt03TzPIrn7LYXOErt3fXrTQumdrN0s/a3Dn/7vPjXo - qEQ3ppqp9/PnXbAUpuxzu3fHHsHygScU0d0AAAAAAAAAAAAA8IhM07TV/Nprr6nu/labSooW8NDc - qRbJ1NRcKtI0zquhl+L1Fw59YzWjxL1Gd29q90f90W98/Xd66XSelzjtON4sO7mvxrn49o4LVTwX - V/b1P/zG+Y2zXG5LechGxYn6Ic2jFpe1mMyL72duUpNkcup/AAAAAAAAAAAAAAAAAAAAAAAAALwt - fd9rn6jt7pJKKa21+5okQl7dZfM811r++T//P7/ru77rxz/+Y6UU9zqOY9d1XddFBNHdAAAAAAAA - AAAAAADgWbArf/Aq2b5PbFmSp6246gv65uvje2zw8D+utW42p05095tLC5mUKuGSKyNN4bLmR901 - 3VhdHd95Td80b6Pv1BVt5yYv+0a/S9/f5UviF7bn7X5F/148r4juBgAAAAAAAAAAAIBHpJTSefmW - b/kWnZ6qVuuPTJpjKs6fbvFw7MthfCmfWUqXlC7ZHL0PbVKJ/Lnf+hdqo8IvldK8tdKpP1gfDCmt - +vV23Hqt23msXT9Oo/a1OfsAb0tpfrPZDw7P9y9EdzfPptMiT9WmtUkur941eUglJcltVxYUkivs - jmnlAAAAAAAAAAAAAAAAAAAAAAAAAPAmMnOeZ0m11sxcQrXvN7dbkpcSc0sLd99umrn+0T/69b/1 - I//Dd/21v3Lt2rVpczqOY631LCA8Ih78xQAAAAAAAAAAAAAAADxCaTJJ6UX7RrRl12DWoq786se/ - 91Pf/rf+fH9wcHp6o1/71KiXeCvNwrVr5uvh4REmN42nOjg9/LmP/OJa10p3KJMUXbWUbu/Hmxdu - XkrqXl55mvfieUT/dwAAAAAAAAAAAAB4ROa5jWqvvfaa1msNgzJl5k69Ah6KJQX7rELG90daNDcz - FYVKkayoVI1NZa289yoCk3soum64NR5LssimaPNmOWW7sAhb/rU3zJH7lb1xuWklrOhQ7pL7vH+U - KcvFRahIUug+UscBAAAAAAAAAAAAAAAAAAAAAAAAYMfMuq6TNM9zrbWU0lq752jt848H7h7vtuxk - Sqaf+dm/8+73vOvbvu3bXrp+LTMzMyK6rsvk81AAAAAAAAAAAAAAAODpllJILllKS2a3aTaZqTQd - lMOt7FDv0M1Vhq9fHE5i60YT2beSrshQuKcrJcXUyUIlhs985Oc7Xal5ReayOdRCJvX74hU/b/17 - 1uY3z5r9Rii0fLFI78bzh+huAAAAAAAAAAAAAE+Ns7KKkvvbJslTyiW7N0OhEm5ZLJbs4EhzLdG+ - pjQ1U5hSLilNkmJfVuBpkix3xy+yzOUu323Dcrdzz8t3t9oNq7/65S8rZlVts5m8qC5XsyxjX7lw - 79MCd3exKql6dUnypXQmqtyqVi4NuvfSmZQyQ9pM25CGVb/ZjLLL+dz76py32UYmlqcPWmp/lhRw - u1xhdb5nd4gGBwAAAAAAAAAAAAAAAAAAAAAAAIC7OT09Xa/XtdaImOe5lHJvud2XZZZaWxtlVtxb - a14UoR/62N98z7vf+x/9x9/qXV+LN6WZjeNYKy1hAQAAAAAAAAAAAADAM2FpF5sy24V5S6nw4gfS - 5jMf/cK3/8h//vrJ7/RrzaE0Xzoxe+4aMqdk6ZJKSBYphe0aRNtzlvO9v95LhSulDVfauwZdW+sF - zVVdbGIzeOdv1o2XfHTgMuq0AAAAAAAAAAAAADwdUmpyLX/mzH39QNEsTWpFkfNoSrVWm3U6Ct3s - FJLVbnVjPE4r3cH6G8fH9ehgk/Ns3tybKeVtn2PsbZfMfRbdvZwkWztcr7fHt9al66Xt8cmVYaVx - 7HU/VQjZSYeb6NUPutaflHlUPZC3VLOltkQu+YXaBpKI8Sdhl/45fzv5Ur9UdindbpI6ndU13cfs - 1iSTpXKzGaU3fDu89c07L/eCsl+vLi3Nzx6fZ89y3fYgAAAAAAAAAAAAAAAAAAAAAAAAALgHmble - rzPTzCTN89xaMzMzWw6WUuZ5Ptu5/OxLjZLbvHzMKpuapGi7R3zwr/y1n/nkT3/rt/4HU5vkNkWz - auaZLSKi67rWMjP7vt9ut6UU0T8ZAAAAAAAAAAAAAAA88UxRtLSn3QfjpnqTNMtCKqay0jtm2d/+ - 0N/7zh//z/745te7o8Pj+VSmWrTqNTVtZ3V9iamUUN9CFrMrXM1cUlE81endl7rlpqRdWvlyT76h - H29Nc/f0edvmVe/zVqtu1Z0effbDv/SC3qupk2lWuBcp7I7NeO32HZMk990+/XvxPCK6GwAAAAAA - AAAAAMDTJu/QduLm6c2X1mtF01C+cbjyHK9016Jtx3HTDVWHL87ur966Na/Wv33zOKRZalLsQ8CX - COBBMsnPErv32166cev4inSozYn070gvd936YK1xLHnPtRvZaeyOrx1p1bds0tCpjJvt0TAsi1mK - GZZaEDM6a+Bh2ZXIXCrN8beTe728S+3y9+ODeuPam960O+xR9AMAAAAAAAAAAAAAAAAAAAAAAADg - 7VgSuyVFhLu7u6QltHu1Wm02m3mej46Obt26FRFvOdNFFz/xFNvt9OEPfc+nPv2zf+7P/dmuqzeP - b1y/emXabtfDStJZUvgSEP4grw0AAAAAAAAAAAAAAOChSy0p1ClbblnKUtHmbSmDqg5XesFfX12/ - +tKr40ld9euh3Hr9eJJCKp02p60vnaSlLfTzXDxh4eN2UuiFF1c3bmxWfVdOD17Sn1rpqrVBWl6d - pS5l2cadG/O+4UUktBvPM6K7AQAAAAAAAAAAADwdTCq7TG3fx1xLUpFMpV9fn3NUzvWo/6mv/gsp - FK4sSpdS243WB3K98+Ao99nfuZvrXOxrDc6iu89iiNdFU1ORDqSvSL/7tX+tWi9UKtyDlFrIpbWy - 9FXqJKuDTua6qtWVJtM+SNxlFDMAAAAAAAAAAAAAAAAAAAAAAAAAAPCQZWZElFJaa6WUcRzdPTOX - GG9Jt27d0j7b+34CvHfc/Q/+4I/e//73/+qvfvHo6ODFF1/cnm5KKZvNJiLMbBjWEbEdx4ODg2ma - HvDlAQAAAAAAAAAAAAAAPCxvzIgOZWjcqD8YOk3SSqutDj/9/T//7T/y5/ujzc3xeLPpevNti36l - nHR4MMxbhWxb3EwlVVJhEc9M2nRKUlx6qUKSpbSknu+4LA5WvaVu3tyo0/Y43rV9+VPf89mibvdi - mIq8aDDddwUL8NwiuhsAAAAAAAAAAADAU8PO/rFLB4vUWkZTNxw2KdfdVttBXUzZd6vMtCuHm3Hq - uu4P3XbFCOn7p5tLJqUUFmlxdtcumdvD+v7m9lSdaU6XSkpXr0iS+ZK3nbr7VgrFrKKN5lSuZXG8 - se5AXZWklOlyWnhevGYAAAAAAAAAAAAAAAAAAAAAAAAAAPCATdPUdV0pRVIpZZqmvu+Xu+Z5LqXU - WltrtdZpmt5Gbrek1lqt/vXf/f2/8Bf+yy9+8VemsWVm1w1TbJbzZqYkM5vn+cFdGQAAAAAAAAAA - AAAAwEN1OVrbzvowS32vVGwj3eug1Cp0dTW/qO2r63W37g9PNyfrg3bzuHVF03Y0DWmaXSZ5yFOe - MoXlHc76rLKMYvXmzXHo1fXdyTR908F7P/1X/+6BXizq0mYrVbkEfvuS8337lwDAnfB9AgAAAAAA - AAAAAOCpkVJTzIpRsZVGaV4SrpuKSl9W1oqrG7OYjkZ1Xdcrm1lGzkPfSVGKSSFLs3RlVVbJpSK5 - lGkKU+5HmEJqlptJcoX5sAppcpN38k5eZPc6Ziub0r0uuVZ9FDV5v9I8yVKudOUSSe6SS7ZUPzzu - VxwAAAAAAAAAAAAAAAAAAAAAAAAAgGdX13XjOEpaYrNrraenp0uWtrtHhJllZmvtfmYNaRfyXUon - aZ6jFPuX//Krr7zyyjjOXTccHx/PLWs3yMrp9iQthlU3t1EWdx4AAAAAAAAAAAAAAABPmvSzVNx9 - cUPIpExl8877Kp80aL3SS5/83s9dtRdOvzGd3jp299PjWB90bjJLKaRspmbeTCF5qjwD5RIppcIU - Z42m7fZhZ3nnpmmc3/GOw6wK+WFerzePrund67xe1IeyaU7bNelWLi8+gLvjWwUAAAAAAAAAAADA - U8Oksv8rZy6h3Wd3SHk6Sq6mwWqRu6rSZJYRbj5ut27e5l3pRqqF2qw2awpNs9qsti/wiH1q93Ie - eS2SqZSYRuu7pYxjijnPHnEP25CfqBUNmqNkp21Ta1p16mw2TUt5yG2VEwAAAAAAAAAAAAAAAAAA - AAAAAAAA4GEyM0mllFu3bpnZer1ejszzbGabzWZJ75bUdd39Tt5a67pBUmtZi/+Tf/JPP/KRj05z - rI+ulFJOTk4ys9Y6TZOZlVIe9MUBAAAAAAAAAAAAAAA8TPsm0X7+r8uKMqWmVDXlaCtdC63jtdW7 - j76pL6VWXw1Xthuz4iHJZtm8dIRuppQsZZLnnc/5rOo6u/H6sYqmTavHR5/47s8MumZtbSqSUhmK - S22vAdwDorsBAAAAAAAAAAAAPCVSCleopnqpU9SLAdsmW/eSLFVGDaHVJMuiWWZ1ntowDJJkku+3 - RSrKouZqHukhC1mYwhWuKJpNs3KOcSs1zZM8c55kkuZaXJeDtt96FOlIg0/Ra9CmqRtUfPQ4VhsV - oUgppGZqpiY16h8AAAAAAAAAAAAAAAAAAAAAAAAAAHiY5nnuum673ZrZ0dGRpMyc53maplqrJHfP - THcvpUzT9FZz2Z0Pt9b25wqlfvWLX/r4x3/i9PT04MrVUkop5WB9lGHTNC0B4bfPmjI+bQgAAAAA - AAAAAAAAAJ5AFrLQrkf0Yun77PIqC0WTqatmrV7Tuz7/kV/tTq6Z9PrJyTjO85Sn2+hWSgvZXDJK - eFM/e10merNijKdFmOLsEuytLmfJKTdThEpfDurVq8cvv6T3FA0KKd1lS9/s84bXAO4N0d0AAAAA - AAAAAAAAnirpSlcuudsqkinkGdF2f/9c6gZiXz3gVfJaB8lbpLxcKuTQhafc6dhyCjdp6YwRueRp - n53q3i01I4NXhdR1mid1ZasWMj+vKJGkJLQbAAAAAAAAAAAAAAAAAAAAAAAAAICHr9aamX3fL7HZ - 0zSZWa2167qImOc5MzPzLH77fpVSImJJAa/V3TWO80/95Ce+9D/92o0bN2rtT09Px3GstZ6tAQAA - AAAAAAAAAAAA4CmwtFHO0MVGzSnJJVem3NSlXDGlm1zDgd7xqQ99vmvr1eBSHB6ta6/NKJlMqhGW - kkqopu7QRvrZFqZpyitHw3grysnqcx/9pZWuKqqqJNnyqi6Nq4nuBu4H0d0AAAAAAAAAAAAAnhK2 - D7g2SX6hMiNSYdWaRSvRirI7j/U+f65UvWhOhStcTWquJmtuTdZccXto9nmidqrKLNyaexRrblkt - z9Zwb0NqUpxFjq+6WSoqnTRIfcpaWOxWvVwr9Q8AAAAAAAAAAAAAAAAAAAAAAAAAADxUtiep67qz - 4+7u7mYWEUt6t5m5u6QlinvZP3d77nZI0dokxTzPkuY5IiSptfzrf/37/4/f+GchTy9NpuLb7fb2 - CQEAAAAAAAA8N5b/RblsAQAAAODpYCGFLJWhlKUkpSklWVHpZZKlbPIhZaqqg666rg7TS2VemaZp - fr1JabJU2Q9PlyzM056B9G6/Y2pwpsxUa8mUyeZJtVRJUTRu2sF0/eb/M1cdlDyU2xiTTLlrWG27 - 7tWm5L8ggXtDSRYAAAAAAAAAAACAp0NKTVpqKXbp3TpPtw7tMrxTEYpmSlOawu6QgL0cLrsc7fOt - 5DJPeZOnPPZDdxi7ie59xP4SlhqHpfKjLLdiubBdY45dQPntTToAAAAAAAAAAAAAAAAAAAAAAAAA - AMCj4+6ttcyUtNlsMnMJ357nuda67Hdd9zbSdFrT+973l37rt/7vo8Or43YupZvGNgzDA78EAAAA - AAAAAAAAAACAh8VSqUvBuLnb7Eek5lRb8rljLEd6+ZMf+vnDfHk9DJutPFWWltBLZ+aUR3gq5e3Z - jabuOptnnZ62CNVa+95PT2elavEuD4fXr/yDH/vHB7qiOSXVWptCClsabVvI1LTrdw3grojuBgAA - AAAAAAAAAPDUaNIsTdIsNVOzXXGASUUqyqqsiqIomqVZirCW+0jvVMhCFmcT2oUs7tAyi8td5su5 - zocptcsCz/2TU5GaUvO9bWN54j56fFmzd/L9jH4eRx7nSd4AAAAAAAAAAAAAAAAAAAAAAAAAAOBR - WuK6JZVSSimShmGIiFqru5tZZi6J3fM8nz34PphPs/7i+77jX33lKwcHB621bjXcOtlcekjKdu2t - 6R8LAAAAAAAAAAAAAACeQEur511hg+Wu8XJcGhGaQ3Oa+q4WrQ/0XvvG9XYyXTlSCRt8tYR0pxSm - olYj07yZpz3dJRNpyjsFkEcoQutVrcVu3Zoy7WDoalmNW+/b1V/8/r9/Te8ylSip1BJ63na9ql3y - pHc1cD+e7p8jAAAAAAAAAAAAAJ4rRSr7P3OmlLtCgX3XibTze+5ck7CT+wKOlNp+5C4xex/vbZJd - vBm7m7uxiP0Z7741hUu2VJCkLOW7ShLJXLbL7d5VPFD7AAAAAAAAAAAAAAAAAAAAAAAAAADAY2Jm - 0zRJaq1Jmud5Ob7ZbNw9M1trZ+ndb4O7S3r11W+88p0f+Lf/9vdMpe9XtVYlrWIBAAAAAAAAAAAA - AMDTwmUXGimbzgopUkrV3OVML62gI0NdDiu99Lkf+EK3ORqa11ZPb54qFaZmSgvPMM1SPMN5uxFZ - iiJC8vW6Kr21PLmxOfKX6q3DtV5c62pru+Byk3av3humedTrBp5Oz+yPEgAAAAAAAAAAAADPGEuV - UG2qoZqqUpG0y+12yWUumdKWgGzJTe5Z7OJj9tKUpnY2lhjtlCIUoQxlWO6TtqXlPilczZaihJSp - mrp7HEW1l/rcZ3/nbuZYLqJI/ob4cAAAAAAAAAAAAAAAAAAAAAAAAAAA8MhFRNd1EVFK2W63tVZJ - Zubu2+1WUtd1S4Z3Zrq77vRJxrcwzyF5RHzlK1/9rz/43xyfnozjGLF8etF3Y5lttw8AAAAAAAAA - AAAAAPCksV2X6PNY6SV1W/sm0cVUTdVlkszSTUXdWi+8I/+MvXZwVI+Ohl7a9YhuLllIYQrPeDbS - qZdW2JeOhPquTlOMYztcHc7b2a1/6cq7y63Dn/veXxp0FFvrS29SjKlYItEv1aWYZOQRA/eGbxUA - AAAAAAAAAAAAT4+8NM4yuiXt6waq7PYagrNHShc6VuSFwO9lXJjfconSvvAn1QvFDS5pn9593+t/ - w7NSavtj5+tczn25ogIAAAAAAAAAAAAAAAAAAAAAAAAAADxiwzCM49hai4glvTszp2n6/9m7vxjb - soS+77+19t7nT1Xd27enp2cwI8uOI0WJlCjKQ5QXP+TPUywlfsgzUWQjIZhhGAPDMPwZE8nGDrLj - GNvAAAPmT8Z4BowQkoOwsFEcKzGRHcDCJg7Bku0EAjPMTN8/VefsvdfKw7m3+nZPd8909719bw+f - j7ZOnbNrn3XWKalKXdXrrm+SUso4jveT269DTep2s28tSfnl/+NXv+mbPtRbqXV8DNMHAAAAAAAA - AHgcar+/w/P1mSVZS+bTrs41qak1U70f8K4ta5IpWXv5/m/8m9vb7+x318PVca1pJT01va4lKa2k - 1bTyevd/fpsoJfO8TNM4DsPtF+6WMozjdO+zV+PnLva5lUzDZtfnpNVlOZ4a3cP9evd9tq+GL550 - NwAAAAAAAPA2UZKhZWwvLgp4KON9CmCvyf3lGvf73Cm9X19ZkpKW66M/dLSWnvRaei2p1/8ntSX9 - pQsQ+v1qd33x8Rd5JL20lJbaUtvD1fHTm3txasmatqb1vN7dOgAAAAAAAAAAAAAAAACAN6vWevfu - 3VprkmVZNpvNMAynh5eXl0nOz89PJe9lWa6f9NCdL7zj6+F4GIYhybr2n/u5v/MX/+JffHkC/PQv - JQEAAAAAAAAAnj49aanr/a2XT9ZkTuaSVpPST0ctGU9bNNdae5a1Hy7K2Vn+wMe/42/v++6ZW2Or - WR8skVhLXUuGnqF/SQV3+0NfqFrrPCdr205TUne7/e3bt5+9eNfH/8xP7XKrpba+lIz9dtucbXuZ - S8aSsTw0yOlrC3wxvpR+kgAAAAAAAABfyl6Mc780ev3KXvGCfv8zDx8P17Wvn9RSc+p25yVLP05D - v5k1CT15MT3+YKb1+lX6S68EAAAAAAAAAAAAAAAAAJ6E8/Pz3nuS0+26rqfz+/2+tXbnzp1S7v9b - wXEckyTtFcd5RSUlSSml9z5NU2/56Ed/6OMf//grZ7/L6xgZAAAAAAAAAOCt9pIto0tetonzg+L0 - afVFKWVell0u9nm2Xu0vP7em1Re3Yy4tXyqbM5feXnE9yXFuN2/ult4uD4dpGHPIzfLOv/LVP3CW - Z8ZsasZekp6yr70vSXlJ9xt4naS7AQAAAAAAgLeHktTUmlquHz90lAfbUbxkhUZ50Pl+cPS8/HhY - T7s+2sOf7Ul/6QKF8vqPpKSW+9OspzMvzrk8iHiXmtSaOty/GAAAAAAAAAAAAAAAAAB4Ak5x7mma - kgzDcDrZez+dX9f1dGdZllJ60jabMWlJ2+02rzlw61mTtizHJPM8n85+x5/+cz/3878wr30YplrH - w3w1boa1zdeN8FefaHv5AQAAAAAAAADwOJWX7a6cJFOyS7b3t19++KhJSa11TW8ZpvG8rm3K8EMf - /uTm+GW5e77Jxbq0lGU3DMuSVtPH+nZfAFH7/S9MP22UfX1+zL3jVZ3GpfWytt2yu3H7y57Nl2+y - nzJOGYYyZkzGlDqW6y/mw3tdv6SVDrwW+7wDAAAAAAAAbxuvvR7g0a4WeFnVu/RXvuwNKi9+fFlr - /KWRbwAAAAAAAAAAAAAAAADgKdJaK6Usy9Jau7q6uri4OJ0fhuF4PJZS9vv91dVVkrOzs1cd5VUC - 2x/4wAd+/df/z8vLQ2vt5s2bd+/erTXVPzcEAAAAAAAAAJ4+5SXd7iQ1p+L0q11fhiHDkLLMl6XW - Tc6O2d6Y33MxPpsl++2mHbO2ZburV4fMS+9v9zr19TbUPaXXktSeJD3pNW3ou8009nE3X/zwt358 - k5tjphc3pq7XwWELR+BN8S0EAAAAAAAAAAAAAAAAAAAAAAAAAADwBbTWhmFYlmUcx2EYknz2s58t - pdRa13VN0nu/vLwchmEYhnv37r3e8ee5/8k/+Sd/53d+p9b6wgsvbLfb1DKvyxd4Wq8vHgAAAAAA - AAAAT6XWczy2MYezKa2shz49l3/zBz78N6ZlGNZ504b9WC4ve6n17GxT6/j2Tu6WrDW9pLRxaOPQ - MrTkQbd7SeZ5XY/rtl/c+dRxk/Mp+5LhSU8avgS9nX+OAAAAAAAAAAAAAAAAAAAAAAAAAAAAvCVq - rUnGcTwcDqc7SVpr67qWUoZhmKZpGIZ1XU8l79dru50+/enPfcVXfMVv//bvbLf7JNvNvpTyOoYQ - 8AYAAAAAAAAAnkqlZBrHrEmvJWUsm943Nefnh2d28/nxzlUpuXlzvHNnaS3z/EaWXjw9etJLelKT - 0uvQU3vSkyRjbS0l2Y/7dnf3iT//t5NNMlSJYXgMfF8BAAAAAAAAAAAAAAAAAAAAAAAAAAB8Yeu6 - Lsuy3W5PD3vvp9ve+7qu8zyfzozj+FrJ7eu9mF/qcJiT/Mt//f999Xvfe/v27WEYLi8ve3s96W4A - AAAAAAAAgKfS2uZakr7PvD0e5ppsynQjtz72oU+8O39kt9kfW799Z7lxUY/HZbc9S397J3fXklaS - tKG32sbSNkntJfPcSsnZNG5z9h/+2/9Zza2z3NxnWySG4THwfQUAAAAAAAAAAAAAAAAAAAAAAAAA - APAF9N6HYRjHMck8z+u6juPYez/dH4ah1nq6XZbl1PB+vaZpGIb8k3/ya+9//wfu3r08P7+xruvr - GaDabxYAAAAAAAAAePq0oWZdj7lKSrabbdo6JL3Vizz/XV/3V3M1bsdsNrm6amdnZ4fDIaU96Tm/ - OT1JSlrprbaa1JaxpW42w9iz3m79c9sP/PFv2ef5vtTyNu+Uw1PLtxYAAAAAAAAAAAAAAAAAAAAA - AAAAAMAXUEq5DnKXUoZhmOc5yTRNtdbj8VhKmee5tXa64IsfuSc9KSXLsq5r1jV//x/8b9/53/35 - O5f3xu3uCz27vvQAAAAAAAAAAHjqlGQYa7ZJkjVDGWqyrdt9bj6X95z1Z+ershk3a8s8H4axP+Hp - vmmlp/Yk6aW12npJP63rWNZylWfy/I986Cdrbm6z341ny3J4srOFL8zoUhsAACAASURBVFVWUwEA - AAAAAAAAAAAAAAAAAAAAAAAAAHwBvfdSyrqu67qO45hkGIbrRHetdV3XYRje8PhDHXtPKRnHtJYf - /7FPfvT7fuA09uscyZazAAAAAAAAAMBTZ5nnDMma1JRknTP2ZK2bXHzfN3x8vzx/PNT9+dTWuaSV - 3p70fN+UmpT76e60uqyl9dqSlDm3prPp7q1Nnr2Rd4zJfDyO05C8vd8vPJ2sowIAAAAAAAAAAAAA - AAAAAAAAAAAAAPgCTpXuYRiu+9y11iS992VZTnd679cnSymnwvfJZrO57nx/nppkXpdhrL1nWXJ6 - hb/0l777p//Wz4zj2Htp7f5lrbXW2ulVkiTN3s0AAAAAAAAAwFOup4zTlJJsk5KUjEOSlKGVTGd5 - z+7ue0rbZujHq4y9P+n5vimlp/acVo30Wi5bq7u+Zs3Sbg61fnb80W/+6Zt5z5RaW04rTZ7shOFL - lXQ3AAAAAAAAAAAAAAAAAAAAAAAAAADAG3RKdJ+K2ofD4RT2HobhlPTe7Xanh8fjsfc+TdOrDFNL - KctyHeEu2+3QWr792z/yd/7OLwzDUGstpdQ6brfbJK3JdQMAAAAAAAAAbxc1qT21l9ZLejmdbMnS - 1rlmc5Z3fexbP1kPZ/PdfjZlU4f6do5Z12RKlmNKhsu5b87Gq+M81rZPLZ/b/OFb/+4mz5Z5P7WU - knF8W79XeKpJdwMAAAAAAAAAAAAAAAAAAAAAAAAAALxx8zwnqbUmOR6PpZRSSq11GIarq6skrbVp - mkoppysfUh/aIbYm6UkdyrL2q8M6bcbLy/lbPvytv/Ir/6TWsffeWrt797KUkiSlpQh4AwAAAAAA - AABvA6da95q0tJLTCok1Za3DlFbH7Le59dz0hzeHZzZ9t85LebLTffNaalKGWofaMpahrJf9vO7O - j1/+F77qB8/y7Fmt6VmPx54s6erd8DhIdwMAAAAAAAAAAAAAAAAAAAAAAAAAALxBrbVxHEsp67oO - w9Baa60ty9Ja670nqbX23ud57q+5zXLvvZQhybr2cRxPZ8Zp+NSnP/ver3nfb/2/v70sbZqmzWaz - 2WyWZXmNGT3aNwgAAAAAAAAA8KbVJC1rT0t/2SeG0rPN2fe870fO7j0/zZsxw5OZ46PSk57NVJa+ - 1LHcuXvox9zcDYdPrx/78E+t/cYm2/TkuAybcW1r1ReGx8O3FgAAAAAAAAAAAAAAAAAAAAAAAAAA - wBtUay2llFKGYSil1FrXdX240t17r7XWWk8XvMZQpZRx3JzGTDLP6zyvtdTf+u3f/RN/4ivv3rk3 - z+upCD4MD7aoLi2lJdcHAAAAAAAAAMDTp6ekJi2ZTysceoaeKb3WkpI2ZNjnuR//5p+djufrsaW/ - vZO747g5rv3esfeh3Ti/2JTdfrn5bN6zz7svyrvTkpJsx5TUWpslH/B4vL1/jgAAAAAAAAAAAAAA - AAAAAAAAAAAAADxZx+MxybIsp9tTeLv33lrbbrenO621dV1fY5BhGFpr4zgm9TTgOI7TNJ32Zv6N - 3/iN9773vffu3au1zvM8juPjf1sAAAAAAAAAAI/SkFpSU5KknO73pGcsdZPhZm5O2V4M7zzbPFv7 - 23ppRL06Linl4pmxlH5593ad6/FT08c+/MkpN3eZlqtj+nq8upukZBgzFolheAx8XwEAAAAAAAAA - AAAAAAAAAAAAAAAAALxB8zxvNpvrnPY8z6WUJMfjsfd+OBySDMMwjuNut+u9v9o407hN6tXVVa21 - 1DFlWNo6z/M4bpK0nl/6pX/0kY98ZBzH1to8z680Rkva43mXAAAAAAAAAABvTs/QpyHbntbLkp60 - pOQ499Iy9aFf3bnI8D98w0cPd3bp05Oe7hvXSjbb87nXq6ul9Ww3mdpw0f7wkPeM2bS5jftNajZn - 29aXkrou1nvAYyHdDQAAAAAAAAAAAAAAAAAAAAAAAAAA8AZN0zTP8zRNSQ6Hw36/T3I8HjebzfF4 - TFJrXdd1WZZTxvvVXB3ujWMtpbTWkqT39F5KOc7HltRhXJOf+Zm//V3f9Rc2m904btLriwcAAAAA - AAAAwNOsnJZDJD0t9TpV3Xs2m5KWrNnv9kO2F3n3/vDOcdnWXmuvSXq5f1x78LC+ZWXel03gJbMq - qUntqf3FT122Qx9qaalzzubcWG589CMf3+UdpQ/DWHtfUntrqWXTWsZxfGveBfx+Y1kVAAAAAAAA - AAAAAAAAAAAAAAAAAADAG3fqdifZbrenO5vNJkkppffeez+dOd1JMgzDg2e1Uvr17bIce1+T1tuS - tPT03utQhnGc16UnveSvfe8PfvwnPjmvWfvQy9RaSinjOK5tbutcS09pLx6voH7eAQAAAAAAAADw - uNWU5H7outbTioWSUpOSDMmQZNrkxo28++Pf9tO7fnNom/WqDRnmZB0zburak4ea2bXX2sZe6sui - 2o/cQ+3weh0UX+v9o5eUnrFn6Kk9vdSl5jitS5nH1Jvr+TP33v2j3/DJW3l2k2ksNSWpa89c6pie - muQVl3gAb5qlUQAAAAAAAAAAAAAAAAAAAAAAAAAAAI9erfVwOLTWSinH47GUUmtNsq7r9TW99/Pz - 8+uq9+db27q09fTElJpSvuVbPvJ3/+4vllKTbLf7ZWmHw+H8/LzW2ru9nAEAAAAAAACAp1W5f1Ou - S97X50uSWrLd5nyfGzfX5/ft1m66GIZhtyvrknt323ZTknpd4z0tkiivuubiUTq9Vu0p/cVXvE6G - 19w/X5KetNKu5vXixlk55Pjp9ez4/JBnpuzHpPT0+wNqCsNj59sMAAAAAAAAAAAAAAAAAAAAAAAA - AADgEVuWpda63W6TtNaS9N5ba7XWYRg2m808z0mGYbh79+79MvcrqcOQ3ltru93uNM4wlG/8xm/8 - 5V/+5WVZSinrum42m9/7vd+r45BXHwcAAAAAAAAA4G1hzPb7vv6H89n9upY7h+N61YeWm/u0uSdJ - r7XV0muvbR2Otbfh8de76/1GeKuno9/PeJ9u7+e4U3tqSktyY19f+PS93bh/97N/6Lu/5Ue3ea5m - XOfrWvmUTEl7Sb8ceNQspQIAAAAAAAAAAAAAAAAAAAAAAAAAAHjExnE8dbVPxe7e++lka21d1+Px - eKp6n86/hraudRhKKVdXV7vdrvc+TdOdO/fe//73/8t/+a9eeOGFmzdvLkvb785rGZdleSveGwAA - AAAAAADAYzNk2uUdf/1bP3lj887tuJ2GzW4o9+5krDVJ6TV9LK320lJSkqGlPs56d+kPjuszue52 - 19rqKdrdS5KWZOhpV+28Zjhurz47DHlmza5kKrXnfqq7JjVpPUui3g2Pi3Q3AAAAAAAAAAAAAAAA - AAAAAAAAAADAYzEMw7qupZQkrbVlWWqtSaZpOhwOwzC01jabTWvt1UaopbZlLaUMw3B1dZXkcDiO - Y/2t3/rd973vfXfu3Xvh7p3TmMuy1DKm1xePV9BOm0QDAAAAAAAAADydhmynPHMj715+bxrn/XI5 - L8f+zMXm3u1We5KW1F7ur4uop/L1Y65fl17rqdWdlJyi3Sm9llbTx/SxP9QIrj37npv1xvZ486Pf - +uPbvHPKjZqk9TxIjD+4uqe0FGs54LGQ7gYAAAAAAAAAAAAAAAAAAAAAAAAAAHj0jsdjknEcSymH - w6GUcp3onuc5yanqfTweT+3tV9Ra2+/3rbV1XcdxPJ1clnZ2tvvn//w3v/qrv+ZwNbeWYZhay/UF - AAAAAAAAAABvUyV1n7Ndbv7Yhz85Xd3Y1l3vOR7XGxe70lPTHrryrfSS1R21p7SajEltJa2kldbq - /bD3NKd/rvwH/9Yf3ee5Mfsp9erePAw1Pekp/eEB16Ql6t3w6El3AwAAAAAAAAAAAAAAAAAAAAAA - AAAAPHqbzSbJuq7zPG+329u3b5dS1nXdbrdJhmEYhqH3nuQ66f2KLi8vp2lKcip8t55xGu7du0ry - j//xr37wgx9ce1/Tx+3muH6R+zi3hw4AAAAAAAAAgKfLumTM/jzveG758lwN+/Ppzr2116GmlbRe - Wi/tFMluyVqS/linU/uDPncv6eXUDK+nKnArOc2nPwiJT2vO2zM3+rv+1B//yJRnetaSjEO5v0zj - xanWZHjZKeARku4GAAAAAAAAAAAAAAAAAAAAAAAAAAB49Fpry7IMwzBN0zzPN27cSNJ7v7q6Ot1Z - 13UYhi84zjiO8zwnOR6P4zgmmed1sxmT1Jpf+IVf/NN/+jvamqvL+58FAAAAAAAAAHhbG8b0tp7n - 1g9+6Mf27ZmrF9qtZ2/dvbx7/9NlSVmStKSX9KSV1xrtUajptZesJe1Bgzv9frx7rW2t7TSH0lPX - Xblz9rEPfXzM+ZSzXcb1eDVt6osZ4fLQsCkPPwYeIeluAAAAAAAAAAAAAAAAAAAAAAAAeAX9qfTw - DEuxTx8AwFOt1nrd0p6m6WWfba1N0/Syevfp+vLA6eSyLNcXXN8/HJfTvs2t5Sd+4id/+Id/+DRm - KaW1dnr1Usq6rrXahBYAAAAAAAAAeNvoaT3zppZyyEVu3lq//DzvvH3vbtmlldSe0lvpSdJ61l7W - PrwFid5eaitpJT3ppzUdpSVt6celtWlXWtLmnA+berk5a39gyvP73KzpQ/pmU+bD3TxIfr/UmIwS - w/A4+L4CAAAAAAAAAAAAAAAAAAAAAAAAAAB4i5yC3L33JK21zWazrmuScRxLKacyd+99mqbe+3X5 - +xXH6T2tJUmt+XN/7i/8vb/3i72Vde3DMAzDcDgcTlHwWusp5g0AAAAAAAAA8HbQ1iwty2Y82+b8 - o9/0P27u3pz6dhzHnjGpQ09JetJqWhl7efx93l6T+9HutebU8G5Jr8u0HcZt7t3raRlacjXdqO/8 - 7m/5kU1uJcOUuq7HpE27MZlTkpL+kpHz0sfAIyPdDQAAAAAAAAAAAAAAAAAAAAAAAAAA8BZZlmWe - 5yS993Vdj8djKaXWuizLqed9cXFRSjkej9M0nUren6cm9RT2rsPQk7Vl2oxf8973//2//7+M4ziO - m8NhLmU4HA6bzWae51MdHAAAAAAAAADgbaKtWVPXkmnIzR/78E+f5fxwubSMLePQM/SsNWtNyXDq - aj9epSWtl/TyUGu7tN7bPK9ry36buuaZ7a3xeOOFf73czB9I228zDenjMPbekqQmpaU8GDJLSXvs - M4ffx6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAA3iLjOE7TlOTu3bunVneS1lopZb/fl1Lu3LkzDEOS - UsprjDMMw7IspZRT+ft4XMZx+FPf8PW/9mv/7O7du+M43rx5s/d+OBymadput2/BWwMAAAAAAAAA - eCR6ypCpz3P6cCvPbXNjc3d30S9qm/p1jbcnvaa0115i8UiUfr+xffrQH7xgrZmXlJb0jOtw/Gzq - nfOf/u9/rmWzyXZI7t27ndRSNuvakpq0vJjr7pHuhsdJuhsAAAAAAAAAAAAAAAAAAAAAAAAAAOAt - sq7r4XBIcn5+vq5r7/0U8O69X15ejuOYZFmWJMfj8RT5fpVxeinDsrTee2stybK0z37mztd93dd9 - 5jOfSXL79u1p2g7DdHl5eWqBv6i0Fw8AAAAAAAAAgKdL7b0mY6slqWUdz3PrR77pE2dXt8Z1Sspa - 0pOhZ+hJWsrymOfTUlrJ/Vdpp253r0nS8/xzu7bmcDvPbJ7bt2d/7Nv+1pRbZ7kxlSRtv98nQ2vD - MJwnQ5KeNWm5P1pNT/pjnj78fiXdDQAAAAAAAAAAAAAAAAAAAAAAAAAA8BYZhmG73fbe13VNcjwe - T+nucRw3m808z6fM9jAMpZR5nl9jqFPnu5ShlHJ6yjQN//e/+Ndf9VVf9cILL0zTtK7rOI7TNF1e - Xr4V7w0AAAAAAAAA4E0rqVPZrUsbxu1ymJNsM21z8+LwrmEZWulrqa1kXOvYUrKkLEl7rFOqvdUk - 5WUna2n51G9fnW9ysR3vfOZ4kXdu844pF2VpbU3ppZbx/rN71lZ7krRkTnpSkvqyMYFHSLobAAAA - AAAAAAAAAAAAAAAAAAAAAADgLbKua2ut915rHYZhs9kcDofe+7Isx+PxdEGt9XTNaw91CnuXUnr6 - MI3LuszzutmUX/u13/jar/3aeZ6naTocDknOzs7egrcGAAAAAAAAAPBI9DWbYdvWOm63Wa+SdiPP - //CHPz6uU+/zPGQpdVzH7ZKSpLw8qv1o1Z6SlJ7SU08Pe2qvp4c3L9LXbMp2n4u/9qEfTPa73JiG - WoeW1OWY9Aw1vWdpaUnLkhySYzLmtDZEvRseD+luAAAAAAAAAAAAAAAAAAAAAAAAAACAt8gwDElK - KaWU3nvvfbvdrut6CnWP43jqdp8uLuW19mY+DdVaG6dpXZYkteZ47C35h//wH33wgx+6vDzsdruk - 3rt377G/MQAAAAAAAACAR6TWzEvKkCSZSlrZ5JkxNzfz+bTu00rSUtqpzNtzP4H9uJTUpCalJz2t - pJekLClLKSlraffS727eObxnzMVZbgxJSuvLMaWM45RkWdOTcUxNrfcHe6gpXNpjnT78viXdDQAA - AAAAAAAAAAAAAAAAAAAAAAAA8NaptZ6a3KeAdx7qeS/L0nuvtZ5K3q+inY51nU93luN82oW6tfQk - paw9P/OzP/e9H/3+e1fHOm4yjKWUdV1Pr97X9DXLcR3r9Ja8YwAAAAAAAACA16EndUpLelmSpAxJ - rTn/gW/+xHD34qJsM2cpS9+Oax/WPuTFHvYrHg8pSXmDc6qtpo89tZWktpr0JXU92x5v7m+/4wc/ - +PGLPDul9vSklmmT1NSkZJxSy+luLZlKdiXTQ5PRF4bHwrcWAAAAAAAAAAAAAAAAAAAAAAAAAADA - E9Z7X9e1lNJ7b60lGYbhNQPer6b2XtaWnnz3d3/PT/7kTy3Lkl6naTsMw7qurbVhGIZh2O/3p5g3 - AAAAAAAAAMDTo+e6rt1KekpNhp5aMk155j/6d/7jzWF3YxpLydV81ctQy5j+eBO9LWklSS29ll5P - M2slm81mvttu9Hf+6Ed+cp9b+zxTU3tOCz5engx/UAwfHxzA4yXdDQAAAAAAAAAAAAAAAAAAAAAA - AAAA8ISVUkopl5eXwzAkqbWu6zqOr3ub5lLu7/O83W7Hafi2j/y3P//zP7/ZbO7du7eufbfbHQ6H - UyD86upKuhsAAAAAAAAAeDrVZEjSS9pwCl3X1Cnbb/gvvnU/P3/5qbIdtz0Zh6Wvh5R26msn7cEA - n38mSdJPbfDXoSW9pCettJRl6K32tGQpOa7H/bSdrm6U7JPtPM9ztxgDnhbS3QAAAAAAAAAAAAAA - AAAAAAAAAAAAAE/YPM+tte12e0prn04uy/J6x+m97LZnST0cDvO8DrV84AN/6ld+5Ve22+1utzse - j9vttrV2ioJP0/SI3wYAAAAAAAAAwKPSklav87slwy43S/bf+4EffX7/h9qhTjWl91pSenvtkd6k - tWSt6aUlbWitttoy9ozroYzL/mPf/uP7PFsyjtM0lKHl8U4G+CJJdwMAAAAAAAAAAAAAAAAAAAAA - AAAAADxh0zTVWtd1HYZhWZbee95oWvvqcDUMQ611mqZ17aWUr/zKr/yNf/Gbdy7vjePYe1/W9TjP - +7Oz+fWnwQEAAAAAAAAAHrOWtJIlPek1PelJMmTc5+yZvOs8X5YXLo53ek1q6cuclJc//RHOppf0 - 1F6SkpKUpPb0JH26ufuy4fJ8m2e2/aJmaElSa8ZH+OrAGybdDQAAAAAAAAAAAAAAAAAAAAAAAAAA - 8ITN85yklJKk1tpauz75uuy2uyTrurZ2/+nz3D71qc+9/2s/cHV1dXl5GMfx7OxsWZa7d+/Wan9a - AAAAAAAAAODpUpKXtLf7/Y9DMra6z40pz/zwt//Ejeldu3pxeScXN96KWb00Bt5KUtfN+pnNX/+2 - n9rmmTFn87KePldfVhIHnhBLowAAAAAAAAAAAAAAAAAAAAAAAAAAAJ6waZqWZTm1tNd1TTLP8ziO - r3ecq8NVkv1+f6qA7/f73lNK/uk//b++6qu+qozD3avDuq7TNJ2dnZ0C4QAAAAAAAAAAT5Vy/aEm - Q1JSktKTOUPLPjdqznfLOz7zW5fP3dwd7j24+NWOl6hvoOfbyv0lFrWnl6Rk6G2zTp/5zbnnYso2 - yTiWpM2HVvprjgW8VaS7AQAAAAAAAAAAAAAAAAAAAAAAAAAAnrDe+ynUva7rKeA9juPxeHy945TS - a6mXl5e99ySXl5fjWHvPNJX//Zd+9Zs++M3DMByPy7quV1dXwzA88jcCAAAAAAAAAPDm1PtHqS/m - t3vSk5K0DKlTzr7/gz/25Tf/yOUL6zikPv5adj21w5NWkmSzbHaHi5//6P98K+/pvbT5UNKT7DY1 - LVHvhqeAdDcAAAAAAAAAAAAAAAAAAAAAAAAAAMATVko53RmG4fp+KWVZllJKKeUU9k5yCnu/mt57 - 68vDZ5alJZnnPgz52Z/9n77zO79zd3629JRxOq5tGIbeeyllXdfee611WRZJbwAAAAB4K13/SRAA - AID7ek3qWrKUtmZO5mRJkiGp6UvbZb/NrX//3/ij43y+yW4z7Q5XqaXWUo/HDEMdhtofXT97KGU+ - ZDuOSdae3srZfPFD3/CjF3lum20pY9nUduoEi3bDU0O6GwAAAAAAAAAAAAAAAAAAAAAAAAAA4Cl1 - SmufitqllFpr732aptc/TlnX9J4f+9G/+X3f+/2nYc/Ozq6urpLUWodh2O12x+NxmqZ5nh/DWwEA - AAAAAAAA+CL0+8earEnP0rOk9KSlJMk41iHjlBvv/S+/8aw/3+5Nd184brc1qcvSpjG993Vtj2o6 - paev/cb55t6dZTOO05Cp7c6X55/Ju/bZDy0tbc0jezngUZHuBgAAAAAAAAAAAAAAAAAAAAAAAAAA - eHr13td1PXW7Sym999dMa7eHjuTBdtbL2utQxmloyZ/5zu/6hb/7i3WYPve5z52fn9da53k+HA63 - b98+Pz9f13UYhrfmrQEAAAAAAAAAvJrTmoeW3L8pbW1XrRxbX5K2ydlFnv/YN/74rj17vru5351f - XS6llGkaD1d9HIfeXzZefcMl36EMl3eO57tpvertbnbHZ77/6//mlGfGPpzmuab2DMmY5NQXB544 - 6W4AAAAAAAAAAAAAAAAAAAAAAAAAAICn1PF4TNJ7L6Ws63q6M03T6x2n1rqufZ7X7WYaannf+97/ - K7/yq2fnN66ujklaa7du3Zqm6XOf+9wwDK21R/9OAAAAAAAAAABejwcJ7BeT23WspdTWliHjmCmp - +zw33L043u2f+cztGzdutDXzvG639epynaZH1u2tPWPNesx+uHFjeH5zeessz13kubR6PyueotsN - TxvpbgAAAAAAAAAAAAAAAAAAAAAAAAAAgKfUZrNJ0lq7urrabrettd77PM+vZ4ya1NbuD3U4zLXW - tua//or/5p/9s1/vvW+32/1+/+lPf3ocx4uLi9aadDcAAAAAAAAA8MQNyZhaM7SMSUnJPC+trdOw - SUvaep6bZ3n2R77tJ5678e7tdnv37uVms9tMu8vLdna2WeaXrX9oDzLbr1vv61Sn3sbl7ri98+yP - fPgnp1yUNiVJaSW1ZHyx2V0EvOGpIN0NAAAAAAAAAAAAAAAAAAAAAAAAAADw9GqtlVKmabq6ukpS - a631jewruyytlLLf7+d5LSV37tx73/ve97u/+7t37969c+fOs88+e3V1ta5r73232z3qNwEAAAAA - AAAA8MV5kL4ekiEpGZNpTe3JZtq1tabXoQxTHfradrmxy63f+X8+td+dbabtvXtXtY61ZF17e4Od - 7leeUe99GLZ1PfvYhz6xyzv2uUhPSk1JTR0+b/LAEyfdDQAAAAAAAAAAAAAAAAAAAAAAAAAA8JRq - rdVae+/H4/H08Pr2Vb3SBtCllNZa7+Xy8nIYhtYyTdO/+M1/9b73vW+e581mc3l5udvteu/XrwUA - AAAAAAAA8CS0lJbSTh9rT0966pp6dXWcxilr0pIsu2EalmmT/d/4jk+88MKd1tqNGzdu37693+/W - dR2GL/hCX5ySUrK25XDVdsNzZ3nnRd5Zlp52WqQxlqQ+iAT3R/SawJsn3Q0AAAAAAAAAAAAAAAAA - AAAAAAAAAPCUqrWu61prHYahtVZKuby8nKbptZ7zSptAj+OYpJSS1HVdx3Gc57mU/PKv/vrXfM37 - WsswTK2l1np6rcfzbgAAAAAAAAAAvhjt+l45BbKTkux2u96SmlJTkvSeZJfz8zz7n/57f+ys3bx6 - 4Xi+u7i6Og5DOS2g6Ek/PT+19uuSb01q7Q8nt8dWxlbT6v2XrD21J6m1JWu29fzm5rm/+vU/MObG - 4V5Pub+4oifpKZLd8PQZn/QEAAAAAAAAAAAAAAAAAAAAAAAAAAAAeFWnkPZ1Tnuz2RyPx3Ec13Ut - 5bQNdS+llFJaa680QEsyz4fc37A6SZZluX74D/7XX/q2j3zHn/2zf3YYhsN8lWQYxr4ekpRSeu/D - MCzLsq59v98vy/H1zb684pSAJ+HFPegB+H3Fz394u1L6Ad4e7v/xx5+AXk198OP89F9lr/aFqkm5 - 7sgBAADw4Neoep3srg+dL0PSTzXvmlIzZMj0zvyRb/tPvutP/OP/au7HrOm9D6UvJb3k+i9tQ6ul - p6YlaRlr2tDv/6bWSl2zXUsyLuuSizHrVeqQZcl2t10u5xz7plycL8+e5WbJNG03KVmXDMP9OfaS - B7/XtaRJBsPTwP8nAwAAAAAAAAAAAAAAAAAAAAAAAAAAeJtZ13W73fber7vdeSjv/cUbhrIs+cQn - fvp7vud7j8f54vzmsizzPG82m+su+LIstdaLi4vLy8tH/04AAAAA+FJQ3b7KLQAAAG9MTZJySnTf - /1iuP1leck3JuOu3nsm7/so3/MCt4blt3W6329v3+jiln57eV7ceBgAAIABJREFUU/qLv6a1kgeB - 7ZQHZe9eak9qGZIsa4YxY6nbcTwer0oZbo7P75db3/uNP7TPRXJaUpFhc39a/cWZnUa+vgWepPFJ - TwAAAAAAAAAAAAAAAAAAAAAAAAAAAIDX51Ts3m63y7Ikaa313r/gsz7fuvZa01r+8l/+K3/wD/7B - P/bH/vPz8/PD4XD36rKUsh2ncRxba/fu3RvHcZpedxo8XaAIAODJkgaBt6uXxn4AnnL1Seexn+bb - ky/iv8rKG/n7HgAAAA+0mvEi71rv7Mr2hblcbc/K5VWfxpSe2mpSW2pKy6nbXdJOCe8Hf4sbcii9 - tqtyNtUpbT7kzrGdnU0Z1qEP5Wr30Q/++JDdkGmbcSoP2t81pw+nuyV5pd8KgSfD9yEAAAAAAAAA - AAAAAAAAAAAAAAD8/+zdT4wlW54f9N858edmZlW9V2+6m25rbP4s+GckNBKyYIfYeBa2gI2FkW1k - NjNesBi3G8v/xkge2ULCeIMwAo9mxhhmxh4jJC+w2CBhs/ACeeEBZljNAuMeg+juN6+qMu+NOOew - OJm38mVVZVVWv6p7s+rzUb3z4kbeG/eXJ+JExP2T8QUAgPuntbbdblNKpZTW2mazGYa7R2tHtMsr - Ucd3vvPH/87f+bs5jRFxdvZwnufW2vn5+TiO8zwvy5Kz69kCAAAAcIPc7ltaAAAA3pu81sjx4Od+ - +r9dnqwnw5xiTEOkFkON1HK0HBEtXf7rGd4lR8lRI7cUKdaxrqfDpjxt22dxsskPHpyspZXWyi5v - to/P4kcexGcncTq0HC3KbokhItarEO+IiBYRcflcwMGNhy4AAAAAAAAAAAAAAAAAAAAAAAAAAACA - O2uttdZSSg8fPnzy5Ml2u32bhUROOaK2WmMY4tvf/vbP//zP/av/2u968uRJa+3h6UlKaV3XzWaz - LMu6rtK74R5L9dAVAHAI0kEAAA7s2uvxF1+bt+c/ey/FAAAAfLhSDOnkLKYa23x+2h6ua5znaYxl - jZZzyzVyy2tLNVJNLSKipYirsO3UYog1RY1tfjA/SHm9uLhYykUahodnnw4Xn/znf+a/nuKzTZwN - bRhaXi9242aMuo0xIi7fiq/7KS/y4Dj4nAwAAAAAAAAAAAAAAAAAAAAAAAAAAOCeqfUy5qe19uTJ - k4iYpmkcx7dY1DjOOeVpnEqJdV1/8if/yK/9H//ng7NHKaVa68nJyW63W9c1pZSSa0sDAAAAAAAA - AEenRZQ1jTGNMf/if/TfP6g/Urdp3ZWIfJnem+rlv+uPSlFTRESKmloMLYZcW9SlpLWkzWY6nU8/ - /81n8/bRp/Gts/hsWDfDOkbEOE/r+ZMYLpdTAzhGorsBAAAAAAAAAAAAAAAAAAAAAAAAAADumZxz - Smm325VSWmsRsSzLuq5vsZzdbldaXcraIs636/e+91vf+c53vvvd737yySfnu+3Fsoshl2iRah5e - v0AAAAAAPjLVv1v/3aknAQAAeBstokZM41CWi5M4OY2v/Qvf/F1fn75+mjfRcklRci15jaipRWpf - fmREf0V2GfE7rM+2z9aczj55nFKrF8s35t/xc//hX1/Wcagx1Igc0SJSGx+cRoqI3CLdDAhuARwD - 0d0AAAAAAAAAAAAAAAAAAAAAAAAAAAD3T611nudaa0T09O6c73y92VprTwHvj00Rw5B+9Vd//ad+ - 6o/+v//P/3d6+mAYhmmaaq273S6l9JX/FgAAAADAnnA3AACAt9Midmubp3mMaY5HP/37/nz7wTDv - 5tzGmmvJa0s1RVz/3kPP8M4tUtQcNVq0FHWI4UGUXL54+qRc1E/S49/83793Eo8fj5/VbcQQUSJa - jVyj9RzvocbYF5j78r20g6MxHroAAAAAAAAAAOC+Goah1lprTSm11np76KIAAAAAAAAAAAAAAAAA - PgrLsvRE7X2c9m63Ozk56dPjOK7rGhHDMLTWerz3q9RaI1IpJSJai7W0SPH3/t7/+tM//dP/6V/6 - T8ZxLGXNOdeYauTWyksXItX7dvv+6X+I1/8oz9/lvdb1/ul92NvbN2n2f/gZL2x7vKHeXfZsb2K/ - scWXe8wm9+Z6v7XWrncmvIXr+679yUZEGI6v9eKpWjgKvM6N87T9iYfztNu9tN/CcfN19q98r3da - eF3wBl56emb/drsXX0zt5x+oonsiGY+3qZFvzLnawPK6rtOUW2utRUqxW/UkAADA26st8lBbtCHG - 0/i0xPqLf+JX/sBf/Le3p0vMUVLkGmmJzWZ8tl1zjhxRI3IdI9X+2ramaCkix1Iiz6Ve1NP0MD4/ - +Z//yt99EI+HiLSJiIgpImqkiDRE5PbC676rV8ovzAfeO9HdAAAAAAAAAMCd9T/86NdtyTlf/+tT - AAAAAAAAAAAAAAAAAN6DaZp6Jvc4jrvdbp7nnHPPLXv06NEXX3zRg717IPeb6VeljoiIFhHxt//2 - //itb33r23/sp+Z5LmV59OjRD37wg5NpvvEwf1z2JvqquZFu21NaD1nW0XsxZ673ZM4ucf4aL0aQ - ttaGYZCmdosXx6MR+ib6eGxXDl3O/SZH87V00e1e7J+rCGrHzdvUWvcprd1+z3a4ou6BYRji2v5/ - n6DsPO124zhePz3b02+3u7G97Y2jyJXXuH5NmJxzSinnfJc3Cj5G+9zuG1fUcR5yO/1zu1quvy+U - Unp+mjHPc611u91uNqfDMJyenm42w8XWOAUAAHgbOdWIlCLttnUznz5KnzyL+VH9Rp3Td599d9zE - mGMz5S8+Xx99Oi61xNpy5GhjtBp5FynaVXr3+TbOhvXR5sH89NNf+FO/chaP0zqmISJFpIhYI1pE - apHrtXzu3H8YNVqNFNFyeMUMh+Z9ZAAAAAAAAADgzsZxzDnvdrthGEopwzD0P0Y9dF0AAAAAAAAA - AAAAAAAAH4tlWaZpGsex1jrPcyllH/n2xRdfbDab7Xbb7znP8263e/WSXp7j2yJqxM/+7F/90R/9 - 0T/0h/5QSsPnn38xjvP+T8lu/E3ZqyOaRNB1vbvSjUDlnF2o+zb7HM192PmhK7ofaq3X+y0iUuqz - 5Xbfpm9fqTt0MfdJSxERre07rXehAXu7/TjdJ57rtzdRq/55U9c3JTu12+X8PLLhKqU1QuT56yzL - uj/fSCn309qUkvON2+12X+q3lFLvulf1WzV+I+JqbKaUI8Vlx6UUESKobzcMw+WpRmsRUS/jglO1 - f7vV5UlaROsv5NN+CzQgb1HDeeytxnHsb5G1drnj6tvVui7zPEfkYZjGYb64uDg/P9/K7QYAAHhL - NaLsyu50eLCZx6gtWvva+E/+lT/+S//mX/g3ftvv+NoXy/ciWqz504fj55/vzh6mFhEtR0sROVq0 - FDX3r1BMm3mZI9K2pd/65DS+OdTNST6Jy0/Gem53rTHES3O7X/E1DOAgRHcDAAAAAAAAAHe2rmtE - TNO0LEtc/SXb/iYAAAAAAAAAAAAAAAAA79owDH0i51xK6Tdba7XWnHPP7R7HcV3XW3O7XynnqCWm - Mf/Mz/yFr3/9G7/39/6eaEtKLcVLMqtEjb5Wzj2f6Xkv9U4TbXi7Gz320mle5YW889S3Q17lRlT8 - fubhKrofXrofa/s8al5hnxHfDwe66w3Z/9/uxWPl1aZl/3+b/ans/kDQbzpPu904finqYt+Hdmi3 - m6ZpP92u2Lm91vWxeX0zc357u359mOunHKWU1tr+/QRequ//r7868KbHG+iDsUZk7cvaqPV5aHfv - q743m+e5lNJa2r8uOD09naa0W2xyAAAAbyNFHfNQSowpItI4nKw1hvzws/zN3/re/722yCfRUlrX - 9fTBzc8BW4ra/0Xsni2fnDyaduvw7PSv/dlfmeLxSX4Ya8QQETWi1RfCuXOLlOJ5bnfKvSDg4ER3 - AwAAAAAAAAB3llI6OTm5uLiIq0u3zPP8dldvAQAAAAAAAAAAAAAAAOAt9IiyHtQ9DMM+WbCUUmtN - Ke0jvUspty2oXyr6JXlAOaKua42In/qpP/qtb33zx37sx0pZc755bWk5c29iH8vXb+47Te/dbhzH - 610n1/ANpSv7ftv/5IBV3Qepd1Wtz/vNVvda4/ilCNJ9q9tu11rrg7Xf6v/vPXfIsrjnWtsPwNS3 - pas5Iqhvc+MMrR9Dw3na6/RXGdcjgft8/Xa7dV3325gk4Df34qjs0695tcuVnHPvsWEYeoD3oSs6 - ajnn6/u0/YYnKv52rfXTj6x9WRuttWg5pZRySymlNPbNLOe8LCWnIedca8t5WNeyyO0GAAB4Kyki - ok5pTDmixrpsx5Npk85KrD//J37pD//F3xdDSnlZY4mhRotSYkgRUVtqEbXGZXR3tHg0n8bTOFk/ - TZ9/sonPhtjsLpZ53uyfK0fUyP1FX277Z48e7B2RomWf+cCREN0NAAAAAAAAANxZa+38/DwiHj58 - +PTp0/AHgQAAAAAAAAAAAAAAAADvV611Xdd5nrfbbc55mqaeL9ujpPZZs6WUlNIwDOu63nX5Z2dn - 58+eRcQ0zX/gD/zBv/W3/tbv/J3/4vb8aUr7SMjLe8ZtEVYuRx0RkXOute5jmfbphiLTbtf7RxLk - XdVa93uDdI3t7Q31LW0fcMjtrm9X+x4Tef4m+gF0P0Jba/rttfTPnfSNqtY6jiIJbtMjWvsA7IOx - 1lprFdF6u2EYrg/JfsLm0hOv1fttv9u/vuG99P52e3sv3caM09sNw1CuiKB+c/sR2m/uR2s/eeNV - LjewFpG0L7QROQ+RWkS0miK3/flGH5vDMEREKSXnvCzLycl8frE75OoEAAC4t4YY12WtJc+bYTzZ - rOuaxrFFPo1P/q+//49/9F/52rOL7523L/JJ5BzjJtWlRdSIXY1c01hirSmGFrFdztZHD7ff+rk/ - /zfP4tEUm+GkRqzRxhQ5orbIOVKP7r72Ht4aUS4L2b8wBA7N52QAAAAAAAAAwJ2N41hKaa09efIk - IuZ53u124zje9dItAAAAAAAAAAAAAAAAALydlNI8z+u6bjabiCilDMNwPQS0xw1uNpt1XV//x1/9 - gtFfTot79uzZOI61rhcXu5OT8Sd+4id++Zd/6Zvf+FpKz4NFRVi9uX0yX++rWmspZZ7nQ9d11PYp - Vvs4w96NPdSKW+wHac+MvwrqE9F3m+shmhGR0mVYphTS2w3D1Nr1nouUUs6vjCClG8ehHwhai5Ty - VaafDIfXsFm9VmtxlQGfWotaW63x/e9/fui6jt31iNZa67qupRTnt7frked9V1ZK6S86RJ6/ob6Z - LcuyruvVicfL9/+2wq5vb30z65vc/iz30KUdtdZaKWVZllJKXJ3uRkS/yavsdrv9UaBvY9cPE7zK - 55/3841jCMo+zvb5e2j9raFSl1rrutSUUj8ctBabzeZ73/vBhdxuAACAt9NyRB7HKcaIFGuLOow5 - 6hRjjof/yy/+/d//Z3/P5utn7dHuWX1SW2y3bcoRKWqqNdUSc425xS5HbHJ5mE7+8p/4b4b42hSn - 22fPNmdDRB1ijBaR8vO3pb708U6JWHtMcH8rwbtXcAxEdwMAAAAAAAAAd9av0tKzulNKy7L0vwA5 - dF0AAAAAAAAAAAAAAAAAH4uU0m6368HP2+12s9ksyzJNU/9p/1FPzpum6S1yuXqm17quOeeIenGx - /sN/+I9+8if/yI//+O9OuQ2RaopU29pqlFqibZ+d1xS5xQttrhE5QlsjUmt9eq21LMtuXVspB6/q - yNuWUu+xVspuXeu6rrXue1L70nZIqaUUte7WtSzLdlkue6ylV4xTbdQUY8prq3VZd2Vta1lqSbXV - FH2PdwwVHmfbjwVlt/R+K9Fyi5bTMdR2zG1d1u26lN1Sog2RYsi9J21vt7dffP704PvY+9IOETVF - as+nj2ENHm1bInJE5Mu+auXwa/Aete2qJ/v2dvB67kU7pijxfIS2HFFffk9ukVIIU77dMESteunO - co5q+PEu5RwRzzezvskNQ/R37/pPAQAAeGttXdM4rmuNMUfE2nZTmoaYcuS/9uf+xh/8j/+ti3o+ - fBrbNYYhSoqhxlAjUi45aqqRIlqk7Tw8PXscv+00HpddOTubL2I7xth/Gi1H6u/hRcRVQHfqr/Ty - 81ZwNxwH0d0AAAAAAAAAwFvqWd3NX2gBAAAAAAAAAAAAAAAAHELP7Y6IzWYTETdyu0spwzC01oZh - 6D9dlqXfebvdPp/zij8R2//tWL2KEio1/sGv/to/+NVfi7i8HrVWq9VqtVrt+2+r9g3aEtHaZY/1 - 6aa9pe39Vi97z5Z2t/aqJ/Xbm7dre76llYhWX9l73ML1Tl5rLYeu4H4q9dAV8KG7sY31m/sBawsE - AAB4eykiaprGaDGMuUZMUVMao43RIvInQ3x/fHby2YPHT9bvfb62k7Mou5jacFbP1lr+cTwbH8fT - i9jkcVy/8XN/+m9Oy4PUYpyGNXY5xoihRaTUn+zLud2Xc6aIGpEjcnqhOuBQ8uvvAgAAAAAAAAAA - AAAAAAAAAAAAAAAAwH1Qa53n+eLiYhiGUsp2u13XNSJ6bvfJyUnP7R7HcVmWfdr3XTWtVqvVarVa - rVar1b7jFgAAAAC4ixwRLfWpNUWJFtEi1kg1n8anf/XP/XJ7MtZnwycPplKitUgpPf2tp7XWx59s - 1l08fpTqxfg4/+gS8zRsImLZ7VKkHNP1mO5XP/soJhiOjTEJAAAAAAAAAAAAAAAAAAAAAAAAAADw - 4SilnJyclFKGYdhsNildXj86pdRjvMdxXNd1mqae5w0AAAAAAAAAcL/1oO7nN67itoeIiBxzifEk - vvEg/0h9WsYaDzfT+XbdfG1TNnW92KbzSOfTdHH2X3z7Zx/H1y6WL9oQ02bOMZVShxhem90NHCHR - 3QAAAAAAAAAAAAAAAAAAAAAAAAAAAB+InHOttZSSc46I1lqttbXWf9Sju3srtxsAAAAAAAAA+EA8 - T+ter27lSBEthhwpNifxI//Zn/yr8/LZuB03Nc6fLZsH+YvlfJd2dY3PptPp89N//V/63VM8aDVP - mzGGpUSU0oaW5XbDPSW6GwAAAAAAAAAAAAAAAAAAAAAAAAAA4AOx2+2maRqGIaUUESmlntvdWut5 - 3iml/tOUUo/3BgAAAAAAAAC4x9p+qkaUev1HQ+x2FznGiLOH8c3v/voXm/OzR+kst4hc82m+WGOe - h7wdP33yje/8+J8+i0+nfBoR5+t5jZKGNOYcu3rtKYB7w1ejAAAAAAAAAAAAAAAAAAAAAAAAAAAA - PhDzPJdS+vS6rhHR87nXda211lqHYSilpJTGcay13rYsAAAAAAAAAIB7ofUA7xpRI6JGapEjRaQ6 - z3OOdBJnQzz6H/6r/+kb828vn7fTMdWIZxf15GRoyxBPhl/8U//dp/GNdU0p0q6sm/G0xbCWGjVi - kP8L95KhCwAAAAAAAAAAAAAAAAAAAAAAAAAA8IFY17WHc/eU7oiotfas7pTSbreLqzDvZVkOXCsA - AAAAAAAAwDtxmdi77HYRcf50myOfxOmDePxf/rFfeFC+Xtdca0xjxDJM6+nj9s05Hp7F4wfjoxb5 - ZDhtkXPEOOTIPRQcuH9EdwMAAAAAAAAAAAAAAAAAAAAAAAAAAHwgxnFc17W1lnNOKfUA75zzMAyt - tWEYLi4uaq211nEcU0qHrhcAAAAAAAAA4CtTI0ekFrlGtIhpPmklHp5thohayxynJ/HZvHxtLo+i - xFDTsMwPtp/9wp/8xYfxWdRNamMtUWNMMbS1Di2iiv+F+8rYBQAAAAAAAAAAAAAAAAAAAAAAAAAA - +HCM4ziOY5/OOUdESqmUklLKOeecW2sR0RO+9/fpE8Mw9PsfpnQAAAAAAACAu6u13pgopRyunANr - rbXW1nXtN/cT8CFLV/8iIvLzuN4W0SLlHBFD1LM8TjHN8SM/+2d+Oe8e5t0mb8eH5dFv3/xzD+Lr - Y8xRSrSY8tgiImLKOWrEEJFr+CYF/ND6V5X6cer9PKPobgAAAAAAAAAAAAAAAAAAAAAAAAAAgA/c - MAy73S4iUkrXL4CbUupx3eM41lp7wvd7uzwuAAAAAAAAwA8v51xrXdc159w/GB2GYVmWQ9f1vvXf - vdbaWhvH8dmzZ6WUcRwPXRe8F1e53fmlIdtpjShDlCnGKR7O8Tg9Pf1s/OaD+tnw5Owv/Qd/ObWz - iDGGtF9YjogaUSNSLVFb1Pf1m8AHK6UUEa21lFKttdZ3PqxEdwMAAAAAAAAAAAAAAAAAAAAAAAAA - AHz45nneX/F2H87dWluWZRiGfm3cuLo87mFKBAAAAAAAALi7UkrOeRzHZVnmeV6WpdY6TdOh63rf - 5nnebrfDMOScl2U5OzsbhuHQRcH7kmqk/qWIHJFf+N5Di1gj1hSRYxzik5//s389vn9y+vTTv/an - /kaO07P0aZShlTVybSlyi1QiWkSKFrVFe++/D3yYWms557iK8Y6IZVne3dON727RAAAAAAAAAAAA - AAAAAAAAAAAAAAAAHI+c83a73Ww267q21k5OTrbb7TiO67qWUiJiHMfWWp8GAAAAAAAAuEeWZZmm - KaU0TdOyLMMwfIQffY7jWEqZpmm3283zvNvt1nXtIanwQaspakS0GCMipVYjRUS7/C9StEgtokVr - 0WLI81l89pu//uRf/md/5xwPT+OTiCEipzHW2LUYxjpEzwFPl49p/f/ADyelVEoZhqFneNdap2l6 - d08nuhsAAAAAAAAAAAAAAAAAAAAAAAAAAOADt65rj+jebDZxFdH9+eefn5yctKtLS282m+12e9Ay - AQAAAAAAAO6sR1OP42VA57IsEfER5nZHxLquKaXdbjcMQw/tfqeRqHA0Wur/ixSRW6pXs3NqOVJN - USIiUkSLaHMdljhpMcX/Vv/RL/7MPx8tYhdzjCVqmWqkSLuTMcaIXYml5tYfmC6fBHhLKaVaa0pp - /22l1lr/UtM7ekbR3QAAAAAAAAAAAAAAAAAAAAAAAAAAAB+4fonb65eqH4Zhs9nUWqdp6qne2+22 - T1y/Qi4AAAAAAADAkUsplVJ6gHe/mXMupaT0ceXsttZyzuM47na7fXK5z3/5qLSrdO6rGaVFiZb3 - P46IiDVqixrxNKLGZh63F2tETPNJWS6i1YhosdZoNWqLdrm8yyng7fXjVK213xyGodb67nK7Q3Q3 - AAAAAAAAAAAAAAAAAAAAAAAAAADAB69fp761VmvNOfdL1W+3281m84Mf/OCzzz4bhiGltK7rMAz7 - a7gDAAAAAAAA3Bf7oO59UvVHGFlda93tdimlYRj6r+/zXz5GLSJdTaeIVluMESmiRNQUNbVo53nI - U6mxnLdpGFNuT5cnl3eJiLyWtkYbI8aI9TIQHPih9dzu619PKqUMw/COni6/o+UCAAAAAAAAAAAA - AAAAAAAAAAAAAABwJHoyd2ttHMeU0jiO67puNpuIePjw4cXFRa11XdeUUr9CLgAAAAAAAMB90Vrb - J1VHREqplLJP8v545Jz3E+u6RkQpZT8TuB7jO0Se00mK3CLVUkspkSKNOVqOliOuhX83ud3w1dgf - kvoxutaac36nxymHQAAAAAAAAAAAAAAAAAAAAAAAAAAAgI/CMAxxdfXbcRwjopTS5+8Tu/tF7Q9X - I5euBwkMw+B6+gAAAAC8KOe8z6dclqW/p9Tf9AMA+Kj0E6GUUmuttxGxP1P6eOw/9u3nhL3dz4QP - 21W+do2oz28/T92uEaX/qM+rUS/K0xZLi6VGLTWiRVvq5RIuH3htacAPrdbaD9nruu6P19e/IfOV - 820bAAAAAAAAAAAAAAAAAAAAAAAAAACAj9qyLMuytNZ6brdon2Ow2Wz6xIMHD0optdZ5ng9bEgAA - AADHpqcwbjabdV2naVqWJSJylksFAABwQ32ewx0RES1qizVijajtatbziO4b0d3A/eQtEgAAAAAA - AAAAAAAAAAAAAAAAAAAAgI/UMAwRMU3TNE09uvvQFRERMQzDxcVFz1h6+vRpSimltK7roesCAAAA - 4OicnJxst9v+zl5KaVmWlNKhiwIAAAA4vPHQBQAAAAAAAAAAAAAAAAAAAAAAAAAAAHAwtdaccyml - B0WXUkT7HFwpZRzHUso8z7vdbpqm3W63LEuPWgcAAACAve12u9ls+vQ4jhGx2+3meT5oUQAAAACH - J7obAAAAAAAAAAAAAAAAAAAAAAAAAADg45Vzvp7u01qrtUrvPqyUUillGAYxSwAAAADcLudcSmmt - 9dzuiJim6bAlAQAAABwD0d0AAAAAAAAAAAAAAAAAAAAAAAAAAAAftc1m01q7uLg4PT3tidGHrojo - a2Ge54uLi3meW2vDMLTWDl0XAAAAAEckpZRz7m8l1Vpzzrvdbp7nQ9cFAAAAcHiiuwEAAAAAAAAA - AAAAAAAAAAAAAAAAAD5S5+fnp6enEdFa6xO11p73c+jSPmqttZ7SnXM+OTnpM5dlmabpoHUBAAAA - cFz6W0bn5+fTNI3jGBHzPG+3281mc+jSAAAAAA7M958AAAAAAAAAAAAAAAAAAAAAAAAAAAA+Uqen - p7vdLiJyztvtNiKEQx+DlNK+7eultWbVAAAAAHBDf8tos9mM41hrba0tyyK3GwAAACBEdwPAV6WU - 0if6d44jorV2uHLg/iml7EdNn6i1HrQi3tKyLH1iv2O0Ku+d/UqMiNZaX5X7Fcp9sR96fYWu63rQ - cnhL13ehfVXuRyUAAAAAAAAAAAAAAAAAAAAAwFdlnuc+sU/0ydl1aw8v59yju/t66dMAAAAA8KL+ - hl5/Q6mHeQMAAAAwHroAAPgQ7Ha7/lXjZVnmeV7XdRxH32qFO+kf452fn5+enqaU9undvrV/v9Ra - p2nqq6/vBkspwzAcui7uZpqmdV1TSsMwtNb6GuzThy6NO9jvP6dp2p+rGJL3Ts55WZa+a93vXa1E - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIBbiO4GgK+MN7tmAAAgAElEQVTAPM89TLFnnY7jGFeZ - tbwHomQ/DPvc7nVdI2IcR4PoPso592Dgfey6vOf7qB/U+uGsr8rdbpdSmqbp0KVxB621lFIp5dmz - Z48ePYqI/VkK90itdZqm7Xa72Wzmea619vW4j2YHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAG - kV0A8NXo6Zj7oNOLi4ucc6310HV9FAQ8fzCmaSql9KDZiHj27Nnp6anU5/slpbQfkj3Du+8eD1sV - d7VfZfsI9nmeI8J4vF+WZZnnOaXUc7t7Ivuhi+LO+lrbbDYR8fTp0wcPHvTxCAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAADAq4juBoCvQA8b7kGn67qO47jZbFJKPe6Ud01E+och57wsS86553YPw3B2 - djaOY7/JfdFa6xHsOed1XVNKT58+PT09PXRd3M1ut5umKSJKKdM0XVxcnJycSGG/d+Z57qclfUea - Upqmqc85dGncwTAM2+12mqaU0tnZWURcXFxM0zQMw6FLAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAA4UvK6AOAr0KMTd7vdPM/jOK7rOgyDvOH3RpTsh6FHsLfWIiLn3G+u63rgsrijcRyXZZnnebfb - RcQ0TQ8fPhzHsd/kvtgfxU5PT8/Pz4dhqLXudjuRz/dLa20cx9ZaX4N9ppV476zrutlsIqK1llJa - luXk5OTQRQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHDWRXQDw1dhut5vNpta6rus8zz29ex+T - yTvVw575AOwTu2utOeceN9vzg7kv1nV99OjRF198ERE5557YLbf73imlbDab3W53fn6ec+4jcZqm - Q9fF3ex3qtM0Lcuy2Wy2220/RTl0adzBfn2llPYnnCmllNJhCwMAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAOFqiuwHgK1Br3cco9tzucRzlDcOd9GzgnnnfWutxs8bRffTFF1+klHLOpZR9cjD3S08I - jqvI5x6+3gfpoUvjzvpKjIi+Tud5Nirvr5xzSqmU0lo7dC0AAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAMdLdDcAfAVyzvs2IsbRERburEcCCwb+MLTW+qqUEHxP7VOBe+RzZ3jeO30AXl+JYVTec/vV - l1I6bCV8VaSww2Gt69pfv5dShmGotfbX9f20ZxiG1ppdLgAAAAAAAAAAAAAAAAAAAAAAAAAAAAB8 - RFJEimgREUNOtbVWL2fH5ey9/BaL71dEb61dDyxIKR1tfsH+gu2HrTDnfKPTjtON69sfvOBez4tl - HNt1+A/eUTfcGJJHMgpe5Zh3IIf28qimV40L3sSQckTUViMip3E//WJvH0//ChYFAAAAgAM4to8i - eDveTL9fttvtZrNZ1zWlNI7jkydPTk5OxnFsrZVScs77PG+53QAAAAAAAAAAAAAAAAAAAAAAAAAA - AADwEUpjbmuNFqW2iEg5UopWXrzjy0Nhb1frlx51dUX0dkwhp1/SWqRL/eZh6qx13U/3anolx5YX - 8GI5hw0J3j9tL2N/Bf5aX7JBH9z1IntbymHqvLG6bnTj8bgaBZc39wP1xn6GG26MR/32hqZpWJZS - Wx3ykCPXVodhWNbl0HW9nuhuAAAAAIC3dGwfjXC7aZqWZRnHsdZaax2GISJSSrvdbpqmUsr+M5L+ - aav1CwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAfldyixwWPY6xrRI24umb5Pmd77y2uZp5S7C+CXuvl - wvKRXRZ9HIdaayktIqK1gydk9+7pXddaa1f9dmTdFtM0tNZqvRYB3NpRXPO+tZSiHev21ruoD4fL - OOqIOGidfZPfr7vLEXDogXDDOPTA6bYvr08d2eo9OjlHRKSULrvuqt+ObVwc2eYWZS29h0rtB8nI - OYac9jePluhuAAAAAAA+CsuyDMOwrus0TbXWnPOyLMuypJTWdR3HsYd5r+uaUurTAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAMDHIkVZa0T80//MP/Xv/+F/b57nHGm32+WcI9o+EreliJYj1fQ8ZfiNlFJS - ShFxFfBcSym11nVd38Ev8/bKNa211lo6igDqiIjeb+u61lp3u92hy/mSXtV+tR488jzn3K4lr7fW - 1nUtpVxcXBy2sBdd77d9112LQH+ver/tb/bR2lo7Pz8/SD2v0qvaD9V9vx1qw3vp87bWnjw5ru3t - arN6Xm1KkXOUI0ugPpqd7sttNtN2ux2He5CLfQ9KBAAAAAA4TjnnQ5fAHfTPjXpi9ziOtdZhGDab - zf4j6ojYbrebzeawdQIAAAAAAAAAAAAAAAAAAAAAAAAAAAAA7980TMuyRMQ/8fVv/Lv/zu/POUct - pZRxHCNqRL6WJJwiWqS7RQvvr2+fUtpnKrfWju269znnnqDcbx48t/t6JPC+61pr43hckaz7qOwX - Cz5IPSmlHuecUurbWE+hPrZ+i2vx2Ps5KaVDbXg3nrev0FrrsY3TYRj2tUVEX9F9/kHq2Rewv3lj - 4r0X1NdXimjX23GcelHLsttud+u61NoiWkr5xj0P2/7gB58fvIYvtzGOY865lPLs2flv/MZvfPvb - 39nt1sOs3Ls4uv0dAAAAAMB9sf+wkPsi5zyO4263W9fLd/D7x4TLsqzrOo7jZrPpE0f40RcAAAAA - AAAAAAAAAAAAAAAAAAAAAAAA8O703O6Uota62WyePXs6DcPDh2e73S4iR/8voqaIliLdOY+2lL78 - 5+m2PZ64tfIV/QZfjX4t9x64m1KKuCz4UBG8168bn1JEXJZUynGlxrb20qjpy9Tb969Hw/fk3R6r - nFLkfLkdHo8+IlLqK3d/Mx0qD2K/madL0Vqv6kAR1K9Q6+U4zbn3WO7l7rMY3r8be4i+xzhUlPhV - ESlSu95utxd5iBRDHuLkZBNpSjGk3KLlSPV42sePHx+8hutt34fUWltNKaWTk5N7kdsdorsBAAAA - AN7a9Q81OX6ttVrrbrdLKQ3D0D+nKaVExOPHj7///e+XUlpr4ziWUg78+Q0AAAAAAAAAAAAAAAAA - AAAAAAAAAAAA8H7N81xrLeuaU4pSN9PUWnv27NmYh0g1rpJ7U09EbjUi+vw3NA03r2/f2mHCiW+X - hxxfSuFtEdFaS/kw1+fvEcXPS4lorfULyx+knldJ6Xm6+fOY8xaHSjVIKbVokaK1Gi1avSxpuBaF - fhzaS261SAeKiv9SJdez4o9TissuaxEtWsQLu5n3XlFKl9t/itZaauUARbQc0Z+376uftyfzGFEv - 12re7+tai3q5Vz+OtrUWUSPykbQtRUTKOdVo6Wqryzlqr/erW3VfueM6TgAAAAAA3CPt4B/VcBc5 - 51prn1jXdRiGUkqf+eTJk5OTk2VZ+qc4+eg+LAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3q3dbhcR - KWKex2fPnp2enQxD2m7LPp87PQ8ovXNud0SUUlJPAk7pebrt8SmlRM/qTqkX3B2q4H0N+3ralYPU - 8yrtMgH4eVWHXdF9DcZVlPL1VXlUrte2X79xbb2/ZznnG1vXsW1p3X7l9pvHU+RRVJJqtJ46UW+0 - pSwR0dqaUooo+xF61ZM373+oNueI6L/CEbSp73VrSmNKLSLtlouIy9zuIye6GwAAAACAj0K9etu+ - f9bb232Yd3fjQ7hSyjAMy7JM03TMnyYCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD+kfi3ylGJd181m - U0pppQ0pfylU9foFyy+jYd9UTlf3b9Evi/7DVvxu7Psh4nlW+TFobV9OOvLeu3ToFX09RvxQNbxW - iueb2VXBh6y2lrixgR1p37WIo1/F11fue3/ul8dK9z1biriqrO3Tz99HVW/s2KoZc17XpbXSWhqG - XGtNKY4hpf217nacBgAAAACAD0/P5x6GYRiGns+9rmufH1dJ3imlp0+fHrZOAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAOB9SPchkhQA3r3WalyFd9wv46ELAAAAAACAAyulbDab7XYbESml1to4jrXW1lop - ZZ7niKi1PnjwoNaacz50vQAAAAAAAAAAAAAAAAAAAAAAAAAAAADAV6yHdd8xmNSlywGOTT10AR+e - lFLOLUf7Ut+2l9zxVT84DAdpAAAAAAA+asMw1Fq32+0wDH1OT+/OOQ/DMM9zj/QGAAAAAAAAAAAA - AAAAAAAAAAAAAAAAALjU5IECHCu76HemtdZqakcTzn278dAFAAAAAADAIbXWTk5OttttKWUYhtZa - rbWnd6eU1nXdbDb9R8uyTNN06HoBAAAAAAAAAAAAAAAAAAAAAAAAAAAAgHelpWgpakSLSOn6T3JE - RIuIqCkiRW4RUQ9QIgCvJb37q5SiRaQcEbnll/dseuncA7MRAAAAAADwUau1XlxctNYiopTSWss5 - 56u3+sdxjIhhGCJimqZ+NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPjg9aiOlFKfbvXQBb2x8dAF - AAAAAADAIY3juK5rznmz2Zyfnw/DsK5rROScLy4uNptNKSWu0rv7JwEAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAwIfmDa5EXlPeT9YUEfcnvxTgY5GvtXwFcouIFpEjWrT7FNthIwCAeyMdTQsAAAAfknVd - h2GotZ6fn+9vRkRK6eTkpE/0Oxy4UAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgvUn9+uSuUg4AcXlA - TK1EO3Qlb2o8dAEA8HHKEfHia+kcQ4sWEUNOtdb25VOKIaLlyC1KRGpRI3JEjRgiarqc3+e8qp2H - WFtEvZzTUuT28sf257pxz/19Xvlb5YiI1p63+/mtfWlOSpFS9MizlL50/5SunqJFypEiIkUtESmi - vbztvdleOAF71fOW+vzm9eft0+kqn/zFBd4wjrmU+uLdri/2xd/3Fi8+8E3cKDi9kK/+YjEvzrxl - gW/ykNfep/fAS+t5aZ3Xf4vWIl3dbq1FREoppdRaa6+u6cZD3lxf8o3lXJ+T8xgRb57Y9+IS3qTI - G2W86s4v3u2uNezlnOMuv9ftT7d/xpf+FvuZb7IqX/XYNy6mxQtbzlst5426+kadt6/9u9bQ5ZxT - SqWU1y5/P33rE+U7PfXtW0h6YQf0dr/jS5f5wy/qFjnnl28Yb7L7+9L9X9E/15dzfW/4ij1jyvmy - mHf5W9/5t3vVEt58Ie0O29vLj6Z3errXP8NLhuFLd783xtqLh4mX+uHH/qu2/9v3h28yal66o35x - F/3Sx756sfWlD7n99327fftLH/vC2cLLH3XXzerG6eLtd35zLz3d+vLMu+39XnX0ubHr3m+Bb30Y - +li8cmRzlN5oW75+DHrl+Uw/xbpxs7U2TVNKqY+mdV3ned7fYRiGZVmmaWqt3XJQAAAAAAAAAADg - rbUUEZFe/N7+q76X7ksc8M68any9aoQaj7d7VS+9eT/fzv6TY/ax7U/e9XgHAAAAAACA/5+9ew+y - Zbvrw/77/dbq3nse59yjiyMJBYSlRCKoHBWVskiVRUIqjnFCKk5CGaeITRynIqAoxUVSKSpFkUri - vwxxgY2FFZCEcOyCxFRwxUAUIAWxgRAcDOEZQR5GvMRDj3vvmcfu7rV+v/zx616zdu/ec2bmnnPP - zDnfj6Za+/RjrdWrV/feM7d3fwEAAAAAAAAAAAAA4DGzcdqEQKzCZDmXcBN/8rmYEpEyEZEYXSsC - BgAAXkOPIZQNHBMxU84Dc1DinBP5lyXr70Xeyu9IIrobAADgFjGyGKKZZR2I6PCw/cAHPvDud/8x - y9mDFVU1pZRzzjmrqieH1TmF7vT0dLH8vu9zzimlEuDn64cQzCylVBduZm3blnp9Q180bOeZFQ8f - PtyXiTsrwYuNMfrKKaVhGErDOIiqR2IbEavmnDXnNAxpMb774csP/bWZ1vPNyLdNachZVbMZmVlo - opmZcsp9GjTlXjMZZTJhMTIhVjIxyqZMrA9fOfU5s2kaNOuQk5U1TdkoMwW15CXnZFnNSgz71Lrx - g+L23szW2TeNQdS0xJlflENEni65vT7zxWvThXrnUxrXvNiqmr9Vcr0mLexjvb7ao/YrXuzXxV5M - A3XrTNnKGd0Kn7U9m1wiRjEzVR+6dQLockmqaVqBy5T2h17vjwL1ETu+LimqU0Dg1prMrJrrOaX4 - q/yesRQwz3XhROS/w+yUf5M41Vnc8NR+3W1JveYj+3NKPb5SlOxicu1uQu2+za9OSsTyThWLxdb1 - 3iw2ddYz+6Lcr5wW76UJkdZT5kCkZlzPn1XNlZTSI/d3X7Mvb+FiiHIZt+UNha42bvf1+SzX9rLz - 2gPgF8931itlBe977WWIMLPO3menXd4bnX6VgbSbhF1FiT8iyPny8i+JNa46k4is7FZ1vi/sb9mw - HK/ZJX6pcCJaPi77lfO33usSvLrvvN5pwv7jMq0zuyzsi9au27O4Ql3IJTXuO/29JeWj2u5qu++2 - l9Ryg4Yttmr2ejHJe3aO7+ufnX8uVxqC98PysNq1u8LN3jG8Xpre+xaLne3BYjlXua7WPbavl278 - xgfwfFLV1WolIqpafk0WkRACVVew09PTo6Ojp91YAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAeDVkHvXKSuzxpDMl+QUAAOC5wFxyzZbeGG8lRHcDAADcLiknIhIW - ETk769fr9cnJycGq8aUhcAgNUbOYPltSGF988cFi4TFGD/z22MiS4Oh5Y7tpnTnnOt/3IuEvLP+q - X0fY1pGBOc8jh0vkqoiUZpRNRMSjtYmVKXgwtloK0ixGaDMFj81eXFrmeyx32RFmmQJJx5BqkUBk - Pt+DwKtdWYibDiFOceBal9a2q9m2vrSsU8LIU8peQimztMdMT0/PFuvtut5LKNuWkolM1aaQ9JSz - lraVEny+au66frH8hw9Ptg/jxVLVXEou4eHeb6XelAZVM1PdDusuW+2LYN+zX+PILOnvKSWfU0d4 - lmD4erBt7YPZycnJ4rjt+16nimcpyFWleSdIl6bjNZ01i6XvF4RUp5DO6vSL4WK/xhRP39+q6jo4 - eF+9daL5Vr2BbYoqH2uZyqly0C96gqs03sUCZ5omqGrOW+HEpf2lVV4XM3tLLvpTL/pzud49LWim - CPbSpWXzWb6711u3sF5hf/Ly8q94s6jgS4aB11J6/pHrl63qWOTyz4vdrNYUoaWkaSIiHUOl9x0/ - nU3Z1MiYjIiYjGU8NBfpzmTk6bN7doTJ11yONA7l7K6axFTl2e9paF1pteG4aD5nt1XGTLRTAAUJ - YxlmZlqufU3TlEvQOLZ28ua3itflHi4Hbjaqw3S+XxSf1YhilPLGOF4ELj359o3brXXIiMds4HrM - e5sXy9/tqJ01lrLAiUTKDKv7pLpua1l9Tzb1QoPqyPbFdfZFGu+T87Cn/FzPKR9dPKJ+q5mXHpip - PTbby3qTOo1+t/zF6OVHWoyxnzW13rXdGO9ZVPbVa55V+ki7adOXbLv76dfn1J8/9xVe23qHqlQf - Ebei5f0TwG5w+FUitK9Y7+I/L97ot6ve30Pz9piVnRr3pS6q7OysAZdH0T8xT6XSSyHZ/Jnyav9D - dYwx59x1HRH576p+7vjHg5xz27ZEpKpHR0f+S+5jaDUAAAAAAAAAAAAAAAAAAAAATBj38wDcSvvO - TZyz1+U9Vn9joe7DV/NldRwLuCuen+vJkzvfAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAF5j - 141VeooQ3Q0AAHCLrFfrTbchIjNLOYmQqh4cHJCmfXGGi1GL+6LChmGoU/pKerdHEtZphZ5G5pHe - RQn2S3si/XajH+sNdwMOPQy1nl8iOc0ykTAZiwgzBSGKOSuREtPOlKvpxXwWJjIOpXwj9gRUZebp - yRae72vMrDr4WrP+nPrBZtOsPRERk+ePTzsSUu52+kCJSDX5PkpgFg4xxkbMYt3P9YF+8Lr7i/3s - Eew5mVG+iCQXYwr+2gPL66Ul4JxMjHJOppZiaBfDzoVjiTyvA9GDNEZZM3mYeqmxhKzX9Xo5pW1k - opY00yX1xtCqpbJfpczFbFEfunXyqCfn2RRFv9ul+yI2Y4x+FsySSksU6Czeckp0pRIorqr+YrH8 - hw8f1i0pVaSUfKuSGu7zh2GgKY+8LKUpSrwUoqpjQvtO1Ks7PT21ysX5m1Ip3Ev2MktUZ9kv7xNv - zyzs0/ZHoZdWzQr0fk4pDcMwDEPZ63K8ZvWmlGbps+7s7Gyx3vPz86UIdvbjqErD0PV9mo6z1ZHY - UxUX012lLbMVPAp9tm3JRXZjyrCR2Va6tl+qL89IrdtZJ+/6tvUcs+Vc2HqFS/ZrRqpoeSv/W3ob - EhYJlJMRGxkTXyRcX7JfZkY8L8vIggS/AhjpQnIzXZTv9aaki0svwwtNyzo/f5lYZBz/l+3F1ew7 - CruHTMQjopeHhR+v2VF7RKz3ThvqTXysep49VQnis4jxfco4rJtH26O6bnOJrmcmZiFS5sBsOXu4 - NZfpYqX11bisU7++UcS10TRuFhOsX83fd/ZtWyKiZ1WICJESiZlO/TALPr/GoPMSdvvK93f2lrpY - 8pP+25bH3+6rcTcqe1/Drhs1vT8q++Jta7He2cyrHN9L6i1zZu2vBvm1o9Av6TTaM55f5SB/fOQ2 - pncDTPzzdgih/liec44x+vyu61ar1VNuJQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAMCTdzsib64K0d0AAAC3iOd2t01LpP3Qi9D5+bmIEEnJ1atziBcjuj3+ - drH8OiKxLtCjc3dzCuu85K35spz1WkcszwqpKy2lhRBmEYPjfDIjNTVi1awlHNojpcloNjViImX2 - qEvy5D8PJSdSD0EmErNsxkTUSPBW0nZgYdztT9+dnUhX10zRzuNOTynIzXY/U1UXEU0ZqUzMJIGI - tvLLxxd70nR9mWYxY2HPAZ/2PeecyIw5MBmxMI9LU8pkSmTMY1MlsNk0fHhnSkqmxEJk5MeaiZlz - HohUSIiMg5Q01s4SkxFTiVpn9rBkNVPvGQ9nlcAyRjMuRLCrJbPMMnXBRdT0UIaWT72SlLL3qJmP - Pe889oj0xZ5bnJtzibSvjgDRMHRVxj2V0S0XYfAcKESTKmVzwb37R/5idl74+Tg775jZIwDHI1Gl - UIcQfP06rVxVF68DpcadcNatSPJ65VLO7qVm8Syu2zkrxxtG0zWhbkYpynvAgw/rwsu0PuJ1vfv6 - ufQPbR+LNKiIiIRSvEgQYVW/WI6BwarZM8eHIc0ihH36yisPt0OFjVmILKXsieE5Jy/T5+fsFx/K - OZWlvpWqD92telPKi/WmlFMavJZSu9fi7fdty1Kvl4jL0pzVTMt8zwI3U58+fHiyWG/OuluvqjZN - Y2Yp6TB0w5BT6s3YLIfQTJHDWZVyHnI2s5yS+vzZ9Oxs49dkvz77fGbbbHqznLPlPKhSWerlq1JK - fdcNKamaarpISZ+SoWkaYHvOij2/sMcoqroVhU5GNl0a+RHl72ZX74xPUl2Iad9NyDYlYpNpp6bj - NVWxHRJf7HlbphhZ1UoKe6nxooSpwJJnzjtB9Ze8Idn2HpVigyy3ubqUkL9lG9XvsOMGZcdpp4t8 - kUeAT29c5hnH5vswH8tETFMQ9s58Mx/di0t5p7S6HGF/n2TzjwlLJQThcUe3SzM1lnmrWEhViYlM - L1pFF9v6Wy1NW01XkUfu10U5Pp/ponYmYiHNF+tc3gOXTbdH5uyNY3H81Nf/3bjr3a3K59XZW9ti - +czsn/p2zVYv/xSJ5XNsaZuXX+2OlbenfZ+37WJ4XlZvmcMc6o/cZZG/n9Z7NC3a+2fH3UV28YGP - ZlXs+zzw9Oz9PAM3gij0mvfGqx1jntVdx3j79SGltFqtcs4hhGEYmqZ51Q0GAAAAAAAAAAAAAAAA - AAAAgCupbxPjW3InFMCzbt+5hnPw1av78Elf33D9hNvgeb6evJbnOwAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAMDjMouQuzWpN4+G6G4AAIDbpYlNP/REGiTknFer1WaziXKRYjsmIzMz8zAMi4Vc - EuW7G/tXyqlSiktkcirr1IY9UdaLn4FK/KFv623zKvq+5x1EKkxEgQJ55qIZSWSiMCZz885Uk/8f - EdEYApo9zpmImE0kMHNJRuw3m6obPaaUPXTtWv2plqZ9vNh9M8vpItZxu0s9w9jjfbeiE0trH9mf - RGT5Yk1mrzTTGIUuU6JlUlWznohijJ7ja1b6x5hLxLXuTs3M12QuOegUghAFMyMSTwI2S2a2alud - smBVs6qZJa/XbGybWWKesj2XaiSiqT1W75eZtW2kKVpSNZuZKjGzRwj7APMYV++xeoxt9+dyhKFv - S9PxKqmgTXMRpelVTLHQYVbCVNfy8QpBprZtNcl7xkPB60XD0NH2qJvO946mXzzqSOx90aEhBE/A - rcaR0dTP1Yl+0RP1kBMpOa5UkkqJShzv3oh0M64ytnnKdLWmqY+jmlHO3gPzdkxVV6m/Y3zvmD2/ - WG9K/bTmRX+aWWyi74ZaNmO1pKrEGqQhVhFhITKRYMwcIh0eHhIrmcymL774olHenR+kMco5mVE2 - ZWJlCiwmHFnM52imslQ4GmWm4GuqJc2UdWjiarHeJq6yDppJLZWtjLK335SNspdPJqVeX9OUvfyy - vq/jLfFpmT+bxtCqJd8v34pMiEhVpx4eA3v9famOM68uBRpCXIz59ehxvzSV+czUtisfIB5wXgLc - c1YRLuV7CczkkedlvkeVq+Z9EeweVV6i0+uph6CnNHjY+ZQSPZbsFz1f6ntHU0R6dYG1k5PTxXr7 - figR7/X+lgh5LzmlwevyfqvrrWPU63p9enp6tpST+M0AACAASURBVFhv1/WlT+pj5GH2Jfo9pWEW - Zu+XDm/z5f05a0lZ6tvW/UlTCrKZpZRS8oaRGcVI/mI66FWG+k7Ksq9Tz6+vglb/X5nW17NLpyzb - W2+Xw0LlWm5EpuNg8q12SzOysW22VZoIWVWan1LMU6pslVxet2Tenv17EaIfvqq0av2L4T/thVRv - a+NFvl7zitNtN/i7mMj4DkLjNf9ipIkEERqGhc+fs4p4SvI2s6llQqRXmarSnqVslsscf22WmcPi - +syhrG/G9fzF9acPS8Ls+6vMgdnf3+fr1y3ZrddrZLZSl1leLEc8Kn57W5/u7wdML5neNsjtfvza - tu373n9hXK1WXdcxs58wMUYaP3uT/4ay+/kWAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAA4K4rCUF3CKK7AQAAbpf6w4QZrVarpmkC25SqW5I1ycw8HmypkOVo - 7RKhXeb466YJpfYxVNeMphCyKQHxot5LosF3MXMMUgrxyGrfyYO2qcr3ys3MMum8kZ7juLizTLyb - pVzt3UVQ8LSPTYh1JHPpc+/P+hD4apr3pt9ttZPGJHDvn4v9UtPt0GhhZg+hFSGiMTp9tl9mYU8/ - cxwbv52mbJqHMR+dmZhiCN7CaR+9D+uOXd4pEfGU8dk+DkNX8tdF2JtnTH2/KWHSgZmYmGXMwCaz - rehlomkcXt6ZUxqoMVHqS9Q0CxFPset5iq5nIqlS7Rf7c+ymJWE7JNumzsrDUParrre03y4G1fzM - 2uLr79Qeyjipot+JKPhI4oswcjJi4ibU6axeotH+KGvSNNtg3McpGLtq/zg2uPpnKfUi5Xj7t524 - 5/pDY6t47MjxXzR051vjhMgPmdm8JXWHVIPcxt3Z088xXpx3Y+CsmRlbGoiIWIWNJTAH5kDEwzAw - G5GQGXNgUhnD6ZX8hN2eaupnIaxj5Cpnf82kwh6Xbkw29BsPT2U2JhE2EmbmlAYiJTZmY6ZAFoI0 - Ie6rd+jOvXx/I2AvnzmlfiyfNIRAJF5XSpmyEhuRCgdholhdSXzA+BgIPObRmnrEcD0d0nnZL2bi - 6YITLsZhGRrG40VOicNYrxBJqLLbd6c0jgziuj+HbjPWy8YkTEYkTMbCROrp8+zrG5FpG+NWqG0g - VVJNx4eHiwGrLz54sBt/y+zjS824BOhO0blUYnTryN4QmlmYrpewL5pXJJplVfI1S2kxtlV8L5f2 - 5Gx1+G5ZWsfxLs6fTWNsVZMZq6YpGHiMHB7Hf7XX3s7ZfnmbZ/u7GxW8fHZUe+RvfbOTupz7KaWc - c0qpvsCqanlfTin1fe9B4n71KJv7mjnnIWdPVN318ssvL873bevay+efUmy9NMZYf2zQKdu867rF - 8jebjar6Jn71s4lvW0r2ddq29UXeKq9698Nb2feHDx8u1ut9Vbb1ppbPjaU/U0pVyvVFyb6tv9jT - n6fL/UlE1dv9I/8+FqOojm3k8f2ivPeU8oyINGfTKZ18T/llZO3Uo7OpX1nMtuYzj3OEibh8VlEy - 8rPFTJnH69a4dPy8vTO17J8jxv0q9Y6f17bW5+l9XJiIp5R7y2YkxDZtOzbZMi/tkU8Dk07vvGap - ms9+3S17LUzM5RPaxb74VuXz2nM+tWtvBbffqzpSfd8TUQhBRLquOz4+Pjk5EZHNZrNarfyCGabf - vx5LcwEAAAAAAAAAAAAAAAAAAADgWqy6a4Pv2Bc8Xy3DHSuP1fM2fp409OfN7Ou3J3G+P0vXz+v2 - z13f39vmSff/s3q8XsvzHQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOBxqfOnbj9EdwMAANwi - TJxyIqJVu+r6jpm6rlNLPIZfTqtN4Ze7HzsuzwkrUd/1hszsUZelWEc0j1iu611u/57a63Lq8ocS - vVzN9P+roz1pO6Z6XqldPIpid7WS/lvmM3NOua50d79KObPw4H27XAeIXkRHVxmlPAUVl5W9Tzwa - czcK3Uu7POLajIlyFeM6Rq4yB4+GNUtVFKuVMNpZ0OxuFKtH1VbRsLlEwNbblqjXGFoWIxNiNWWf - GitTMBrn+GtfhykQj6/rKVMwymVOKdMj5EvXla4u/eZzSsLo4vG6ZAjV47lezaNb6+Nbgldp+3S4 - /Ly7ZGk9bHbPu1mias6Zp7j3y/fo8tpnxZaqSzrsbBOPyK1XrsvZt1P1CeUR3THGWWTv7JDNCp81 - o6yz77yoh8dFA9hiaKphk8186hG2fiqRql8DvdLlSE4RIvKu8ENQpsY8vjZTM1MdiCgEKa02U1Ub - I1SDMEdv0VXqZTZmzzwuob+JiESYWTwJ2/eISJk5BDEbW1KiW5m5jnEtJXvP7dtf5sDMvteltVP3 - 1kHRZKYhRDMy09KYKRJbF68zU5yzr3kx9RHOzFP+uuf6jtHXvg576KwpkaqmOmzbSxCRqd75rvnF - lcb03FKvTUHUUqX/qpmKeNSx+k+pXTUtxVTTQogvZSJKqS8jczp2ZBZy9vcLrYauEbGMGb91OcbM - 23PG4zjt1MJ0GLrq8uUjzZhFNfv5VEaIjyU/yma5rB8CEcVZyPHuWDIbW+7lm5XzIpj5KPXOl9nZ - 7a8PDw9LXHS50PlwL1eG8s86t9svLyVtWuL1/sThRZU0bi+Nmf16W1/nZ1e20kiPxy6fr2b8klWu - rqXl9Q5SdX0r9dYfQnY/ipTL3b56Y4zeIXVTfU99H2l6r/Gls/eXEiW+r/x9ZserlHN2dra4fpqU - xpQD4cd0GAaPId/tAU89r+Peywql3tPT5Xq9bcMweDh6fXDLYR2GYRiG0g91/nrOue/7YRj2vQ+W - SPXZCiWUfRiGMtrrxpeSfZfLgClLS6D7Yr2+U33fq2r5xOIHvfRnvXl9xKel+95jn0vXfdTdnflT - MNyQf8DwkyjGeHJyQkTMvF6vy9uTn3FPu6UAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAMEJ0NwAAwC1iZMKipl3fEZEZhRCY+ZIouKtk916UXyUI1hG51w0Y - u3Gls5mzeqs1pW4pET2iwmllpq3YPI9i3KmaRBY+AtUrX33/qpjgi5n7+rOES9brL9Z1lQYwCTGT - CTGRCTP5a1MmFiZh4SoYm9jDZZWJmWxcZyphd1qXOc6vt62XGompEjEZEwkZ+dSIiYRZiLbm75v6 - +mWOqY7z62E79nvd+TQOkoujsthdV41UHBM8iUwvSr44IkY7bXjE0SqDZLGium2+JlOY9rraL6Pg - 42rPaH9U7bWF7jIjplCXv9vOnQKXd7w0+KLP1EuVhUO53YbdYnYbM7Zzd5FuFzs1QD2yuiqPmZjI - aIo+5YuBdcnx3HMYq0VTUdMqWm9VWmaUxz29Wr1jBu5OObNDUYpSj1jmeRcvJtovV1ktvqhjVqAp - sXrW85j4rIlYiYTFyIzYp8ok0+vd6VYJ9dTG10asIn5F0qpkNQ/MZiWjaf7WVmXpvumsnZesSaQ8 - BXtPad8LCdZTUvVyr24NzeqyJtOBm61AiyNizxHj/ZcD4eqcnEqe6l2qiOsC9wUTVy1aWsEWzujt - y3c5M6aWD0Pn/5xCn3O9QinK/1lnC1exzRSjKF8vdtjPF5aL09aH/RitXu8jT+2eXmTNPl9CdT3Z - ZjauMP0r+6k0rs9b3WLka1Zn3sXhoK0rzXid4Wn4zaXcE23XS6UlOu7Q+FHF1NT3d7x6XKy5t/x9 - ZtcrCSRBiOiF9t61ynlsDAG6N1R/rq2i0E8XV96NYKfp94vFCPaS0e4eGUl+dnZWkuBL8zxDvd62 - jmAv+fQewe4l1xHsvvSREey+aHcFr7eEu/tMMyoll4z2WZt9RzyRfV9/luT4nHO9VQhhN4LdVyhH - auqQfX1JzLR9RR1bvrva4vxaCLSvlt3SmMffg6brPJk9ovx9mibknHcz5m26YNbF+tXSVxYhZs7Z - pl/UpBydMjY8e3tf1fUgTCmN9Zo1TcPMviil1LatLxqGoWmarutWqxUR9X1fFgEAAAAAAAAAAAAA - AAAAAADAk2DX+IrDs0ZVY4x+E4uI+M1L6/V6771EcKmkuWmanLN3pt++5XOedtNeU/W46vv+4OBg - GAYREWK/Y61pGlVtmua82zRN8+g7j+E6/G7DNjbe234DZNM0SW8+Dssxmn0p7Bm7foYQzs/PY4xt - 2/Z973P8jC5LDw4OzMxP8Kfd3jvJrwxt2+acVfVaXzOsiYjfk8nMfrEdcooxPm/Xk5TSer3OQ/Ib - sP1+1L7vJWJ8AgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3Ar+vQ9mmp60/2x9KQsAAOA6SjgLEYUQ - /Pu8s7iQ2wnR3QAAAAB3TYmi9BdPfcpE5E16QtPrRYcCwBPnAcnPw3QppfvSKaKC4bl1+96suZyV - iunVp2bsUfKegk2cWZgsv/Dg3uL6ITSqSZXMskj0+bPXqkSkIlGEmINZNmMiZQ5EmvMYTb14GP2/ - RHp89Xhgmcvj+RxNf5ll5pSSiPjTFX0rX+SpzP7kyhIrfnm9/mL27D+fX0K7S3i2V8QUiJVMjLIp - G2WmwGJlvlry+cLR58ymTVxlHXIyYvVtff0YWmL116bsZUqgNCiLlRLGes1ijKpW0s1LBLs/zdD/ - 6UvLAz1nnenr7IsY93DxEppejpE/p3WWql7q9XVKvf56sfyTk5NL6i1R8WUMiIixqZqHpqeUvGHe - JFM+PXv4/X/vI0QlRHwrP74c1sVKL6eqq9XKB1jbtqUb/UG9ntvtj1AsyfEAAAAAAAAAAAAAAAAA - AAAAAI9XSTn1O6PatvWbqZ52u+6q1WrV9z0zey6133W22WxifL6+iuv76yPq+PjY88u7rmOj1WrV - tq2q9n1vZp7q/bTb+6xh5rZth64fhuHg4MDndF33aqJ8990b+SzxGwjX67WI5JzLXaPl/kZmXq1W - fn/jer3G0L2Z9XqdUjo7O/MQdOc3916rnL7v27YlImb2wHU/Ok+m1bfXer3OOW82m7ZtY4whBGaO - MSo9s6cqAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3GmecvK0W3E9z9fzAgAAAOBuKNHUV3Td - BLQ79oENEIULN3b7omQBnmtP9pQUvL8/dXz7rrpGxIrp1adjqDYRkbIJsRExCTMZsZHNp5YGoiwm - LMRkLKRZjVSH3uOlmTWQEJuQMZkm9XhpYiVSFgskwiT7PqBr9k/6Mn3gZyYiy31Hnpw9P9rKZOyl - mQUiJmLmnAYmIs9ONhVmETaWfUnKptNT/7b/1Ovzufz+MS0MzOOapkTGpMQy/qXYtMwPZMZERCHw - VMzWTx46Ig0sRMZsZEosZmZ58LBzJjViNmNmVovCdlE+MRMFZhZVEzIWjm1DbVPa74/dLEnn5XG9 - VD2I0+eXqO/F/gkheLZ3vYk/dZGqRG2a0s3HaPOqu31peQzozL6nLjZNU2LIS2nZTETGCPMpWN2r - a9u267qcVYTf/k+/7a/+1W/1A6VGIuLreBC4P5pzsdJLxBhzzl3X0RQzX3bTe2MYBs/27vs+xojo - bgAAAAAAAAAAAAAAAAAAAIDHxe/Dqe/HqO/MeA7v0kgphRBijH4/DBF1XbdarZ52u+6kzWazXq9L - ym9KKee8Wq3u3DeHX6Wcs8fH5pyHYej7fr1eN02Tc+YgZ+fnIYSje8ebzWaz2XiwN03nZo2fr267 - tn091vf9MAyr1epw1Xqm771792i4yeWt3M7nUyWzZ/f6uT486LpOmPo0DMPQNI0xDSmJSdM0JJxV - m6ZJKaWcbegD4/tKN3F2dtY0jd8eeXBwcHp6enBwMAzDte+TFOYgJycnh4eHh8dHHgcuIs/q9eSS - 871pmoOjQ4+cH3IiYRYmveM7DAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAM+0u/UdfER3AwAA - AADAs8vkNkbJAgA8e27nxdZbhek1p0aZjHiaw8xmmYyI5lMWYvKnFjL70+NYySg2MgUYi4c4qyVW - liCqTOJPfTRmJjYm0nzV8eN/eK0jpUvydB01XdKjy7Mmy9MAy3wRKeHTMx4pXedD07STu/XSFNts - pmZGlM3Mc7Gn9b0WnVohKfX76uXxKZDiWeRE5nOYg9k4h4hUE11EX5d6Sy1h2lCJhNmIxFOtidRM - mM1MzLLPF4llTd9KJJrlqfEym6Zk9bZlq2k3x/K93lJ7aY9Z9tequlh+jM2eehORmjGziQQvOZD2 - OY3j1IyYmMenG56enorI8fHRZrN5z3v+w09/+lMf/vDfFqGSLE7bueP7IsP3SSnRFGTuRfnjesv4 - 8WdHqmrbttcqGQAAAAAAAAAAAAAAAAAAAACuYu/XOO/StzsfA78HxnOmiWgYhhjjvXv3+n75PiW4 - XIzRzPq+X61Wqrperzebjd8d9LSb9poquywizHx0dKSqZubJsn6X1Pn5OTOHENaHh5uzM+Ols+85 - Ox+v5ZIeu3f//vnZmZltNpumaUQkpTTdNHg90/2c482Txpd+B/6OH69hGEpUedu2fmfgmAM9XSf7 - vg8hxBhDCJqud98guBij35qbUvKRqap+M+21ymmaxm/gJKKu62KMTdMcHB5uzs+fvevJI/dIVfu+ - 96HLzMMw3OyUBwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHjN3KH0bkR3AwAAwK1j/Oh1Xl35 - z9cjk+6+2/aoEYyfu8Zu2xCCO+PO/Ga/x11vP9wxuNg+Xk/p8+puvjUtJVgXdQQyVX8S9YcbzrYy - s9mjS8vmzOEqrSp2I5a9uln5PCnPYazzti/5A66Xv7vC7iPwvG2+ftnl0uC6P+tF/pDBXf5g0xIm - Xcqsc6brFyUxeqe3lZnMPBibVMeY7RAaIp72XkvYtmoiUp/J7PHe7HMWpyJxKoeI1GycP21uZnkq - QZgtBH/qpZplX+rre8T47s/Unnl0t0ggIm+/V+dltlN/2tTbxMZMmfTB/fsvv/xy27ahCf/Vf/Gf - s+Xv/K7vIaKmaYZhoCl23SO3942Hy+WcY4x1jHc53DnnEIIPG38q5c2qAAAAAAAAAAAAAAAAAAAA - AIBHqu8ckif8fYTbJufctm3O2cyapkHe56vEQc425zFGDrLZnA85xRi7od9339ezym9LE+GkebPZ - 3Lt3r+v6pmmE2HPNReTTL7/04MGDrutefvmltm19w9ntj8/b+XgDiz320ssvtW3LQbrzfrVardfr - 8/Pztm2v+911T1un6R5OEWGR+r5Eeuaun54G7TfydV1nZsfHx33f931PRPfu3z89OfE7TnPOdPf3 - 96kQka7vmfng6NDMDo4OX3755aZpolzvOiki5+fnBwcHRNQNfbNqTfNLL7+0Wq18hWfverK8R8LG - 1KxaicGyElFK6Q49qAIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACeW3foG5GI7gYAALj1TJ6/ - qGDf33lU2+ObAtwYxg8AAMAlntznt+fs857JU0nv3pfVPYvELmKMu38GZWaPMS7/LMWq6nK49Z4/ - pe7L2Pa45Vmg9W7Cd6m6RInXWdqLm5Tylxu03aTZXlweVX55jbv1cmXWn3WBizN9f6dCxsRo5pBS - X5VMIoGZiUTVTxwiEjOPAzciJlqemnm0ue9dNrPqpKtrjF5T13VVvRftmfrnelN/ZKi3gcj8QcN1 - j03tt8PDw0996lOr1WoYhhhj13Xf8A3fcH7efc9//30+J+dcxuTNtG3b970foNVq1XWdP9I0pcTM - nuTt+5tzxtOQAQAAAAAAAAAAAAAAAAAAAB6v+saP+haQu5/seT1G5net9H1vZiGEGOMwDM9b1PTj - Ymbr9ZqZPa/67OzMw9H33Uf3rPIA+BCC58GHEEIIft9e0zQ+wO7du2dmOefj42O/j0t3TsDn7Xy8 - Fh9Siz3mYy+EcP/+/aHrRSTGeIMb3sq9i8wsIiLiN+E9q9dPv1UvpdQ0jQ/dnPPp6WnTNKvVSlU3 - 5+d938cYfbUY8RX7m/CheHh4uNlsVNXz0VXVY6evLufsb1heQs45pXTv3r1n8npyyfnOzOfn5yLC - zELs7+kHBweeNw8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwe/hXlf3rkHcot5sQ3Q0AAHB3 - 3KKUL36in3ZYjYhYya46ZaNr5b3ZnX5Wx+3zfD2AavRc7vSdJNUUFmEwX0bu0m/3cLcfxfUssPqS - exumd5mHdtvT2BEjIuKd80n2POox9XkpMJuEAhGZGlWp3GYUJC4GbO97oum+epmYmIymv8Pa2Hhh - KdHazGxqvk6QOG5lJMzEe0PBx6ZetHkrb1tEeNy2bO5B0VT+KFxP9z369pJHuM6a5DsyPvC0WuT/ - 9Chom5TNmzZULVGdVmiaZlpf1cx7kKrI8Fntjwoa52pFrjY3M7VpQkRN2+y2p653pxeW59f9VmWT - cxOl6gTfPyOiNFgMTJYPD1bDMAib5uEv/aX/8lMvPfzRH/v7fd/Xf82PMdYR6VfkT0UMIYhI13XH - x8cnJyeeth5C6Pu+bVt/7Z0PAAAAAAAAAAAAAAAAAAAAAI9RfT9JfUfOc3V3rBAx0dnZ2cHBweHR - UbfZeBLqDW6GAZdSIhEzWx8cnHcdiaScWfV5i+5eHRyo6maz8QjkT3760/fu3Uspmel6tdbe+jS0 - bbvZbA6Pj196+eXValW2rb+zo7gf+1FKd/l3tXycnZ+c3L9///Thw9VqlTQ3Qdr16vz8PPLyfYn7 - 1Hf3eTBwytnvbSvrPEvXT5m+56+qDx8+FJF7Dx68/KlPDcPgKd3DMDx48MADp1erVTcMT7vJd1KM - 0VRffvjwwYMHp6enp+fn9+/fz8Mg17yjP2lerVbDMKQhSc6bzebeCy+cnJzU998+Y9eTxfM9hNCs - Vuu2LXeldl13en7WBDwCAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG61O5Teje9tAgAAwG3l - mYVXmV4nt/vJthmeCxhFAAAA8CSZTJ9yb4t9jxzlCdFWdrWIeOY0bcc/1+vUqdhMex8lWf7MWl4w - s7enlFxe1OvQTvL0bKvSwsvrrdfMOe+Wz8yque4TmkKpd3O4S/8sVlo/IrO8VtXd6O7ddtb15pxo - O9y67oHZLnh/znqszN/XP3UD6oZxpRRYyimLbvbX87pX6xL6fqjLr3ekaZoQwunp6Wq1MrMYY8r2 - vvd965//9/+Dn/zJn4pRhiGHEHLON3tUsYiISErJn3d8cnLiM/2oeW63P+10GAakdwMAAAAAAAAA - AAAAAAAAAAA8Rkr0nq/8Siv3xswWs5HxlaZ3n+V87969f/1f+5J/9Yv/RJSQUvKEWriZ1Wr18PT0 - 6Oho03df93Vf9+lXXm5ie35+XkfJPmuWzo5u0x/fO+q7IWs6XB2kNHzNV3/Nu971RwPzK6+8cnh4 - 6IG7EuOm7/7Tr/u6s83GC6vPKr4z37O+jPfKNVzz+rPQY2z3Do++5Vu+pVmtuq47PDwchuHhw4dH - B4flPsartqW6w9NfD8Nwen7+Ne99r18/b9ftqouu059m1jRN3/dtjKr5n3/XF3zFV3zFC/fuicjZ - 2VmMMcZ4cnb2gQ988Kd++n9vmsbM7kAPPF6P4/1RVZumiSLve99fJ7UHDx48fPhw1TR0zVO+aZqT - k5PVanV0dHR6erper7uu+8++/utfeumlclxmWde3+f19txW7KxQXS9lS13/Jl3zJv/lv/Kn79+93 - 5+dmFlhCDFe5jxcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAArgJPYQAAALgdmIjIjLJNeXJm - RBS5pLrdoqctvBbPr7DlNLvlda9d+HU3gMtc41A9I56/Pb7zbtH1EwCeHLy9P2UXUdN6a6bw+FwS - cV2HKJfH4G7nXi8WuBXpveeQGY2/KFH1wsjG356m874Kjl5s/LTm9lYX8xc3mhdl2zPrHZxnhNOe - vV4MFN/dql6hDrqebbgYgD2u6VHoNjav3mipUmIKs56wsWsu+9x7ERq+NXerxumlbG+y3JJHmmWE - l5ksF3/itp1NNKXYNFmVRbIqMxnZ3/i2b/2qr/rqn/7pf7Rqpe+zEBuZhMafaioiqomIYoyXR3qr - ankwYr1m27Z1Urs/pNIXeYb3LHMdAAAAAAAAAAAAAAAAAAAAAC6lRCTMZqbGHCSrbfru+z7yESPS - nZtGrntDxl2//1CipKS//tu/88f/5BfnflivD/IwkBmH8SYVYyISTwl9VvLKr+Hy8NRdecgvHN// - 9Mkrv/LRj37nd3+PEjGTbhdS3/F2275d4Dvn7d29M0+qdahac3dOKc1/hOjPfNmXW9JMdrBaWzYl - YxITUbO/+wM/eNp3Nu8Z4e0mzEpedNvOR7/CeGt3e8+V3p6tcPV9mY0oJnrx/vE3819Lmtu2HYaB - jQ5Wbc7D9UecmpW77yRpju3q0x//+Oz6qVcrd9++PznXulyNI5CZzIQoEKnIe77qKzd9H9iiMBEZ - s4Tw4z/90x/54R/OO5vT0tm97+y4iy7vz929W7yelG5piL/t/e83yl3Xr5rmegn3XmbK63bFJP2m - b5tVNlOyH/7RH/ut3/14nlcqRLp7LC6/yr02x+siZZyIt6ezVs1aW5oqRJ/xhjf8O//ul3dDH2Nk - IyI1tdv3DgMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPA8YiYRYWYzJTM8VxwAAJ5zImJmqioiRNJ1 - nQjpXYgJQnQ3AADA7XcXPlMAAAAAANyKxG58eAaAS7E+eN39v/E3vu0973nPz/7sLxKRkQUJKY/P - 4WTmEELOOaW0Wq26rrtW8R7m7dnqItL3fdu2RJRSCiF4hjczd123Wq1SSjHiT/QAAAAAAAAAAAAA - AAAAAAAA1+aJs3ke3S1ExNe8i+xuR7EyOq3RHAAAIABJREFU5axh1f79n/rf/s9f+MU/+s53ppRM - dRWbRGm+7t3e1ZsT2058vVTOOZ2fv/DCCx/40AeZOZuRkQTOeQw/nq9/y+5a3Aqs3dlr34kSRF2f - PvuSboVJjIhImZQpGLOZ8ZhNS0TGokzZM9FNygK96Kuxiy6P7pb56XwLTK30VtW9Vyu9nYnIrhfd - PfWu/0O9FiZSJuPxnOWq3sfSP/PrJxMRZVsMF561du62RXcTCzETjeerMSnPC5l2nzOZbOcuz6rc - PeK3a3xe302ju7eue5mISWUcpUKU6fG9vyiJD85MVF9v8/b7e3XWzEvIj/t8uZLta0U9rVu19Q7C - muvLBW+tLzZeAQzPcQIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4HOZPCgAAAAAAAAAAAAAA - eCaJ0Onpw8/4Q6/70Ic+9I53vD0EYqasuW1j0wQiyjnnnNfrNRH1fX/d8mOMJZ87hNC2rZmpaoyR - mYno5OTEzFar1TAMyO0GAAAAAAAAAAAAAAAAAAAAeOzsmj/PAFUlog9+8IN+44rI/Eujz21otyu5 - 3caPjkFt2nbQ/Nsf//gP/E8/mM2IKFzkdntw7O3K6r4eJuJx5Gs1p8yk7Zn1ynXvsY2rP3Jo7TvX - ds/Eu9iti9eQx3htKd3LRmRC9uS/D857fojoaVw/n9TFnMfU8nlu9/42PKv2duDWpbK67rF6xvwT - aMX1Pe1Y64vz45I92LdoZybXV9TX5nwHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeHXM7sw3 - cfHVTQAAAAAAAAAAAAB4LuScm6bpuu7e/aMPfeiDb33rW8xovW77vh+GgYj8+cUpJRG5wR/6U0pe - zvHxsWd1E5EXdX5+bmbHx8c5Z7pT/xUBAAAAAAAAAAAAAAAAAAAAAG4taRpLqVk1f+/7v/83fus3 - jYiZ+5x86SOTqmFGVY/uHX/3d3/32aaf8rq3OvFZ6tESSL413Q5sNia9SNeW+p6neVcs3w911ZTf - 2xiQ/Jo06JEj6pH56HBhK4/7OhvBrn1Dc+oveZIdd0nldvkaryGZntHw6ADvSwsBAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAuCs8ZYOr7+DfldgNfKkTAAAAAAAAAAAAAJ4LIbAIxSjDMLz+9a// - wAe+481vftNm08fAbROI1CwTSUpKJMzh+uWH1WpFRCcnJzFGZvb/bMDMBwcHKSUiijESUdu2HvIN - AAAAAAAAAAAAAAAAAAAAAI9mQvbI70Lq9UJb+VZkf75KmjMRdd2wGfr/7u/8HWmikpGwjaGi8px/ - h9T44ucqK2+GftP3f/Nv/W0i4sDElJKKbA2W2zxqjMQeecSZiMloZ0/KP7cCaMvc5WK5rpLrc3B6 - wVf7uZ1j1aqffWaD4+o/RNNVS4kvrl1CJPZ4E7uv0reXXj+f1vG69lVa2Yhsd6N5a+vBvreuas6V - zqxbz3Z+tswH54wS6Wybx54rL1bXX58XSouXpT1uFKJ9A0Ik82Gx1MVje1kvfrY99p4EAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAeEJKbreZ2V0J7r6NX2UHAAAAAAAAAAAAAHgCmLnv+2EYjo8P - sw5vfOMbv+u7vuuzPuuNOY9/1k8pNU3DzKp6gz/055y7rmPmEIKZrVYr/y8HKaW+75umyTn7ambW - NM1j30EAAAAAAAAAAAAAAAAAAAAAeI4YkWqI0V/+zb/1355tzklYdrJE4YrWhwc/+r/+2K//1m8S - Uc5mRsykO4nGtzm9+9r23SR1zZun+PqbgBu7bjlz+smrU46fiSPI1fQSuEo+wix2mi7+KRcB38pG - 8hoPm1tz/S3vDD6WHt0um6a2tf7uUFQmIrJbs6cAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - ixDdDQAAAAAAAAAAAABwu+RheN0LL0ShYdOllFjszW/+7O/4jm9/05ten5K2TQhCqsks36z8EIKI - ePK3x3h7eneMsW1bX0FVfZ3HumcAAAAAAAAAAAAAAAAAAAAAz5GSG1pe8EWS6HMnp3SwPlCi3/id - j//gRz5CIkpmTMbERjx919XnPG98r3f3ve6ZWp/SBz/0YfMNiYgpNKEslfEbuVr93E5CJGRCtv0N - Yqt+aDunebZosttLs54UUh5zZsdymJRJpfSPXfLjLRQiuZ1nL++5wmz1006nbc2/5OeSSm3+3e+b - nb+P3Grh4nlJI+/E8bJynm6N3tIPst29e3d8Nj6fEbLzU9l/6OtxIlsb+GKjpWvFtTCRGBFvX1eN - aLzCKJf5Vxmfr9VRUyIl0UfVWF8u6jNOntfPLQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPDM - uCvh3c/MF4YBAAAAAAAAAAAAAC4jIicnJ8yslto2tm1rZm9729ve//73v/ji/WHIqpRzJqIQwiNL - 2+XbmpmZMTMzd10XQvCg7pSS53b7ynZX/jMCAAAAAAAAAAAAAAAAAAAAwK3BRjLdc1G+G1kHde7m - 7NbTLZem594VLEJG55tzYYksH/rwdyrRkPPTbtedpES//fHf/V9+7EeVKBmFwCyUhhzbm9xKdLtU - o33rjDAiIzZiokAUpvBjLvNtml+dekV9Msp2Hi1VqbT7phdto925d9lSby/+7F646sxpD5m+QWL3 - VXB1mBYvnvUImae8v2b2XKX39aoQBbK6J3cHbV2IbJdWps+zxfG5+yYrFx34GHK7d8lSMxaOlFXT - 19y1PkQs9mrpycULLAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDt5OkbdytuA9HdAAAAtxfz - +PECAAAAAAAAAB4LT85mZlbToSdWYv3cz337Bz/4gaOjFREFISbSPDApETVN47+bl8jt8mKRqvp/ - JPAAbyLKOYsIM8cYRWQYBmZW1fIr/zAMZf279R8YAAAAAAAAAAAAAAAAAAAAAJ40Y1KybGpMgcXM - 1HIUKeGds8TZZkrEbITDtELbBF+ticLVC9q+FaRpGiJi5svvD7lddLzbRESS6U/9Hz/zS7/yK8Zs - zCEEv5VFU44SfM+ebmOfCt3+p8dR+40641eCmYyJhM3sOz/84WymHsgq7PfyqO6WentJGIPGRYhI - gwQyKsNeeDxlIo+Z3JGomXK7G6IVXcwPRELWEguRaBbmlFKMkYRzziGIWm5jY1m9zDBmJ9ebX5yk - gaiq3U9AJVYiCiHSHfn+lIf1rlYr/6dfNC4WGAVhJgpCbROYqA1SwnrD9DP7ZyCKPM4Z45BVhcZT - WFWNKcaYc75ua0MIZiYiIpJzbpompSEKbx2Uqj2xun5GHodN8INlRKRNE4iIWerI4nIjnFf0KnqX - 2rYtr8c7/aZxW67b61XjbROiyNQIy9bAYyFq/SZAVT/Tc85RAhsF5tT3bRPHlk/7GwPL9htKGxsm - FbJwcUI9Q9dPZiIl0vG2RuLxWMvYIasY4v68eR82mWi9XquqiNT3Q16zIcxGpJZzksBslIcUtq8n - Up045RhFJh8VQUIZn+V6H2J8jL11WftF/NSXwB5gLiI+ZpjGFo4/vJV9HqaPK95rMUZV9VtMU0p+ - 2r42uwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACXYGaz8ZtT+NIHAABA4V/g9e9FTl/Gve1eo2+f - AgAAAAAAAAAAAADcTk3TvP3tb3//+9//3ve+95VXzkRIlYKEpNlztf0/jTNzzlmv/yxe34SZ/T+u - 933vzxhNKYUQykOfu65brVbj820BAAAAAAAAAAAAAAAAAAAAgIg8F3aKiRViIWbmr/jyLx8Tl4nI - xoRXIko5bzab09PTs7OzV05PPvnJT/7+7//+pu+Z6IXjo4cnp0LUxDCkfNA25/3ggaPr9brrumEY - Qgg5ZzNbbsrt401l4uQ3qBB9+we+46//tW+lnIdhCCIiojZG2N4sWvVZ5TfzqCoJp5QODg5Ou83/ - +APfn4kkcM6Ws/rA05xnHXdrxweLaM5t25pZzgMTac6BKIaYcrKkJTvWjJjon3rz5xwdHb1w//7R - 0dHx4dHh4aGHPce2GYbB73pqQgzEb/7sz6acjg4PhmEYhsFveUr9kIb8p7/0S415sJwGTSnlnHPO - aRhyzn/wiU986uWX/uAP/mDT90QkRoEDEampEDXtKpuacUrp6fbbdXVd5x3lXylnZs1ZWIyUsrVB - UlbV3BDlrEdtu+n7g9XqxRcePHjw4Pj4+PDwcL1eN01z7/hYRFjER6OZCZsQv+6FFyzlTOZ3l3Vd - 1/f9DZ7q4ne7XXzdXa2N8d7R8V/4c39uyKkb+rOzs9OT87Ozs835eUrp47/7u2dnZ5uhJzUiWolk - NTVtQ+xzIqI0DEwSQxhUaUqzLhdMnWbe4BY7Zm7btus6IlqtVl3XqaowtSEMKQsRM2nSe+v12WZD - RJHohfv3P/MzP/MzHrzu4ODg3r17Dx48yCmxUc5DaCKpff7nf36UEELsN52IdF1nTMfHx3/h3/vz - b//cf+b/+/V//Mu/9H/95m/+5ivnZ5wtivhVtJGQNec0MLGRiY17dIOdup1i06RhIKKmaYZhyCkR - 0UHb5CGpjsfy3vrwjZ/5+s98wxvbtj04OFiv123bMrMZe1es29W6jeenZ00ImnLbtjeIlmfmlFIT - 4nq93vRdHlI2+4o/+2d/75Of2PTd5rwfhqHv+5OTk5c++alXTh7+zu//XpgC6ZOqZ2BTzjJFdocY - vYWv2Ztd27bdZkPMfikIIeSUjCgGb5oJEQdmNTVioqN29eKLL77wwgtHh4cHBweHh+t1uzo6Ovrn - Pv/zNeXMumpabltNOaXEAQ9yAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHg8kP8BAAAAAAAA - AAAAAM8fViISIyKSSLGRd7/7j33TN33jV3/1f+TL1fJqter7vmmavu9V1QO8b/AIzhgjMw/D4I9Y - 9WdHmlmJ6D45OTk6OlqtVuWxtgAAAAAAAAAAAAAAAAAAAABARMzBzOqsZBGJRt/8V/6K38NhTOx3 - gEyLlcyTqkMIqvqplz79iU984ud+7ud+6Id+6Md//MdfOjkjy0zU98NB2wzZhpzOz89pyouNMd6h - lFahQEQk5g2OTP/D3/2+r//6r3/DZ3xGYI4xpn5QtRAkBu77Pjx/UaCLO+xxyKGJMcZu6A+Ojvq+ - /59/5If/8cc+JkI5G9E46CQE3RdJy7cuxNssSQx9vyEinva9EUk5+T8/57P/yS/6F/7FL/zCL3zH - O97xpje96ejgMMYYRcyM1AJxJss5Z1Miim3bhJCHNGy69WqVc95sNkNOUYKI9JsuhHD04IVv+st/ - eX14mKccdDZiZlLNZsZkTGZ2fn7+8d/+nZ//+Z//h//wZ37t137tH/3sz6acUt8ZkRK1bevR1Lfz - tNs9yE3TmFkZGDblPQtREMpZ28hvefPnvOtd7/qCL/iCt7zlLZ/7trev1+uDg4OmaUjHs5WZc59F - xpPYO0qIA/Pm7Pzw8DANfeqHxJxzJuH1ep364Xott3EUMLPXG0K4d+/ef/2N32jMxuQJx2zjUFGi - k5OT3/393/vYxz72sY997KMf/ejP/tzP/+qv/upZtzmIsU+paVpV7YeOiYQlVwes3FlXkryvJcbY - dZ0X4gHeTYiWU0rZQ5iDkGV65x95x5d92Ze95S1vedvb3vbmz/psmmLUiajfdE0IIrJarZJqHoZh - GMzs7Px83a7YSIglhO78/N3vfve/9Mf/5X4Yctbz8/Pf+I3f+Imf+Ikf+ZEf+eVf/uVPfvol1RyI - jEhCSKpZB+/Am+3X7cJKRGnoDg8Pz8/O8pCY6KBtUj/0/fBZb3jjv/Vv/6kv/lf+xFvf+tY3/BOv - X61W5+fnEthvm2QaY9rNbDzBh9SGqJbzkJhUVVmu98iCMTs8Z2bWlNv1ahXDf/K1XyttS8IjIzOj - lLOpMf/f/+//89GPfvSXfumX/sFP/Pgv/MIvZiO/69KYUlaPzSailJXYnvT1eXXQdpuz0ESPP1dV - U41MZuRZ3W2gt/zhP/zPvvOdX/Cud33e533e57717YeHh8eHBzHGnLNHjDch5JyFeL1ePXz5ZU05 - hBBjFJGU0pPdAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAICb8u8pm92Z7+AiuhsAAAAAAAAA - AAAAnmtddx5jS6xf9EVf9L73fctf/Iv/cdPwMJg/A3QYxgee3vgP/+URisfHx+UhniJiZpvNZr1e - Hx8fp5RijHfmvy0AAAAAAAAAAAAAAAAAAAAAvIb8e5vldSDReh4TG4mRMqWUQgiBRU0DcWxX8cU/ - dO/g6J3v+CN/5kv/tJL9zM/8zDd/8zf/g5/4ySjc94Pf1XF0dHR6ejoMQ4zxzoVlGnm0KYlQUjrr - 0/d+7/d+7XvfSyn7vnhKKJOEEG5d1vRT4pmpIqJkHMKm70Tkv/n27zCitm3PNz356NrJ69XSg/z/ - s3fvcbJdZZ3wf8+z1t67qqv79Mk9hFzJnRBQDM6Hi1yC4eZABhFR0ZkBnAkqAgp4QVF0cERQkXvC - LXcEEgNyEVTkop+RGRXG0Vfn8+r7gq8vvnhLSM7prqq911rP8/6xu+r0OTk5yTn0OV2d/L6f+nSq - q6t2rb323qs6p9ezfnfb6CIQCSHA3M2WmkHbTgGY2cpg+O9/4Pnf/33Pv+CCC+oYJ5OxO0ajUdd1 - yCV59lzg7jGqahSNoibIbZfMAmRpOPRiVQgeopYgDgBVVYnI+p13rYxGbcoOF0AMQRQOhQaFxpC9 - uKFaWt59/gUXn3/B93zXc92kzeljn/j4Ne96z5//5V9AJae8mN15T3LO7h411HXdTidVrMysUjWz - 00859SUveckznvGMk088aTQaTSaTGGM7mYQQggYx92Iyi+5uQnQVEe9zu/tTSxzH7Vrdu2fP0mDQ - zzGrqqq4He7Q5AIVLbMTuE9HDiKDuk7FXOAiDvSnuJmrw1WWB4NzzjjzrAefHh//hFjXOefxtP3T - P/3TT37qU7feetsde+8USAAA1FU16Vpsmg4nIqWUI5sCl1LqtyAio9FobW0tl1wBCgjwsEse+oM/ - +INPf/rTTz7xpD179vTBxqXtzEzcVTSEUC8tRQ0ppW7allKqqgp1A7OV0XI7mU5TFpHBcGk8WS8p - A7BS6qpqlpdXLrzokgsveukP/8je9fXPfe5zH/+dT37kIx8pZpOcVNSA4WA47dr7w9Q+EVX1YuPx - uAoRZubedenhFz/0NT/76qc+9amWi+XcB72nyWTUNGZWSrGU3NGPmaISIOZYagbT6TSoDAaDrp2E - EOwwe6gPa69iVUpRVXGs7dm7urprkpKLuLuV4qWIo4nVMDbjdnrpxQ99xCUP+85nXVnc//Vf//Xm - 3/zAb7z5za2ZAU2s29yJhlhX0+m0aurUdkenH2ftn041hJKzQGIVc0qDKqaUFXj0tz7qmc985uWX - X37BBRe422Qybao6dykgqDlyCYBC3DyX5O4CmayvDwaDqKHv87Ztq6o6qu0nIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIi+gbtoApc3e4GEBEREREREREREREdE677bj0xiCmsiUHEQ5Tv+LdPf/3r - fyklByCCEIK7q+pgMHD3/v7hvm0IoWkaAGtrazHGfoFRACIyHA7nSyQDqOt6nhRORERERERERERE - RERERERERJv1dZviEBFxg23ctLiYw13NI6Bm/S13XTedBvddS0vddGI5rQyHj/nWb731lg/9/qd+ - 57GPebQAUQBgfX09xmhmOeedFZbp8yDpoBpD/811N1y/trYu0LbtQoh106SUdtyubSHxfbfePBW1 - 67q6rsfj8Zf/n7/7ky/9TwDTaQegbhoAcHez+XbsblteOOap7VQcwLSdAlhuBj/5ih//X1/64n/9 - xV+85MILS9tazivLS0t1nadTBULQpoqDpm6aOvZTo9zcSlPFQV0tDZrh0iDnlHPqum4ymaTUlpJS - avtJUEE0tV0dQxVC/3JxgxUrxVLuplPPKbhHILj3d5qgy4Pmu/7dlb//qU++4y1vXm4acVtq6s1d - vSB8c9a97Mtrd/d+Xlk7nSgk52RWxPw1P/mT/+3zn/+Rq6467eSTl5eGd95xe5pMpJRBXUdVNUPO - 4hYEEYgAvEjJyAWpSDYv5sU8l/H6Gsz6uWqllBCCiNjh909/jObR2gD6jVRBo2olElWihkZjE2IV - Y63aBF2q66W6DiLWdVLKUl09/jGP/tVfef2X//Zv3n/d9f/mkY+MQAC6rhWIqvZbzjmbWT8v7nCp - atM07t7v7NraGoBBFSvB+Q85+6YbrvvcZz/znCuvPG5lZbK+tjRoBjGqmZcSVZfqOgKlbbvxuF0f - IxcpNqjqABFzd59OpyGEEII61vfsgfmgroeDuqkjcpKSa9XgPllfH8T4jKc85U1vfMOX/uxPX/TC - /7i6smJuACbTiZkd/rTBxeMeY3T3GGMu2dyaGJ9xxVN+5xMf+/bLn2xdytOpp1SrLg+HEZKnradO - YVWQpop1DFFUHJ5L6VKX2kFTo0+Fdy+Hf35mSxIA9WwphNCfP2trazDzkr3kCCzV9ahpAiS33bCq - StuWlPqr6dQTT3zly1/2f/71X/3Ej79CgS53dRWLlel0CuBo53YDgKOpIhxwt5Rqkejygud/3xe/ - 8IXbbrnlR6968QVnn4MuedtWjuC+VDdNUDH3XMQ8QAJEHYO6GjQ1zL3YtGu7nCRo1dRHvf1ERERE - REREREREREREREREREREREREREREREREh29j2QeR/v5OCe++H9QKExEREREREREREREdORGB2Pr6 - elWFtm2v/HfPfM1rfioEuO9bsbRfzxGzPwMcllJK27Yi0i/Y2jRNv5Gcc9d1VVWVUvqnufsDdolk - IiIiIiIiIiIiIiIiIiIiogO4wN03x4HO07sVEmTjpiIBohCFNKEKosGljtUgVsHhKVvOan7c6u7p - 2roXk2yPeuS33HzdDe96+9tHo1GMMcaYcx4MBgBSStu0u0dIIO7u7jkVCArw5b//6qc/85kQQtM0 - OeecUl3XVVV13dGPMt0hqqrqcipuEkKbuuNOPOHqa67pf+SAqvYJxxLj/MH9yKbbIllaGgAQkQAI - 8PCHXvTR3/7wT7zyVSujJZh7KU2IaiWNpyV1VVCYlVK8GADxvjTaYZ5S6qbtdDrtus5ySSlVGmKM - gz70uK5DCLntJmvrMcamqkvKXoq4B4dCKglViIOqGsRQiSosuClEHJ5K6trSpZJygHzXdz7nv3/h - C0987OPattOF685DERG4VbGqYgXgtJNO/exnPvOyH/3R1ZVd7fq4nUxL2x23smvYNH2YtBTzYmoI - LhEaRIMhuigkiAbVoFqHWIdYxTgaLu3atQvF3N1yKW4AdHY23nf9gFnczKzP2Hb3WYy9i7uai7mb - wRxmUnLXtt1kXFInxWAWROoYg+ieO++0lJ/0hCd++vd+/11XX7N7tDII0eH9zLcQQv92RxbdbWZt - 2/Zz53LO8/l1r/25n//8Zz779G9/irVpeWmkkEGsIqSdTJoQlwfD4EhtJ45h1YyaYRCtY6UQFckp - mVkVooiklFS1GQ4rDVWIqe3a8cRzqUK0XFByFbTWYDnDbNg0p5166s///M//4Wc/9/jHPjYK6jri - iOYNLqCubQGoahQo8IiHXfrud12tkKaq+ij05aVRN57edcfXm6quBEE1im5cvMWsFM+l6oVoZqWU - 6XTajwmH25h+qmRKqT9zzGzXrl3LSyNxF0eEKODFSsqlS55zEBUHclHz/qcwr2N81Ste+bEPf+Ss - 0x6cUq5DFKD/WN/y3ru76aQNin7ses6zr/zSF//0Lb/x5tNOPaXR2I0nedoip0q0CdqIWNe5WRQN - qmIOdxWpYmwn0/7Teb5Qhbvb4UehExERERERERERERERERERERERERERERERERERER1jjO4mIiIi - IiIiIiIiIlos4ip+kH8Vr6pqMpmMRsPJZL2uY13HF7zwP7z4xf95MKj7VTv7ZTf7lSL7xUYPSwih - 30i/VGXbtv3qojHGuq77J/Sro94/1vckIiIiIiIiIiIiIiIiIiIi2lqb51T06cJm5mV+KyhFSpFS - ctultrOULRfYRkgtzKsQ1/furUIcNk0Q6abt8tLSM5/5zE9/+tPnnHNOzhnAdDrt30J1x9Rdqsya - 6g6BBgGgguuuu+7OvXuiBhTrui6EUFVVt9NSyb9xs5RiyKziVx0AYozuXkqJMZrZ1772tVtuucWB - GBSAmeWUAIiIzE6GHVEyPB5PAZRiDlz6sIs/8IEPXPbIb4GVQd0ooPAqagwhqAYFvIQgGuBeSknF - EixDTAMGgzoEiVGrKgBW1xFiEEspTafT8XgsIk3TjEYjFBuPx/NOdncvZikjZ0vZzdxySdlKEVhQ - VBqihiiyMhyW3MHyySee8IHfvPnJj3/CoKq2u//u5u4Z7QII5inFKacud2eddsbHfvujD73oYphH - 1ahhZTSyXNrJdFA3/UCkkKghiqpDzFHMzcShxdGPY+5u5mZebH19fbK2XkoRkaqq+sjtdPjX7zxL - ex4J3B8j28jqdpgLXNzVPUCCaB20idWwqgcx1EHV4ClHleN377aSjt+9a8+ddzzzO57xl3/+v84/ - 77zl4RIAM5t3yJHNf5unLPf72LbtKaecctttt1111VXDZpC7Tty9FJhZLgpZXdnVTdu9d+0JosNm - YLm044mljFJQynzHqxhLMdUwGAws5dS2IhIrbZpKRETESlJxdbiZig/qKoi2k0nXtV07Pf3BD7rp - 5hte9apXdF0OQUrZEWPAoTSDur+Tc2cOB9537XtCCKPhsJtOxT1qSN102FSrqyupnShkfq56sSBa - hdjUdTudwj2EICKDwUBVc879x+j1dR4sAAAgAElEQVRh6adiikhd16qaUhqvra+vryukCiFqUIjl - 4rnUqsO6maytxyBVVLcMc4UEgQLi5dse+5gbb7zx9NNOyyWLwOxI2nO4YpAAwHDxhed99nc/9e53 - XrN7eaUbj1dHyxESBStLw9FgqA50XZ62waCQKBpE1TH/HWZpMIwa5lNJ+4mmR7vxRERERERERERE - RERERERERERERERERERERERERA8oO2YJCSIiIiIiIiIiIiKio2G+0GGMMec8mUzc/eUvf/nzv+97 - 6jr2odrzJ1eHv0Rsma0H2i8JKiJt2/ZrLALIOW9+i36ZVCIiIiIiIiIiIiIiIiIiIiLq45Y310D6 - jNwDVa2qqg9m9lwAhBCqEEspTVXHGKfjCYBBXXddN6jr889+yKc+/rGHXXThUl0JEERDCDsoNdPc - DL4x86QPTgaK43N//Mf/8LX/b306qUfDWFeTdtK20+Gg2e72bg8X+CxQ2AQAptPp0tJSKaXrumZp - +OGPfnStnRrQlVkIsYioWkpuhs3J8ce+9YdJBeo48fjVm669/owHPVhKkWIlJXGoaimlbVt3j7F2 - FzNDMQCqqqqu0l9f2DTlaTqdxhi7ks1MRIZ100+gGrfT7JZzHgwGIhJE+wtQVUMI/VcRiVrVVaWq - ZlZK6S/ePpTaUq5CFPdBrG687trzH3KubsrIXgj3cLxLKSVnAE2MFeTa973n3IecLeZ1iGt79sYY - LZdSStM03bTt9x2A+n4jmIbQ99h+cdfm7j4cDiWGpmlyzl1OXiyEEA4zFbsfP6OqiLi7zXZGHP1o - OX/HjX11L24iAUDOueu6lFJ/MnTTVoEourZn7/G7j1PzpWHz0Y/89kUXnD9sBgKUtBGTvHmi3X6N - OWRTc84iEmMUQCEPPuXkT/72xx592bcGR2rb0WjUR8JHDTHG6XTaTqZN0/SXcJq2IYS6rt19niBu - uahqjLFt2z4T2lVUtcDX19dFJGoQh2oUCfN0czcDEGNUyOryiuWy3Ax/8hWvfO873ibFm6CLdXIe - vnbaQRCjukEF3/a4R5984kl1FS3nqBpE+8M3TV3uurquXTC/qPv8eDMrKVdVFUJo27Zt2z64PaXU - NIf9+RJCCCGUUnLOpZQ+pX5pMBSRknLXdaWUGGNd1yZoUzdcavoM+z7SPuec2q6pKoW0k8m3fNMj - rnvv++oQoojvN2wfFQKgeK344Re98A8+9XsXX3hRSWn3rl1BZDqewLzvosnaeoAMBkt9T7p7KaUf - AOczSPtPor57+0f6y+Ho7gARERERERERERERERERERERERERERERERERERHRN2BeorvdDbmvGN1N - RES0cPolUQTiDjnaC0UQERERERERPWC4mMtsYWXX+c1NglaePSAESBMrlAyxV7/6p7/rOc8WwK00 - dcwpDeompwRo/6/rm/+3/R4WHd1gZv1fDg5Y3LZfY7Ffk1FE5msvEhERERERERERERERERERERH6 - lFnfqNh06XOJBfsnqLrABEVQBCYweIFDBUGhYvBsZZ4cXFWVOErKVYjIuXbfVTe/dfP7V5qmAuBW - xdksEJndNn23aFwMYuYbU2K8AIABUPnVt71NR4P11E67aVWFWkVKlh1T+ro15vvrMps71N8AgYhL - XTfTtrvh/b85yW4BPpvVA3MvBgd8U7bxfLu+6bZIBFAHgLf++m+cc8aZPu3ytAuuEboRTCwhxNqh - ubhDFUERAHUXh0ICJDjUDCJBEWBS14OUioYKEhTBsosEM8S6MrjEUNxcYLNY6v4CzPDsZi7FUAzz - jfdXKFRSSoOmtpwqkQhozh+86cYINDH0F5qqQiChT7beuOxl864emwvyYAe6n+ElgOX8cz/z6oc/ - 9OJKENzcymAwyDkDiDHmnKHiAgnqggI3gau4igkKPItlMROHzt5JAUUqGSpdyRK0qioz82J6+Dss - jv6FG7nL8L49s70QEekfMYEJXEJxKS4GhUYNVT/YVlWV2i6oVEG76aQKqvDjVpZvuu76E1Z3BQBu - dawA5GyQMJtfp5gdtVkou266HdjRJedK5bilpVtuvOmC08+ocxG3KsRu2kYN/eQ6M6uqCirFrfQB - 1EH7U67v1ewmQfr+7Lq2aepScn/6ZDeoxKoppQ/pVnOBhOLS3zEXM1NIVEUu0axy2Hj63GdeedsN - N2gxBfrc+nlGeBANoov56XBQIpqzBcAdj/qmRypErJ+4mAGUUhwaYp1EOvMiatCyMXS6C/pxoo/w - VtWqqvos6n6UOOzWmFj2ECrV2J+cUEklu3ufvK6qxS1ZKQLEkIpDgobKXLKVEEKMajlFYBBjmky+ - 5eGX/sJrfrauggJ1ddjR13KQm26+LQ1GAkQNVYgBOP3EEz9w3Q1veN0vjap6KcbgXlISRwjBBcUg - GjXW2dBlg0YTQOEKKEzcYC7u4gYPVexD0L1Y/2uP5cPvTyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - ItpqfXFrX+SFTauLExERPcC5u5mpas750CEdi2OHNJOIiIiIiIiIiIiI6BhSt5zzL/zCa6+88jtE - 0HVZBG3XCkRVZUZnfw0wO/T2DqKua8zyv7uum38lIiIiIiIiIiIiIiIiIiIiosPisu/Wfzv/egji - yF27MhyedNxxr/svvyhAHSW1aadUhx6YFuv7/pvMf/f3f++fv367B2mGQxHpppMHZhTo/undG/cH - Vb2+ttY0jZn92Z996S/+6v+omtrtcAJ4Fy+3G4ACVZQrnvSEJz/p8m59IkBT1VH2ndCbr5R7vUDu - i4Nu5BDb7x/s51y5ex9SGzUsL41OPP74l/zQi3MuddAYxMwg4ma+NS09EvcYyexQoK70Qaec/B9+ - 4PuDSJpM3O7l+jqg8+/1QBzL3b6PreqPV39T+Kknn/SOt7y1FhWg5CSzlWgO6tDDalCJAjP/uZ95 - 9cMfeola8VzkPlxi89H+Xs/q+97P3bSFedRQa2hCHMTq8Y957LVXX1OplpQFKKWMRiMA5mZ++BMH - t4mG4GZLw0ZVFFhdXdUgABT7dmGjD10cAtxLlx4bd/98P/BYm5c2NSEGke/7nu8958yzqhi6Lm9V - AwQhaKirejKdqKhbsZIfduFFH7n1t578hCeWtkMuUYM4YK77/wJx92vqPv5+QkRERERERERERERE - RERERERERERERERERERERLT43A9RXrxYdsoaEkREREREREREREREx1SMEcAb3vArT37yk0QQQr9k - Jcyye3F3MzOzPsP7CLafUpq/UdM0APqvRERERERERERERERERERERHRshBDcfTgcPuc5z3nIWWea - OYCdUh16CCL4+p133XjjjWbWz3KREJvhYLvbtW3ungHs7iGEEMI111xjtm8mz44WVFL2n3jlq3bv - 2jUYDFJKIlIWL2N8PttKRMws5ywiw+HwBS94AQAz2/zUbWnhvQoqXbLnPe95J554YtM0pZSqqra7 - UcdaSenyy5/4vd/7vQAEEjQc2XZCkFLcHM+44ikvfOELY4yqekAE8rFUVVX/0dB1nZlNp9OlpaVn - PetZP/vTr26qoEAMMh1PBBBVDcGxeNfYwVgpACaT1t0NmEwmIuLugM5XG9gYKvt49p2gT1Jvmial - VIVw0gknvOxHX5pzOeIN+sZNHTq7X2KMOXWDKsJNgCc9/vEf+fCHL7744uFwGEKo69rMSimqut/w - RURERERERERERERERERERERERERERERERERERHR/1FeL75jUbgCM7iYiIiIiIiIiIiIiOqhcOhGJ - Mb71rW+5/PIn5Gzm5gdbZvPI0rvdXVVjjKUUAP0StFvQbiIiIiIiIiIiIiIiIiIiIiK6b1Q1pZRz - DiFcfvnlxRCD7pgS0Xtupzti0BtuuAGQrutijGZ2/0in/gb1fdaVPBwOx5PJ1/7pHz/5qU+NRsP7 - QWC7AGb+TY942GWXXTaZTNq2HY1G4/F4HoHsCzM1yd37SmxVFZFSSs4ZZmc8+PRHXHKxO0pxVZ0f - lMU6Ng4RFPNKcMUVV6ytrU0mk9Fo9ACM7G2aJrXdj7385atLIwGKHSQveX7sDtE77i6COoTXvva1 - 7t62bdd12xiF3ifKu3vOuaqquq6n0yngP/bSl333s58j6COdrW/5TjruIiFGzFZD+Jd/+Rc3qOoB - O9DHdu+I5O5+SOsDyKsQ9+7du7a29uxnP3s0HIbZT7dE202WhoOUugB85zOf9eFbbj1udddkfW06 - nfbDVymlrusQQs55696WiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIaKHtoPRuRncTERERERER - ERERER1E01SOkkvXNM0b3/iGRz3qmwHEqAIE0X1r2rr3K3Ue7vb7XPB+rcb+PnbUHxiIiIiIiIiI - iIiIiIiIiIiIdrqu60IIZhZCePSjHw2gFNMdV3bpB4YbqyIX++pXv/bZz38uW4GG7IagixPevB20 - vzlUgrrAVW697bZkZX190ifa7nQO/NunP6PrOszibOu6NrO7H/ftjeadT7gSkRBCCKHP8Hb3yy67 - bB7HC8f2pnff7cLa970AVRXPPvOs4XAYYyylpJSOdfu2mzjqGM856+zvf/7zBaKAwOCzAG8xiAHw - A3O7DTDIvmhlN0SR5z7n2RdecJ7lrKqq2uVt689SSiklxhhCcHdVjTHCLLfdG1//K998ycNgXsUg - gj6GfmNfNu2RbGlu9FYRkZJzUzfFXICvfOUrqlrKfgdnB+V2z0VRM0spNVU9Gi4Nm8Fl3/zII9iD - g1zvAICl4UCByWRci37ns668+u1v89RZl4bDYV3X/cDVDwJt2zZN843uDxERERERERERERERERER - EREREREREREREREREdHOsVOyNXbcGhJERERERERERERERMdCKcW9qGqXpqurq9dcc/WjHvXNORsA - czMzERE58jU23b3P7QagqlVV9cvmEhEREREREREREREREREREdGxUVWV5QwgxnjeeedhmxKCt5yI - GODAm970pmZp2OUcq0p2Xib50RJCmHYtVN577fv2m/+z8w//+eefX4VYSllaWhqPxzFGX7xy5z6o - G4CZmW0kB/dJ3meffbYAAbBSYp+n/g1M0DpK1KHA0tLSgx70oK7rmqpq27aqqu1u1zEljtIlyyW3 - 3Q9d9eJBVQskhnjY2wEUUMhLfvhH1vbsbaq60rC9521VVe7u7iGEnHPXdf2JGtxGg+E177y61lBy - GVQVHKHaMeOqmwHocuo/Hf7iL/+qTZ3fw/W1s9K71eHug8HAzHLOF110kW7ZsGHjyVgFClzx7Ze/ - 861vqTVU0F2j5fHaupnFGPsBrX/rB9o4QERERERERERERERERERERERERERERERERERERA8ofQlw - XyreF+Rud4vuqx1TEkxEREREREREREREdExZHtSxDhrgGrC6uvqGN/zKxRef3zQVgP4PArOle1Uk - HO7m58nfqtqv27hr164d9AcGIiIiIiIiIiIiIiIiIiIiop2ujlUpRVXb8eRBp5w6iApgFiW8w0g/ - oQUAkIvHqA78yRe/9Fd//dcukBAnk3Y727cdXOCzThGH+MYjBi/un/3857/89/9vdl8aLpWcFzAi - +nApcOaZZ4pIHau2bQeDwWQyCeGw5zUdbSISQujDbkspZubuZqbAWWecCUB1o1p7u1t6cCIw4Lzz - zhORkvJ4PG6aJsgDrl57eXkEK1XUs8548MMuuRhwmIkIxCCzYVQAgQMOCExw8OH12x7z2PMecu7q - ysr6+nqMMaW0Edy+Hfo4+f6joa7rEEJVVVFDUI3wh5x5xi//0usipOtSCFLyQfdIF7F+X6QZDPq9 - C0H/9a47b731VncHNg2UfTL9gl55B6eqOeel0Wjv3r3uXkrZvbqKI9kF3XQDgP40VlF3PPFx33bj - 9TdYLhEIwPqePcPhsB/E2rbNOQ8Gg7quu67bwl0jIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - oi2xeKW/REREREREREREREQLQERyzuPxuKqq6XQKsXPOOec973n3iScev7Q0uPuTD3f7/TKR/eqN - qgqgbdu6rrem9URERERERERERERERERERER0b8bj8aBuBnUtIrt27dq9ezcA3fEJzgghpGwGBA1X - X31NdnORetBsd7sWRZdzrKtrr79OARUdT8bwI5n/s2gceMjZ51jOpZSgamZ1XS9gAHYpBYCqqqqI - qGoIoY8YP/vsszc/rW4aLF77zRFVTz755JxzCGFQN16s36kHlL179tSxEvPpdPrc5z43qpob3O89 - NVkO/O5Zz3qWAt203TVaXl9fb5ompXTUGn4vUkp1XfezB0sppRR3d3dxlC4tNYMXveAFz3jq0wBo - n0m+U7i302kIwYGumAOv/pnX3P71O1xgAseBA6DskF0zs6ZpxuvrS0tLAEQkpbQFbRfbOLhuF114 - 3nvf/a7UTpeXhjAvXVoeLsF8Op2KyHA4BNB1XX+qfOPvTERERERERERERERERERERERERERERERE - RERERLSwNlfl76DKSkZ3ExERLZzNv1XcD9b9ISIiIiIiItrR6ibm0tVBUXLK7cknn3zrrbc0TRWj - Nk3lZkFUYOJ2ZNvv/6JgtvHyPsy7f9Dd54/P7xARERERERERERERERERERHRVokazMzdVdXdTz/9 - dMEsKXjHVInuRwABSimqCqAr5baPfHjP3jWotCn5piKVPowW9+vSlRBi23Z1rErKqhpjTClBtbj/ - w9f+8dN/8Nm86cmHzqntE6aPcnu/Uaccf8JgMAghqGopBeZmtoDHV0TMrJ8Q1c+V6i9DmJ97zkMU - MHOBAOi67uCb2O7I5Gx28YUXpbatqsrd5zO+HlCqEFXVvDRV/fCHXWpmdaiObFNPf9pTxBFFU0pV - jMWLxm273GKMOWcR6a+d/tNh/iMrJXfp7W998+mnnuzmAlR1BBBiBFBVGz2gsqDDxcZ1BwFQSnnJ - S15aXPqPBneYmVYRO22+Ys55OBxO2mkIQUT+5m//9si2IwgAQggi3oeZB+Cs00+/+YYbTzjuuKaq - vZgGiHqXpgBijP3w1Z8tqrqA4y0REREREREREREREREREREREREREREREREdsb5ahFFiREREc33h - bb+agbvnnHfKZ+OClv4SERERERERERERES0iseOOO+7DH75t167ltk0xqrmpqB1pdPdBhRD65T77 - BX/niykTERERERERERERERERERER0Rbqp2TM07uHw2H/+A6pD71H8yBhF6y36ab33+yiGsMBzwHQ - x45uTyuPvlJKn6QbQiillFLqujaz4Wj0jqvf2VlxILvVVY17i6rd3FHzTN9Fs7KyUoUIc7F9oeyl - lO1u130lIiGEpcFwETt3Zt62paWlGCMAd+8vt0Onv9//hBC6aRs1uNu5554zqOpU0iGef5AJcAIA - 5551xurKriZWQTWoztPcj1Kzj1gTq7W1NZirYzQave033jKIVRTkNsegJeUYYkoJQIixbOl8wi0h - qiHGfliIMYqG9a794y984dbbfsslIKioVk29trZWVZXIRp73IusvODNzlUk7jTGOpxMAf/Inf3Jk - 12KI/ZiZ4K4CBU456fgbrnvfQ846y3KOqim1KSVVnWe0ExERERERERERERERERERERERERERERER - EREREdHiY9QHEREREREREREREdG9EYOYuqlbiHLGGWdcf/11q6ujUkwE5hbjVv57u7sPh8N++VEz - CyHknLdw+0RERERERERERERERERERESEWcS1mcE8hLC6uirAQUOZfZYSuvC0rxvtw4TdAeDmm2++ - c89dMR4YNTpP7z7mjTxGZhNvSggR5mYmItnK3vW1D3zogw704brZiqDPaT24xQzqvrvjjjtufkrL - zD09eQFzpkWkjnF1dVVFdNOj+z1nU3j2NorA6upqCEFnoe875STZQo7iKADM7PTTTjv7rDMwP1y+ - 70kHHzpnB1KBiy64cFDXbubFYNa/QHXh6t8nk/Hy8kgEdVOJ48lPetKLr/pP7qhUSrYg2s/xU9UF - zB0H4GYlZ4NDkK2EEBwYp+5nfvZnb//6HeuTcYF3XdcMB9PUlVK2u733iQNaxZRS0zQSQqiqL/yP - //7PX7/jyLY2HA4FCKIKVJBhiO98y9u+9ZGXpfFU3KNKFWMf2t113ZbuBxERERERERERERERERER - EREREREREREREREREdHOs4NWKli40mUiIiIiIiIiIiIiogU3bceXXHLJddddOxoN3BGC5Lxlq21W - VaWqbds2TTP/e0OMcau2T0RERERERERERERERERERERzCoFtzNBYXV3d3sZslfmcEwdE8Ddf/rvP - /9EfFvf+R715tPMCRuRulX7Xcs5mpqoiUkqJMX784x+//a69COIAREopQcOhN7U5BrvvwKPd+MOl - wOquXTJrm2Lj4B40UnoRc7sd6lDV1dXV/vzEQrazJ5Ddu3ejv9bM1aGL2tSjx3Op67qU4u6llEsv - vbR//KAZ5ocINj//3PPEN65Tnw1TCxiFrlWs6nptvN5N20pDSfkVP/bjlz38UpgrUMfYt1hEzAwL - 2P4wG+VEzKxNnQExVrfvuetHX/rSpeVdEjRWdc5ZY2iaZlsbexjcvRkOxtOJqqrqB2/5kAMaD/uK - rKpq7969gMFNAXH/9V9743c89anTtbUg0lRxOp2KiLtnt1BXvnBHmIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiOjo2lxlv4AV94dwv11SgYiIiIiIiIiIiIjoG+K679YTg1ilMqyrXLpHPOIR73jH - 21dWhqX4Fq5gnFIysxBCv1JwvwhpSmnL3oCIiIiIiIiIiIiIiIiIiIiIAABmNs+uFvddyys7qTz0 - QLqpYlT7UHIAfcXrTTfd1MeOziOo+1LYzYnU9z99zKqquruIiihc3HHNu9+limIOgQTFLOT7nsyz - uhe8r5aXl+eh7ADMbP6jHZEy28dg71pewez8dHcsZM22w3ctr3ixzR3+QDMbSYqITCaT888/P4js - O1wOzO7Pe0cP+N4B4Nxzz42iUbWaXYZ9HPjRbv/h6nI7nqzt3r27qqqUu5S61dHyG17/K8uDYQ3p - UgdANfZ7uYCnRBCFA+6q2o97DrQ5OfD7n/mDD916S5fz3vH68q7VnHO2st3tva+yFVXNOU+79p/+ - 5Z8/+omPuyBlu/dX7i/lVsSDBgEC8MqXv/x7n/vd07X1YVUPm9pKcTMNVdtlSNBQHY19ISIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIlp880LaWRX+DsDobiIiIiIiIiIiIiKiwzBfxTjl9nGPe9yv - /dqvjkaNHfZKj/eoqirMFp81s35d4P5BIiIiIiIiIiIiIiIiIiIiItpCYhuxu3028/Ly8na3aAvM - i1tFVUT6/NXP/uHn/68v/982y+rud9nMfAeVwx6+fteqqhKRfmdDCH/1v//3F//8L5LBHaKwUrB/ - yvUhtra5rxYwmnfXrl0AAvZFd7u74cDjK4t6wM1MRPq9WHAOrKyszE+JQ0e/319JDCklVRV1VT31 - 1FPN/Z46QjcFdu+3EeC0007rL0BVFds4O7duOt6WGQwG7j6ZTMysruuV0Si33b/5lst+/OU/ZnAB - oijMSylSRXc7+A5vn5RSf6fvbQlaVZUDGmJ2//FXvOLrd+1phoP1yTiVvINOaVVtU7e0vDwYLd14 - 80137lnXoEfW+f3lHFW/4+lP+6mf+AkpJYi203HXtv1Bd3eoNMPBeDrZ4t0gIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIi2lF21jIFO6Z0loiIiIiIiIiIiIjoWJJ7WC10tDxsu4mIhxByzpdffvnr - X//Ldb1l/96eUoox9utjhhAAVFWVc96q7RMRERERERERERERERERERHRAfqZH310944qEd0ggN6t - XrTP5+7vp+zvf//7U0oboa0iuFsW9f1Pn0xuZqqKYgrpSr7xxhsBuACyEWqtqsWKyj3O/+nDvw94 - cAG7bjQaYVPD3P3uzV7Y3G4A7q6qKysr292Q+2R5eVlE+v68319KB2VmqhvjTIzx1FNPnZ9tm+/I - IUrZBQBOOuEENxPzPmw+iKrq3U/dbdemrhkOqqqKMeYu3XnH14eDQW67H77qxU963OMbrdzN4ZjN - +ls0ImiaSlQBuJmb5eKA5pKDhul0+spXvhKAiMQYJSzo+gPi+wYxF7jA4DHGnPNXv/rVq6++OlSS - i4V4+O0XAVCsXHLJJe98+zvEUVKuY9XEalDVTawAtG2rqga4iC/cGUpERERERERERERERERERERE - RERERERERERERER0jMzXK9juhtxXC1o6S0RERERERERERES0mNbX15eXl0spgJnnWOkVV1zxutf9 - l61aiVFE+qDuEEK/VnLOua7rLdo8EREREREREREREREREREREW1w3ZdgLSJN09zXV8p+d+WAb45l - ouesmtUAO9jPNUgBVOW6629sU0olGxwqm/XPXORQ5yOjAnfrcueConCVadf+5i0fhAAOCAQQ1X6K - zj0VBgswbAZViBshxKqqC1qZ2zSV+Mb57LLR1AWMQD4EVR0Oh/u+F8ist7f59OzfXvY1YzAYRNE+ - uBqACR5oUb4552Y4MHjJbmYnn3gSgD6zet5L/R2b3bfNj84ymHfv3h1iRNg4V/vrSxavUL+u68lk - AqDrOgC7d++eTCYiMmiaX/7lXxaHQvtd7Kf/LaCUkhcDEGLceEhEVJOVBP/d3/u9D97yW9lKrJvJ - eLrI53N/5sw/s4q7C977vmu/vmdvzg6guBzuB3GAB+Cs00679QO/ORoO3X0wGEyn034+53Q6LaUM - BoMQwt69e6uquv99YhIRERERERERERERERERERERERERERERERHRA4QJTNQEm24GsX1fj2SDG7dD - mW3ZRE10v8c33za/4oiKtq0VjGAAACAASURBVE1s1hKd3bD/9jcelEO++4EtcRVXAEVLDqmr2q6a - dNWkrdcmzdqk2TMe3Dke3jEe3jEe3j4e3rG+dPt6/3Xp9v6RyeDOtl7rqnGObQnJtNjsTWX/IvW7 - dakC2jdAXA/olgP7cx/d3AP36RgR3Tc7q4C9F+/9KURERHRsbaz4AwcQY+yXf9ruRhERERERERE9 - 4Oy3sqLv+5tTFZt2mqIozCuV0rVV0Gd/55Xr6+PXve6/luIA6qruUgeoihbf/Je2e/+L43wV4FLK - 5gfny7wCMDNVLaWEEI54B4mIiIiIiIiIiIiIiIiIiIge6MQcMBPM4oEPXb8RRM1NYrBSoH05tg+q - ukudARuPB0UxCSq+USFyFPnm/x74XpYBoJ/NksyLdTe8/+YXveCFQVVEJ+14NFxSReq6Piu3T511 - KLARQrzTo0kjPMFCDC5uUVOU99x003rbZYcAbvv6zWEOzGvvRdWLiQjcFfih//SDv/6mN0WIwc0M - IhvPvA9zgY6lqKGUXIWgKpaLqKaS+qKkAw7lYobyxhi7nIbDoQBldnK7+/y6PNbnowC+b0zYaIjA - HAUYNg3cFWIiWYqpKR5gU7lEUlfcZVDX42l77jnnCLBvxtus49whG5eKisLNYoi55H6sUeCkU05p - c6pVC1xiSCmpOtxnV9misFyqEL1YEAWQuxRjdKCUdPaZp7/xDa9/2ateoYBEzZYhgM2W7QA2jxUH - OY3lnn6wpWbDHMyLZwDuGYAZqhC95GTlF37xdZdffvnK0mh5NFK3LrUxRhGBFXfvpy9urnP0fi0P - F+yXa390mi8QkSDatu1gUKeU3ERjgOq06+5aX3v7O64uDgMginIvjYkx5pwlqKqWnKNKVXypqm65 - 8cYHn3RySknhpVioYjaHxn4PS8oQDKsaZbEGfyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoa3F0A - EekXA9/u5hAdOduXVw1A91XUoo/TNsAN5gJzc1GzTU+Azl9z0LpaE/imxOu7P2NTraBDzKA+S5JW - N4jJrDZxo2FicBUAvlH+bWL3vYDNxFwcMENUV7gIHJIdfU15vzsKQByKbPCErBtvpYCJiLu4uzpU - VQAzE9egURwdulR3rU4LssTsUtbTXf9617/cuX7H2uSurrRdGrdpagYXC1ppwGDQDOrB7tEJJ6yc - tHt00khXY2mkU+3qWmtYdMuKEDXAPHnJaiFGADlnQDXUwWNJphDpa8HFXPpuUZN9/Tk/UrOy4n01 - 47PDZAAMqju8hJy2UV9namZmphpTSiLwnXBGMbqbiIiIiIiIiIiIiOgbZOr6/Od/33g8/vVf/w0z - 71LX1E3XZfMtW6JRRPr07q7rqqrC/otgEhEREREREREREREREREREdER8I05GA5slE8f8tkmECsF - qjAD8JIf+uF3vvMdCgG89HnespHDetRzuw+HAwa879rrX/SiFxlQctq1e3c7mSBl1f3qq8UXNNf5 - cInD4YMqTlJuU1uPVia5+/Bvf6SbRa7ul5YrABA0bF4+owqx5FSH8OxnXfn2t7y1K1mAAEEIOVuf - 0X5s9+leqOoBE4o253Yv+GF12Tgiunj1/rr/UhF988LG6gwwwAW2b+GJBwpVncc5hxDmRxAANp9s - G+MrHBAoYO7eZ6ILUIeoqqoKFfdjH8++BdSxvLz8vOc972O/84nf/exnSs6znyzcJSf30MFmVsUq - 5/RPd/zzT/30z1z7vvdNJ2Mr6bjVXaWUvXv3Duoq5zwajVJKvk3LJ5iZqqachsPheH3vcDiMsdmz - dw9El3et/MjLXj5NnYZaVHIuED/YCjAA0IeRp5RU1YqVYnVdl64LwPXvfe8jLrlkvLYmIjHG4WAw - mUxm661smK1XsugjKhERERERERERERERERERERERERERERERERHRBjEFAO8LzAwqGxVSCsxCsmEQ - lY3U54287Fnoth2wNbju9xX9pvaVX83tq7vcyPYWzAozAelfKT57GwGgBgEUouqAwGb1ifedutqs - zbaxPwbZ+E5nlYx9a/u46yY2BQ7A3c3NCkQkSnS4mRXJribBLFiR1Ork6+n2f7z9H77y1a989Wt/ - f8fefxrntYRJ0YyQiyRDcXF3F6irqCNKDAiWYJ1GNMcvn3zmKWc/aPeDzzvjwt2DE3Yvn1B7VVrt - ssQYY6gdscsZ5jFGRUAx8xyr4NkcbmKz+kU9cOfnB0swOzQCP9ixIXrgYXQ3EREREREREREREdEW - CCFcddV/Xltbu+aad7uj7VqBqEjZoj9I9Ute9undANq2bZom5xwj/6mfiIiIiIiIiIiIiIiIiIiI - 6EjMZ2L0CcchhHt9iaqaF1F1Mzh+4Pnf/+53Xi2QDqX/Mdwwm+mxaP72777yR3/03x7/uMeK+3Q6 - FSCEEELwXO79xTtQKcVVoDJohm1Kf/bFP/vS//xzEdzTwSmlhBhL7rxYEE05RcEVV1xx6aWXdiU7 - JGpIlq0UQCALF9zaR9Ie8KAcYocXjLtDsCNmQ/XXzmJe5sdMf7L16d0hBAnaVHVO3aGfj/lEOIgq - hsNhjFEhIn2wtM+fvJi92wc2b16kQkT27t0b68Fb3vzmb3vSE2//+h3JPFYhpYL7uCDItu5pVVU5 - pZT7BUbw8U98/IMf+tDzvvu7gtd33nlnjHFlZaWbTpqm6brO3WfHcd9CKsem+VWI0+l0eXm5lFI3 - w7bLe9fGu48/rjg+9omPf+KTvwMgl+z98ByiWzkwqlwAIOcMQFVDCGZWVyF1XQB+8bWvfdrTnta2 - bYxxMBiUUtbW1g4Yju5+9ImIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIFl+wPssZwCz0ua8Ok3n2 - s87KsRQwSAZ8v9DufdWrfXS0A7OvgHjE5qDu+YsOWo3lGvoU7flbbzRATdQFDsFGPZcLALG7b/kQ - BPj/2bvzcNuuskz07/eNMeZcuzknCU0IzUVEBBUEEYWyuaVWrmjdulXyiDSKgKCAVgECZdleLb2A - yKWHJCAhhFAEkF76BAHppBECErpAgIQQ0p12N2utOccY31d/zLX32acNJznN3sn7e+azztprzzXX - mM2ec+8zxzfeZBFAFVQtDpgMjQzBEYYccxQT+Cy6O3gfzAxaUxMsIucsHlRFVHPtJVVfyDtx3Teu - /9qXrvz8VTdcccMN1ziqSy1SXUod5YriYlA3MVV1GcokBeZqSaYhaYtk3viq7d3j115x/VeaG5J9 - CdvSqXe9zd1/5K4/fq873fsO2+4Ua+td0DJqpGnTKEJQrdS+eC3uiMkFBlFXAYJBgDBU08026Vqq - t88yuw+K9z447Zvo1mILVLATEREREREREREREW16Vi0LwlOf+pSu684//7XucLi7H6sbUSGEWquq - xhiXlpbm5+fx/Q0YTURERERERERERERERERERERHJiKO7ysz2Myg4qXElEqfzzjjjF/9lV9970Xv - VYgBbg53iMB8PRd88wgiLzvrrDPP/KV+2gFQVRVMp9M2pvV5fNMFUt9ELnCVUkpoUq6uqhdccAGA - ELQUO9y7zAyAAOYWIO7+xCc+se97AE1qilWbBQ8L6qbLOw8hqOqQpXyy23LTbYnobhzUThE5uQHM - J5equlvTNKuHj+5eT31ePz7dvWma9U03i/QW8a2zKQUw9zY1Inr67W7/zL/+m//21KcoUMtBudGb - Vc4ZgAFJg5sV1Gc++1kPeuBP3fkOp59229vu2bXLzFJKk8kkpaSqJ+v0EmNs23Y8HjdNU2uVoNtP - PWVpZaW6P/u5fzfuOwMAEwkhxpLzge/fcGkTETMThwA11wA8+fef+KQnPHEymYQQQgjT6XTI9j6J - 60tEREREREREREREREREREREREREREREREREdEyIKwBZq6xVKevfMldgmDZWF6u6rud22/51xy6i - gPnscW3+2RsBE1cXG54Pc619a609a1+Iz153UYPOEruhQ9K2wsXN1Q6d/30ErmoKwEIBzAQuENcA - iCkA9TIkB1SFiYrHGBoR762bjKeh1XZuZFZWuz1VLWzD95a+86kvfuJz3/7kjvq9Oj+tmmWuAnBU - F6viiJAAERQDHMUrAB/WRxHg89u3lz53NnXNMoIE7w2rBXEexVeXd+2+7Novz9n2O9/2bvf7kZ+8 - zw/e77bNGTYt076XXqI3TUgpxIKaUUzhiNUtmJogDOncbms7eN/GcrEDqhyHI2E2P9FNNdQLD4Yo - jpPdou/X1qhgJyIiIiIiIiIiIiLa5FJKfd/HpH/+53+2Z8+ef/zHd9YK1dl4vj67Fbgxxvvobk3V - WrE2OvD27dtLKaUUbJ3BaomIiIiIiIiIiIiIiIiIiIg2M3ePMR65NjRoyFaHQuWhFwdgv/+kJ7zv - ovcaPIRYaoEAovCqInWTJbcW949/4uOXX375ne94p5BS6fvUjmJohkpsg8L3L67f4mKM1cxdJpPx - 0nTyvve9D8Chc7sdACTArQBomzb33cLc6PTTT/+ZBz5omCXnbj2sOyStpRxiOSdVCEFE3GwISMaG - sOQtJKV04zNtAimlWYj7rZW7q6qqDs/d/Ub33QHl9+b7NuN6lPImpgd8LQ4XpBjN3bz208lv/+Zv - vefd7/6nD35wUnLdb9aDFrZ5Lg4COGJKOecAceCqa6/+/5//vJe+8AXj8SS1bdd1o6YNIQVVEbFh - Jw4PMlvACdBPuxhjttmGa5pmz8ry4inb//Y5f/fFr3zVAAQRF7NqduCe2i+3O8Cri8BhERDgwf/h - zL/68//XzIa47lKKu9daR01rZgePGeGb+zAlIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiItrIoeZJ - HZAKKZC8HqetHoBoCBhCnYfMbIdAxXWopQobqskAmANQFYhDZy+aCdaWaQrYLEZ64+M+CpjrrAzM - dSj3gmDI2AYMcEgBDFLFTQVAgh9UOHakdZYhGFxmZaAKj2tlfQVwiEEABCACkksXHSmE2CxU1EmZ - dLo6XVy+/LqvfOITH/ryd77gizmeIpNu70ouKWEoplQdUsWluucMMzQN3KGu7qIQQBUiwXf2uyUi - RkQBADeoIQqCKKrVMLW5MulXr9919b998l8XP7PwU3d9wP3v9tM/etf7z5fTylJF0dYj3ENQwHzY - 4AKH1tn+MgylcDKrwfNhraUahhj1IV79pkckEB2Sz5zsdnx/juYkQkREREREREREREREhzGZTIYU - bUf927999oMf/MuqsGN37ymEMCw/hFBrFRFVZW43ERERERERERERERERERER0c2xXgzq7iGEI8+c - bS2MNQSrVYAAedCDHvQT9/5xxazcHI71LNvj1uqbrgAvf8UrF7dvm/Y9VKtbSNFuoUGkQx+bWusp - tzntwgsvXBl3R+7L42YCEaD0vQCTyeS//f4fDGnEulaDHjRgX3D75qK6r2R4yyV2Y+1HZtjgsslD - nNfauTl/zE+MYd1FZK2u3pumudG3HHBkznrcba3S/A3EUUrpu85LbVOjjhc+/wWnbtu+hXr1xZQg - yDk7UOExJhF9w5vf9J73XZRzFtHYNF3uFxYWaq2llJPSSHF4qV7qaDQqpYzm56Z9n0bt5y655Kxz - zq4AgpjNDiGrNaV0uDOIGwDEoOJIovf9sXufc9bZTYwKDyGMx2MAbdu2bTs8JyIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiLa6lysqlU1EzPBhkkNWIuyrkCFZNPiAofumwQGtSFVexawPXs0wKBr8+2X - 2D3kcK9lfuv6ZKIuZmIucDUAJphVaMMhVVGCV/UavARUcQOOrvzQxV1M3RQIrsE0uIjPqshNi2sZ - aqvFRVzNKhrPoV/FUr+wujq/+5JrPnneReece9FZX9z9r/3tllfnd+/sd3ZS5uYxv6AS4II+e86e - qwNIUdtGpWpAUIQkIUiMLmpuXpo5pBYSkA19h9xBCgIiimarvXedjPNobNum9ZSl6eKez1318dd/ - 8NxXv/esz139sf6UvTiljGWa3eBJLKlrNFV38X2x3MPGheuGeOIh4dtne2lt0xztwUN0SFuxkp3R - 3UREREREREREREREx8DCqEUtXnIToqO+5CUv/vmf/9n1ZO3hLuTNWf76wJe11mGc6JzzVhyulIiI - iIiIiIiIiIiIiIiIiGjz2Nj7QlVvtCvGMMN6yLcAQfTxj/2dCKnrwd5mAPwo68BPmLe97W033HBD - SimlJuc8mUzgarfEatNqKOYGLC8vn3/++UEFgKj6hhp9wSEyoh0egdudetojHv7wWkrOeSheDyrD - AeNmoptuiw1Fzls0Anndvh+uzV2zHUI4OIj6VmV93YftoKoppRv9qRiivte/HBLQh9c3bsxNeAyv - jyey4bkDbmYLCwsCNCF20/FtTzvl5We/zG+0gP+Qp56ToeQMQGNIKTnQlVzcKvA//uSP9yztdUEp - NYQwnk40JEjY+F5xyInaUSmlGON02qmG8bQzwCFP+x9/tHc8NcCh5hCRlJKIlJzXhnE5UIgKwIot - jEaLC3MXnPeq029zmvdFVRUyP5pTyHhlVSGqanaz+nwSERERERERERERERERERERERERERERERER - EZ10JlZCLrEroRRFRaho3Vv31hFd1MVcsmvn2rlOqnZZrY+Wg+VgfbA+WFErwcrwZMNkai4GQFzF - Vdce9aAvZ5MFdTWxqrVqqVqqVpcKyYoi6BVZkYNbMouGpkg0laMJnHaxGkrVMrQq1phqiDUGA4Cq - VhV1CAsfUr1N5+bmp9Z1o+Xp9p2fvOb9L37P35z70RdeuvKp1e27V9txp1W0aUJIAs/olw0ZWpGC - pCCNxuBBsqO3aIiGaKZWQ51q7aT2mi30wBTSITnmY1pIbQwj1GiIIaQQkipEq4ZqVlb75eWwtLK4 - dOmuj533gee+/P3P/Nfr/mm8bdm3pSJJbS6VNtWQDMERDDLEdc/qHodJTdREZ4nds/RuYPj2pivf - pK1tE1YEH86mGxqAiIiIiIiIiIiIiGgr6vseQNM00+m4bVvz8spX/v0DH/jAY/spMUasDVS6uLi4 - hW5IEBEREREREREREREREREREW1OszBmd72xMGYVBQCR2vcxqAIwR7WHPexh2xe3KRCDArBa1+OH - N4+1umrZvbL85re8Darj6SSlNDc3d7KbdrzEGEMIEvTjn/iX7157fTZ34AhRrDEld1fRKMGB3/j1 - h861I5i3TWOAC7J5dQshQMQ3ZaTrVs/tBnCjP4abxNDOrb61b771Q05VY4xHm2U+dIdbd0CA95bQ - NM1kMlHVkrM6FPKrZ/7yox/5yP1WY3MfJqJqteaSVdUBDcGAG3bv+ou//J8u4gIXVdVSygH760Qy - s77vm6bREMwstM0zn/2sS75wqStEUWsNIZhZKQVH/KmsxVQRgNrnt73pzf/Hne8i5jGEmguAruuG - y+Lq6ur8/Dyju4mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIho6zPTWrUa4FBHA0+GBAzRzgVSIBUw - iBmiI7rAZpNVtSqoai7mYhDb9831yaEwhQlmMwCmvv4IwMQhDgEAG8KkTSrgkAIpAoNURVU39SFh - WsUa8UYtqR9N5alY1eriJlBHMAmmwU1hQ6W1QQ3RRCEmqDVM9vj19Tbj73aXv/UTF77xIxdctueL - /Smrq+3erlm12FXva+m9eIK2ihSgQz62K6BeqpkJQghBRNyreTWvDogiRDQJSdAOMdsFpZRitZpl - tSK1oGb0fa2lOtyjIkZY2++1XZN2uZy6+m/Xf+a895/1xo+ed9nyF8ajvV0zzqGYAB6CxWC6lt4d - gQiPcIUHzMLOD0jvts1e7khbwdatrd4aFexERES3QoLZAB1bbsARIiIiIiIiolsnUYeYmaWUvOQA - cdRXvercBzzgfsMMKQWBpaBB9Cb/tV9KGR7dvZQSQli/ReHutdb15zdzdYiIiIiIiIiIiIiIiIiI - iIhu8dbjuocnNxrSaUN9uDuAUkwAs6LibRMf/zuP0Q2x0LXW9cKQzSNocDiA1772tTnntm1zzoec - cyiA3+oMXsyg4RWv/HsHQpAQwhF2y9Azp4nqXgV40u89wUqfgpgVAOaQ2ZAA9cjRsCeRmQ3ZuiJi - Zhs7F21+QwmVuwvgcHeHyKbd1FjLmR429a2w/mtYcVUd1n3oOFePuL+GtwzPh3PRAdttK2bPWylR - 1aupalBVx3Qyee5znnOvu/+QAEEhAlm7Hmg4xHEiOOFXC99veA1f2ynmBkG16oCIvPUf3/6+iy7O - tQLIfWnbtu97uOLAUU78BIzWIaK1mgtWp5PUNv/yqU+ee975BpjDHEOzMes5ORs/RbBfEnxMQRRw - uEGBc85+2f3v9xPqqKW4ewih1ppSyjmbWdM0fd8PvyEQEREREW1mZjb8b8b6X1vDl0RERERERERE - RERERERERERERER0rKSUaq2sNKEtTcQBBdQt1qJuIUDELQZzn9SyKuhFgpW25jnxBasKWAgSoihM - vIpXhUj1aEguI9eRx1ENbdFUBCW7V8ACXMQBcxTzjICKWrw3KRJMAgyl1uxeRTyICwxexF3h8DpU - 24krPDha91H1RfM5O5rI3SGe2gQOBRRwoAJVUFVVkNwaeEqxMXGL09zs6m9/7Qe+8abzPnD2Jy7/ - 6HJcwZxmz+6m5uqe4BEuMKuwGt2iA6aoqIbq6lB3NUMtUquiRlhAiSgBWZEBVIhrgEJgwUsoXeq7 - 1PdNzqnU6JIQAsQgBcEgDmmQA5ZR+kVf2bbnk997z0vf+Wfv/NIrr2u+nk/tJmKlpijzobdQXVwF - oRYAoUkLIilnhwcAgK6loc8i1ddL8IhuGlV1dzMbxmfIOd/kGuuhKD6l5O7D8+NaZcwLORERERER - ERERERHRMbP+f/oirqoacO65r7zvfX8MgJkJpNRjPAhO0zTr4+yEEACUUm6FQ8ESERERERERERER - ERERERERnWAyq1OHl/qoRz3KgSYlAKO5OQE24UAMxSpEAfnK17/+kY99vJQiIU777mS363gZ0li/ - /e1vf+xjHwNQqle31DRHeENKqc8lQM78hV+64xlnBNGN+9Fx4iN2iWhrEAcAFVHVIPqiF75wlGIU - HYKt3dE2yeqWCSYv7gV4xn//79VR3UKTitVh4IMTzwWuMlqYr2ZzC/M7du162tOetjqdNm0CDn1a - VlEAs8EaHDHE0leviEEC8EfPePrDHvobXdctLC5aqXPt6MSuEBERERFtVbLJpJTatt04Qlkp5WT9 - 3k5ERERERERERERERERERERERERERJuVJiQpjuxJw0LbNMHhFqTmbjLXpsXFRWjoi0toQpjP1aSB - x76Xlc5X+jDJzWppV6aj3f3i0urczr3t93amq3amb+8aXbl34bvL2743ve2e1dvsWtm2c+/89Xvb - 65fbG7qFZds+7eJSTZ00xTXnMs39WMXnRk1AUI/qKSJFJDGISdI0NNcFDq2iVWKRWDS6HEXJtrqK - C1wAGFBDcS2QHigw84rgEZJWu7GP6lK9Zildc957z7n40ndduXLZSthVdJx9CqtJRHxWOQgAPgSB - 63r+r8v6ozrg0OFjh+BwExRFUVRBFXWoASbA8CiAHBQk7MOnDLnjGALCS8hdmoxHu5YXrvvw197+ - qve98NNXfnCpvb6fG/eh17k2pIigfS2hbUTj8vIqPGxbPKUUmzURWA80hzC0m46xmxO2LSKqamYp - pSFrQ45nvga72hMRERERERERERERHQuu7hCZ3SQQkQhAwqmnbT/nnLOf8IQnfvWr3whBaoV5mRvN - jaeTY/KxpZSU0jDQMAAzizHmnFNKx2T5RERERERERERERERERERERDTYWDk65HaLQ+Ax6D3ufvff - eMh/efM73qmK6XQiN6/Q9LhRgygMwLnnnnvmL/1iEPUSNs4x1JD7LSKg2g2I8vo3/kNXHaICd/fc - 92tV8YcoLy+lBMDgv/s7j5tvRyLe5yICBfIJbj0RbT7DuXHjeVJE3B3uABQyvNLE8ID7/8RT/uD3 - X/jSs5oAM1Sg63JKIZd6clp+uJcOPts7ABigwK69e5729Ke/6u9f4bXmPkcNuuENJ/JK4YJxNzVB - cP+jP/6Tr3/ritS0066DHHLdUH12hi+lqGotJSiShlLqr/2n//hnf/Kn4t40aXl5bxPjZDKWcKSh - Ww5eU9mEV3giIiIiuvUZxiYD4O4iMp1OR6PRyW0SERERERERERERERERERERERERERFtNuoqJYw8 - uriUvmJqJTssNk0KTe5RrFaJoslVTDJQsq64Tgy1x3SlW75h6dpr91y9e2XndXuv7myympdX+5Uu - T3qbVjNAVdo2zS+OFreNtp26eJvbbr/97beffmp7m7vd4e6xjNo6asOo1ZEUlQIzj81CztkMSRLc - vWYXqKq6V3UTuBjcPBh8SME+KOX6iILFoSisaoHUClOYuKJg1CwWhOXpXtmOFdlxnVz5zove9NXl - L6+EFZ3zJoh79dobEEwKFECVoXQQ6iZrlWvwWa2di8LXCu88ArAhHtsxFDKbwAIENnwpjri2DHWY - QG0W6V1VxYcF2toMwxMzRZG+b+xruz/zvX/+9i/8+IPPvP9D2pVTt/vtUUNqFOJ9zSHEdn6uz7ms - lLl2rtYOmJU0zqrk/FBFhURHYz2GY8MrN2U5qppzXl+aqvZ93zTNMWrmITC6m4iIiIiIiIiIiIjo - GNh4k2DDKz6dTu/6A3c555yzH/OYx1511TUAYoiTY5TbDSCllHNW1WGIyeEeA3O7iYiIiIiIiIiI - iIiIiIiIiI6LA3uIOIBaa7X6u497/Fve8U7zfTGih0kUPZnc3YD5dvTBD3/o8su/dY+7/UDbNLXk - W14KqQsQdDwev+51rxOgujkAlSOU/2oIVuqoae50u9N/4d//+1prilpKkRT3LfMWt6GI6OYbeg8O - aXkAFDLXts942tMvi3j6YAAAIABJREFUuuiiL1/2DQUEmJ9rx5MO2BoDW6SmyX3vgne8653/+f/5 - v3/1wb+ybWGh9L2bb0wuP2GqWWhSDHrWK17+jne/K6U47bvDzeyAqsYYS+nNLIgaDIZi9UEPuP8F - 579mujpWyFzbSNtOx5PRaGRmh1saEREREdFmNhqNcs4pJREZnscYD65vIiIiIiIiIiIiIiIiIiIi - IiIiIiKiWy1xlGk3Go1EaqlZg6dRdHeoVpPs5gEWUbXLsuRSLE6/t/Nb1+666sqrrvjOdVfuXt3R - YeyN1SZnjHPoq/QWrI4AhQhEAIvicaepLIns0lBTa23rc/NYPGP7nX/ozvf84Tvf806n3XlubkFr - sKye51Ocjx77XKOHtllw1JynEhUwh7u4iQHZYeKIbkdT3qvqwQCXCsDFINUcCgtJp3kyNUun6rIu - Xb7jy2+9+NXX9t8t2w2hVHfzAgABQSAyVKbprARbzAQKm1UICtRhwIYwbwUMUN2XM662/kY3gYoP - +d/QtdUJgEGDw0XNZ4XMLhEwdVO39cRtE6zWMn/bMBnv+uCX3/Wly77yu7/+1JAanTbTad02Wiwl - T7t+NFpomqZ0fS02ixzH0DCi48JvWnA3MNR1jkYjEZlMJsNy1gt1jwdGdxMRERERERERERERHQMi - csDtgeH/9+eaZLnc5S53Of/8V//mb/7Wnj17ci7H8HNzzsOnxxhzziEEM6u1Mr2biIiIiIiIiIiI - iIiIiIiI6PjRtWJlccB8NNfe58fu/dM/df/PXPL56lBR801axhw0TbtpAM6/4DXP/pu/LqUI4IJb - YHq3+/suuuj6XTsNSDH1JQuCB0GpADArfd+4mwzAtO8f+9jHzrdNDCF3fRNiPvTSj3PriWizGlKr - N54zh1ccDneFuHvpcxviq17xyl868z9MzQWYTLq2TV2XDzp7HHwuOoEOcyrrc9/OjaaTaRT81V// - z5/5mZ/ZtjDfdV2bmo2h3evPj+sVxAXVvdbyja9/65nP+luH9OudMF0BQPZtvVlDRPq+FxFASy0K - JOCOdzjj5S8723MZNY0CpZS2bZtRMiuAip/oPHIiIiIioptvOp0O1UOllBgjc7uJiIiIiIiIiIiI - iIiIiIiIiIiIiOhAYqkRt6mhSDANobrlij7n2M6X4Fn7Xldz3Ltz/J1Lv/6Zf7v8szesXJdDhroF - l1O9Sq5ixfuQ1MQgLkBwuMEd5oAUoIqIhqCqBcVtOrWVPWXHdSvf/crXPtd8rT1l/rS73uUHfuxe - 97nHHe89352OLO6NxlCmpev7FGLbtn3tABOYi63V3AVgrY7sJlK4ATCooWbNuii7bccXrvzk2z7y - +ulohy32nk2qmNaiQIQrxOHVEhAc6gqgKkysBABQhzrEobOuu0OyuAGAz1prUMyqBxWYLQRi4vsV - xM2+7WrQ4OoCg7g6BICtV7I7IA4BxtPahDS11YV29wve8Kz/9IsP+ckfeuDtFu6wvHtnDHOjbdvy - eArTNrVuZS1NHJBZ5d2QBK4skaZj5CbndgNomqbv++l0egzbc2SM7iYiIiIiIiIiIiIiOjYOmd5d - SnHv5xa3nXHGGW94wxse+chH7tixRwQ3427CfmKMpZThs9q2XV1dbdtW9ebcRyQiIiIiIiIiIiIi - IiIiIiKioxBjNLOFhYXf/u3f/tRnPw8AmzC3WyCibgYVGAx4y1ve8pd/9qcpxDaEk9244yKl9NKX - vrSNaVIyAAjcDfWw81t1AbaN5h75sIfXUgIEgIjoxt0pcGFuNxEdwtB70AEILJdm1N7j7nd/2lP/ - 8CVnvyxb9Yq+yye7jd+3ELq+B1AcV3z3e8973vP+6s//4rannZa7bj2l+0QGXVdBruXRj3nMOPcO - qKi7hxhKOdTVVmC1SopSDe4KzLdzbdDzz3v1j9zznv2067vp3NxcSml1dVUVbdta4WmdiIiIiLYk - Ve26rm3bEEKtNYSQcx7CvImIiIiIiIiIiIiIiIiIiIiIiIiIiAA40Dax6zoNQWKcdF1vNbZNbNNK - 3YOR75xe+6XLP/fZr330qh2XeTsenRqnp3RZs5kVNwOgCAGq6IrBIQ44hvRuFXFRE3N3q17VTCEi - Rd0dmrQgVIRxth3ja7512dc+c8XHTkm3+7kffvC97nTfu9zmbtHnmtHcKWk7ii4trYyaJI4h+FrE - AHW4HH0lm60lVSsAC7N/xUxLWMSK7Prklz70jk+8wU6ddqNJlT71TdKkKtBc3cwAIKylXAtsaILL - ftHXB7RLsF59PGwzmyV3r31bHL62KNvvveYCcXNVuEKGRdlseQ4X2PDpjtFo1E2ztmGp7paEN33o - vF17rv6V+/zatva0GEdmnaugRrOiIsOegsBcIWLQ/ZpEdFOJCNaLam9q3kbf9+u5Huv94d19WPjx - wKOfiIiIiIiIiIiIiOhYMDngZhfEHLVpmpTS0tLStu0Ld7nLnS+44DWnnrp4rHK7AZRSQgjDrbxa - 69zcnLvf5BsVRERERERERERERERERERERHR4B5ZkikMdAVL6bGYPechDzjjj9NGoAaAST0YLj0RE - AC2lNO0IwM49u9/+9rer3mLrTC/98pcu/drXcsmq0peMoVL3xtb3oQ996J3udKcYY+1zjJH9cIgI - gAzjiWzgMgx44evja4i4iLsYYAuL8zEGhTzjaU+734/f1+owwyGW7OvDcRxXMoz8ceALh5wAoDpc - YpOG5r3mtRd84YtfXF0d46DxRA4VnX2MGaAxPO53H//N71wZRACYm0P25XYftBFF1UsZ+lUK0HWT - l7zoxT91/59cWVoW8+3bt9da+75v21bTvov1wXuZiIiIiGiTU9W2bQEM45R1XcfcbiIiIiIiIiIi - IiIiIiIiIiIiIiIiOkBX0VuwMA9drKENCyPdJnv12mu6S9/96XNf/va/eOdnX3k1Lq2nr6xuW7mu - 7Fn1SbbqKrEJTaMhwB19BQJ8lootsFA9mbVuIXqKHgJmqd5wByACCe6SM6bS2Gh7HG0LNXR7+us+ - 8MW3vub9L3jbx8+7evpl37a8s//e7sn1C9tbiKlrsJBqijXFGlINsUY9urIvq1pNq8CCaSxNLG2o - I3gq0fbajos++/b3f+6t8fS8FHcsWTcWH8dujHFnfa2GilDRAKMQxGFAFVQxEwOgDnW4x4qmIlZR - W0sn8LWqw2ESQGACAwqkmBbXYmqmZoKi+0/BSrQaSo09tI/eRy/qZoKs2qvmgCKaDblYQGhHaTJd - yjIenVY+/oWLP/CRd+2d7NRUlld2ldItLLYxaJ5ODy6Xc6hDTW6xpeV0AhzD0nt3b5oGa/3hzey4 - 1vXzuCciIiIiIiIiIiIiOgYOF5jddV3btiGE6XQ6mmt+8Ad/8NWvPm9+vjnUIJw3hYjUWgGoqoi4 - ewhBDjnGJxEREREREREREREREREREREdE77+r5iguAFoYpxvR7/1iId30z7EZF5OZgsP5rBaR3Mt - gEk3DUEr8PwXvyik6LildTVxgQEv//tzg6iLVHNgKPUX1FnU68ak12H9mxgUeOQjHlFLb2YSg7sP - 0eb7anGHYNdb2gYjoptFRA7os9d1Xe66NiaFvOxFL14ctQLoxpOOHPx0MwkBgpIzgCY1veG/Pvkp - fckGwdoAIoMTMlSBvPDFL7r4Qx92QGMAYEDQcNjt5nAvgMWoAQ7gL//sz//jg39FxefbkQZZWVkR - kdFoVEppY+r7/kSsBBERERHRsaaqpRQAZhZjBDDEeBMRERERERERERERERERERERERER0S3bWlWa - AmoCEzOtEBMguIoPryug4ioeXRrX1MOXsDJO4+nC6tf3XvqPn3rdy9/63I9d9q6duKKb37kie1Zk - 3CX4CBpFRKrXUmopNgy9HwBUKKASVGIIaajABbTW6u4iCABmyd0QRa1uDgeKl75Mp3nSe1fiZBx3 - 7olX/8u3L375259/4YdfcVW+TG7bLeGGEjqTKq5qGkzVo/rwEdFEITZMAoS10mgTVEUVNVETHbaM - ugFDNbGKByBU9Zwm3WjvxZ//x09e/sHVdP3OyXWSEFs4IFFM3eBBJAZNIijIfYWrC1zM1ra4DPHk - GCrsFK4us8JB27/gbV8Vnsy+NTz67L37Hjc+cZntXV0rPHRBFRjUBKNRk3OGWN9P5xYapLLcL+e0 - 8smv/tN7P/3mr+/68twZTVoIKytLXvNornGBia4tdO3gcRxlFDrRYR0um+P7MfSB7/t+OJPknFU1 - hHAs27c/RncTERFtLuuDdDgcwNpfF0RERERERES06elBt5tcBaFpmtXV1TaGAO8n41O3L/7IPe9x - 7rmvHNK7U1QBhjsBTTMa7nTu+9972TAdxvo9CTMbnrv7kOG9PsOQ7b1xZiIiIiIiIiIiIiIiIqKT - S/xwk6xP39cNM6Kb5ODjbf9jj4joMMQ2fuVAVZgIVEIIVkoDecLjHqeAaAUgEIHuP+EkXuFEfDpZ - BUwCOjNTfPvqqy/60IeraKkWUur7rKruHqPmuumiTNd+PRiKz22YAKs1B4Vbca8ppWnfucjydPym - d7wtB2SHDVXqrljvPKMCQENwQEUBBMByfcB97/NzD3pgrQVwCQJFtYKhUH+I3XXM+vbwckF063O4 - v2LWBhdY7/6nIh6jOqyJ4Ufvda8/fsYzAuBACAKBpgQAUEVoQ9ITcEaZnb4OfOGQEwSqCvcYWwB9 - KQ5cf8MNf/2sZ4a26Wqt7mamOoyoUsXtkJ95BPv/xTew4fKUa2/wkGI11xA1xIs/9OFnPfd52VGB - SS4VcKBYdlhUGcYtEUAhQWaXWgz7pRSB//p//i9PfvJ/bUcp5968wryJSdxK30WV0ueoARtHNjlE - aw+ciIiIiIg2A7PZr+Lrw5KwaIiIiIiIiIiIiIiIiIiIiIiIiIjoWFkPEROR4Tn76dEmMURTq6t4 - MAQTlFBdekhO7nPaSo1u0VyhMSCgutTkoRnruGwf7164+m2XXPDSdz3rY995/+6Fa5fnl6ZN1wdz - mdXSwoaj3dbr5dbrqobn8Aqv5r0jm/amPdSHfGuTtQJqB2zt7QAAV2iEBFQ1a3wcJ9NtS7sXrvrw - Fe86+73PeeclF+5trx2H3bF1FUdFCk0x68U7sYrgUBM3qeIezGLVWFVgLlZU+6BFknsKhmiW3JNB - EKDRNdRoXVodz++6+Ctv+ci33vXd8o08WkkNYg2xi22NUkSBIHB3M6twH4qSxWzI7casZm02wQRF - UAQmbrJWvmeACYbY8GHytQjxfbvvcGOtzHLJZ8mFVWYVgeIW1qZa+hjExKDeWV/FPKBvuuXbXPfp - 3R967Udf8c3xZTnW0Wg+dz3MTcU0FAhUcq3uPorJ+nziDla6JRoiMIZQjBBCzhmAHH2VbCllWNrQ - MT6ldLyvs0wDJSIiIiIiIiIiIiI6joZBOUUkpWRmq6vL8/Ojn/yJ+77oRS9q2zTcD6i1ppT6vk+z - ETmPgaZphrsOZjZEg5dS5CbcuyAiIiIiIiIiIiIiIiI6GZieTEREm5cfEDQ6FKF7VO2n3R1Pv8Mj - H/7rpTfMiqM3E3dVqMINEBiQHRe8/nUSQ2xHk8lkfn4eQLE69GY52c09kqGAH4ALYoxDDxl373I/ - mp93wesuvHBaczaztbxuhezrPOMOgcEBVLcAGer/n/j43yslr3e2cff1+CtZe2RoKxF9P0op4oB5 - zfmpT37KL/zszypg1QFYzhAJMTq81NzG5mQ39kCW89oVTA0O0Wktr7vwDe+/+KJ2bq4ZtRpj3/cL - CwteZx0Uj4la62g0SilNp9OU0p7lpSuu+u5T/vCphrWY7/3/TqxWVTQM2dsQWzs/NykMZ/X73vtH - X/yiF6QQrZQmxrUT+FFnjRMRERERERERERERERERERERERERERERERERbRq6FgztANRVXMVVVfeu - LIcQRCSE0Pf9NE/jnOawkps9Xbv7X7/xzy9/0/Mv/vzbV+auX213TtvVHPsSbD1hWh16UBXtwTnT - 2C+fekO+9UYHLccENoRhBy/ivXoe5bJ9ulOv+dBX3vuyNz73q9d/brXdvYK9OZWJdSHF1MaUkgAy - G4JkvTRMh9YOL/q+2jJVh5mJuJmVUidlXJo8aZc+f+WnP/rli3eWa9pToSNkA0wUASZrK3WIBh9m - pUx8ffX3/9b+y1mP/d43bdiqh962vrZZZd+cB2x5W8sUN0EOyHMYN3uv7b974bvP3+3X7Oiumz9t - 3jRUuJllqwaMRqMomrtpSsesHpBuzbZi4AWju4mIiIiIiIiIiIiIjqPh5kGtFUBKyd0BbNu27Rd/ - 8Rde/OIXmkEEKWrJGbBS+v3e7Ie4ufh9KqUMAygPw2KaWYwx53yzVoaIiIiIiIiIiIiIiIjoGHE5 - 3OSHnE52e+nk27+e8Obi8UZEx5aZpZRy14+aJor+3uMeL0AIB1Sc2gFZoTejY8ixsNa6D3zgA1dc - ccXq6upoNAJQSlHVLVAu6wrfVyFbzSAyxGyLyHQ6fd3rL6x1X3i6wPdt//W1s9krqurAnU6/w6/9 - 2q+VUkIIQxw4VKrzukBERy2l1l3MbDQaKaSbTF70ghfMtympBBWoApidsqDdAf0GTzqHaoCj5AzA - 3c0txjDO/XOe85ydO3eOx+Nh1ZaXl9u27bvuqD9B4ILhSrjxN3zVmHOd9n1IqbjFJv3Wox61Y/eu - w7cULjAzAG2TAAsqKlpzDYIzzrj9a17zmlO2byt9B8xmAzAMQ3PUm4WIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiI6GRzoAqyooTOtVO3VEMqC1rnlqd58XbbVvPeUlcbtZgMc1jS3Sujq3bpV9//mf/1 - poteed348nRKmdTlvJ637QB0bToRIpAAKagTRY0a4yQuX52/eeFHznv9x8/fNb9jsrhSRmV1upwn - Y805eA1e1aGuLlJVq4hDTRDcg+foOSALahUtGtGG5enqfDsfgqIpY1369p6vXfTpd+9YvsHFxWMZ - SuLUXcyQfdMXVR+ZG8ykm46v2fXN/3XRyyan7bgh78niUbRRj0mKlaGkuliNTTrZ7aVbFN86lfgs - KyUiIiIiIiIiIiIiOo7cPcbo7n3fq2pKKee8vLwsImeeeeZzn/tsd9Rq6zMfq88dcrtVdRhucljy - 8CIRERERERERERERERHRZraW4kZERLRlBJn10Gjbtuu6+93nx3/6/vdD3a8fiODkX95CELP1uGrA - EaN2fT7/gte0o9HQv0VEQggAaq0nsalHxcxmCbhBodrl/rOfv+TrX7984zwOd/isc87wKDIEewtQ - rQJ4+MN/Y2FuLoh6rQCGCPMtVDBMRJuHu5dS5ubnx+Oxu49Go7vf/e7/31//jZlbdVRT1dz3IUYT - 2DC2yaaydupr2lZEHChuIvjCl7784pe+pJ2fq25dzvPz8910OhqNjtXHRg0AYowumPbdM/7oj750 - +WV2+PlV1d0dDiDnjCGf2y0AbQznvuLv73mPH+7GkxRi4PmciIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiG4RTOBSXQukBEeoUTzAQxg1e8dL8wujdhTHq0thZEu+Iy8ufW3Hp171jr/7p0vegtNWfG48 - tr1hXl3ggAGAiqs6ZMN0/Kij9KYIrY6SJnWFuje9LfbX43sf//aHX/6ul35z5bLluDtsQ2xFrCpM - AXEZsncdWtWrDi1HdGusKDKkOCSLjvtu26mnTKarjqzbsNevfdtHXn/1yrfa05qmSXlavCBEFKnF - s0SZbYYtylELBGk0ijVNvrHnS2/44Hmroz1jXelt4m4xqqP2fQ8ghJCtbu31pc1ka5VtMrqbiIiI - iIiIiIiIiOg4qrXGGEMIZlZKcXcRSSmIull9xCMe/pd/+afuSElSCgAAO/Culd+UgTmHYShFJMZY - ax0aMLxIREREREREREREREREtKkMWd3r08A2THSrdaxqOw84xm50IiL6/g0lmqo6HU/m2lHu+jY1 - ScOTnvDEYQYHHOYwg/taN5Cb1BnkGKhraeKigAEipRiA11xwQXEbTyZQSW3j7jnnIT9109IN9bEi - oqru4i61VhG58HWvrw45windIeIQU1UVFWD73PzvPOax0+k0xjh0sxERAEMoOBHRUem67tRTT92z - e7e7NzEF0dz1j3vs7/zyL/6SAgJYqU0TS+mrFw2b7hdQMxMREe+7icNFpFQvjpD0Za94xSc/9emQ - ZheLFFurR738g6+D4gpoztndVcN02p3zynPf8Na3DqOAHO6iaWburiE4YG4AYozD+CsvedGLf+7f - /bvJ8nLbtqWUGGMQ3f8vCwWUv/8TERERERERERERERERERERERERERERERER0RZiYi7VxeAKj/AI - CABTa5pYa6219n3fLIaluttv173nc29+7QfOus6/EW83WcKOVZ3kiGmtNpTPzpYwlO0aAMiwvOMo - hmiGWjO8qni1blzzkk3iGbp7dMM3u0tf9vbnXnLVx/PiUg7TIgUogKmruAxboKoNW0BdoiGaJe+D - VxM3RZxLK+NlBEhTd3dXf+iSd393+s1+cXW17qmo4hpDE0LIFdmgYStlDx+KusTYNsvdclgoq82e - f/3OJ95zyT90i7ss5ZyzmTUxuYirhBBKNz3ZDaZbiKESfwuld3PIACIiIiIiIiIiIiKi40hESilm - FmMcBvYFEGMEMJprun7y6Ec/+ulPf3LOnvPRD2B5eMNHAHD3tm27rlPVlNIx/AgiIiIiIiIiIiIi - IiKi42RjXDdLX+jmu5nJ30RER6YOAKpaa/VqMAuiD/6/fvkudzhDIHKk+OiTQAQicAOAFOLQuOXx - 6hvf+MaF7dsccEGtNYRNmtt9yFO6iAzprQAMft2OG9530fsPGfUqsv8eccBc3BT4P3/+5+9+t7sF - UXFPISokxlj6vIUKholok3BB27ZLKytt245Go8lkMp1Oo6iav/B5z19s2sV2romp7/sQgojUeiy7 - Dh4TAgRRXRthJTYJgqZN02wxhSc/9Sm11iHe2+A552P2uSIikmv5549+9JnP+VtVVRW7sXcNLQka - Uky5ZAB/+JQnP+yhvxEgCil99lq9Gs/nRERERERERERERERERERERERERERERERERHQL4AIA4ioe - AK2KqtWl9n0/145yzu1i3FV2TOeX3vhPr/3QF983bveuhn7SVMxB52ABBgRVxyy0e1/l1fGvijZB - VRiqWVWYwlQ8JWkXw3XLK75Q8sJKt7j79Re96v2ffvtS3NW34xyyzerMhgFIzKWYmAsADTUGUzUo - DGKA5VznF+eq5hXbc+Xur3/8Sx/ca9eHbXVqDnVIcBd3UUVsUMpxX+XjLaW0Oh4383FPPykpx9P8 - w5e+4xPfuKguTjEnOWdAJcRcTBWbtoSctoqhVHO9YH8LVW5y/CIiIiIiIiIiIiIiouNoGJfZzEII - IQRVNbO+7wHr+2mM6qh/8Ad/8KQnPV6AJh1wW1I3TEenlBJCGJLCa61zc3PuvoVuYBARERERERER - EREREdGtlWEorlubxE38RsPa6NZF/CimI77FDjmd1JUjoq3JfK5pLZcQQs0lqm5fXPztRz0qqor4 - rPRU1iaV2ZMTTwDAHXDAYWYCEUgI4axzzl4Zr9YhgVUlhGSb+HR4QIC3GdylulV3aPiHN715aTzB - sKb7m3WeUYfYsBCBC7BtfuHRj37UdDpd728z5OkOzw+RAu6HCgYnIlozmUzatnX3GKOqzo/mgqo6 - 7nLGHc9+6cu6bmIlRw21VodL2Fz1/gIo4FZFJIQAkdz3ALo+u6BCvvHtK5/z3L9rRiOrrhpiTEf/ - GX7AqdxFAPnf7N15nCRXdSf63zn3RkRmVXerkQQSnof9jFc8xmODzWPzMzODzTIGwWBjwCCWGTaz - 2YA92Mb284IXbA9mEasWVgEGDUIYEAiEwDZgSWhFC2LTYgltLXVtmRkR957z/riZ1dXd1UItWqoq - 8ft+4lOfrKysyBuR2RFZfeOcn4hKiJd97evPe8ELHWjNOpsdy2cnrz3nU0G5LBPucM+W+9Qr8JhH - PepP/uiP02QC8zrGOsZhM6jrum+79YZyR67PJCIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLaICou - aiHmKlh0sax9H7usKXfZextuGyzZ7l1y3Rs+9Pdf+voXluNSV+W+QgoYJay0UEEVq753eISHWUmZ - 3TW1zy4Y9ykF6ABaibh5grWexnmuQWeWYrur2xXv2Z912affd+aJ4x2Lk2YlhT4L4CquCodkl2wC - QzRUYpV6FMe0Y4lLhq/4woLc/IkvfmRU7cacLXepHkAqzTnn7GaIiirAtny9sHV5HIYYeQpDzQmi - fd6++xPnnnze1V8a12PRKH0QhGw9gKCsp6NDZmvFXvCtT0RERERERERERER0J3L3EEJVVTnnyWRi - ZlVVVVVVbvR9H4JUdXjlK1/55Cc/se/9UM1NikjOGYCqikgZxrQTNBEREREREREREREREdFWsF+a - G9EdcVDvIk6pEtEd4+7uXte19WlQN33b5T79j2c/a69LNTbBSc19mmZd13W5tiSE4PA+5298+1vn - nncBVKCqqjnnrXWdSYyxvAqq+o4Tjg8h5NmPRGTttqytAVYBAAWOOHznYx/9mCAaIFFD13UppZyz - qsYY78oNIaK7AXEMh8PUdVVVLS4uhhDMDOZwD5DHPeaxv/b4Jygg7uUIswl7EygUgFt299J6ROsA - QaxC2yUH3vzWt/zbOedoFfu+9+/tdOGCsgYHzH3Xrbf85jOePp5MSk43BKE6YD+EcpEkgNItRIEH - PuDnjnvj61PXDeoaZl3XRQ0Abt11y44dO76ngRIRERERERERERERERERERERERERERERERERbTR1 - iEf1OpgGN0hyySbugno46KRd0VuuXLn8PWe84+rR12RHn+s0NjeVZJCAuWFlWdpx39Tz4oISdi1m - ctdVQlcDSET53cVMAAAgAElEQVQytG1O5lUIVajEJRgkIRuGh2HBdi+Em6/YfdG7T3/HpNndVuMU - souqq7iIG8RczAUOdUS4lgByAaKGcbsSDvMzzv2nf1/6lg27qkEpNU45u0qoKhFJHWCIW6mcej2C - GGXcWjWsu94q1bbrpPEFu/60L3/ohv4aDMzgMYhC3Kzv+40eMdHGYHQ3ERHR5rJ/o42t1eqIiIiI - iIiIiNZlZgBKeLaZmVlThW4yCiGoatuONeAvXvNnT3rS48Uhq30kFVUV7tgzrv4ng5mV2+5e/p9h - tVvl6gxZSul72jwiIiIiIiIiIiIiIiKiA1DVMlGVUlJVEQkhuHsIUUTLPJqqYjaN5S7uIo5S9JVT - GgwGlvN3eRq6WxCR8iYp2asiYnAJavDsVm4ky9OcVQCzrNzVG2VKtMzJlvtLXGu56dk8WxANojCH - edTKM+AeQ1CREqYYlPVWRHQQrNRwA+5uOZcrQ1S10nDk4Yc/8ZgnRA0lLrtpmn1r1gXYoJKRruvK - cTLlZIADGXjrO95ugAvMLOe8KSOrfb8IdAW07PYq1gac9k8fv/aGm1ymga9Yc7IAUF4xuIcYzcwd - laoDL/6tF6WuK+cgM4sxls8wJcX8Lt1EIrpbyDmXA0hd15hdxacQtzQ/aP7qL15zz3sc7m7wDAE2 - X3S3waY3bHajywBSyhrFgLbPf/hHr15cXtIQQgiD4XB5eTnGWA6kmP0leBvPIOISNLtBBSqxqrqc - EuTYZz3nqmv/PcGnB1+RnKx8qt9rBQ44YpwdpQ0K3OuII9554klzg6FCYC6OKsTcJ882NzfXtu3+ - 4xCHbLrdT0RERERERERERERERERERERERERERERERBtsVqs+LZMpley3WS9DdJfRGgN0yNaLpqBZ - xSMqQxylUZprv75y8bvOeNPXls7rti9NdNy7qQ6yVYKoFlPnitDE2vsOcEiGZMwKyu4a5nBAAA0K - jeYi3ke4GGpFyMhJU/C2muzCtRd+54un/PPJi3JzF7scPJl4kvnBfN+37jkjd24eomk0R6WVZ0td - P9jeXHLtBedc+aVJs9hpmxNqLxXGQJRkvZvUQZGgG1btfWg4kOGxQdelqDEaGkHOwAA3+VX/+PmT - RtUKGlifhlVtGUGrjR4ybW2rp8VyZuz7XnUTlsmug61kiIiIiIiIiIiIiIg2QGnyKyI5ZxEZj1d2 - 7Njxp3/2J7/6q48CYAZVxBhLuva+TSe/B03TrA6gqqoy2b8pWy0TERERERERERERERHR3UFKCYCq - lsmpnHPXdSGEyWRi7rGqXZBScpGSaSciTdOUYLYQgqp2o3GlgWlqd3ulVjmlVKZQSwjrnglNlb7v - QxWbpjEzg5eEPxe4QIKGKmoMybILQhVjXYUqrqZ9q2oIQUIo3+acSxpr3/clLzyl5ClHURHpuo7v - NyL6XqgDsyLtFz7vuTn1pWa7a1tVVdmMRZ0GxFh94lOnX/Xv1/Z9inVdNfVkMtnocd1e7t7n1Fue - n59/73vf60CbssYDXm8TYswpletxktl8Uz/xmGOiBr0dx3+eIojo9jhQIHTTNCvLy9vm599y3Jtr - Uc8O27xHlv27rWgVLHtdx+z+lQsuPOGkkzzqqGuXFhcPP/xwEWnbtqqqlFIIYTX2e3+lHUPOuaoq - MxtNJr3lqqlf+b9+78KLL7JpMDfW5pqv29goJRMgCgSoQ3jfu99z1BFHDupGN+0+JSIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiL6nqiYqMZ6ULnYaDSqQ/SUexvnuclVo6+/5dR/uKW5wba3XZy4ZlXF - tORNxREMwSBIgiTSQRIkQczFUKq6/M4teRPMxuAwqAuymgkgUC/3q5i6WIpdW40nzeJ53/7SmRee - vhIX+ipl8VgPbr11oalqEWj0WGsPS+ZmsOQirhWW061fuPhzS7orD3ttoAnaT4v+XC2rARBXNYRN - XOJ3O2WBl73nEJg64MiCFb312wuXfeHSz47jomlObQohlBea6PvQZuzyQERERERERERERER0tzft - I2kJluaawVwz2LXrpu3bt//N3/zNIx7xMAHc0HddU0fA9ND9d37XdWWitLShzzmXOw/ZExARERER - ERERERERERHtrWS2pZTcvWmaEpM8nJtzDRniotnhItBgkGS2srKSs/d9VtU6RJjHGDd6I+jOtTaH - b5raHgNUqqaedG3bd6GqQhW7lLIbVLK5OSAKUYekbG3XjyetaCjfdn1qu75PGaKxqtsupeyQEKs6 - VrXGCFUXqQeNC3LyoFWMMedsZnNzcxu4K4hoa1ktTfb1QqLF8TP3v/8vPOABdagUEIiImBls3QJu - vcvqPWXNsqpLqTd77/tPhupoMlHVLXH+LeG4EjSEkFK69PLLz/rC5w3QECyXAvp1fiunBCDGoEAE - nvobT/mBo4+21KPslgME7m7xunsi2jAue5bR8sr27du3zw0f9PMPePKvP0kAFdT1Jjze2jrJ3Q7r - clXHrksOVCH+5V//zQUXfVVjqAfNysrKaDSam5vr+75pmr7v9cAXPlrKTVXHGNu2lRAHc3OTlN/1 - vpPfdfL7FidjEc1rHiyqe+V2r+n8IkATgzsUeNtxb/rZ+/+05BQh4hDYAY/nsvbEvc/5kIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIhoM7Oce6m8865H2jbckduksHouX7ly6Vv/6XW7Bt+5Pt+UaiSD - wiXnaVD36iJJYJC9FwBQ8Tu93lkNMSMmVVeIZU0pWArIszIvdSggMMBckEJ3i33ny1d87ouXn7WE - XeGwuNxNtu04zAwQg2TXnKRHlFA1ZggheNNdfs1Fl1534aReGWubDAGoEEJWcfiaTS5x5rqVS4hd - UELXFRY8ATCBWoTHXm0BN33qvA9dl77Z+7iph20/0WoT1jPSFrZX+efmxuhuIiIiIiIiIiIiIqIN - ICLuHmMMIYxGo9LseHl5cW5+8Pd///cPfvDP17UCcHcRKQHbh4SqNk0DoOu6qqpijCmluq4P1fqJ - iIiIiIiIiIiIiIiI1qqqyt1XY9vMTFU1xH/50pdP+9jHPnjKh0/9p4+f9olPnvKRj3zoI//nlI+e - +pkzz4QqVGKMZbJMY+i6bqO3g+50pRwrhKCqBjczAG3bVk1TDwbJcp+zBO3dxn2nTZXEJ7lP4h4V - VQiDerB9PissiNRR6uhRs6KH9bBqOEAMCd5m6837afC3TtquqqpYV33fT/rOVdx9NBqtG8FLRLTW - /iWkJtM7TfY8wLO99EUvzrlXiMAtZwGqqroLR/rdOVDVtcFjHU888cTRZFwuVimH4i3B3bP73Lb5 - d5xwfCp36Xc5lKto6jMAVTznOc9ZWFio63qf31k38JWI6PY4UGj0YDBoxxO4D2P9V3/+F/c56l7q - 6Lt0lw/wtvj6wd0AoEH6NokAwCQnc//dV/2v7D5aGW/fsSOE0Pc9gMlkEkIoV0WuK4RgZiklmwZp - y9nnnvOqV/9hhquG7O6AyPSorAeI1i653SllBV7xst9+3H/71TrGQd2krv1edwERERERERERERER - ERERERERERERERERERER0aYl2azvcjJoqKpJHof5/qpbL//w5955k149Ga5gDlngDktdiFmRIKaw - ksutPo3HXmfFpmJ3dnq3hlwHi+KAmCtM4QITmABeqvNMvVRsIyt0BxbjrtP/7SNfu+nCJdySm5Qs - qcYgailnSy4mMXjU7Clrt6K7/+XiM20wyVVuM1ygGt1C2XoALmZiLuWbrZ3nKw51FUcwSKnXgwIR - rqHSPra3yHVnnHuqb0sTjD0iWb/RQ6a7g1IBWhrFbJXwbqbWExERERERERERERFtgKBVTt1kMpmb - m2vbtk/t3NxcCGFpaWXHYdve/va3HXvssRdccEnf5xg1pUM272BmZlZV1crKSrknxlgCEg7RMxAR - ERERERERERERERHtJaUEYDg3104my8vLc3Nzk8nkf77g+dfdcGNGjqIlRzkCBgjw7hNOeOyjH1XX - dbsyspQHVR1DnXzLpIfSHVCFmHM2uIgYPPcJgMYYqsoFo8nYBIOqTm4QbL/HzqWl5Wv+/bpvfOMb - V1111VVXXXXllVfefPPNfd8vLi6WKdEQwvz8/D3vec+jjjrqsMMOu+99f/S+973vT/74Txx973sh - hHbSI9ugCibI5hCPdRXCIKWUU67qwRZKqyWiDedSapjXj2itQ/zl//Jfjz7ynrsXFkZ9FyAZ3vf9 - 3iGkd+E1G7IndVyhqwe7lFIIoe1S6pY++rHTjn3a01PbVjFu5uPh2h2ec4bqysrKKaecElWze04J - qjAD1kt8ddRN3U4mCjzsIQ/9kR/+v+fqJnW9QgHobM124Phvma6GiGgd4tMjySyXutzt4uj7vmma - lNLccOgqb37TcU/89V8vUdmb6pCy72BWD4zJY4w5JdXglpPb2eef9/o3vuHVv/eqW3ft2rlz5/Ly - 8mAwKJ/Jb2P9QbXtOoMPBnOLo9HC0uIznv2spckkhthbdni5oNHNRSTnPDtX7lnntOtKzgCO+W+P - /Z2XvbQJsYlVO5lUIZqZA5Dy+AOdZwWzs4kf+IBPRERERERERERERERERERERERERERERERERLSZ - WIg66SfVsDbk3e1CdZhctXzZJ879wGU3nTv84eamW33HIPTLuRKpask5ry213VMh68Bq+ZtPK632 - reO6U6gjAHDpSmK3C8zXpGjLtDpYHXB1QSeptYVq+/CUz7/ziCfsPKr5wbQcdzb3CCYwGFyCJutz - zi5m1eSymy649Mbz047OJMeAKkjqHG6AqpsBJhAxg8kWz+0GAGgwFQAwl1LVqHAzMTHVKDZYOe+q - L/7MfR7yoB98BCYhtzlovdFjpi3M907M8K0S3M3obiIiIiIiIiIiIiKiDdH3fV3XS8vjnPNwODSz - yWQCYDAYVFWVenvzm9/8/Oe/4OKLL0vJYtQ+HZrJyhCCiKSUduzYsbS0VL5lbjcRERERERERERER - ERHdSVJKVVWZWd91OefBYBBC+PRnP3PdDdd3cADZp5FuqmLmteI973vvox/96Ft237pjbr5pGpjb - FqrUoTvK3R3u7qISQnCRGGOfUzLTKg7qejwen3f++WecccbFl1zylfMv3L24kPK05LK8PaoYUsoA - ROAOB3D5ZWXlQUO2LMDR9zr6Fx7wcw9/+MMf+pCH3O8nfjLGYCrdpIPlpmkggIoIg/uI6I4oxcxY - TWktxyb3+cHwef/zuX/+139ZcrsVyJhWs28MWSce1tyqqs45D+YGb3zjG5/+lKcJoKqbObp7LREZ - zs2dcNJJu3YvpLJ9YZrbfSCTySQADrzwhS8IIcQYxyujQT24q4ZMRN8vVs8OCrhgMDdcXlwaDAZL - S0s7Djvs/334w5917DNOePd7tsbRFgCQUmqquuu7pqnatg/AG9543GMf+Ss/+aM/2nVdXdflksjR - aFSuTlx3JV3XNXUdq+qWpYUYm6c84zdvWVgIUfucAECgMaSuF5GoIeW07t+DCrjjP/74T/zD/37d - zh072uVRhqSuD019pzeHISIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLaCAK456qqknmvZoN+sV74 - 2NmnXHLDV+aPrr9z8+LOw8JoKQ81iiPnBMDWlnn5NK7b1uR2F7omwNvuxDpoM3GIrT6FeHlqnUZ3 - +zS9e7UcuzMMd8jC+MbOVj782ZN+60m/E/Phnh29Rm+yGlQ66wypmQ+LYfmsiz49GSy1eSy1qEpv - bjnPNY0lB1TcIMgKdahv+fRucQRHedGyIAsAA0zcUkJVhbGnpuk+efZpP/ZD99sZj459tdFDprsV - 9y3TEmhr/1MnIiIiIiIiIiIiItqiuq4LIcwNt+XkKSUzq4LMDeq2HbftuKrDve997xNPPPE+97l3 - 01TpEOV2A8g5p5RCCG3bDgYDVc05b5lpDSIiIiIiIiIiIiIiItpqzCzGCKBtWxEpGXXvOOH4Hp6h - rppLHZ9IFmSgd5x+5ue+fdWVO3beI9Z123ejyRjKKOW7uZSSiKiqu4tIVVUAVlZWQgi7d+/+3Oc+ - 91svetHP/Kf/9KuPf8Jxb3nzp88866bdt7bZMmAiiLG8kSYpJyABvSOLmEgGytKbi1Yaq+tuvOG0 - 0z/5e6/+w0c++lE/9/MP/N3f/4MzzzrLBc38vAHJLLtN8wKJiA4Ry/lpv/GU+XrgcAAq2jTN9Ger - NeQbTVT7rpOA8XjyjW9defbZZ8cYx+PxRo/r9qpivbCweOIJ76w0AIAg1A3kgPt2MKjLjfv+8A8+ - 7KEPFUc3Gc8P5+6a0RLR9wOZtgSZWg3wHo/HO3buRLbDtu9IfT9aXvmLP/vz+/7QfTZmlLdB1ixr - 7qhCjBq6vgPQtr0DBlkYrbziFa+Ym5vLOfd9b2aTySTGGEI44OpFYtSbb755OBw+9/nPu+CiS0IV - UrbyM4TKTcrDcs77jmrNd/c68sh3vvOdw+FwtLwyaJrJaLx92zZPee+dby7mq31kZiuSWR8ZIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIqItxEwrbazNjm4lLnz+8s9+8aqzVuaXR93ykdtrX/Jh0vlm - 4MhLI4QaDnjJc4Y6IjzCIyzC1V0xDc9WcShMywPvvMGLpThJsXOBA2oIGWoQN4VJSaH26IgljtrF - qqbK4hPt87bdX7/xnM+f/4kwl/u+9xRqbJO+Cl6LiDSw2H3rhssuv/5C295mTQERSVIPU1hwB6Rs - psPLpipc7tSc8judukWzYMiok8QsmtWymgWoIE9yUzdja6/L3zrr0tMRUpTICGM6VLZWvAXf90RE - REREREREREREG2B+fn55edndY4yqGkIQkZTSYDAAYGbZ+m3b5v/xHz94+OE7RXBoZ+7MrHwt7e9F - tvLEIBEREREREREREREREW1iIYSu63LOg8Ggaurdy0tXX3ftP599NjRAxNwgkKAOz+YOJEdVxeNP - PGFlPBq3k7quQwh7pbXR3VF20xg0RIMn8wRfGY+vu/HGV7361f/5kY988m8+4/0f/tD1t+xOQO+e - AXNABQKHp5zKGwkyvbPc7/A190iy3KXe4CFWDpn0/TXXf+cd73rnf3/KUx700If9+V/95bevuUZj - NFXEUIL9nPOoRLS/UpsOYE3Recn+LPGfaw8d6gjw3PX3Pvroxz/+8QI0VWVubdseYO13Yh37lAM+ - 3QKb3bHnhwZ3GPCOE47vUq6aev8j4camnK49OK+OpJTEX3r5ZZdccfnEskNENU9ajVU5FUwftmZj - 20kXAAGe/fRjtw3n3d1dUkp77w8iojvO9z6grB6yYozLi4sI2nWdu2+fm5+rmze94Y2l4H/zf/xM - OWXLKhpDLNtU2qOcc9GFr3/DG5vBMNaVC6qmNrNymeK6NISF5ZWdR97zr/727z5x+ukq6PosCqjC - HTmX340aBD69fFIMmO5WAcph/K1vOu5+P/5jQaSqqpTSYNCsLC1VVXXn7wkiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiokPDBCZWSqgEABRQE6DcWUqrZnc6IIhdsjCUPJhccdOFZ5z9UdzDRzpO8H6c - h7Geq5qVpeUgvnNnHE9Wn0fh08Wg5dvVp9szGMD2bbag+y/qqo69v+61TOvl9quac4HrtGRYvGRp - KwCdVmobAIeW3VJ+vev6lLLW6AOqw/Gps0+74Kpzfb5H9KBIubPciTuQb+luPu8b5+a5fpy7GNVz - FmBQh7oOo0mHtXV/DuxbV7zPNs7GL2u3Ys3969t3L01/5bvtz3XX5aJrlwNGD695k+iaTTIgNJKS - JeQujr9yxZdvmFybmtbEsLqHoeUlKAM0gYnuvU6idWzFYAtGdxMREW0i5cOEiMxuQFXd2feHiIiI - iIiI6G4opVQaROac3cQyyoRlarsoWqmI5UEd73Xk4e866fijjjoCs5byUQOgqnGdqTXZfxpvX2sn - M1Q1xhhCKMMA4O7l/yLc/Tb6ZhIRERERERERERERERHtY218pou52Kwiz6qoVYiTyWTUdWE4eO1x - b3BFsgzkaYBottVoOwfalE7+4AdSSiLSdV1dBbkLokzpkBIX8enk5TTQVuCCZH32pArAVLxrx4NB - nVPnkM4sQaSqOvNPffazv/msZz/gwQ8+7h3HX/2dGzLQZmQgAW2GAxBg3aus979zNgG6WjLapWwQ - g2bAID3w7zfe+Np/eP3PPvjBz3n+Cy645NLePQsMcJE+p1hPJ3ajhqixm3RBQtQIg0LNXIT1WUTf - RwQqsys0yrlLHOrTr0U54ghc4G552/wwpe65/+PZCrR9j+nFGwrXWdHy9Ix5V9anOuAwx/TZgygc - pWgbwGkf+/j1t9yy0vcSQvbU920IIo6oQcx1dmHKPkHad0Gqt4h2XS8ig7rpxpMqRAAuksTe/s4T - Rjnlst/N4EDKOrtSZnruKAXkgAI1ZAB9+lOemifdoK4BaAwucPHyYqx9PXy/zyKlb8CdvsFEtDX5 - 7DBSWoesXuEnDneLdTQYFIC7ZVh+8M//wktf/MJydCqXCAZRQEXCbTTduJO3Yc2y3x3Zrc9per+7 - AYD8+Wtfe+k3v9mZeQgr40kzHJgZYPAcFJb7po59N4kaVBV1k0P1oY+e+objjuscCArADZhetWju - CUCfekAdstrjo65jAMp+ef3f/e1//sWHp0lbQcUN8OwWm7r3fs1en/UN2es85YC77Fnuor1KRERE - REREREREREREREREREREREREREREW42qqurq7Y0dDN0t2bRHh5fSNHUVV4O6wDWZ9OIugHhwF0PO - CtdBUhmF3Qty7SmfffekXpjkSYg1tLYgGZPk47qCOHKboq5WVxnETJNpgiRIEpi4SansEnOxLJbV - SgXxntDoWR536aKvruqrdVt7L2t65JdC3GmG93SFKKXM00TyaSuKUuw8zS8vwzBNVkqwHeKogWho - BMmwmMejufYj5374xuraNBwttbsGc6bSejfuvV0ajv7tyvMyPMzWJm6WMlKuI6bbDogjZoQMccxy - rMs2RvfaEUvVte8Z9qyq2hVQXd261WW6j3TPYlEtitXqax4/eww8wiO8nt3YLyy85HaXIe1ZVmvB - 9wwpK5IiqylStBQNMSMY4NAa4+weclWH1OVdizefc/UXFgc3ISRxbVMb6+BZNMdGKs3TCmuHmqi4 - CzIwS/ImmikJm6UpULmx0SO6vfhWJiIiIiIiIiIiIiLaRIbDYUop5+zufd+apfvd7yfe/tY3H3HE - Ye5o6qYkapeva3O4byeftapXVTMrUxoiEkJo27bMc6wGeJc8byIiIiIiIiIiIiIiIqKDslf0aLZu - PBGRZjhwkZtv2fXJMz7dGXCAma4Y1R2LS6OTP/B+VW2appTr3CUDpztdVVVVVfV9LyIODIfD5aWF - 4dycxKB1M+m7j33y9Mc/8Qm/9rTfPPNf/rkHMmBALtl6s8jVg66H8tUve93nUINrCJO+y8BgMPzw - aR991GMf86Rfe/JXL72s7TuoDOfnb9m92wV1XU8mEwBN05jZaDQysxijiHBelej7yv4HIJ1Vjxe+ - 5pQljiA6Gq0EyE//9E8/8AEPFCBogG9YRKgc4AxcLiAJIcQShq3hjW9687Ydh/U5SQx1Xeec1SHm - 7i7lIL4RRLVumtyn5eXl7du3W5+6rkuWF5aXPnb6J6QCFA6PVSWAmynW3dmqEIM//alP2za3PYZg - yVavllnL+QGEiO6oaRsOAFhtILL+I8Uh7r/z4pfe/34/Ptc0CmTLIQSsudhv8+vgXbYXvfQlUtWh - qupBMxqN6kHjZoO5uclk0jRN27Zzc3NmNpqMF0fjb15zzYte9tvLbW+zLV23g4cBgEnpauTouxRF - FHj5i1/8lF/7dfGS7L0nfdvFtsxeIyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKaFbSWaqm1bFYW - rI6wGiQvktWW+yU9LJ961ofauNjqSlbLXloowPYqJ947Fhq237Lm6WRPt5Bp1HdZJJW0bVfD7Hdc - SsK3TSOuEdeEfO8pr7N1anX1tmvupqHds7GJQwUC9C1ygkf0VXfd+JqzvvqZth7lppVgbl1ThXqg - X7zoC5M4MrFZgwnDXiV+tt89ex422+W25+ueXQEpN8TUp90r9vz6XhsyW5VY2Uvrb+T0YWu/7k2w - zoslJWcdkOkrvJrebYLpC+hQ1zK2ZDAg1KHLfVUFr/I5V/zrbtwwyishSD2o+74PkDpWqe1ijD6N - DJ+OX326FUT7W20EtIUqYRndTURERERERERERES0ibRtC6CqKlUNIahq27Y/+7M/e9xxbzrqqCPa - rnW4wKJKjHHfX/b9+s2vR0RKbnfp71nXdWko3zRN13U5ZzMrjykPICIiIiIiIiIiIiIiIjooa6sB - 67ouk1MlEfM973nP7t3Lt5HEnbMDcOC97z950nfZPRt8vWo82sxmUYU+u+mlcLHvs7t0KWusoJJy - 1lCNJq3G8K9f+uLjn3DMsc959rlfORfAoKlDmK5ittLyjQE2vX07l3VJqZbE6qxo13UAerOzv3Lu - I375l1/80pd9/ZvfGk0mhx220w3ZvB4MRpOxC0IVB3PDWFeTru26tmnqO3NfEtGW5+51XTdN84Ln - PV8AnZZCrzma3ZWDuc0nNDMzA+DuJ3/wAwuLi+6Aq2o0A2alsxtYQFtGWNcNICklrWLV1FVVfeAD - H1xcHMPVDRBJKZWSc19nc/eUyj/jGc+IMcYYVy+VuWu3hohoSoGj73XUP/z9/05tF0UqDX3qAZPV - 1h1rWqXs1TVlc3AgxGpi/ZfOPefk97+/67pyNun7XiWOlkaqmlJSiaNx64K5bdsWFxef+cxnLq2s - qCBGzdlF4HmdNTvMAc+mghgkANn9UY/85d/+7d/etm3bBmwtERERERERERERERERERERERERERER - ERERETnTebkAACAASURBVNEhJY41pWMGSa7JAXiAV+IOGKQTyfCYISNZao7EuZd/+dJvXTCypd7b - ehgysmuJ056GcLuUktqDrJ8VBEcARCAyG1bpzyBmIVlIKaQUbLqoJdWkmiQmjUljlphFs2iWPW0f - ZmnZs/DpgxySqEhGdFQaNPhKv3D2V7/0zZu/Jtul8+yIHqQPk/MvOzuF0cHWb5cMcpEkkkQ6kVRC - zMUhBrXpVwW05GcDYqquYiqmqxV/JmZipimXJXQ5dCZpuvl7HpkUSdFBypIgaU+A9yz/PDgUpkiC - JEiKFNxKDPuepi6ucMXab6ElA75kf4vAkSCda+/aXn3dN7/27YtlmFofi2j0YGaq6JHy9G0yjf2G - 66zRC9O76YC2UG43GN1NRERERERERERERLSpuHtVVTnnlJK7N03Ttm3XdQ972MNe97rX1bXGqACy - 5ZS6OzAnUVWVu+ecAeScq6rqui6lBEBE6roGEEIQkbZty8OIiIiIiIiIiIiIiIiIbg9Zb/Kqyym5 - hSqO29bd3/3ud8eotzHN5e4aggGXXfq1c8/5St/3IQTfbNl0dEeVucjBYNCl1PY5iddz89+56cYX - vuTFjzvm8eecf56qJkBVRm3nd36RVtM0XdcJREWzZQB1FcdtG4Oc8rGPPeThD3/t3/3d8milnh+O - u1ZU5+bnS+5gCSNU1bquy20iogMJIbj70tLS4x73uP/r3j+QLevmS10twdXmltwcSJYWlhZOPfVU - jdHM+pxExFXMLIRgZht1ajYzy1lEmqbpLeeczSy5HX/88XPDOiUr2wIrLQoOOEqHP/gXHvRTP/VT - nvqS2L21CoOJ6O5neXHxQT//Cy99yUsCpHwurarKD7I/yAbqUl/VTQj6qle96pprrgEQ61pEoAJg - ftu2ru+z27Yd25fGo5Xx+MUveck3v/0tB1Q1JQtBpofhtVu8d0q5Gzy7Aj/1Yz/+hte/fvv8/Gh5 - RbfMHiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOpCSl6wAIBnI07xkr+G1IMLNvHfr3SVJzs1o - t137mS//kw/7TsYeYcgybfmh8GledknvPtiiYJ3FbAdDsGmMdLkH65ZIi5lYVpsGV4tlNZ/WiqmL - 7rPygy0Kc0F2iGgQqUOAu1kKw7y7v/6fL/n8SlzqLGfBWMZX3vjthcmNJhPXdBBPIPvWsk3HaVBX - 9QhE9bhX7K8rplnecIFDbZr1Pdvhsxuz/R8dsawVsPJ0pnue2nX6K2sHhVlEd3lUSeOeLqsvymwX - OWCi+7zWqogBqfMQ0du499FwZ/jyBf+c6rbFqO/7qI1n61IXa0nWA9MXWkuhtjPpmNaxT1X+FirS - 5xuaiIiIiIiIiIiIiGgTiTG6e9u2dV27e9d1dV0PBoO2be9//59+3/veW7oMlwBvVQA2nUMFAAUU - rrcxodX3fcnnjjECSCkNh0MRASAiIhJCWFlZAdA0TQjhTt5cIiIiIiIiIiIiIiIiuruZFQTOZqwk - mAtU+pzOPOtz11x3fUomt1HaN/tRn/PxJ54gIYQqlkxN2lJ8NXZP1tRhqupkMoEGFxn3fRwMX3fc - mx74kAe//0MfdhERSWYO9OYqmr+3ROz1CiTLXQaZrrrtOwgMLkFFA0RTSg602R2Isfrb17/+EY/8 - 5Y9/6tPVcDDq25XJuO06gxms61oR1HXdtu33NFAiulsTEXeftONtc3NNFZ79rGNl9fC4sSPbm7tP - rx6BqKgDCn3729/e973GkHMu15AkN4lhAwtog2pd1+Px2N2Hc3OjyTiZf+mLX7766qtH4w6ACjxb - XdeO6Rbtr3xMOfbpzxgOBiWJvJyzcs536cYQEa0xqJvx0vKr/+D3f+b+/1GAAPR9ryGs/ey6mYnI - pGu7bMvt5NV//Ecxxr5POZmZaQxt2zfDuQRfaSfN/Pwf/9mffubzZ/XmzbDpsgFQ1T2f3n2dAO9B - UwVAgZ3bd7zrxBOOOuJwcdQhYp3zqbJ/AhERERERERERERERERERERERERERERERERFtFeqzNh2u - AEzMpZS+qlsU1KoRgHt2ZBFB9DS3dMa5H71hctUKbvHGpMbyOEvQUopmUtYDB7LCxQ4uvdtVLWqO - mqOaBtOS4b26REOcRXqXwSuSSKfSKZIiKUqStYmbuKnr6iJ+R+q/3EsVsMI1Z+tSHxvLg/F5V3/p - kmsvknlNkvumP/drX/Zm0svKmqb9t9uswq2EdoupeBSPYlFcZVbyVjYsq6UwXbJaVpumdM9StPep - xDaoIXrZKTr96muXfaK+16xiz35ec3udXTR9iXV1EUcQBMAdLkBMna7U2+WqG674+vWX6DYDkLPF - GLK1FixrBiCuOu0bA5eyH7dAhSNtiAPV8m9aLD0lIiIiIiIiIiIiItpEUkruHkKo61pE+r4HsLKy - JOrbtm27//3v/+Y3vx5ASnaH4wlKA+Wc87Zt29y9tDNeTTsQkfn5eQB936eUDslGERERERERERER - ERER0fctVY111aU0HA5f97rXlRKw26i+0RBKcGZU/fjHP/GdG24wIDtLue4mRCRUVbIM1VsXdv+X - Rz/qr/7utaM+V02d3JN7BqDQINnt0Jdp7b8+9xCjqqaUsmVzs1JDqEjAKPUaw7evufrJT3vqn/3F - awyY2zZfDwcSQlVVErTrupxzjPEQj5OI7kbMLMYYREVkNBo99alPPWzbdpkVdm6qatRy1HW4uamo - wS669JLzLjgfQaEKFZdpwrfe4WtWDhFVzW4pp1DF7YfteMvb3toZDAgKMwAoV7ysRozvqUD36T6/ - 9z3v9Su/8ivuOYTQdS2AGKMZP28Q0cYQhzrmBsPUdW97y1t1duCynDd4ZLefisbgQFXFT57xmfee - fLK713Xt7gYfTcbZfTg/1+X0vvef/NYTT0KQuo7jcds0VYza9xlAXD+KGwD6tq8gjYa3vfWtP/Yj - PyKOdjQugd9ERERERERERERERERERERERERERERERERERFucqpfyXQUAmVa8uru6iqlARV0CoDlr - e+Wur533rS/2g9EKJh6RFaGCe14tAC6/7wLA7CCrsEyQJc4SuqNBbb3E29UY6eBQIDp075zpEv+8 - T850GdLBDQiIUcwAqLuIAIKkfacri3LzFy//QqvjNEjLYeHSqy/qdEWDmRx8ybBjncxtwMVKILcJ - bPVR061Y51nEZ8ssDRyzPO8sOo3l3ueRJSx8loMuXnZnhKtBD7Qls8ebwMpQZknbe34KgxmqCikh - 1mrBF8a7MJ//9aKz0qCLdezHfVVVEtBah0pKeHyYvt4KCMRd1osKp+9709YE7qsV/Zsfo7uJiIiI - iIiIiIiIiDYRnSmJ2oPBYDAYhBD6vm27cd3Exzz2Ua997WtCgBt8T8vg9Wfp9hdj7Pu+9MRcXl4G - ICKl+3DplRlCKBMeVVWxxTwRERERERERERERERHdAaU2DFBAR5OxqrrIxZdces75FwCoYrVPMqas - iWdbTc2UoAk4+QPv73NO5s5Mti3G1iwA4CKAjEeTqqqT4d3vfd/DfukRZ593we7lcQZGbRfraEBV - x2zI2QeDgcP3enMAwP533O7xiK0zr6qaUzJ4PWg0BAdKMaO5aAgG9NknfW/A64970yP+6yMvvPji - pZXlZNb2KcYYqmhwCazPIqIDKlnXVVVNJpOqqv7DvX/gCcccs/YBq8e09aq57zqqmmcBsQa4TKuo - TzrppPFoohpyMkCgmnMuF5ZsCM9mKdeDxt3Hk7YeDC/72tc+85nPABgM6vIYVTUziLvs/YmjVPgD - Cjzlyb9xz8OPyDn7tEYfqrqB20VE1LUtUh7UzQ/d5z6v/cvXCKCrnTk2/8FJ4GaWs8Yw6ZOIvOoP - /vDWhd1t6kVDXTXNcG7SdStt+5ULLnjZK16pVUjZuz45MGn7lAxAHaLltO7KZ1/8r//yNY98xC8p - JHf99u3bc0r7nz9dwD8eiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiGgLmeZbu06/upZwaCnNDxyA - qkRX7zBZzru+ePGZC37zSJZkgCToEuoa7lJ+d7qeqfXaLNwmF3TB2og2WhutD9ZHS4oUYLL3Mltv - ndAk1Al1RmUI00WD6WparonNloPbOeIIouLQKEmyB0UFdyTNsq2//PqLL7r63H5u/PWbL9uVbuh8 - onqQRXmuyAPkIaye7jqBq7km1860M01ZYKImMUt0qDjCukvZcEdYE8sNwMWymos51EVLVZwaQp4u - VdYqxSrVdRpUuYZXGeW5oiNmUYO6w1z3qqhbLT9cd3sdmIWuiyDDJGCUTebkiu9ccu3uK7Oaqjoy - griYubtAXVaHTXQ3w9YwRERERERERERERESbS+lx7O4hBDMbj8chhKqqmqbqum4ymTz5yU/+4z9+ - NYC6Puj/508piUjf96XdcIzR3VNKAEIIXdepKoDyU3fOjxEREREREREREREREdH3pGkaF0lmb3nb - WwE40Kc+hHDAeFIvdWqY9CnG+LZ3vD2ZhSrelWOmQ66E5zkw3DZ/4803vfyVr3jZ775yYXkZAhdI - gCjaLjnQdSmEUNf1pJ3Eqjokz35bZZVmCAHuXduW2HgvFanwbNkF2Q0hZMAFl379il99/DGfOuMM - qErQPmcXwZq8eSKi/YUQ2vEkpTRsGpj3bfvsZz+7gsgmq+2cXqmiAUAMMVsGYMCHPnLq4vISVLqc - RDWEkFLa2OtJSsp4NquHgzanD37oH5N5CDqZdOV47O7lepj9xymz6O5nPvOZOXVinnNumqZsPq+T - IaINtG1u3rOJubsf+/Rn/D8PemClCts/mXqzCgqB5Rw0ZPeV8ejlL3+5qkoMu5cWNYZY11ddc/Wx - z35WHDZdn2XNX4Qqiv0vVvQ1NxyV4LnPetaxT396FWLuene3nMu1jkRERERERERERERERERERERE - REREREREREREW5yJA2KAzsphATFRA5K5uAVDNMHYFxf7Gy/+1vkrWELjSQCFGfoOQaL4rJbWgdJp - QXBQud2Fi2VNrslnYdsue1e6eYkYj/BaLIpDbRZWbVBXtTgr6i2bh+mA5CBztcuvdxkZEqXzbPDS - p0IVfRgvphu/fOnnV5pbv3rdBW29YpIOulzYFV7Ba3iAa0klL2MuG16W2VaYiflsE8QRcoyprvpB - 080Puu1zk53z453zo8N3LB+5Y/nIHcv32rFyr22jI7aP7jE/Pnx+fPjc+PDh5PDh5LCm3V738zHN - xzQUq8UjoLMd7uVJsyArHOrQkuSdJRoiXPds5WzfTvPfpbzcKq5iiIq+RYyYdHBFHGKUx20cffP6 - K8ZppZ5vum4CMa1il9OeVa5mybuIH/wLRndrW7ckn62LiIiINhF3L+1+pDSnc4QQNnpQRERERERE - RLQxVpsClwBvd5coIh5jcOQn/doTQwivfvWfljmrMk3RNE3btt91zWtnNUpod3m6Ehne972qqurq - AMqNnHPpa1xuHPoNJiIiIiIiIiIiIiIioq1MguackV1EpjNNAqhkM1W5Zfetp5720VLgFTSknNdb - Rym6M7g3TdO1bZ/TzbfsPvW0j/76E/97HULq+rqux+NxVVVmFmNkZPKm1QwHi4uLdT1wd7jVVd33 - CSIXf/XS573wBV+94vK6bsZd6w6E4DnvmcMUZMslMjb1PUQw+5kAMWpKFqP2ySCQWVHm6hxojDGl - NCstFJ9Vf4YgOXsIks0hqOuqa/vpM87ejWUlDsAd0NWCz+lgHArsWlp8zgte8PJLL/ndV7xybjBI - KbV9H0KIqp6tqqqu60pkbM65qqq8/ludiL6PlGRoqPR9H6tq0rU/8WM/+osPf+iZ//KvMaDLABBC - yDlDEGLMffpuq/zerL3QZA3LWVaPeDkBKMX9BrztHW//o9//w6qpU5+CajYPQf3gq/QPCRHknOrB - oM2p7bvQ1O9693t7AHk6HgHcffV6GJQPHpYxO494ssc99jH3+Q/3dncVUUHf9wCSZQm6NfJxieju - aDwezW+bX1perupKY3jLm4576C8+3MTKaaGcKcrfWVVs+tTfgW4pdy4zqSrv+uxWTh9nfPazH/4/ - pxxzzDE7dh62sLTiguc+/4W33LrQmWsUy7PWLw5zA9Db9JNzUzdt10IEs78EguKXHvrwP/2T/y9q - QDZVbep6cXFhfn7ebN8Dt/BITkRERERERERERERERERERERERERERERERIdCKecpTbnLPe5+R2KH - iW4PMbgCgEcTE88uHmLObQoyQGySYIJx3J7POP2jna6gyj1cFDkhlndlNoEGm8Zjl2JgvSNDsQAT - QB1Sejo44LAMhYgIoIIABHcvYzavHO6AiBjgAofbLDXckQUu/z97dx5ny1nWCfz3PO9bVWfpvlv2 - hZAQQpBFBZEMCMLggoIKmqgIJoQgCDKCzmAUBQe3YRxHh82gCJFA2MZRdBjFDXcRg8giiwEVSAJC - SHKX7j6nqt73fZ75o0737dzchNzLzb23k9/305+k7+lz6lTVqX7P6XrreX7Dxjnch+qxO7s2OuSR - R/S5kwoZDkEwANDKSz3/2Gff/6l9D/nETR/pwrwOTV86XY/fvpNi8FJyQVI1AOZwQAQisRTP2VRL - 3ah7yQWqKAJ3aInBIkoMuamsrm10rxPO2TU98aTtp+2a7pzWy01s6tjEGNsy66zvuvmsn+1d3XfT - vi98YfeNe2Y3reWVXuc5dGiyhdyjNcAVljAe1X3pU0Fdq7lblroald4EqgBkqKS2jV1kAohBAFeX - RZ2dQEu2GGAFQTFU44l6p/MPXHvNo875tnZ1FiK6vsdUg+hieWLHqpCctgRVHdIrAIQQ+74HNveG - OX4xWoOIiIiIiIiIiIiIaAsIIXRdJzGEEGaz2XQ6ffzjH7979+5f/uVXAqjr2Pe56+YhVCKyuQHx - nbQx/a+qZjaEHAwXB7RtOxqNYoxra2vT6VT1sCZbiYiIiIiIiIiIiIiI6G5tY4Jp/3SSDLVo0Cq+ - 4Y1Xdak4EDQkKwqx2ymk0xCslL7vfT0s+W1v/62LvuvCUkoIYe/evbt27RrSkVU1pRRCOGrbSHfe - jTfeeNJJJ6VU3N1gqeSu5D9595/+5xde/sU9txgwvMQhxpIzVGGGg9UpD1OWS0tLbduWnHO2qgop - FQBBwxCMXYU4pMwGDSVnAeoQ3d3MsJ7ebcV1yP5zwNG3SQJUteQvVTG4HgPuDgNGVSwp/8orX3Xt - tdf+6qtevby8rHUdg0oxcx8qyqbLy/O1tWGGN0aWbhHd01VVlVIy86DqpQTR6WTy9Isv+Yu/+dtS - MKpim3IpJcaYrZRDv97jLjUU5L/xzVf/+I9dbn3aPlmara1t27a9m8+PSWcJcVQa2lJSSqPRaLWd - /97vvuOmvbuhsNsZzgVSrFRVlXNWcRRT4LLLLsOiUP+4rwAmonuMqqpW9u0bTSbFbDafn3X6mf/9 - F/7bC154eV2FlEopZbiub3hbCRry7Q18x4qqpwTA3QWIMaacf/qlL/36xz42m+068YQLL7ro/R/8 - oAExai6bVl7gfqt3la7vgEVsdxU1ZXvA/e//6695zfJkOpvNmqbp2jaGsH379vl8zr8HiYiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiGjLE/NFzLWux20XgZU8j7FJfRGRXKGEcu2/f+i6W67NoStqLnAB - HArAVVzVATGFYT23e7iDHEpB7XDnSgFHybAMAFVEU8WcDK4GNys+5HiLQ8QhuVh2g0NURdzhBlcF - sN5KYggCl8OJ+F1EUwMuMIE64BDADFrneb3nLz/4R9fd9K9e5ehVRJVRcOfDpyWnsioiqqIKQMUd - gEjo+1xXddVEt+ypKNAIRlJ5O/YuBAtLox1nnXz2eWfd7z6nn3/qttOkqxuMGp9Eb7SoJBVXUTdp - C5ILLLhHyZJan7c++9zeGz7z+X+59vqPfm73dWvtPqkiKlMtOfQl9zEgRKRiACT4vJ9VWqsrAPX1 - ri3rtXnqEIeL2fBTAFDA1HUIU8d6WrqJJZnftPLvX1z5bBPHKiFa7IsJRFyHnbw4YORww9+Jjkvs - /0JEREREREREREREtAWklOq6NjPPpVJRtzPvdfrFlzxtNpu95jWvyykLIBArqapHh/cUQ47CkHlQ - ShmeTkRsvcvndDrFerY3A7yJiIiIiIiIiIiIiIhoM1/UngkAdZT120OIu/fsffNb3z5kdRf3ob4P - WNTC3WZBCncXizEOMcx/9td/9ZGPffT+9zl35/YdXdellNw9hJBzjjH6odbk0VFxymlnzFZXDXD3 - qqpTyS/7pf/5iitevSjQE81uVVVBpUD31zwufrx/OW4GYHV1VTZVDCqgUXMuAoQgVnIAQtBSShPD - eDwWx8rq6nD/ADgwruu2792xPB7N5q0BXlA2IgMPGkC7cWRt+mmbcgAU+IM/+uOLvvcpV77+N846 - 66zZymolMqqbEMJsNtu7e/eQNT5MuX6Ze5KItrpSCgARiTGmklU15/TNj/+mc+515vWfvaFLi6zu - YzhcbB4CD/Keqrjxpt3/+7d++9KLL+m7NsaYuq7v+6qpD3iEH5Uw71JKgBgAFY3VG69+y7xPBwzY - smnNHA4gpaSqZq6C884959Ff9ygpBZt6DRydlSciumMhBMBT7usYNejFT33qn/7pn/y/P/wTBaqm - 6vsEwMxiVaWUjvXK3oYJoAgixYp7n/Okqj9/880/+eKXvPpXf/WnXvzid//FnwMQSM5224/fB4zk - TQw5Fwei6PYdy1e84lWnnnzKfLbW1DXcmqY2KykZc7uJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - 6G5gU0KyAgJXiAAGMaBIQBHr0OVxf83H//qL7efKUhoyuQfiClfxYUm2ObX6kEK7NwQHegBogsQm - uHsppe9zjOowVXExQzHz7HCHKiRi6FpfSnKHCFSlmAsWSdtugCIAIpIPsU+I+HoM+fo/xaLCcm8x - wJf6D3zqmhZlUmmeWZCIUO5weQcsHVCIuqi7iVvlQ0645KZSL33JqBR1CNajZBnZzp39Wfc/48EP - fsBXnn36OeNmqSRHr2FvbOIURS0BJgZVBAekWBVGEZUVmABBm4ix7vBgJ+48/bztD/j6B3zjarf7 - 2us+/qGP/sPnbrw+x7V+srev2mzIgqBAAARNUMsZUPdoAsWQ4b2oUd9UK20mmw+nA+v3XODRbtp3 - 46e+cO3JZ58R06SWWnKnGhY7182FjTLobojR3UREREREREREREREW0OMses6d6+qquu6vti2bdte - 8IIX7Nmz521v+z8ARD1I7Pt+SNc+pIVXVZVSGhpGl1Kqqur7fuN5Syk55yH/oKoq5nYTERERERER - ERERERHRbYkIHO5u5nD3IOYA8O4//7PPXH+9KwA1N0D9YNmgAyuLErhcMgCDCPyqq970il/6pXY2 - m06nbduKSAjBzEIIpRxKyRwdLXtuuaWqqlhVXerbvnvRT/3UVVdf7YABDpibAyklCOCqIVg5+Pxm - iLGUUkVNqdRVEJHUZwCerRYBcOrJpz7qUY+64IILHnj/rzj33HOXlpZCCHDv+342m91www0f+MAH - PvKRj/z5n//5DZ/9bIHP560Aoyp2OdvGYei3k959aw7EIGbujkr1mg/843d/z1Pe8Y53nLRrp+Ts - 7vv27du2bVsppe/7qqqG9O4jtEeJaKsaLrQwuJmJI6h0bTcdjS/5/ot/5mUvE6CJocvF7CA5pseY - AEAxNEFf//rXf+9F3z2KIXuGStM0dvtv5XepUkqM0UXm8/m/XXf937/vGtGNovKDGzWjedequAPu - eM6zf7CUEoey/E0bIc4AbyI6llLJzXg0XLM3HY/37NtXj5qXvuSn3/ue9660s7ZLvn6N3yFeGHi0 - DK1SSnHHeFT3bd/lZMBv/d7vnnLG6a++4gqRod2Lqw5/FR6chuDFUi4CKFBSfu2vvuaCh33N2i17 - 6ioCmM1my8vLwzd1XfshtmghIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjq+iEHcXIIPQctD5jUA - RA05laAompK2N80+95HPfMBGbdEeQ1qzqwD7c7vFgNuUoB16DZYIJIqIeLF5yigIAVVVdX2CwAMk - wAUQDL3q3eCGoWDaABHECA1uDhlKln1xN3MRkUNNFHeBCWRIBPf9udTBLTtQp7b1yTLUNbuFcMgF - wyFABG4oxWFQVYGLQ83UERQhBazVI1++16n3ffC9HvbIcx43zdsrrTBXX3VFqKpxHarUFndxdxOo - RKi4F7gqanVzEXcpSSw5xFxMiu9cPtkkL+nO0+5370ff77Gf+sy/fvjfrnn/dX+xarszUq5yCcgO - ExSYDHntkh26eFkdCpRNe9QF4mYCdd0IhRcHoIC5AGIaJWl77Q0ffeh5/yGlKqASC0GCOwyAQOBY - JKarCTaHwRMNdZ0iMny/hco8Gd1NRERERERERERERLQFNE3Tti2AIVRbRGLUvu+qun7JT//U3r17 - //AP/8QMVSO5GOyQJypSSnVd930fY8w555zH43Hbtu5uZqradd0QgXAXbBwRERERERERERERERFt - eSIiInAXc9n4t8hsPr/q6jcVwA0hiBcNou5+B+ndUIFEFAPM4VHD77zjHT/74hcH96WlpdFo1HWd - uw8TZ6p6u8uhY2cynqrq6nwWqviMZz37ne/6gyG3G6ISNIqISN/3cMSoOScAslHyuenQKCkDSFaa - puq7NLzaTYxe8hO+5fGXXXbZBRdc0FT1MJWZUrKcKwkhxkrQiOy6//0f+qAHaQzz+fyT//qvv/M7 - v/OaX/+1lC2lLEBQmB3kSQEcpHRQACAXF6BpRn3XAvj4v3zyP37jN/3+7/3uOWecUVXVdDrds2fP - 0tKSiOScJ5NJzvkI71ki2mpCCCKCYtmLiFixuq5zzpc8/eJf/KVfNKC3AiAEKeai6uWolC4PJee3 - 6Xn2fgAAIABJREFU91Z864L0vtg/feyj1/zD+x75tReIhJxzHSsrtx3fhjW/a9+Xh/1pZhLCVVe/ - aXXe1k3T9R188/Pu34cOzLtW17do2/LkSU96kripLO6vDmNiNxEdH1LJLogxllLGTdN3/YPO/4oX - /8SLLv/JnwyACmAOqLtrCHZ03i/uJAcAUYW7w3MyiOb1fO5XXXFF0JCsABo0ZCtDj4/9D980DpdS - giKKlmIKvPKX/9fX/YdHpHm7ffv2rp2nlCaTyRBwXlWViGyhng5ERERERERERERERERERERERERE - RERERERERF+KDPHbEAfUCtyRzSz0Mumuef/frGC3N72LAetpzRs1tmLwod/HusOqvnJBa8juAV4F - hDqqq5uYYTyamuVsyZKZQASqCIJgEEMBQhCNUmCpoJ8hhEX1mAAQuAug7oDonU+DNsEifxoW1h+k - MEBDqLP3s+LaQApyn1Wj6x21MzkoNZjATdVFg6hCkcRKKBiJSKsxbbvPKQ/56vMedc4ZX3VCfdK2 - XFdB1UVURNQKrC/JkkqACsRdxNCbw72ISbIYJQZEgSjU3QEBbFJP8rxLjpFOvTfX0fknf9W5Z97v - K2/5yj993x9c/8VPe5X3tjdJ7OM0rLRFIyAGbLyyKg6HCXQ4JDZeQQC2vocXxdQOiK2XmFs10k99 - 8ZNrvq8O09J79EpKKAIXM5gCggyPwBABDqZ3090A+xMREREREREREREREW0BpZSh+3DOOcYYQhhu - GX76K7/yK495zKNiRNclACKH01R46GJZSllaWnL3+Xw+3BJjdPfRaOTuw5LZX56IiIiIiIiIiIiI - iIjuwJCjPIRqX/vJT/zVX/3NcHsprqrm5rj9+SwRuMMMAgnqQLKyd3XlLW95y86dOxdpneuTVszt - Pm6VUlZma8XtOy+88J3v+gMDTFGA4pZLTjn1qR+OEzMTbKq3vDURDJOfXZeaphpe8cc97nHv+au/ - ft2v/fo3POaxtYbg8JTX9u7zlMexRipp1gbDpG6aEJFLnnd1rB7yVV91+Qtf+Il/vvbHXvjCad3U - IcCggBzseW9lU1mmiEBk3rUGiAYR/cIXb3zSk5983XXXraystG27c+fO+XxeVVWMMaV0xHYoEW1Z - qlpKcfcgGkIoKVchiOPkE078vu/7vj4volclKA6z/v2uFYKoIptdffXVdV0P43DXdcd2rUSk67q3 - v/3tDnSpx+1fJzN8ZnBHKS7AxU992vLyUlVVAMShx+EeJ6J7quGNAICZlZSD6Khu2tnsBy575pOe - +EQBxJGLxarSujIrX+oj7NEmIm42XNCYSxYRCaJVMMAACSFoqGIlIgJEDbf7IVxgBlVV4DnPfNb3 - XHjRrm3bc9evra4Mly/WTVNKyTkPf0oc3a0kIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjrCbL3E - 2EUX/4epmzq8hKaeGoqFtGa73/eRv252hKRmB2nNYBAzHYKuF1HNJgroYeTVjkZxVElUidZoHmk/ - Dt2kbrfr3km9sn1pfvKO/tQT+1N2didun+1YWtk+Wdk1mZ2wPNtZry7pnjqs1E1fbw9VXRAKtAAO - kaCqLmJyqHVhWkSLqBqCL76GJGnPqlIXQ6jQzyFZQqWptIf2FA54QA5uoqqixUuX++I9Qt9gdenM - 5Qc9+VFPv/ibn/vIc7/pDDn3BDsNbWVFBJVbyAk5OVxiqNwF5gAcxd3FiwZopaFSxFAULm4o7gVe - YD7ftyoFjYzrMmrKeCo7p35i3e48b+fDf+g7X/TkR16y3J8yTjuXdUeZWaMbzTFMYBtZ2uKqruKL - F9plEd3ti3sbYOoGyeImbgJLyaWWPe3Nn1/5rNQKaPQYLCgwHEVFhx4hJq7w46yakY4zQ5LFlhCP - 9QoQEREREREREREREdGXllIaj8c555RS3cScc7vWTqfTVNK2bdv27N73yle+8od/+Pnvec97SvFS - Drkl5dA4vq7rvu9XV1exHqggIkND+SECoZQy3PmIbyARERERERERERERERFtaS6AO9xlPSbTzFLJ - b33rW4vDAehG5df+79b/rQed3woh5GIAROTKK6+87JJLJpPJfD6v69rdSyl1XQ8TWHS8EZG27b/3 - KU+55sMfMEBVenONYsVDjCLi7grJKQGoNBRzA9YrQPdzRwji5u5IKU2n06uveuMjHvbwUVA1y20r - 7jnnuq4ny8ullNz3cA8iYua5uFlUDXWdzGara+NmNBI877nPueii77r8x1/053/1l3m9BuyA592o - HfSN/21UJ7pD4A5oyDkr5PrP//uFF174rne+86yzzjIzVW3btqqqnPOQDktE92Rm7o4h8VpVQwh9 - 30Kwurr6rGc9681vfWubDUBOBQCOv8LUIfFagXf87u++5Cd+8rSTTl5ans7X1o7V+rgZVAH8/u// - /s27d8eoeXGRzGLkvtWHDIG7hxCsFACTcf30pz89p1S5BDcI4Jt7DQiGWngioqPOBS4iqjAzMxf0 - XVdXI3H08/Z//o9f+tu/+/sbb7kJQE4J4Xi8eK8KMeVUco4aXGDuVhxWROCOLvUAxBZjbBT1xd+A - B/lDsKpj3+fHPOKRL33pS5sQZ3tXJtNxzmk0qmez2erK3hCquq6zlWwlajjg4eIA2AyEiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiLYS32h5IBkoEIMrPAatRTvX7hP/9qG98y/6jj5v1MJufCPmsI0u - CTakfwt8kdu9iPO+k2sSTMtqqVEHNJprb7XG5KTl00/ZfsqpJ5450sm0nkybcVVVCjEr5nm1n827 - tZtXbrpx9+dvXvnCvm53n2bW52pUeu8Tepi5uio8mJvLodTzuqAI1CGmwW24JSnghgINlcTeBSOE - oHX2NsuhBvSqoIEXaC/BULxkVN6MZWfol77x4d/+dQ983HacEGfNKNex17ZfaXaO2tLPU1aEuhpp - o16Qcqma2ofOGZ4Fxb14EVeYuKEXdXEoJERRCIDl6aRt20XvFMPaaisIo/GutOqzldWvO//bz733 - g/7wmv9zzSf/UqtGYw9bT20fDg/YIpd9yO2WvHmnCfY3ysCm42BglpPM/+X6T37Fg77WTWASoDkU - X1+oC+AGiLi6sK8LHWjoLOTmjO4mIiIiIiIiIiIiIqIjqa7r+XxeVVVd123bhhCm0ykAEVlZ2bu0 - PC3ZX/GKl19yySUf+tDHhn6XhyTnPKR0D13yY4w555wzgCHAu5Qy/IjhB0RERERERERERERERHTH - zN3gfUmzlN705qsNCJWWbBAzQ9RgJpuns25V4ecOFTiwPjMVqyqn9Mnrr/u7913ziEc8oqorETGz - pmmG6a2ju3F0R3xRsim52MXPuPSaD38gahBFl4sIrLiolpxF1YsVoArRffhWh7rAA+Y5QxArrkAQ - /MfHfP0Vr3r1iSeeKMXVLGowMwhCCCml3PZN04QQAIi5QKAyTHH2fS9BR1Xt5tnK0mSyfPY5b3nT - 1a941Stf9kv/Y6hgvb0y0wMivfdPxApyzqoKES/49Of//UnffdHb3/q2e9/7rKqpSynu3jSN2Z2t - XyWiu7EQgrv1fR9RjcfjeTdrqrqKzdn3vvcFX/vwv/n79xYDHFpXltJtxp1jTFXdLIbYl/yGN7/p - xT/+oj27d4/HYzcDXI76qoqqq3Z9+9rX/YbjVmHnsiguB4ZduP4BoZQSg4j5Q7/qq88+696Tuikp - wRV+qwpzwI+3nU9E9yjDp9amqqKGlDpVBRBjDJDloC972cue8YPPqlQyxEpGUBTc+VYpR0HKKYZo - losVUTWzUKm7W/GqianLIgJHXdV96ruS9j9y81AsAJD6fL9zznnjVb+pjtKnbcvb1tb2SZDZbFZV - VSnFHX3fuyCEwHGbiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLY6F4ivhya7QobaMXVBX5IhJ5m/ - /9q/jzvwhdVZvQ0YMpp90eHhtkvD5ixw6P5itAPuvxHt7FDXYLWWqipNk5ro4xN2nHTeeeefd/YD - Ttt5RqNLVW6CN8FCsKgI6iIOMTfxEktnrSNrA1T5iytf+LfPffKGmz71sX/9pxnW5r6aZO7RPOQs - yQAPiyeVTSuwQX19VR0mevAVBlwQKy1e1GAJqlEFXfZ6pKUYAHHorZdsuv+pxIclG4AML1LEPRik - oM7N9nDaCc19vvvbn7Eznr7U7Qh93ZQYikfI8mS6r52hjlVTo6DLCSZRYx2rru8FcHERUQ2CYGLm - bmIQhy9qoQ3uZu6eZymEGIJ2XScap6OpQVLnQUbbq1G7+5aTJ6df9PUX3+eM+/7ZB//our3/Eiep - jy1kiGY3dR32gwEuNuxMcbhgSEd3QHyxwbIpvbuqkPukdb7u8/9SHtiZpAzRGMRNfdjBKsPOdz/o - MUa0FTG6m4iI6PglAjYTJCIiIiIiIqKBmcUY3d3dg1ZwmBuAKgSIlb4LoVqajK583Wsvu+yyD/3T - J2KFnCAiImIGVT1YU/gDQhD2TyEOod2DUkoIwd1VdWht7+7DWYucc4xx+EZVh4ahRHQPMQwFKaWq - qg648Riu1W0dsEpDiMvmEe9O2vwod6+q6jjc2MMzvIhd1zVNA6Dv+7quj/VKbVVmNrwb3m0Oj3us - jV+E4YMQNr24RERERERERER0exSuQbNl15hyDlVVTyavePkr1vrsgpJtPQ7T3EzWE5HXT9feeiZr - /QdegOHULpCAl//Grz3qcf8x9ymKeDEP2S1LCMBGPaEOGaJyOxWGdBcQM4tVNZxDa1MfQuhKfuql - l773gx/IQHb37Fg/ze7FNv4LIJUMQIAmhpRT0JCthFCVUppx3c3bUjwAAlzylKf88i/+YlPV1g1B - hvsnQEspqgrVbGWxToL95YMiwwlbtwIgqqAUALXKC3/kBWeeftoL/suPZgMAA0LUXAwibreZSth8 - g6xvutt6bad+9NOfftqzfuCd7/idyWg8rhvLCcVK7kMIKtHdNQYzS7mMRqOS04HLJ6K7LTcrAKqq - cqDv+yCxJDO35dH0h5713L/5u/fWEjovlu1Wpe931ep8yRtuddNQ855KceD1V73h8ssv16YqMBEX - t41ifAAuiqF++1DIpjfs9W+HSG4HILKYdBvGfIeaiLl/9NpPvO9DHw5V6FMZxmRZFMtvpgAgJg4v - rsDzf+j5au59jhoM5bbB40c/iZyIaCAOFdMgXsyBECoAxX24SjDW1ROe8ITvvei7f+t3flusCAAb - RqzFyCcwwfAJ+HYHsrt6hHNYKv3iezMAJa1/5u8yFgO7d6nd/xiBhmCliEJErLgKFJiMqzdc+boT - du20Lgu8bddijC4OaCkOqCxG/oNvFf8SJCIiIiIiIiIiIiIiIiIiIiIiIiIiIiIioiNiiKwdOlK6 - u+jh9LMlunPMBQJTV5MAV7ibWAmlSCk62z3//D/f9NGVpZWqgSdEhzpMUBb9OdZTqIfaq43oa2SH - AqoSrSSHR2Do0xAj3FEEMUpxl4zKmqpdWk4n7NLTv/Gh33LWKeeccuIpbijJqn4UEHKfVaOarode - L/ouKFBnGWMKOHqY2FRPPOv0++V7pfTw/t/3fuZD//YPH/jEe2/cd4NMe6/DmrcpIgskoQ5ICU0D - czFzAOIa3IaGJAbAFUAYvhXLsj+6W9wKeohVDmRkSRmIEaWYIwImYmIIiwYm6mIlQxsAUjIUUSHm - fXGTcWm73DjQY9RNl7oTH/fQJz/qwd8yLidU3TgYgpug84Ds0bxEDV5cvACoVKCqbsV6DRu7xd0X - 8eEiiK7ruey2fgcREQSYC+AaAuClJAAaATHApy6+OmrWTnrsvb7t1Ml9f+uv3/5vKx8enzCZlVsg - MEBUqlDNu84qAyw6wtCqBciCIgAQXA0xmCqyiSEYgFIQo8y71c/e/OlZe/O2elsRay0FR2VDXLop - okGLmmOxWKKBiGz0exka1ItgS7w9sq80EREREREREREREdEWNmRmm1nft2b5hBN2vulNV5173zNL - wnjcuLuZbUxjbI7XPSRDaOXQDXk0Gg3frKysxBhTSqWUGCPDLInuaYahYEiwHgaZvu+HfOvjioj0 - fQ/AzMxsWOHD2F53H0ZRERmmhIcbt7phn5RShtzunHNd174l5rqPS6paSgEgIqurq8OvxnALbS11 - XeecAYQQht93vo5ERERERERERF+Su/epFZFm0kgIiGFt3r3xzVevZykf0rIOcoMJ/vrv3vOpz3y6 - uLd9V9d17vqqqjYWPMSHujB382hT1bZtTTDr2lBFqDz/R17wt3/33rWuNRGNweAiEkK4vSlFB7qc - HDJkb5dSQoxd26pqUAD4sRc8/9Uvf7n1SXJqgtYa7vhVdrnVFwDAhsJM8cWXOsTxnU960ute+xsA - mhixKJnWw6gJ8yAF+NDHPvL9lz4jVLFLfdu2dV0vLS01sRo2aphJWVpams/nh7p8Irr7ESC13Td9 - 4zeef5/7YpE/6nq413XcddxdNRo8xHjz7t1v++23x8V8ogFQBwDxxWB7BFPHVXWYfTYzd1dVVZWg - ReBBX/v61/lGvLfu/+Sw/+PG+uCvIQCIQc887fTHPOrRwdTNckrDnTa9TWDjnYKI6JgYPqPeVgih - pOzuv/DzP79r+45xXSsQ1scuVQ0hCMThDlfZMpfwaQxVXVspsarcYNnrWr1ACn7zda9/8AMeMFtZ - zX0H+Gg85nUsRERERERERERERERERERERERERERERERERHQPYBCDK7BIqA5RTHoZ5Y9f99F5mHeY - AxCB+nps9gGlV76/o8JQsCaAwNw9JQ8qTVOrQgSAlAIRlM5lJmF1NJ2d8NWnPvz7v/VZl1/20w+5 - 19edVd9vvLpztLp90u5o5pOqHY3KtE5NLFWwMHzp+pdYDBZjbmJumjQedcvjbsek3TlZ23mKnvNN - X/nk//L9L7nsO5533q6vrla2jbulqU2qhFolJSwv17MZut5vvR3r5cNiEBM3cTOByXo98OKnGbBh - Y03MZKgU1qH9iK5Hmm9kjdc1zJB79wI3ARCCxBpd7psoE2126Mk77fSLv/UHH/fgJ2xLO8f9uM4h - 2pCU7YAPT6GOYFDf+LL1OuXNXwvr99H1/yo2fx1wAMDUreTWcismozhtylIzX/6Kkx/ytMdf9sAz - Lsg3x6pMvACAeZnN5lUdXPY/Ubh1raKJAsMRtXguF7jC4FKjtbXV+S3J50mzB4eYOIJpsOFRcDFg - Y8cSbW1bpgSXiIiIiIiIiIiIiIhua+huP8SsjsfjtbW1uq6vuuqqs88+Y95243GjCvcCWFVVadF6 - +JCXLyKllCGxsuu6EIK7Ly8vd11XVVUIAcCQjEtE9ygb8dWq6u7DWCTHmY0VG1ZyWPMY46FurKqm - lIaFDA8XETM71tv35Rq2aAgn7rouxtj3PVseH7bhVwBAKWVpaUlVzWx4o6StJaUUY0wpDfH2KaXq - +IvKICIiIiIiIiI63oQQglaium/v3hhjKeWP//iPP33ddUdq+e6YzfqrrroqxqjrNp/PPLCkkI6o - zeGmm2s1h1PNw+nQqqmh+sLLL//d//t7a+0cQIwx5wzAzEopZrdbj6chGHx4SIxacg9H1ADDC/7T - f/qJy3+8lLJjxw4zy9mO4NTk8vLyE7/1CT//0p8RkQBY8agS9EtFzfuBAfNWiqqa4e/+/r2X/cAz - Y11v37lzbW1t397VWdur6mg0quu6bdtckoZDirInoruDW0dEA0CMQRQx6iWXXFK8BA2AWeqO0Qre - ETMbxnMRXHnlldmKwQE9ItWpw55Z3z/7h9dhNvOAZ3H3bOWmm25617veBSBlg2BjMs5uMzYDsFIA - lGKXPv3p27dvHzJuFRyHiei4tjnGe/g4vTyZ7tqx89WvfnXb95WqWxkajJhl9+KyGEB96wxvVkrq - +6quc58EiEGsswD8/M/89Lc+/ltKn6KGpaWlGOPa6upwJQYRERERERERERERERERERERERERERER - ERER0d2SuK7HSxvEfFFgpjBxlF67f/rEB31Tgw0TFIEDJoAMkdYQVzXIRp60DRnMEFN4iUFVRiur - hjyqdalrfTIeWUEjS9vymQ898XHPefxP/MC3/OfzT31QmuUdy9tCCEPj5aEPs5ndXr9iE8sh9bHr - qy7HLoe+hGxicA1W75qctuQnhX07HnTyo5/9bS96xjf/xMNOfeLoi6ft7E6vunpah927+6VtMhpB - dFFYVwRJNUntXissuB1iZbApsgyFxoAP/1YkRYF4RuVVo6PgKCVlsQyMFDqTcbtrNDvl6d/2gvud - /JAmjWsJkHmJsxRTVhQJjqCuQw72XWoUGrHYQzug07TarXhX7rV05nc/5nsfcZ/Hjufbl2RbBAwY - LcXiJg7dqEx06P6WILpIFpdiYhuliCIwM6j2ub/x5hsNxb2IiGNz3TfRnbKFOpkzupuIiIiIiIiI - iIiIaAtzdzPLOdd1vbq6um3btvF4vGvXrje84Q27dm3r+m7ofh9CyPlw+teb2RB5O3Q63gitHIIQ - mqZx96E9aF3XR2yriGgr6LpuGBNUFYCIrK2tiYgfZ0Rkdb2H7zCUjcfjYeA6JGY2nU6HTJEhs3w2 - m4UQjvX2fbmGC1+GcOKmaYZhf3hN6TBspKEDGNLQh1uOeib7UXIE99vxpmmauq7rug4hiAh/KYiI - iIiIiIiI7oy2bYcIZ2jQECToFb/2mmo9TfO2UceHIUZ9y1vesra2lkrJVkJd5ZwPWKpsmYqeuwkz - 61MaTSdQ6XO++i1vvurNV3fFq1gBSCm5+3DaDetzCgdVSsF61HfOeVQ3ApScLr344p/7ry/Nfe/F - 19bWQoyhriSGI1Lpp452NvdSnve851381KcJEICSi5VDPoxCjOZW1THl8s7/9wcvf/nL19ZmVVOP - JuNhUrVt26qqYox932/MuhLRPVnXdXVdr62tPfWpT9k2nhQrGgJEvvy3y7vCMIzHpv7whz5yzTXX - yPo8kQkAuCzef4/ghEopxd2HiTasXx4zTGv+3jv/797Vlc33xKbddmCAt0MVy9Pp0572tN27d8cY - ff0aGCKiLSGoVhr27d1rKX/L4x//9Kc+DWYCqCwG3eHCj2HAHC5r2So0hNT1AqjAiwN49mWXPvfZ - P9jP5kG0qap2Ni+lQIVtPoiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjo7kpdxWUoozKBSxnqZdVh - uSDIZ3dfd/2ez4TgQcV9f7iyCQADFmVl4lBXNZXhy3W9/tdKseXt2/btm7tpU0+7Lo/DZL4nj9rl - M5r7XvTIp//At/3og0/+D35zHVabJVmer/ZDs4ihR8TQxPiO+kUosiKrZbWiPmyCAOqx3Zt8NSz7 - yZP+5HrfSefvvOBpj3vupd/8Q+dOHtisbavayQnLk7UV71sEGTKxraiaRIeaQBwC6KHUXwsWjxoY - tAiKmilSdkGoQl1LhDnEXKGOSQ4nhhOX0onP+q4fPe/Eh9TtzpBq6xMkuSTTXAQOHWqpj0ZXk4IQ - KtPQeUEd61GDZFjFvcfnfs+jv++BpzxU9taxxFFA2+fiRWGL6Pf19G6xIcFdxQEZDhIb0rsBDNHc - 7m4on73xs6i2UvoyHXPD0bLRBADAVjl82F2aiIiIiIiIiIiIiGgLm8/no9FoaLs5mUz27NmTUqrr - +pxzznnrW9+yY8fyeFJBFh2NJ5PR5plULKb69A7mC1S173tVLaU0TTOk1ZpZjHFoZDx0NB466RPR - PcoQ81xKyTm7e0ppOp1uxG8cP9x9aWlpyOrOOZvZfD6PMR7q9orI2tpajFFEqqoKIUwmk5zzsd6+ - I2CI0hlG8uEimK3Vyvl4MxwVIYQhgkVEePXJViQiKSVZj53g60hEREREREREdGdUVSUibZ9Go9G8 - 7z7ysY+97x/f3x/RWaSc7cZb9vzpn70bKtlKMYhunO9VQJnbfTSpCyAppaXl5X379qnqP37wAz/x - ky/KDhOknBZ3Uw0hDGfY7iAqVYJCUNyGE9dd3zUaH/vIR/+3n/25PO+2L29DsSAaQtV13WFFrh44 - Kzqc/mtiNW5G85V9L/v5n7vgax4GoIIocKfCAX1/Jn3JeTQe930epmN/9mUv+5v3/C00ZCup5FhX - hkV6dxAeqEQEAMO1FktLSzt27LjwwgsVUIjeueHnKKuqapg3ads+mV35ht+UEBcTiq7wL6tGdX0c - 9QMSt4cpS1mU7AowzGpqKuX1V15pgAvqOgJwA4a7bbogZoMIYPj2JzzxpBNOnE5GgKWUbmc+9I6u - nyEiuqu5qMutP686sD5bPRrVEGtX1v77L/y3s8+6twLuLkGhMrx1bMxu+60+pR7HRIZ1rqtaDAF4 - 9AUP/5mX/FctHjVUIVgu7p5zbprmDlq6EBERERERERERERERERERERERERERERERERFtdeobNVRm - YiaLSliFhQofv+GfZrrqWkTEHaoogrIe4C2AuIlDHfAoHoHhayNn2upJdeNNe5aXptumS21aC0FC - rnaWk7/hPk969mP/82PPfQJuGadVbG927fCd425UIww2Wk/fYZGXwgO8ggcg+CKAfPiBjONoJOMq - 1VU7mpbty2lXvTJ96Blf+8wnPu87vvb7J7PTyp64FKpakTMAuKCgNgTXDOlxiLndg+BQhzgcWkSz - oggMEI2qNYqnvlfkOkqlqAy6r96VT33ud/3ImZP7Yc9kWU5ofNzoSAFBHpbpAoMa4HKX9202M6ho - DAlIMGgMXm3TbbhZl+Y7vv8bnvGVp3xN0y57i8koFgPWg9sBHSLG1aOWqLY4ACDZxYZqRBvqE0Vy - ziHI52/+rMVsYht9d23IXcdisUR3bAt1bGapKhERERERERERERHRFrZ9+/a2bd19Pp/3fb99+3YR - CfCV1b3nn3/+a1/7WlWpaxVFVYXZbHZ4zzJ0yey6rq7rEIKqDtOlQ9/8IaB0aJR5RDeOiI6PkCDN - AAAgAElEQVR3w6//Rpp1OaL5K0dQKWXI6o4xDtd5HN54FULYyCkfNvYwIsCPN8N1EqWUEMJGh/rj - 9qU8/plZjLHruuGfG3vyKEaxH1VHar8d6+040PDrEGN09yEBaCPenoiIiIiIiIiI7kCM0eAikkpp - xqMrrrjiIKeQHBjKtA4rSW44KXXFFVeMRmMXMdxxdR8dMb5egHdbk9G4nc+bpvn8jTc+6wefvdL2 - poukwKqqVNXdN06vpZQO/gQCH1JXzaqqgnkUXRpPfvXVr1weTaqo7dqsilFEuq7TENr107BfJgFy - zqntlibTOsb/9Su/cuL2He5eH2o0uANAlxKAuqodCKKXXnbZP3/i2qpphvTuyWRiZmYmIrcTGUtE - 9yzDcJfarp3Nnvuc50yapuR8fI4Pw+xqWB8b3/3ud99www2A+HrZ/F1huBzF3c1s4wIVqL73ve+9 - 9l8+6YAI+j4DCDFiU0HvgR8wHAJceumlpRRVLTlHDVuoAJiI7uHE4e4l5ygaNdRVVWn41Ve9GoDD - 3UzWJ+633oy2e0l5VDc59RG4z5lnve7Xfn3ajGAWIbPVNQCj0Wg8Hs/mcxy56xOIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiI43AogrABd3MRczAWAhaLL5x6//qI27bJ2YApD10O7Nj1dfFCk7dJHi - PdxNzRTzeZosV1Wt+/beEkxHeXSSnP7Ux1520cOfeqbedzrfcWJ9UpWrNOtDjtaJSBi6eQyh3UP3 - 6dvtFwFVj2KVehRXcQEg7oDBchCvNIir9+atV14th+3jNFnK2x/zgG99zoUvPHv6wGq2XOVRLcMe - wHpLkv1R04fUoEQdAggAV4O67I8SdxcRSZYNOVYqLv0abLU6Rc76vsddesb43r4i47CcOis94EEs - iMdgi84mLrZY2iGszuEIdSilmJmYomjpLc3Ne5nKZNxOTvBTL3nCs86a3m/JT8gzq+tFwLatv+gG - hau6AlCYDM1C9rcNGY4icTgCbtz3hbasiTjMsSm0e4McVno60XGILYqIiIiIiIiIiIiIiLawrutU - te/7Xbt2xRhzzjlnMxuPm76bP/xrv+aqN1zpxaogOZVhvnB9guxOtXs2s+l0OnT2rKqq7/thxm5o - kQxgmEDtum5IuLwLN5WIjjMppY2M2+GbGONwIcVxpaqqjbUaxq7RaHQY2+vuQ0d4EQFQ1/Ww8GO1 - XUdWCKHve1UdssmrqjrSx8s9haqaWdM0ZpZSqut6uN3vpo7UfjvW23GgUsp0Oh1SKMwshJBS4ucc - IiIiIiIiIqIvaW3e5mxN04QQbvjc5/7v//v9AjmCAaQCjEYNgH/44Ife9/73Q9XMoAIooOKLWjI6 - ykopbds69NLLnnn9v39hNBkVW9QZDhOLw2m3EMKXOD8/ZA0KUuoFrvC3v+0tp590Sun60vUCVFUE - 4O5yWKf6D5Yv64C72WQy6WbzkvKDv+L+L/v5n9M7HXy4afoVEPGcAfSpd8BV1uazH3zuc794881L - 27bPZrMu9VVVhRBg7uV4jOYloqNg81jUtu0wtTQZjc87976PfuTXKVBX4Ziu4MG5+3BFSowK4JZ9 - q299+9tcFrXvX256tzjEbztKi2yMryJBoZKKtW37+qt+swBVDIupKocP3wlw20J3hwKPfMTDH/Y1 - D6mj9vMWgKqq6vAucJsV+fK2hYjoCHNg8dFRgG42D/Cl6fhB9z//Bc/7oSYGOHzjwzfgcI3H4/vI - QcUQAeScFTIdT17366+916mne8oRGkQno7FC1tbWsH4lxrFeXyIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiKiu4QA6qq+CJler4E1ACb55pUvXL/n033dFpi4B0XJgCt8fwrt5mRlEzOBCUzMdIgARwiA - pD1rKzt2Tuo+nj0594e//cceduLXT1ZO2G47Mc9pdd8kSBM0W6rGTc65lOLuQ1muiPjtN6FVRyyh - LjGWEIsG17AeI25STHKR1jDXmKpREW1Tv5K63OTJDjv57NEDnvcdL3rEvb+5aSfSB1gNjyp9QCsw - OIqgyEHypO/YkF7uoi46lDCLQwEv2SWX0HssEmE9qn50xui8Cx912fk7v7ruJtMwCqFo9KJwq+Dj - UCaxhGhQN4gVtfJl1lbfCUUtWSfFG4ljnUzrHU01EQlBsVRNdG9V791x6ROfv0vOtlXR4aBZrJuV - Ib1bYHKQoGJdRHorgBDEkFe73bvnt2g8eKgxQ7vp9gxdzYeWMsd6Xe4sRncTEREREREREREREW1h - w+Tl0tLSvn37ZrNZCCHGOEROxqiz2eyhD33o61//uhiDHNZ8noisra3JpgeHEAAMTfbruh5WYMgo - PVIbRURbQlVVfd9XVTVMjg6htsfhUDCkawxZ1MPA1bbtMJQdko3J4GFI7Pt+NBoNyb5b2kaD47qu - c87D1TAppWO9XlueqlZV1bYtNuUK0Bay+fNPKaWqquNwfCMiIiIiIiIiOt6MRqOqquZdV9zf9r9/ - a61rDT7ksR0RKjqfdwAceMMbr3KRdOfylenLN0SZHlCDtChuVBlNJz/5khf//fv/IQSdzVsIEGU9 - 0RqqCqCU8iVPPmtVweGOKPKjz3/B13zlV8cQgmJpMg0iayuro9FoyGsvfsTO14UQuraNMTax6ubt - k7/jO77h0Y8Oh15wtTijKKjq2gV9Kcnx4Y9//Od+4Rdm7Xw8XRrW3MxKKcOsChHdw41Go/l8vry0 - lHNu2/YHnvnMOoSSjkKx9iELIQwTozkv5kvecNWbANht8raPOHeHyvBW0vf9ytrau/7gjwB0ubij - qqoQgpUieuthe9Na1VEufPJ3ViF2XTcajWKMXdfdtStNRHRExRiDaCllMh7DfW3fys5t2y9/4Y+d - efoZk8loGPBCEADw4/G6nduTc65CdCsq+vrfeN2DHvhANwuiKKaO3PVNrMbNaHW2Nh6P+7Llr88h - IiIiIiIiIiIiIiKi/8/evcdbcpV1wv89z1pVtfc5p7sT0gm5kAAmIeEikBCiEMEA4iUQUCGK0QCi - col4xxn1M++LAjOvM1zkVcaRSMI9Ca/MiIgzg6MOijMO6oh4wwsSHAwxAZuku8/Zu2qt9TzvH2vv - 3aevyel0p8/p/L6f+nR2ztmndlXtOlV19qrn+RERERERERERERERERHR4Yhp7ergAMQgNbfbkk2+ - tOef9uQvpzCVaCKuKvNOG4pZ7HedRQ3tNq+J3Wqm5oI6icJ6bG912GWXnHf5S7/+lWfF87cNp499 - xadFrIyaRgUAimJt6EW1tmIGkHMehgHAaDQ69MI7glswC+4K00U7CDFVLV7MigSHWi69eYpRQmjE - W+zVlX7b9v70b33qtd90+bd2/SltHqtFNQggjsXyHwUDDLOfVUdwqEHUQ3QPyIpSCvpwenvuJed9 - zePOvjyubeswspL6tOohawzZHdapNWptMFWYuAHwjUeJb5R7iVHHXSPFhtXkA0QUwHQ6zbm0Nj6t - Pev0eO5zvvqFZ44egT1BLNYNVRRFragduNHm/yuuMs99jzFmDGu2tnuyS4PVHuMOuMyj44lOLozu - JiIi2kRq7ssBXzlRC0NEREREREREW0KNXK3puV3X1V7JItKGGCCWhjbo1175tJ//hbc2zexzhsXn - DXWkU2TW4/iQZqNl7gBqM/2yLgKhPq5jqIv4XgCLLNuc8xbqB0pEG9W27SJmI+e8mT/PXCxnvdWj - bDzNxd3rTy0OazWVeaszs5prDiDGWM8Ii6/QUVh/Vq2n2gM++act4eAhmyNcLxERERERERERURVC - 2LO6OlpaKu5vv+EGA0JsUsmzCi6vNYJHz2oNG+DAr/7ah+784l3deDTkXAvGxPdNLrD7+WJ0KHUs - QFVj26SSoeKC5Pid//67N77zJgOGYg7ErkN2zD9RX3yufi8fzgs0AA4BHnnew3/g1a9uoga4QtK0 - V0fbttPptGkadzmKEci6bxwsBHW3oDoMQ6MhavjXr39DFBGgTlHDLGlbIEFnX11HAQG8zJZpGAZz - GFCAAtz0vvf96q9/uLhJiKWU4lb8oCpHIjrJHXTggAFWUg4hpDQofNw1z3rGlQ9/2LkKCARAPfIs - RmBP4FCswKzMBlt9/u/td935H3/1VyVoyeaQeu9KKenYDqe4uwQtpYhIcYfqO9/97t7K4iSQUiql - wOHF6u0v9VgtmF0xdG08dfuOa1/0HV5K1GBmKaWua915KwsRbTqHu141KyKQeSx32zQl51Hb3vAf - fqnk3KgI0M5v8xD39aed+/1H2PFV1+gNP/O6r3/W10XR1A9RNKhaKW3TpJTMrG3bYRhCCCd6YYmI - iIiINql6d+vi4/dFLQ8RERERERERERERERERERERERERHSuLAGOi40f338fc3V1cTMb2Z3/3v31U - csgm2czgoopZbrerri+ZFXgsCdlC8WjZkXyeXW1oMpYnp3zdRc+59mmvPDtc3PWnqHUGLyGJZkdv - Obm7RbUIr9W67gBUtVZ4HfZWVTFBEpmI9JAEKTV63KEGGMRFDGICVzGxAofEnKTTtivdeNLsxFlP - e+TzX/DU7+1Wu1HRVsYlA4CIhBB8ow0aHAbA1eabR4BoCA4tKJ6SAA1Kxli2X7jjcd902QvadFr0 - FcsSgkgYCiambhqACG9rzZ44FC5u4vO49ONEzLwoPA3TAHSxMTPAC0roooubS556m7c/9pxLn33J - 88drp7Y2KgUWUBQ9YMFCA0M5oKBabRbdLe7unnMyLTr2f7r79iH37LtL91Ft2mxmZhZCGIZhq5wk - uYsTEREREREREREREZ2EJpMJgOXl5T179pRSnvWsZ735zW+uLTq7LtbnTKdrIYQ6wnF0r1JKCSHU - WwdGo1F9sGfPnhhj7Y+8SIElIiIiIiIiIiIiIiKik9vq6ur27dtTSh/5z79x55e+KIJU8jGsQa1R - pgJxYHXS33zrLdAg4dBDUax9PebqwJ+q9n0/DIPG0LRtX/I9u3f/0I/+SIYLEEMEkPteYtzY3B1N - E/M0xSAK/Nuf/dlTd2wvpZT9SzcPmWV4P9U8cgBBVVUbDY8497xXX399VAXQxKZYKTmHEGLT+H0Z - V5W6QjDAARH5oR/+0b+/7bY+DRJCCGF5efleUsyJ6MEhhBBESylmBnOYXf+qV/n8FLZpDxT1+Abg - hnfcKCFIDLWkNuc8Ho+HYTiWr+Wecx4tLQ0515tb3v/+968/FRzYhGDdUTooYpA85Ouuu64J0XIJ - EAFEJOfMCFgi2orWXwyL47InPelHf+CHzLxRGaaDAEHhmzys+yCjbnT11VebQGKIMZZSDlj8utbH - t48JEREREdFWpqrDMNTPOoZhaGrVEBERERERERERERERERERERERERERbSmGgnkNryIEiVEUwSa+ - +/Yv/0OR3gTZoYqa6S0OcagroLUIywQuKHAXJCsSVQNE0EZFQjM02/MZl5935XMv//Z498po2OGD - mogBRWCiJppVy1H2kjfX4lpczAQmcKiLGIIhAtEQAYWLzkKvVaVViQDEiiZpp91Dw3mXnPWUq6/4 - 9tFkh62FURyXAndPqRxNXbCry2JlTBzBEAyicEAicsZSs31FHvLcK67p1raH0gFRXQQQr4HjBpjP - tg9slpWO4PoA1LvpuoBw0wzJde/IqYTYxbbJ2cqk7NDTHn3aJZd/xddire10NGra6YBuCVDsnQxx - Xd8P3zdnVRcAJiYKExt0cvdkl6vDiwlMzNivhTbIt0gRKNMyiIiIiIiIiIiIiIhOQl3X1T7Obdui - 5DSdPPfqq37mda8NAdNphkAE4/G4lNS27VHMvwZyi0gppb5Q3/c1CHzbtm193zdNs+j+c0zXjIiI - iIiIiIiIiIiIiDajoNHMs+HGm96V3bNDRBwOV7gKsJiOLk7OIYBCFUABbv7ArXfvvieEfaVitepM - 5o7VelHVT6YKiW3TdG22UtwnedC2+ZF/8Zq7/vlLDpnFpda31myj73HqszjE/Ntf+MKve+Yz8jCo - IwQ9xP7iAj+W76+qupsI4FZy2rF923e/5KXblpYVCCJNbARSSskpHfLH9+XE+nxal96d3fucXvX9 - 1y+trKytrZVS+r73rVJ2RkTHU7Ek6gppQlS4u13zgm89bfsOc1PVeqBw93pG2yzHjfnR14E//tNP - fupTf55SMrPpdBpCsFzG3WjjM7Va8X7gJNI0jbuvrq6KSHH73Y9//P/ccYftf1aQAwK8BW7mDneI - eaN63Xd+F8RFIIpSchPCZtmYRESHd6gLXgdcfDYpXNx+8PpXPekJX1lbGqgCwOzPID/oJx+Ipd6Y - em/htJ/+y5/6ybXpJJcCFQTFQQt84KGeiIiIiIjm2rbNObdt6+6llLZta3UPERERERERERERERER - ERERERERERFtNeZi7g6IugQoIFnKruFLt9/9OURXhzsQxV3g+/JnxQHXWpFVWxyEFiHAUi4JWhCt - bYalHcO5j9/5Ndc89XuX95x+1vbzLFnRwcLggoJukK7XcR8kK4JpUzaWTu2CIkiqSbWIlllMdoRH - eIA3akE9qkdxEVd18RKAUKSXWNoY0Xsz1TObs6+88LlPueCblvwU6zHqxsOAJihS3timFHXReQ66 - CRDcAEAQAvKAVtGalHvis5989bnLj9yup7igaDaoWgzWBIvBDVJKGEoYsnpROFQ9BEM0Pa4lb+KI - psFhgqLmklySwtQRdJyK9DDtRINLwsOWL3zm467e4TtHvrK6Zxi1MEMyhIh9XUAWiysADPBFSxaX - 3GPtrt13aJD1Jdjr07sfgKhy2lpqD4St2NuH0d1ERERERERERERERCchdzezUkqMsfZ0NrMXvvCF - P/mT/1IEIoBgMpkAyDnXHO4NMbPa36f20Gyapn69vlbXde6ec0bNDiciIiIiIiIiIiIiIqKT3Wg0 - mk6nf/EXf/GJT3wiaHBAwrEvWjEzAzTqZ/7+c7/3+x+3eVZ3rfVS3zThpiedGCOAtbU1d2+6TmOA - 6n/81f/0a7/xkWkaDG5AKrnrOji82L3O8ABBoUDXtK/54R+aTqdBtGnjMAzrn3NMA7vnrxuCmZlZ - LQkrpUyn03PPPfcVL3+5QlIaSk4ABFBROfy46oGLNk/vbrqmz/mP//RTv/Dv39aORlDNVrquO/Zr - QkRbTSlFRFR1dt9FiDu2bbvmhd+qgJmpaj0ubaKCVZn9uzjRvu1tbxstjWPXagxt204mk3qjyDEx - mUyg0jRNjBEqzah72y/+4n5LAuh8EkAgEKlxrzK7JMDznnvVI857uOfSNY04vFjd4MdwOYmITghx - SLE2Nv/+F97WqLQhiNWOGSd6ye6zUkpxM+C3fuu3PvrRjxZ4hqeSbf/znmydNSIiIiKiBwPfZPq+ - zzmbGYD6EVP9xPtEbyciIiIiIiIiIiIiIiIiIiIiIiIiItoYF5gYNLiLF3iBu2dJ/3j35/ZidwgB - hhBgcADuDjHBPJy6TvNSLFEEQU7oEEdYyrt1W9n52FOf/JJnXy+7x0vhlOnqEBuNKu5uAhfJ0iQN - jhYegyO4bai82aBFgmM+iTrUZxXANQVc4SIOQBUQn0X/FrcsRRoFUNaK7tXxcNpzv/rbH33Wk5bK - jrJaHrJteVg1VdloenSR2p7CBBbmt9c6UAqWx23Zi1HZ8diHPemJj7w8TBpNIiguBQC8UWuCBXEA - BkkuxcUWry+ux7/qTcUFLg6YWNFiWkwMUJWmZJhnjdBgZZrHeeW8Uy588sVf43t0VEadhjwAhnEr - OWf1unvAZDat23MAwBQpDHfdc+f6gO7FIz24kwbR/uqd7Sd6Ke4rRncTEREREREREREREZ2EVATu - cC85p5S2bdvmOYmVl33PS3/gB18xGkV3iKBpglk223BLYlUdhkFVSyld16WUAJhZjLF2/HH3EEIp - 5divGxEREREREREREREREW0+KaWmad757nf1VpIVjaEkgy/qVlTX17AcVXmWihrEgZStAO95//v6 - NNSasPXJplursGeriBpCCKpa3IecTPD3/3DbT//r10vQNE8JVI19nwAVCRuauQABEoAXvfAFFz/q - oiaE+n6qKsRMbX1lpxzT6j4RqWOadZSzbVsz81xe8X0vP+MhOxfPqU/YF0kus/Da9fvZIdO7p32q - Va4//bqf+eznPmdA0zRDTsduDYhoq4oxmlmxVPLgxaJq30+/53u+Z2k8AuDuqpuv9tO1ntkNEAkf - +vCvffGLX6zn3OnQj8fjo4iGEoc4arn3+q93XVdKKaW0o27SD3/7d5/5xB/9YTl8KK377Kgs8whv - BV720u+2nGFeSqmb1HNpdGMnKSKiB0Y9Hq7nctgJgDrGTXvxBRf+q5/4qXpB2zZx3ezWX57qZuwn - oKKqS+Olaep/4Ad/8K4vfVGCatu4rGsCAuBQW4aIiIiIiKr6cYeq1g+x6ycz64dLiIiIiIiIiIiI - iIiIiIiIiIiIiIho83OgoNSSK3EVEzERBI/+t3d8Wlfc3T0jNCgOlQgoYHVywb70bkCBNAUMrWMl - bu/Skq52F532+GuueOnS3tNOWTltLa9KaylNNXmH1oGsXhTwGKxRC0B2LcCGSobVEd0b98YR4RFQ - qfHdvigXq0VitRTZg+QQUDxMBk+S0HiMsQvLzbRbsVOv+drv2hnOXbIlm+Y4W62NbE+xOglymDer - KIqiCK32q3mH7FxeO/Wqy7/5FNkZS0TOgiwwQIEIb4EIQFEEpX5r/l2tc97Q8hwNj3UZXIprdsku - gGvO1jRdCKHkwXNRSEDQFJ5x6bNP1YeesXSm7fVWEARWHDVw3NWwvjjRABMRdwEgAgu4e/XLpaSw - riixfnP2H9b30RFtoQ4/m6/UloiIiIiIiIiIiIiI7jcRadt20UR+9+7dANq2zTlff/311113XduK - A2Z2f3o91/n3fd+2be3Un3N2dxGp3X9CCO6e84ajwYmIiIiIiIiIiIiIiGhrMbNdu3Z95CMfEcAB - K2V9XNxiROr+FNyYG2qcM6CKj33sY7fddpvP6TyOgtHdx0PO2Ys1IcYYSykQeesv/Pw/fuHOodjy - ylLd3PWtaWNzNKV35juWV17zoz+W+r5rmmEY8pCapjm2a3E4MUZ3L6Woag3T3b59+3dd+50KCNDG - CCClI+Vt10rWg9O7VbUYDHAJr3jVKw3QGPu+P44rQ0RbhIjUOCV3jzHmnKOGRz3qUVdccQXWncvq - PRgneFkXZF8WrLtnt/e8+311ReqNIiEcs1Ts0WhUSnGR6XS6vLz8H97+Sy77XUXoAbm0QN1OInCH - AI+56OInP+kyETRNk/pBRBoN9Q4WEWGVOBFtdW5mKQ/T/lWveMXTn/oUBVLK43G3ac4Z98bd4KuT - NQ1xbz/9sde8JpWSrayPJyciIiIi2mx8k6mfydSPO2px0HQ63UQfJRERERERERERERERERERERER - ERER0X0hZvOobBFRDwHRVVzs7+/4jHfFCjxBBGYQkRqx7IpZKrVrncQhAhhaCa10eU+2PfLw085/ - 5pOffc6Oc8PQTPf0S6NlmLu7xsbMBBBY8BI8q+fgXkOqN1rhJS7iKoB4nWFWZJEkKEAB3MVc4FAX - cZFifZDSNE0ITXJkuGtQDRFBp2EHTr/mmd+pe8ZtGocASLENLo+JmVhwCKAOADkgKyAy7LVRv/Lk - 8592zuj87fIQH3JQC27BoK5wKYoyr3FTR3APbuoQmIm5oOhxju52rbnnEIPMAtoNKIoQglhBKsG0 - k0ZczXKQuHN81tOf8Ky0q4xkHDKCA4YoUXwW6+773lNb5LIbAIUFTIa1vp/U+5BZ30f30WyH2VLt - fRjdTURERERERERERER0Eur7PsZY+yOPxu1o3Lr7MAxNE2KMr/nRH3vJdS8GUMxDOJpxMDNbXl4u - pQBommYYhlJK7fVTx0tqX/6+780sxnhMV46IiIiIiIiIiIiIiIg2HVX9wAd+5e49ux2YJS7rMS9a - UQBQgcCBPtmtt95aR6lqMY/MsRLsmGu7mHI/mUxyzu149Hu///H3vf8WKDTI3tU1CLquyzm3sSk5 - +QYj2hUIwDUveOH5j3xkzrmU0jSNKvp+4oDvq+6Tg9Ox7yNxHDKl1eASFEDOWcRzHtyLKMT8pS99 - 6VK3VL+lojWV9uA5HH5VFVBzWwSlfPLP/vzGG2/MOa+srBzdWhDRluaC9cXMpRRVjaJV/d/UDy9+ - 8Yt37NgBYBHsjXnl6mbh6tACE+C9N7//7rvvHo1Go9FoMpkMw7DRmdUj+7yC3BRWC+BXV1fdPcZG - QvznL+/60Ic+VNYtwMHzUYUbACzKe1/y4hc3TaOqGuBeVPfbpEREm9PBV62LKOt15xEDTFWCyinb - tsP8zW9807bxKKpOJv2JWe6NE1W41wM1gP/2O7/94Y/8eirlgK4u9QRBRERERLRJyCYTQhiGIcZY - P+6YTqej0aiW+RARERERERERERERERERERERERER0RYiIibu7nBVDSJaSlkd1v559c6pr4l7K7EU - qMJr/dW+GOaqJjRDHKMINQ9ZbIIztp35tCc+41GPvHitv7tgbSm2vmo21aWVU/cMU0QJbl3JS6Uf - 21qQNde+CIqEQxbzHo66BffoKXqKPjTeB6SAHpIgCZJdsgmKoIhmaYuoey42VeQYIzBK1kxQVssq - Qo5mcS++8mFPfMYTrsLqeDwe97bhPhMugEAcwSEOF2RFUqxOyumnnNn127/u0qvayQr2yqiN5n0w - Da7iMPEiVtSKAJBgEos0WaPVSjdLoWR1l+OY3u2CIsFExD24iStcTbQILGbzpNlG3na+hIJBJ9J5 - 3pOf+tgrtsVTl3VZEhogikgtUvd9DVhcYAqXfQXvdcfJ3u+drNVC7PWLsdHEdHqQOKBafwsV7zO6 - m4iIiIiIiIiIiIjoJDQej1dXV82saZq9e/eqqpm1bdv3fQgCsR//8R+/9kUvFEFOJTDrwmwAACAA - SURBVGw8WVtEVldXZV1v6BACgJqL0Latu6tq13UHjLcRERERERERERERERHRycchg/k73vVOB0SQ - UgKAdeNE6yq3jpJA6jysFFE1hwtueu+7i7vBTWDrinlkU0WcnhRq/sdoaWxmOefXve515lBFKQ4A - In3fA0g52ezNOrxDfTNK+OFXf//e3XuWRmN3t5xjjF3XHY91Wc/dRaSUUkc5zczMRERFzjv7rKu+ - 6RtGoTU3c3N3W5/Tvf/D/fZwn/872zZSAwgNeMPP/ps7v7xrktLB6fKHTBYnopPDwb/yVdM0Jiil - 5JybEBUQ96u/8aozHnLaLNBaFQKfF9GfeL44+plDMvC52z//Pz7xv9am0+l02nXjozhu1/kdXLnd - NM1oNFpbWwPw4Y/8+t179mQ70rWEiwKAwB0KbB8vXfui77Cc85DEvGmaEMJQssRQb6HZLJuUiOho - iSOI7tl9T9d1F55//k/8xE8Us7D49qa/tqx3G6aS+zQ4oKrXv/r77/rSF31+8VwP1Dbv7sGrZSIi - IiKig9Uqofopt6qORiPML7aJiIiIiIiIiIiIiIiIiIiIiIiIiGjLcBVRuLgXR3GBiw9lba2/OyGl - UkQkxmgGKMQL5ICIZQMMkgHAIaqT3qTEnfGhlz7iq7/qoq9Juxwm45WxZeu0bUJ7zz17VrZvm6YB - sOCuZuqmPuua4NCNZjaLG8TUTeelw7N/xVwc86zoWg7sQOzaUsowDCU7PEBUgnkw8xI1LMft5W59 - 5mXfdMbyw4bdJda4XQGk5mfrQQm8ungC5rndwL7cbps/aRQVE1x+8VNPa8/e0Z6m3kwmk9jMUs8B - QAxiB1eziQMwl/1W7/jxut1mL60yS1K36XStaUPbtimVnC3GJoSQ+2FFV7bh1Kc87um2J6hr10ke - 3F3U1WXfGypeN2BtyeJugEMEBlsre5IOLkVcxeuLAnCf7WBEh7TFsrC32OISERGd3NwdgIi4u0Dc - WRdHREREREREREcp5RxihEgxi6HNyWKMOecuNmnaR0ET5Gde+399yzdfpYBlAIgxLj6LiDGqHmkQ - oX6OUf+t0QullPotERmGoT6oH3QAqF8BUFv2L36KiIiIiIiIiIiIiIiINisBpEamuVidAHMvbrlt - ArwAEJE+DWjCr3/0v9x2xxeKaPZFcrZpqHnb5rNpboO5awIIis7zkd0MgAFf3rN2y6980EPQGE0w - lAyxEMQyh6LuhfiB05GlbO14qZ8OoWl++YZ3/Omf/aUAsxFCx/y9nb3Ls4jreTWmQGVW/aiAQoKG - ptY0xRiDaACu+savP+uhZ7QxKBxmUYNIGIa8f9mkr5s2ZhH+d+jtIKKqKZUQGpEgji5o6acvf9l3 - 5zLUF4YA7nCtk0DXz+/AxXLUPb+mmDswZMvA3pT+7ze8wUKUpimllFIslyaqW1aYyiwEfP7rVpdQ - hAGzRFuZy+zwMK9S3ncwTNkcCpmXjZg3UEnlR151fQM0KmbzSnCXxVFUFkdF2XekPY7LD/V6xJsf - 2ea18V6ADPziDb+UAai6wGwDZ5b5E7S+hEFrPqupQSznnM1i20DlhnfcZI562QFBbShg82UzqANu - Ftp2cf657ruuHcWw1LZNCDBxl5RKaKLBkxUJuliGxXIe7kxBRPTAmP/ldeCxaP/j6vxc4No1oZ+s - jsedwktK3/e93/MNX/dMB3T9tTOgqgrZdIc3QU4JqnAXEQmSzAThla98ZZ9SOxplc4dIE6Bi9ZCP - I51f1m89Hs+JiIiI6MGjVv3I5rvkJyIiIiIiIiIiIiIiIiIiIiIiIjo51Jv0Qghmpqpmxtv26DgJ - FoOru3koOdqgvYwmn7/zM8UzGi2aEwYXuMO9aA1TdjhiEUAydHA1V2SHIViIgqUz47nPu/Rbx3tO - Wc6nRhvlvkBCgRvKqIl5SI0GhxaRrCFLY2iAoK4C0w12dHAxB0zUIIZgaAyNo3EEQOCqDsEsIFyA - ZPCmFR2ri8CCGCRBcs5ZpbEUQxot645nX37Vcr9jPLQByIYoEMCTwKO7hKgA1LVO8yUBAFV4QROR - M3IQizGINAXt1Fds/IxLnq2TpelgJYQQGitwsaLmYupW863FFa4mWlRzQA4wUbjW/g+Llzs+DFIA - cxF4ox7EpW7Ato197gfJ1qCowRUpdNbFXttp91UXPmsJZ6t0eyc+WmpyrmnuWkSLQGqWual4TJ41 - iqCx1Kohtu0/7Pqsr/SQHBzBQrBQ9wHTUpTR3bSf2qHFDPXk2Pe96sFtYHQTJmVvugUiIiIiIiIi - IiIiIqLjp5QyHo9FRBUp9W/82f/naU+7DIIYJOdcmz5v37598fgodF1XU8DrHNq2HYahbVsAk8mk - 6zoAKaWmaZjeTUREREREREREREREtCXUKE0ALhiPRma2uroKoOu6IadtO3asTibvvfXmvmSoeM2H - E4XDcjm2SyL7P3LghptubLpxLiWVvLR9+zAMxVII4bCzoLkNVUuOlsa77r47tM1dd931lrf+XFSE - oH7YOdiR02TNSn2CmcENwLUv+o6VpWUvVuO/zSznXAcZT4g8mcagX/Xkyy+44CuA2f6mTVO/e+DK - HX5l3R21HhvejUZDKu+79QN//KlPTqfTdtTFGJeWlsqQ1OHupRzj3xci2nIEjpyed9VzTt223cx1 - kb86f3DAefCBXbb9ssIdQIAE/MEf/eFf/fWns9msfnuDFgGr62NWHYhts7a25u6f+tSn/vLTn3YV - VfWDanbnt7aoqJZhABAUCrz4u65TeOqHgxNemedKRCeHyWSysrJipQzDtHY3ePOb37xteQRDDBI1 - iEi9he/gg+cmIaoAHC6qUExy+pNPfurmW2/Zu7YGFVcZhiGV3DRNNl4nExERERERERERERERERER - EREREREREREREdHJSQC4uomImLi5ZyQLw67dd9ZGHybmsl+7ePUoFgFAzNRsXjyrgpwwCks6bb/x - iquXyo5mGLU+ChbgtZ5rMYfZA4cupvqcjeZ2L/jBc/PZPOtsZ9Hddf6ugNSU7VlTE0fbtpbQhdE4 - Lss0POphjz7/jEc3aSlYUKAkAIixBdTgZd5CX/zAkGAzwOCGEAANOWcxj1naob30/EtXwiljGYuo - iEADJJrMgqrnyznbCL7/hHlS+FFuoA2oKzDbgOp6yEWqyxMsRA+xNNuanZc+5qmeQlD0fWrbWHvF - 1N1DHOLQeXG3S93rVIq6+6TsmcoaYOoqi3dttte5sTqbDkVkvmds0jLWAzG6m4iIiIiIiIiIiIjo - QUREJpPJMAyqurS0lFJ6+9vffsUVl5VSW8ZLjLp7924AXdcdRSt8EZlOpznn2la+6zoRqfNx96Zp - AOScm6YZhqGZt7YnIiIiIiIiIiIiIiKiTW1dOdzq6mrbtl3XhRDW1tYATKfTz37uto997GOLp5tZ - TY97ABbtLz/96U/80R9O0xBCmOzZIyIqkeNQ98WiOm4Rm3oEOZWVlW0Gf+Ob3/zP9+weDGZ2yGce - ak62L1x1UXmlCsxmctEFF1555ZU181VERERVSymHe4kHQIyx67q+71/ykpcAaLsGgKW00fmoqpnV - wdPpdDoejwH81E/9VNN1k8kk57y2tlZKiTFKXJ83f2BpKBE9eKjqWWc99Du/41pg3ZHWN2O5qhtK - Qcr+jptuHC8vF4fIBo5dhzv1zArFVc1stLT0jptuBFCKH/mk4PW7jhjjU7/68osuukhVeT1ARCex - epysf3ONx2MU2/mQ097yxjepoBQPIcC9lNK2rQMhxhO9vPtzYN31bkoFrg5Mcnrta197++23L3LH - Y4xmFubnl/vylwsRERERERERERERERERERERERERERERERER0dYiIr6umjgjS5A77vzCvFHDATW2 - szhngYnPvhUMWqBBpFjYHS9/1FPOP/fRUbuooQwb7pNwnJm4C0zh4hCXWVw0VKBm5gViMdho58pZ - T7z4q9uyEqxrA2oxsSrcXUTcAVnkmi9ivOcZ1YpkQBAUQYEqFGFFT7/04itajACoZbfsiMXDZizk - 3gh3cZNRGD/+4sfH0jUIeYCI114f6hbmeeR1M4ms+1F3M5tMJmZl3xzFgH19ZoiOwN03ZS+EQ+AO - TURERERERERERET0IFJKWV5ejjFOp1N3H4/HqvqWt7zlCU94LICczd1DEBH0fT8Mw1G8RAhBVVVV - RPq+rw8A1E6aAOq/R5ELTkRERERERERERERERCeczhOXcykGb7pOgt54443FvIarAahVNWYWQriX - 2R21ed2OAG9729uWl5dj0xp8NBrVqrDj9boPSi5A0NXJ2mc++9n3vv99GuCAqxypeOoI33MHUH9Y - AIFce+21bdv2fR9CqEWSqhpCqInXJ0SB933v7t/y/G9eWV4apikeKv/1XnMDF3mK9XdhMpmMRqP/ - /Sef/OAHP9h0ncYQmhjappQyDMNx/H0hoq0jhLBr166Xvexl49jUpNK27Q4R3b056lddMOriBz/4 - wTvvvNPdzf1+Jqr6fLallKZp7rjjjg996EPtAYmzh1p3Fa1fz0N+9atfXS9Cju6+FyKizc8FoW1M - sLq21jVtHgZxRA3Pv/p53/Lc5ymQ09A2rYgMw9C2bc75RC/yQRwlZ4iIKoDi5oKmiWv98Krvv37S - 90NOy9u25ZwtFxHmdRMRERERERERERERERERERERERERERERERHRyUustukQq2W0JtG+eM9dLg5A - fdafAY6aUS2uANRN980gqkfPvhTGD8HOZ136DZrbnCRg0zUxUECQgxdxqC++puLwUpoQy5DKkJfD - Sn9PedxXXHbG8rkYYtRGFQZkN8BUcYSyswAERXIUFzEJouKK0j5i52POPfUiLdFzCchiBdAiusUj - fRUuIg2yn7Zy+tk7zg2p6yJyyS7uAoEtdiEXmMzawoi6u5vA3dfW9s4axdQGI/thfR+dJLb07zkR - EREREREREREREW1MjHFtbS3GGEIIIeScm6Y566yzfvmXb3js4y4SRTEvpY6RHWno8XDcvZRiZma2 - vmOmiNSohvoEd3f3mtxAREREREREREREREREm9D6WOb1dWZ1jAkSQmiapun7fvfuPR/4wAdUUIeB - VLVGLwN4AKKXDfjob/7mHXf+03Q67bpuSAVAPCDjkw7ism+6L1LJ7dL4TW99S2+eCzDPogZm+dvr - qu3ubRDQIQr3WXzg8nj0/Oc9L6XUtq2I5JzdPeesqnUvOiFUNaW0tLR05plnXn7ZkwXIKUEEsHXT - 3BEDdM2sbdtSSl2d6XTaxPDv3vTG4t6nVNzcBBqZ201EVSml67qHP+zcK6+8sgkBDlFfd8yxez/M - HmOHfUUNIsBan6fZ3vv+94VmdvLd0PllPZ9dcSigQ87j5aWbb71l73Tocw5BZsfJdYdcO+iYHIOc - e/bZX/u0pyuwOPASEZ2UUkoARqOllIo4FNi+tGy5/PRrX3vemWepIJehPnNIU8imu08vhAAHzKMG - CQrAHNOUM/CJP/nk+2+5OZWye/ee8WhpGJJqOLozCxERERERERERERERERERERERERERERERERHR - liDqtXGDqEuQKaZ7pnv2e8b+bQ326/HggEexxgd0ZXTFxU8/M567HB6SBivFu6Z9QNbgvnOFCUxh - EIMrUPOiVRxNCEG1QWxkhNVmZ3vOJY96qgyNJ9EIV5hlFxMR91lFswG2rvpMXGFQDQVIDhFpNfhg - Te6edOHTx/n0UDqx0oiomItA1bZy8ZoDEhuBBmvHvvSECy/zvaEJAQoTgxR1qEMNcJiYS01/B4BZ - cxiVtekq1OdFfIuCRNb10aHVEIsaQuE+i7TY/Nh6gIiIiIiIiIiIiIjoQaTmJaSURGQymbRt2/f9 - ZO/q6aef/o53vOOiiy6ocduqUMXRjXaISNM0IlKTuevYW4yx9tYvpYQQFt32j+nKERERERERERER - ERER0bEkvl+Ad5VL0RBijAZ3kdg273rPeyYpGxSAqrq7u9cCm2OlLoWt/5+5tol9Tu9893ubUVfc - 6iAUo7uPPdXPfu62Wz/4n0QRghrQD1n2q+a8T/btGA4BFHjcox9z7jlnKzyEUEqpo43uXh8f8/W4 - 78vZdd10Os1DetE139ao1GTBjc6n7orDMAAws+XlZQB9Lp/53D+8/5ZbYtsW9+JWn1kHWInoQc7d - R6NRKeUVL395zkmAfjJV2Xz3VwisuKoAaBt9z3veM5lMEPR+1l8vKuQl6GTob7755hgAIBcvpeAw - 5wUB3EwAL/593/d9XdPmnN29bTdbKwEiomPG3FPO9ZQRQlDIF//pzlNWtl34iK94w+tf7w4YmhAh - 0BBO9MIeinn9YyLn7Ga1T4zXP/oEr3vD6z//+c+Px+Pdu3d341HYnKtARERERERERERERERERERE - RERERERERERERHS/1bqqRQguABPbM7knY2pitemHOnRf7uy898a+hg8KiHocy7iZjq949NcuTbf5 - VNs4Vg2p5Ad8ne6FOBTruiu4AKoOEZSSYoxRmzzJK82OslsvueCy7c1DSq8KFYGrhRBKsUX//IOr - m632QwmC4BqgEOuxc+Wcix/2RJmMYmkjRNyCuAvK1m/zIAhiIVjoMHr8Iy8b2bIPCAGu2cUEpvPu - MT4P415svbrjTfs1UQcW6d0OQLdIHjOdWFsmuJvR3UREREREREREREREDyohhLZtay/LGGPf913X - jUajvu/P2LnzbT//8+ecdUZQuGE8auvA64aaKtc2+imlGstde4OaWU1oqD2Ra8f5pmmOxwoSERER - ERERERERERHRsXVAenfTdKqx7/vpdArVvWuTd9z0TlVdpCzXopoaIHd8o5cdAEpxA26+9Zbda6tQ - bUddKb62Nj2Or3tSqAV1i7K6hUPmtRtg8H/10z8TY0iGodjS8hg4mrIkR8GiOhRoVF/wghfUwcSc - M+bp703T1HHGo1q5YyCl5O5Lo85y/oav//o2NgIsIgN93XTkEdWcc9d1AEIIMcbV1VUATWwM+Ln/ - 9627du1aWdkWQpgO/ZCKs86LiAANMpmsxUa/5qlPueRxXxnrcUakHox9dvY78eoZvhSPUftkn/vH - 2z/6337b4MAGzi8HfH39j4QQf+u3f+dvP3tbLmhimL/ovmrvxVSpqALblpa+5XnPV6CNUVX7vj9W - 60tEtNm0bds0ze7du0ej0TDtg+gpO3b0a5O9e+553nOfc+013yZAyqntGisFmyz5WgC4RVEVXTRl - EIVGcUEB9kz6V17//X0axuNx0zT1KvpIM/QDJyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKircI8 - i6p4LSaGoXxp75cGHVzW51srfN6RQMzFTFAEANTh4gDG2PbYhz3hrKXzlmRHWsshBFUtpTzgK3Qk - sr6xgtdE8tkX3D3nQcTMMzJa6XQaTxufdcHZF7dlhKwQMXcJsIygwKyu2Xy+HSqFmJkEiDgkSbGu - LD/u4Zdsa3ZqakLWiFjyUDO+CzbX9tk4zebuIhlt7k4fnXnezgskq9XCb1hwBIO4AuoCm5eBi5vA - XGBi02FiKFYruWUR4M0OGHQktfa/Voke1/ZCxwp3aCIiIiIiIiIiIiKiBxFVnUwmANxdRGKMZtb3 - k9hoKeX8889/97vfvX37SteFtbXhKOafUhKRtm3rcOx0Oo0xikj931JK13Wqqqo550XbTSIiIiIi - IiIiIiIiItoqUkpm1o66putyzr/78d+7/QtfSGbFCgAzq6NF9fExHA/aL8N53VyTFRH9P1+4/bf/ - +8f6lLKZxjAajY7V61L1Z3/+l7/xXz+arNSc1NXVCRR+hGTtw1VVzXcJFQBoQ3zOVd+oQIwRQIyx - lDKZTGru+/GNfj+itm1zzjnn0agbj7tnXfmMRoMdVUnqMAy1nLUmkY9Go5RTCHrb5//xQx/+8K67 - v2yOpaWlWvV6rNeDiLYed4+q4hD3F193nQBR1ErZbMWqbghRAaRsAEII737ve1IpdtgTwH1lgAuK - +8033wxABH0uqoDA7fAnHjcBnvec55790DNSGqJqHlIImyyrlojo2JkOvQuapnH38Xiccy4ptyFu - W1ruJ5N/8/o3nH3mmQoM0ySKzdk5xNzgJiIxRIi4w2pbGYcDf/XpT7/lrW81wTAMTdee6IUlIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIjpeaqcOADVb1lD2TO+2kCCHK601wEzh++p6TVzDdPSMS5/d - DEu25itLy2naDznHttuX+b15uAKzGGkTAGoCqLvCJGUb2i7mIS/HZZ2GC86+aCwrXmDmEEDMHY0e - to44aOPugBvgbjDZhoc8+mFP0BRaaaJLq8HMEFQVqputjPtoBI0wlT40aelR5zymC0uWUfcf8Vr+ - PYt+94NW191TGtxrIaKZGGDrY46VSQJ0Uth8x0EiIqIHNxFZ36OQ/deIiIiIiIiI6NgqpbRtu/j8 - oXaHDyEEiMJg+eHnnnPLLTcvLy8tfqR+PtG2bR27bduRyJFaG7v7MOyL/V5EdNfPPcysNqavkd6L - Z/Z9Xx+klI7d6hIREREREREREREREdFR8/0isgFxFdcYY0oppyIhpOLvfNd7ElyCLJ66GC06hrnd - h166da/owNtvuMGAGJucs4GFX/fugBpNcYjD3XPOquruEjS2TSpZY/i3b3pj08Zi2Ffv6dBDRaIe - ftMbYKIKIARxR6N6+VdddvppOwHUkcQ6mNi2bUrpxN5HPeSEei+3Ocy/+flXm5VG51nicvhs8oPU - VcN8cHY6nTowFDPgrT//C03XFfi0H3LOIgLoQdVeB/4mEtHJLWrIOUcVy+VF3/btp27bDvcAEYiq - isjsECSAQ+53Tvb9UYpDEIIIkEr5H//zf/713/5NKllj7FOKMc5uF3F4mZ1z6rmmWtR1l5Trk1WC - SoixGYZ0xx13/pf/+ps2L6upmd2iWJwcYoyzByEqUA+gL3vJS9sYu6adTCaj0aiUTZlVS0R0LMQY - 6wWkmaWUomgAzIqbBdFTd2x7y5v+XRSJQbzMLifH4/EBM9kMpYv1DxAs/niU2TF9LQ1v/rm3/vXf - /F2x2XI2TTOdTruuq381LC6ziYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6HWiZZa1vc/YBYMaJj - SEMwMxQEUVV19S/uuSuFweXAXc4ELibiyc0DTAFHEC2SoobHnvfEs5a/Ajk0TQPLqqgtI2xzhVML - XAEtgqIosq+lgqNItOwJ0ZINquIF0ZuvPP+SsoaorTtUYWZdREqzOmITOKDrqs3cRVWLIQRogCQ5 - Y3zuI3deLK7uJbghlRhjKcVR89G3dqlaNnP3LjZadOzLjzjjgrxmXVRglt4NRw1Hr3uCOFRgnt2L - iKjqWr9WJM9j1Lf8BqHjTVXNrJ4TzUwEW+L0eOJLaomIiIiIiIiIiIiI6ISr7SwBiMiFF174zne+ - 85RTVgCMRq0ZQgjDMLh727b1wVG8RG2XGWNU1dFotHfvXgBt2wKYTCZd1wFIKTVNw/RuIiIiIiIi - IiIiIiKiTauUEppoglLKZz7797/7+x8PQXN54GpoDigJdMCAtmv/4A8/8ZnPfHbST1Mxkc1VOLiF - qGrXdaWUEEJKqe97Vf2rv/6bP/jE/xqG3DShaeLiPbCNR6LWtybnAqCYPfPKZ7Qx6gmNnj2crutS - SkGkCeFJl1y61LTFjtl+7oAr7rjzn279/34lNDG0TWgiI2aJCEAppWvalNKobbomfPdLXlrrzQW+ - qF+FCEQwb/pwAtTDtiqAYrNM2MHtppveVU8iqjodBo0h51xvFDnkbFwgPkufrfGrycradLL9lFPe - 8773ZjcA2WcHX1G4YRHSWo+ZMcZcMgAFLn38E578pEumaxNx77qu3qNynDcEEdHmoo7p2mT78koI - 4Rue9ewXXfNtXnzUNfWwPZlMADRNE0JomgbACY++PuTLj8ZdPb9ltx95zY9N0pAcGuLevXuXl5f3 - 7t1bTyullHrzIRERERERERERERERERERERERERERERERERHRllaz4QGow8wQ7J7prqQDYOoHtWMQ - QIoqTJBrKVa2EEKZlsec84TtcqqUaHD34iilFNXNVW/rAkM0aI0hr9MsYRoAUNSKFhcDTGHBZElW - zjntEWptCArAi7nNUngPmUpuZgoJAjN4QbD4iNMeNc7b1RVSIA4xuLoAcEV+QNb7OAohmFlJOWrT - WnvWqeeMw7YyKHxfibeL+Ty3uxIREXF3dzcfUuoBAAos3g5V14Pi44m2KkZ3ExEREdH/z96dR8uW - 1XWC//5+v31ORNx735gvyWRKyAESoYAqLSccyxaULrvt7lqt1QU4tF2uXlav7lK7Kcuu1ZOrFFlI - WbQK5TJJoEUKlLSW0opDo4kCyqAFMiWZpECSQM5vuDeGs/f+ffuPHRH3vinhJZn57iN/n5XrZtx4 - cSPinLPPPudE7P37hhBCCCGEEEIIANxMyAq4sD7zGU9/zWtevbU1ms+HlLRVVe66bhgGAGZ2oc8u - IrXWVm25VU8+fPhwKyFNslUCLaW0l2i/hhBCCCGEEEIIIYQQQgghhH1LVcXshte+dqi1PCpxbwTW - 87lkmRmqgKqqg4tcK/C6N7x+NJmICLgfo6D3m3POKWrfDIpIztnMoNqPxzfccMPJU9vtj4ahADA7 - fXLm3s1zvnsAAF6rpURCAQO++wXfBXeh77/Zek5WIdxdiKdde+111177MLYqEXFKAV79mtfMFouc - c0qJAkbjDSHAVSGEiCTKi//JPz4w2Tijx24ToYGzZto/EgSQ83Tqtbb/q1mb9f/Wm276/F13Fa/j - 8djda62TyWSxWJwvuhuAs6jB3UVEVUnS5dSpnTf+xm84QMC9xZRj/STtV4IQrNaDAPjR/+6fjvvR - pB+VUuAE3P2SnyofQgjnp3vP6NflRVJKdD914mTN+eU//3Nfdd01ZZGTYNyPIZ3lQAAAIABJREFU - 2r+2/rmFdj9I/3wRECBS6uazBbk89PzlX73/V/7dr6aumw2L0WRMgSajwLEceXix33QIIYQQQggh - hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIXy5XByAiAFKEoZ7t+/JOvieQGvZfTAqAQUddIiYuyd2 - h9LRZz75uX3dKvSMTHVVYYWJ6r6aiUUFhDACFAIOoRBKgOrLkGl3rdQCuLqOufH0J30VMhSGNvvY - YWfPtCYgoDhaGroChDg6Hz/zKc/pfVNcKMWlUJyiYBJCSOBRKZvySHETCljpnRmKXn7wissOPL7j - ZM8K0iruUpRQoq1tEYoSgKNW1vkw8zap/Mz1up/mIYZ9ZhUzcbHfx5cmmnIIIYQQQgghhBBCCCGE - ENAqcprZbDYzs67rnvvc5/7SL/3SeJxafU6SOedW8vghlLxsf1JKGY1G7QZWpT/dPaUEoP3s+/5h - XK4QQgghhBBCCCGEEEIIIYTw8FLV9l3PXXfd9eY3v3nUd5UudtHmpzgIQfHape7Nb3nLvffeb2Yt - iC48BO0bw5RS8WpdB5XPfPaON//mW/ouAcg5n/ZoeUihsU4VAHjCE6689tpr6yr5dV9R1cViMRqN - vFRx1lq//Vu/7exWLqvIxAtdES2iW1U+esvHb775ZqjMh6F9YRpCCDnnrutqLgI85clX/Sff+u0A - VFSkRVSD7nQXQOXizQ/dcwhwECopdduz6Vvf+tbRaDQMw3g8LqVARUTOd1wWLseTqCpUAFAw2pi8 - 7ff+38/d9QVVaendZgqgVgdgetrc3VwyAVW9/Ohl3/u933vi/geSmYm2YTCP5PKHEMJ+5AIRGYbh - yMFDChHiF1/5b8ZJSSyGBYBSSuuTWyd5saKvWyGTcx4bSs4g+q43NQcE+vJfeMVf/tX7Nzc3a62l - lL7v3Z1k3/dnXp6EEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEMIlaDnfFiIiJKG879R9 - VQv3RHeDKqtYZXIZSp0EYqqabJ6uv+pZR/tjPiXJqsWNkkSc4g+pLsQjS1cpuu5aAVdCKEJVKlqK - 93IOmis11dHVj7+OxegiIiIwMZNlfQYKXLA3lldV20y6JLCCDT1w9ZXXWk6AunjVWoWgCUVWVSMu - abVms5YeAM+02l115XW9bYm3dAFlSzQXABBCZDlfmwKSIkLWnIfVtD+6UAhxvfTXTXgEPeTEiosl - WnMIIYQQQgghhBBCCCGEEKBCgZe8OHrkEODz+XxrY+M7vv3bX/nKVw6Dp6Qiyy8/HnLUgZmllBaL - Rfu1faciIu0JSdZaSZKMKIUQQgghhBBCCCGEEEIIIYR9RQjZM1NGRFT1pptu2hkWsyGL6aM8kUax - Z36Xi1kvIouSj+9s//u3vHk8Hkda55eobdm927frumEYSI5Go1IKyTf++zdtzxfzXLD+jg9ScwFh - omhTHs/3/GdnWhO1VjMV4Ju+8XmdaVLVC06+fsRpMgCqamYClCG/8AXf9bDNwhKAhGp2OvDqf/ea - ljt4zv2ohXyHEB472sAJsCrERJPoD7zkJZOudzpJiEB3eyORi9ZBLF+6zad1JzmUAuDG17/u1HRn - Op+llMxsZ2dnsrlZSjnf85gZyTZ0JOcsIrXWG177WkJErD3G3SEAATkttxsGCFLS6vXFL3rRxmi8 - ubEx25mO+9EyL1yjAw0hfMU64xoNaLVF0PfdMCxKzbWWy49e9jXP/bs/9OIfUKBTSykBaKf6yye5 - eMcR4vQridXvKppSGvKQvYqoprTw/C9+6qeOnzxRSjGzdVz3ssLKWc5eMyGEEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhBBCCCGEsP+JLMvAO/zk/LinVXp1+1cCXM4yljaRqiJBHFVgttj4mmu/IQ0d - sqSUKHCQFIUJVbjPImupq1lgrvQWva1UpQAGmsO4mj5m1K6MHn/oCSMds1ZhKzgirOupZMu1tJ5v - popKVocCySdXHHjCwckRFmm54MVKMacomJSil/58NGfRji5eSRPNcz75yuuYk662uwOuoCzn39ky - xBuAL6O7VbLnVcK37214IZyhlUZZT/CM6O4QQgghhBBCCCGEEEIIIVxKWhHkyWRy4sQJdzeTxWK2 - vb39ghe84FWvemUp7o6UUgvY7rruQp+/1VZutZi7rjOzdjulpKqqWmtt5ZhLKarx/UUIIYQQQggh - hBBCCCGEEMI+VUqZTCbT6fSGG1+XNAGgO8hHP3x5/YLVa8vm7Mze8IY35Jzj+6aHLOc8moyLVzHL - tZzc2f71N77RBABUtU2dalPvADyEiPSWF+jFATz/+d/Z4vfc9920vVqrdWkxm5sogEk/evazn31g - c2tPXu7D8BoEVO2d73r3LbfcsrHxYNG2IYTHDhHpum4YhtSpu6vIt3zLtzzj6devp64CkNVh7iL2 - n3SHKlZTalPfEaTg9k9/5h3veMehQ4fmw0JVu67Li4WZne952niSZR0BQdd1H/vYx973vvcRHGox - NQBOSFti4rT4cgJAKT4e9S/+Jy+aTadd16WUhmFw99Fo9BCOUyGEcEmjYLaYTyaT+Xw+7vudEydN - 9Gf/r5/5O09/evUqIu1CaRgGAG203sV+y2dqhzYVVdFCn5UBkPd/8D++6lWvOnToUPtXMxOR+Xwe - 130hhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhK8AIuLu60DcnPPCBxpccHaIMgERFbdU - VV0L3V0uG11xzWVPS6XrUzIT0p0stRrM9lk5B6G2CG2BQxziQle2VOkknogEJFDRQropnY+2RkcO - HzwCF1LEYbA2j5gt9/tcHBCY5dE1T3iausFFaIAW9WzFoUoTKiDYb9HmF0RcVQA6KlFTSnC58tiT - y5xCFYCiFLT/hGh53uvJha1+iAjPqHfBR72GTLgU7cNpqg/iUt7PQwghhBBCCCGEEEIIIYTwMFFV - 0k+cOHHgwAF3NzMz29zcdPfnP//5P/+yn+1SS9fuAOScL/T51+X72+2W/y0iJEn2fY9V2c2HkAse - QgghhBBCCCGEEEIIIYQQHjVOUPQP/vCPP3XHZ4qXycYGoJDzRnI+bM4zrUvNQNJdzXKtt97+yT/+ - 43dEhNsXJdz9r1ECQM65fXmXczazt7/97Z+58/OZUFERo0Og0ja56Lm3iTxYrHWty9l6mxujr/va - rxWCtYD7Llq11ppSKqW4u0JMdWtr66u/+qsfthdIHURVtXoF8PrXv55kTF4MIQAQEVGSNAiqw7k1 - 2XjRi17Up3457mLP/FXios5lFQEdAKh0AdQJADfeeGPOuXWho9FoNpullB7kadowkpbDWhy/8aY3 - F/rqFdZDTXZ7SJHVOlgt/Xd/93dfc801XddNp9PRaFRKaU94EaPNQwjh0bSumoFWogU8dPAQnKO+ - U3Ay6l/x8pdvTMY559avkuy6bl3J5eKQPf/tuaOzVEsh6XQVHU8mFVTgl3/5l//kT/5kGAYzU9U2 - HPGM97/36iaEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEC4VIlJRl0nVwDzPK0sFIOet - tCHUDkkrSYB63ZXP3OIRdTGBkA7CkjsNYpR9W4BDSCEVVLq5ChUw0AhzJELbkop3Ix0fO3K5wACQ - EJjsiew+o1SDs6iKCFjVSv+0p15fShGDeAK1KotVAOJJ2V700maKUgZRUtzd+5QObR0Z2abuWS9V - dzPOyeXkvN2p3KhDnq9u7xIuS5GEcD6XUHr3g5U8CCGEEMLFJASxKhcR1YLC6eT0JkEFINx7seO7 - D2O7qP4SWtHq8S5Q4vSf3p5i/XP5SK2uxcXXF02U5UuvamU5T3/PZ50p66oqSruhWBZ/bAsl5gnU - c17p6mrZT1sIKsTRfp7+cusl2n0GQtoCLddSe4UCcYAOaR9J6PJVvGouaVZscCXFzdvTtvfs6l1X - JupJKUKAaXeFfMlcHFAlpC2deHsGX77h5ScC7bEUPFb7h/M26XO13rOu4dct5Iyf57G3/QPnaHWP - nLatKe7Stn5rwK5wAOIJWO5cSm13nd3k/Dw7yz52Rn/jQn2wXUm89R7LQ6bs9iEABE5x3+1b9pPT - F2rvh3E858P29FQCJyDn6FSXLRZY9kLrux/kpQG4cG9/vu5efNWrr1/o7G2xfufLjmvZWWFPDy9K - bd3j7p+d2SZ3fxWCsnrp1f2rzbfuxdeHlbPa9vIP129Z2ptcVxheL/SZfxj2Et/dD0/bWLpqG+f4 - o/PtZetGsm4CQlDctVKc4i5O4foEZn3UM+9SGQkTxR9jm2x5rAeWTbrtF6sd54z95fTOpP1vb5ey - 3m1WN8xP+yeX5ZOwnQKJQxyoe8+mXNBOUU57LQpWLWS5lakCKGV5CDv3cmF36b4o8bbsq714z5p5 - zPnSTumXjwTg57xquKCt4OIQUrxqodDFKd6OQQCEaj6ymsytNa79dqQND8F8Pt/a2lwshlaRs9ba - dWk+n2rqU7Lv//7/+v7773/5y18BuMBF9UKLG7dCmWZGspQCoNbaXqjdHo1G7XYppZVjfgSWMoQQ - QgghhBBCCCGEEEIIIXxZKOi6bjqfvfb1r+sslVqm0ynUIPLofJ3bhs3sHSDitYoq6bXWlh/++jf+ - +j/4ju8wiMQ3mQ9qHWtHgawGWPZ9n3OGSvE6Gk9+7YbXikEclc4KAZKlWrPzQbd3m6R35h0AQMIU - Bhw+cPBJT3qSOXxYdCnVfTYcQEREJPVdrbXr+sViQZXnPe95/9+fvXM5cIuA4KHPIKsOwunj1HnJ - b/mtm37yJ3/y6OHDWI/AjcYbwmOVg2VRU0qgilTPZcDsB1/8kn/9sp/zucyHRZsNDYCALmeePOqW - w1iXL65mJWcADlTgHX/2ro/d8olnfdUzfMjz6Wxzc3M3LHbPsaF1dAYRtQKqWq51Ot1582++hRBV - rV5zLe35vVYAauKF7RCkSbzSVEzxou/7x56LgZrSfD4fj8ellMViMRqNvER/GkJ4jFEhubO9bWbu - Diec3/B1X/+jP/wjv/xrv7YYFu1RpRSSfd8Pw3Bx3+8ZSi3thopSMJvN+r6vw7BTyk/9q3/1e7/3 - e7lWVfVaNzc3Z7OZahvJvPsMjIGHIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYRLiLgo - pIIkxYuUoSwAoEJbmNhyzpSv8rzggImYoDoF6Ly/9vKnp3nPCqoPpQLoum6xKAD44NUhLhpfB2i0 - +dK+KhEiLTF69TiKkqJuRzeP9RzNvVa4iKwDzZRtitnuYrJUSwattYgOoycdu5pzTWpanbIKv5Dd - BKtzpv9cSqi1lq4zd18s5mmjO2CHjk6OTfnZtmZcfDk9HAoo3UkIsM4BqO65DnuDaBxQ3Z8tJ+w3 - l8yszojuDiGEEPa1CKkKZ2v5eUrHbkiqg0mpDlmFPQNSXKpSS/HOkpk5i5OidKDV6hIRQGutJjQz - Ea21UoWUikpAFKLLq1In238QWV02edbFMNrJOqe4GgBflGE2bM+G2YlTJyrLoixyHXIequdKOri9 - 2IFIMjOzTjvTzsQUdmjr0Kgbb463xv2k70a9dUm6nuO02Ejshcv3rFQRURhJUttnBuIUMSFEJMGE - XipLrWJqXQdlrbWiQoQiENRKkiopqYhndcBHYE8BpbgVSnZxQgVjupWqSVWkDnryQ3e/52P3fKiM - C7XaULuum5dqZp2Ax9ML/84/urx/og/VPMGTmdWzAjUffOO6EnCtSQlxhXgxunilJ+t9gELFU2fJ - Ued5bt1uBO+5XmZvXLEC7pdy2rdyHVt+ZhzpKuIXFFAIgTsdFNV2e293StCEJMFKdxEKIEKsdo29 - IdCAU9jSjFcrzvcEuu911oo9e9NfSH62cPkqWdur72agutRESZ5qpY5goj5XM606r6uCQ+sPM1bv - fPlmdHcd7rf2oHveG/Zu62UwOYBVbvRq6RRwIxUOqkOrOgXQlIfaiZkpfF7gVKXL3vTmi28Vkr0u - EaVcB8SvlneZxV5dXKlAWucfa4vo5mmN392hKiZkLcUTOmklGZdt20VEtH0q5iRFJJmIiLsXWSz6 - 7ZwyxcXg4kMZtmcn54vZzvzUPM9ni+miDJVeWYZSaq3ubmZ9Sgoz0d66cT8Zd+MDG60/PzDux0mS - UFnRlW602Op0IiK11lqoaqpKCknTTjXV6u4uMCUyCjrLKEoRaKu2LE4BtQU8r5t6+3S4rZllunPd - G/MsVDAp3UUJXa9ebX8dzkncpVLcqYQuVzKWwcztU68zdqYWxdp6FSWI2u7srB8WRYiUkjuLu6qI - CNy1A1Ne6DSnusB8Xk5WH0RonQ6oZilv58vS4x/Hq+uOYlS+9OPppU8dhmVvWAQVcF0dCIDWkpc7 - gRIOSPJaq8BUhIWs3llKKeVSxLQC1d0Fokqhl5pchYCYS+tGWVWqFooXGarOKBm6oGTRWkHXbsi5 - lKqQvu976wHARYoqNElvriwCF4MlJi4sARRUUERUE8la2ErjoTUYEbIuH0BdZpCLryPAgfZdBRXu - yyVNYAeAmh9T+6/L3r3PAehZi3/aesNy7bXvmFyWu3Df99PpPIl2qR8WcxHp+656bi2KSpLKZeVx - ANmzozDlrIuZTAebDzqblZ1Rn3IdtDMb+s3h2BYOH5ADnXeVpSoIdfH2Dh0JywNcuGRYl2aLBQD3 - 2vbZWnNKqopaa17U//5Hf2Tn1PHX/Oqv9QlDcTOrldrqe5Kq6l66rss5n/P52/l2C+dufF10XoTt - Mk8155xSao9fVm0+60YIIYQQQgghhBBCCCGEEEJ4FIiou1uyYRhUlaR1qbpT/NZP3nbze97lAFVB - PzO++IyvdFowpyhJB0VWIaMXOpiHe/+/+12kmdVVuhshA/iH77z5U5/77OOPXXZoc7PmQtJrHo1G - wzCYmbe409UYJGkDKgk+xqKS9+Z2U7yldwtQiqe+y6UCcuttn3z/Bz9UAKwHkwK5frFsv3Pmdsvu - L+4Q4Fu+6Zs9F4UAyv03k1HEhqEopBv1OeeuSyenO9/49V+n7Y2qOEiHqjqpkAubrUqAVDMQi5JH - ye458cBv/+7v/PBLXpJSmk+3Nycb7j4MZWNjY3u60/c9fH+tnxDCI4QCh2pSEKUUFVETOjuVf/rf - /vDLf/EXBUipyyWLJLINvHiE+wee82Ybo7wax17z7n0Qgjfc+LpXvOznutSLc5nbLc4zj78QQlSG - YRhvHdhZzGXUv+XNv3HfyQcqAK/rl/NSAUDafQpAVb0UA7Ty+muv+c5v+1ajCwinmZbSTgw0crtD - CF/Bzg6oXnetAMwMAKsntZoLFP/rS/+Xd77znX/94b9xgRMUWEpDHlazGPxcl3GP6AI82B0EweWF - 4zAMAsD0rz7+8Zf9wiv/5U+9dLPrRPTkyZObk43qGW3WSRvlLiAE6yuYEEIIIYQQQgghhBBCCCGE - EEIIIYQQQgghhBBCCCGEEL4MIhEfFh4NieLVxbSYDzbfXpxiSV1vQkLcW9p0SxlaxdY4alGKqJXU - DxvXX3n9hm+YpEKHWoLU2aJXrZ5h6vsp7IPiDnAZxONowRbaIthAgQBplUjjAlArPaG/6vCT+6HP - 3Ux6HWZFrSMKACGMbgSAAgCaUlqUgYaJ2uUHnzzmkYlteq4JcJRUjQIHqvrZuQ+XHqqYodCrErXb - GJcyjDh58uZT7jrxkdzB1dmyuNaRVmKqtQIgTayUwomdGKaQYvQWjFLVGbP0wrmowr20LEFVHYZB - W6jMnkS5/RkoE9HdIYQQwkXRTvp1f54fhH1PVyUMuVtFRFY5pOKtdqRDQTiQUi8Qd7jD4aBTpOWl - kaKKruuENeeh1qqqUFFVSQbU4rn4QCG1akcKqaR49roz297Z3j41HL/9vk+cXJw4cfKBkyeP78xP - DXXIvqjMqbeKWjFUOOAUiJCCKoC4wLCKGDSYUKQoXFBFXUdpsjnePLR1aKM/eGxy5dEDx6644orL - Dh/bmhxUmJSWE5k66U068wQxuFMUlKHkpJZG1utm9lxK9koXkFAIRUB0ZiKG6jkPvcHXWeRtJdJr - C4SDkgKqqopQUJGGd3/05g/f9/7ZaOaaU/bO+mkpqtqRB4fLrr/8OQcff3SSxoldzUqeVYH0i3FZ - pkaL67oOJcVFUekmKVnywXMdqtXxRp9zbjUgl6GeAuXuk+y9IBGCokp3Oa0PuoR+Ltt5+9xEReDr - FSzOimUgPUVAFzGDk6Qvg4q1Xa6pqnalFBGFQaXFwC8r+pxzcy1fArtpv49S/LO4sFVT8uWboLbi - Sjln1Q5IBi91yJINY1u+vXVEN3xvaVUAUG0VQ1vZ233WHnb/v1yEPdGk4uc6ZCqoChhzK+WrghYC - Wd27rktMXhbVi/YiZgX1Eqm8pKdFIxPewrZFVw3PW6eOVXEsEXMSVAHh7nQT7bUTKiEQuLgIXWpF - rlIo7lZVRcx3mGez6fZ8Z3vxwKeP/+3x+f0PHL/v+MkHdmbbs2G68KEyjzbS4DkzO1wMDhC1uquq - qQpMSFYoNCH12uW5K1KHNErjzcnWkUNHjxy+7Mjksqsvu2arP3xg8+BkPFbphcaiUlRpuRatyWAi - qnTVNO5G8zo3ERW1tp/WCqGK+nI7tz5SVrndulxl0qp0obXttkrXXeFqn2p5wHEa9kXIsseQFvqr - ux/BA6sNsIu7xd+osl7tOzs7o9Go6ywPxel9PwawMzvZbcpOPc4+P5Dv+4v/+N73f/gvT07vm2yk - MsxhmHo27Q71R77jWd/1D64+emRyxdzLo7PU+40QkNOOO+ubrV42xBXeMnCJSlpKSQy1cDafm9mw - WEiybtRnlvkwk2QbW2MfFkTJKFWc5lnmCwwZi9s/e+tscerE7IEHTt1z4tR9O9MTs9l04cOiehXC - KSJJzSx1asJ0YLw1GW0e3jhy2eFjRw8eu+zwZYe2jhwYbW6OJ7kYaCZjFKtDMelGo4m7l1LgTElV - WJwKJDOvdfdItzpOKRwtDn7PXvxYSnDfg/rFH7NHazAuaKfdlGXWe54vDNanXkTMEoWVpdQ66rrF - YtH3vZlNp1NHTaNUZOFjcDRs5wc+8pkPvudDf3775z/hfR5vjuY7077vs+dR3XrW5d/w33zHD4xH - x+YnFppEiXqO06m48v1KIMKcFxsbWznnl770fx6G+Q03/HrL8xZNXqu0nAYzMzlfbveDU9Vaa0qJ - 5Hg8fuCBB7a2tlqedylFRFJKLec7himEEEIIIYQQQgghhBBCCCE8aki2r2xERFUpyLVSoCm9+jWv - IdBmYS0fff5cYTOrtTpdRaUN13pYv/Npox/dXUTaxK/psHjdG/+fn/3f/vedU6c6szYch6Wqqoic - GTS+J8T6MaWNNjwDgfF4fGpnezLZUOtuvPH13gagnWu9fZHnB4A23Oj0+3U5cufZz362iKio78tQ - 6tbshVgOeFA5fPDgM59x/bhP06HUStFlhjfLckjTBb8EUN0BLEpNwFt/+6Yf+sEfKHXo+57ubURI - +yL14VywEMKlwAE7/R4BX/KiF/3b//tVtTq9gEowWV/qcHHeYnPO/lsFlN9661v/1b/4l0cPbI37 - UVnUStfVIu0OmG8T4Evp+771tGJ64+teV4HUWc7n6VwFIsm99KnzkhX4kR/8IROVGBcaQgjnJ8So - 6//tK3/hP/sv/ssT0x1VK6zcc4a/nHOyX0d8Ehiqq+i/+eVfeuELX/icZz1z0vWHjhzZOXGyT7vj - bM+OMw8hhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhH1OCAEJV6dbdfGFD3RVT4rsAGQZ - aL37JyKVhQApxnTZxuUHu4MjjgqcgpZ6o6yyLCux72o67KZOrIIYlu9QHDgrnkMgEIMdnhzpqoFa - mZNAVnPKFDBfR6goW55Rm84s+rgjVyaOvUgPEbjShcqW8gZtq2a/rZ8L5a4inUIqlSzi0svkyPiw - Hl/Nv9vTelyQWox3+0eC4uTuRlFKy1avAvMLS8oIjzXrGMRLYjeK6i0hhBBCCJcWBQ1Q0CGtRIpD - ClAdleKAOgRIRAJEqAI6nKSoKJbhpqLS930pPgxDLotkMup6dKi1Ekpn5YKWfeTsyiCzhex87r47 - 7j71hTvu/tTn7rvz/lP37eSdRZ4XX0jSFssNg/QUhaM6MuEuTgDLyFiIiIi4u3IZ0NtOnYWqVHQw - SYqkrvN6/KTj8ychYlQhKR+xhO7A+PDjjzzpKZc/9XEHH3/tk56xwc2xHEhlpFXhqZfOknnqZ2XB - oZiJKFxc1frUo0Jh7t6Kb1pa1pIc1AgVrcK5gkKHQDwpTJDoCtDMgcE5Ex1cZuhqlYGoVHGr8ErB - vAwbnqd5io6k1MLCAqhcYNKi0oXrcFlfVY1REPCWUceiA8xnnGaODL15ArV9drAKmcbZVyPSLnGF - LdZWBJfcTwpEHXCSLpDlBzsCIHXJSFBJggRUWvhxLctaq4JCePVSKlFFWt6ftlh3ERVVUXr1ZWI0 - sQ66Bh2yTpUGQEF7mPK0qOmHnVOri1OdAqsJImQCMOpGJgnZXJnVaU4rTrAKaofVZ0MtbnyZ7bp8 - 7wCk/SqA6z5qDwCA3ZTHZb44ziyixN1SfRRvYa4qbcNrJZ0gVN29uis8mVK8luxO1TPKGF5Uq912 - XRyqNaPVxlEADgOcTC2uGwClQDKkrEKqe9DoiTQRSS2O3Qurk1RDxuCo7CqS126xwHQh02yLe3bu - uuMLn/7MXZ+66/jdx3cemOVprQuiOCrgooTBNxxwR91mpTmUVBHhakOwFWVG614oqC5UofZbnVAB - FZe7F/zbu1TvSQoRMVXb7A4e2brsyiNXXXXF1U+54rorjzxeh5GVZKVLRTvvhOp18Jmb2rjr4ByG - qYiMRyOKz4YFklGWe5+6YlmeUkClGOiOBHFyuUqVq4+Bl82snB4VH87LT9tPAAAgAElEQVSFaoSy - a8novpuQ2rrFZr0OVdhKObuD1PapfeckyQMHD87m20OZpT65+06e9dKnLZ6Y3HdvvvN9H37PX/7N - X9xfjttYvJ9N6yJtqSZbsDJr8eFUd2I+3j4x75OaXgKfsz1c/LSVzNYbLD/od1nXxHMFqrgQXsS6 - BPFaC8VFDJ1qn6r7aGvszNuz+wGfbE7ofv/O5/NkztGw8Nm9xz/7yTs+8qnPfeLeU5+blZ1FnWaU - QhAqSKK9dp2LZ5lCKwXkMsDbVFXSF+bFhiTbpnclczVJZl2vdvWTrrrqiqc89YqvOjJ54sH+io3+ - CEuaLgaFdL0ldS+l1qoOQOtikARAgOQwQBUukkFXtr4uAVrVq7prXq6FC0yz/kpwvtjys1eFFJd2 - 8ti+GQJah0n2YlKqSwUoSVxVVIfZdKOb1MyyGLZGmzXVqews+vkpPfmBT7z7fR9/1533f6qkmT7O - ncO98/nG5sGinM13CvHAzj06xs58qiIUW5V037eVG8NDt1gsRqNRztnM3P2nf/qnT548+ebf/J3R - qFss8mg0WiwWXdflvHhozy8irdx8KQVASunw4cM553YV2XXdOrQ759z3/cO5bCGEEEIIIYQQQggh - hBBCCOH82viQ5cAbFQHc3bp091333PQf/oOpFneSYvrgWZkk24CYlFLOmaClVGu90CjoL0pV6yrt - +E1vetNL//k/H6u1+wWWvarqnnQ6xWM1tPvBuXvLQZ9OpzfddJPK6RntD4f2Vfc3fuM3sjqSkiQe - 5kD3L19bCY2ZlVK6rjt69Oh111z70VtvqS1PVoRfxpppzTWlVEsRxbv/4r2f/vSnr3r8E83MvZJs - r5u6VErR/baCQgiPuquuuuoFL3jB77z97aUypa6U4iwX+02dg7sLcGq686a3vPnHf+zHdrZ3Jn1v - ydYp48rTjr99N57nwekk3/ve9374wx8DcN7cbgAAvQCoJQtw2eEj3/d931dK6fWxN6gshBC+mOXU - ktbrVv+7z3nO//DP/tnP/8Irslczq/XMznZ9YbcPr5O6vs/DYMBP/MRP/P7vvW3UdSdOHB91/Z6p - RSur6SghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhHApms/nWAV7AcuAmr1ExB0iMJii - u+LyK8fjDc4BX0V8s+UFcHn7fEkHlwgRIXn0yDFhizyD2DKFQXjeyiEigMuTnvBkUFoFia/UiWfr - Mv4CaUkxndmRg0f186uGc1YT2ktE6GxPEsIX9eUUWrm4Iro7hBBCCOGSo8t8PgJwiIIKqRR3aXHC - iXBw+TBnJasqVBUCb4UyRe8/cbzv+37UQ62UPNS5GHQscz+hPV3LiemJO+789O133vqZu//23uk9 - p/L9g8zmuvC+oqu+4a7u7iZJYVxedlWikg5A0/Jqs50qk6gt6FhRgVbORZYR05WstUIkG9sCibgA - oNI7QgEXKXpyuOeuz3/6Y3f+9ZibI24eGR978rGnPu2qZ1zzhOsOHz5Wq+SZ93JANZmpirJUp5NA - GQxGwARuYC1lcFWVJBSvWsVdqSC0BWDThFQxB4EKuHsRClROzk5mLIoUSjG1SrpRBL11gOwM2xnF - ajaHWG9mteZzbMPzE+r6ep4CF7QERIWJKTOHMquW06ZQF9M8G9sB973Bda6EC/S0uEQH4Ms4cLi0 - q+FL8mf1rISIAZAkIiqEUBdlUOryfkprV0ZxCiuoEJEkUk1a3rClvl3FKekKku4OuiwbgC/Dv9su - t/wIyQFvDZPLdeinhdg+Erj6SGu56bTtNS2zcFhMmXywWU1D0s6L9F2vvpvqSnEBKO6rRtXCyFe/ - OmWftQfZLSC4yuht3Z0CWKWBrrKuacKqdMUC4mByQbVSBYpumFcb0jh1fdJcC1m6NJL1itgn2C7J - fTfDm20xucqGR0s/pagQVV1QAYdAl9WMAaDWqiqA5FrIakmtS2JlhpPVBpoXWZycH7/zzs/c/oVP - fuH4Hbd9/tZBZoPNB13U5FWLjwpZO6TWSQAgK4UiQOuFdNljO0GADigUoCyj33X5r1VYpyULoCot - wJukiFF84RlAx3vv3v7cbSdv7W7rR9zYxMGrr7z2aU++/mlPvP7Q5EjvvdEMqjlt6aH5qVnxOh73 - qrrI0wq3rit7EqNbMj1XjcihLaobXOcct0c7hEKHuMCXhybxc+TdBgCAUJXdsmSZQNuxXJYflEo7 - zdjt/VwBpQoV6oVOJ4RCBXnq1E7Xa9eNis+zzu2AznDq/uHut7/n9z/0qQ/cN/t82krzUR4w7xKF - GcUVhq6DaV4Qo9JtJSWQH2Np61JkfYhh4nrnbOdO4u147kolKKKqCivVK5wijkwiaT/kRZ4utPN+ - gpLqFPdlnc/66V9/6q/+9q5bP3nHLSd37tXxoKMy58mTAzYOwBUOCITowIGeyAqdIVFEKp0VbDu+ - Qg5AKXSFCypIKgyQu++84wN3vL/PG5t27GlXPOe5133tUx933YGNLSuWXTUTlb2M+m4sTO7uzC5Y - b2IXGACAWPUkAopSimsBoN496pvkorqgr7KWu6q7KAVcFppXACkJ3EteANA+OeC1AjLZHM+nUzPz - zo/XExzz/nzPRz/5wT/+wNvvLXfN5AQ3h6Jzl5oU1nXT+Slx1YmNN5J2Uq0u6uzw1rHFomU2q3J5 - 0H4s7bRf+SaTSc4ZkBatraove9nLhuK//dtvAzAMA4CcM4AW432hz99Ozksp7c9LKWamqu5eShGR - lNIwDH3f933fbjzMSxhCCCGEEEIIIYQQQgghhBDOpYUWV69m1mZYmVlK6aabbtqZLRwQAQm6A6pm - Xs/1/SZBtrl9LHlIapWsuTy8McRnTAATkbvuvudtb3vbi77/++tiQEvvluX4sYfzhS9lvhyHcOb9 - s8V8Y2Oj1HrzzTffde896xELF/z8e3/Z+wwEgM2N0dOf/nRZDUuicjlEaT8hWd0BpJRQynw+d5Vv - /uZv/vAtt6ANQpL2dadA5EJnaMrpsd/VYcBNN9300h//yTIMQk9mhNZa2xBHVXtYFy6EcAlwWY4l - bT32bDb7sR/7sd/9/bcDYC0A3D2lVMpwMd/lWaSdHwBveMMbfvglLz5y4OAwn0v28w0nmi7mZtZ1 - KXXda179qwRUtPL8g6ZEQCY1uivwPd/zPUePHi2zCx6vEkIIjzWTyeT+E8d/8sd/4g//6I/e/8EP - khCIdakMFXvKbuzb66VhGATouvSRT9zyile88l//n/8HoPQKkTPqqgjPcZkTQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYQQQgj7mUCpJCnC+Xz+4AkFrViBmaonc7vi2BNVUs5ZLYG6p8LDV8ZU - KxcxkocPHFZNLQNBRKpn2ZNIvXeembubKek+4HGXP8EgSuyN7j5f2vcly0VOm8tNlwMHDgDnDe0+ - u8JHRHeHCyKrNnQJVfKJ6O4QQgghhEuMtiTp1ZknACyvfHZjXIUODO1+EVdSRIBCp9dKqAuPXHZ4 - Op9O68y6hM6nOVcO3s8/deKDn7j9bz5+68fuvu8eKG2sA4dZ3ekP9EWyo1TmKk66uIgIWSsqa2kF - LVWRTM0sz/MyY3j5xsUAkkmtpaUSlatgbxeogEQhWvVFTWJmYrozzEGYUBLVCOahbA91hnzvyXrX - HXfd8q7P/EGS/orLr7juuqdfd8Uzrz/07C5voSqqJe371IlLKcUlo3qr6oUOpXihQ8R1gBBq8ESY - M2kLpkWlFl0W6hQRA0bk7MR0e+jm1AHKilqhDjHrFnnRczg1O4nOhSCFXGWdfukbly2tVwGFuIuz - RQ1Tq7uJChwdajecqMfLeMqRD3nbltHdy8tXgS8TbPfciVYfEkYkQLFOu72kfgow0gSCLmRbUIVD - qaIKmMJFhA6SSnWYplGtdHe6t9KrIiqCYTEDICJmlkRFxZ2V1bUCDvTSmiJFqEoA5uIUqpaq7i2x - GCKAPkhhuC8PoY5EOpGFLlSltABOeBERHWvaskWab9f7+nFyx7SmZftZBo27AnvSbr199LMqqKT7 - rD1UyACpyxbbMpVbEPuyH1EH2tJQ2q+qKCILJUBzKLUCIovu4NbhjY1NG1S8ShWDJNGC+ghtrIdk - mXy86s8dQsApDlFQAXLVgwpBcUgmIOzEk7gqXOiAW6qa6EAuuSjQgVp2cIJHdu6499bbbr319k/f - ds+Je2Z5J2NRdJCJZ+SCoWr1VMWWiffOQhe0JHuBCMxUVT0X8eXHh8sEZ4iACnNWUohlRisJEl1v - JCvbD4eAqCKSxuNaa/U650w5nSl7dnMd3/f5v/2rz/7pWLcuP3zl1U982jVPue7Kxz3xsq3H5bvz - gdGhrk+ZxVFkpOJpKNmYlO1zTF/mGS9XGledXmskulx1y+KVTml9I9FS7RGlbB+Egj2olAJkyOBC - iBMKCKh7QnmXfSBdVVWhZM0oEDKp0rb6g3mROS867nx86tM7t73n4+/4i4/8+ZTD5EiPUX4gP4BR - otV55qSHGmqtMBrGteZhMS950eWieCxFNYsLV9HzTBRd9YPtpKBg1ZKxbN7aq+XsWah98t6KZ+ZS - Sj60dSDPF7lk9GVb7rvt3g+/92M3f/jTHyqWs5aK6uPqUsUdvY4nMq+VAgIiBAZycEAqkkMyILTV - JpflkQaVFKlmgEEAiIPdkG0Qn493drhz5523vfszb3vSkadcc+yar/uqb33iwasu66+QWSpzKlxB - 92qSjKjiKnCpACqUUAFU0F6qrQH1x3gYtLdSvKtj+e7aWJ4hrL/hWD9geaXgLijuAheDmYnoUAqr - SJJTPufE2S2wmU/ke9/38Xf95Uf//K7tz3Iy7OgppoIeJXupcCClqiNxFjE7uf3APM3Y0Q3T+Y5K - wu6Jx6oT/sr4Pu4xhefey0opJM3ULE2n88lkMp/Pf+ZnfmZ7e+dP/vSdJEvhaNTlnBeLRYvcvtBX - NjMRWcd+t69bVLV93UKy3W4x3l/GEoYQQgghhBBCCCGEEEIIIYQLsJ4ko6qlFACjycbd9993w42v - XQ4eUPG6HCbn9cEG5ygw6kYOPve5z33v+98PQEX9YRp81SKQVbXueQ8quPHGG7/vv/pHAIpXIfrU - 5bIwsxqzxc5hPS4LYrAu5SHfcOONCnEQIhDBOaPZLxyJpHLtU6/e2thU97btVNX3WUqgqgKotQzD - 0Keu67pcC1Se97zn/cqv3dCpDM7VtMxlSO2FPT/EQQLrdmtJ3vKbv/Xj/+P/RPekptaVUkTE3WX/ - 5ZqHEB5R5xzA0afua7/m7z/7mc/68C0fG6p3lkr1Wsqj/ea+KBU4Knnrp26/+c/e+Z+/8B9qslKK - nWfcpohAZT7ke++++0//9E/Xd553jq5TVKvnTq0DfugHfnCYL/qU6PUrbpJ8CCE8PNp5/onjxw8d - OFAgr/6VX3nBf/oP7zl+P4Ey5PVh57ROVLDPTs8BoB+N5ouFAr/ymld/1/O/8+u/5u9vjMa15DYr - BXtGrsYRIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYRwaVFVAiIiytlsB4C0IKu9qOtI - b1WICFwk2+OOXEnupvt8RZYncMeom4y6DVnWwGCbjKx7ZpP5MivNCZpqJeB6ePOgUNvk5ZZ2tB+n - z315Wir5MlFITMHqvrGxdZ5p6+BuINLuPRHdHR4CrlzsN/IliYiLEEIIIYRLiwMOade4e69tWsat - Q6jE6gKvAnCBqCjd3QWwlESkop7cuRfjVG04UbdPTB/43H2f/fjtH/3EZz94v3y29juqWg9Ud6dQ - k8imnJidEoMkiImSJCgkUEpVhQlUBe1suHjObu36nCoiuox/UwjIWpcX6knasgAicHcobPUkg9e6 - qCTGEzgAR6VXOABREBiNuzkHd2CkSjk1u++2v/no6AN/dNSf8Kyr/t5zrn/uE449aaJbtYw675J1 - I+vLUFlYaoUpDKRA3BzL1HOuK7O0sGO4u5mCcGeyDrRpXszL1McOJRUuYHVXiCB1hopp2UEHVEVR - d89SLzQY1lzRMrylxc22i3b0aYTqUMkyveOe2//gfb97sr+vWJZiLeF49UHAngBvgey9LFkmpo/O - l8a3/wlgYkm0T6Ou6yb9pEujPvUm6dDWoT6NJv14PB5PRhvj8XjcT5KNfTBAFCYiBhOqFMAxHo9R - wEKvRVwgZhDTlJ0up32qguUHK04h1V3Wn0M9CqG/ChogWBWoFULaJ11UUa8sJ3aOf+DOP//AJ9+d - Ma2gIAHa2o/SXaDwPdHdPL38kBD9PmoP4pBhz+dTgnXhJGrbLi5YNXZ1aanfrrIQAuwApbh56svm - Nz3r2575+L83LuO+YtSNO+kIq6j760qdq/jj1Seb1Aq0zG4HVFZbZ5XZawDABC7jkwGn0qXM6nTB - zAltQ0/V7dvvvO2TX/joe2+7ecaTi7IgqhgwIcVdSmGhFBigEAHJUlvlWKqgM03JALh7KU56Sm1b - KABSTASAtOMQtQV0Q1xEQMf/z96dx0tyVXeC/51zb0Rk5nuvFu0ghASIVSCZQXjF2Iw9eGxjbGP7 - 4267ewwCYxZ7etzj+bRnpttL93jcPdMfL+2ZaTdgvLAZY+OlvQECJLQitK9oq9KKkFSlqrdlZsS9 - 55z540bme7UJSiqhetL5/pGV71W8zMjIiBvLvXF+hK4TIlAABy4X50TNzNB1ACKBoiIY2DrN2Sb1 - QpVaXZd9K2t7dt16++dva07cecrzd5z1vS/9705fOGPQLEzGWRIP64WaGhGrGATlfuewKRSW5rnd - s9zhjSWtCuO+YQQbFHxcFhM7XhiVQHQFZVA2spL7C/T5wGVJKilbSZ2HAUYV2MiMicBEIIPtG++J - TaQmPbC8+wtXf+rq3Zfspa80OyIp7Zsso8rVCGPJgdAM0U7QAKoIhirEqqobbmqtIsVnW2DzbA0P - RoCRztKXSztOttHdwQaAVNXMmqrSoNOuM7ZqUBHrvvVHq2HYu/bIddde+cW7Ln5gfFcerYZt2uYM - BoiUyh7OVKGqxGymYgCBCMyIARzAQmxsJqUQN0o8s0IIxIBhXvjc1Axd1TRtJ6A0aEJYyN10vHu8 - /NV77rr2zitOrk8/74Xf8m3nftfzTnlBuzLtJuNRHLIyGRgqpACMmDSWYzTrj34F5UNbAMDHz87r - G+QoeinKpjprG7UvRAigHKiThYoFJtIarG4qHei6rukor6a9V1536Reu+8yyPBKXbK1+jAI6AxEq - oK4QIkyRs/Zp8kHV2KJmCFdsMtupzbCpgslg5L0szwTr6+unnHLKvn37mHk0Gq2urlZVtXP70m// - 9m+/693vvfTSy4iQUlLFE8vtJqJ5MfqqqlQ1b6ornVKKMZbE7pyzR3c755xzzjnnnHPOOeecc859 - w5gZuB/kx8wKiOlll1129733aRktoHZQD9HBQzIMBERiMrSpfdvPvO0Nb3jDP3/r/1DHSh436vuo - 5xMbfVVVVaWUBPSla6+74aabXn3eeZozqW6tu32eRiGENqVH9+65+JIvKAEGIrIneovdwYt79vN5 - 550nIkxkolzyWY+zuz9VlZnruu66zsxKeDYRvfSlL8X8nsVNoziO+vVNmTYFljNStjt37b7lllvP - efnLOYSyuhKRmoUQoL7qOvesQLN976GYOUl+97vf/a5f+HmeN0THH1OFIQYOivd94AP//Ru/rwoc - EQ+a4fk4z8FouD4Z18Phhz/6kZX1NY6c8tfa6ZgxoCrf8q3fds45Lx9U9WRtvfEhJc4597gWFxe7 - ruvUzjrrrF/8xV/817/6bzhEI+SDWt3j7LB8LsZYBhbWVS2p+5/+5f/8+c98OsbI1N94clB6t3PO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPObQkGVhgYBDJTsE2n44OrNRgOimEmIlVwRpTB - KdtPY+NYV7kkHG1K+D6OYomeFIUaSdg+3PmQ3E9Eckg1C50FNABsZkQRasNqYbFZChoZtHky6pM+ - FM+UJAUiMjWUdCcOqjoajQ43oW5ekWZp3wTAo7vd14+ItmLmk9cjcM4555zbUkhBCVC2OIv13XR+ - WyokzrL92CDEAoACGcM0MkIIImmax2EprOZHb7v/5qtuv/zOh28dh5XcTNvBFIwQArOZmZqYSSJj - oFrow2TFDAARgkGB2LCqmkK11L4EM5UqjWbWJwT25QINUIGUcmLlpMuYACWmGIPCVNQMRAgVVU0g - 1m5csqzBhJIWaQEAJimVaFiikMEAE1Gu1iay697777hw15+fNDrtnOee88ozv+nsE192wuC09bVU - 80IMTc5ZRELFFAVqITdBo5UTwHk8JIDAOYNCZbCkmWvL0u5Z+WpCa0EEgCIQFDCDkmSladc9tvpY - m6YxDyurmANzgGwEzn3tr7d8ncYgNVKlWU4qqOtyAIVooWEJ7e3337y+bd84rIRQVoB5SO0B8eN8 - QNBjeb6FTwEUsJqElVsuqw4pAczGkjSAmZkRGCGEUHEVuDrlpOcuNgs7tu04YdtJJyycuHPxpO3D - nYthcTyhhpsmjpgrJJhAlYmoYjbayIoGiVEq0d0gK/GoMA4a+++K9PHSNJ/s5Sc2YgUDAiiXy2AG - BQxGTByZou7rHtm157Ypr1itWc02qg5tfj57xSc3Q081PaTI0+a47llVX55tFzAwITNkHt3NhijN - Qt7+mvDfDHZUzaThiTCHnLSbpDCIx9U1r1kWrwClAKGSlatyjPK9E/O8epQFxRAAQ0rGuRIMQZha - WLXYUN3dt++Oa26+9Obd1z82fkSabLUmJK1ULc9iU1VJ2WAMM2B2KbEigMBMqmZZ1fr2tmEGYBlm - xiitOpe2GzBmBghkATRLlg8EsqCqmgUqCoAZNRMR5yx94m8GARwQAgB0ljQi1IxaLOv6dHVtee/D - a/dct/sLJ4+ee85Z53/zS77jjBNfSmPW1pbqbTm1gChnQI0YxowAKCAghZWlV6KOeX6hxljVSvhz - aWvpuN8gnlbUSWxL+1aaRJSwd2OAhfr0dIIo57KvsRiE1QgCMDVkJFmmeXXbc5sb7v/SpddeeOMD - V+/TPXGJYoyr47U6DKqKOoMKhnXIWbopmohKKRhkap20PI3cxSo3AwySybMs/Zdnj9wveVIjEEqn - ybxrhMjY+mTtXEcWFUxT1USO2Lv2WG7Wr7jh4i/dftHe7iu22KWFcaudKgZDWEZSg4IYzMGUIVJV - jaqyZTMhtRJ1jxKVbZHAYgKDob9qzhG2ubxdaawJE1kNdRVAbdvCtA6BR9bJeteMM48f3f2VK3dd - dN6Z3/a6V3/vGaec3a1mdKiUlYSgDFZDvzsGFAoSQiZjtkBaAwQ8u9YHNmzsv/oU7iO2YEYQKnt/ - Ddb3+pD1R8tiWQxiItSFhltM944fni6tXXLdxVfdcsVKfgxLMrG1VttmEWYIjACwkIgxQAQph8sM - MQxHTahil1NWGYSwEeEOBjKA42q3656kE044YWVlJYQQQlDNMXKMvLy8vLi4/bd/6z++853vvO66 - mwDEQFk0BBI5ut6SUm6+FGg2MxGpqqoU2SyxCjlnIlLVqqrmNfGdc84555xzzjnnnHPOOefcU01h - gRiAqhqxwfbu2/ehj3w4gBQWA3eis0E9RkR2hDBuNSWgAr39rT/zkpe85IVnnnnPvffi0JzvJyGE - UDqYMIsyNZgAf/LhD73qnHOqEE1TVmGKkpXCMXrXZ5Ayxqx01ouZqn76wgs7NQWqWHWSjs3bzL5v - Vfumc8+DqhJBNYQoIhSOr/E8pe+ybqoqRDNTVRGB2XNOPe3E7Ut7l1eJYAYQAYQnFKBrZUgWs8GI - qKy0f/7JT77yV36FiLIIHZeh5s65bxijA4YpkynD3vSD33/6vzv1gUceNpXAIYTQpu5pm8XDIWYT - 7URHVXXx5Zffdc/us573vFgGemMjV3VuMm2NeDydfuIv/jybaTbMRoxgPmBq0+szw1SYOBC/7W1v - C0REpCabx8l7aKtz7tmAjvIINHeJCIOmGXftO9/+jk/8xZ9fd+MNVMb+brzosZ3HYylL5hAMaFOq - mXffe8+v/rt/+3//h/8LivkOZvNexvcFzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrmt - wsyIwry8wLiboI/QOmy1fzWAmdnACEMenbTtFB3DmCCzug19dIs+Q+60UosxdBK2L+7g/axqZiU0 - 5wBW8n1IS0171rBz6YRRNaJpCenRA3ORlIyfGctno84/oVRzIcPSaImU2WC6sV4AmKd306ZSGWZm - T6huhnsWKuvbPC1iC605Wzi3zznnnHPuWclKfnGfTkqKPooPIIXZLKqZYUTGRGykCKycBF0XhUJe - lX1720cuu/IL9+y9+6vr98loqkvd2JY7RqhBQqKWISAQlEIfHSnSR3cDYO7PncggJbATIC6R3Mhm - phZLTU1SABaYiMrJPIOUtASLAzBTo3JGDy3lURgwiJmkbEAdwQQrCdkKNSCACFUFBUyhkBJ8q0JK - hhrUQDU81t132e6HrrnjylMHpz9n8czzX/66M05+4UnbnkuZUifGoYSGVxZJAwhGoa9vRgogIoom - U1Zo1hTIprayd/0hjZl5IxyWDaXuIjNzgFhHUakry51U9agLSW4KYuwTKwlsqEMdiJOOp90kcdfx - etyuapNcgjw3TkCYDz4Z0VmQN3BcV9H52oSRYwlyhmkfXEoGM8RhAEqysJmAiEKoKo4PP3JPoJqN - KTPlMOSFbfXOpWr7c3c879Qdpz3/lLNO2fncpcUlRoRSkDpoRcZl0ZflbyVQGUBZlMYlVbRUgNOn - tpqPAmUj0n7bJ6Y+ypuzqrJqMIk6jZO2ntBAk2Q98vwcsm6ADn+J7eljsSTSHpr0qf1WtxkDzKaK - zIBaDQQ2IGuHaqLjcTdBDjWCgTmEOkTBEWoDP23mXzEAcLnoaSc4VMMAACAASURBVGWLnm25xn3D - boHK8uGJkhl3ElJmzUEmNL721qu+9OVLH1q9Z1otd7wqTasBmmFMRNS/BYEIXFrvUjB2zghkhL69 - JQIbYFCoKZhAhL717ePGYWYqQmzlWohZH/htBjMQoyYqzZfAVExVmBCYDSral1AUQARECDWZ5LXx - KhGqQQ1gkvdjpFOsfeWWB75402UvO/XV3/yS73jxaS9VbhkUtJ/9eR4t+tZ79hUbB6hRny5ctmkj - qBET1AKOXd3nZyQlM+pAZiBYBBEsot/Pazn86B/BMFaCBAVnM1MQs1qQNVvdT4988BN/fu/a7Q/L - fd1okkM3VoSMOIiacyCLkRIsdRICqgZI0GwhRA4RqJpqEGOlijTNqLb0HuyolUv25bAPBECNlMqR - Hmnof8sAl2001nEymaakFKxqwEvy1bUHr777yotuvHAt7FkPe7rFdY1qBK5AhPUOkcAMs3Lklolj - rENKiQyAMQWGlr4DUyQ1kDIzCGYCMLExs5oYYAozEIEIYCKgCjBLQIgVmyCpECNUULP9aaWu1rs8 - veTuC2978Mvnv+I7vu2V37mdlzQNCCANbMzW75+E1UgJysYEJuOgBBx0Zf9Z4GgCsMm4HPBxf9Yg - ZOC+CmFQY4NK6HI1TYO8Z/nBG+675nM3f3q/7cvUyjC3MkatMWCaUDZ7I6iaKiIhMAOqQMVoW9Qq - mqWOVQy1JnAfNk8KC4CSAdrv2d3Wt76+HkIAYGZt25b87KZp2nZ68skn/+Ef/uFP/dRP3X77nSLG - jKPN7QZQ+vNCCGZWAhVEpPS7lNDu0ukSQmjbtmmaY/4BnXPOOeecc84555xzzjnn3JEwswFJhWMQ - 0b37HvvUZz9XxjMlVczvn7F+MGDPDhisFoAA+ubXvvZVr3xlzvmf/dOf+o1//5uRqDvW996EEESk - dDkxMZl+9GMf/zf/+7/esW0bE6tqU9Vdd3zlmx6HRCTW1d/87X8NIIFlFRhiXeXuGAR4ExAJZjjr - rLNijCZCROWLIzu+0vWqqmrbVlIuud2ROXJADDtGo9NPP33v8peZ6Ql0j84RyGAAiEgVWayugib5 - x09/6ld+5VfETEQGdZNS6m8K3dojQJ1zx4CIVDFyrP7JT/7Eb/3e/wPirCJ6vA2OhalS4EA8TSkS - vf8PPvAffuP/ZJSRR4dMTFAgxHjRxRfdfufd5RaE4XA4mUyO8Oow04qDqpx68slv+oHvDyGsLu/f - trh0TPZTzjn3zGZmplrX9R/+yYduuPGGikNSOeKdRnScjbknUhEABktqBPzRhz785je/+fXf/h3l - fgnelN7tnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHNbS8ldFohAc+5AOg/EnSUobdw/ - paohBCKquFmM23cMT9RVVtUSY1FyqvrIgt5GdtVWVIK6WcKo2Q4lM6jmEEJZIGR9vFdBBiIyUdZq - 23BnHRaCkpqVm9CekbegzVeV/r5AYyIaDoebp/maNT22UACzO06UxKqney6Ogkd3O+ecc8eXjRMe - A0rFQzPySmtuAxEFMyFjApuVYnzGjC5N6zoyUeoEhiougOsu5cEwjNtVbaZhhz60du+XvnzldXdd - +dDa/bma5qbVYRLuyrlyRUAGq8FmVatodtZss0IsJbTV2JQBGCmQjQCapUsCYBCg3Ae4ooTRzmJi - TcsT6X+cRS+XCZgA6t+2RMCKRpmfvZOCwNpn0M7yY2czawZDiVkWE4mCRWktr+n6vePdX7zskpNG - p770tFec98Lzzz71FTvqEzCtVGABrWSzKnINk5y7AK3rCLWQLcYwlVQNY6J1LI7vv+12o05UQkkT - l34hQaGqsar27n+UIpGxZR4M6+l0ivCkN2AjGBNYVZkZAca52V4/0j00iRp5Ng89Ld8VU+zbEwIo - gEjNYMawQ/ObtxCWw8U/UvkyrCQTEwMwRdtJCoHVsoFRgWJY1/FYHnskx13rt9ADHFBFrrYvbjvt - 1OeeecZZp+8460U7zuHpAEKksaY6WoASicLQxCqlLkm3MFgw1Zy72AxyklLRVURCCCEEVe2/qWNA - QQmUNbRsAgMQQAGIMUaDiplaEEJmdFDVDgHor3vNVwouZUOJCByJSoKxiSnUAh8mz/vpMgsd3xxY - 3rct5QlsoyUpE7KE0DcyRBCFGaAIgkyRqqriXFmCwoRMVY+/8FADwNYHIc9aNWZjEWHiGKOomBAz - MzMkcag64s5MhtIN1nfvvfnG3V/60i2XtbyeOHfDbCxCSsxEFJRKqzh7NwWgfMgclH8Ns0xvYPMV - 07AReC4wIPc/EL7G8jQrka1GAIMZMEj5Ehm0cagDNiAbgCowwCZgQyAGaavTaiGtUnflngev/spn - X3TKy19xxqu/81XfPUjbRrpUSQMhNiYigJKmEGLk2kQlK2AcmBmZZL5BGIIa88asb+FLw0+1ELnt - OrIqcIDVzIEIkjviTJaYOXJQCZKjWGURUrVJJwQNA5ti7e69d1z+5Yuu2331uFnt6lZYjBTgyAqC - IAdCLmsIgwhqyCWDOkCRhTRGTjknJGVDRUcVXbzl2eYODABqZARlaGBAQGqmRIEJUYVCoOl4fbQ4 - mKY20VQW2ht2f+kz1/zXu/ffkrdN22qSgwohGNgAhQHMEIIAm7aOLABC3y4YoMYlmr0EuBuJlPaA - ++9C5kdC1L9Mny0OjVpeRITRvwwgilgmJ+rCdFrnNVn+6l33X3HP597y2h971XO/qepGNK4aa6JV - IoIADhDirCaiNQdCgGXg2V1Ub2P1gJmVXqJ52rGZMceYY1KNo4qDTCarSnFUL2irpExGYoJGHu7u - v/zqi6/edfne7qFcZaUEEMxqipZUc64JMltPmEE1spWjX2YoFDWDM0MDNGjWgGCHXBY3KuvccbcD - dk9Aye0uYoxl9SuHmoDVdfXhD3/ox3/8J3btuo/L8RttXNZQVQBVVaV0xPrI5eRFZKOWtM6KNccY - S3p3eazrej5BOeouf1U2BPILKM4555xzzjnnnHPOOeecc8dUCJxSV1XVpGspcAjhd3/39+Z349kB - Q1OATVHEMcasArMYWbKWYOb3vOc9UMtd+7PvuOC3f+s/rs/yNYnIzMo0mMVvH+2slj85oMvJFEAr - +cMf/djP/ew7FgfDNG0n7bRpmsO9/rOyJ5rZzMyUmaEmpmACswJr48nFX7g0w5i4ZLTnI/f3HYkd - 8kPpz1NDAM4999yc8yDGlCXnHGPUw0W6Po1EZD5XkbgMwzPVbjp97WvOv+HWL6taCOEJh+babGSW - iJTbH1MSBu7Ytfuuu+560ZlnDapmMplUVcUENTtipKJz7hln8/Cocv8zGcgQOeScQ12/44K3/6ff - +3+T6Sxy9bjDzAQSIJt9/BN/9r/98v+6OBpFZhOpQtQsIGJmKUNGGEb0vvf/gaJvGSeTCceguSyI - g/cOTCwqAbjgggtijNPpdGlpqWs7PqSdnC8959xTpGzEB217j3/IUkZ5zf6QAKuq6imbwWepruu2 - bd8+Xl83s4WFhZWVldFolFIKMZpqFrnu+ht/6V/9EoAYY+qkHwb89M700SBmUwOsbpqfe9d7rvri - F4dNPaxqy2ImBoRyW9FW+kzOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPu+FXiQszEi2C7 - pxIDMLMQg0giMjVT0gNWuE01/4kAqAg06QtOf0Ee6zBE2xxMTTp/vjnWeosiNs3GVi8Od5gRAApc - ws43UoyI0WcAAVCgYlQnLp1snWmmUjVilrxGoPIqOs8EeRo+1TEUeNZAlbiREhISRs1wOQE1MyNn - 5QgAqohEOkuLg4GIShDA0/kR3NYxjz9TVSJOKc0DKY5zHt3tnHPOObfVWGXKMCLmSGRMZgLQ9u07 - 9+/fz8yD0UJKOpUMshS7dV2LJ9jD+++9/OKLr7/nqmXsnQ7WJ6PVVCdhAGADGRhgPeQ8+YAf+0zZ - PoARKMGtpABnzNO10f9POZki6nN2BYDBDPU8ErKcgFk/zfytSwpkyYWTEmuLUN6RTDfexfSgy1H9 - KwgCQUrWYMxGGZiSoRpUD7TLj+y+/5q7rjh1cMaLTnvpq196/oue/7L18b7hYIls1E1z0DAY1TU4 - tdMIGjQxa6pqmtgkh3HHK7fuvl5ZMCt/ZrM5IICUVLW1ViyRWkAwKecJfHRn16Q48A+4RKirimYz - MZOkqcudjbCwjdqp8bygmAEgMgagomCaLRiDkTGzqZlsgdOUI7HDXsrpl7AdmupKaiVfdL6uhH41 - 3ii9avzIxO6+75YvfqUa2fbt6dQXn3bOK85+5RmnnbkUdoQca63rUAeL03Y6bIZVqNfXx6p99GAJ - CwwhVFWlquUiQkkNPEafOQMKKEiVOJS1zjCZTEIVqQ4o13MCcROtypYV/cZlsyeKkpholrW/ksvM - CBwjq7TH1fow36YOqNdFIJrV+d24sgc2RAZZifc2A4gUpkAImUTTtGtDHhGCUTBon1h7HJlfrdOS - bcpggEnJjIb1KElu21RVlVXa5Q6m1ORkyxjwhFZve+C6q+645O49Ny3bIzbqEneCjc2b0V/YOuDt - DmUHV0azQ570P26arlxU3fw4d3Bxw/KtbfySZ9PooRXabDaTZLMLmswmOjXJcQ1LmKa1W9fW7r3l - 7ouu+8z3vOYHzz/727eFHSMa1TawTgBwrEOMaZJyl0aDBSJrUwc2jmURq4GpfBZ7Rlz6fCqxUZpa - CE0VGiDmZBABw3IaLlSpy2Q67XLX2nCwvapHq5PlKa3HbZp0/e4Hb/virV+4+cFr9uMR3SY5dMLl - YINplgkNgs7C6unA9bCsbMpqZsJZWY2zPQt7oIyN+ohso7LPUpCSGNTYyJhhrGQIlJDrIT+2/kjc - zg+vf+UfPvPXNz54dbewT05amXASnq3rBhj3h2H9i8+OrI7UWdIf9Wm/kz1kV0ulbGp5rmzUN8ts - CkBKJdRNh0xiICKDUp1UUzLteD3r+p9d/Md3n3n7G7/lh0bNjpiaSiMlCxyn7VQZHEM1GFHWnNtg - UlXV8Vhr9ukQY5SZEEKsmBDMqA6NWb2+vmKcRwtLou1quz9WlULr7dXD++65+PLPXnPvlXvt4Xaw - 3jZjsIE0KJMxW+kdYQkaDKUc47y1N0SQsioBqmDjoMyGUDZw4/67JjUYoEoMAz/OZ3Bbni4sjvbu - 2bdz5866rj/ykY/89E//9K5d94WAzWcdpdDqE+s1KbH05ah7MBiMx+OqqkSEmctjqeCPWUXXY/rp - nHPOOeecc84555xzzjnnHFJKIgLi4XDYpm7f6srf/f3fZ6AMxDlsV3NJ7845gxA4qAgDIvKSF77o - u1//XSmlYdMw84/96Fs+9PGPlz8JIeScS9dn6QM9JjNvgBGY+Q8++MFfeO/Pr6ys7Ny2fToZz8d9 - OczSFlHG6sxG8BjRpy/8zFSyzRLQichoNu7zySlDIk/csXM0GBJRycOOxHkr3II1Hzj6kpe8BNg8 - 3MpA9GSTAWljAf/jP/7jL7z3vQKr61pEcs51XW+FJeSce2qJyKBp1tv21JNPfsuP/uif/eUnRaWu - 6jZ1T/esHUxERI2ZiWz/2vgjH/voe971bsuZiESEiQCIKUAppTCod92z+wuXXVr+NoRgBBXBEUYe - mVkEBlX9T37yJ0xk2Ay6rpOc6+jpv85tST7u65hbXFxcW12tqoqIlpeXR6NROeyftu1gYXTPrnt+ - 7Cd+vGmG43Yy6Vom1i1YcqXcQjJu25zzr/36r//73/zNNnVNVXeTdjQYtpOpR8I755xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84557YWAwRmEJCqZZoFSPSxL4e7FY+IAsVhtVRpQ8ZixiCCGnge - P3FwvtfWxAYmChabOAwIzFBTMiu5OWUSGB8UqMEWh3FYoa4QDVTizPvpn3EhKEoIfX4Zz1KBiDmy - wYis5I3M47qdO6aOXVLbU8uju51zzjnnthSLsAjVkoUNkhJYbAj79k6GCycl5NVpRzVskMXWu3r5 - juXrLrv4czffcaOGLi7Sel4Zpy6OwKVOySxEUw0gaKlvtSmRGtBZFKuy8eyEupxncih5jVLPTj4V - 0HJqGcIsGLxMCjMlI4GSmZUXMEOJdCQi62u9lBhmJjKiQART6DyxEgDppmjajTkBIFTiAyMpAims - I4ZyP5Fw4mGQQZ7I8u5ueddDN13y6F8vVTu+9WWvO/v0b3r+qefUo226FlMOA9WADFAdSDUbm1Wq - jd6354GVtCq1zgMIdXZpgg1qJrAkXZvbEhonIoEOn4P5uHS+6Kmkm4JhzIGIGTHmGFCSvLukk/Lt - 998jW6mMqQACBetjgU01W59sXIJzj3qejhOsIGPuM3fLuqhGsx/nDwAAIxhBD04I7hdvVc1yRikD - UM1Zuv15vYurD9131yV3/t2O5qQXPucl5zz/vBef/tJTFp9DbWgGo7XJuslkVC8NQpNT2Qw15QkA - ZiaiEJkpHqvSsQDImAE2LsGnBgZYiYZNg0iJjJDNBCqauiRax/Kh+q27rEcAAoeyYZqZmpkpxJJZ - 4ONpfbBDgp/7y1X9ZyH0efTUP1ISmbVTMKTyX2QJQTiEphk2GEI1SRYYMz+BDfIplkFWPjgDpARE - GDPxeJy5ilyF9dSGJlSj0Wreh4XJiuzZ/dCXr7n18lvvu2kc1rDAE8qwoKgFCaz9V2owmIYDrkz0 - aaqzX7EC4FmcNqPsC0jn7fC8PS+B8YD2G12/wDceMcvu5Y1w7vkb9a/GBlgFACSgDMugWRNd3qVM - PtsZkIGT1f2GDGJgxJ1Mp+1XhqO1j1///k/f8Neve9l3veHc7z05PJdyWKi2rafcTjMbDwZLHOrc - JTKNISTrNq1bx91KcJyyGLVicGVBJAdNkSky5xja9SmFAKK6qYeLcTLtJt3euJ2aRq/bfcWlt118 - x55bV+hR2dFSTAQjQS19ZLsBSgyLMAAZprO1LQIg5PLmSlCCsBqpks5j3Z9N2IhhBDIjBSmZMowM - UBvWzdraetXE0MTVyZiqKJw7nsZT7Eu7Lv7Hq/5q9/rtaXGcoiohAlEQ+nLprGCdFR/fOEYDYBs9 - JhutxCzkXmfHhOi3bp7/+ebobjYuAc/l6yu/DOWQr7QYBETuVA0WogYlyqZZp7rv/una+q7xg3se - fMvrf/J5gxfRJA/iIimGYdRqFoGRiGaKphV1moCA2fHAs8ghH7nruhhj3URVzSpZ1DSZiHFm5YU4 - MkIat1ZXYTHvx56Hu/su+sKnr7/7mi6OsYBJmqhoDI1YN+87K4f0DEDLOtjvBQxsiEJM4GAgEzKQ - BTaQERsYMKgaeJY6j7LeERRHyIZ3x6nNa9rXbn7b8WTHtkVJbWrbnTt3fPCDf/DWt77tnnseCIGY - uUtSsrqbphGxnPMTmKGS1a2qzFzXtaqW3pfy+3lPTAkI9yquzjnnnHPOOeecc84555xzx1bTNDnn - lHMY1GL00Y/+6Z7l/cwhqRy2G9BKPyGRmcFARGp9VPM73/Gz2xZH0+k0KzPhHRdc8NGPf1xBQpgP - uDrm3T1q6ETvefCBCz/32Td85+vW19ebujKz0s108GilZ5/ZAiAyAvVfnKpWVfXJv/pLm3UZEpEZ - bQxVPBZe+MIXjkYjVlOVMvpORbdQpPprXvOasrKqKjGblKV0DF5ZgQD8xV/+1f/48z+vqpHZzFTL - 1vGsX2Wde1aa3fStANhIRCITiH727Rd84i8/GZi64y+3mxBgMBIAqkbAhz/6kQsuuCCYDauaDGRo - U2LmpqmViWL9x3/y4fF0KmXUMEGzHSm3GwDBiOj7v//7zzjjjHZ93KlG5oWFhdRN2WY3RDjnnj5f - /yFLGaLog76OubZtq6oCU5fSth3bV1dXzWwwGDDR8urKW99+wdp4PQEhVpqTmM7vNDreDzdnGeNm - RsymqkAn8sEP/ckb3/jG7/ve7xlPJ9sXF02ebSPPnXPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHNbXh/UQn3VDtFZfNVh7vtSENjABhAFhO319iDV4V51I9VrqzMTokBWj+IicyQCbCOEA/M4 - jD4ip2SihYBmcbgzogqIYqKweWD3AeX46RkQpnC479o4hIokHBpq7twxtFVyu+HR3c4555xzWw0D - kcgIMMtq2cyAoGaDxaVxymiQK53yKtXTe796+6U3fvrahy7XhVZ3SpvHIhYa1BXEAEPQcto0O2s6 - wkHs/KSxTL75HKvkNbLxprOr/hQUogKDWHkFIiYCIagZyDgEoITMoq9WWAKYqVQdBIilf3ft54EU - G7UyN8JlS6YgABjDWEGzgHEuueNGYIAIWaTT9RAQRgChM0xk399e+9DidRc954SXvOzMbzrn+a8+ - fcdzGovSKklYTe00ayKpd4Tlbt8l131+f9pvjbJtCjuenTwTEaBZu2kaK4E5JM0hkOEoUpxtFmGL - PhF8Y8GmlEIgmIgIMy8Ml6b10lhXiLAxP0QAl+WiaiAjMiLEQEZmTGwwMUOfwrjlHpUQDlhLyyqh - m2vSbWRMztfIEvpawkRnq2/OqgpVEIEZCAgMbrCeVhe2hyoM1ydfvfb+r9587zUnL5x20uJzvvVV - r3vZ8195wraTZdWS5q4162hQN8SpaRoAOedSsRRGKaWqOuw1qaNTcsoVgMXyORSh30CAnNoEQZCa - qY4xxyYEy1n69aGsliDAjCCSjEFUNkYysnkZz+NrfYAamKD9bzYFi5dVHVTi6EvTYKj675pm2w4b - SLkbd5KzJMnJSKziyKQUgCcSFvmUIQXZxtUr63O7AVKjZnEwTtOErtpedTpdkSnvaK+//7Irbrzw - 9t03UZPqE0KgPNVMkbOZmpXGb/7yRgc0KTxbnvM2c7bESkNatiaeJfUyACUm2/yCzKZKswjwTY84 - IMS3f+SNiNnZEyrtofbvTrNya5vmuUxQmngiCrECMMldl8BRuQINMdVlbVCTXHL7391461Xf8rLX - ffs5r5/WJ8VqibQKWueMPJ3CrAp14JBEYRng2X5EZwvKrww+nioOLYtqJtJIysRmZkZVM2olU0Vj - Ga9PlwdL1VTHd99362ev/tQj7UOP2kPTei0NpolAitpQG4L1W7SWtPh+3eOS583GABs4AEAmbInC - b0+9vnUrOcoCUhgYHEJcHY8XFretTlYzpnEpLk8e23bicE/71Quv+LvLb/3sSniUT8rKmhUVAxlB - EZTJWAjcdxz0YeqYP59tfZu6BDaaFAZkPvGmyWg2GaOkSs//vO/I2XT5nY0gBGZSQBWkYBgxooKC - xhN4dfLYl/fe+IG/fuQn3/AzrzjlVZQopgFpFRGZNKUk1sWaiZFUA8IzoYfnSQshmJmImikITByq - UPGAOp2OpxYEEVMat830nv13XLPriqvu/sK0Ws7bJmMdp4SmrgbVqOs6QAmqBJDOV4FSITcYYGzE - Crb5BAY2LmneALhs39BNuwWg39HQM6M3zj0OESEiIqrruh6MzjjjjN///d9/+9vf/uCDD5d8BSLE - WLVtCzAzlyTvrx8zl8DvEEI5FWLm0gGTc44xhhDKcyIqz51zzjnnnHPOOeecc84559wxlFICU13X - 0+k0hOqP/viPGZxVv2bHfunfkZxLl+GOpW1v+eEfWV9dW1xcJKK2bV91zivPO/dVV994UwixdAnN - +4aOoTIbBvyX973v+77nv1WWrGKi3rVUmBmI2GAzCgPT8urKFVd9EVyGcBAzy+Gz2p+4s1/0opJF - Xebh2L74U4StH3NFRGeffXZkFlUzKyOq7Cg7Q7F5cMbGODmUzPvbbrttz549J+48ocupjhVRJSLe - Be/cs5Ad2EAys6TMgbuczz///HNf+Yo7du1O48nTNHdHZGbMbAY1hSEwbr3jrksvvfQN3/mdAFSV - mYkoxJhFxHR9deVjf/bxwWA4aVsxVVWAOASVI47DN7P3vuddqe2GTZO7jkGp7byVdO4bj4jmt9Ob - GeiJ3F3P7JvvMaaqMUaFpZRSSoPBAECbUqiq9/zcL1x/682lnc0qBlRVlVPf3hJgm+/iOF5HdJfV - rNyYZqIE+5f/yy9d8vmLdmxbWhtP6hgGo2E7mXL08z7nnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnn3JbRF2EgBVuW9PiVGIgAMlMjo6XhjigVIVgJwbG+mMG8SELJqPkGfISnCAFExAYID+rR - pjScI0xvsyh0o23DbSyBlYXndy6XP9/CC+TxzFO6jQHEGNk4m2Ej9A3kiTDuSTMzgIgIs6o1T/cc - fV08uts555xzbmtRgQSiEmScTQFQICOeoOvq3IU2D6dfXb7j8qsuvPmuK9Z5eb0eJ+QQjGpmk6TQ - BAAhAIa4KbjxYLMzcENfDbDEHut8+j6cVTfVxNL5H6rC0BdUJCr/RUYlNZyknNPSRvAjzfK3ASip - mfWZlcaYhcICmIdAkikIMCXb/L9ZuMR+lpBfNmifYRxQlcsBBhGYIRA0ICzZ8vThlceW791366U3 - /e1pi6e97IwXn/3cF59+4gub0VIMw0x6/9r9N9179fX3XZvqSTmRnl9c2BDY1JTy+mQFQQEzEyM+ - 2lPNeYAu+vTu8kZaVVEg/blGojwRNeamArKRkW18W+UNY4CY6SzWWg05mwqaWE6S+691az0CEFbb - lCWsm9KD+xWINh7ZUGl/5t9HQc+vnjAjcEnBNjNThhmQG1JJktMaAnACT3V6T9537+qdt1xy7XY+ - 8byzXvutL3/9mdvPHsQdVRzkLsl0GiuEEEqCYM6ZEI6Q2/1ErryQBSDAoFTCjCPAZABlM2W2mo1U - ZNLm1KJBxQGEsvbQ7CqaAsSmUIEZoGZEAIMJKsfb+qAlknvz9whAEmgW3M4IbMwcjaXVzgigkgVd - lhhMdFAP61CTBElaG1chJnRZUsRxWHqprBgbud3CSNJKwPLb5wAAIABJREFUN6YFFm7H2JebbvcD - t19yyedufOhSHU3jKZxNJ3nKlVnQrrWqApdQc2JCMLOyGNnAs1Zls02VHFX69hkAoh6QuRpQ4lr7 - hlnRt6pHaNYUm1tjgOiASspGEJ69tc0vyR3QSLLqLApYASij1c40gKqaGabWCqkGw/bRcH3/6mPT - VVtsP33nJ6965JLXnvP673jpG7E6GFi9EBfr4aCSygSTSUs1s7FCAZ59QPUrgV+LGrpkbRWsqqNm - zklMKRuLksSQqAvbRWnypV0XXX3Txfft27VWT1erdbPMNQ1DqCGqCAxkKMBWkzGTGqlQBwDGfd6z - MSEYIRgDEaSlMCcZlzj58uTQNfmZbb6KkulGArbRtEuDweI0d1JZCtMULZyYbn3slr+/5hO3f+XG - tm55yGtr01Bjx6haWUmDCmRQMKjfA7IqSLlshrTpoG7TLhUANu1t+1aaNqbU/iCwfwUB5i2JErgc - YRqEIARFVLAQgxRiwaQCWFDmIRCMKVlKoeMF3Zf1g//we2/5rp88/wWvH9B2TAY1NRVVFLhTUhEz - Jo7P1I6MI6FN3T+2qQx3CJRSypZjFQKzmeTcmYETNaMwHa6v1iu7V++67PpLbth19Yrs5aprZRyJ - mxiIRJDWZTkb6nJERDBCabtZmS0GDSX3HaTKotRJ0DKlIiip9unyij69e3NQd3nu9TS3uiMcwW5q - kweDGiWwAZiO14joRS964X/+z//fT//0PzOz1bWp2awYK9HR5nYDmP9JCQI3sxBCqfc6P9xt27Zp - mqN9Zeecc84555xzzjnnnHPOOff1IKIupdGwbifrV159+d333WsAER8YJVrGlQAAE6upmcksazMw - Qe1Hf/jN23cs1bHKbVc3FWDM9O6fe9cF730vZvfelA6gzeF/T56YUmAVvfjSS+68++4zT39eHcPX - kTz+LEKzAG2IGYFDANO111776N79AoCBkufdfzVH++oADj9M5wUveEHOuSJmZhhUlbZIgDcZmGjb - 4tLOnTsf3f9YFoMacXgC0d0H6vtnDchmBlx51VU//INvyl2bVXiWdHsMPoBzbisgO2Co56b/UA7I - KY8Whp3qu3/uXe/+F//iaZi/r4UAVQ1VVFVTJWZT/S/vf9/3fvcbYNS23WAwiDES0aRrlenCz352 - z57HBBpiJRlMwdgOyu3ePOwzEp17zivPPffcGGLquipGMm3TdFDVABiqBB+55Nw3zOajuCd2JB/C - cTjIf2uY3yxzkHJ7i8EWFham02lVVTnnwWj067/xG3/z9/+ggACmAkKoYkqJtkibSQCByumJwWR2 - N5sBj+x59F/98i+//32/z8zT9YnEHKu4VQo9OOecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - OeccwACV5Aci5NwdXB/+wLxqIpgBpibYNtxOeuiderPEJugz48ZbokCiTTUyIzMorHzmeZqJEdM8 - ZYPABpKwMNyGzGzMBmPSPtMJANgUYNkatS6OVp/zErliBEBhBsiBN233yr14Wyh92R1vttCa49Hd - zjnnnHNbi5plZQ5kUCZEMIFZQtehncbp3u6rV1992RW3fG5ZH47b8mq3H8MahgyxXKZFIJiBy6mi - 4YA8NtpUumV2TKubgpOxMWkf2HaY80cCGULYKMFjCjNTMTM0sVaQmcFAxkR94lrKGVAj7bMhN87Z - lVHCiGdvu+kfxnw+uZ/VPgdWAcB4lnSomkEExkagOAAx5DQNFYcBWk2Tbt/efffv3n/z6JbtI1s6 - 9aQzty+e2Cw0dz745Xv33jmN+8NIzfowWjaUk2flEqJoIEKQlfVl7DCQEptBju7qA6mSAQh9Ai7r - rHyYqoqlEGwwGNT1gLWKUlVhW8rtQXG5AJRUkzApEQVWIjK2CmYB86B12oKPgIIODk0/6Nyrj4Pf - tPbOv4A+xRwAIEmYjTkSUQlEB5jAMaoByZBKSn2loUEknbT7YfnSuz593W1Xvur553/Hud/9glPP - jtVghAXrrG1bIqrrWkRUpa7rnDOODeXycTZKyLGRdpKZqYmVhrpCXVnTkHII3bQlNu6zEUlJzQxk - ohnBiMEEIihg0gdsYtMSfrofS249QCBsNEcAhlUAGEoQNjHTAGIO1YBi2WRKhmiZorZGxsaJB6Fp - 4gAqIkk5AaakbMfNBUHjeUvV/4IAmJGiEa3SBKttXH945f4rbrzkhtuvXcVePqHteNIpiQgIEYiR - GoJqn6itAMw2Je/yRtOJjeuDZbM59LqFbVrms4l1/hf8+Bc6bONdjnhdcfMKV/Y+1qe6kqFs4PP0 - bgACqIGAGCODzJiVmM0kra1PqgHqxbCW9mXQvum+B7/40NVXX/1Pv++fn/2cl68/9lgMJ2qybioL - o22ttJv2dMZlfXlGXBp+SgmmCGrMWTWrKhnXHCvKllI9mWD55ruvufTGT31l5S6pV5dphQakZmwI - RJqsNDJgMIHBpTVWQp+bTgC0ZDwrwebB0eWKdnnSX9TWI65Rz3QEY1Nl67csiwAPmuF4MkFlCyct - rE9Wchg/Nn30Y5/6o7vXrsdSBtiUBs2gS9PVfWlxIUrOBt44rCMFZba+mT3APL17trHPjwaV+9/3 - zfXmnawdsl8ue5l5O2N97DdDYVBNFZW1AjCYQQ0KG6c8GsUuT9TS0k7704v/eHmy+vpXvvGE4fMw - 1m46rbgaNaNpblNKTVM9gfTfZ6SUErFVXHEg1ayazUyi5Xq63nR37rnj8zddeM29XxpXy7xo0kxj - IMqQrJIAAlcAI6A/L5h3C1nZ8BhsJqxBARIgMxTaH1AJ95UPtUR3k4LsgH1QOWQBo+92cs9Yk8mk - HAnXdb2yslbXdYjxxS9+8fvf//63vvWtm6ccDAbTyeQJvEVVVSmlGGPOOcZYMh6YOaVUftM0TXni - peqdc84555xzzjnnnHPOOeeOuRijwsxsNBr9zu/8Tv9bJsjhh5KoKRMbzZKYzURtGOI73/lOMkBU - VdfX16uqIrM3velNp/zarz706B4iIqLSFxxCOGaDr6i/M2xQN13X/tEf/cn/8Wu/SoaqYjkwDfRZ - y8yIqIwQICIuw8uYPvv5zx0wmR6zwTY8ezzrrLNEJAaiMtRNlPg4HSRy6KgqIjLTF7zgBXuu30eH - TSZ/YjYN3GXgM5/57I+8+c1N03RdB5h3hjr3rFUGaAUDleHronWIJpq67od+6If+9a/9+p7lfSkf - X+NzAgdRkZQ5BgNy1ppx0UUX33XXXa942cupZSJKOYvketAo8IEPfJACq6jkBDAz55QO/9IGAGL2 - 3ve8hwy566CazSqm4XBoeWP/fmD8uXPu+HLQHfibw7/dMUFEIgImZq6qSkSGw+HH/vRPf/f3/pMC - XAWZNZiSM+iA4Z80GwZ85LsCnk4G41l6d49AxG2Wv/ybv/7BH/iBH/+RH962c8fayrJXT3DOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPObS3GZNbfgici9LghMX10N8CG7aPtLIQAI51F4Ryv - FRyeBAapoPn/2XvzuMuuss739zxrrb3PeacaUplTCYFEIEzKECaRSc1VW21pB2RQ2qvI7e57vX5s - u/sOtp/Wvuqnu6/2VVoU2gFFOqAgoMwzAQIBQkJC5oGMVJIa3vGcvfdaz/PcP9Y+5z1vpSBVRYYa - 1vfD53By6rz77L32mvdaz9fXJpa3xREDsiUUw6xwDUYmmK8XTHqdT06VrUEktD/2CUoOVZG13LPb - Obck1HGlXi4cgxxH+adsPi0UCoVCoVA4riAljgZWDaaeqAZZ0tGYlmlh9JXrPvmZqz9y78Y32rmu - DW0L1NuCNELGjgN7aIqpgwOqirWPZ3XQ2E9zGBOasTay9cNEmnzivkV3d2Lq7iWypn3AK2c5qKMH - sbVwcMixC5UMIjBAB/VQock6lWRkaiACUe8B3JTOTgTMvUuyHwdvum9hSpMvEBQGnqhhk6kZyLF3 - joiSikXzYFJEbdURDUHzfjm2B7rlyupvHrhD79fhcLjWrEiIg8WwOh57n69oxneef83MIOqwMl7G - dlVSclAyzkl6eChgpBPdOMOYSQVgg7HBKGkaj0axEY/KSdWtNd4twqbzHb3l1JEZqbEYSeq6BBFE - ZpCDIygdW9HZjpSDRu8Hm4aB2VydWKdh12YzEnlSqFhr1h8t57eNCOdAnjyRqmkyUQgiC1IFGtJG - tX7l3g9f+aGPnr/7wh945o8+qX7OrvrsLA50zgFoY3xQ6NijnWEhJUpGAAsDRk7hAQU0hMCQrpNW - ooxdwJJo1a7HmoasRET5ShWiFgHlwGopSadQo9Sr5bPL/BjMD5vTWH35TZ0yk6eKEcjYFGxMkbwF - MjZSJSgxKBFaL2GH3+liJeNEYmRQS4ro66DtY3lZh2IyJDfkulcB5RhpjGEadQ989quf/Pw1n9gX - 7w2LBpfGURNRqKgKIcbYKZIYEYinaaYgddisIdV4Mps6NYUfdA7TcLiqvKnN7v/KoMQ8c0f6XzkE - fT7PKt/s4cZW67KbRkTcdP32UbN48z1PhM3w5HJAXo3jJDCAGbkqg0NLMBM4Zu8AxG5t1d39pkt/ - 9/kXveglz7qENc3p9oXtO9ZX10KoJoJwnTYVKPbub4uSgqFM0aCiBrjadW40kmUZjK68+fLLr7vs - rrXbu6pph01DrR+SRAsAM0RNBDDUnlxVd12nBFDX3/9s6DYoT6ek1SwBDEu5B6IMJRAnI1EWIzFO - h9+YngCQgc1AKqRsOikvpOCYrBoOaYC77rtzeCbdvf+Ot777T1f5/uG2QWNrKUUzwPtqMFDVLinD - K8CkE78yOLu0Z38vFz0wJl0+o16jDtJ8m0g3H67MPqMhKE+c3zrpgBnQMXJPhgwMZVM2nYb22+zK - EUcQDHMVNCXy3FoctXuXds5//Ib3t2he8uT/aWfY7dthbCzwcEBObRV2MuWGzOwVz9SrROQci1nb - tswIwZvZGu+7ob3641/8yO333GxV0oUNT5EYMUpUEIE9EcPMYgcQBh5mgLGbtB1GaqSgrgtgQ8x5 - wOC0HxcIAWRGEFYj1cn/Jj0QZuvz2kxNe+z1NwoPE/NzAzProuzdu3fXrtM2NjbA6py7+OKL3/zm - N//yG/7FeNzk7vF37u0GMH1jZtu3bz9w4ICImFlWeucOeaFQKBQKhUKhUCgUCoVCoVAoFAqFQuFh - pGkaXwUAN9988xe/+EUAdVWPuvbbrLswM1BewmREgOHlL3/5hRdeyIamaZYWF9u2Iccxxsr717zm - Nf/5D/5r3tyV9+E8zLtxyIG57dqK3Dve8Y5/969/bVjVjnlWDnioFWgnC0TUJ7gZExFzUmlTuuyy - y4zAjlS37LQ72p/Jf77lg3PPPTc4R9PNoMcVeVPik570pMu//GVk9bg+XI/FGYBCjejzn//82tra - 9oWFXJyI6DhMqkKh8PBjZj749WbsQlicm3/1a3729//wjY/1SR3MdNv2ZvXoKEb7i7e+9Xd+67dD - CNkpa0zOua9c+ZXPXXG5AYN6MG4bdi7ZZqVKAE/qxumHZ5y+65JLfoCZRWRhfr4ZjfICkpNuXVmh - cCxhs/LnI4EmcR8KR00e0dhM+ocQNjY2qkE9Ho9VdWFh4aqrrvq1X//XnRp5xCgGkGNT9SGoqqZD - dTSP1c6nwZjZKA8emdBvnxGzf/+bv/nc5zz7lG3bty8tNqNxyVqFQqFQKBQKhUKhUCgUCoVCoVAo - FAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQuF4QWkzkEfeijsrWp7AmNoipuoHovnhAoNhmxEiyHB0 - m/6ObYiUgq8PlTLAgyQ0RETGc4N5atiBInDIjXN6AibUJgRnSjZz4Zb35J3QV1141CDalN8cF3FR - irq7UCgUCoXHBJ15LZzs5AEYz4SpsZlxHFmvTjTkN+ZBaposGTGCiGtHtn9N9rzr7//ynrWbVvT+ - tNRJgDkQY9zFwJ6VTCRGDY7nvDOz1KZJCBJFH6Jlxgu5NWhLf3rWf9g7HdEbN50yGQPMxmRE6p0y - GzEClNiI4aowmBsMBvVc5euhHzry3ntmNjMR6VKbUhp34yixTaMuNm1qxQSAkhKLkRmpUjIy5aSs - SmqAck4XNerVs2Rw0BkpbJ/CbMzMwcxEVUxEjBzYEcOBVROIyJkwOo3OwwU0MSmNqOKNuOIG8BWv - d2NXwQxk09LL04KslpJ1wmHcjYXVzNixSQKO0B63Rc2oALKoOqUUghNoheHjz7jwh1/0E2GnbaR1 - Z1W+8P4sCAQz0nE3Fmk3mo19yw/s3X//ytpy046MJPlWuFXujNUIQhDu7ygZeCYOqW2dlzmSS9gc - YM/eCP6OR0c6Eb4eit4uTL1/FADyBfYSa8KskzjPFxFlDXD+kqmBK1Iz6CQgKcizERGxddL6APNt - k9bY03XLy7d/4NbnnXHJi5/+Q7t37U4bqikFVOzAzKoKUhgrgW1zcoaMN0v05HRmrk8tT19Zr1A1 - KEjyZxP1uAKmqgozo4Xhzmecf/HC9u1+0Y+7cWCHScA7MzNTtZQsjUarK6O1ffvv27v8wMZopZOG - HKFKjdtQ7gCAsjl+UiPp9BdnUxJ0VPdxs5abxIRS2swm+bf6G2T915x6Uu80OAlkvsJgaX77zu27 - dm47ddvC9lyZBASXHBsrqfU5WUGtVw7N3BNOu6hCrSK198oWtUldZFRHfPZHd6WTT75NaikBUDbk - KlQJRhCObbWRhuMrbrzss9d+/K6VW6Rex1yzAVGCGzhOKmKmgMERmCAKnobQJZDCNqdBOScwAIYq - MU+iGfZnOKm9czVCE+k8G5Exm4cRmQeYjACw8oMuIR9ODTAykCqpkSgnIzFKRGqsm1+eKaGTv03I - M5jTyRRj5FwnwgwzqIEdvAeATgHAB2iCKII3lSSJ5obD5fX7lpaWLrvuwzffdd0PXPyjzzj/Yoni - h3MmROadAcQ228bN3p6pw/7gyI2cz/2Yn945DEhhTNi8xr5Zp80eaV+RAgyIGHlWQqLOKo2DuH/t - nm/sveFjX/jHA+09G7zaDbqGusiwiqJY1RvgAYJ3RESqaDYaDpybp1zGN0sHzbxCyaCkmLQ7NpPo - RidfnzlrtpF7X7mQwsAgNoKatHFl6Zzqits/9d5Pv/OA7aE5rLfrNGDzEFGYqomJElG+v9O7PC1o - OltPEfftTv8rfTtFUAMDamCyPIWYawElzBQZyrdQpzW7EZCrDij6WlF5JiygGsygICZHzGSaYgwV - dZ0ao1rE6nijpfihq98XQv2iC77/nO0XxP2WOkGWS0NhDsj1v046otNus066rDzN0sdaEc4V3TSp - J7cjP+ua7depcM4JORvotOc5rcbETBA1RDePka7eePv1X7r985+/79PNcF2qaJbYqZGKaKgoJnOO - REw6DGoMBpAOmkBgI869faeYOLkBgnDfRcRswZwpv7NvDr7M2b5y4cRlNBoNBgPn3OLiYtOMiEg0 - Ou9E0vOe99z/9sY//KXXvwFmSQxQZj7SePUxxmzvBlDXddM0mPi819fXB4NBjDHrBEqQzUKhUCgU - CoVCoVAoFAqFQqFQKBQKhUeCUNUCi7H707f8WfZojrvWOSfyLVZxEYsp1JjZ1DyTmb32Na8yEQUN - 6npjY2MwqLuuMzMi+oXX/vwf/uEbO0lMDIAciwqYoA/Tk16zvK9HTPauHPi79/z9617zWjKdHv9k - 9nZjou5WVTIoE2AxpaZrrr/+BjOwbT5xd45E5Dv/xbyWjkGnnLIjhEBJRZS871e1HCdb+1SVmM49 - +xwGQAwiwNg5lSN7IHrwt2dyo5jddtcd9+/dW4fKMxE4pc658B2fe6FQOP6YXbhrZlVVdV1X+aCg - OG7+1S//L2984xtbObaWSCVJ3vkoHTOrCDNitEB429v/5t/++q/PD+eIqKoqDn5tbe2d73wnAUbc - tI33PiUB4LyX1K9qndaWefmSA171Uz8zP5yDanC+GY08OyKWlMCb4QaOlzalUDjB2NK9mY3rsWXX - /cE11jRGxkneOf8Ome75AtC2bTWoVdWFUDl39549r/q5n1trOzBSAjsSMVN13qcuEs1sttlyxGNu - AW5eBAtAVac7IgwwUwKY6Z493/yPv/O7f/QHvz9qWu/dwzauLBQKhUKhUCgUCoVCoVAoFAqFQqFQ - KBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUeFTU+BCSbqlq1bvfqdfL2jhuCYq6oicXnzVW8WIhAw - 3YhFJ4IxgMEEpeD8dP+1GJg2zRsTNVSfYmTswHUI6EzYADbTWRkTeqnZiWfvZkAx43khNXJ0iKAh - fVwRBQCS/s2MSqNQOBwevHf4mKWouwuFQqFQOKYhIqJjL9ZF4eFDaSrq1olRlTW7a408O5XOeWcm - nXShDgBxJCKok7Fbt/m4bA987muf+PRXP9T45VSti+uSgxmgCAJPUKiREnMgR8aWGFBmb6TZkWmT - MWEeWIrAe4AhAjM4B2dsAjJjOJAaVB3UQQiUUKWqTgNGcBIGbmHn3KmnbT/7lIVdu5bO2Da/89TF - XQtzS54DqQHMoBkf4ZaQWAJhBjmIptFofXlteWVlZaNdvXfj3r3r9923/97lZl9HYwmNuLbjMfxk - FoDBZgaFITgyhQGqMAI8mAIEMUlQJagjOECIFaZwRoiWwGpkULChpn7oRwSBMcQHAIiizIDBsl4X - gDGrByXlBMCRgVVU11MbTUFERmTukBFsvhVsrBMj+dSymVMrsIOAyAWb8231vee9XDhNdZXTIxiB - LBsrFWQCAZQD2nZ855133vLNG6+8/Ypl/SbXa2NbjjU0wCpsNKhc5ZRrbVx2kxMnDiCoRUxlnA/1 - ygbAk7FBgd6wnu+yI5JkbM45MqeqSglEAENmhts55pIpmMnMlJANfKoKgIktaR/oEjDDdOTlGGpq - AiZyRERkomLqOesnIbxZk5LB5fmmnOfZDBDyAMiUbMahmVM1j/AYmvOZh8HEUlcvf/zA+y//xOde - +MSXfP/TLzmdzupWtOIBAWoJTIBGSYNq2HUdkaucn6iRVUmBrEp1/X2mxIgKNXiAFQ69kx6c1cW9 - bVcmuYLZQRvZPbzwrPnHKWu+6ZtZAYzNAEwqTsxiq+3q2v477rr9xltvvHXv9QeGd3a1OFYjiwZh - wAUiUsCpOksEKCESOvYAgqU+Ux5GfuizNGUB+UT8aSxZtuqROh2GAHEpNfUSOgEpLMJFVGlQdcN5 - 23nBWRc9/YJnPmH3EwOGlRs68jBHSrRpap9cLnK2VzKwVk4diyNnUaOZOqqxmYaPCEpQYjIQ1E2y - dM54SmpmgdjMRMwA8gFsrJ2ZQjwhcAjibUQbe23Puz70tm+s3nhfe7suta6yKDCBd0gmcH0j0f9E - rpkoa7N7LzYrCGzmQxhsjEfMVNVeU1QIMakaGZj7/EECMoa5KoWqqb362g0W55dO2376ru2nbV84 - ZRgWl4ZLdZgbhDnvB5XzzF7FOklGaNp21I7bbqOJGyujlf1r9682y/ev3LvS7m901eqkLjVppEi+ - gjAUIFVwb98VSO9/FTjAE0zgSJl9ssSuL4q5UsrS7jylaxEOcAyIGcy5Kkrygddt3Z/Kt42u+7PL - br34gRf/4DN+/OyFC2i05Mbm4EMYNNImEh9qEXFgMjVSkOrm5KhOXeYAepMustv+eJ4ZJHVESZKj - ysGnZKJKFcMRIF1qg2fnnHbRswvs2laGYW7UjHUY3ba0p73jK9df/pUbPnv3gVtRRxm0wskIxHAE - S0YGY+Sg3HkyFWYgcMBUQZ0/3jyjqeZ5coa5HcmfO82qeGJ1ZI5sNvroyYCKpuFwmCJ3XQq+JqLO - orHCUXQjLI2uvP0zb//0Hy/T/rBzfqNtrHJmCvLkIABDyZlBcu3Ik5o534itiTmd+FZsDbxHptP6 - vK9mSXVi4558SScy+Gz+VlA+SAKYkAutcj6VydH7og0zCEwMCAxKVgNi0AgKaKpO/doHrn6383bJ - dy3OVTvTCHPD+VZZYc48AHVJ2EiZ1bExm5IlYxFAiQ1QsLPE1v/nMcLEw53baJXctyTAmBLBuDLv - HQl1DcYddXC+smGMRhCQsKpn58ApKQgiagto3eir91x5+XWfvumb16zTfh12Qgku91o1d1+TGTmI - GRiekQOqM5CbDyXl/HAIUOorRSebd43yQ6PpfwKUG1ZjgA1scAab6ZdOGqrN94XjhcO4XzN9Kh/q - JJsB+zlXBpIYzI6fd/Fz3vTHf/SG1/9LAuo6tG0EAHIwc96riJk9ZIj/7O0G0Lbt9BMics6llJg5 - pTSZPAEAVc2DiHxY51y2PhxRKhQKhUKhUCgUCoVCoVAoFAqFQqFQKJy4bD43mZVXT56nqFm/WoqI - iFybxNXV8srqO/7uXQoyGBOLyJYHzDMPo8VQ18O2baEWmKB2we7dP/iyl3sjgqmJZ+4f97BTtbNP - Pf3HfvCSf/zgBzpT5K047CdbATefM09O9QiX0xpcYEnJBZ9iMuC///Vf/uyrXxWIgnddMx7WczHG - GGNVVeScqp5s63VVknMuPw52VRi1jR8MP/rhD4mBAc1rQQgAROVo1H35+zR5nfz5YDA4b/e5ElOW - u5sZOcQYjzU19VR/2D/ZR//eE0WRJ17wBA8kUxWwdyrxSI9vW97NPKslErPK+49+8uOve83PKUBm - wVV6kuXPQuFkxmYW7UwX5BggZpLUBZ9UvHNkujQYvuanXvlXl14aARCZGbtARJKSc05EZlrso21P - j+L8gSgJBBWBQQ0EqGFt3Lz7ff/w6p99ZSORiOZc1XXtpZdeqoCYEiAp9T2SibfbAO+ZiFJUABWT - N3rDL/6SjMfD4VB1WjXmJUyMvOBzciZFA1woPNIwEQARNSIQQNTFuLWTswUzY2Y1BdG0WvLOTVek - zRZbo1KKHwL2vm3byntmjjEys0GSyGAwtz7aqOeSA9FoAAAgAElEQVTno8j6ePS6X3r9bXv29Dut - ABUjwAwSEwEwI5h3PokaEOoqdh0AEMHIs0sqAKrKd10HAjGbzvRdH8V7lOTBP6YAnHMqImqVC5f+ - 3d++7GUv+8lX/DNJkWCOYGaqGlxlZjFG51we/1pZVFgoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqF - QqFQKBQKhUKhUCgUCoVCoVB4KIjIzEIIqkoEZj6OBKWF4ws2hSgULrBYShpzZpsIApiMATXud9cq - KKlVxCJSVT6tpeAqNSVMrUu9GOYY8jQcLQYYU0zKTHVwmoSz9IkgWYeUk8p6X7URQKStDWgwDENx - MWkyZQdvsGl4h5xKyIl/YpCtOkrMbCSOpBmPOTvAYERkBiKA+tgym/UZCShN5CPTTZ9ZH9Cb9R7V - CykcJ4gIsc+qCDMDjvWtm0XdXSgUCoXCsU0fB4JL7/PEZTreUCUwDNZ7E1XUGK7y6+uri0vzi3P1 - vn37lpaWBEbBOhrFwdpNe675+FUfvOWBG7p6I/lWOOlk8JKHhQoQdCZgDetkiKiUB4rA1og2roIo - NMGy21VJTVXhACI4VA6sHQEe5oJWZw7OOOvUs889+wnnnH7uKfOnzfmlGnOVDax1wWpvgVoyAakR - ERPZjBN5NtCJTb4EsgWcetqCYgHJx27QdjRubbTRrd2/+s079tx22zdv2XPgrtX1A6jNnHSpFQg7 - iNmoTYHhA2rPMWlKSJQ8sfOkKgcVpOx/1YmrMifaQZF9tgqcN7v3RiBjgGDMBiWQwaBG2Gg2wDAz - Mya4zTBnh5khbDO82qH/1QB1HvXknLd4uzfPXFNOE4M6R9s8n3LWOReecdH3vuDlV912+VVf/+Tt - D1xPTlwl+5a7U84YbKxtpks+QA6HSdNTOYxXJWbbtNvyQbHPiNVYRc0UQEXOORe1I86Gv4mLHcTO - qaqqyUTanQ9HBDhHBlMxgzKIwUxE1LTKjCowE6kaVIwmMTPt4Ds7vSg2KJgVwv00yrevbLfkB1Kj - ZoQmhuYjV7/39m/c+E+e9Yqnnf09cQyLXFUDZl5bX5+fXzDT+fn50dqINu9VL7M0YtLemcrGyL5M - qE1MqEYMMGxGz771DFldpUNgmA+iZBPxMKHXDzMAchBEOCWHU5fOOe+iJz77Cd+7Svu/eNcnPnP1 - R8Ybyx1G9QA89PetjeqFKs8UMTiXWDYwJnfisPNDX78ZjPriBoCMyXxnTagqoq6LEswtzC8dWF/1 - HkEwwLyXwSmD05/5lOdd/F3PP33uPIyc6wYBtUMAmJTMjIyJ7DBUytlk/8hKu6dMnbgzJ5Zn2dgg - qkpEVVUR+yiWUufZwRE5joiN32j86Cu3f/HDX3rfAX/vGj8QB416pN7TDiZnKrM/1NvfCTlX9DWA - ZfEqw7C+urq4fSGlNB6Pq8oTURSdG1QsJJ0gOa81pRCsPmX76bvPOvfJZ190ysKpp24/Za5eoOQp - sbeqwgCRHFVs3oxY+0wVYexI5wxzZk7AlqjrMOqsoaGsdcv71u67d/9dd953+5799x5Y3z/eGDc2 - oorAlihFjuSVCKowg2dUjtiQYAYkS12H0NdzkwC4E50229QNDEOWzqoCCRIqt5bGYR7Oh8tu+Ohd - 99z1wxe/4llnv7CmJa+Lzbgj7wehXm82QtiM87uZjYy2eLtpOul8IkTmyop3gRCcC8zsDOgkklcf - 2NRSFx1RjDFRDAO/Jnv9Lt4/vueLX/rcFbd+9pvjO2LdyLZGrJud7HL91CvwkL3Vg3zRxjDtq0Ac - 9E/9JHXfxmU38HGtTj9yqqpaX1+vqzlfVV1MRARm512HZsQHbr/v6nd+8q/S/FhJVrvV4Xwdo8wG - caZJi5Y7L/kG8UxFMSG31Vu7SFnyPXkLO1T+33IcnZk9574ruzWitB50hM0TmK0tNdu2cyOmjOSb - UVr+zNUfO82f+ZxzXzIfBitrK2HBpxhDn0kMUCNVcpMTy4862NCrhdmOufCrRn2T6gxkubOoIAZp - NRigU2mjRUFAqIJ6AEjjFooQfAhetIsSIyWpktVoaP3Ge6/7zNc+fsN9V7fDDdvRtbZB04JpuezP - /PzsvZsE9s0DhL4gbwn7e3DJnb2VPNvc93kgf8ZK6g6RPQonATN1tWPXts3c3PClL37RH/zBf/rV - X/03sY3MEIX3PsUoKRHRYDBomhEzqx5ZPW9m2dsNYDAYjEajEIKIMHN+TSl57/M3i7e7UCgUCoVC - oVAoFAqFQqFQKBQKhULhIckrW/q1GJPHK2LGzhH7t/2PS9eajckDoYd4CNt2iYDgnEhywL94wxvI - QPnIBy0egDHwc6969T9+8P0E9A4/U+QHSw/H5i1JafrqAl19zXVXX3P10y56ijE559q2HVZ1fuok - IgBOtidLwXkRISYjxBjBFFW+8MUvHiLNj9LzuvUeTg5y+hmnMrOJAC6nf5Y4Ht1VPKLQIRdOAAAe - d+65mDyHP9InnpOjA+jTZMvzfDMAbUpfu/Y655wlMTM1A59kGbRQKDwIciwiwTt0klJKKS0Mhq95 - 5c/+1aWXMlBVddO1KpLtublpw7GxGZrz8lrgz/78z1/5yp+eX5iXmMbj8Xvf+971jVHePmEGetDZ - hqqKsclL2mofUoqXXPIDO7fvGIbAxGY6W3NmToAFn4XCccRk0e8mUeWIKhwC8kKv2dgfpSAfJm3b - LiwsxLaNMWpMVIVQhXowGDVtPRyKCJh+/d/8u8u/fIUAjjf3I+BB67eTJAOYOXYdnKuqqhuPnfMq - msMldF1HRAazo+v6PpKISLZ3dxID+//7N37zZS/9/vm6GgTOUvMQgkRh5uFwqKrTJrJQKBQKhUKh - UCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBSOKaaqFCWdGqmMQMKTnXebfgeC - EVGO1UBEvdOKJvunjG0i55oomY9jsnyIspWJ+hApUxGD9oqNWUcYA+yM2SCswmIaGMwmSgBUAXcC - aRQm0fgVYCIywLJ6LG/h7g0CPHnNmYRmAl5kw9j0aw/eSFj8iYUTgaLuLhQKhUKhUHgsYQPMKakS - QGamRHDSATyYG4y68XrbzO+cXxuPqKEzTzln7/59OqcxjMdu36eu/MBnrvnIutuX6k58Fl/Xpgwo - QUBd1mG6rG4kBcGo016AOiGPgCwbND1Ix10iQiCqXCDLETkTe7ADVKVRHle7/JkXnP6Up+1+5u5T - z9+1c1eAdwhkhEhonTfPCKSAUi/jJiKaBGih2WHn5ntmzuN1m4n+6dVTaxX5eVvajrPOWviupz7h - RXJB7Fzzjftuu+7Or339zqv2x/vScJTcWHnEgBqUYZ1LEY6sqphY22QgCHjSAVaCEtKD4wQdIZsJ - SZQH6Lq2tgbHAiM7mkCVR8wWlelmehIRMwFIol3XpQTv/fxgO/vh9zz+xd994cVX3vj5D3z+XS2t - 7RocGB9ovPPZ6JlPWglG6ciTR5UVM1GTeCJ9VOvna8x6e3sHQRJVMMOyf9tMNQ/FUwiBGYGIGAIR - gQIiOeyPY/ZEBlI1i2amFoYAEEVFoAoiOA/PDknIYAArK+lEAAxhhjHBw4jNnHagtOmXPjyc8pwx - YhsWwh3rN/zFx9/04qe//KVP/6HFsEPHYHXbt+9YW1sjQ7RmcX5ptDYKISipTcSiCmVSwJNBiRke - lnIZYQiMjkxVa3mewk3ebxJjFIglMVJ4Jcdz9ULths8978ee/5Qf/urXP3XZlR9aSXua8cgxyEln - xsQQ76DOkiMEVaOjlJ/SRB/b2ztJK3KWBIBzpGZt23rFEi+k5WrOnfrkc5/6wme++MIznkQbTjfc - Ur1DG2N4AKSmJmZGlIjZjqWgXGxMxkZQUmEDKRmcwmUVtAtiKhLV1KylBE9Mzjcy1uGYd+gdB276 - yGX/ePU3viiD0Wp3wA9t6Chm0z0zWa3RHPI8lxpBCDp5fzAEmBKlxUVoWidgOCS1RERMaNc7Sm6o - C0vu9N07L7ho9zMuPPsppy6e6qgSEce+4kCRKbGJOQQPT0RkbEammsyIiIiYoSkRGRERvEUw+ZqH - YENj2/isMxYveOJSwgWaLO1b2Xvf/j23fOPGW/fcdPfyzW5+VC10I1lXRQhgAZGDuUa6GOEr1AMe - 1Bw7hfXtF6BkSn3EZibVvnT0euFkxFyzgVwkidZV0Q1x9/imv/n0n1573rU/8X2v2mXnxzEWLFCy - hdonjQTKrlwAMNeHgzZyZiAFEiDCrCDL2W9rSLjjCwULmXkHoNFGYmJm55yaWCNVVZGRkatqbwNq - Y7vi9i8v3v3Z6z725Wu/tG+8T+vYDJuISADBswE2jQScI2WrnmRq7UcYhrCnCo6jdlJZcJU1SHHc - DVf2NLe9+R/eGOfW1kfRhTCoU+raWsGTZwNZNq8EA8O8TroHBgUlQGeC7enM6wR60CsUUDKG5SDZ - W7oHRtMjMCkbTUOnHn6W4Fy8pi21M5CADWrxQLPvPZ/52zN/avepTv1gLmAYaEBGTEJQgsKU+8l9 - mx4NyIX6sE/hUUQJ6hIZWEDGrKgMDBGStm2CC/XQk5CqakcuBZO04D0T1LgbpVaSzQnmulG1fPUd - X7j8+k9df/dVadj503kjdm3EXM1quUcGgGfa7s07kj/MkvRjMpEKJwI5FKaIeO8vueSS3/7t9d/4 - jd9iZgNSbAFUVSUiTTNCdjAceTTP7OpWVWauqkpVcxTm/Pk0InMOG1rs3YVCoVAoFAqFQqFQKBQK - hUKhUCgUCg+mXyw2eTEzIgZANn3iCPVuZWXl7W9/O/o9a99yAdGm2dnEO5+kq8nvWFp8xSte8W3O - QVW///tfduEFF958+21jETiGER5GFVxeIUkgRozGwFve8pa3/MmfahvruhqtbwjyRjOjfgnbyfUQ - lYhExLtATClKqMO4jZdddtkhvvqwJsz555/PzJrSdFUhYMx8fCX/4x//eJqurXhYT71/vml2xRVX - mBkTMRH0+EqeQqHwiJCbKlVV1aqqAIjIc5/73Gd/9/dcff31o7YxgNiZKnHfqBnyctNjAia65obr - P3f551/64peYmZi99a/fNmtPtQctO5vaVQkUUyTgF3/xF+u6hmpKSVWna0LK4pBC4THBzEA52gWp - GYgeUoo82+UmEMHqun5kz/LEJTgX27Zpmvn5+eScmcVOutT4KoBJxf7bm/747/7+3UkUgEwGeg/u - VYYQYox5m0DeutONx8QQiQAIfcwSMEGNmB8re3eu6L9Vrzj4ICmq6v377v+VX/mVv3rrX8S2AUDO - R1EzVTXt1MxyJJpCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVA4 - Bsl7Zg8//AVn5dNJE4y93wr3UNjErXMypAkAgA/afqdmAhVV2yrayRqvQuE7hIimOamXxB8PFHV3 - oVAoFAqFwmMMQdmgxAZVAkONQSbjpotkcwtzEepCIEf71w74Jbc2t3732i0f/sy7r7v7K3G4KoMR - hmgaJV/BAsz3EauIYcq9lntG4jij7iZDliwCE9Gv8bAOZsbmyBgJmoyoCt67zlc2vPCMJ7/waS9+ - 0mlPH4wXQzsc+qVuLTGzAzMcqanCEmDmnAMANiICm5kkU0kSQpg1TE8REWYG5xgorJpU1UylM+cq - T5VHIPNmMCBR2nbqGU8953vG3/cT31i++Us3XHbVTV9aifvnt/lG2iidI54LFaiLMSYFHNjBepVj - FglrfjcTMfRI0dkLUYCJQLS6seYcAapmTP6xGhYQkSQzNu+9c85MRFTHpl1YCKebpuc/8Yced84F - f/K3vy+WXD1qBcYJgOToR/maSI8scSYZTAG2PkqrMxjYzMBEJGqJJjZQBepBbQIRSUnIwOycc+zQ - tB2yg3oyZCECGME5JQaUjMwYEFKIIbVQggPg4DwMSIqYJDCoPxPNUwGar87YiHOYKIUy1BlgOCJ7 - NxkqcKjDenvAVTUP8f4r333zHbf80xf/9AU7L7IGK2sHBtWQjJyh2Wjquk6WjLLgNtuCSQmAMpjg - +tB4BoLBDqVkfmhyQcZBpSyEUJE3NoUqJFmSzgzVaeH81HYvecqPP/m8i97/hXdcdfdXdp0yf//4 - ACoIIbuhs7g4aJ90RxdjyQAlMJDlvt5RkkQM58lUu7EsDRZpZXganffSZ//ws5968RBD2cs1BgF1 - t67BVTk7mSlImcH9bKEeJCl/DMmibmMVVmEgy2NzDaPZNK9wnphJlB1Vtd9oR7wNbT3+yk2f/eBX - 3nPvxm20MzW65gmOYEpeySgwXAJiSjV7kBqpQo1gW03Js/lFWdlgjK5B8AjgOBaHasEtWcePP+uJ - F533jCef99076zPrbqHSedfVIsY1xbaTKA7Ouypw0CSSFJPJWSImmkY5VHYGwAGmBjECMzsmP14d - +2rg3MCpMMMFv1idfvqu859x1nM2sHzH/puuuu3yr9/9VXREtZBzRtbGTokqP/DzYiRt1K7VofcM - KJSyG5gAUwaTcTbyGilMrXf9qjKN1tql4bDyYW19NdTk5vmBfd/88l2f3vv+vT/ynFc/adcz0riz - zmQ8XpyfazuZ2qaNsovaAQCETEFiUJAascAD8MpHWwKOCTSZQuCYPYOZyHnywbnK12vLK/Vcjdoe - 2NhDC1ijlS/fcNknbnzvGu6PSFgk4SQWoQARzMNAk6KXdfU4SWabH0VilLm5hZGMoiVfVVEjO7Jh - d/fopre8749sR9y/tn9pYYdRWm5W52qwwBnMoAQwNHf/CJbnvokAQy47BEAPHUl9NqDd7CvAlgAm - y2buvkXNJUIJbACBFQo4ZSXgaFTuLKQ5vF/uvrKirkNMzTL2vv2jf/nPf/x/Heq2OTevjTn07Tsb - ACMkAkBqvc2bYDy5HgYeIgrko4yRChuZirEXZbAaOxNAwTAkNQGIEByz58Ae1q1H6yKZ1uzmaUWW - v3rr5V+44ZO37Lsai62eMu4EowTyqAmq+f5O2keb2LsJbKoMPujul/JbeMTIQaLbtnXOv+pVr1pZ - Xv1P/+9/JeqjSHddl7+Wg34e6cGZOaUEIAvCmXkS0B8ppTwIyu+JqB+cFgqFQqFQKBQKhUKhUCgU - CoVCoVAoFL41WV120DaYvDHmM5+97MZbb0a/mMy+zVPGvL5kepBk6Sd/8id3bt+hMX2rPzKzEMJr - X/va/+M3/z0BZubYS0r5X6dL9I56lR8zq6oZmMlgdeXe/e73/Mf/8Funbt+ZVFzwIqKqzEzM+hj5 - 5x5D+nWb+V4zEdHevXtvufUWPEKrZCZ38bzzzuuFssfJzqsHQ0Tbt29fmBuujsaPxMFzytx22217 - 9+49becpRJSXFT7sv1UoFI4vVNU5p6q5lqiqamNjIzj3+te//pf/5b/iyYJ2AUyVndMHC3Qfo8VC - uU0XMwbe/Gf//QUveAEzX/v1a7967bXBUZRD1G/9ClwREKqq0i4CeNITLnjh819gSZJKdq9OG7KT - Ztt8oXCMkmNXEFGadOYPB4MZUFXVI3diJzbOufF47JzjEJr19WpQh6riyncpQeRjH/v47/3e73Up - 6bcfyAExxrqu27ZV1bryeWQkokwsprmONdix1YefuaS8CpEdGcgIZPz+j3zg7Zf+j1/6+Z9fW1n2 - 3nvvxRIRMY4sixYKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhcKj - ydTb/ZA7uaa7a51zvaD62Nj79UiiUxk3zYQ3+VYQUd6JPMXMTlQ1AxGx2YysSomNiFTVyIxIycyy - pwtmReBdeBggIrNjZtvp4VHU3YVCoVAoFAqPLQqAADaGVUACReEIILE5rsgojaNABvNVCs3Yr3z5 - jss/9IX3PrB+py12NogSdK2BqwB0MMAUYPRjIVXATYd8E2nf5AW9Mhge2adLiQ3ckKomKMjBXOCF - Rbdju9v10me9/KIzn3LWwjmyqnKfzA0W6xC6phnyvCWowMyI2TNzcEQEMjUTpGRmpgCZ8847M5ux - 7W5Gd+wHq6qmOQSkCyEASo5VoEmTJDI4MBMFdjRm6SripYvqpz/t+U9ff9by9bd+/epbrrnh/lvG - fqXjB6KtmlcOCAQDqzCBcyIQsjJ9JlkOm94fPA0KOtW+GoiI2MbNBrGAYY9KGM/e3fug9HTOpZRM - BGD2DmBmM5Cz4CWsrze+CmcvPPnf/sJ/+KN3/O6ejTt1MIoMneSMzVQ5kqCnNmuFt3xWnPWQ5iGU - RKE5wzPIgQgbbQuACFyBickoadJOB8PazDSJiJiBHXIkJ9WUFBCQgYk914H9gIjUlJShYmKQHMJJ - CZE8CMFSPg2yrBL3QgxAObIpoFuU1Ic/S0KaYmfUsUekNmFvtWPujnjdmz/w//2T5/3Es85//jxt - 68bNvF9w5Jq4PhgMYkoKAL1pGGDAqM9PDPisPudePn6wW/MwYCCLjrdM/0hM+ReNDUyOg6MACxgN - tSM32LF78aKfedkvn3bthz945fu2LW1btzVhNe6t087ACspm1COdRZqUkWyQdQQyRbTgCLA2pcrx - wnAeq/V2PfOfveS1F5z+lF12ahwlbWzghjUHeE6xVTIiQz9R+NCzhI8BpKBJFCdzwi5XxESoPMco - auqCJyIhGMUNa3R72i97PvvVT3zyax+8X+8MOyW6OG4w9NAOlMAW2LGxAcJBzABjhTcDoEDCRJnc - Fzqw5axMSIyxYDAHjuBx2BZ3zKVTnnrO97zw6S8555THuVj56FgqJJfUBOpdpaM0oAFnt6USJXNw - wTFyYCsGoEpkJgoFqWoHZCVwjn1IREYm8/WAmRUWwJrUBBX7EOYjRqTzTz/lWc/a/ey9oweuvu3K - K2687Ob7b6K5pCpcwZGTJFHVPOo5IGpvGjadCngn2ns/+UCBlD9so/ghEomoUs1NUqCd2+k3mv03 - HLhy/ycP/PDFr3ju414QbLhAw3YcmSoiCGcjNxtgpNq3D4pspAYDR1MUjzWcYcBh3KmaoAKzV7Wu - g0WF04X57euy3OjaytL9X7jx05dd+8lV2RdlPdSkpKP1VgiDOVTOiwgsZf1zrmaVVUkBdYZD26AL - R4GxIxKRcddUw0oVXWr9PB6It1/66b/Y7+7aaDaGO+bXN5qq5sBgl3tigMGIoZwF2AwFOuVpzc0w - zsWHJ+Ltrb+b/+/gzwlwBkANquaFfH6kYGSgBEp97DtSZ8rmnUGmnYrDvmQlGHFuxJzC9e1ltJo2 - bPm28Y3v+fw7XnfJLy/fubwDOwhmUNc33wowKCHXjcYg7i8WqgT+9j/9WKCk+VKNoL0l3RGoqn1q - m04lgJm8qkrqAOG6Tb6ReT0g+79025euuPHyu/bf2vBq2GadtDKGZwQHKFSRFMSsk34v22YCkDGr - 0swttumooJTfwsPCTH7LoaKJyHvP7M3sf/7FX1gbbbzpTW8x2HBYj8f9QODo4iZPrQkigqxwcC4/ - KJ0esG3buq6/04sqFAqFQqFQKBQKhUKhUCgUCoVCoVA4MTn4GSGDzKbbqpiIAAVx07Vvfdtf5+VY - mexsmz3M7PMeZhaRJN18NYhd87rXvU5V84KfQ56Hc65pmlf+9M/85//yX9aaZhw7sQ5Mk6fOW2Xa - R/5kc+a5ktV1GLfRAW/7m7f/2q/8Stu0lQ9m5r3PuxZFxOWVMycNqhpCEFMRIXZN133t2mse6ZWP - BOw++xyo5j1+ecFJ/56OxfTvV43OZPT8XDLGeNYZZ67edtvD/ovTbbRdStd8/dqXfO+LGEVIWygU - ACClNBgMRISZu67z3ldVJao/8iM/sv3/+j+bLq5urItEEICDvd2P6vogOkRjkldkkuOPf+JTd9x1 - z3c98YI/efOfGiA45JpgRb9Z1wjUdZ0DGHjd617HzGxksH5jPZBd5kXdXSg8Jky6LTmoh2V1N/NB - /fiD/wSEadE3oKzyOmrywrkQQmwaMIUQuq4zQlXV11x/3f/2v//qqEsH1bGbY7OZWtM7bts2x9tI - XSLgeRdffNNNN+1bXq58iJLMDEzMrKqHrLbzwR6dtmbzEib27piicy5JYmI1JSC48P/8zu987/Oe - +/jHPW48HleDQdu23nvnfZSi7i4UCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQK - hUKhUCgUCsccZsa8RY1yOGpqwJwjTLblMvOJKx34tsEwDnXVE883TXdCPjIndkzgsrbI+kAwxgQi - sEXp1Fnvdj9h80bhMeZYlIh9C4q6u1AoFAqFQuGxhhLAZAyQkVeoUQJJcLUqb2yM68HAnIx5dUX2 - fOrLH/7Y1/7B78J4uMaVoMZ6AzeAD4gdGF1/qF5YWBklgwoBBiUGQKqb/sbeFKjaW4SVgMADR5Wp - s+Tmqh2PP+OJz3nSC5+2+7v9elU3Q94XFnjAQ1aTFBOzV03EjnvzNqlm76qCydgIzkjFCERMTA6a - 4iEFisxsZgCZQcVAKknRqyVBcOyYs5ZYRUWJMKA6aOg2Wm70tHr3KbvPfNpZF9/T3X/ZtR+76tbP - jB1o0DU2bqK5QJjET2RTZZ3aqY9sWGwzf0Ca7dxTM6VCiLTtRlHiHBvI7DEddDMzjM2QumRQGBE5 - D6RGl8ISOemSZ6RXXvL6P/+HP1pJdysnIdZsXWeQHbGjGeh1fUZQYwZP00ZVlUAMT+AETVDAGPOu - NnMQo8RsTMacmIxt3Rw5ZiZjl/2gRskSBzVSshwcls0spqSWhBM5sgCDJjZlOAcKUFUYS75vhqwg - ViiBjRRIynAGxdEEtjQAHskAh1CxmI66jYZGc3793Z/7670HvnnJs35sETu6rpHGFhcXR03DPocW - 9WQJ2bxuan2kW7CRERN0EuZT6UiVwXZQ8cph7jSEoKrax8ai3isvGJLfNr9z3K2tH0g7tp/7sqf9 - GIfq/V96Vz3sujAGwQjCgMIZmzHoUKrXb+jbhbgAACAASURBVMnkmzQ9FVaCM3iQqWaZqogGql23 - eMkLfuxp5zxjmLbpAQ3maz9guDiOKY39wPcBfCex/PrgpHRMDWk1Fxsyx2BTJoNRsknxq7hS07Yd - m1M31Cas37l++wc+957r7/mqbWt8kNUuwsPXkA5sYPaOvUJSatXDeYgkgKEVABj32fjgosp9vEJD - bRyamsZ+pz/j2U964Que/H1nzz2eN6rBaM6py/lOHSU2hZl2NTkGQ03VRIQM3jvvvIgkSypipEpm - JkYgWKgHqglKEx8wqaiYebKuiwCqqsp5r41RpHMeS/U27WxjZby9PvPlT/7RZ37XC+7+/9m78yjJ - rupM9N/e59x7IyKnmlSlCU1oAIGZzCQGi8F4wGYwbjC4YSHAgLG728/91rPby+vZr3FPBvda/drw - vNo2RgaayYBtaEC0bAmEhAYkJJAQQkIDEkJjTZkZEffec/be748bkZmlUgllqUpVJe3fkkqpqIiM - O547nbO/5dvOu+QLdw/vWGy3S9siqrFkUhHwpJntjmiYRvCqde2uRWD61aRKMEbVi+Nx244xO4i9 - KtZNO2pyiKAwvLe99VMX/fU9997+C898VRW29njWkgLMxkrdgUYNMIKQTgtMRxjIJhWB2Q52XeKD - i4wrLpUli7apAaiMzEUY5+WmlPvSXRdd+08XXnveDr6HN0mTR3PlXDOuxWRmvqJobds2bQ4EIgPU - wJPUYYJ1O+YRcyvsyMAhNG0mcAwhC4oZuivd9vnLPv6D3dfqXG1JUkoI1OS27FHbGtOaQnQEAGST - hOY1B5JuTTHA0+b0gUcN6sK/sfYjDKyePRoU02rUSpPvYnrEwe2kAHcH6u4oFbqy1xHLde7N2nKz - 45u3fP2ka075hdN/VXYkttDNmq3OgikBYCPqSryunQcj3esQeSgFnbTVSt0ZCMPYSKWBUYxRQZat - USPjwKUu0eLOfO/3brruku9edON938Oc8AbU7VJtCEzM3dJQVWOgCBDrzlQnp+cAyCZZ5rTmxdX1 - 6NzBEWPMOdd1PTMz1z2v/YPf/73xePzhcz82Hjcxsqqqom3blceW61IURUqp+5YYY5fhzcwppe6V - qqq6H1R1csHqnHPOOeecc84555xzzjnn9sGmADB3vbMIwM233PLVi77WPYMkEJj0IXtQqEgZC8lN - 3da//HM//+QzTktNy7bP3lkhhJTaLVu2vOENb/iLD/115JBVOLDqgeyI0D1UappEQFWGD//tue95 - 5ztn+gPJmQwcOKVkhC7D+wB+7+GvCzo1NTOLITRtvuKKKzDtgHDwlsWJJ55oZnEayHrQvucgYua6 - bk444YTv33orzHAQBikaIRguv/zyl7zoxV047eNt+3TO7S2EICI556IoREREyrKU1M4O+u/+jXf+ - p/e/L3DIKsQM25/OGAebAiJqwN9+9CO/8zv/+u+/8HkOSLLP93eRtKqTrmpbN21+7WteYyI2OVeB - malOQr4ngbLOuUMtpbTej3h0935T1e7QoLDZ2dkmtU1qZ+fn77zrrre+9a07d+1C140W6M8MxqPR - vs68u/azLGNuc78sTz7hxI/9zbnn/e+v/Pa//d2UUxGLNieoqQmHoLLvhvvRQntdrRihmzYGiqJs - U/vj++79f9773nP/5kO9QX88HivAzOPxOMbo/d6dc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc4ezlQIgPwEpjKaBX1gtxk66Vw7FY4RB1hZI2dMkvGbl/7vc7kdz8g4hIpouEp0MwiPtxmKb - CUgBMgJ1gWTOPTJdvZpDPRX747DKOXPOOeece/whhRlDYBFdLiJFg8F0PJIQaHYwM9YRzbS3bf/e - 57/+iVt3XU9HLe/Mw3I21pLTGGWfs2o9RogAQFCCwhgWDcHAmWsAZEzKbExdsB8pSI3QRRfzSiK1 - sUqwcdwYt575hGec9eSfOf2Yp1R5UN/dzJSzZqqkQxlbYjZmjiFQKpKiUe1yFpkiBWKiABhTgAEU - g5IYsiqyxNAFQK6ER07knImIOTBTJAKpKcEsGnUXctlEKCkTFaxkAdSKMFM/9ElUltuAuKnaECp+ - 6yvOecXzfvaCa/73FTdfNLZ7ql5qc2KKbCAooAwVWq21sv8n8tMYYyMYgQEzSalt21qRgCI8akXP - 9lqebduGEAIXANhsNb5RrR8NWmsrWueyv3DywtNf+szXfPmav82ahOMkLFpb0LqTHKlLAwV3V9lK - zIZJMqWqMZhQEmLuW2sUUJUzaScKVJGqXjlYGGzYMr9124ajFwabNs1uGRSzg3J2UAyq0A8Uocia - h2l3o6NxPVxc3rV96b57d951z+4f7xrft2xLY4xbrS0KFyoBNZANzEqmqjADbFKeh6FAC4Bs5TVk - nsR7P3zKSIaqYs3WLqsxQgEEa2h3stH53/2HXcvbf+WFb1wots5VW9pGQijNwF0IMQHo6sN1Gd7A - NNwaYCVdZ2T3Hng1XVsBgDSLdDeEiBngyZ0kyHJ7T896ZMWW/radu3ZumD/2ZWe+8q4f3XHN3ZcF - NG1U4y7JmI0qAIq0nujuNdMAGLGA2RSkgbjN4AIEmCGN03NOO+vFT39ptdSjcTKxqugV0NQmJpub - H9Sp7rap6e09Ogxv83WRzzBmY1hgwMi6RrnJiTX2yiKTGtU0mxdp+w92fe9TX/3Ybtw3mtmV8oij - VQFmYIUBFhhEQpKtlUmwK4RhpAEtdTn3yrCoaxoioLvHxayIUs2kzWUz+4zTnvuiZ77smMEJsS7L - pl9xKaMcAgPcilkQLs2oTakmVGbMzIFjERlqktO4lqIoDGqmxExkADERx9A2Ciuou+HYxexyADQB - VAUAY2tykxValuVMb7bdvayNWhEGg3mBpUWZxbYzyi1P/qWfuvqWyy+54Z9vvOe6nRjHuSKwpdR2 - md0w2OTehYKyAkwwy6QKRIDJuAvv5RLLTeaIwQI05XaMgkpmEOtynUNc5KL96vWfX1re8crnvOEo - OnY2zAdlADAOUAOUxQClbt9kMiJjtkmbBjqSi3QZt22OsSiohCZmUEkU06Lu2sl3//M3v3Ll7Zcv - xh31pmGDMZEhYnezzEwGbZqGEmJEqMAGnRRbm2Q5M3BAa2U7ADDSzKqKmTCQNhvLzvbur9745Qtv - /srMMRiOc5+jZUgQhGyEVsHl5LNsSlAysE1uend/dmtJSUHZJgd43fuuOHfvWUEw6Ep5OwUANbST - N3fvXJPbbWCl7gi2roPF5GiFyW6v0+mDEbhCA7EoFHZecOUXzzz6GcdWJ3NbBQ2wsBopvrr4GAiT - xmHaQh5WyDgKjAgGI2QGwIoAK8wsxEJQJxlK0VJJCXkRu7956zeu/sEVt979fatq2pyHMpQGoQRx - aMQgHMgIpGKBEHmSsD5ZNXusZZ6cbhiM1UO73UEVY0wplWVPVYmsbeuqquq6/qM/+qPxePyZz3xW - sgJgghqYsd5inmtzuzHNWgBgZhs2bNi5c6eImFkX6R1COAiz6JxzzjnnnHPOOeecc845d4RafYi4 - x1NjM5h1/UAwCcK0bPqJT3yiTi2An5ivPH3cqyGQZmLYu971rpSSqjITQA8+dItMVZrx8Jy3vuWv - /uZDIXJuRbN037a29x09YOofnkCspiEEMxORoggpy+0/vvsr5//T63/1VzVnMCXJXTA5M8thkEL3 - aCKibpa7AYfMfNVVV3Xhf6tvOlA9Q9b8nlNOOpmZTaSLThcRwJj5cAuZnXS6oAe+ojAmCiEcd9xx - B+q79tgdYQCIAKJvfetbzNx1mjqSey855w6MlSbazIqiyDl3Ad55PH7729763z/4gaXRiEEEEstg - wqHqIbRXe7Xabz+yZP3Epz/Vn51psvWKqJIf4jeJCNNkePirXvWqo7ceRWaS0qTft3W9ZvkIHdnr - 3GMDEZkadWNGCG3b6sPruUlE3Rl/WZY/8c3uQalqURQKg2rOua7ruYUNu5cWf/t3/s0dd93ZmhpQ - FmWT2vFoVFZVqrtg9QeuIVXEQJalipEk/81f/eVRGzf8+ht/7Z++euFnP/95tTxZVwYVIWZ7mOv4 - IOAH6xnchXaXRSkiHGKd2sCBTD7/5S9//JOfetOb3mREVdULxJlWux2utWYshnPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOHTLrHTNrZsSr4VhEZDYdg0X6mKxR8DBzzbv3PGB5mtkjSCk7 - rK3dBkAk0KSSpM2WjRREIFtTPuMxuhTco6tLQtOHt0seJjy62znnnHPuUCIDAzACqYIBsDG0MKCq - oMhjWmz6S9fcfMX5V33h9uFNMhi1OkaFkWZmFJFzUmIUBaCg1es7ncTyAUIMaDAQaRdBCjCbCmWF - gsEGAoIwWSzSoEgLzzr9+Wc95UUnbzy118zT9oKl2shzuU5KFGIMEWZQhUkQQoYZMUUCyMzITDTD - lEFmYkawQBSIQkGBYoS2D3r9xcxdcczJkukKQSJIMubAFAvWDFGImAHWqkRiNWs1R+NY9NggWebi - 3OLdwy2D49/wM+c866nPvfg75193+zeHsgMBygKo0iPLKZwGuO5d70VgMMloWxkrGZEpHbLSLV0N - MlVVVQBdWJ2KVJEl1SRalqVaEbRsa37Gqc8//8pPx2KYyQAogRHJ2gctavPQul/QJYUbQQFCBsBl - 0CySAYnVaKHMg4VN80ctbD31SU/aPLtly8atG+Y2lVyRFJQCaxG0LKwgi5SZ2hgpAqQqG8tt2Vor - MhZEYxZuWx42PP7RzjtuufcH37v9u7fv+OGoXS5KEZaMNkcBEJRJWQFaiY0H2FbnzmgSyku6jlVm - AJe8e6i9gMFcIW0SAgW0CWUvNbx01Q8vHtf1a174a0+YLTAuCx6wFjBe2QAD1GCKTOiihyfp3TRN - Tl335jMJcceaGFQASsSY3CMzNSUwE1uQ/nzR1nUwasd13wa5pioPfvGsV9/4yWuNx0rDRLBpOD32 - 995Jd/tFwdwtaoOZESEy1a1VFKL2zn72z8oiU9aZqmeCnHJSIyY1HTZLHIKSmhkMSiGAujlS2GGU - yWrcbUikCDAABlXACFU109ZNo1k4pXLU8O4rb//GP1z86Z3xvjoslYNQEGm2CDCjbbv0dau1BYEI - IYIAVViXV05K0KDMFhXMxkpqrICSadAySBG0qJqFJ296+tkv+vkzTv6pkEobc2GVZdRJ+mXVpERE - RVUoLLW1kcz2Zix3qe65azmIAjHHEFSVzMDEIKaYTMygCQVV3YGmuwliZkQEQgghi6hlEMdeRQwR - WVxang19Ys6kmoUo9CwyAtrc1Hj+iS8+46TTv3Xb5Rddf8Gt229u26YsybSxaWO79g5ed3gzVtjk - Vi8ZG2nOXfMFzSBFJA6glEU0D2a5bbWRupwtvnnrxbuWh69/2a8f3zuxagdBS7IAYyJlg5Jad0S2 - wGAyJkMwBVSO8JuHFIJCG6szj62XpGhvu+uma2/71jduuGhY7V4sdtZFYxEAgiKAhCzEEDiaiYiY - QWV6boFJPU/C6rmHlyo7sLIpSNnKlJt6sPvbt11x4XVfiluxq22KiJLLetTApOoVoyYVfWQBAAKU - ECat7mRldbuJdke6lX2q+xvq0rt55Xt1z3rT3c+GNRVcDWRKazOhp6teCYAaZwBk62ycSTHZl7vp - mexxdSPlbOzOe1Gloez6x4s/dc4v/FbQeeQewDBmqJJ202FECoYxwCBlmB26k7F9YQMZA2ykStpV - lTUwiLMlZVGuU6i13+xs7v/O9d++7HuX3ZnuGIclmssIbbKaCzAjK1QkcIHAIhoIsSCYtQJiGKGr - hakEtukJ7OSLFGCFGiaH9sNtEbnHhu7JZUqJmeu6HgwGbdvGyCm1f/zHfzwcDr/0pfNUwUwqJrLu - rTCl1KV3A+hCwTHN815eXu71eiml7uyXmX/SL3POOeecc84555xzzjnnnHPAyngzngRhikircu5H - P8LEahpjbHPqHi92uWh7/wYGCNQ2NQE/deZTnvvsnwZQBA7EJg/+HNnMQghFVZ32xFNf8Lznf/2y - Sw9sPLGaAmiaBkBRhDYJAQXho//zY6985SuDoVfE3KYYo6rmnB9vwZ9FUbQ5EZECYqqqN910Uzpo - +eU07aOwbdu2yCGlFDkwc84ZsBDCfjw6PCTMjIGqqjZs2HCQvoICqyhgt912m5l1A9UC+dNP5x7v - VJWZy7Icj8dmpqpE1Ov1MjA3M/u617z2I5/4OIi6DvkhBjl00aoPiggpKwG7du36sz/7r8xoJa/0 - YtobM6sqM0GMgXf+xts1ZzIwEdNq/9Wui0hKKUYfKuvcodT1Gds7FHlfiKjr+1kUxcGcrscyZs4q - IgImIh7Mzo7q8Z++//3/dOFXBSjLYtymJrUUGEDbNJPrvb2EQN2pOME+eu65p518SsFBc/oP//69 - F1389e07dgIoIouqGg5hbve+qEgsirZtiShLJhAzS5aC+P/6/d8764UvOPnEk1JKo6ZdmJutR+Nu - gJVzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzh3OfmIaLhGBVgtE7Pn+1bSKlZymI1o3 - JnElHMcMD14gxPbI2urizx6taTyUujGeXTaUAmaqlltpDWKQLpNrBR8ZtT2cO/C8HoFzzjl3+Hp8 - nLc7NiVVjZWpNEwlhEoMKNKw2a0zzah37zdvvvhLl3/uzqXbehsLiUkJZtNyKaqBAANl0JoLGwVA - GZSV2ChATVQDEFklmwqFXmnEYjUBgVEKU13O2OaTNpz5Ky9+46Zi20xvjscF5RC4YKKkyojBgGRK - qqzGqlGNAFTdJTaZcvfNMEwnhkAgdAm2vFce5DRmeHKtvuZ6dZo1aUQcFQyDqhJiJFUzIw2kMBgp - iBIsQQCQIWjR19koIe3OJ4czTzzr1B888cUXXvXFW7d/py6WasvUo5wRiwhISlYErL11EGMws7bV - h125S6cTD1MrIgO6vLy4dVaK2NesYT/DjvfLmuXZ1SADwMwAd/NIzEkTByHWpBo4qMZCevODLWee - 8vQrf/hVVBIj6tQOeiVnVclMWE/SuYZpIKiyGsEAVgBoMzhGzlrJhjf93HtO2nBab2bQ03KmGUSJ - bIzlSShxF8OpXT01kDEsSIsuktQYGaRAJCs5gQDmjRXJUzc88ZS555x9er2Udt36oxuvvuGqO++6 - YxR2LZX3pqohIjBlU2FwoKaxsiAAyFT2iqypbrXoQxVhnZVfs6CsYIY6JQZYQYIKoIAcm2Zu+K27 - L9n9z7vf+LNvO3HudFmyPm8kYTPulf2chilLUVE2UTYyMooEqDHvb0j26g2gyZ2vLtl6km892SIm - GaGkJnVuUBhTNmEGRbEBV0cPjn7aSc+46vZLc7TMIyEgWIwkKfEk5HU907PHnDCQAYhYLGicjBS9 - ODj12NOPmjl2UM8ySys1G1NkQAVkBIBk0spMNg8znmaJH6wqsfuF1aKZ9ZiArCZZFUQcqiZpKEtB - ruOwHix/6fLPfe275zVzo7oYCVvWDEEwkAGKQMgEZZu0lgZTsCEAFmAGEURGWZi0LWllzG1OM3O9 - 5aVxP2KhmG+HNIutv/S81519ysurdga7u70yAGpcoMLYFJURsloiIHAZbWA1JDSTVUYMY8Mk3xXM - 6CJ1tZvCSaWqIGt3mC4WGEoBioAQiNVgGYAyMWJVayBwUA3gCAHUOMG0oMh16LUbn3fsy3/qpGdf - cM35F3z7/MYWU9gRKgxbiZWCqc25a5lNod1RhjLA3G0VyhQ0QqMgKoIBUKHGChCQWg0CMmo1hRm6 - aXz1f/vHW9728neeufWZNOwXMpiLC23dxEiClMEgVrAidFHggK7NQT5CReYaI5mvd9JddzU/vPya - Sy7+5oW9+dgM6hwb4YYUIaMIHLWyLAktpWzEREQWMd0kpTteq5hZYIQQINJkcFzX8cI9FCUYqRFi - jENt7hjd+PkrPtnMLI+0iQGkqFMdIgJY6hQCsiKCoGSmITDIUjIFypIBqOr0NAAEqEINHGHT3Zso - 2BSDQGxmBiMCiIihZtlAhECTxooVQWGGGFhEs8EiwMiAkQKIsp40aJocvboWBwaD5gAAoYyjOhc9 - tDViQcvNfTfed83Vd1z67K0vCuhbizIWllVzU/aLlNqVKyiCsilIATNS4DAqsUfGbAxAqTs97qYz - C0GLVPMyz9Y7013fvO4bV3z30h2L9+demwe10lhVTYQokBoUBaBGUO3OoMmCiZhZdzJFAZIARVkC - ChFlImhXLpGNFMTdyvId1z1Sax+42uqxcpqZrQBi5JQaIphZURRE+b/8l/88HC5feOHFKxU/DWCG - KkIIqtp9XB+yxGeX241p0MLKKyuR3t0rZVl2P4tICKHL/Dazx8mzUuecc84555xzzjnnnHPOuYfJ - zMqyzCqj0WhmZkZMKfBHP/qR5fG466DT5oTpOD3ND9JpZ6VrRRfP/LZzzokx5pzLsoQ+1CPkMsZ6 - PAbzb73nPd+47NJJhyeb9EYBDliUd5pOthi+dtHFN9988xlPPDUn6aKjmflx+AhJRLrVREwAfnz3 - Xfdsv//ApqcTkRF1fdcCB1PZPL+wZcuWnHMIAQZJORAbqYgcKf1zGJRzNuITTzyxe4Vsvxbavj9j - qjGQid1y+x27FhfnB4OqKB56V3LOPU6szahmZgAiImpVUfzWe979sU98XEyLIqQkmuWAtugHQNeT - zYAs05/29c41HxCxXggvev7zTjnpZKjFwNA9agd0P3tu92OGmREdGacErtN1YlRVZq5TyqrTs78H - f/OkHoaZqnan/FVVPbqT/BjCREAIIUlWUNM0//iFL/75X/xFdwAYt6lbD2vDtmOIChERTNcTB1Ix - BiLwB//u9172kpdWsWjHdVGVxxx99Pv/9H3vete7slnOGovwoBeDj6YH9imcbmm5Xb1iNVibEwGt - KYn9m//jd/7+s59TkaqqchLiSafirquzPe6uAp1zzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 59y6dWlKZmrownGdO/CY2cyYuKum3tVp32dANQAoUVRF0hRCEJGyKHJuw2N3jGZXW15ERCQEFlHD - PrMWuvGMXcX77rPoSt/jsMo5OmDMLISgokRQyQjEzEujRSMFs0BtTfw5Ex1mw9DdEWaSIkEEEDO3 - bfsTcyUOE16SwDnnnHPuUDKwgWKvGo13lWUpKVexB9Hl4VJ5FHbx9vOu/ocLvv2Ftr88+4RieTjO - GTEy2ZqANAIZYAjK06qFyqTKAIGhbasz/SJEy3UWzUVZZolNbi0IEZNqSVUYVlvL489+5i8+99QX - 9ZfmZnUBdRSFGYijWiRAwTz53kkAG8gAChKoy4qGAsaTiFOsVESZBop3H1nf8hGCBNg0DJRNCRZM - YZOEcCW2yQ8wQjCOFCBNbEPgUttAas/YetYJr3jClTdf8JXLP09hl4qFgncNh/2ZctBnlUQMMxNR - M6QkzKiqILKvS+XJQgahC73sViQmBcREIXUeK0QhRkHXRKofFMZ7pOX9xLcT1BikRApjggbjKPGE - rSdfe9sVje4CawxZspJEWAGSvYvb7AtP/+xyICfbQbfyAimMjUuaecLcqcfE0zix1LmSKsrqVUl3 - i9EIBAgrAcqqQBcZDFIYYJGNDcQayZgFAJrl8aC30VgHvPG4k04666Sz7/jxHTf8+NuX33rBPaPb - Jeaaa+KMiFasGgRJxhSTtHnUhIiqRGA0ad2bKBmsS760LmkcXRhn22jZ42E7mlmYvbu9/WPn/dXb - X/2e4zY+sd65VPFsAMbjMZP1ej1D6mZRKQJqxpPdbTXJdL1W0rJtrz9puul2a4mNGFAjM86kTKZB - Y8zlE4465ds//A5yzbHQkETNaEwA7auG1sMw3RG4y6MVNY4gYRvzKU86vUhlkIKVjFTBMAbIumaF - 1pRDsskchi4Y8vCK7oYZBlV/eXkHWdvv90tmo7JNRtG0yEPbNe7t+vT5H/7uPd8aVksSGiCzTdpw - oEtUX/2nW/1dXahJAm5GWYAiJKGujRgcVWEzc4PxeDzT6+mSpobOPPoZr3npm7eF43vNXJkLI1Uy - ITLirrUE6bQhmx47LBjBrFAWANzthMZCIFt7Y7XL8IZ1h561Ue7Tt01+M03Swq17zaCgrqFmXamQ - 1X2cA6FeTnMzmwXZxvTKZ/3KGcef+YVLPnvb0g3DZsfGjbO7R7utQAyoa/R6k08rYXJUMgUiGQdl - Mo0KhsK0S3g3AhFMwYhkpKzCY4kjyeNPff3cX3724jNPPpvrcjxuylBQTkyhIBVCnhYhNjKDWpes - foRUB96bcR7LSObStXdfecVtF196y0VtXArbaHfelZKGgFggECyBkiIpDFXFAlVVUyJEJmaOCEyQ - nFswAgVTSUkCMKhiI/lQz+Vji1oseUdzfzO76zP/66PD4v7MoxjR7aPdATEYoEjdnpYRwKrI2ULJ - saBsmhWqGkBMxLAoIZCRgaxMyzFYSUTdPs4G5kAEVTNTMxMIEVGAqrZoYwUKBsoqLSliABPUkFoF - gRhmUIURKIAJ637i0O1xpN30CAOAEUSFCCUVRilnKXq0a3TPV6897+mv/OlxWu6VC02dSioCl6oq - ZrRyngbGZLc9TJGBTRUWQEZZAVDOsd6d7770ivOvuvmyOxdv5b7ZLLVaa66tAAeiENHFsWeoalVE - qKlI95xWSSkwE2URUnS7tiqaFmTo9UxspdnmyRG1W/D+aMQ9enRcD+dmF2KMH/jAB9797t+8+OLL - ADCju/wE0F2IrY3fXvd3qFZV1T2hKcvSzLoHzCEEdM8FASIaDoczMzMHasacc84555xzzjnnnHPO - OeceA8ZNzczzGxbG4zGYxexDH/rQun6DAhFgYPOGja977WuKEEIsNKW2bauifNCPNE1DRINez4ie - /9znnHzCibfe8aPWDlqnoGmIoMA+GUVJdgAAIABJREFU/Lcfed9/+o9M3IxHg16/bdsY4xExCugA - MjPJOYSQclLg+uuv7575H6ilMIlmhHU/d+mMmzdvnvztEfu0mpmzCjFt2rSJiGBmZuvtfPjQQoyS - MgNFoOuuu+7lZ5+d25YP7Hc45x4ryFCGmFVPfMIJL37hWRd949KcJMaY8xHfqc/MmMCGJHLOOecE - otl+b7Q87DqBOOcOH12nLDNrmuYnvtP2HJLg0d2PhJm1Oc1v2NDs3p0kX3f99b/1r367O/9e7bO/ - 5hSyLMvUtsSTD4OIGZqtKqO0+Y1veP073/EbMca2aQb9/ripweFVv/jK177q1Z/9/D8yIFlgCAVL - PjKum5ipEbvoG5d9/JOf+Je/9qYQwtLi0vzMrHq/d+ecc84555xzzjnnnHPOOeecc84555xzzjnn - nHPOOefcY8XaMcW0z6DvI7a+wxrE0+yl6Wx6AvWKbvxmN4STiJRVYHVdK8GmuWa27lQu5x5rPLrb - Oeecc+5QUkIx09u5a9dMb9ArC8mSU81VKOfzPfn2z17y0W/d+bXxzO6hqY3R70VriDWQwUhBWUkB - sIKM2SbR3UpYKZxIhIpBOcEoEAxc51ao5QJZUVK/tD52lc8+9cWvPOvV24pjem2/ilXQKJlMDVQA - AWAxEJtAeVLCBawgAhkH4dUo00mwN68JD1bh1emBsRJW3j+J8u2u0OxB8lCNtA1qBIIGRTANZsGU - rEueJQMbsSIYona/1oQQScsyBA6Ux42l6qjq+LNPee3pRz/zH7/+yVt3f3837p+fqYZZximXpIEA - gJmIKGdVxb7LefEeUdlrLiiNYDCBGHQ0WrYFASkRr8kcPijWtTwBVjAIBiIzJgUpg4PlU48/vbqy - T3k7IYcAFTVlWATX66jDaSvTMAkhXvt3qqpKLOGo3jGzeaO02raNRJKo6ILhJ7U4uzDpSQY2C5Rg - pgCMAqxQWs39BSkbCOgXsYjRzMaNWYN+OXv6UZuO23zSM8589tU/+MY3rr9gt947jkuLTR37GDZS - BC7LAoBpjgTNqjbZqtdpGl1sDGMz7pLRQUmF+mVvNBwDfE9Of/2l//brv/CO0zc+Oy8GEfT6lTTW - Nqk/KHMekRFIAZqEJq/8zvXksgPgNRWWJst97zkyrPxa0qpbv0oKVpAoAQgnnXgaf6tUNZBGgiqI - jHUfm9VDoD1/nAZUK8MMEYGs5FQ++aSfCrlgFAqabkVrGw6FTTLg9/j+dS6cR0FBNFzeXfZiWZW5 - sZSUgBDDUl4Ms2l7vuXcz/1/9+uPd+A+lGAuo1i3DQMqBBCEYQShLlkdAHgSCQ8AIcMEgcAEK8BF - 0CAQHY7aAgWPewu26QVPfcnPP+dVC7K5aEpQzlFhBaxgYzUQKVu33BhgNjZACAgCqFAXmr5yCJm8 - b/J/tvZvFASFdgne3Yu8ZtMLq5Hek/8KMywqi7AKaTCdhm2j6lVN2tVaSwS0RWW9Z82/8LiXnXLe - DV/4+ne/snj3jzcdPbNrPFTDpg29peWaEAHdYzJNA7jIIIvo4n4pC2cjGIMA7u4GMiuZkqrpmJdv - H37vM5d/dCTyglNfgaZAzbOxL9qYJCKAIWQgEaiysSkQjtzbrsIpDYaf+9rHr7rz8vv53lG5iJ6I - oW50pl+aSUoihmAIhDIygFpaYXCXygw1oyaPJZkRYkUcKImAUYYoScZNLopDPZOPIWyIsVrW3csz - 28//9j/csP0a29gwLApMIxkbWiMoAAIZgnE0rmI1kkSEshyMmtG4ybNzJTWp4FBqQS2oLopc9OLs - DC+cdtxTF6pNGzZs2Di/sd/vl0URuCA2yaaW27Ydjpd3795934777r333nuX7l6SxWUsqjUWWi1q - 1SQEYyACgZkjmcESDGhhCt6PyqgEVgVUAQMrqwFMbNmQQiFZxVCp9Jub7v3uZTd8/axTXl7yHHIE - SlZJaRSLKKvB1EpQo8mZEh9OO6+RdifTjO60lo0glHIYf+f7l15w7RdvGV7bzi7TUdpYSrX2exUl - NtUMS5oygQhFgYI41200RGZiUqZMlqGiYEUMxLCUYIbBAESoazDpyhIC9udMw7lHhBTG8zOz49Gy - qg561Qc/+IF3v/vdl112VQgEWBeCECMzx7ZtQyhE1h0KEGMUka4CLDObGRF1GQBmJiJlWQJQ1ZmZ - GVVlXu8JrnPOOeecc84555xzzjnn3JFupevIHkIRIdKF7YkZTL9+ySU/+OEPH85vXHkk2/UaA/D6 - 179+w4YNuW1TbgZl1a960AxA9/reqoixLOq6VWDThg3/8k1v/JM//dMAHNQgNQUi0ac//enf+7e/ - u2XT5qIo2pwosJg+DseYdSMSi6Koc77iym9yCBDBXsMuuyWz3sfvq9GMRDAYLADHHXvsnl2SjgwP - mFQzY6LjjjuO1BgQdPP4iOdnugmuRFqa2dVXX/2yl5z9SH+zc+4xTSUXRSyq2bef87avXXJpjJzW - jLE/TNvafRx0bc+3mKEo4tFbNv/Cz/18ZG7GdVEUqt7rybnD1Gg0Wu9HiGh2dvZgTMzjQVbp9Xo7 - duzozwxuu/2Ot73j7Rkm+8jtBpBSsmlfPQKZmiqKyNLmp535pD973/t7ZVnGkDWm1BYhcOAy8H98 - 77+/4vJL77zn3q6briQ9VMU51nVEMyCplWWUNv/r3/0/n//c5515+hkhBGZW2b/rG+ecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecO+yklDBNE9sruvuxMybXJnUdSC0z875Dyvf81LR0A9ER - VN9iP9k0ocoIRATT3cuLRqYEoy6+qks0O4TT6Nwh5tHdzjnnnHOHlg7H46rfL2Koh3VVxKLC/fWd - i82OT1/0kRt3XLMUdnIf0dAkpKwhlpSIDUqwLobQlPZI09VJmvM0KLcKMEHORkwhRJEWQMnBaiu1 - vzEc/4qXveqFZ7wsLJW8FEgKo6BGBgoWjZgtiClUwACprl5Uc+giw9fkp8JsJTi8u85aqWRp02la - M7UP4/qcFNRlvNk0kRpAN8vKxgqQdRU/lYgBEFFRVCIyHucYqOReziLJFvpH92fm3/7Ko7542eeu - vO2SxWa3hiwxq46JoGpmFiPHyDlrzvJQl9gPFXOoRDIcL3cpr49KCtx6licmUeJK4C4akzJBg2Hr - /DEzYcPO/CN0ObwECgwJ1sXePnwWQQrravEwADZVgioA42CSmhJBlwWEMpQZqds2lEAGIkxzhVfn - aCVuUw1GCMrokoO7vyKFIcbQjGsAvaJURTNsmXl2sHGmP7v5qVuedsbTL/zOeVfdcjGVy8vN8kwV - xo00OjKxghnEZoSMXlG00q7rzlG39MmYlHmaGayEoupLm3Lbzg5mcpsa6O3DGz7z1Y+88QWDUxae - kpctBg5lqJfrnlWEYDC2PWK3aU3A9sP1UO9/kLXIBlh3SZi7GFEjNgSzYtPGrQUXZMY2yfiMRAYy - 0/WWp+3aK7JuWSkpK2m3/QWjQov5sPmY+eOLXZGUYHESRttNsE3+7WZtku8OMOywjBpVhhFLWfaW - RyNCnJ2fX16qE0aDLbj+3mv+7usf3hHuuqv+cRwEsULrpgqTTdmIldQIQpM9epLYDWUFT/ZZ9CPV - tRkTcRTLqZWusOJsLFD3N+rRv/KyX3/asc/ZiM1FKvO45WolpH3SCu8ZXjv5LqXJfrq6Ra9pwpW6 - T6nRZL8D0N1z6xrold8l09R5NhjbA+47sqlRhsG6Oe0+ScygpeXRzNzcOI/bpWa+t8Gy2hDHbjjp - Z5/+6mOOPu4rF3985447BhVSQL1YmxKFaKRA2y2WycJXjcqACgUhE2Yh8EpBaIBIhRRghZpBCJjH - kt7/mQs+msZ4+VNfbSIlk0gujLuGEVAlNdLumDvdjI9IRjqWkRa22O7Os7VVOSNRxvxsZS0ANhYC - jCGKWhoztT4MyAISI9IQQhlKY1VINhUzCsgJy00uA2bm+m09PtRz+dihpI2MMNtce/e3zvv2F4qN - VIdsgjUnJwyoMhTdmRUrbNyOi7JokuzauWt+fmZhZnb3zsWZMKtDC225ZXD0E0980pNPfOqJ207d - PLOVxlxaxcyMYGZsTETMnC0ZKZWkc0rbCGwiMqLhbYu3f/e2b994+3cX63tbHdbY3XBjbEmyQC23 - 3UE8UndWBij2p5w5AdMTqOmea4BaygUXSdtaNPYZmi686rynnfqswuYCBoX1QmBL1j0AAMBgQnca - qTAyguLwyuYVVoZi2mbCuiTvfNTR8/decgfmk/TGjSEbiCGSigCerGojgwCqUNOyAAymKgo1KIMj - sXHFVVPXQhYLZEPbIgRQ90Rk0jh3VRf90Yh7FHVnJaR1PQohxhi7Cpgf/OAH3/rWc6677oauiRsM - eqNRDbQAupjttq3X9T05ZwAhhK5GcxfaLSIxxu71pmmqqjrAc+ecc84555xzzjnnnHPOOXfkq+u6 - 3+/LpEsAx6r88w9+kAm6/qeKVSx+813vXF5cnJ+dbXMGkHOO+3hqKyJBAoDIrKJvectb/vP73p+m - T1QnCJh229D1POckrPkUrXnVoGa7h8tf+OKX3/bWt8CCtE1RFPbIc5ePQDHGum36MzOt6jXXXCMi - diASqB/C1q1b8cCOTEeebhjnUUcdJSub5AFdaioCgAlZccstt9R1XYS4Pzukc+7xwcyglpr2ZS95 - 6UnHHX/vzu05jzkEETnUk/ZIRUJK+R3veEe/Kkk0t8k7fjh3WCEiMzMDiJh5OBzSOk+L1Myju/db - VVVtzmWv2rW0+Ju/9Z7bf3yXABxZ8uqYjLXMLMaYcwuAiBikppr1CUdv/fjH/ieZScqtKhlUpCzL - 1CYui2OPPvo/vPdP3vHudytQFqFNMhl2dNgjhqgKEIA//MM//Kv/8ZebNmwYN01Bh1W3Yuecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecWzczmBkRRMTMuuF+RLRXVY7DMNxnPxF1o9/k4ed2 - ry0k0i2iI2Jw3H4gCmYymcdu+DnTcDgEjozxgO5IRERdQ/SAfe1w5tHdzjnnnHOHGCNHjpZhAUuy - mGm0NHf/X33uv9+487reNg6K4Qi9PmbLOK4zKPHayz+LSmBSMigpIwNrLngMACSjVyBRbLPCqCx6 - kIaGtDEvnLTpKb/0gjefsvFM2tEf0IA0MVFjmQgBTDwJNA4QZjGoTS6nGRaACAsGVU5rL7O7cESs - VrpcU1+RJvU0pxPI2sXxrvwtAFtNZYZxUFQQI53GwTIZFAHTsGdMgsPz9PdwTlT2KiY0bSPGFReB - Qh+DdlgXbW+hOu5Xnv+Ok49/2v+69DP3t7cP886iVyKoiKSkKUkIFAI95Nk8P+C2ggE2DawlIg4Y - jYbMZGIrrz8qfvLyNJrkKMO4S++GMVEOyiVmNw223b34fdUMBjHIIBBbX0AyKzHAsGwALJKBNAdS - LggwJhhqJoUlMLgImAR1TwoD2XTh8jS4erLup0HdpKtvwSTZmQ1oUmtQZhaWUFCvopzbtq1tSDMz - m48t+q9/4QmnnfC0C7/9lbuGP9i+6575WRaoMpuRaRGYVFXyft4iCcqkk9xu4WykjaSyKEmQ2xxj - WFxe3rh15oZ7rvnSZZ967c+8Zdvc8YtLaT5uKgczdZNjLBUJ0xVHkzJGj+Tu1d41lhiTXQa8kuZs - zN1exDBAiI0gCDAJ3JvpzcSEDFNDYLDFvN8TZgAxm3aTYQSLgECyBS2OmT++aHuB2EwAnk48d3HR - AHVNCkMJumYHPPyKJZGqalkWbZtVKBRheTxqY11utBu2X/3x8//yTrkpD+q4gKaVQmMZS7IapkwQ - wIhlGp4duh3CQACbgrqmFSLGAIciGSdTZhQmM7HSpeIJc6f+i5e846SFp/Sa2TykMvD8zMKobUDT - hba6f3XLUBkCKMAMIjDbpA2B8TQZffKnUhcmj+lKAQAlVkRFYAPItJtgUgAGBU1Ce3n1vqwFCBkM - JGAhGHMKGhQpaS9RXwaVlDEXHGlk492Ly0dtOvanjy+3/eL8p7781/e3P1IZaQmGkpGBjRjQScYv - AZRpevQRRmYoRVYlKCuUIaFrMRTAJKW6h13D0YaF3hcu+1TTNL/8gtft2N70yr6hCMpkGgCQdnUr - jYjs8NvqHjbSckPc9tLn/+KlN186wpIgcUBJaJebvsUQQiyKHKSBpggUgYti2DbMCAADQdkEZpo1 - UQQIOYMCyjKEfsyt7F4c96pH85D3GGcsQ+xaxvYvXv6ZdnZZZURsquAIQwaYlBUQ7vZZkCGbhAKt - NlWJ2X6sh8Pl+4Ybqw3VeMOTTnj6s09/4clbnzTAQmhiob3eqCRSRbakJoAZIRCRARVXBjW1pAmk - FIiJ5mnmtP7GM571jPY5S7fef8MVN3ztO7d+M9n2WBIVliCqgFHQyMqBAjM1GK/reGFY2X4UYDIm - UxBS1l4kJCUKoShzaptWq5C3tz/6/l1XP+2YhZJCoWWkHhGJZDDBlJBXjoR2+BXXU4IRBAA0TF4j - MmaJC3MbTnzCSTcsbwdDgLJEUUSp81hhBhYQITAKhhJAaAUUQKH7DQgcTYkyS8v9MF/00Fqd2xoA - RZitTX+fHGUAf1jiDoaV+IOpNdcUMUYzE8lNM964sDAej88998NvfvObb7rpZhGMRnVVFU2TYowG - btt2/6agy+peG+PdPTDOOVdVJSIhhJRSURSPYDadc84555xzzjnnnHPOOeeOYLRXD5wQghGaNhVV - 2Yzbm3/4wwsvueThPvdd02WRgbPPPvuUU05pxuOcc6/X05wMXVbaypOjPZ7kjsfjfr+fRANo21FH - vfY1r/n0P/z9I5u/n6DrRROAcz/yt2/+9TeSoVf2Um6LotB8xEecrovC2ExVs0oI4cYbb5S1UYvd - mn0EHUJUu45QBDMzBMCATZs2PWgnk0e3v+U6dFNla7vumoUQDFiYm+teYWa1Az9gtetRd/Ott2AS - Fn5YLiDn3GGgCDGnzJHn5ube/va3/99/8t5DPUUPwwMON7TX60AIRIay4H/xul81UYjO9KumaSgU - 5r2enDtsdKPpiZhDGA6HTPQQ2d17D9UxwKO7948RRnUdiiiG3/93f3DZlVd1VzoPntvdjckKIecM - MDOb5kGvX9fjLQsbPvjnHzhm29EFUSBKTauqc3Mzi7uW5ubmF5eXql7vda997Ze/ct5nPvf3KQkT - 1LBXevde/QYPhrXf+DBOjdWgWQkoivhPX/3a3/3dZ9/21rcE5gf77OE6SsU555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc8455/aNmYiQc+5Cu80e+2Nwc85EZg8+nO2BmWIAJonmj3lqMOPABlMV - C8qMUT00UptmHvkIOnegHClB3XvzvcA555xz7lBiQwkuwU0eaz/Vs8P7wz3/7yff/6N0a7WVdo6X - WsPMgNsx2lYG/Z6aGGflbJR1mvynYCM1UqVJNK+tFEw0wNBmCCSUrJSaUUOjcsG2vei0V5zzit88 - tXdGtbO/JW4ODaswVwWFiMDGZKQCU8tEFiJASlDsFeRspEZiZEZm04qeRoDxNDd6+o9NfsDDTj8l - IChF4aAhaCALQIBFRVREIVaCkimZsiiLcsrctFoL57JXxPL/Z+/O4yS7rjrB/8659773IjIiMrMW - VZVUUkmytRrJm7zJq4QsS9gYbLAlY1u25KWxMEvPMJ8PbqZpzzQzfBgY/qDdYwSoDQYGzGBsGgz0 - tBcGY2MZbLCFLNtgLIS1VqmWzIyIt9x7zvzxIiKzFklVpSpVpup8P/EplbIyI9+77777lrjv/FyV - Ylk1dZM6ec8hk5Hvp03POedFb3vVu8/LL5gb9dMoVVVDRFnmVCGizMd5kkyTlaSV8QqxHmPo9fGa - tvPRIBWCMhQkgAg8NEA9SYYm37Z4doaCBVCoIKFJszzpoyPU9gfR1RKrzMqsk+KVogCEGSHz8BK1 - Jm277SQamBSsa/PeZ725XUdmJVbwYatMzoW8cFlIKY1Go/GoEtHMZXPZnA5R1It0YPDMHa941/f8 - jy+94Pqt2OlXMl+7uTwLgRqpEos4rVM8pvVtF3hSLZQEJKAIiiBRaJKmmMuqZjyOo84g7F0ZFvPh - rvu+/Mkv/tG+tJsHPExjCj6RF3i0fRs8TamX9vVEb9603UN9uy1Wv3jwKgAipEpQMDRAc47Zlvlt - ngMrNIEIoi7JJEX7qBtotZTqZMuizXXmRBAHVUXyOzedqyU7kKIBRVIFlHXaK6BtaDerkIJUeBI7 - 3Q6BT8pedtQEEJGmloWFTQBGcckvxK/ed8eH/uSDB7JHljBeTgoH71F0mNG0t6hmQ3fb+Z2AVbyI - 09jmdgMQQiLEBGWIo1orl7ui6Lom+HH//LmLb3rlu5+x+dn5Uqeo5grqgNyB4QrAgJ8t3druxO3y - kgAyK7fLCp618CGVZJWgJAQhFmKAoTRNWF/zXdPfJOD27luiyb7RrgkDpEw6SwEXIenOFTFGJHgO - VVkvr4wSyaA3wBJ1R/Nndy+8+XU/fmb3wjlsQek6vgAlUJw22GTFhJBYEkviWikCwopZz29HNqwe - jBzBxxo+Q8xHw2L3f/vKRz/+xd+tFsdNLzYutTHqpHACp4C6ox9p1ydSKg80m7Itz730ea5xHd+t - xkBCnrPLXNRYx6ZJogAliiWa/ehWvUG5OD/eMhidsTDasak864x49nbZNRhvWUybF6nfST6NUz2q - IDEvTvUaPrUkbmgx/tkdf3T//n9xBZVJpvHMbScWJUk8GT1YwYjs4TNGgpYIVd4ZdXd1z3/lM773 - J9/0P99w5U2Xb33BFjlrELf0dHMnDlCGepRipSQhd91u6GWu6zWj6JpS0LCjPPfdQB2SoMmhcgu0 - qTvud8bzF2565o1Xv/NHb3zfVZe/Nl9ZyIZ9P85DDDln3nNCqlJT67GdP7Tnq0qr4xQpnDIrHMEH - CMm4rlTJhQAgaUO96rN//8mKh9mcI4emqciBSAFhaDuIscr0OMjr8xZoO7YnIpkef7fOn3XlFa+I - I9KKAxArVGVUhu9Q6FLI2XsOGrgOoSzyUa/fLA6qrf3R9u7y1rnlbf3lM+ZXtvXHW+ZkgZqsXhGp - kDPnvj0nAzCpg0+K9tjKAG/U29pmI1JAY6pFhIgGg8Hy8nJKadOmTbfddtuOHdu9h/dcVU2WZTHG - FCOO67PMLMsAtLndeZ6v/UzUew/AOQcghLBxP9cxxhhjjDHGGGOMMcYYY4w54UIIIsLM47rqDfof - vO1X5Kii0A7SBsXdeuut5WhERBBJKUlKRXHoxIJ2UpgA3vuiKOq6ltjEpqnG5Y/+yHtXv48OC5w7 - QYidAHd97a4v3vG3IQQARJTS6ZXbDYCZo6Qsy6qqGg6HD+9+5IS39+zTutk7n3HGGZOvTHvYhnv0 - s/0UkkTzPO9k+cn4FeQ9AFU4xje/+U3nnIhsuIYyxjw5SOFA7YGMVN54ww/mWQg+bJjj2qOfcKSk - SfT1r3/91q1bvffMXFVVe+A2xqwTRNTOwiIiIlpeXn7sAhZHnLLV7/dP1vI91Xnvybtf/sB/+shH - /9B777wD4IJ/7MsoZlYRBspyXDj/vp/6qatfcZUjgkhVVUVRdDqdpQMHunNF09SdvIBqrJv/+L/8 - rzt3nkmA6km6Sjs5CArUTWTg/e9//3333ddOIDTGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhj - jDHGmA2NCKoJADOXZXnwPz41q6+3jzS29ednzzYe4fvWrP3sqcbTIr17zWqKKjkeDoerWeanRQOY - U2MDJT74x/8WY4wxxhhz0jhFR7I6Vgj1XuxbKvZ/4Hd+YdntLnkU4yjPQeBYKhN78hKTYwBIDACK - qG0MISFB6JDgPQXUK7F3XMUqkmY+agOn7uz5pz/37Jde913fmy3N7Zg7K0L37X6kGPRcni8Nl+by - AqJtlC8RJYhCGQzlSRDvJG81zqKphSYZrpNYRGU3y+uV1f+wQkgULACUD08BX/0BXZsxvPaUlTC7 - wiUVMEOkjZ6l9kckm8uqppaozBzYe+8oBCa3Upbw2h10l4aPUJbO7Z73nut+8r9+4fe/uP9T9zcP - EqWiyFNK7Zm8CB4nv5sOvc8wqSWqUMhovKL65NZIPMr2BBhRIIwkxBAvcCzMxBxl2+JZ/O2cEpiQ - CEINO3/kt31UIjy75BZSccKsgDIlVQcBlLWJIoREkiQF4lk/mizhdGnblGjGrKnd9Is8CQGHCE1+ - 0JOPdVTVzHc7+bzGJEk0pahNnoeq0h7PpxXK/OCai958wfZnf/wvf+NfV75e0lhyNAShktmTc5oi - HcPVHDthADIJ7QamwcDBo6xlJQ7nzij2PFz2cu5lnXKlmeuUX/qnzy4unPHi77qu77aXTcp9iDER - e+ikG7ep1dO6pHJMaaPtDynNNjofFrbdNhoD4gC0Oe6U2mYkZYbnlHMstg52ut05CcBQoFGo84T6 - 6BdmbUPNlo3BAsT2tytzcmcsnulSAClTVAgokRJPU8wBKEAQXd0w7b4l6+62jrI6x3COtFweg8rO - gvzjnr//yKdv34MHhvVSNugqxXJYz+WuHI7b4W26VkzCROJEQDLbZm2qqwIKD7DP8iaWiqphENV1 - mfJy/rytz7r5le/crNvzPWFOO0lSd76/e3lv3sklRQagTGtjp0kwaU+GTgZwZWnjtNs0dFasOab4 - 1dBvYQBKEAVIvEzT7g/Zaw4eeWbrKMqAKIERoWBRKLFykiYLoU6pjk1WeO9DHavxsOy7OUlZgPfF - 3Nte9z986BMfrFfuHsX95GolCDyrh0IhQhGE5Kb53CReRdvhQr2QEMQlwXTwFhIouxh84EpLdLCS - lZ/5xn8dper65/5AP9vc1cAJLEwQCLcj2TRufENiIEdoyuqlz3zJHXf/RRzWcyFApRZpqGkyiQ7s - 4JVD6nRivxsHO/Kzdy7uOv+WhCSoAAAgAElEQVSsp+3cevamuS1ZlqcUh3H5O/v+5W+/8dd33vPl - Ie/rFnWZhlERCjTHNzyYI4mu/spDf/PZuz/Z63X2rzzS7bnoUgKg8AooEouCoRmpgCIA51GOpEdd - X+fZyvxVl1/1ssu/u4eFOVoIKafkJJFISURgZu8yBBHRhLKpWdmR9+SyEAC0nzdEiYpEnp3z7Kgp - R9770ORaMVfd8+a2bHnW+S+5+FV/8pcfvW/p2w+N7o15iTymXBsFM3zC0R9PlaBgQAiQ9jihLAIi - 8R4pqjrEBJUUQu5BzsUqrdz78De+/cA3zzhnF5Ua6+i9sIO0o6j6Nff+nbYny+sG6+QkRzklggBO - PEvOye1/aHzh9mc/4+wrvvrAF3xead5IreNayqjkEQCXvIu+kE5H53s6f+lZl+8Y7Dz7jHO3LG7r - d3tENKrGe5s9X7jnr+689+++88C3HGU+90vjlSahM0BMwOyIPB3qBWDasKObWdcmF2iHfDU43zRN - XnTH43G32yWicdVs3779wx/+8Bve8IY9jxwAMKscnWVZXY2P9RfXdQ3AOdfWa+71eisrK+0nx3me - t2/eFt88TT4oNcYYY4wxxhhjjDHGGGOMeVRr5niUZUnBhzzXGB/es/ujH/8YgMdP7z7s85ZLL774 - hS98PoMAFEVRliVE6rp2jzLXp67r7lxRlanT7dZ1ct5dfvnlz7zssi/deecTXbtHxQCcc0mSAh/6 - 0Ide+ILnKYgdp5QcHcNkracAZhZJzjlS+da3vqWAc5ySACfmobtplONqP/LAWTvOPAFv/WRhhRzx - UU1VEQ0hLCwsrDz80Al/imz2hiJ4ZP+BvQf2L/YG/DgTW40xp68kjWdqJ1xs27btTW+64UMf/u1T - vVCPajqszmaZPtbcpU6R33LLLaqaUvLMZVUXRSfO5q8aY9YBIiKQqqYYl5aWjuq86ODv6fV6J2vh - nuqipE/++X//+Z//eQLqGFP7JJlq+/zXZP5eO8G+nUWfEjsnKbVDcSfv3PzWt7zzlneUo1Fwnpk1 - SV3X3nsfgqqmOuZ5Xqbkndu2dev/9h9/9p0//J5RU8l6mHXarsNjdreQZU1dE0EUEahi/Y53vONP - /+QThQ9PyiIaY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY8zJQkQpqZIy83A41K6qKtHa - PK318CTYCdZGaage5bOMGyZO+IkjIhFVVRCUQESiaXm4dIRv1SMnCBhzTNqUByJSUWyc3c2iu40x - xpj1bTW61WwYbUKqkghNwndZCTqJtW6Thtt4VFZmQdSyoqFbwN7RA7/x0V9/BPePsI8zUYg2ICLH - GaApRUgCQWhSNKWNisY0NBoKodX0blZOxFCuVX0WHGqMEFJ2Zv+8F1907VWXXN9ZXui5/vLDQ+fc - pvmFlWY0is3c3JxWFakKmJnhALBIFEmMdi1WE1xX/zPJ7W4XY1IacJbXOIteJgUDiQCStWHSR9Oo - h3+p/fXTkoisEFIocR0bZnY5aUKMkQlgF2Od5Vkdq/F41M27TT322glN5wde+pbxHcN4/+eXxvvh - nAgECIwjlt9UAiCksvq/k/XidhHaC/OEVMZxooZUjyUE+ngdFs79ON+sWHOXpN1wiZR8CvPdRU0s - 8OAIhSpcUInHMv7QpDfSZHPH1aYUdQ7MIJdVTd1IUg8mxiTOGXJI9jx4tUIQHfx1QImnEdQTMUbn - HMPFKKmuHAcmLwBnKGPtOFchlzKnueO8WOje+N3ZH332t76x/2/F13mGSGhiJKKw2jirTaokpEfI - awdAOukYSmiz7du1aBpkGaJgz75ysOCbKtWJnHeNlNzjT33lz3r9xasufm2zr8y0gzZEGXDT+HIQ - gCRrutlR0bYhaZbbfaRv4tnOe8Q3JhVWhFQM8kVNOlkvgqo6N+v+R7k8B287Eii3W00TlNAWEu3n - 8xl3kdo6rZimla/Z0SYLtubdSGRtqvtJNOtpkwx11tV404N+OwkAkiwRkZNhWu5s1a/e+7e/9clf - 3x/2VPlKclETAAT2dR2zAglopuM2qYDAOlmryaBOa1ZZvRA1qo0m79Bx8Il82b1k27Pf8sp3b47n - 5FWnQEgp+oz3LT0cOp0o0l7wr90IjEmZLFIoEeDWbKbZLtX+hQltmG67ALPuRLOvEARIrDT7ST1s - tJY14ylPmxSAU521YPBcx4aDz/Oibkpt1HuXEcdRVRSdqhavva39XW+59l0f/exvfv3hLyFbqiky - QNqOwKKzXk/tF9t1lMmIRNSGjpMCqwsL77PxeOi7gAco7l25/wvf/HRRdF/5jNc6ha9yFoYytatP - EBJWPtJZ2WrYetsZJt312I50JxcpF67LIvP5wvMveeHnvvUZ9qmMQ5+5lDTjzCt0REXT27Vw3hWX - vvjys5+1KZzRo4GjQkrBCjnyRNRxC/OLWy94+SXPueCKT3/5T+++/yvZXBZ69UoJt45Wdz0i5fZ4 - Me1IszPG9t8FgBCUknJd5ksf+7Pf18VmZVTm3iWSuoQS8gBJk6Pt7LgpBCfwZZ7XRai7l579zGuv - eM2uTRe5ldBFnxvnhBkEJvESSUQ1xkYVnh0755xDgohGFRIlUWVSgnNO2QOiSWNqOiFPKQX1jkOK - HJeaObelkw/e8Zof+/ydn/mrf/jk/aNvNzwUHteMxCAFC7MKZuG9BxfImy38ZKxTQGfFrgU0GQ9V - UZYoOuh0faw4paSaiCQxwsB9+et/fcG2yza7s0LIGZIigfmwY8PhI9Op5wRKEG3js73CCRjwc6Ff - E5590Yv+6TtfHw331a5ygXt5xpJr8qnWXDubulsv3nnps552xYXbL3bLodBengqpCBUxc5eQc+/V - V2y9/MLL7/jyX3/pa59brh+e39SpqVouxYd2QBOaXIxMNoEc0/mGMcePADRNk2VZWZbe+6oae5+x - A8Ht3HnWRz7ykZtuuum++x+WlJxzKWldVcfxa5iZmWOMKSXv/crKCgAiKoqi/diYmUXEitobY4wx - xhhjjDHGGGOMMeb0pARS6GFz8vJOkVSXh8OsyH/v//n9/UvDY5u5BAAg4NZ3v4uSuCxj0HA49OyK - brcqS+GDfmE7uYIBzrPxqHLOaYIjSjGJyrtuecff/9ufSMARFvSYVhbAEQPISeqm7uZFU5V//Kef - +Nndu3fu3FmXY+895PT69DSlxEQxxhDCN/7xmwDkkBQ+PeS/x2Ya3d3OQQOgTLx58yJOTDL4k+GI - ud3teqmq96Hf76ON7mbCE3+QrO3zREiJGcH7VEcADz7w0KYLF57omxtjntJijN253ko5rqv65rfe - 9OEP/zYx0mTOKIDpUL5uD3Rrp7dP55Az8IxLLn3GhRf3O916NAJxf2HTaLjkQn7qFtScXKQHdVIG - 0ilblqnpAh1xHr0SCJM5eLM5zKcbImLiqJpERqORPN4ZEdFqOxGBFUWRHfo9ui5nf64b7WWdgL71 - 7Xv+za23VgoGFPCemyiS0uR5nMOv6UjyohgPGwAEvOj5z3v/z/yH1FTB+eC4qeput6uqo9FKr9er - q8o51576ppSSpte85jXf971/8nt/8IfT5XiyV/xYNXU9+7sCCfjyXXfddvuvv/eH3wOAaXWfPdad - 17qoMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMebUcqDUpuUyjepRnItE7IlVHSCMWawP - t89PKaZ5YRvxT0Cm+UFRI8Aqk2c8WSZpGEoCCEhkNUJGhCQhQVngCKIEIQEmPzMp8DBNmlsXa3q8 - 7UOkikQKJWVwQ1JzvVItAdJ2gGko2Kx51sVTvGZDmwaNbZjcbjxKlpsxxhhjTi0hIgCqCCFsoBML - A4CUWQJLAKCclGqlGlBSZsqjOOIgSnCQ2BQg4ljOVSuD0bdWvv77f/6h+w98M7p9vqibNjDZgYhE - pA0YAwM8STd0gpA4S/AyqfUjbnJBkxqQwnuWBGVJVAH1gBc6y/Nnx0tuful7rzzru3vlZo5ZLYq5 - PBVulEo4dFygsmFlgnOgNr6RRAmO4BSs1AYTT17tKrMyK0+/KgwBpL0ibV9CIgQhJGYhBqT9NlZp - fwrKh7y0DXBcXYK09gVKoMQ46E1ImZVJicQhsUZiJceBiEhTcKSpcQwPpzV76iJlnjtz9aa3vuC9 - rz7/hzbVOzB0edYXhSCJzEJzGeA2YjO1jU/tOk4pWDwJM3OdEAIJ0u7xw6lQVYU4Psl7MOuxtCeQ - CAoHOBYHKKgBNYQmJB7kg0RIjuoGwTMzSTzGi2Sd1JxiQZtPD5LGSWR4AgmQKCbn84xIvTpSAkip - TblnofYFIcjq1p/0qLX9qv37tNcxKzOzqiaN5EAewk2kOnGsJalH46sUxhJGkDJEP1ctnt951tte - 9ePndS+fa7aEmlMJ75nbbHoCK7N4Fg/1k1/S9of2XzGrGyqJRdrM5mmlrXb5maEJrOgGxDoyVF0S - 15ScRn447j/ysS/83//0yD+4Xr1c74aL3jOEMnjfgBMRXJUSZ9mxRv+u3TcnrbT2pav9ZNarEwHK - rB4gJVE3Jqq4wubeZoE0gHOQBkyJUjOJij9q7aZqN5kSppsPOcEnKBpmzHc3Be2oONG2yJ5TIiFO - zO2gIdRugukGWNOrTzImZYCVJDqN3N5SZCfsVdE0RKRMQqys0NqpOiEkHdKybCm/su9Lt3/6tj3h - wVG+XLsRKBIiIYIiHGpBEpDCCdMkq1sAJHhBluATIU1DwtvexapVWsk6LA2yGMKB7gW973rnde9d - rM7M64GToiZpMhm7MRWARKcApm21OiC3uykrTVqSlUm5HULXjvDTFpbVQXvySoTESAxRoN1Yq8eF - tYNP24g663hr9mVlJZoeU5BEmIEUY1MxyBFrgohQHsapJkVXCr+n2EUXv/EFb7tg8Kyi6dfL6DgX - SKQsA6EdtElnbTXZE0gFFBWNchSW5EQBKDthhtQYugIq0IgmgjIZuQc//aU/+P+++vHY3Y+iblCz - d+Mmutw1Ku3YNh2mZFqWt21A1zYjAaTattKx7i8nl/p6jAz9UHauet53c6kcxTNI0UGH9xc7yvO/ - 78K3/PRrf/4nr//Z685//Xl8yaDewmUhJQPeeSJWwJHkXBVFOf+MLc++6Zr3nF9c1ovbYsNwp3oF - 17f2/JDUCYmSTr6izMrQIAjknbJGaaKvq7nlz9z15/fX9x7g/bFTRl+hiV0gY0hCUorCBJcxOY5J - IjF56cwtbdlZXfDGF9z8zu/5t+f3L3PLc33e0owmN/oFKhJFQIlJAyNz5EkISZAEEGaAVUmUdXqc - BYm2Y5xzPiUFmEjbA50H5SnLyk440Lvq4uvefu2tl21+YbZ/0K0We8lrCXFZBTQJnTwjIDYIAXF6 - ftUGVk/qwRKEMDn4K6Dt/jU5gVRBnkETYh1BEVQTT/bjsdZ3PfB3ZWdPhX3EjdSc0xzUCZzAASzE - IGnH3jZEfJ0ggBGdSkguSz6LzgsYEdSINojpmU+7bNfmc7tNZ8CDOExFnXX2Lmzed9aLNl9z67Xv - +3dv/IUffM47L8yf213a2m02hbrQyI6IiFRJxXVk0NnX21Vf8KYr3nnV0753HovVSlmVkmWAssC3 - w7tTEBAd4uFx58Yck8OO/mvP3g//HnYhJnWeFMl5Uk2sQtp4xtPOO/e2D/5f84Nu7p2kRJDgGAA5 - BoG9A5jZt9do09cRiEiMsf377C+qGkIgovZ+y+zrAFJKAJqmwYb6aMcYY4wxxhhjjDHGGGOMMeY4 - KEHAidqZiAy0UywEJMy8Mhp2e3Pk+LZf+TVgkrQ8086cal+rn9dM4phBiuB482Bw4w++wQPaNJpi - keXOubqOxH7tvJTJG7YfXgqcC0xeYyJRUmWRG9/wg1vm+54JADkPwLlAHGT2INhRS4Ae9AETTz7G - IhpVpQIJ+qv/5fY6Nj7LH/ez5dnsFHqqRDMyCKJMrmnit771bQCiYGJgMhvwCRIRdo6JVRWkniip - nH3WWe2H/8DaLfqonwCuB4fH8qkqMzdNc+aOHQR4dsed+z6dGHfQuwNwLtR1bL/hrrvunk4gNsaY - Q7VTsFwWxsNR4UM/Ky674NJXvPClLESYji/MIOR5TgCf6rFkMrMd0r4AOHYHHXQI7ZMTDnjnTW8f - FF2tGkfOOVc2FWe5DYdPbcwM0dyH2XYmWhebfPXkqH1oAgAwKkthSqQCZbhpfYfTiCSokIg4Iufc - nj17DjolWnOWc9DZpQIK75kIAiwsLACHhye3k/lPN3TY6aG0c+KcoyhJoKJwIRuNy5Xh+PU33liq - JqABQNxEIbTn85Jlvj2xJ3IAgxwAx64cjhzggKfvOvfXf+02R+qZGSoxOedirFNq8jxvmoaYXRaq - 2HjvRBMR6qr8+Z/7ufPOPssBhZ894IHgMp1dHp5UetjrKL5fFQoIEAnK9B9+7n//2j//IzJfiqhz - AlXV4LJYp7VXee2c57Wv1uyBhafA9aAxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4w5Ssw8 - q269Th55M6e5NjWCFSAep2Z/PND4Br4NmfLQjJTdpIjGJIBpWh9ENuKfQgJyjSoCL5dDYqfCIXit - NYtZSJkSEkM5ToqKKFgFJLWMhYU4I4QGEW6SmkSqThUkCmZ1rG5Dtw9IEmrnwNRQisxeSSo3fGjl - XlByAppFI1FbfMbb89rmiSAiVRUREXHONU2zUQ6Op+Gjy8YYY8yGYPUbNjISkMzKxEwCWUlijEy+ - russy+qm8rlX0lEaDfOlfe7h3/jYrz6wci93m8h1meCz6btpGx2NNgF0Vl2EFaQyO+VkhSRoAjOF - AFaklEBCpLmnEEO1u9menXPz97xruzt3SzhTh95JpqCGtXGSSPTw2n+HO6rCN3JIVNuj1EU5+gBF - eczXagtgNUe8zcI8NDpuNeZZ3OSV8nzYv+7Zr3vpxa/qpS2yop2QNzVCftBayzRmEgdVikS7AQhg - ZVUlgogmpDKVDdUAHNyTdcp9tO3ZBiHPahgpCSgyxIG6oSAiBTOxtNGix3NRw5hVJgUAEULitpXQ - ltecXX7zarg442hL6By66Q//hjWZ35gUmSVRrpUrUOMEPgUe5lv82e943Y8v6Fl+NNdzRUZB0qHF - nmab74i3DJRw0MIcVgbo4AqtIiR5x49ElrE8Cvv/5LN/8Eh9f9Zj8qmJY00NACJi5hACuVDX9WM0 - 9FE7wv6ydhV0TVm+Nl+dEb26jPI2hnzWFiA59ij6gxpw9d0SWEGkAPKscJhFMB6UzH1QZzg0EvJJ - MBvE2pqnPPuiqrJ3AKKklBqIsDJDObjGlalb3rP/H3/3v/1m1V1Z5qUmlMIAgWb1xHTyB4knmZZA - JMhsnCck4klzKQAmRKK6KLymxBXCqHNu/5IfuvaWouz3aUDiACSS6NL0Nl+bxn3oKq2pd7bakpOk - 7qNqjUmS92qP0mPqFIdFeB7soORwoJK6ffuMQo/nsro4d+GSG7777duLp+/obZdRQoydDkeJAjjn - gEkZrPYv0DXxwG3BLEDooN15bQktEJIbN9nS5+7875+/+1PLbi96Moyj/nyvLEu3epdnthaTdZnu - 5ZNOsm7vswSfU3K9sFg0vSuf8Yp82O+Wm/3S4Oz8oje87O0/eeP7v/85P3QWXVgcWOis9HmYh9hx - kkMDlCeVr5VZs5x7OvZ53d/ktn//1Tf6shfQ3SA3wU49pYM6iBAJGERlWRIj7+TDuPRwfd8dX/+r - xlWJJbGkaQa8Swz1zJkLuYjEmFIlXRdcmdNy57Ktz3339/3oVZddm3YTD/OO9Kth7BcDnuxoItSO - AAx1UMeH7oCT/XqW273W7LRBJme5QspOfBZDHwtpv9/qznz7a9/1gotfLvt8J84vhL7WIKKi8Csr - NQlCQNPAu8lON+kvbaz42t3w0OU55EgwWUghqGqtsXHlN79zl4ZGJHnvY0yTo8ms0wKgdCynnU8G - JZkeYZmE1x5fMufTOPmq87JnvrKXtrjl/jy2DNLWa5/z6vf8wE+8/foffnr/Unq406/P2OzO8uOO - T7kTx9OTnnYTOwndujdIm+dGm176XdeeOX9ex/U9tSV3V89taHowSut22DKnicnA0iZny6WXXvqr - v/JBIg1MmfMxxRCCimR5LinNPnRpj/vHSkTyPGdmVc2yrP1EZ/Zus0kPw+HwhK2dMcYYY4wxxhhj - jDHGGGPMeqVrZsS1hsPh/Px8jPGP//QTD+1+mAkiIGZgdRbZEaKVVZm4TfPWKO+6+e2kSm1om0L1 - ceaVHBJ1RpOJkXCqN7/t7ZoUCo0RyqqajjMX+cifiWaZByBAgv7hxz+2MhollQQ9+kfLjn0m1XpE - orOP4f71O9+ZzImZZpgfNKf08SeYHpmk1PYEVogqgMFg0G77jdKGh/eKttFUlYg6nc6J+kXTCRWT - Xa9pGgCevQIPPvjwifotxpinJqIk4r1vqlqamJF7x9tvbqdpOu85BIiAqKoqZhAd34h+EklKAKAI - WQaAmFVRhLBlsPB9r35NLCuJybOrqpqZT332uDnJ2jOHEALPuupxngeeGO1J0OFL0J5lJhWl1UmK - qnoapvnOzidF5JgmX4m0p4fo9Xr2GN1jc87FGEMIWVHUKZZ1FTrdG9/65t2P7B2OSp0+gzAhmvnQ - 1LWKZD6oqnOu3bMkpcBEwGJ/7vd+93c2L25qqopXL83WTLglAUnTNAKNMeZ53i06rNi0uPhLv/iL - HkhRGXCOgs+bFB27x70AfPKtjRNXQBQRGqG3/tiPDuuSvQNTO5+wqqpOlj/We6192+mKnob7uzHG - GGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDFmnRARZlYm9ViqVxLHRCkhTWMeBJQSp8QiB1WO - 2JB/sk5KniRqksakAiClxEyks3SfiekjYKxAgjaSREiUlSA8rbjStiEgBAFLG261Dtb0eP+chhAx - KROUI3Sp2ldjJBTbvDZaje+ZRXoZc9qxbBZjjDHGmBNJSYQr4QZgluAkZ8kAKCUfSGKZO0KqvGd4 - 2l2tZFs6u6sHPvyxX3ukfqj21f7xkiu8C0hpEtcKoE3+BqRNfm3DvBMjOSSCApQ8ic/gnMArOVAj - aESVI0FcmXeqwfburhu+583nbDl/sbspleLJry0RIjS5LjrtLo1I846PZfr+l73p+ee8bNB0QyRV - 1GlWtkZAogSAWdinI1QfbamqJ4gAQNmUVayJiDZElqkywA7U7XaJHADnnIgCWH+Vc44Vs3onOYtn - YVIRbpKrlJvBYNAsY9HtfNfrf+Ks7tPdqJAyumnpHCERjjLdI2gaA4zZHZbjbZkUJXgwqNHqmw9+ - 5TN//6dapKopmYk8iUftpNIyasX8qJ3tSTCJD58UGD3x768KIjB7Itct5hjrcmchATWgyOKdZE6Y - IMpN4tRA1PlICsA5x3AkQZSHfGDcOfBI/cDHP/n7Dxz416V6Ke/6Ok5bUMHKs7hcUiitTbJv89Ej - KApFQKCs6hVe2/taJKmJ3GBAmxZ1x42vvGXH3PldN69Kyk3yY+GGVFiYU3ApsLiNfsnPgTkPKaU6 - 1RrKcbm/XG62dc59yzXvXqh25E2eagjBFd57VFWCQqfRvjopFs1t6O9sF25ND3arNwdJwcIKn1j2 - Ng/+8Wc/ctdDX96Dh6WPUTNmReEz0kmA/fStISRCIpyEVEkn6eCg6X3VdUV8rkvDpVTTpuKsK59+ - Xe/AOc9auPrHr3//e67/mavO+/7N9S7a38vjXDcfhCJHIGCWsE5YbU8SQSebS4069efvuuiiC74L - 0Tu1inmPRUmSq5QSSSDJCQnUJJZESj6lWGXOAxjHUTbv//Lv/uK+PfcE1SwBYCGONAlXJoXEBBJ4 - rWv08y4vZ/2Vrd97xQ2vv+aGszefgzF3Xa9fzLOyRp2VwBMCoJPzSRzz1mpj74Wg7ccGU6Sc6tRx - 3UG2mDWd113zg1c9+1pe7rqVvM+5a1JTxyxDYqgDyCUJJJ50dpbFUM/H1XkUopqE5Gvf+JowGtTe - +zaCdy3Bkepnn2oCREbjUDupfRvQPknylpoK6nfT4iU7rtjhLzi/88zXvfCWH7vh37/8ma/cMdjp - q9DlwXwxz8nFOjH7Q+LPZ2KMuc8ducX5zS963tUUu6mGU5C2ZzKMNa1udQPNqdEeYmYvIMuypmmy - LHv+859/++23MyOmCKBpmixkqYlQeO+ZGUBq6zUfi3aUqKoKQPsmRMTMIpJSquvaew9ARObm5g4f - T4wxxhhjjDHGGGOMMcYYY57ChKCAcw6Aqt5+++11PZnwsjZ6bTYrY4adAyAizjGAbid785vfzMyz - VOPWY//2Q9O7p5OI3vSmN3WL0H7cPc2ZE6YnMB9DsXYNqqpp/xLY3Xvf/Z/61KdE5HGnMLUP4LUO - /6x2g5qt9d13363AE2rkI707AFVlnkwQyBwvLi6eyF9xitBUr9c74X1htlFmm+Oee+5Zh1GIxph1 - JaU0m1nBDtdf/6pzzz4n+JCa6JkBQJUIIut0fvjs/KH9k4Cqad785jdv3bpVVb33KcUsCyJi4+Fp - Is9zXfczUxWIMbZ/3zAPj5w0SVVV9+7dC+CxW2K2F6uAFMHTYDB4EpZwQ5hOqlVASTGb6940idnH - GEejUX9+QM799M/8+7/+4h3jump/kIgmQygg0Do2CrBz0iZWayLSTl4wANEs8Ac+8IHzdp2bmibP - 89l8PFot0THF1M6sSynVZQnRpqxe8fKXv+sdtxDAhJS0iY33PknjvH+y2ukY0MHrJIKU9KtfvfMD - H/gAe1fWtQBVVXW73VljTn5QH3WG7VPmYtAYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYY - s3EpVFmVBA5LK/vUJdUIUiVRjolT4phcTNwkVyWOUCbJNugLYGgCJZE6SqWIxCKq7BRQPcLDYJOA - FRXUjcokTsZPq+LzJM4wFzoAACAASURBVLeDIIToJDGUNnL7aAZ4RRDNQJkoC2jv0u4GpZIKQSjO - HrZrV/rgEjLGHKe1VQI2hPUWJWWMMcYYs8GRJE5KiVScsBNP6gAHgLgB6uA5xijQYRzzvHugeuAT - f/2xf977tdQtxzTqLnaWR5GciwKlNlO5vXIRniaPknooCyExEkMIrN6JzygwQURSUhV4z87BRdep - B93xpvfc8GPnLj7NlYUMSWvNKAAgKE+KUZ6+0WR1HYMWtFS8/iU3XnHei/JqzkveJlgDbesLABIO - iZ0wKYQmRVh4WhRHSESUGapg5qapqmrcZimeqvU6SrO7B6paFEVbr4eZRaCqT4HiUSSOxLkUWD0D - gCRXJa7rqiq4m497m2nnm659xyBuyuos09X7BO29pEkILyYB3vyEL/TqWrzPgs+VkgzGn7/701/7 - 16+iQEIDSMNJAhqNTVOzwp/YKqhHT5mIsiw7pIDYiaom1haQAgBRtIXVdJrjut7SjimSKis5cU7B - CqGYOCaHxIhJCa6tRaXiSsQV3l92D3zoj257cHhvymuERtw0V3IalQqAlXnt2LC2X5GABAQlKDzU - T27eEQhwCT0MilH/DVe/bdfgkmzUr5aTI07cJG5AEYAT78STMq23xjxGQoiSBAmsgsRBsyL4GGgl - O69/yY1XvW1ezui5bhKMyhgjOplTAsBK7Y3OyZ1Q1kmA96x41iRvm0DCpMwKnmXZKidS6qVhduB3 - Pvkb/7z0jaFbGurIeyA2fFAB6lnasACik/cUIVawEk2OsetJ1VSLi4sZ5fU+vWDr5f/TTe+/6Zr3 - XDx47va4q7u8kI8GC7ypw3PVqBqOR+zdmppizIq2Ghspa1RmTwix1rqUFz7nSlTOpZzX2fquK0KS - OAlFVnbi0IZ5swiLaA2KRVGMq1HjRv+679tfuOuz2YBIxQvalGshCFgJICGOmiqNmO92eJgP4vY3 - vvzmqy951Y65c8v9CWPXod5oqUSkQW9Q13X72wFtR1mZDDJyHKcoeoRKc+TEBeTNiujI5fX8666+ - 4cqLrirGPVrW+SxHgyzjRBCilDzBTz+lACaZ2gzl4+g8xARWpfQv990btQKrkDzaYWr9dU1OhERI - JEKSSJUTSEgpcPAxxAO0SGe85dX/5m2vee+Ln3bdouzqy5a86XAVuCTUjAgkbQ+jbS77rDq8kADi - yDdVFEE9kkufdsV8sT3AyUFJx7x6XrNh7mObpzgRCSEsLy/HGK+88soPfvCD7de7nbyu65RSp9Np - mkl8wnGcl8YYVdU5Nyugr6oxRmZ2zmVZ1qZ6G2OMMcYYY4wxxhhjjDHGnLbyPK+q6mtf+9odd9zR - xngT6NEejKGDP7LxxAS86ppX7jr7HHeCMgvPPWfX1Vdf3f49pWYW5PnE33mmXcxKkgK3/dqvsvfg - jT9h7hgpT7ayiNxzzz0ATtKswVmvGAwGRVGcjF/xZFrbFfv9Pk5055SUQMTMohIlAnTfffed5mmg - xpjH1k6EEJHZ1Igsy9761rfG2BBQ1zU7B4C5nWy0HmcMtaHjsWkAEFFRZAzcfPPNe/fu9d6r6mzi - h5y+zz2cLtr+HELYEFt6NqPptDWbWUpEzrndu3c/9vfPTmlmf+l2u51O56Qt4FNElmVKcCEoYTge - /9bv/PZtH7q9vaRhZmZux8m1P+KcSym1c+QAqGpZlQR44p/+qfdde80rJUaIZt4/6nmmMhHFGJ1z - jjil1C2K4Hyqm59+3797xkUXqrZzdCXGmBdFmibZrzeHr2AS/NIv/dKdd945NzfHzD4Le/Y+0ltc - PNZ3VjtDN8YYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcacOo4gosRyYGkvu0REJEqzLKpJ - TPUkV6uNM9igLwCs4igpYhNHgBBDBMpQEqXVZ1JJAUzyehgEIDU1g9rAGmpLprTtQ6KkQlEpKSXg - 1K/mE2kfKE0jyTkRi9PdBx5UVwu3faJtw0lGBbcRKMY8MbPcbovuNsYYY4w5TQmgYCGAGkJNwiyB - JIO6uhoVHUp1xcx1Qh0w6q/88d989Ivf+svODuwuHyx5JTlVQt0knuZ2t9mKBMGsoocywMKQ2cUP - 4ITQqBMAlAAX2LlACa70m2X7La+9dSGescjbdNmFmPeLQV1W07C+NnN0+s6nW+6mEkmYC/OdOhTj - 4oaX3XLZGS/0I9dxAdomTUIZpHAKXo2WXHv1yFhzBQ4FMwtkXK3gCAmX65cIvM+cc+31zFOjxmMb - r+4UTpyTAPVKUIqg1DRNL/T9uLMYt23357z6Ra+bk4VC55wAq3dDpundWE1qx9ryUse+vzhHTdlo - EmRpifYv5Xs+83f/75gORDQNUhmr5NQXQZlURJ/c0nKT+0TKBDAoyzIARFDFie8POqnt65xrex1P - b1qtJ6okIHEKJ0zTAVdY1EPaKGOiGGPdNAiEjtT9pf/yx//5oXjvnuZ+1081VyujJhxUaZZJub0f - x4ojR+euGe2VnJJrb2OxYhD6sj+86nmvvfSM58wNF7GczReLTdOgvZfXhoKrc8KuvdW1YW5NHJkg - RWlcRvA6qiuAO6FYoEF2oPOMLc+9/vlvnNPtTQki9HpFWSboLIZ7mt6tk/RuXpsNPLktKABYZ6ne - TAqQJFeP/XA8t7IvPPSRz/zWtw98ww9SQpWaCgDr5Ebq9F6qApNbsUqik5vODPUKf2pa7VEoSRWr - 4WiZxPXDAq24M8K2zrC3mBZ7VbdbF1kJHTYUtchynxVRJuchNDk3IFJmYYAdZ6NhXYSOR15w98wt - 584XWzlmdovpsSUXhZXa3tiGeZMkjjGNQ8ZN04CV5uo/+9zHynypDhUAJ96pEEThFT6RVwIxPIMb - uFGxqLtuetWPPG/nS7Zhp+ynORp0uE81e/WOPCI8uTWjjDyRiGadRm7rmvNPVjjyUskgW5zDQl7O - 8f7u9734xpdcck0xLoqY9zsYl6JAVF81EkLeHk/XjE5C2g6Mx9h/SJU1UrNcHdi3slec1GkMt+ZN - Jgnl7UKv785Jcbp1FEKF6/TCfFp2W/zObX5Xd7y1O97Mw7yHwcAvZNrhSF6950B06HrNTlFCCE0T - kdDN+jKeu+Cc58yFvsbZsX72E5Nx8klbV2MAYDKeHNr3RGKWee85zwNIr3zxi375l//PTieMx1We - BwB1XQISY80M1fQob/44UkrtyScA51xb2RlAjDHP85QSMzdN09YqNcYYY4wxxhhjjDHGGGOMeepr - ZwUoK3Edo8+zD/3mb1R1amJihkIPSziT2XQX1SSpofYptZQYuOWWW1SVjje6W2jyqXb74865t73l - rZnjdqKHIwA4jqTRR1uUEJzqJKpbgb/50pfvvOsfjjJ58dCn1zYyIkoqQlgeDpeGKwBE5GTMOZp8 - SEe0devWDfTA1aOZdXVV7fV6etzR3QRQO2PgsH+Z7kftP91///3Or6/5SMaY9SbLshhjSimpiGpV - lm/5oTd3fFgc9CEqMXnHKSnRSZgV/IQpMAnkVjCBoXVZv/q668/asa3XKYioaRrnXFmWIYRTvbDm - pGsD2tup7JjGuq9D7Z5U1/UpXo5TbfZQPQBy7qHdDzPwGGdGh1wsEDA/P09EG33e+8nDwlAWEWYu - yyrPi89+7vM/9b6fFqBWBSAiMn3whIiYee1GwZp9yrED8AOv//4ffc+PFD60U+myPG+q+uDJpQCg - 4PaSR6AAmNkRV+MyOBeI5zqdX/w/fqHjAwGdogNIVZZPYqscLYUq9IgXqgR366237t+/34UgSTdt - 2nxg797JP61pjSO0TNss6+9gaowxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4w5rRADqixg - SctLjzCSBzkhJ+wkOAmswUlgCaQB8EIiFDfsSxQJUOI4LFfYKfPkYcbEUeigHDFSZgUkOUoOCakO - SBlS0OhScirti1UINVMDqkDVqV7BJ9o+IAVEhUQpkqrXPfsfQBaF46yiC/TIKUnGHKvDq6xslGIy - 6/TBdWOMMcaYDYsBBwAUQQkkpIA6UmZmT6yQOjVhkKe5+InP/+HffPsvdTB+aPRwvompwP7lcq6f - pwTnAgEgIRVQnL17G/gKtNF+k5IfBAEgApBTJmIQkVTKZZjnLde/6HUXb31WJy7Ue6kfFrqhN14e - TysZyUGx06cfVs58px7WHd/paK8zXnzdS3/ovMEFshcsHqv1QIUhaDMV18RVtltBAGVhTIqFgQku - rYyXlER1XV90HnIxoKoh5G1dHke8Qa5oHg8lQACGOmiAtsUrxRM8KMQsLuuOzq4XXPDSS7Y9040K - l7LZj8q0ns4kt3ttgUzCcV1MsfcZgCbWLlDlUWXL/7zv7s/d9RfopKyTRY51asg7JkdErKcoy1pZ - FcEFPqy47BMvMNTWLSICK0QkhNCGxeMxS3SdIjTdykqQdnMoMYBZ1jjDiQCBqKtD3vdnn//Y3Q/8 - /ZAfyRZppCtV0k4Ps4JsfEh2LAGTyOeDupZMq/rOssLbek9OUD+iL7ro5S+55Jo+tsZl9MOAk/Jq - TWQ/7eQEJNBxRlquH3nIYmwECqImoq4kVTVq6UqXlzsvvvS6czddPu+3zmXd4YEyOABob4kqQWdH - t0mzTzK8MR3JlWb7ME+/RwBRkkoxpijz8Z7hNz/+2d/bLw/WPPQdPng8J1Ja3Uem6d2YvedhiaSn - 3PxCX1U9ea1VS2QoOly4SM249ECnCHnhHWu7S6Y160qYluqeYOd8iuQoQx0y7Txt50VcBxKrDvz4 - WIUgAgewsoJScEyS6rrO5/N/fPAf7r7/79CLy3G05kfaP9ruJv7/Z+/e42y7qjrR/8aYc621H/U4 - 55A3eckzEAiPJCCRgAEE2+alHwGJ4W0joih2X0X7fu69/zR2K8rV1qbRVrnaXrRbP1dbkbZpBFpo - oEUQRBASCYQ8Dzk5j6rae6+15pxj3D/m2rt2nUeSU6eSs+uc8f3sVKrq7Np7r9dcc6011/g5NEew - 1+3ZE89/zYve8qQLnjGoV9ykWHKrHMs4SQ5+WA1JtGkaIQXJrFa4zPdhTpUAIqQppX41SHUa8DJG - xSCt9iar3/2Ml1535XeO7p548RCAKSpc4WOMszLuOt1gSXkb9RZVoYTEKVK46967EscmttPCjVu6 - XqS8gMXxWJkUjK4bDwgogqKmMB6PITooBtpSIT3UhW+LIa20GzI+3HDinu+xckqpK604W75zry8h - 9YqycF4D+7D06IuulJY8g4FZ51+79541ksacZv1+//Dhw2VZzsqGvuxlL/uZn/6poqAckJCS+lOr - RJ+PgmOMAKqqyuU48z/lV3bOAcgd1FObGmOMMcYYY4wxxhhjjDHGmAWVr1TydAyPzI1USSoHDx78 - wAc+oN0l4Qd6qWnAtmOI6JOeeMV3POu6B/6zB3rBfGnbEUHk2dd9x+Mf+7juAxPRdsdSbf7Z3FWg - EJIC+ZIyEynRr/67X+sPh9v+/LtXvjq2f//+7sfjRLaf0qvT1rjNCy+8MKVdP64pz7Q8zmZpaQmA - Qnc2WFRVRYSJCZSg9+zfL6ewfRljznht23rvmTm3FSLinDvvvPNuuummtbX13KwvcjNCRLNcVVVI - UgJ+6E1vyLukGGNO7GbmPPDDnA36/f7s+0UezJOHNp3lNN9EA2CuV/nAf6VKBAXOOeec3EAdm5F8 - tpkN9z3mNg4QUdM0Vb/3j7fe+tYf/dFxDK70uuUQZ/oiqjqN9HbOMfOs+62Snv3tz3jXz/9CCoFB - ElPB7vB9B+c3t6PEGHu9HhHVde29jzG2dQNRiD7jmmt++M1vJmBST5jZeX+a7n55UGazJWPPddve - csstv/Kr/7au6yCpadvBYHASL3i8xWSMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMQ8b - 5lmRD1kfHW5TDQgRsRILs3gS78TzlhgR2aUPzsE9gLq0Pj6MHHvEEEBJlCIAPuouRdJcyCSFSESk - YBAndcKsnDMsSBmbZUlO/2SeyoMQCQJNxCpI4HTv2p3CjZBs5nbPzyK1fAqzYxb5ZvCj2HpvjDHG - GLOzGOqhDsi5oRFQVrC6gsoQFN5Fn9bl4Ge/9qkPf/ZPxtWBxo+5D3USVZdWismk8UysIBXW40Zr - R1IBpkHCBCCCQiKo85EhBAihoYuqy69/wguvfdz1ZbPsm+HQr5auP1ofl2UpIqDNFyfFYuaMPvSY - EqcAERTc85PeI/TCG7/zTRf4y8tYcM6V7GaKKEUlyTGT08xLBnICohBBEqBQFaG4Pj6iCxqLno+Z - t1Im4pyjTOREkItI7kS052lFAmqVg5JAGVqQVCQlKTvGeDxynobVsD4c9vD533f9D55HF5dh4BIf - W8GIlPMZk/l/2sb8CUnZlw4AxaLCRDGuDnz8Sx+6Z+MeceocJQmSVJUcyLPb/rRvF+VGRdQ5p6qz - TyBdFP2Oya/Wr3oQguxovdedI/AKBkVQALRr3rWkJCTiBR5EzKh0f7z9kzd/9H984UNtb90tS4ON - IDJc3cztzs1FdxKKRLvCx7kdZoCx9SyeEkARSIREChYU7fDRq1e96Orv3ePO54kbFANJQVLgbv0s - SCpoAXVKUI6gcLw9yK7BEIJCVEQA16uW+70VIhCiE/R0QOtLN333j1xQfFs6iKWiKLlrjbsHTb+S - 5GDgLbO3+3/emfqcwd0tDgI5UIkjYcR75Ztr//D+v/iturc+wkbiWdVgZu1Op/LmS+oxO9YFQsBk - Yz21jUpkBy44ahQXx7Lul1Lr1tfDwUk6EqkRjarqyG/Ok61SSv2ykpgkINWJo/+28x7ttWQrP3a/ - WDwpgQOQoF5RkIrTWLKTlOAwSmsf/8KHZGl9Pa1xhcRRSXhaVE8IQlEJ2uLc3mBPc/5bX/4vvm1w - pTs8XC73xEaaSXTkB9UQoqPRSERcxUlz10WFRAicK7Bva+WcrdKks60sAtLvV4cPHyzL3mSj7lG/ - SINeHK7Quc9/2ksed95VusE9z8ylKJWlj2kCigQoPOCBWYz0Sfc/lZGgYBWOdx64Q7yQ2yyBp9R1 - 4TafvUhY4QVlYp/YCzsVmrZdvuKyYnbCXkSbEMfepV5VasSwt7I8XGa4pgkpBZAkTUoi3erRLdyc - 9R5jdM6xQxjHlfK885cupeALwlwLma8kgXT39/fM7rZ5BFrXdVmWIvHIkUOqaTjsN83k9a9//Tve - 8dMqqEoeDsoUo3eUD1uOf1xzv9q2BeCcK4qiaZpcQJ+Zm6YBkFKalShdzK6pMcYYY4wxxhhjjDHG - GGPMQ0cAsPtP//kP7z10BID3DICJTzBeaO5KjSZVOKLXv/71nh3R0YloJ0V58zKNSFoaDm78gVd6 - IgAqiUhP9grR7NPS7DPr9AF4zylpAqKqqn7gg39x9/57jn2DYxMEc0jbmRHVJlByDOD2228/dtqP - mwW4PfkaXFI977zzzoDrcXkS8to+S/jb2fF1XZi9Sv7m8Nrhw+trO/j6xpgzjZAmAMgprUQESDMZ - veWHf9gBDDiGCqrSi0IWb7yQdsOXQURQMPD0q570zGc+syzL0XgDUF/6KKkoipTSoo3PNDtOVZk5 - 72FP3CNdFPNx8jmE/jR+mNNiNslJJca4f/9+AY7b3csbushmrzM/7YJzz5tvmPioEfVnGzq6Dy5E - AImoL6u1jfFrX/+Gu+79litc00YQcptPRMzMzEcdjqWUmMGEqvShbS9+5EW//Ivv3ru84ohTGzxT - Wfjl5eXQTO95UD5qzG2cLi9VLZxfHi55dp7dsKrauv7nb/+Jp1/1FE8sIqJx4QbQn1iMokAr+JV/ - +2tf/spXqn5vElsQnWiVy0eF80eCZ+PKaYwxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4xZ - GPnm3KBAgUi6Njmy3q4njblAAeU6IgCpcFdwIzqFU96lD1J2cAAS4fD6YVUlIXJICmHI3I1xPE33 - UCAxiVITgyqJEtRDS2hBUrAUlCrWgqQgcaSOT/c0ntpDnIjT5Lp7tlOi9uDa/ohapyklUA/tqfag - ftHyO8wuNau+cro/yEmwVd8YY4wxZidxV6WRFSw5CpQFJFCW4JNyoMSr8pV7PveBj7+fVuuW15NH - SKhrqCKF6Nl54hgCCPlwFoQu5BVQYkYERShvlqQhEY7iUiRtRUWd13IZ+6447+nfc+33ufGQmnLg - Vyj4ZtyWVVX1em2ohWQuW5r5bD0oEpGVldVJE9o2lVrtdfsetfSElz7zFb12yceeE49pIGJiSRxz - Bc/NcpzKeTYyswpUIdDEaRzGqmkxjwzymjNXKIYBEJEIvPe5YhTzmbA+KIlwEA5CKoRcei6f7wDg - C0RpFKn0vbCmj6ALX/wd31+FoU+Vky2Tz4oc0wtwtyVuN/pUlVJKReFCg7bGcBlH5MhB3PP5Wz93 - 3+QAM0pyDk6VRHY4KvuB5DWCcw0hVWLmLVHHur3Styd+PyIAZVmqKhFBF6+wmua5QbPWUglQT+oK - eCdESVSTFqEuNm6574t/9r/+CMuB+2kUNlqoLyEBEtErHCmTzOpYzZUWo9kbeTpOIyxErVMphIsw - rJp93/ecHzzfXxLXIZHJoUl1DoJnZRIHdXkVFVLhIBR1N0d3A5AQh1WvcL2mTikoVFNKAgmp9ezL - MOg1e77vO193vr8M66xtd8Jvmp8tylG6OSDTsx+b+aA6jbAVQiIISd7uu7paOai9ajfo4C33fvHD - n/3zSXkk+iaxKHIMMJP4nN49V6C5+9/Clh3LGaVKTkBKTEUhnkexRcnlsFCPqFFJpqUDZ3NP5lPJ - mTGZTHq9nkbdu7RPayyXeyr0j7cOmxkmdaRMGkFRQVCfO42xaZ1zUqZbv3XzV+/++7FbSxx95ZRF - SAQMeEBALUhYULbVql70yhveeGHxqBXZO+Rh20QwOeeYfBPaKLEaVlSgjQ15ks3VkQFCt9LyNk4J - EmTahVCQCqlynLSj1b2rk8moV1aV76WJUixoUp3rL/7+F75uoI9II2bxDIqp8X7zXWVaUZGRL9ic - 3EchIhDgJVJ7aOMgOLmCpystb9lhKfOWbs/pR5hdl5qFbWfaNDUYbaxH47XhUgkKiibECZjquqnr - Gqxl6akgMLhgmbXzSugWK5Oi1+s1kzrUzbA/lDHv7Z+30ltOAdRlrgPIuzYBCXWJ7MacZvkAxHs/ - HA5VdTQaOedCaN7wxte97W1vaVsZj1sipKS9Xi+EsL238N6nlEII3vuNjQ0ARNTr9fI3zrn5yrDG - GGOMMcYYY4wxxhhjjDFng1nkWErpt/+f9yHfbxfFOZL7vZKoqs65lJQUe1eXX/ea147W1zy72RAg - 2upBfp7ZM/Ml9Ve/6gdWlga09Z+256g/do5ilPySTEhA3Tb/6Q//84JdYX7I5bFbRHTvvfc6ekgG - G+VVYrb4lpeXz4DRifM3j/V6vTw9Ozv+zXsPQIG8JSbIoUOHdvD1jTFnmKqqYowhBDCpah4g0ev1 - Lr/0suc++/puvCTQtvEBXuh0UQWRqqqIAxzhe1/28n7VayaT4XDonKvrmojatvXenwH7EXP/cv8k - 72EXPwzbhhvNOnuqGkJYH02635/4T446QFhZWTl2u7Zc5PlwaCWIqkDf8pa3fOUfb/HetSHBAw75 - rpNstjbmPG/nHAACVBHbuLo0/I33/vrjHvMYCZFEiYhBbdvGNuRnHtdgMBhNJiJSVVVd1yGEUDcO - NFrf2LOysjwc/vy/+bnSOwJUBIu9tR6lqAoFGkk/9uNvO7K+7oqiiSc3LtHWUmOMMcYYY4wxxhhj - jDHGGGOMMcYYY4wxxhhjjDHGGGPMaZQYgaDsIvFIJqO40VArDkJJSSXHtZAQIiiAUk4eYcXu/Aoi - pyBhGdUTSarSJV8odbc0ToNjclS5JEZkbTm0GiJSopQY4khyqBwcwNN8B4+u2sgiTOl2vrKCoHkO - MAgQRTueHIkprywMZSWGOqgTCy82ZzFb+40xxphFd4rVBs3DTgoWkhSShxskRtS2LJggxL1WWQZy - x8bX/uhjv7nmbovleqOiCgYKhgNIiEVJkmOwbi0CqYB6KINy9CJmcaFKSAwUUmurhLIYylrxqNUn - fu91N/ZH+6rYZ/USVQjkWAmTdszVZmkVniaObzvKcTcTUBqHMRUlsWdSHaV+s3LtZc9+zhUvdEeq - QgrPHBNaQsqHkNOFwjmjnbqaOJLEezATHODSxuQI3GJuv5vxq/NyyaSyLHPlne2F4S0eVRIlSRwT - d+eAnHgnDkCklCqpuUkaS/QHzerTLr72iguvKsOw1D4iABBDFPOloE61vA4rM0tKXjEkxAl4gFFx - +K/+/iMjPpIk9n0/hACIc+7hXH9Iu3ZgpixLAKpICadQ4+6ExchUdZajLCK8oIXVvICVkFgSiwCk - zol3UlBLfV8mHUc/umP0j7//0feN+gcbN4oa4OAIEEhE6SBtIsnR79PmgiGzWlpdqPy07VVAQSAo - qwiiFJqK6Gg0fMHVL3/Unif26pUSA3KYUI2etqklIifOic8nxRKnxK12ceMLOEsfLFJ4kLaKSKXv - MSDSsnfCJD2exKZX9MpJ7/F7nnLdY56/hD0+wiskwTuowBWUC9eqdiG1x+7dhCAE5agks+pmrPBK - 2sLnOtQDOSj7P37zRz5968frYgOlBARXsApVVS/UyaNkZZqehyUV1umpycWa/cKOYgqqpEqqRULV - RCcYiOu36uvEiVidVyJWMITm9hekyiqgBIrKAq8hhKosm0lToLzsgkulkQXc4S0OVnapcMIgVU5C - DHUEOIXnSkmacuPjn//wOg4nr8zQmKJCCRFOuVSOjqUAquj3ysWvvP7NV5573Uo410eXQg3W6DSy - BET1QEGttpECeRVEBZQ213/CfFD0SSOAobPrDUASl5o0cSUlTUGid+zAXkoaL12650nPedpLuB56 - IZKGKQBp+koRJqiYcQAAIABJREFUADRfjRAg3s/+4rg0KoAowVV85/47Eic4pJQ2n0GSN0LefKMF - Qiqks1z1bi+gROxdVCFPXKCVsXDLrlVuEgUUjIIjSaAmcRKKURrN10CmvRNSkBIrxzb0Bz1ANAm1 - 6FH/3NULnOSrJl1QtzCEZ9eQjDm9GGBVZeYQgqoCUpZeJBJpSuntP/njb3rTawHk3nFT144BoCgK - TM+ZzH89ERGJsatAPftmVjqWmUWEmec7pfmwaFbbdKcn3BhjjDHGGGOMMcYYY4wx5jSIMXrvi6JI - KQlAxARWor/86Mdu/trXmfNlF8SkwPFHDel0SEq+OuOAN//QPyPooNePbcvTUY+61Yk+z2wMpEDz - I/+yKgqJac/K6ve+7OUAHFOS5J3fqfmgSgBEQYyoEKDoVf/+N349pAgm9i6p5GtYXfb4MVdXz4yQ - xhzvGiXdfucdogqAiXfy0hhRDvCbXdPft2/fluv7uxMzxxidcymllZWVHVwZpiMAKLTtLEPRkWPw - XXfdtXPvY4w50+S4Vld4VSVCiK33LoWgKbztR9/K3dAvOJcHSi7kcD/VoigYcERLVfWaV99IKeWW - FnMxtLn/cro/q3loEVEI4cILLxRARBbyjgwAyEPhx+Oxcy53n5qm8X7H+qu7BTkWEe89Ed1+++2Y - H79+gkVH0zFaOcH7wvMvIF2wUZ6nD5GyQ0qpaZqyLF3hc243F+Uv/OK7/+uHP9SmFCSB8pjcLpwb - 04Fw2TTPOzmGRGGgYP65f/XOZ159jcQEVe8YKgzi4y8kBjgf/oQQ8sLNGyMryqLISxyiEtNTnnzV - T/zY2xibB4rMnG/Amd2Gcz/R4KeRquad45e+evO7f/lXkoK9CykWVdmElr1TVedcjHHWCtmYW2OM - McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGmLNQvnMz3yOjqgt7y5s52whhEoEC4ssWEku59Vvf - 0B7VWicXkmsSJ6UACqAG1Cg3miO9SXbnVwlJxVEDOTRZK/uDGHM1i62pPJQfoixaotY6cFyL66Fo - 0IMUsaU2upRYlFRzYE0X5wE9/dO4/a8gZYVXJvVI8A4H7runbkdFlecLz685SrIZmWTMduVkh/z9 - LiokY3c0G2OMMcbsJIJAApN6VyahNpEohRQFEilhgPvS/j/5qz9Y9/c05eEWbdUnaM4WZE6ehXOA - rpPNCijdoRpBabPztjXwD0qIKmXJJD6s47Jznvh9N/xguTbs1UMnJZQUhC6mVPIhpc7ym6eJ3Xz2 - HRXlGaLUpZMqmNSVoeo3y9c//gVPPO+pvi6kATmUA98kzIK6jxt7ydrVG40UJ3GiEFVd+CxTnvuO - HHj+TN/uP+lHAk4EJVEOQomQnCCv8AJElxInJZBSGauqHd5wzYvkCFc66BdDB7QtBj2fYrexTLfE - 6atrl8d8MgQQKJPCJ7AiMZpickju/puvfgqcYowEEYmYJgU+xI5XNVQJAOtcmPQpy/PsqA2HFV1l - W3IA3IKucSxgAWTaUJCSQ0FEEW3k8bru/+OP/L/j/pFJsZ64nf3ZNMgZrFuKGOc2QWl6zq7LTScA - Ol3HRJ2K9HuFU3jhIvQee+6Tn/WE5/ebpTJUpKxAIolOU66WrN4pCCqclKOSJoKAd3l1QiZx1O2h - BBRzpavEmKSmGJQUpQqu3Og9/2n/5OLlRw2xr5CSE6qiZMb6hvoS7KEOupk/zZsrdkeURFi67VpB - wpyoVBQJKYBKV+4r7013fvTv/ttth7+2gTW/4sdhHFXaNhauJCVSZiUCCMILfYKxmw+snGcFq2d1 - rB7qppU589yR6ZN183tSkACqSEQKEhEhZQ9fUtX3AxY7xXRCpHDCTlhJZNqsOmFSZnKTVN9x5B+/ - fNfneInEISVAwQw4EiVyrApEVIn6zdJLv/1Vj33E1f16j05KiqIuiRN1EJLEIiSJo1IOpFcAIMmp - 1axEcwnWJ1s5jrs2XHJPNa8YQiKUlFLimDh23UtSUh7wKo8HT33Us7/t3Csr6WmDctrKK0EY6ALF - 8wZ+kjNUAcA5RIE6reNYKYokdmDduq9Z1Ap52vXGc18UClawwAsclIC8hYJVc9K2kijFxFEoCkle - xHP18LvtGuDp5LNAieEIHlSI6/u+mx1K0Pz+4cwoJm/OUCQgIVJVfcc73nHTTa8SQVEQ0F0Nzb3l - XN9z2+MV8ogH5xwRqWoIIb9UjFFVZ+ngbdsS0Szw2xhjjDHGGGOMMcYYY4wxZvcaDAaTyWQ8HhNR - WZZtCGW/14Twe7/3ewokKBFEQQQiyP1eUUwxVt75gl/5ylekNpTe7+BF2qZpGKiK4k1veKMHRLQq - q5h27HrNsenU43F94MCBT3ziEyJS17X3PseFppR2Msp6weT7oFT10KFDeSJlR4aLnVhVVXzcTPhd - iIiYOV9V3GGimN65nUccRsj6aGPhx6MaYxbC/CjG0hfPuOaaxz3q0Qw4ppTUFR6Ltl+bfmARcUyq - +rrXvHZ1eVliPEN2GOYk5bjcwWBwuj/IA1MgpZQjjXPHQO6/A30mUlX2rm5b59zdd99dOELXlzne - kwEAs7mkSR3R3r1784+kx79P5+xBCudcXddLS0vLy8uTpp40NTHD8Z/+2Z/9/Lt/kZ3zjpPAFw64 - v0oGRFABBJ7IE/3Im3/4ph94Namc1BwmBZ+4BsFkMnHOld7/85/8yauf+lQH5CDwfHyRN4r8zLR4 - FReco7aNeaC8Ar/6a7/2t5//fEi6tLJ6eO3IyupqPgyMMfZ6vYflvh5jjDHGGGOMMcYYY4wxxhhj - jDHGGGOMMcYYY4wxxhhjjDk5vdJDqK0bVeUKR8aH4MlXPgczQwlwLjlWn4MMhACQ7tKvysWgbFJY - a9aDxvFk4sjPIhd0Gv2DaSSQEFKC91xUPBofgdNRs9GECbMCIl32SpdtMXd74wJM6ba+CiiIKDGz - T1BB2H/vnaoSI2a3CZIKKIECuqiLs+6WWGNg0d3GGGOMMTtPA2kkIlFi1+NyEEHRa12sh/6Rj33x - z79892dG7r7gVAiaQMosJYvP8a5daPe0BFWO9AMw67nlH7nLUGQWzocyKUGDrBZ7qnr4Xde87NLV - K/a5c13rSCmnfOfMP+W4GdoNYC54+Ows6iecU8yFFAqv8AB8Ki7tP/7FV79iTzy3J30ojdvo3Pzi - 2Ew8FAKoq1UKQJCU4qRdSxpBC3ecOV3eWz5YDsedhigzY75Ozi4mYEWh8EqqFMANqO0WirISKygR - hBSAEy5i9ehzHnv1467jSRVHyZErHUJIUGzGi27axsGUgERZlJiVvcAJFIgOo+LQX9/8sY14RCmR - U2Iws9JDfrwmhKNWhjydbrqCP2SZpwwwEXliT36atLqox6fqFV4JQGQkAKqkhLFuFOfon3/yD27+ - 1ufGxeHGh5xcnCcnp7DOF7cSEpk//bT5T7niEwOcY1hV1XsfJ8FFDDBcxt7vuvbFF/QvLWLllJBP - 8zEUpMRQ370ItaCgFJRE4RWFLOwsfTCUSQoSBwqMwBBWCEhBVPioURFL5n5y55fnPf/qlw7iOUXs - l0STtdYRigJESHm+nuCUnxLPMtSF8gLxgHfCJbmSC04IISaf6mr8zfEt//WT/2WdDx2s9/tVJy4l - jc6XIrPE3BlZwMZfCTmEHgApvMCLeBEv+Xt2wtzNkS4qdTMSGN2JY+nChoUccpAqiXryPddbqgaL - uwkvBlYhQOASESgRkhNi9Q2i9MOn/uEjh+XuiTRFWca2y8lmcuLTuN0gQqWuN155wVUvfcalzzmP - LqK68ORdyeRUSVIKwrM0aJ0+RKe53VCCnuIC6i4e5JR33ny1HOCtwimnSgsJA5y4DMNHLl/xHVe+ - 0G3QiiOZKOk0qZqgJCQOOt3hnEyvI1/A8MRRIRTHYRQ1Jo3Tvku32c96vIuW360kiTU4DSyJkIgF - LHBQBy2gJUtBWjgpnRROHKsXIHFevkkpzUK7cxu2ddEq8gLvCuxKQVoQlgarHr08K/K+SQm5DWRd - uCbLnOlk7jHv+EU+SdQTGPIvf+anX/7yfxpjrlAP74gJ3vtc1jO3ANs4hsl/nlJi5qqqiqIQkRCC - 9z6/2sbGhqpWVZV/uY0JNsYYY4wxxhhjjDHGGGOMWSihqR1h0OuXvmiahojWN8Z33HX3h//yLxUQ - geYBjMe78KJzA17yv6eUvvelL7v8sssGvX49HhU5QG67uuuYEEB6ZeWc05SufMIV1179dABJwg5e - /p2lcasSiPKk1W36zd/+bVcU7H3OaQPgnDvudagzZJwEUxQBcOeddyrADFUF79zYwWPSYZeWls6A - sYmzMELnXFmWD9H0HBWjfvDgwYfmfYwxZ6Y8DqP0bmkw+KHXv44AEcVcYu5iIQewpARRB9x0442l - 9wwiFVKZDo3bkkpuzmzMvLy8zIBiwcb/HSOEICLMDMA5p8d0fs583KU1C+Hrt33jQd2UpDrrEDrn - Lr34Eogu2lDP06Wu65Xh0oEDB5qm8WXlqmoS4he+9OW3/vhPsCtCkjYJgBgSAHYnPv5SlI5L51T1 - u1/w/P/9X/6MpuiZCSBV0uOPrd3a0h5nRJ8QlAQkeXxdvyqbycQz/dLP/5uVXp+nf62qzDw/tG+B - EEBQMIiKssrp3VHxtrf/ZBPa+w4dXNmz58B9B9g7MOXVuyiKLS9wgrlnjDHGGGOMMcYYY4wxxhhj - jDHGGGOMMcYYY4wxxhhjjDEPG1ZIHUvBgHzfO0/xnru+0dTjdtI6YSfOSeXi0Mflol1xaYnTgKXq - wkl244N40ozHYXRofIAHpEzelaSUUjdDSKeJP9Mkb05AK2gljGunMiiLQc97pwRxKk7gBE7hFIXA - KaCnexpP4aHw7AphlxwnKFV6x7ducz0PNw0DIAFFUA1ulaF8cvkgxpwxzpB6QcYYY4wxi4OYVVWR - IMpw7IpAqS3rMNz429s+8ckvf5hXQ2DAQQSSlJVzuRrKyYJzeZ9dUPS04BDpUXHLs3ofDEWhGFC/ - PRC/6+oXX3H+U/Rw4ULh4JATNykHK8qWkLQudpGnb6dnbUErRp5FUDAAJ17X6cmPfPozH/vcql4d - uCVpURTIxcqUuoU1y2oVAms++GZVFU4bk3VZwCozU7M4YVbQdKkTOYI79jm7m3qoA4QgQAQlIAIA - 8pmALScDnHjaqF74zO8p04BD4cR7h8lYc6mdHDU6yxzdRrWdnFTabX/KmIaPKgH99u6Nb3z1zi9J - P6iLRJqg3pWnnLR6shjdORIm3Qzw3vm30ZzATLOU+N3Q+ggol2ZKrYYJ1bxPP/6lv/ybWz+Zluvo - m9jFpXYP0txQcLeq0NENOGbN+Gaed14nWTU5YgkY+KGsl09/7LOuuvjpzX3Bpfm0yHziz0/bcMmr - N3UrmJtGeu9qDAAUQQHQaUAte+/bUJMmglTMo4OTJ198zZMvvU423JJfSQ0QMRhQEyGA6LRG2HTv - d+zGm6PWSbsNk1ghiUVLohjRhJoH8Hv1K/d8/s//5x/RSlgLB7WK6klVVXL5LubpOtz9f9HSu5WV - SLtGXhjJaXKaHIJT6do2PaqUGAHE3TeYrqUi0gUkkygpO6GCqn659DBP0O5DuYXIvT5hCMAC1Dy5 - L97zhVs/Xe7jOrYxpsKBhSTlP0qVdz4UZb381Ede96Knvbzf7kkb4ESFYyWS3CfJDel090Sa8+i7 - jgop5aTt3MkREkD0JFfR+VqKpLP0bgY47920m8bptiaRI7BRXnX5My9avmxIS5RAAt3cRk6pdl3u - pRAhaRLEIK2qEtxmq7vABEjUzQrd8g0DzEqkjsWTFPkBdTiqHiLlzRboFgDP7QsEJEQURZUIFIla - p2l1sEpSdE+bi+4+Q/p75owmIjFGZvbe/9Iv/dINN1xPBGakpKpd8DamLeH2qktXVQUglwolImbO - VXQnk4mqLi0t5Xc5G+vqGmOMMcYYY4wxxhhjjDHmTJQviKSUVFVVq6oqiuJ973tfI4kc6fSyiAhU - wfd7q5UneEevfe1rkSS2rXfu2JzmbQshMAgiIYQf+7EfAxDjQzIYI88H5py3iA9/5C9v/cbXXeFD - SkrHHwG4+BemTxYz33nnnQCIH/LhaisrK2fGpTciygHeRyX5ncxLPNCvVRWaR2MocODAgW2+kTHm - LJZSGm9svOoVr9y3vJybeBUB0cLdSq0KgEACXH/ddY999GNC03pv972evYio3+/3e/3Zj6f389yP - EELu2+Qu5ZnRzzkpzNzG6L1X1W9+85shPsBdAd0g5OlCbWO8/PLLH/qPuZvEGFdXV6NI3TYCrE1G - r3n969aaEZgStOr1QCBmEMmspshWecx9SqIpPf7Rj/nld//f/aJ0Ck3SDSF90I577CMEVQ0htHUz - 6PUc0dOf+rS3/vBbcqvtvQe6oX3MvJjbb0qp3+83baOgwhdR081fu+UX3vWupZWV9fX1Pfv2gXkW - QJ5OMJ+NMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMeZ0IYVT9JhdUmnrNqwfOHw3O60G - pbKCSNgpFQRPVJCWRAWRIyKHXfkVrFRSb09x7/pd4hpFjKklclUxvR9ZmcXn6Iec4tCvCifeq0PU - elRrkpTSaLxOpGBVJmUlIiKlOad9Srf7VVHRBM1Em8a1NY9u2/+1hDbEbm0hFXTZeKdtpTVnktkt - 1do5vR/nJFgJA2OMMcaYnaQEpULJsYonkRRCaFKR2mp0++hLH/zr3x/7AxvxEAhMJILSeQGEREmU - Iih2rwPkcnuz3O5pFF/E9BhPp0HCSnDCfS2qcfXopce94Kkv2qOPKEMFQDgBwrolsBtADuil7tEl - Cuds761PO/OxMAuTMiuUYuKYyAuo511YCy+8+uWXVI8r63LZsUYct1BNri2kCspp6wBYR5ONnJv4 - cE/PtuUkaWYiBzDBAYxdkKZ8/3IEdbeec47xZc15paTshIvEToiBxKKgviw/cnj5Yy58wtCtSKAU - 0C8xF2+/JTiTt5WrrQTpcuIBhUtwgsiN9JtPf/VjIz6o3BJpaJMyPbxLoJucLk2V3A4fMCpm0dSz - d8zn5rr3Xbzthaep27mt5W4zl4bHvE++fODzf/zxP6gHGxjEpkkFQ8FC02nMIeg0TTsm2cxynuZ2 - TxsUAYmSyDTfnR1CaJeq5SIt7ysvueHp/4TWuScFSBInVnGKIsFJl1CrJMoKCHfpy0zqgZ1egg87 - JSgpKHT7Pvi8RWuTSi5VkyAkmgz7g7hWvuCal57Tv0RqtzJYhRTNWCXBe6Ruo5t/YZkvIsbSPUh8 - DvlWhyAIbXLkK/YMEsI4bujq5DO3fOxzX/9U7I8nstHI2BUsIthsClgWbi3eJPBCrGCQgFqihmhC - aAgNI4DSbI3cEn6s05V5ujoJNtddRwQhB9evBg9zg7XLkChF4ZgXAasQRMDRSVxpPn3zJ47Qoeib - ql+N19OgKkQUjpsmVp4HWgzDyoW9x7zkWTf1m3N8qjiJ80koNKGVRAxXeD9LXu/OeHf7PiJ1s4xt - bO7IttHZyx1Fnu5bHaljdXmr3NwKSBlCiN6JpuhDscL7nv3kF2C9WvKD3MecnkMFEBmxy6A+6XOp - pEm9R0qBHNoUiOioyN5uTdX7LSR/ejBA2u318t5BSJW6TntGUIZ61RJd67dl+bIyK7ESKdOsNuK0 - P6/kREkJqsLSsobl/l5JBdR3u2PF7JG7wcY8fEg2H0cfpWJrXxEAitI5TyKxqorQTH7zN37j+uuv - EwEzytLnSzFlWcYYt33Br2kaADkgPP+YC4b2+/0YI6a1RMuyDCFsa5qNMcYYY4wxxhhjjDHGGGMW - iIoQEGPMkcMbk/Gonvzuf/yPCqSUB7WAPZGDAjJ3DfPYazFFUVx55ZXXXn2NI4ak0nmNadux1krY - cv1Ik3ekSRzx82943qUXXVAUbjsvO/eYlyPMZwOWREQEAgTF+373d2aRzEQ0H9U2m7ozJr27u/mJ - +d77Dmz+iJ2/3W72ymdMdDem948VRaHAzqbgEtGxQynuO3hwB9/CGHNGm90RILENq6urq6urL3nJ - SxwIAPGCjiwl751zDPzQG99YOs/MXSTtMaPdzpi9sLkfubewb9++0/1BHgABdV3nxO48gnEBx8M/ - 1PLCyr3rW2+9FYAC9zMbjuoKMnDRRRc9xJ9xN1kaDMfjsWpiZl+WaxvrN73mNd+4686y15+EVoG6 - qYuyLIoCqt55gHXriLs87wvvAOxZWX7fb/3muXv3VUUpIRbsMHdTzLGPLa9zzLGP5lseCADaUJel - r6qqaZqeK8Kkfse/+N+edfW1DMQY84g7AMcO7n2YHXskmDHzeDwGkRKaGPJe8z2//t6P/o+PFVXZ - xlC3DXsfYwwh+Plx2nOzxfZHxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxpjThYGKwDEh - BI/ELqyNv3XgyJ21rAeMGxo3qBtMGsRGQ4221SalRmOQGHbj15TaSToi5eS2/TePZa3oQSSSpNkt - bLNIju6OOaAeRU6Vp8GRw+Oq7IGJC18uDRrEBiE/ag2NxlZDqyGlhZjS7X0N2m7I+ojX2rKVYTvh - g/eN7kpOXA/TOADOiUs8u1fObpEzO2R3lZHxp/sDGGOMMcacWZRDEsfeg4gppaicqN8ejvd86DP/ - 5bYjN/u9VDconU9RS5YQEsiBokBYN2ud5AjDXBOx+4V2lafycySn7nXlUYSUXe0HcfX7X/jqPfGc - QehpIlehCzADGPP1Tpi7VM5ZiZYc8gdABGdReh8rKEdWQ4QAEulmCjtXcHDnVOe/8Np/+jsf/sdi - edKWY1dRki1zZ7bIVLsiN0QElclkpJoe3qk5aaRQmoZSqxLmv+++Wbiwy5PBCgFAOYCZpwmZAEHz - KZO8VRAAJAbAFZbaunnWVdf9/V98zlccFWXZm4zq0pXbCjo9DiEQSWJAGACpAIgCt4yvfuvvbl/7 - 2uOXnkzBJZWH/2it2yJIiLpCt3TcIqA7J5cnUyVQ/n6hWh8GmFVAMbfIubhSYqG+HNJ7P/CpPz7I - 97Z+1Gp0BFKXnyI0a88lR30LYT6wnLuTULnZyf8QczIrFCDxBVKAJAob7nnPefE5vUvLtV6FIuVV - lsQpZkG5OfM7I2WGhzoBAcxY9FbofighcQLFbq9HgArUM0ARVa8Yx7Vq6JvYUiqXaE/V619/7fP+ - 4KPvK1eLwveaFHxJURQMFZCKUt7xCWuX5K0kpOAuAZ2VIBQTSRKgACurKlTZkYO2EW2x5vfwn370 - Dx/5yksvHS7rKE3auijKhKTEAgYSAJmWPFuoJGshVjgAQomR88bj9J/QVW0jgvL0U+cGCgoGhJXy - BiAEAilBoExEcCIgcYNqiPXTMmW7g5IoqyDPz801pHXxULr301/9ROqnUQy+9KXvNumkUvZ8eySu - 9oayNrjx1W94RHGJbgw8FXBKJBGRFIUrWDUlos0maxZOzwCgvKV7CVECqbCeXAHW6SoAKDMAZclb - EIkQaFo5nQFSMBQUCi+srG3viZdes8d/8LDclj9R7msRwBQBdEnSR2f33j+GgEi4gBCcc4IkJNTl - k7PgOAVbFwcrBJt9gFwkl/Pc6Voq5EYJAEDTJwN5yvNMnvbkZbZ/IcnLFwCR10hMrCqEFhqGvWVK - JeCUuqj1vLcyZvExc9M0KgTAey8S3/ve977xjW/89Kf/JoRuX9a2LQB2TtJJd356vd6sfm5+u16v - p6oxRhEpyzKl5JxLKTFzURQ7OnHGGGOMMcYYY4wxxhhjjDGnQYxxMBjEIAIl4qqqfue33zeqJwRS - qCt8ilGSUh5HcL8v1bThR37kR3q9Kozryvt8SWUbF2uPO8SiV5QxRgY7QlmWN9544zt/8d0n/9on - ftMT3PbjGe///d9/+9vfvndltW7aqih8WcQ2zJ5A03GepIs1OGR7VJWYRXVtbQ0Py91QKysrD/Vb - PAxyuLuIKGMWTLiziEinm6ASVHH48OGH4o2MMWcYpW6E5AyDYtO89S1v+d33v3/6pEUcNqSaYkqP - v+zy5z73uZJiv1eORiNXHD3OzXJSzxIiQpBLLrnk63fdebo/y/1RYH19nZklRs4fm2h33V5+6kTE - ex9CYFfcfPPN+ZfMlNIDzwcmePDq6mr3oyLfTsCKtPt72tvTNM2g108pkaO6rv+P/+v//Lt/+JIA - 43oCQq/fryeT0LYAelWvruu5mxQ2MRBj6jn/7//de6543OMK8OjIWlEUKcaTCpc/7iFPXq7D1dW1 - Q4dExv2q19R1ya4sqn/9r9758h989bcO3pdSAsDMs0j7Bdwu8sfLQwcLX4QY2pR+6qd+6r9/6L/1 - ipKZiSiplg9Nb98YY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY05R0i4qqxwUbdMcGR26 - 7b6vn7f3El84aJEQXSoQPUPaohGKXvhkIyoWh1BkH8XXByffEtdUpadGQYgJ7HIWNQMEZZAoAAKc - Mktsmjv2f8Mt0XhjI8oYhcOgcsI5E0QYgMQu03q3zhwAQjG6oAwtmkDje9a+MUoHRmEcczCF5txu - 2cwg66IuFu7WP2MeanbXqDHGGGPMThJCVBSukiYWnguK0TeH0/6//srHvnDrZ2iFxnFc9ByhkJCK - ohw3E19FACDMR0IrAHidpfoRME3XS12qdwll5QiKBDhFlZave+Jzr7zoqXyonyZhUA3GYVSWHrFL - Ls5ZhgCmxVmYlYSgpEJQglLq3u541VvOSKTsxAFQhuZ0c1KBAKij9PpLa0cOXvv4a7741es+e9cn - a98mjqLI8bw8n3tNUAUxERFIlGRSj5OKINEiZl9PY8Y3daVwaFqJZ5bevctJXvsJjpWEcjRvTqkV - UrguMhOJEVmgnmr0BsNHPfKx5+09746w5pwPYbPuqkzr2eVc621Uf+vK4REUEh2TsBMoiRJabRp/ - 8O+/+ZnC44fgAAAgAElEQVRHP+mKEjmI9Kw4SaEdEHXJx4uDujq7ARBWDy0EPnFT8+gjn/2Lmw99 - Oay0wcW6wb6lwXjUwrOSaJdxK8j5rDk8twtb7ZpfmkauAhHAUaHlIvAe7Ugec+ETnnblc+NBt88P - 2qZJBSvBC1jBAiVJ1H0FiFGQwikLPAOywLG1DwrFxAoIayGAkubMcpdcgZLayC4lVvE8GbUXrl50 - 15FvPv3KZ37qK5+4/b6v03JZFkOl0IbWeSCXeFYgVxCb7eIIUJCUThxIEgdlUUZIqDwjOSQhTRrh - S+aeNJpCuE/Zf+iv/uzG5715b295vDHaO+ylkLq3QP6PF7cBVVZSAYMkkQeggMLloHrKZ4QhpOAc - La+M6Z4OuXtAyBXKVATkHJEmOLhBr386p2s3SBwFDAgps3gAwUl04Yvf+Oy3Jne0S4EcUoi9sogx - qgOXGI/iRct76zviK5/7istXH1+0S6CiFWVNjpQB5zwRh1YBYnIACAyVzYDsnNDcrZ8CbPb3BKeS - 3u0AsBTCQjnJG5Jfn7rKiUkxccyqbZloqTj/iZc/46++fptbQiQoAakHCKgFAPEKT9Q++PRuUpCC - yImkwrmo0TmHCCLq2tzueYvbDLr8ObvloiABTfeABCgnJijLNGYbypzXH+TQbsz2I0zAdN4pQSjl - LTopEZQgQAK03x9CPdRDw7QdFCGQMisnwoOf/8bshGOPR477LAZJCjE0zXkXXDAe1Rsb4717904O - rb3nPe95wxve9IUvfJGImDmna8u2invWdQ0gVwjNx0RFUcyXCnXO5QKddFJVS40xxhhjjDHGGGOM - McYYYxZVr9cDEGOMkpaWlkfj9j/8h9/y5FtN81dJ8qDFowe1zCkKd+6+R7ziFa9YX1tfrvr1ZLzS - 74c2nsrYQ6HukiiAEEKMseoPRaJz7rWvfe2vvPc96xv1Sb/o/EUe3fprVQCOWKm7VMSkUXHg0JEP - fvCDN736xhBCv6og6pxTVdZu9Nd8evcZQFVDjJNJjVmW607n6s1WLQKWlpaIaDFTY7eBiHJ0945M - FM8GAKjOLlDmjHACDq+vneLrG2PONqRg4tFoVJTVVVdddf2zr//wJz6uspDDhLxDDABe9apXLS8v - a9POt4TY2kkwZ4Pcebjsssvwvz7ddVAXb+RO7imvra3lofDMrKJn4RAjVfXOtW27trZ2xx13zH75 - 4P4WF19ysfceeoZ0rU+dd64JtYLLqv/rv/W+333/H6R8HEMAUNctcyESHLumrvnEI2Ud0c/+7M/e - cMMNAOq6rqoKIsycdPMv5ke8z8//B7Ms1g8fXlpaatuYUiKi0hfQdNWTn/zmH/pnv/SrvzKZTDC9 - TwSLGd3NJCkVRZFvF2pj8N5D0y1f/8YvvOtdP/fOd2pMMUbnXFmUo9Go9MXsT+fnz+LeNWCMMcYY - Y4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMOaMJAEdC3EpK1DSMwR752tot544u6nkHcKDCia8S - GLHhSWJ1UtCuTacmJTf2a0cOTOKa+LZuGlUwo6Auxy0HZeQn59ogsUStI0LacAe+fuRLVRpG30gk - hSNlLwBEGAI4FcqZOLsZJ1ZxKRWjdPjWg3/nV9QxpECKIGUoGAwVIRBKgIG4aBFRZpea3UNKRIt2 - O+mxLLrbGGOMWUwnrndoTgPOyXb5wJNn2avK6BLygJwEDDiFeg/m2EbHrE5qt3772lc/8rkP8l6Z - pLHrFW1IBUVAJaFflQFtrqIyC0TU7k2BaVEP2hptlp+pBCFhgBQ+9i8aPOq5T/medJCK4Hu9oUhU - 1kbaAuWJJky2HPUJzpRSkielm5Pg2SLO0YlMrm1ijwfN+viF3/Gy2/70tkk4NAkxB1QqQZQ3gypn - pYKUlZIQmtgmDVFTAV68KHSS6SoHiIIJAhI+utkRfXCZeouPu3hL6X4iCHJm+2YdVSUQBOwYRdUu - ffsV3/knf/vNCa2LSH/Qj22S/DqyWV5nG3V2ZvVbASg4Z3YS4ByPmmZ5ufeVO//+hU8cF7rSc6XE - eBrqzHXlmx6CwnwE6NEFnuZrMBHp4u365j9Q1+ZH194+uvkTX/3vdX+95XEMWF2qNtbGRVnFY84r - TXO7N38x3yAwBArqmhQAkrfONmDZ+SU+94anfbcbDZf96nhjoyxLpWPK/pJI90ueLrTZ6y/c3Dx5 - AuTYTuTo2fxbVY0hFP1i0jbk/cpSf+3Q4eWlpdSsPOdJz/vj//n/rTeH0NP/n707j5Psqu4E/zvn - 3vdeRC61aCtRpcUSaGeRwSBZQoDANh63Z/AABjyyBG527G7bbY+XcdvYnvmY7rbnM9Nu2ywG0572 - MkDTuKcbjAFjtoa28SLANkJgVgshIZWqKpeI9+4958wfNyIyapUyK7NyqfP95KcqMzIj3ou33Hdf - vPvOT1JXRaiWJnq0+soWzjYJMz4aAUAIUNVh1/ViVdV1m7uclCKIgAqZl//+njv/6vMfueHyW/dd - eMXS/ctVVcFovFKmG/ytV+CSFEBp9q3UoAYDrOBx3ritdHtsJYNcCZOYZ0YgMzUDQUlVjSj0qpnV - 5kCfbXTSHyhx2gQDjPXuuz7f8IwJzLhXh6XhQt2rOk1ImAnoHtInXXXLTY99lhyJdd3vUqZICCCQ - qZplQCFc1ZXqMZvgCVeHrnmzLI1X6RcoGUA8+fzTrLRCNPXiTFWXU9PwkXY4U81efenjP/GFd3e6 - BGQlECFM1f5b7eHUCJOw3j5FJKqpIjMiU1KAjRhgWIQBpNiqG2fpFZQOChuUJh0VBVhJjcqOWrqf - k7ju0Z9huh2j8RYAhhExldq1CgQiAHXslcx1YDIh8Kgb7NyZ94j3e2Mz2bVr98GDB2Oo5+ZmlpaW - 6rqanZ154xtf/+IX/9DnPvd5EQGgqmYWQig/rkqJ5TYzVQ0hpJSIqDySc2ZmZi691mOqQjvnnHPO - Oeecc84555xzzm1HOWcR6fdm29QNc/roxz72xa9+KcMMCCFmyQBiVeWUTjB4bDyylQBJ8tI7XjJc - WJrrzQQAVQUOinQ683bUUKnAddVLKampmD1q34XPfNrT3/f+9w+THjUmZq2DbZlYTQGoKTBKkpPR - WDq86S1veeELX9ib6RPR4tLi3MysiEwNuts5iEjNUkoyXowUeCNSXWn81asbZka5zHf0sNit6YQz - ZmZMLDAiCiGs9aWP3cWml/vxAYfD4eqj651zG+aYhnIy/G7lETut8cangyd3HwAAZmdn2y4dOnTo - 1a96xYc//rGuDB7cUiNMDaRiwPxM//Y7bjPTUMXBYBBCgI3ulJ+8qckNF25nIyKDnnfeeQHQUcEE - 2lrbbZkjw9JSiSgGBxbJWy6feOMxiMxCCA899NDisAVAgUSmlsMxi4RWxkACOLD/wukhnuVvJ73E - nbq/n6Ipa7uu7vWz4t3v/ZOff+0vGMCBRA1AbJrcJjUJHESEABrtF6ODUllsDBDw/c993j//4ddo - zoGYq4oIsaqWlpaq5qT3lz3ymQfQNM3y8nKMtar26t5gMGDmWMWf/smfePcf/9e/u+uzKUm5O4SI - dAPOL06TilR1ndoOQF3XXdflnMsCfNOb3/z0pz/jWc+8lQNDbWFpcX52rvzlxJY9eXHOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOnSWMkIEQ2EwSQDVM9cOf+sCn/u5vTMQIQiEoKmFQSnGo - BLYIYzI20m33b9AQuorn9Bvxa2hMgRhgBhHQqOSDlRgNG2dAhIC2g+nwgXzvb7zj1yrtaUjJsiIA - 4+juEgBUnki2Fd7p2v4FEC2SVqKRezrk+5fwYO5jFAlCCoOCx5EWWzS8w7kzwKO7nXPOua2FiMx4 - ixVDOssxwEpQzoCRAQY2ZY0GNqI0KgFkAKLASK3SLi336tpIc6MLYfFdH/+PR3oPDWlRamQ1BBYk - rsACCAcaZbtO0ErxlEnNGcUk8ddAAHPHVVxqtVfXYYiqnf++Z/1Qf2lfv97FgZe7RJFCIBG1Ul3L - jg0Tna4VwqYKJiuBpmfTCRKpBijYQLAAAixzSTbNWsWmU2bmc8/Z/9irvvXgXV/RYdv2clVDOkBN - gzZNdWQhzzSoABNFFXMpLlPhwSMPnLfrIuu2XJUoALBYot8NCAaAmVU1k3GkqNopZyICbfvaUVzq - q5aqqeCSUlsCMg2QcRCtAawANFfJFP3Fc2+85Fnv/6v/b1mOiHVJRFhBmW0UcgmDEIR0VXGjpb5S - MASFEhvBSGFgQ87W79fLw+HXD91z//I3ZqtztUuRo5zR44EqMY9aNIuRzcTMiLHm7aC0Jwo1KmtB - R4vfLHBlQoGCqoZACtUtltxN0EBGRK0G5sqIlFS56+ojf/De3zkye9+SLgGYQ8QixRiU83iP0ZVa - WuNqxVO0BLWWwr8xAhY7NWMBLCg4gCvIAl9zwROv2vv4+bybc9AAVELCpGFq0x1/6jc1NR2nt5ZJ - bNji2XjjqFqM3mNZiqqsmRiRREOkvgrEJDQRGecsnPvUi5/x0dn/vpQWyuZmjABUAgAC1rLPUtnf - wQoYjLs8mpSWo3z5ZDVWrCatKAhE5fmIMUgtDw7ue++n3n7JpQd63TlNbw8pqWhQMHNd9xaWlqp+ - 1UkXVp1HvIHYdKrYsbLx6DPS8uPkzwAAVorikY53W7BBCGQICqKQUxdjBNDaMM5Qm9Cv5/0D1lMx - Lh/BkTGX3G5SUI65fvH3vPIv7r7uv/3tn91z5Msiw349N5AlMsSMOdu1Ox545lO+N+qeXpzvlnLD - NUzKxkvEILBpDGIqIDaMmtxjJz5a9cqGNff3Jn9v49RnZZnqTJZUeGAU9F6lroqx12mimCnblfsf - syee0+XlQbVklI2HZlADKxgKdMfP9sMtUcqqDJDQfJibCzMNx9y1xpUhwipYgLWAAhkE0NbaPsti - VMDACjUEXWl+Rsp+ylMZ55NnAZPileNfjf5jLm1flioEIxHVSBUh1lUvMBupcS5PDQqU9QVV2s7H - C7ftnKD9OdUWSBRSkirUAHLOzGCoSXvu3l1vfeub77jjxV/84pdVR9n2IsbMagrjUhy3FMrPOZ9i - itOFQUvyt5lN8rwBpJSqqlJVHndPyiOTSrue5+2cc84555xzzjnnnHPOue2CDAyqqrpNXTINofqt - t7whwYxgBhtfVcldAkap2ExRVTmSmVn5JlsEGuClP/iD87FJg7bq9UKoFgfDuq5Xm8pmR8XyrVxL - SllCIIUxc11VbZd+9GWv/NP3/AkDFGPOmQKbgGK0LJPhTSvjLVcupJ54ujJ1NXZldBRBQlCRv/nM - 3/3Npz99/bXXJbV+r+7SMIRgK3PLVAIkrQwq3cYIDLYHHjgIAMRmGkPIquPretNLaW0TUBCZrgwx - 2H/hhZJy5OMusdnxubeb7/jY3dG4C7CqEpOoxMgAYLqWJNyTh1qWC5SqajAiIqLFxcU1vAXn3AaZ - PsoEjAYn62is7MrATqNRA7LRh4vjj6dligrrus5gvV7zrGfeevklF3/5a19NgnLon2BiMztmGO9p - zfJUo35Ui18GYxBCjJrFbDTCA6IMfN/3/pMLzj1XRJg4xJoMZkZH56AD5oGpO58pAUx08YH9AAKA - yFn0qB1pauva6N7DUa8/NUk1BODgwYPMTMQAmVlVVSmlDZ6jrSWEoFkA+stP/lV5RMSIyIyObgR0 - ZUkSqWoEGLj26msih9y1xmFlSPwO2s3LZlvekU2drgQmAKpKRJolhECGrMJ1L4M+/6V/eOVrXgOO - qnl0C5khd215ukj5n9UA0rppumFLQBNDzsLADU964m/82//bRBhkkkEQM81aNfH4eTveyZf/1PHF - EGMNgJm73IUqAFATbfO/f9Obbn3WMxeTCCww1Mqp5VF3tE2msAm9//EkJ2ncXddNfsNMrdrP/Muf - f9973n3u3nM0p6qq2rZlsjIMG5ODuxGALXm3mHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn - nFtnZgghlLLVZrLZs+PcCMNUUkVABgiiwrvaw3rfdOmDoAxS4bLdEoBND5leczR1kCghW8hGoHJz - t4FLyp/BuAMYpJNkKOsQAYoY6nKeEVZWntzqyGQY51iv3A29Fd7pmpcPAFZWYkCNkzIRmykISsjK - KHFUSgA6GG/vPCO32UqIAxETcWpbZojCzI5N6Np6PLrbOeec2xSj6Fjvg24bxjBWFgZgo1Ijo0Ts - UmljfN5JBssWq9il1oIsxUOf+OyH7138x1QPlEcp2gQoHVUj8iTFOvTYSigGAEykZmLIXW5qbhe7 - c3DeEy6/8cDcZXvkvJo4mQgpGwdwPFWE2FGbHx9d+PIsYYBSNrCiwiiUuVST0ciVCJpqTm3YLh2+ - 8Qk33fnZD5F1D+aDmRGZQ1V1kqzrqoBgXAIUBQZjRVZYK0NB5i3Y5Z6kbwIgVTCbBkM5QS6fDgBl - a968mVxXx7wPthM8zgZlQxRS7uvuZN2l+x5z6OB9FtRYS+gtl6pHBgWPSumtfmZKUb7jHgwqoIA2 - D++5/55LL7kSlEnjGT+jHOX1wnhdKl6Vj2kmP9qoqVSApwOnlUqEvG61VkgkIXDgqm3b+bm5w8ND - 6OePfOb9D6SvL9aHhVEBzEwCI1WM6gM+AqP07hAhAjXl0CAqLLEqFBD0ZP6pT7j1nGZfOMxQ4zp2 - uWM0GGWrH4Wnfh7VWtwZpkp0jWOD1Qgy2qgYNnrvRhoMoevP1Xuf/pRn/e4H7o67OQuagHZQyjoC - YCMFjY7CrKOiX0q6ssRsep+bzroeTajtBNWy9bBAD37ozved/+QriZoe94NQDFE1S8qRWQlEW64S - 13QAMI7ebE64zYzfvgJQYqDspyjdGTIWFqNsgBkFqjdmrneWcSC9kZZuXp0rtLtuvvI7rr/6SZ/9 - x09/+M73f+Hez/Ya6s/Eymh40J7xjGdfuOdSLERpMd/0VURVQaNtn6BKCKMSeaOVeHSNyGPX7Dr1 - 9xQnL8xngIIDVWpZqQUkaGgsXrBn/4ML98PaUZY2YMYKZujqWy1VggEhgCTunT/XOkCNmTOZjbrQ - JS69G7fLW+4Qg/HKMjBKn+u4RmO8n54opfukL8rlIGul2iGRgYw4TOWCl+tJkxWoW/3ja3fWK32A - 6QaNFEAI4cCj9r31rb/z/Od///33P2hmBCZAVSbbd7lsk7PWVa9Lw1VNtkRKlFdg5q7r6noUHx5C - qKqq/LZt26Zpcs4xbr3zPuecc84555xzzjnnnHPOuRNR1aqqTJIR7v7iFz70sY/GOrZdPtnfm1kI - QSQBQICKxQASvOgFL9g7v4sNDUeoiWqIla7fYIm6rnPOZhZjXDyysHfv3hue9G3XXXX1pz5/d5sz - AFMF8ShuPAbkcX7d6Q2dUWJAYt288Q2//abf/I1gSKnr9XpJSpwbK40HGm7/ETpGgJnBlpeXAagp - xlfK1sf02BsAQABCCMxMU4GxWz+a8RRzWEK1z8A8qOqgXd1FT+fcmXFME2C0Mo50EjitmBq7cwZm - 6ejDcW+mPxgMBoNBv99/9Ste8VP/278koAxYYmIiUtVyCKD1GjtO43+Pe7HSr1CY5DwKPh8F/ALA - HbfdRmowEJOKlCFhbFA6KvvW7XhEZDAiOnDRfpR7dk7SPykdvxNta2eIgZaXlyVbZC4d17Ztmbfc - YMUNZaIK4xA+/elPAzim6szR/UEFAeO+kwEBuPjAAZEcQoAdu4/v7B1fgZwzVGdnZzMSAFHpzfSH - Se+97/7b7njxoYUlBQxo6rodh0yf6GXQtS2Apqlym3ohnrd3z79/81tGo76xUuOg3NbxyG52WLtd - s7MXXXjha3/u5//Xn/u5SNSp2WSA/tH76ta8ozKpMfGXv/qVX/rl/+PfvO5XZvv9YJa7tNnz5Zxz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzx5oO/Sl3b6UwPKN3NZ9h1cq3o3gFm35klHkx - fa93IZyFT1pWZYcb3eFXQmcmjypISXmz7s91O4mZAShVZIimy8lsUWfXfeDOOeecc2tABgYIYCMy - UpARG6myCouRKItSFtYSzh2ozglhrlqgQ18f/MOH/vrdQ1syMzIOhmg5WA7KMBaC0KrT8iJT6sBU - EdiS7gr9XXbBLY979nw1x2Qpq6gSEbFFi4EqMu/ynRypkYF00ocHuEQemhGpscCGNsu79vbOv/nx - t9pSrC0iQ6EcgxlJ0qqKGWqEUpSTjMtKHQwWVPPxqZluS1NoNiKLFK+67NogTQhBc57spivJmqSg - NaSNHj0xGm05pfIdGKp695c+Z2xKar7xbCoDjMkIxMbMkq2aiV9avOvDf/++I/lIztYoaiBzHsZW - yQKturFlQonqBpsJRCwbVNBLs1dc+NjLLrrcBCYaKIZY51IX0Z2EEhApdXL9t3zrJedcZjkYMFxG - HUqQcGmYmWwcb77KZakEZSDCFFXgZHrn5+783L2fzjNLidtsAjaFiGXEYGZnyect49LD7Hmlj0AG - dUAGMhnIuNIYpQnL9Uw7N7u899pzn/jy7/nRV3/PT3zr3pv4nvl4ePfjLnrKt1371NA2Pa7rwJKT - SQfKZYtWUgOjdD1KB2ZLYSofkhICwIHiJRddSspkJUp66mBaSjGuhhKUFAEwDqj27j0PCCLEFKcS - qVeO0Tu1buPDGn9ObQBCCGemVrhzZ0wIoW3b88477+1vf/u5557DxMwwSIwxcAAUJmZW1zWgWU5W - uvSkYoyTfO4QQl3XZqaqMcayNy0uLppZ0zQpJT8OOuecc84555xzzjnnnHNuOzEWIzD1er03v/nN - KVvq5FR/PnVPTLnaW8Y0veQlL+n3++UCSrmSEkKw9buBJuccY2TmctGn67qZ2dmXvvSlk2BpOnEm - 4mgwzmlMWMDcdu173vOee++9V1Xruk5pJapt5915ZmaHDx+e/Khyqu3hdBAwNztXVdWOuX5NE2dk - cktLS2dkOs65bcnoxKOkzCznXAY/AHjOc55zzu75ySgHNZ0+dk/yhm29g5CPOmYzlRhmZg4hqKkC - TLj+cdfdeOONZsbMIgKgzPNktKcd943bqSZH18c85jFl4zHF6odpnwkGe/DBB0VEYCISY5QN60pt - WaX94Rj+2yc+XnZbrAwwPhEijFseAq655hoR2cF559Pt86TMBwMm0qvrubm5Q4cOUeCsAqalwcCY - fuzHf/zuf/hCGC+TdtjhuBoiAMoo2RAjACK0bQJgZr//+7+/b98+AGxgjEbtTr422uFDh2ZnZ2+7 - 7bbveuatZtbEQEBV18fMv23J3G4AHIKaZtE/fPvb/vtf/Pny8vLy8jIRwViPGap9Zhaoc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xbJzv2lmbnnHPOuXVBUIaSKRmTMcAAK8EISrl8 - 2XSGIjEyMfMiFpd7R9775//poN4noTMiUmblYAgGUoZFYZbVd8dMNBLAgVFFQS/3nnTZzZfvuZpb - spSSGkIMkcgAYZawAUtlZ6GsNFUgyRhgNmaQCZAsCveonw/bLdc/8/zegSbPRJAAnXSBmBGISBVl - qzCjgABjIloeLoHy5r0xt2psRMaQksnNjz5wVZ93BWUDyJRsUv2NSwHWNUZrW5nWsQ+rZWZS0n+8 - 96udDS1oNvH07s1lgRXQLE2vGuTlrhl87K4P3Nt+UevETJWCBYk0jepara4WoAJiAIErAlRStgwQ - InpNu+upj3umDTi3XaluLKIhVBv0NncMISML/bTr2696mgxCr+lph8ik0yUpjYG1FJUsddNCBSKA - VBgDGn7kbz/wje7LOQ4zJbUEMmFFgIhM6kTvSIRgZtOpwFVVjZatOyHScdE7KX3LYCANUcLuag8t - 13Nyznl41J7hBdfvu+HF3/maH3nuTz12343ffdPzZnUPL3OPmpoCcgtNDDESHR8dDKzESlutMHjp - GJsRCIAaGV984cWWOSizjfPGDRjlcK/y5QkChAhJGlDtnT8/cGOKEttNVl5SS0S6ojQBZ+P2aWbl - 2GRmnivsdp6cs5nEig8c2P/Wt75l7zm7RWVudi7nXArgNk0DQFWJaA3H5ZxzCWCYm5ubdPNKMsRg - MDCzubm5MqF1zJ9wzjnnnHPOOeecc84555zbaEagGNq2BXD/Aw/+4R+8rd9rDDbJ2DshEQFRiNEU - MbIabnjSE7/1Cder6mT8ABHBbB3HS+SczayqqpRSv99PKT108OBzn/vcc3bvqUMkgEEwgAhEyOsZ - kcihAjBoh+985zuJA3HMOR8/LmLH5IYS0aFDh2j8/Ua8fvnGgNnZ2Z2Uy7jRmd3lcufkx+Xl5Q2d - nHNuRyKibtgSUVPVKaVzzjnnRS98oQKTxkVNbTykUnSdjqe28u/0yC0DmFnyyuj6US8CUMOrXvlK - TTkQMwC1yEd1Tjy3+2xTBvwcOHBgbm5m9MiJRuiUrudmjd0hEIPvvffeMqaoDNgLIZxtW2mJWh8M - BnffffdoaPGph1RN/UqAK664Ahvfrdo6JqNnGURECwsLu3fvLht8b2ZGYb/wi6/9kw/+adM0SVWB - ugownHDpWAnAzrl8x0AvxH/3679+3TXXQG1TBhaToa7rdjDsN82v/ut/M9efyVmauklttwlzsyYi - EmJUIIm8/OWvTCJV0wtVPGa/9sxu55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjls - t6SGnVP1xjnnnHNug5Apl5xd45LrbICwGpmxgMqXlVBPBZuRBRk2Rz755Y/+5Vc/LnMDVFk0MRB0 - /GVMxgYW4tWW5lFFXUczk6SzmNuNc7/j+v+hWZptNDIocMWhIjIyQSLL5FGap2QlXRKkIB0vKwKY - wGQIzL3QkyN6fv/CWTnnpsfeGgdNyBUYyXIIIRKbKDMkQBlQYzCV6O7BEhjjklBuewhEgRmBAT5v - 7mvH5VcAACAASURBVMD5s/sZMRKCjRoBBY/r7Kx9zdKoGt0keBUgVQURUcDBpQeOtIcsQsyj3zeT - kirUyCAgQu6nO7/2l5/86scHswsWJRCzwQxCsAgAayg9nAQIAGCQSFyHmqkO2rt49opr910fpalC - XYeYpevazBy9PT8FA5KmXj1bD2Yef/GT9vb3QerZfkydGSDEgFI5jhsbraV6YyYkG9WLy1Cbtc/e - 9zd//oUPtvUCz5hAABABUBUQwnb/yKXkKwMM8HSLNwkDhhEhmIEMVeXR8g9Lx1+jo0gJll4+MuzT - XBjWdqTalc+bWd47t3zutec/+fueetujz308H2n2VOfqMOfhoNfEWBEwFdpd1s7WaxmMoKxGamYE - JiXKdN7u81lCMA7KtFJGUE9cUPBhMcSUjHOLC87dD6ti7KmCjRhgCEhW/nSb74xrU/ZTIiofVccY - CVPVbLfTx9fubFeO2ga2o/dl0dTr9erAmrurrrryzW9+09xcb3FpsapCVQUAqhnQnDusqbRrCKGE - fy8uLsYYS4XZ8lL9fj/nDCDGCKCu6xLy7ZxzzjnnnHPOOeecc845tz0wKYxj9a53vWtpOBgMW5zy - HphR0LKaZoEhMBPwqle9KgTOOYXAnSQzCyGo6jqmMldV1XVdmbG2bauq6vf7MzMzd9x+e5Y8mmdS - mFRxPQctUIyaEoEUeOvv/u7iYDmlVDcNgJ2a3s3MR44cOeqtrGt04spQE2BmZgbb7Z6rTXHMJc7y - Y9dtm8hD59ym0Mkoi6kRkjHGcnROKZGh4vBDd7w4lBTtQMRckl+JGUQ2DoLdaCFGEKmqqjIhMO+e - m33uc75PRACoahmnUX6E53affUrnQUTm+jMX7T9QVvvqh2lvuBJ7f+83vsHVaHBRzjmE8LBP3GEM - JIbPff7zS22XVFEGLh7dlhjUJkORzQAQEICa6IILLiinEmd8xs+Q6Ta55HZPviTlXq+3vLwsZlXT - LCwtvvM//9FvvPENzLTctgpUVUhJAJAxJm20ATT+AmAIQCQ0Mb78Zf/0Bc9/XgihtPxMND25MzOe - to4VmTHokosueu0v/EIAUtcG4qPu2xrN+JYcykqYJNA/ePjQT//sz4Bp2KXjj7CTFHbnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOubOQmW27GjJnY3SNc84559wqKZmilDtBMLASAFNS - QBlKBjaQQcFGioqGtPxAvudPPvlfdK4bckIN0lEEeCnPwQZAdU3RoRy4zZlJamVe6t9y3XeeH/Y1 - uWIhIjIiVTNRMhAF5rjeS2MH4lGUZkEwViDlrterTbJ2qaG6kb4ejk+++qa9zT5OVQjRuGQ5G0RD - gAFGINOIEpGoS4MjRuo1wrYZJRibqWVrbNelFzyaMsb7OI8jA/n0i+yM0rsNStASFg+YKUXLPPzG - oXsyJfWNZ7MpLIQQY2zzYDE8+Gefeu+Ddp/1TdCpihkBIAYRjCCy6s2CmSlEVRGREAlAbhG63tOe - 8B0zadccz6MV0cSRQqjEk9wfDsegSfrS39fb/21X3bR8UCI3CihNPvpQHBf8+cgRI2cAoEDCuqRL - Mrv8ib//s68vfgm9FizlUFKKx61jKeotQ42mArzHtRHLB2FNrL3FOjU25um8aqiRATo/P5+SRG7m - ervzQHUY5+Pe0Pb2774CR5pZ2s0pUEYMZCZZumMODePydVtuezNTorKNBDImpdne7n7sswQyHhV5 - hQKjHtTqXpxAESmhH2ej9g7su0Raq7iBTArhKZtSWTzGWrreZx8zJQQzI+MYa8J0BcbyF5jqAzu3 - zdR1PRguLS8vz8z0iO2qq678vd/7D71ezElK2fqUpFTCXdtlGxFp25aIQghm1jRNedmcc9d1VVWV - 8tAiYmZVtZ5pEM4555xzzjnnnHPOOeeccxsq59z0e23qfvstbyYiMGw82uGEVgK5zQjIXb5k/4Xf - /V3PbtsWTDHGcjmGmdc3upuIqqpq27auayJKKfV6veFwcPvtt5XEuUBMKGOuTnAxaM2XiS1nEMUY - DfjyPfd8+KMfqZp6B993U9bdYDCY/Fj+W+dpjF+wrmtV3Xa3XZ3Mhr4ROi5APaW0cZNzzm1rpxh/ - VVoqVQ1EdYyS8qMvu/w7nnUrMBr1WlqbDQnNXRkvt0LHsb7lWFNVlRqy6j99yQ/16qaOlaRUhQgg - pRTjUfdB+Jj8s0cZekhmZnbddddt9uycisEeeuihnHOMkZi7fDYerMu6+shHPjLaR8d3RjySJ152 - 2WX9pheZd3B09wmRYZTyrtbr9bqua1P3N5/+1L/4yZ/kwJ2aMaoqTHqbx/cMJ8rJERme/tRbfvEX - XmsiJqop89RTTvH0dbe8vNxveoPFJTL80Itf8qxn3FqHCjYe/rwdzgPElJjrpqfAH77jP77nve8V - Uz06hd0555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzmGDq6+sux1bQsg555xzbp0o - yEBChhLqDBAAJZTQbjIOymSBLLKxkkoYDPjghz/1xwfl623oYg/DYYoVQKqAIRoioIy8tqg846CK - YNLj+sDslTdf82xdkD5XZqTGKpBsZBZAFODRvw9rkl9Y/jVCiVQXUwrIklRzr6qHC20T5nbX5z/5 - 6ptrnYMaEVTaACMKoqM6LGRGZmRZSZfbZYFs7rtzq0IGiHIpOGschvUV+68NGlgnxePYEI9+zmon - wpOzsNEmN64lxwwzCMTq/JX7viiWKHic5iajspI4dtz+1Zc+9rmDd6IPJcDAgLIJl1h3kD3SKmNH - YWJGOTYQSU6pkuaCuYue8Jin8FLTpBrZRDuKiDH6+fvDohBSJyFx1VY3XH3Lbj4vDwUB46zuEpa8 - 9tdnMDOYoWQWLFPCjHxzcM+f//2HDsk3cxCiQEakNCqmtu3x8Vudkeq4yh6AkgTMxjvlLW8YYzIi - 45KxrWTCKiHnIItpUas80KVBXq5n69DjYW6JwuJDQ05NTb00TJGprqthGoiqEZTY6OhVQ1vtcKEK - MS7Z3YGIGVXNvbn+HjYOyiXI/PRxjhfsftSeXecz6pzBFtjAMIKOlwnbaHGdvU1oOZSFEAhhshx4 - lJ5eerBbbftx7oT0mNPnEtUwO9dfWFiomEzSE69//Bve8Pr5+X7qclMzE1SEjn3usa9zMiEEZiYi - VS0x3iW9O8ZY13X5gxI+cSYLmzrnnHPOOeecc84555xzzp0+NSjoAx/84N1f/FI26/V607+l48dD - kYZAAAKHiomA22/7wX6/T0TlYgozK5QIZusZZCYiVVWparkwlHMeDof9prns0m/5nmd/JwCDMDMM - WbpjrpuvXJFeywxpCJQkGxA5/PZb3rI8HCbJ5eozWfkaXYrdAUo0ZkpJgRKFjo25/lVevKqqEha+ - EZM482xiA175+B89uts5typlZLuqmhnUYoyjBl/15S97WRUDMP4tAwSDUeATdQXWNvkTP1xGWeSc - gJJKKwD2zM285I47lhcXyQxAOUyo6gnHJfpQp7OEmZXBOddffz1KMvHmjdA5xW5hsKWlpYMHD076 - wdvr3vLTV+6+ySp/8v4PGEZDFM1saoVNjdeiyf+j72644Yby9zumd/2w2EYDW81Q2uA25d7MzBe/ - +tWXvuIVg5Rb0VgHI3RJUtZev0+AiZ5sOyyPP/qyS9/0xtcTETNXVUVE5T4VTOV2n5mzmMjBzGZn - Z81Mc/d//Z+/1qtiHQJP5n8yD+t1xFlXIUaYqeqwHdZ1FUJ4zY/8cJuSEZWbiiY7+046K3TOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecW7NtdIP1Dil545xzzjm3cYxyCbRj43Hm4jj0 - DgjKQUPJIATYSNr6yD1LX/jLuz+q/YSIYYdSZrAE4ymxEoMUUIaSrbp+VJdTv19bZ1Vb3XjVrf18 - zu7erpw6CpFixcxMFi0wR2FrtVvPcpg7DhkYmORHTuKZlVD362EacrSZ2WYwGFRVU1HPBvHJ1948 - R3vRBTaYWGCEEFKCAjCYKSgbYMiD3IqtMaDdbZZAMSAwI4QqSnPxeZfWVvNKzHbZ9xk47TpBpMe8 - wqihMEGt3zx0X2eJgp+vbTIyztINdLlthh/6zPu6/rIgBSAQmGEBajABKxgUaHXrywhZRWFMiARi - QLCr2nPFhdfGrmlsJuQ435szzp0sJ5XAlZ/Cn5rmPNPvk5Es2aXzl127//GMykZVsZihIC3FKIG1 - VPvSpHUIYCRBthwbHqSumuO/uOuj3xx+fYiBENgQDMwsqz++bxfTVQWJqGyWTHHz5mh7YGO2sFJ3 - jsxIhDM3EBZU0JgHeZiRKVCX00wz348zeZhnev2sKUkmjlWvr4gwKhHgAHglo3rLYeZSTpGNmUKg - araZoVHiO5Mx22h/XNvnqDUBbbho78U1ZurQ7wZdCLFUSGcYma1LOvj2pFoWrFkpuciGQCeoY+vc - tta2LRG1bVvVwczm5maWlxef8bSnvu51v1LX3HUrbWOMazlIiQjGpfZL7ETbtiGEcQnpXFIoyh+f - bSV3nXPOOeecc84555xzzjm3fRkhNvXSYPn/+b3/UEcGsLQ8nFwEORkxJYDMVG22qV758lcMlpZi - jCLS5TQdw6y6bpewmbnrurquc85d183MzJSLMpLSi2+/gwETUynp3SdLB139VA1100jORESgpPJn - H/vY1+65h0LYotfmT1u5HFZW3Kk3g9M0un5dxhJsYvbmetuY5O4V08uqXMR0zrlVMbMYIzNrlpxz - r66bqrrlllsuPnDRzEyv/E2cjH9Yv+P4I5w3yRqYbrrppov2H2iaJsbYNM1wOCSiuq6Hw+GZnB+3 - dZTDa+k2XHbZZeXBwLTGsYYbhxhAUjl48KCIlLjxndTPeYSySpfzX/31X+uoswc8XH+v/NbMrrvu - Ohs7U/O7+abznomZY/jmgw/+yD//Z/947zeygSPlLABACCEMBoO6qm289R+bfg0AOHf3rv/3D/5w - 9/wuMhsuDwJoJa77jG+QTdMsLy6ZKqlFDt9yySWv+5VfEZEy4nzr7x6Sc/mm1+8PutSJLC4NfvTH - f0xLUP02eAfOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+7EPPfLOeec21pKTToz - K/UxQvCItU1mZEqqpJMA7PI/G6pQI1HQ0KNetAhFICQa5NlD7/nE2xbtEBp0GTAiITJSgjKE1AAF - 0zg3mlZTZMYIBqigtnhuve/brripn3dJJ0opk4plmAQQaTRBJkGtulUDHbeGEn7JBIzSu8dVbTpN - wlmDDmXAFSnMzBj13ubCJ1z+5Ep7pGCCmeSkxFyexgRGpgiFHF44xFVQr82yrYhICKHLyQR9npmj - +X279yMd9TdGp5WTOmlM2EBlpyaISIwxZyhEQ3f/kXtjE8+qElRbEBtXFLImnR1+4nMfvmfpKykM - LGuFQAozKAAeNeOkREqrPsUOSEn6dSRDN5TZZiYu1jc//umVNoEiJ9I2GWdUBgBntiTidmRmqgqS - ikKzPPOUx9xUWSMKNg5aMjWVJnG+q1ycZBwRNZsaKIDYDEKEhK6Nh9/3if+cezmHLCkzAqayPHee - UsQthgBVE0RiBq82uv5sZBHgUnfOCEoQgrIl64yTcTYSsCqJceYI0TZrQrTWhhYpkyiHTgCrDPXo - pUiBydfWYiZmEkOtCoBNQBabepYN5QtYewU+MkBhHeZo1zXf8jgZGBCqWB9fAp5tSy6dM4MphCAi - RBRCSCn1m4aM/dNgt2OEEEqJXiJSy1m6qg4i6bue/R3/6l+9LgSYoWR2S84xEJERWXniJBXg1JNQ - 1dIhnxSHFZEyxVLVOqVUYgzOwpK7zjnnnHPOOeecc84555zb+pi5DIUyMxFR1aqqzCyr3HPvve// - wAeHeXSRlYiMML6ofQJmFjioKQM/8MIXzfR6s/0+VEMIzKwwZs45R2bagPFORERE5epnCUR82lNv - ufaqKyIDk7BwovW6et61LYegqgITgAJ+601vbEXKnMQYh8Nh0zQhhJzTDrhMFGNs27YsxtFwtXVf - iUQA1BRAXdclhnOdJ7EZypa5ce+lXA+dHgvRdd0GTcs5h6kc2dU+kYkB2Fa676OMTC7KwIbyPYOg - JilH4le9/BXDwTAwqirkrEwAEKvxW6CNilflEtxqgEGyMqBqL3/pywCQQVLWLGVJqmoIYfq9jN+F - 2/lKb6H0MJ960801gwCR0lE56i/t2AfOFAJG3RsCcNddd9V1XXY3sR07YrF0xXPOpXMe48rNDh/+ - 6EcGXWtAzqORVKduEolGw46//YYbymnLDh5/Nb5DkMpW3eZkNDroqCpXscv5p37mZz/513cmAwgq - BqBsRyICoEsdgAACQCAaN9IxMAEReOtbfufRl10OVSLq9Xopdxhvh2c+Gd0MzGFyNppSesHzn/fc - /+l/XFkgADNXVQWAt8yh83iDwaB808He+V/+67v/+L1iJqZV0xPTcpa9g+8XcM4555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc8eY3Ii6M26Wd84559YFM7dtS4QNrcGyjvzWUOecc865h2EEOzam - VwG0w9Sv+5ZosNT26n5KXWctz6S/+NyHvjH4ssQMgIgj1YTKlFBqA7EKKwDYOC56lUVmmDmgwkJ1 - 0+OePku7ejQjSau6tlGisAQzMoKxUTaSszav8BGLAMOYYOMgSTXACAoIZ2ETziUBnTVyWz/56ptm - ZJ4H3KsDMUSkrmaIQAYiCBQEJWnTckbe3PfmVokJrDBjAkAZNeq9/b2VNQYeJXaTArCS1rzKV58u - HscGGp8wKrh8xsoEIks0HOTFNrWe+77pTJRruz//40f+/v3SSxS1itQty2jdjdcgK8iYJmG0jxgR - YkQ7yL0Y2MC5unL/tefX57MFNgaYjLdsLu8WVIWYUktB61jZEb5i3zW7m/N6oQ4GgpYVJhAAxFhD - pSw2JmUAilH2MBlAOuQjX/zmXV/85udbaqvZqm0HMUaTHbLKTrhVlw+8RmXyyrZKW7d02tbASlAw - jI2gJzqAlEjZYCBjNgAGSqUvZ6RCUJAhGkKpuUcGNiVoWUdb7YhRPhg1s3LwMzNCCKECcFSDttb5 - jooeZuqu/+gLr5yNc9IJM3Opy35Urdaz95PP6Q+mTYlttM+u4Wjl3Hajz/7u7/z5n/85ZuQMAERQ - HYV2AxCRUgt1bXUzSz3rSfh313Vef9M555xzzjnnnHPOOeecc1tTSYYrlw5jjCU1zcyapvnN1/9W - GR/FxESUVU6R1swhmKqoBCYAL7njxWS2iRHCmqWpqh944YtMwUCvqdY9sFFFYozEDEYSvPNd/0lM - FUgpicjc3NzCwkLXdSUKfZ2nvUlKKOAZsPMurk1n4m7cJDb09Z1zxZqb9MkTVXWzdlha7eBVw/9y - 24v2zM9DkZMQQER1FXPaqMPBpKEUNQAciAglxfvKyy6/6cZv503KX3ZbExExiIhijE3TXHHFFZFH - se/AZoV1H4eA8WH6/ge+uby8rAQKvIMP3GWsVNM0ItLr9bquyzkzM8f4+3/4B+W+KBp39nJKJ3sd - YhaRGLiJ1eWXXz4cDmd6/R283IgohFBOH1JKTdPEpu4kx7pCDEnyv/13v/72P3rXKI775J1lg/Xr - xmBVrAAwQbIy8Kuve90THvc4MiMD1Eit7D5n7h0eLaVUVRUZAjNE28Fwbmbml177iwcu2FeNTwZM - NaXUa3p6pk5D1sbGd3EY8BM/+ZOHFxaz6sLSYtM0IYSZmZlNPC92zjnnnHPOOeecc84555xzzjnn - nHPOOeecc84555xzzjnnnNsKtlf5nZ1W+MY555xzbt0pYRygqwxlGxWPIiIzqrkhCsPh8sxcM7CF - w/rNj3/2Tx9o77EI0xCUGQTAiG2cAm6cDWzEbKvujZW0YOr4vPrSJ1351FoqkqyGjFCCERkZpAAb - kZEpe3T0qXFZK6OfSKaKOXH5jZEamVFJ76YGMxftvezKC65r8qwmEbNQVyISLULAjKwGghEWu8Vs - na22HJrbVMxsRsxMRJqlRn3B7kfVNAOwjBJ7FePQVbLTKv7FANtUfqkaA0SUkZa6hUG7TPAo3E1F - amTUyx///Ae/NvhCpsRWaWd1hIFhkQxkMECJ2Zht1efX5dODnGGKimJo4xMuu34u7CFjJTVSI1WC - 0VFZ7+5kiMhMMykR1bm+cHb/VRdfG1KPldlABCOMlquteu9iA0En65gANgQFAI12sL3/E5/5aO51 - A11u+nXOXRW2/f472eROnPJrDGMYjRbFlkuO3nLGnYqVZp8AVmINrCFKjBJrqepcNamqJDBUKSuL - cBZWIxgiEMqSJwNDQVs3IZ54lNw9xkQcYwT09HunrEBCLTOP2Xf1OfV5SBQQOVDOo1fWUX73eJcl - PSov/KwzWg48SoUvj/hnwm7bUFIlnTornxyDTvQFROK9u+Zv+4EX/uxP/wsA5YBsBphpFpTavsxm - Nmk0ViXGWFUVxjVV67o2s42uxe+cc84555xzzjnnnHPOObcGZhZCKBcyiIiIcs4xxvsfeODt73in - GSlITA0GJZx8JMUkTa2O1bc/+dsed911RFTHOJrK1OC7M4AMkYmBF73ghbNNXTG3bcJUtvHpjeda - eYmccwhBFAo8eHjhHe94Rwghhoo55C6ToWkaMwPvhPESk2tnBtuQkL+p0QNxvOXsJKO9bANeeXvd - nObczrByQFn9DrjpgwdWxjc+ghGnu+d3Pf95/3MdQhMDABHLOR93EOWprzXMDhvYwNPLhRgwaB4t - 4CqEO26/bW5m5vjnH9/HKO/rkbw7t+2pqWrOmUFVCDfd+O12zP61ZbYBM1PgK1/5CjOXVGLdOjO3 - 3sqwq5wzEYmIiMzv2jUYDO67774P/umHDBBAVQ0WRl2+kwzdVCMAao+97rrZ2dmqqtq23cHR3Zql - G7bze/aISJLMMSTJCLzUDruc3vaOd/7rX/21svSIMLpZ7UQbkYEGXRsAyalsagF40XOfd/vtt5+7 - d6+kFAgM40DMPDkkneHzNYy3k5SSqlYxzM/OLB5ZuGj//l/+pdeqaiSqAwcOANo2Ba7O6Mw9Ekef - Uto4wPuBww/92I//eG+mX9f14cOHc87D4TBs//sFnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOefOHh7T4pxzzjl3Kkql1sZUr4kMUCVUVT3sEgLXdWy1HYYB79ZP3vXxrx35UlcpM1Mm - MphZNtWpEo1Ual2uPuQVABvHXMdh/cSrb94VLmA1kbZqZoedYFSRasfW+tkIJXNXiceZjsrIo3pe - NqoXNlmgRiBDJU1Yjk+55mlNN08amWEmppmUSQFiQak2RoNuIeng7I6K3JbMrBSrFU2B+YI9BzjX - BjYCQQmqrKPgwNXvbSd6BhuYEFRH1emUcyeD4XC4g0tQbQtKlkP7YHffn9/1YZ7PipxzZkaMAWAY - szHZOIt3rbmwIqh7yFlr9HZVe67YfxW1zBqNIGTCCmMaHS+8MXkYWaVq6pw7Eek3/bxkT7rypirP - BA1MRuPsWpipYvVFMhVQMkXJvrWSp84AqAL17G+/cueXH/r8Mi/xTEypJdsJUcEPW+CSAVOCMdEZ - L+22PWkJ2y5L1oiN2ThoDMpBmSWwxqCRlWlUsnAUK2tgo5JKywRw6cIYyho4WcD6pjMzojA5nDGz - sqAsB1KMl8RqS6mScSUNLccbH3sTLQXqEJmTiJKe4KNOUtvCGecbjYjYQEbTK6Is8C260Th32kRk - YWEhhPCKV7zi1a9+mchKEIDBMM6lKI+soXRmzjmlBGBubm66fVuXmXfOOeecc84555xzzjnnnFtH - ZRBUyWwzM1Vl5lDV73zXHy0MB9lkemzSKa530Dhrueu6H3nND5OhCqHruo2e/5POjyEQPWrfvuc8 - 5zlZFUBd18emOZ4GZi7x0uWqkAH9fu83fvP1ZiZjTdOUZbtjkpXLmx3ZyEFrVVXtjEFx5V2UvawE - n2/Q6x//oHPuDHjkzTtRGUYKACKyXfZTBpYXl374Va+uOOQsDMRApht6BBiNoDYFBwJQRSZg99z8 - C57//cPhcAMn7LYtzVK6sjfffLMBBDCw1fax0gJ85jOfCVW1g0O7i3JCAaD0f+q67tq2Pzv7znf9 - UStZym5OQGlFT96glDbWzJ7xjGd0XTfb67dte4bew2YIIdR1ffjgQVWdm5vrcmq7zpiafu/Ov/3M - P/uxH81AqIIa9CRb0Kj9hAEIgQkgoMfhyssu/99/+RcjczsYBCIiMrOyjjbxPIWZzSzGyKDl5eVA - XIeoWV70ghd+3/f+EzMTUVOJHGAmIps1n48IjTdpIBve+/73ve1t78iq87v3xLrqctoux33nnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOuY2zjQrweKSEc84559wpWSnywwCTlRjFUXp3 - VjGirJKR43w81N6/wA9+9NN/2oYharAxlCKxIQll4wyMgqCDAVAlVVp1qidZrHOzm895ytW3cNuQ - AWQcK0LFGsKoG1qihY2MgsR1XRw7kE2+OBMySBlKRmREFsZfRMZkCMrIOkPzV134uPNmLu6FOQU6 - yVVNrBJBJQax5Gv+/+zdeZwtWVUn+t9aa++Ic05m3lvzrYEaLKAGCoRi9EHj1N1PQEBAHiDFVFRB - FQ6vFW15vGc/HLAVsRWftKIiAgrYgp/PQ177abXVbrAUlQKREouxKAYZa7g5nRMRe6/1/tjnnMw7 - 38zK6WSt7+d8qs7NIYYTETt2ZOxYv4xu2C3ifpwWOYuMkJFBQkSMRGrnzB+yFBWsBDKVaZlX22zm - 5TiG+YikUiEmNRhARgKl3HUdqZfy2U3KKfVXP/yZD97TfmOkyyyaNfd6Mhrlkp4LMI/jd9Uorkg1 - bgAAIABJREFUKaeNRjULQASOYioY1Vdc9JAzB+eKRjJSQpKURI2YLEzSu92JkapmEQFYFcychvmy - M664sL6MErLlDBiBIaTMtuE/3CjBWI2UjEnD+PglBaAKjbYqh//yo3+W5vVwPhwiaWr38QFsZkfV - dPPI0lMpHb9xE1E6hKWIJI1j4ImMAObJm8nJYvzfyQ+Un1cgEbKRGcEQbNxZ3UPImI0BNiOM20kk - 7cqbY3pHG1t4tlDz3Bm9cx90/hUD9HtSW9aU26qqxt8n0nGhTmy0Zd431h+n5Q1zAAh+QnGzTAnH - dJAZGFcjHb/Y6l7Mueu67tWvetX1L34+ABFUVSh1MlV1XPGTkG3DTYSI1HUNYHl5OYSwPgjcOeec - c84555xzzjnnnHNuDyrhbeUGYq/XW1xcfPOb3ywkAIiIOQACQI+MTKMjpwAgEL7pkku//Vu/VUCW - VYjJlEwB3eHbsiklBq0uL//AK75fiMpXtjAn0VRTSiICQqgiCMvD0afv+Ozf/v2tkNA0zdzcHICV - lZXywW7ZjHfPNoVPHz0XEIAYI3Y1SnA7lE9vB24bisi2z8O5+7f1AwA20VLtQFt6EmRrr9NRx3jl - Ax/8bd/6rxgQwLKJ0BY3z5OP03DEiTqEAMCSMvDU737yheefH+W0nnfY6Dq6mSYiRFTGpj784Q+v - jt1J1g8Y2iVMDMCA2267rW3b0gjs4/O1maWUer1e6S2HEA4fPqyqv/PWt4YYULpDRBDRnE85UFuB - b//2b2fmtm3rura8b4d65i4xaK4/YObDhw/3ej0LHHr1bbd/4rnXvUCFINR2GYQ4HgS77hmnyU5u - QBmpnbJGEQbmBoO3/Nabzz/nXG06Zq7rWlXNLOecNEs84pAxWnttNyLKOZtZjDGEMBwOqzqGIKvL - y7/yy284+4wzowiArFlY9uDAu+M0KpN/tzn/6I/92OGlxdXRsMta1zV4D66Bc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84559wOOTa9aI/zsBbnnHPOuVOxAFtfQEeBkj6oJGzCHdvQVquz - 4wf+4c+/2ny5C8kYOWc2FRGwaUBmA8CGmEEGRgLpOLdxI71HNo6pvvzQlecffIBYDZARtW0bOYiy - qLCRkiplI518xbt8J6PESqykpbwNmZGBjdkCTV5AKJnrBIiistjXg4+84vHtiNoWVQ8ptazGkGwE - knGIJncro8Pq0d2zw0jz+JrOACUCLB/on8GpGkemTirxGJXc7k0cXLy+4NGkeBwDIGMYyGAMI00p - zda15f6TuVvkez54+y1KLTOMc6xp1GXhEhupAJSYjMmgjMzQjewRZIBCiLqUiYI01cMufyR1EjEO - 6k6iiQ0mrPu2iNsWE3TaBamF42o3qqt+3R645pJrgwUQ1ADjYBJRbaZULkEJyiDjSSbxuIRZl0HC - 1m8+/oWPfGnpi600MQrvh7Z/fXT08b5NBGNYCZrei9XT9hQ2AMrQac9vff3QcQg3aSZLbEYKlN5I - aWQYYDKQMUMJmZBBCVCAFKzYc62EmRERQcYx90Qp52HTAKp0ROeXrOSUb+iUytrQw696ZJ/m+zzQ - TgGt69h0IwBGpSr8+gnuhwPyvvN0YXc/EULIOVdV1TRDZrz61a9+3vOerYq2TWaIMZZjgYhAdMoS - scfKOTdNQ0QiYmZ1XfvB5ZxzzjnnnHPOOeecc865vakMgppGHpabGrfccssnP/PpZFmBpHlyA/sE - 9zvKt3MGkRpuvPHGXlWnlMhQx+rYH2cDsO1pmlWIXdeJyEOuufoxj7qWAdOtvymsqlgXaG3Ar//6 - r8/Pz4tIzllV18dJzjoi0m34DI+rRHfvD9NDbPvCesthO31KrYTdOue2STnQpmMATnME72QQAgCU - pNLtWr6tpik3w+H//oM/RICgjJg2ABt6ruGETjySghjtqKNJIu1NL3t57pJ2ydO43XqlZ8LM5RA7 - //zzr7nmmt1eqONQUwAMHF5e+spXvlJ6hjnn3V6u7VJWMKVUeo8553MPHXrPe97zmc/dMeoSlaGh - ZuMe8kmHVDFxHeO1114LoOu6GOMMtZ8bQgYBWcqqmlI668ILlldXh03z5a9+5cabb7pncbHNKWXr - zw2IuWtbFjl+O0zIihDG+xgBv/gLr7/6iiuhVveqKCGllHMun6Sq7uJ1SkopxphS6rquPxiYWde0 - zXBYx6qqqt/6zd/MOferHgNZc6/u7dZybgyBRYhl2DY33vhyIwohkPD2XQg455xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzu1xs/iA8H4oFeScc845t50YIDImIzawGUGNFFAObEyKzBVW - 8uI9zTf++mP/kwbWKRsImmFqyApYQAZAEAUriwIEIzUEs8poA10yVunr/GOufhxaRAgsZDMFzExU - WEvgq2XplBIZy/gr7vhKeKSO6wIpSEEKTJJZVcpLdBzUKorIYi2k6T/iqscJ+kYIESkDpgwyI6OY - LSQDgi0P7/G0yNlCRGBSVTMjzqTWr+YEtYIV4BKtPd5hePK6L/PDJLfb2BBFyhcN2aO7d13m9LHP - 3/qlxTs0Wz8MMoyjtR0k9hgKaCY2BFFQSYrljVcsVKiaAqZ01uDQZYcepAkAs7EBneROlCyQRb94 - PyUFSDhpZkSBtNaEKvKw/7DLHlmFGgIjmBEUAuaTFIY8sXLss0FUAC5J3sogQMENrYzi8i233dLG - lNBGIb4/HcGeWnoatJxEpozMSI1T5pQ5taFrQ9eGto1NKwmAjPufwkalH8KY7nep9FsUMIiBdY+1 - EmbGRERkZoAyQ1VXR0MlgI6uzbrRuqtkmO8vXHvNo7gT6oJ2SkAI3KXGSMvZ2cCTE7YCVjp49x9H - HZJHVRZ2btboMZdUfHRX3Hj8AnKXUtu1bTs/P3/48OHBYPCa17zmSU/6t/1+XX62hAFsuqctIqUu - cKk/2zSNp3c755xzzjnnnHPOOeecc25vKndsy3/LzZHFxcXf/d3fLbdJggSMQ69L4DYBIIDBfNQN - aBEAZ55x8OlPfzozM3MIoWmanV2bdYsTCJbrGJumueGGG7gM59jSe+blQ4sxwgxAr18b8Cd/8icf - //jHq6oajUZE3Ov198fgrulOQpN/buvsSuT5ts5iZ0zXYhp8vrXrdeyNfmbeT8Hnzu1xp9MYTkK7 - 147T3c3vJFt7Hcto7VV+uF/VZHjUtddec8UVGVaGdJVQWADTYRhbhAEuw8ZMAeDgwQUGvuUxj77q - qqtU9ZRN6Pr1ul+NzLzfmnZfc85mVtf1k570pN1eqOOjycMAH/7wh4lo2jHYl5hZRJqmqaoqpZRS - Gq2uvvGNbyyNBU0uIsafwEmP68D8hCc84cCBA1Bj5hIHfqIWbNZNB5sR0eLd93AIvUH/plfc/I// - 9E+xjlkBwnB11cxApDkTmMDH/fhSUgIqCS994Yu/9xnPjCHktrOsKbW5a8lURMyMmVX1qJZ/x6SU - QghVFXNOzeqQmauqOnDgQNs2/bp64hMe/8LnXzdqR3VVxxBHzWhHF26TGMZZc9Lcqd7y13/93ve+ - t23b5eXluj8j0ePOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc1tnWiJg5srv7K1c - H+ecc+5+Q9f91+19bGDACBlUcrvZCGYQkdVu1HAjC/q3//T+Zdy7astKyhxIYOhyzlCTSa0TJRhN - AsYmhfuOrWzFNq4qNa2TIgYxAGANB/m8R3zTY7lhUWYOSVH34riQlZHR2qTZQ7tPz6TEDxuwfnOs - r1Ez/WrOOYS6ooWz5x9w4RkPXKgODpdQ92AEk5xNiQhZSbNKXmlXbV8WENrHGFqu68zYIsAxzDGH - 0grotIARAIBM2TbWkhOUoJnHk4KBTQlqZsYEDgYyhZnl3M3c5eUMIC3prdOjexzrSmsvAkCaObdx - eMs//c/Ua7jm0WikiqbBYBCbpimJudMgSbZN1rRiFk2oYwgWLz7rsrOq8ysdIAeYAHxkUCX59fsp - mRmMLalmCrFOKfesd/FZF/d5UHFksJkBZlA1ysBGs9anG9kIk8LVYEVV1U03aqjJ9erHPvt3i/qN - lroEUgIAJdWy45EeE1g8nbTuwVxhIzXCSfqra5+f8f6oqrytplv/qH6BTnYSG79MSdd1HpgMMGbD - pE0wne4tVkqaliqHe2sXKoUzjYByCDAM2nWd0anObZNPan3RwJLFLRrYAgDSeNnZV1168EGSIxmq - quq6ruu6Xq+H9bvs5GO8X+6d45MdE+m05G45Tk91aDs3+7iu6yDSNM3cXH95eXGu3/vFX3z9ox/9 - yKoKqeu6rkM5IvQ4F+OnlHPGpEBwqbfbNI2IbP16OOecc84555xzzjnnnHPO3Tc0YWYK60y/ce89 - 7/uzPy33nvN01JMBZuV+oh05WmX83ZRJ7RlP/57zD52bcy7ReiGEY+eok7u926ppmrquR6NRIH72 - s773rDMP8onuC9uGB4cAIGYzI+FyX6nM0YDO8u+/591NTr1BP2nuuhaA5dm+90rj3PYSOUk7MDiJ - tzZlfaecfBDoziR0EpHsxCZyzm3eNGy4KM8ClAZkDx69bdtGll5Vv+QlL4nE5ZyZkp7gnLrBhs4A - 0uOehWOIBCwdXiLgppe9XIjYNnZ20PvlaLD7G4kBZQxhzlCLxN/6hH8V1++d63eD7R9uv9appKO/ - TiIKZOBDH/pQ27Zg2QfjiE6U96yqqlrXtREkBiP8t//+Z7d98pMZIEI2FRYAKC2hHq89McDABNX0 - vc945uK999R1XS5Ypu3APnv4pnyMqhpj5CAcRIEffuWP/OX7/8oIo6YjAjEkBJQHWEQA5eO1ugT0 - YmDgoddc87r/+HPCGI1Wq6pSVRGJMYpIiVSPMe5ihHzd7y0vL6eU+v0+gMDStu3q8kq/rrumJaL/ - 8BP/5+UXX9K2DTQF2YNnyGOUEzozACYk0x/78Vd9+nN3nHHOOauj5jSnUZLp99nu7ZxzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjk3W2bh0VbnnHPufkcxKVcyo1Xq9hfOiEbMSEQtoDDO - xGqRIaoqkRusHqav/c3H/2KV76WYiE1Tl1k1wNgYkA4xgwwGJNbEqgQyEBKhA2nplbEBYDYOiqAg - hhEywYglUWWBmbULT7zm3+Dr/QU+I3dgoyiUmhEHypyTZCUYmFXYeJoEuduf4d7FNo5SZisFPMWI - DDyJz8ygDMpGWj7MzDCW1WGnqK2bf8yV/4YOH5wjhqKJGIomSoERchvZjOnu5cXtLkXqtpACiTIJ - ETQiiEbWHkvV6/eDZSmHMLGWum8bj9qlkssNVdbEyAiGQKSCRGRGGKUMrkghFMzMzwBbjFTJlIwA - NiZjMi6NANhaaEcmMaDLpl1bj+48/Lk77vr0KK6OZCWHHBhCSG0ngZR0mvetpDrZHTZYTYktWS9G - 65KN9KGXXhtW5g7Q2TQSRQBQZVRZQV3mbicKy804BqDGYEI0jlkFWWq1XpaHXPzN0sxRF6NUxF1G - k0yDHKeE9Mmmb4iKqFBCYlMGACkn9wxjQ0QblpbynR/95AdyT1dM1SSDjMw4K+WSPTzd9wAGmIBJ - DrjttejlNaRlccsSGgFM2ZSCJNNSvnkflBrcbjYpOWhEk3hqgjFPXjR+EauQUel7lF8BAOi0T2Jg - RTRUgLCBkIk6tr21/0jkZArhBOvSSILl3C0tHZ6WrrSSmVtC4rksPGNS7bGUfczEWcQIUNTMaCjk - mBSRBs/4X66jry0E7ndIOXeRiZRMS0y1jtt2KKBszLaZdN6ZpjAiYwOygqTUq40sJTk9syoDNC4Q - 7H8fdnvcpJ3E9DUJjFh7TS7fFAARqcLMgojmHKN03bBX8Zt+7Vcf//jHMQNmIQQzApgplMZn/AKY - Qae6glPVUmt7WnQ751xqyJYvTiuf7mIJVOecc84555xzzjnnnHPO3X9Mx6sYYXLTRAENQrAMNQAm - kpje8Ftv6oBUIrrHNzImN1wskYBkPAWSOB3YUBEi8AM3vZxyJkLWJCJmRmt3unndAmz/+nJI2YQD - GYnyjS98aV8qKAgsEkGQGMDj5Qhhw/dD1RQEUx2P4jWoQoFMeNNb3mxRVlNLQjnnmgNrnvX0NSLK - XTpj4UCGlX/CtnSVjrz9Njc3N1s30abbd13YHgOcNDMzk+Ssw2EDoNMt+uAIoHGeerkFGVgI0Jzn - ev0tmYNz7riEoyqIiIiYOaWEo9uwI0wHDAAwgwLZdGdOhcdfHjph2i7WNWLTZi0GyZq6rrv+xS+u - qkqIaJy5ywBPxkhPx8puGE0HeNDkBQaQuo6BGnTJ+Rc+/SnfbW3KmoRPMYf1a7dTH/K6hR8rg0vG - XQtmAqCqzNhwtPn2K1G+qkpEqsrMZXDLbi/XaTFClxOCpJQGgwHlnJvmCY977PnnnjNeAQIxw5g5 - jvfYbTce9Avjo+aYczaAiP70v/85OHRJwWIEZkz3DWY2QtJ87HT3YJSv0dquPz3Wxk/ckAGaNLcp - IYTG7D/8zE+n8eUEMGkSsa6zF6pYupiTLzABbKiFn/rdT657Vc4JZEftn9P2ahcb1dO0vl0i4Wza - 5cRBABWhth0B2mgK/bpJqU1ZgV/9z2981395t06eGTSDZeQuAYCxphyIAdBktG2IEQAZBNAunX/w - rHe+9e2aO2YS4WQZTKrI2YjEslYh5i4x6NiWf2fknGIdwdTlBMDMhFhENOVeVZHpOWef9dqf+SkG - WLPmJMQA6roGAHCMNcAhVJML0vJaP8RvB5XB32Ufz8rMACuwNFz9P/6vn7h3eQUkAAlx7lIVopml - lMpI+/V7L60b/bjXDnnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrn9qjzVbmbTwtHMvOk8 - BaK1+LDpBJ1zzrn7rZLRwMzM3LYtM2bl5OjRLM4555xzJ2OTijPjAhmUlbSkVqpCNSkl9LqPfOJv - 7k1fzdxlLmWqUJKeASUDK1gBK8WrVGmS0WlKSNPSG7pW2gYgqEIVRhCRwJzbzFr1ZHDlxQ87ozoL - HZOidEDNLKV2HFc2ngYDvPdL1ewFk/g3jEsprSU7HpkGNw7OVWE7sNAPRj3qPejCq3ptr2+1tmAG - CQKRmEViMTJGe7wSS24vUzMjsIHUkBkISmBmgrIBYEW4L5d6bCBACZmgCDAmKyWEVKflaw0CYQHx - bFxVzgod/0fXf66lmmrSzIHMrOs6IQZbqpq/v/1vUmgyt5mTkq4LVtZ1k9TpdDZRRymEoMlSg4Xe - wUsveKDkWhsNqMp3RVmmxZ68MT8tzGttOJMxwULmBz/gaowqZMk5G7IEMHPeeM7xpAycri9FycZm - xpGMoYBVo9s+c+sQQ9RQpmkhObb1fyc6tlCgYg+WbiTosWni08PA98lNm/Y3jgyTnhRlGyd5Y9zx - WG/aLYGBYVKmwKZ7LbcbQE7lZmRmRqx6TdMsjRYzdUa21qCVsoOEyf6vWFfzuqydqpYClqaILJZ4 - ng48/PJHn0nnzeGgWDBSIis3LG39YbYW7ov7W2738R3RxYWVNg0AvLfh9pWyP6/brXVaS3Zurv/G - /+cND3vYQ4hQ6msLix7TfpqdOrr7REpGBTAeRZFzng6ncM4555xzzjnnnHPOOeec2xnr7+Y3q8Ny - 30RhYF4Zrv6XP3xPxhGJZ+vDz0zViCYjmVRCGKdTGp70r7/j0osuEhGoVlXVNM3aY6V2VHb4dq8i - SvZkjLGSMBoOX/LCF5WRNcLjmzU5JZjVdS2MnDZ4P53W/Rdrd+4NUMLy6srv/+G7uYplom0zrGO1 - Feu0y4hIRNY23XbeRS7PNm/jDLbBiUaFTff2cv9x+4zvQgKbfhTcOXc6bKK8n1RkOPEvTFqzyQhz - 5JzHv35kO7c3QzpLUG4lQVVvvPHGbFbCnrdq+nxUwGr5h3GQQIDCbnjJ9ZayiASW7W5It5Dqnhuq - d1yz8mDziZBI2SFT2zGIQWx4+lOfGsp3mW1SM4UpCO1Mevd04da9pZIpjGz2uTvv/PJXv5JMu65T - 1ZxzzlkniCjGuHMLeZ8dt1efUgohEFGsKwXe9Obf/ORn78gnHQCduq68EYnMgYDIAuB7nva0Xl1Z - yqpqZmvDrtbtuTM3eL58PlVVlUcSuq6bm5srO0mTU6e5N+j/yZ/96c/87H9MZnpsp9sAgAA1ZYLB - QIhVlbquV/cAVBRqDr/yhjdcdP4hITTDYawEx4703m3HvSosp8Ll5eVeVbfD0Xc/5cnPf86zDSDA - oCLSNE25Kum6joiOe17Y3aF4ZedPpiFEBf7iA+//1Tf+WuzVIFpeXp5bWChR5VVVHTVocG92A5xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc8455/a96ROj08fby5Nfu7pQzjnn3D5kNktPt3t0hHPO - OefcyRCU0JGpIRhYSUFdiVQUmCGhSsvt3bfe9jfJViWG4+VxntIkhZHYwACUYDSp6GUgskyqalF7 - l55z+flnH5rWIikdTyI6pprhXgwB3QcYpt2ytYvt6l3crlx23rlXXnJZZdTLCC2kBXWGZEhZu6Qp - rSwv7fYiu40h42lxHEUJ3iOEtR9ggOy+plyOD/WSI1jmxTACmKaFimKMnoy7DUoTzWQoIY5GDGNm - FiayRAbiSpkW27s+/ukPK23rX8/VLBORaDj7jPMPnXuhmalmCsoAGR11Qpm5AmQ7jw0gVVIjJSvH - Fxnkmy65sqZBoECmpOPjdzN/ubHpVjj6JGtKRABDST//5c/d+eVPUZ1BiY3JiGz9ptRjf52MyaOF - 3f5Sak2yZjEIV6OUv374S50Mx3nwpXT7pFkzgpECkMySmbUUqmMAkawbqYkox8ZGvSBn5vO+7ep/ - O+AFZi5Fcmlilv4i65zbTsftRZtZCOEP/uAPHvjAywgY9PpZu36/BpRABMK4h7D59G4z6/f7pUbz - uHzw7JSWds4555xzzjnnnHPOOefc/rB+UFNd1yEETPJH3/3ud9911+H1Ac3HYQYqAxgySAFlAgEv - felLY4wiQkSj0ajf7+/ifRCFkXDqunJ35rLLLnvSd31XAJt2qolFiBmGnPPWZmuqou3S29/+dgBE - FEJQWNL98GguM5csScKxI1G32H56mHk6SqFt2+2b+PQ9YcYiP52bOdPjrrzZRHuVUpqh8Uvrx1y9 - 6EUvGvR6BDOz0gHYnnkqoGTGwIHB/POe97yyGEdFnO5x0x3jqB1mrylxyLu9FJtHRGXszXR8YMrd - c5/7HAUEsKwoAd5mRJRth5+aWTtGSjcbAIE6ze9973vrupYYRIQ5lFdZF6gdN773uCHHe4CVQxUA - oDRtE0jalI1ocWX5i//ypTe96U0KxCAnnAwRABI2mGoyywY1Ngae/exnxxiZWUQAMHM5uHTdsNKj - 3uxNZGsvIRbiZjjKXZqfP0Ako1HbdTlIjLEi4f/x/vf/wA/9YAIIOG7LN13XaZ+8fCyj0SiyZEs3 - v+zlT3rSk7qu69e9uq7NbIbGp4UQBoPBaDQKIQyHw9e+9rUPuOAQA2aoqirGWA6okuMuYfwAj0Ft - sgfuzKFux6aqAwByzjnnQX/QpS5KFJLX/eLrb/3IhynIwsEDS0uLOWdmVtXUdpZ1umOMF57Grz2+ - SzvnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzu0b5elFZi5v6roGEEI4xa8555xz7rTN4iPt - s1TdwDnnnHNuN6hYBiWAYKFE7VLJ7hWYdFqPbv/cR798z53cp8yaN94jZAOvpYFCwaW8DTOEQQpD - yjBmqdreIx74aOvQti0zhxBK+Y+Zq1o102KMDJvr1ZR1+Z6lK7/pinYxz8UYgMASODKzRKZoFtJK - u6zkGeqzZFzmksjMynvjtQJb62tmHbd+1unN4wRfJwXGhdIUHEJQnZlSSrOifPaTbadGqmAjFiJL - HRvqKNk0s37iCx9bzHcbb+MmYIPmXIdY09wl5z/IMgEAKbNNdjlCqXtGtlPFpvYDIwWUkNlgACzM - V2ddeNalAVLHaAZVM1Pa+DFs0+JzpTYllYLXyhS6LquBCNkS1/j7j38wx5GKAmDj8uNmUNJSVM6r - brl9TyQKhLIi5ZxNeuFLd92Z48hIYTj2CJgWoGRjsgALZGBoIFgGSDKFGCob8WMufeKDDzxEUlDV - nPMs/jXWObcrUkoiJIHe9a53Xn75ZcPRCjOGw5Vjf7Kkd29UKSbbNE0pilq+6EMxnHPOOeecc845 - 55xzzjm3M44fBMi02oxCFY1IVX/7t3+716tyPuGNEGKGKsxKxl7uUpnylQ964Ld8y7eklCzrNEZx - F+/VqmqMMeecU+rF6q677nrFK15BIAIRoCmXoLtNhtidarU+9KFb//Ef/xEAEVVV1XXdZuayx5R1 - AWCwbdqy69MHSwDndsxlJ5URhuXNcDjc1vUxGIEMVjaTc277TFsnIjp1dPcxDWbXdTPUvjHzNCT1 - 4osvfvKTnwzAVE95KtykyWSzZgBPecpTLjj/PBEp5+sZ+tymp/49PmytjKw76oOdlbF2ZCAr0dHT - iHlKKT3sYQ97yFVXyCQnmplhVvao3R1lrYCEoMDvveMdSyvLRAQbP4lQoqlLF/rUrcreQAaePHNO - R472FJG6rruuq2L9kz/9U3fdc9iA9lTrVR50Kh8IEWnSKx/8wEc/6lECYhBZiWa29c9DzUpu97FU - NYTAzKPRiIhEZG5hfmU07HK64847X37zTfcsrTDDGPnE+2wQ7tQMIEBzBsCgrPmbr3noK1/5SjY1 - s7ZtR6tDUhvUvc0/1bKzRqNRlFCFkLs03x8cPHDgJ1/zGgDz/d5wOCyt6/QRnrz3IsnNLMa4Olwl - ljZ32XLO+ZU/+qNLK8vDrq0GfSOAycwGg0H5lWM3zczt0s4555xzzjnnnHPOOeecc84L77IaAAAg - AElEQVQ555xzzjnnnHPOOeecc7NLVQE0TaOqpXD09IvOOeecu4+mT7LPyjPsUx7x6Jxzzjl3MgwQ - EsGUYEQAszGZEtBlM9GhfeNDt7+/C0ON2nSjjXYH2UAYV7QxUiM2QmYYgQ1CpRoiEhBDPcgHH3Lx - w5ECgLquRURVS0/0BH/iUU973VoKyhqGDXOYi9WBGA8+9OrHHVy4aLgETbXmkGCNdS3noXSjMLxn - 9HXz6O7ZwQABPK43RZhkAKtmNsAA41KOavzzm7n6O84l2DhPmojIVFMJC4wxztzl5WwwmmxHA6yE - MZuZpU5MydBoaqW99ZMfbOtlo22vkqadSu49+OJr2tUszEZJrR033cZ+zb4hpb6VkikpQwlqxIaI - tnfVpQ+1ZNBMhmnZ3I1N3aDr04XL7EpZXDNmNkVSaAD69qkv3XZ38xWlBlAyZots401ppEZaMryV - YICNG5xJUrtz+wEbiZlFpaiUs4V+/Pxdd3SyrDRu38YHBZU6m0f8LiyyBUJigyoG88iWUko1HTgv - Xvxdj3hab2WBu1DKOB5V1XEX1tU5t9cYH/eU2h/UZpZzPvvss9/2trdcdNEh4cmVuJEZEcl96Xp1 - XVfqWeecQwilRdofUQ3OOeecc84555xzzjnnnJsVZMBa0B4MnLIlzdn0lr/560985o62bU89lTKs - ghlAJQHA9S9+ycJgbtDrp5RSSoPBYHl5WaapiTuuRCZXVSTCysrS/Fz/MY+89uqrrhDiuqoBaMol - 4bjkeW9mHjZ5HTFfCFNWvPXtb+MgberAgUM16+lrZejpDmRCG4yAlNI09HrWTddiOBxu+7xgAPr9 - /nbPyLn7sxIPXN4zc875NBv46W/lnGdo/JKqmplBiWA5vfiF11XTk/uR58FjTombVx6a6IX4oute - UJLOCVaWZIvmsO3KUBCaRHfv2ZNaSmn6dMkmB83uqjIaMOc8Gd0DEWHmG65/ac5gAoEmK6gSwnYv - z+SzO86zOSEEAF3qRMKnPnfHf/3jPx4/ezBR1mW2Pv8yqpNMabq+xjAejUZJter1/t8/et8fvvd9 - TUpV3RsfC8ed0CSDuVxcEJEQA3jZDTceOufc6adUfnZ6fTG7ud0lUbuqqqqqhsNhjFFh9y4unnHW - mZ+647PPes6z71leBJAVa89+EdYeJwPKDpZs/G1mIhAMsZJBXf+n1//iwYMHV1dXe3VNRDHGft1b - WVre4dXcNAallEarw4W5udR2zerw2c981oue/32rw1EQYhABMYpq4vUXm2WI/dadie6L0uxkzQCY - RaEfve1jv/SGN3CQpmtJhIjKVbMQrx8aXR5AmLld2jnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzbqaJSNM0dV3HGFV1YWGhqiqP7nbOOee23PSJ+5l4pNpzoZxzzjnnToEBNgVMyQwC4/IVhWXJ - X7z305+/65+5T612ScFhwyUp2cYBwOWPNEYwQAk5gxRcviEgCg84ePl5vQsjIgAz67rOzEq5H/8T - z05hUCDutQ3SiLgdVO1ZVx967Ln0oHPtm87Ll5+nl5+nlx2yy87TB5yLy+rRmZLjbi+zO23GMCqJ - vEoAE5GptSm3Cl4f1E1bU/tH9YhkdwWpmRGimFSxtyXzcEeaXqWPr4WV1EjNLHKsJFhOKt3do69/ - 9uufaMMwc9rWZYmCdrXr0xmXnPdgQY9JQDmjm+xhASZsmJwfvJ0/KSsB2DAqEdsKIBMUgdvegx5w - FSXOXUdEzCEwNlotscRsl23AprTu7yk557rqA+gyqJLD7T1LuPuzX/nnjkcZHcBsTCREYoRspsf5 - Y5HHtLv9xswsI0BiCBndSlr88r1faLCqfPymjAwEGKkSACYjMbAhKUBk0KAVFvv/62Ofda5cEEe1 - WCi53cxc6p96dLdz7uRWVlZiJcxIuT3v0DnvfOc7Dh6cX99sTJqRTZ6RYxxfpwNQVWaeftE555xz - zjnnnHPOOeecc263zC3MN11X1/Vv/MZvAFBFjHKi0DObDkE0K8FpOaeD8wtPf/rTRaQbD7pgTblE - J+7UShyNmUtqZon3E5EY44033pgtd21T7v+sZVVuXcSbGTLMgD/6oz/62jfuMqKmazcxXnSvKbfJ - ptHdW58/arZ+silt53CsHVTGKpSBCiW6e5M58Sd11FiIhYWFLZ+Fc+5EUkobiu4moJwrt3WptpCZ - BRYi0pSJ6HGPeew111zDJ8rf3bi1UWJ29JuHPvShj3r0tcycU1dGf23RPHfCrJzISmL09J97M1/8 - JJiZQZZ1OjIwxtg0zdOe9rReHaSskY0jn6fZz9tqLV553WfJzGWXUCDlZMB/ftOvL68OKUiMUUTM - TFVLL3oHFnKrjKO7j/yiEep+r+vS7Z/89Ktf/WoAEuKwGVV1feIJ0eT/VPpOWfOFhw597zOfpSlr - ygwIcenVH7UdZzHk2MxijG3btm07GAwUllJaWFj41B2ffemNN3z+X76yMmwNICEDRE7YeVSFCAFQ - NQAEpDa98t/98KMe9ai2aRYWFohIVbuuGw6Hg8FgJ9fxvqjrumvaQa8/Wh1qSr2qZtCPvvJHLrrg - vGm8vWUFoDtyUG9UVVU5ZyauqxqAmQ16AwXe8MZf/R9/9QGOgYSTKgk3TaOq0+cEpzy92znnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzbleU6gRLS0tt2/b7/d1eHOecc87tprDbC+Ccc845t9eR - MYyNEsBqFUMJyUiJuEF76+3vb6vFllJrFuvNdK5oUsDHiNXGdX0YEAUUgZAVIrAkD7/60XE0J7nS - jATVDCIhiGpXCta4HdCmrt87yKnHphjlGvH7nnizha5LJhJzAsgCk6bEFnoyJ6ue1jZLGCUAGACI - oGTJujYNIVACG9R0Xckt3kSaMhtr+a11ud1mIDIiGEAkzFVVVSHPUpWuWTH9TEkFokZqAANCIRg3 - ecSDfNsnPrJod6fQbPfCECEiXHDw4gU5uye91KSKYZYABQRGIAaYrWTZHl2/yZ2IkbIqgI4ZJpL6 - 559x6cHegW/oPSIha6ZAphsufqVUdh894uAlYFwnF2AkS8po48qHP/V3D7ng0RUNRCsyJgSjnKFG - JVacy9YsDYtz+46CyJSRhQMpH/7C1z97T3t3rqf7PAPKBp10g8WghMyAqajSpFSoMkad9SgMdP7h - Fz7+CVd81+jL6YxqIZHprJUTdc7tvPUn+6qqSqJ207SDweDQ+ef+3jve/vzve8HS0mpKWjqJZCCQ - HpHefbq9/a7rQgilGq+I5JxjjMPhMAS/BeOcc84555xzzjnnnHPOuZ1D4/uoDGB1NFyIC6Gqbv/k - p2756w8CEJauywBwTBTfmAhyBqB5PG7puc95zqFzzxXi5dFoMBgQ0Wg0mh/MNU2zi0GbqkoEZu7V - 9eLSUm9u/pnf84zX/cIvfPFrXxWWpHmSoWhEhPtwc7msoU3+q4rI9I17F9/9h+/5gZtuTqMR78lU - uQ0pWZIxxuk/zQxlHNs2KKGMMxcgenJt2275NMcbYv0b0MGDB7d8Rs65KbPx2dHMaONhwAaklGao - fSMDM+ecAATmKlQvfP51H/vYx5LZdKjElq8MAxH0wuteEEIQ4q5tSyvHzLPy0a3fMaZpxLu4PCei - qiXxercXZJPICEDZMUpKsQgL8Tlnnf3cZ/9vb3/Hu5igZkQwu0+dvdN25AgiG3cT1RQEM4QQckoM - fPS2297xznfe+MIX9mIlIVpKsPLTNktbhJRt3ASUkVQlcjirNV37/T/4A3cdvteALnUAN013orUa - HyAGy7lcgFTC119//TlnndUNhwyEEIQlpcREKaVjH4lSYLaepogxZrW2S3Vdr46aXn/wla997caX - 3fTRj9/OhLK35mwAsp5wvy1dQMCIYGqV8MMf9s0333RT1wx7db20tASzA/PzRLS8vBw4bkNrvS1W - FpcOnHXmcHW1rmsACmtHo0svfMDPvfZnX3TDywBUVWjbFGPMpprz9KGeqd1dz7Zty5HetA0ANV0Z - rQLoDK969av/vz9635kHzxBDvzcw1a5pJ9H1s7F1nHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnn9p+u6+q6zjmXAtGlBMFwONzt5XLOOef2D7PxM8l78pn745uth5edc84553YaGbMKQCBVUoBg - ArCS5WjL6Z6PfPJvMehWuk5NYl21qTXacJQvjgwVK++ZgVLVJ4ONqItXX/LNMqqEhJllwsxyzh7d - vTOMlIhSbpvRamWhRr9q+3y4Pz88+8DwvAOr5x9cPXTG8gVnrlx4cOmCA8sXVIvzoh7dPZOIyJjA - puiStkbHlM05phjQaU326N86urlgIkZgC1EqIc/522I03YhGk8vhDMqmyFlzsg4p91c/esffdXFk - FTac7bxBmjBXL1xy7uU0CqIhtS1HNqZNRMK7MWOjSbT2eHsHytU8Hzx7/rweD5hDSqqEE5SgPgmG - sU3+irL+t0WkaRqAY+RRi96BuEqHP/HFj3W8mrgFlCEA2NjISvW6CQVUSWG8uSbFuT2LGUSmaoo8 - kpXP3/Xpxhqpp/t52e3H/yjHBBsMbASlbJwAKEEEAtRpvjdaeOa3PdcWq4XewZytlHTMOat6g+mc - Oy0ppRBC0zTz84PV1dWqClde+eC3ve1tVVUFWet122ZrZRLRNLe7NE0ppaqqtmThnXPOOeecc845 - 55xzzjnnNmEwGCyvroL5LW/9ncXhqgFZM59owES5SaIKQJgEIKAf4g03XJ9zHo1G/X6fzMhQVdVo - NNq51Th2Sc1EpKqqlFLXdQvz813Xzc/PP+XJT65Eso4DNcudGuYtG48hIgZ0agy8+c1vVkBilW0/ - 3LMmoqMGoG5fruR+uss/zWotNwq31nTXnW4LZh4MBls+I+fcVDmozay8UT1xquoJ5Jz3ZorziZBB - U65CyF3KXfeC6647OL9QBtdu/XDGcTA6FhYWnv/857WjBllDCGUA2MzkGc/OiWy6K87QZ7uemalq - 6Z8wc845pRRjtJx/5If+nQCBqKRhEzO2fx2nB8Wxc5JJ0RYmVoAl/NRrf+buew6vjIbJFCgR42Pb - vZxbTgmY5HYrKGn+if/7J2/96D9oCdUWoZN2tk0VZb0NAAQ499xzv//mlzfDkYjEGMuAq6ZpRKSU - v5lpdV0fXloUkXrQz7BQxcNLiy+/+eYP3vohDpwMWWHlMbET7wvlB1JSEQoiDOSsP/2an5zr98aD - 3+bm5ufnm6ZpmqbX683QeWdhYaFZWQ0hDFdWu66zrFBj4Luf/JTvedpT5nq91CYGUvnWnpRzBiAs - AJh4+v72z9zxc6/7+aRZYmhSt7S0dOy4QSOoPyLinHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjm3g8ojSyKSUpoWjt7thXLOOefcLvNoKOecc27PKbUzaBze7Cfr3UcU2bhJLTOIxExYaiXkOPq7 - f/6rNqx2kozAoWpSF6vNbrK12M5xOY5SQ1IYQpAcLzz7krMG51bWR2YiKlU/SmZhKVy1BavqTgOL - qbZ11GyrrB2r9kJtHZFBc0dQUO60IVFIAnt9lRkTJeSUwKRQI1XSw8t3IaxtRF63Qe/zpl2bgBmC - EBSWgMRnHTyvF+rcJT8HbDmdVBAzMIxBCZQYEK5JQub81aXP/cvip9vQddje6G4yCFEe2eUXXBFS - L7fW6/VGbcdBdG2+TAYCeGbKau2udanAZJhswUGY75b0IZddIyl2bY49GQ4txg1v3ePuD0pQZBGB - cc4qEaPUWZVGsvKJL/yTVZ1xyrkLHFVVVSnMXuk95zaM1CwTW9Wrh3mYeysfv/NW7nHTqRFAKGdY - YC29GwSpuOuUQ6XUdkhZyADr0M/1YHjWUx/37EO9i3paq0LFSsHWcq1kk9KWM1SC0Dm3fSY9PRjW - X2WDmVNKdYxd08QoOXc5d1c/5Mq3vvUtITLBAGVGKTkrtOFu+LQJWl+JuzRQ0+re0/LNs1LH2Tnn - nHPOOeecc84555xzM0FhRiBbewEwQptS1avvOXzvO3//XUIEgIlPEhkYosCMCTmbAQx853d8x6UX - XyLEUu55TJAweDydcnemmM59B3RdJyJkllIXmaDp+2++SXMuQXgi0nUdtiiukgCa5LQxIwN3fuGL - f/6Xf6GwpGZ0xFxSSqoaY7zv890ZRDQajc444wwAhLV7W1s1fZ48wCwsBpTxM/vgqWZVreu63Bxs - 25YAg21hJOd0E0zvLWbNZ5555lZN3zl3LBEpRzEzm9ni4uJp/mKJ5xSir3/967PVvuWcY4yqChhg - UfjGG66fnOHBPD7Bba5xo/XJtDb9Il56/YuJKMaYcsfMIkLCXU73bVV2zsrKCiYrNA1j3oOP/Cwv - L5cHTHLOzFxOvjM0XoUMDCqjBLNNeg6qqW0vv+zS677veaoWmYhgyMBuPEdj41fuxntvNjWgy2m1 - aW64+eVVr1ZYk7qqqkA0HI7oeOOR1vel9w4iyjAj0YykOVSx6RJxeP0vv+H33vX7Oj4EmDmUcO6T - TcssxoDJCO+f/smfqmPFzFCzrCWhua7rlJKZHftp7LlDCwAQYxyNRuXNOMtZRFXbLvXqfukfro6G - SfWHX/nKP//ABwzokpZ2wwx64o72pG0RADlb1+U6hOue89zHP+6xZAY1EU6pS6ljZiJS1RkaN9vm - BGFVraqKQVCLElRVDL/0utfP9WuZbH0zY2KQgnaj1aLjZ6sTADMAWTMANVWg03KViLe8/ff+6x// - t6RqhLrX63Jqc0qmAIiolHkq17A7uCbOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHP3a0dV - rZ9mPG2OGUIIZlYe2duDzxU655xzO6k8CV4iY5qmKQ8cz8Rzr34Kd84555w7KWNTAjgEVsukmYi6 - rB3Son3j9i/842JaaRUSBZOqghubPsEISiBjMi61RcgAgzEMyBlsFFL/krMvq6zPiXesuqU7rsya - OWfpjFqjBCgDbCQGUbApIzEyKJdI4N1eXrcx5RAmomyWLGXqVrolRdmOfERa92YqAR15/UXgSd0u - ZuRspujFHlk8MHeGJQoU7sOquKOtpTqTWiknaGWbZqaQs3Wm3Mc/f/EfVuVujtvf1Bpr5or7Z8+d - 17deL8Rxjbk0rs21ttjmV+6bpmxAZz3qHzp4CbdVIFGzEKD3oVIfjeNA17UIpNOEdSVk0STNbXf8 - Q6pbqsjMuq4jIhIujQyXYtnjaU0rzfmGdvsHi62Olltkq+2u0b/c8bVPjlITqlj6scplt2c2Jow7 - w6ur2qt6ueuyQXqEIF2HeerJcn3tAx77xGv+tS5b17QhxpSzd4edc1tEH/GIh7/pTb/WH9QAsmYC - xRDVtrLop4iUe0VlREWpibyF03fOOeecc84555xzzjnn3P3ciQI1jcAxvPP337W4vDq9yXqSR1xS - KtHUXMVxnNiLXvDCfl0z9tAd2jLEYv29nDIAgwwXXXTRd37Ht1FJ187ZzEIIKW3Z+L2SsmYGIyTg - t9/yVhKJdaWqOefxgBDmqqqYuYT5zQoROXDgwDZN/KghtWWLzMSjVqc0jTlvmmbL1+fYj4hAg8Fg - q+fjnFvTdd20XIKZtW1rOFkiLU1u/Y9GI2Fi5rvuuuu+VG3YYWUwg4gEFgY05X5dP+sZz+zFQEAM - oorA5dxnmxjnMO2flN+tojAgjO995rN6VZW7djpgu6RKb+nKbaPV1dVpqOs0NHcPRmIPh0MAzFzy - fTGzJ9+jgpznB4Pcpe+/+RUVoGow7MyTNRvawArc8rcf/NnX/TyJhCoO20ZVQ4wlu3cmdCkR86ht - ql4tMQybVkL122/9nV/65V9pLdV1r6R3d12HU0XXB+GuTQww8J1P/NZnPPVpVYh76vpiE1ZXVxcW - Fpi5JI6XpqDEeCshw5quPXjmmf/+VT/+h+97nx61/5xGUnvWTERBqBcDqb3qx38sMKe2K08U7MGs - 9/uCDGSY6w9+4edfBwMB5TS0B9vV4zKAGFlhwCv//Y99/otfUKDNSUTmFubHid05NU1DRAcXFtqZ - uk50zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnNtnPCLCOeecc+4UzKDgECqzbEhA - yrAu5K8s3fG5uz/BPU4GFjBK5Oqm58OMEvysJfuzpBgmhVgMXf/Kix8W7DTq9ZAe/XJbR4FMSKJJ - UuacOeu6T5iQCZnMxFRMxTKZ0caKNbldlnMmonGRMkKmtLhyb6ZuXZGjrd+gZAhEuUUgkHG0eNbC - uaKBPLB5Gxms1AEDAUykqom6VHUf+fTfN7TCgUi3vaAba1jon3negfNCFoaYmXCtxGz4/9m78zBJ - rupM+O85996IXKqrl2q1EEJiEwaMQAhjxnwzD9hgDH4Y2+wewBixYzEGIxgMAoM9jG22AQx4MHjB - GGMsbLGMt/m8jNmMAX+AWQwWQkgghFBv6q6qXCLi3nO+P25mVnZVd6mrVdWdJZ3fk0+qVJUdGZmx - 3Yi497wMkfFax4DctspsbT3Oxz9SEAQxFRTutOcuhXSJXIR4D5VTWbpKUzXTJhOgXFdNcvkw5BrN - vrr6+19fTDeLi+RyRjHn3QspSJnHG7iensKBxpxeIuJL37i6Lodf+tbnejjCDhDK631u5ZLy5Egn - CufgkVA3wfvBUKsmdltdt9y+c+uej/t/nuyXOy0fypavYkM+nMGPZozZJnjl3ocyjm1X8+ShcKQ/ - 9tCHvOn1r9vRKQGwQxOr4N24zvImNMhVtd1u53Kiud70JkZEGGOMMcYYY4wxxhhjjDHG0BgAnuqD - wOyOLi69/wNXJEChIFbNOdcnoAAgIk2TCk8/+AP3+Ikff1isG4wD1VZ1cFCandw4ZWgZwnOe9ezp - 325ufmpKiZlFoQpH9Hd/93ff/Na1McYQgnMu57zm9L5TyDc9g3LG+d69e7fuDSZvBKCu65zSulVv - d7rQVJxvv9/f9Omr6mS7BpC7LG1dwroxBuPtOqd3e+9vvPFGrBvdraogyvt8EW1SGg6H2yiCGkBK - SWISkRCC934w6N/nwh98xMN/PBBiTBgfSdk70Q3vt0XFsUPuSOaoaRIDP/Hwh1903wvr4TCEUPgg - Iiml7XXoPHr06JmehZNy9OjRnEM//d3SOiv0TJo0NZVGbdGmqoNz97rgHo9/7GNLdoFJVfm0fKz1 - tgGaeoAVEODt7/hfH/rIR4WdL0K/GpZlWTfN5EUr//R0pY9viHNBiUNZ9OuqFoF3H/zwlS+9/OUN - UlGUw6oGuCgKEIFEpDnRdIrgY5RW8A5wwH9/zas9VOrjvH7tmcXkBGQGv58QgogM+wPPrgyFZxdj - qqo6nxe4sqDgX/GqX3nP+/8ETLd8QqJYFWVOzKqqSZsmXvbiF/3A3e6emthplZONOX9dM3Muttr0 - UjuZ+RRJO3fM/fR//qnH/OdHM9A0sQjF8ad8UtHnm2/6ffXYBwh5REK72z6ytPTzT39Gk6Qz1+3X - 1eLS0rCuwFSWZVEUw/4gNTG4kxgSaIwxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhiz - reTqMttiPPu2KW1gjDHGGHNGKAGOc+kiVSVE4Ua8aBm/9K3P9ehoOdcRQmoSIQY+lRag5HdRJmGC - jOr2KAQAOwJYQlvm77Lv7pqgbvZqz9zuMABSBckollsZyjSV4SoEIQgYILUm97bCinFut5ADWG9e - PCCIQqIEQG5lyZ+V2kM0eWaAiUgEhffNsGaUO+cWPBesZOndm2klKVmEBHlxK5OSqpJDCvHm5tC1 - B65pfE0KbGbx3uNipnLvrrO7vssRsaoZTskTckkmARSQyUpjO5OTlL8vBQMEUlJRRIZbaN1hV7kv - KSlBdVIW+OTJSkT3uNS1EhQQEpCwjhJAx3+KfSx9+8DVNQZwyQUWQkoNsbLy6PCgrDSZLNav7GfM - 9qKqRccPuXckHvzC1Z8r5z0gFCW3lxRQEihPX5n0Hik2ZXCMoBEFBRr4Pbjjk37s6TtkoagLSpRS - 44KXjW/AxhiDE7SrRWLTVD/xyIf/j19/bVm6lBRAE6dLxN6qNlgIgZmrqirLUse7L++tBKcxxhhj - jDHGGGOMMcYYYzbZ5E5E7v6kREr0iU998qqrvwGGACcTuhkKnyOVU9JLLrmkKApp4gym5U3kfhp5 - DofD4cMe9rA7n3ceKzw7Itr0KNAcyKqAL0ID/dMrrmDvoaM3cs6pak453Xb3g3bu3OlAmuPniE6h - Y816cn88VQBN0+S+uJs5/TOHiJh5eXk5/+/mfi6a+t6ISKE7d+7cxOkbY1bx3scYnXMxRhH5t3/7 - N0ck6xw8VSc533njX1paapoTRtjOmpSScy6lpElIUXg/7A9iVT/vOc91jmncW4KIJJ1ib9rJXjEl - 9QQFnv/c5zVNUw+HZSgAiEj+AmW9L3q2LC0t0VTMbv6MMxg9vri4iPE3PFkQ22Ko8yprc3+bqi59 - eMPrXleEoKI0k+0ucr6S9IIXvfALX/yiErF3g7oqy3K7NIBCWSTVpOqLgpx757vefekLf9G54Jwf - 1rUA7JyIQJWdw4k+laKuIwFNEwG86AUvuPiiiyCKJLN8fnEyvPdN03jvmXk4HAIoiqLT7S4P+r4I - w7r6n2958zve+b8UaETXBHPfMhVptVoAdna6L3rBfz188FC7LOq63oKPMhMK76vBUFO6/OWv6BSl - I4pN7fnEq9bsGA8ICsH1eoMi+C9//WuvfNWrBlXlgi/arVAUAh0MBs45731d1zO4vzLGGGOMMcYY - Y4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOM2ajJ0HVV3V5lZGauNIAxxhhjzKwhR0lFBUQOUNEK - Rb2sR758zedTK1Yp5oBQlkjj4ownTwhKEGBULBMghROQQgUgcs6RhLNa5+wu9l+nzIQAACAASURB - VKaUwDk/2FpxZwYr++TKJhSJioQg7MSTelJWsBIlokiuYdewq5xr2Mv2K3J1u5ZP7RKSEuBISA4f - OaAUJy+QcYWdUz7tW7tKsLJECQ4kClWpdd/ufZwci23pm4yVAQjJOC8ZrB5aSIJ6SUXz7QPX9qiS - QKrEgi0uDcak7pyFc11kJyx145xTcYrxfoMiIKS58pytDCchxwAr5x+UwCqM5Fg0akjtfbvPEwEY - InCnsnCFVm3Bmv9fABByEvxotUksTRh87bovCVcJUVVyaeb8TMK0Eso+agwYc1vivR80/bpc/sb+ - f7uxd1M/DUQbp8jbyGSd5/HOjQh1DefhAzfDtLvc227mWsvdRz7gsfc++2I3cN2ihSRNkwhue117 - NcacUTx+AMCokTD9AMqyTKkh0sc85qdf8yuvKhwAMB2v6UXjapsb0TSNiORq1977fLqxjUp1G2OM - McYYY4wxxhhjjDFm9k1GsLCCpka2iMgfvu+P6iSiUOROjhmfqP9hU0cQSk+753c8/rGPq4dVWZbA - 6FbvLGfskaIMoSzCJT//c6JIklTVF+FkAstPAgPsXGiaBAIRVVUD4IorrhgMBk3TxBhTSsxcFAUR - pZS2131tESmKotPpbOm7KJSAqqqIaDtGh66lqquiuzd9+qtWpN27d2/FGxljJnLOcQjhhhtuuPba - 607m9fmHvFP72te+to32/yLSKkrnnHOuqqqUUqfVYtCPPPg//MAFF3RbBQDnyXsG4DYeNeq8TyoA - isIDaLfLu51/pwf/yH+AaFEUTdPUw8o5x8w5NH2zP99WWV5ezkHdRCCimV3ivV4vj2rJR5MZDBc/ - NZ5dt91ZXlqa63Rf+2u/qkBZhrre8vVHpx4n/vOoMxIITYpC6A2Hj3n84z7/r19yZcsFv9hbxnGm - ILkT8uxQwnJ/UIvUIlWS33j9G371f/y6gurU1EkERMzMHGMNiBt1slpzfqEAwAQHMPDA+1/06le9 - arC4XDrfKsuTmY3JCcgMnoPEpmIa7cpyJHOv11teXp6fnz+6tPTW33r7b77hTQ2Ug1MC+2Pbvbrm - cTx1XRPwnGc/u1225lrt1ERSkOa1RYRklrudr11qSid8AGCQNtER/eC97nXppZeywoGTJGDlW8od - 907rjmz9voLTfxWoEoBhE5np9977h3/x13/jylYdmyo2IQQAVVUVPjAIMnsrtDHGGGOMMcYYY4wx - xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGPMKZnZ4fbruI0MvDfGGGOM2TpEBIiqOpAjAkXlwXdvuvpg - /wC1sDQYMnM7ADrK36INBqyOU0BH/4oVpGBlZUpQsHfi73z2BSGWqkkozlp1ntsVGmVM8mQp8+gU - YFWxIYZ6qF+nzqmZTbk2maoCoiRNag7cvF845eW8KnVbV6f4npK8LiW0C1/XKbjCczhr4Q7aKCvz - 9jvHnH2spEICyhWdAotTVbhYu/5V139NW4580CR+q4taKZO4s8+6IyUU7BjEzDFBEgEACTDKF4ft - RzaClAEWMJQJQhBmaBJqivPPutvkws2tLQGsk9zuXDhMSIU0p3dzTiYeSP+6/d8SH8lBRIiUg1fV - SfmwUWEymrm6e8bceqqUNNah97mvfyoVslTX7SJQiiycq3ELgSGko6avF3IAGIOqYYAbRzeHH73f - ox50z4foIu9ozw2Xe458p2wPBwO/8VKwxpjbvRM2poZVvyh9koYZT3zi419x+csBbFKEAwDk4pu5 - BSIi+XQj/9IYY4wxxhhjjDHGGGOMMWZTTOf75gjJ7NpvX/exj30MgCqYPREplNbJHyMAcIQm6pOf - /OSzzjpLVZloBtPyssmM5R5Wzrnl5eWf+7mfm+u28u8niaq33vR34JxLUICu//6Nf/nXf+XLoixL - Va3rGgAzi8g2GllERPk21sLCwta9xegHoN/v49b325kxi4uLANbbuDbJvn37tvotjLk9ExHnnIiU - ZfmJT3wi3dIwUWKGKoAYIxEc0Ze//OVWq3W65vfWCuwkpaZpmJlBElPuzFA4//znP78/rAmIcXRE - SyltdPo5jZto9G97/er5z3+e915VQwiaJOfdxhgnvSm2hcOHD09+nqwhm9jk2CxLS0tEtHIIHjcR - z+xcbcgk1nfSxZYUqW76S8vzcztI9Oee8pSL7nNvmsEcXGUXvChccMuDweOe+ISPf+ITYO7MdTdh - 3MFp4YvA3pXtziXPeMabfuutdWoEqqCiLJ1zqpqHTXnvc3b1iaaTm+s7d3Tf8ba3Q3Su262HVVPV - p+2DbBFVZeYYY4yx0+k0KapqZ8fcoBq+7W1ve8tb3iKAKJqYfCtIzp3eCOe9iDBwyc8/PdWN974e - Vu1y9fFlso1sd7Fu2mUrONdbWnrxi154wQUXCIRPHJw9ax+aiPIhzzuf97O/9JLLvvb1rxetVlKp - Y2x3OyKSUmKFxjSz59fGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMbd526a0gTHG - GGPMGSJRIxxIBUAuYTTUo1+6+l8kKLzL2ZtMo9zBUyi9lGumsCLH9NI4vZuIkkCVVPgH7nxvahwz - C8WpqG9ry51uCiTihhGdJJZEkliUoCQEIVWn6kW9wAsKgRdY9PJ2pIAyRZX+sHfo5oOqCYCCMY7p - 3ZzQbgB5M87p3aoQsPBZC3eYa+1w5GatrtBtAmO0BFVIAJA60kBEjdRVWv7WDddE5gjHyh601dsv - wS/s2UcKJ/CeJQFgIgcwoCsFhm1vf3KmC5CNgoEVDCEWqAaUZy/c0bFPgHM4xUqJBAAsGB+FefSm - +fejQznngs2R6sP9wzcvHxYSOAVARMzMyrwyrzKe25kr3WjMqVPWKOTpG9/56jU3XBVZXUnskOPt - gZVjKI1z61m08DwUNAzvYtHoxef/p0dc9FhftXfu2LN485GiKNDAa8j1W8/UJzPGbAeTgr2r8NRj - jKRdlhIjEdV1HUJ42tOe9qIX/SLzOtPZmKZpvPf5QkGuVBtCyMU6jTHGGGOMMcYYY4wxxhhjNoVS - 7tY4SmdU1RwM9v73v39YJwVAEFVmzj0TTzSdnO1IhFbpn3nJM+rhkKEpbeC+Bk33dtk6Sqvz2kgI - Euu6cP6cc8559KMf7T0DkJSc96f8PnTsvaWcPErM+QdmJuB3f/d3l5aWmNk5l8NH81JYJ8Jw1uTo - bkzFQm/dTXkFer1ejPE2EN09yUAVkeXl5a34yqYXRP75nHPO2YL3McaM5ChWZu71eh/5yEcI0PHB - 8USvB+C9ByCKpLp///6vfvWrp22GbyUijjGRqIiEEIgoxrpuhnVdP/5xjzvvnDt4BwAxig8Bp3Ro - YGYipKREWNg9/6QnPSmllKQRic65EEI+AKUoTNvmuHnDDTekqe6n0/HYM+XgwYNN00xaJtlszupx - TfrYruq70+p2mTlWlXdcluUb3vCG3CTbcmuan8daPa4nxQhC3SQwlpaWnvrUp37gAx8YDAZbPJeb - Jop8+/rv3P/ii//P3/2tAs4HdoWAqqpKKSmSC5yzqwEwn7CXe142b3z9Gy64291yyHrpPauuf8ow - fU4xmyNxRr3BmYmoqqrhcLhr795er/fmN7/59W9+8zBFcpTPJWLVQPJQEabRA7TOCkUAITXRs/vh - B/zQ3r17i6KQmNpFmePS19oW6d159M1xHwDYIaZakxTe7+h2X/HylzFQBI/ZS+k+rtwSYOeaFKMC - wHK/d+kv/tdDNx+e37VrMBjWVRNCyC+z/s/GGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMuS3J1VfO9FxswKmXIjLGGGOMuZ0QibmeDClIiQrp8c1Xfe/LtQ6QUuF900RSOIcQuIl6Cvle - o+IyJEIrZXs8cRMTQC617rRwJxeL4EKK26P+yG2Y5Njm0SIDIGtLAjmFAKyjF5szaZKGq0wYh2Tn - XxwblJsXVIIqKQEMaVI1qHtH+otxpySnIOHEgMgkqVc3urEL8ooBQKEEAZwwK8hRHZMLSD05b+GO - IfnCt5sBwfGmRAaaMQFkUs9LSAAHwDP3perRzd/vfbcuh6SjlOWtmw8lsLq27lho7+PaN4jkuE6R - 4Z1zSADlcmOq49k4HaWNb1MEECEex2knT8Wuzu6Cyn5CYF9JdLSSH3ySppfC9L8lzfuEyS8YEDge - VMvfPXz93r13CihTJVqL5yBAotHBwQknHqV3wxaxmXmTtV5XWqwCEpocXpWVIBTVN5Vb/vQX/6Hi - xYi61WodWRzMdUKTFGAnufkkQqPGUmKkKMrY1erIoXTHHXd60sOeOhcXOtw5cvjojl07U90URdkb - DMp2N0oz2sqMMeZWGw6rEDwT1VUkIu/9C17w/H5/+Xd/9z0AjtnVKIM2frJPlMvUOudyyekYY1EU - IrYTM8YYY4wxxhhzWyLjZ8bKXdTx7bkp+eL41t/yWDnvVgAYndQL5TlgKI+uck7PrTHGGGPMtkVE - ky4HoioEgTaqv/+eP2DPKQmYIYnIAWmd6WgCAFU85CEPuetd7x7ritkRscrKvzqZvnBb3V8ud9jI - 7zJu+bECYC3a5dGjR5/7nOd84INXAmBCajYQPb5i8n1O/Y6ZV27xEDWSPOHzX/ryNdd+614XXNDt - dik2k+TC7TWmKNu1axcTi8pmzrqutLcVpND+YCAi3jullVMD0u3azTKqqKCqqk3+0sZyB7b8RRFo - z549m/g+xtxO3OIeZrI7UkJKyflw003f/8SnPiWAAqon7tanCeAYo2cnmkjRKP7syivvffkrmTTx - eOOd1QNCVAnOee+q2BARe6cpdcpW1aTC+Sf/7H95w1vfWjiuksSmYeckrteKWKsoirquvfeESILH - /cxjumUnMAcXUlOrcxKTJC2KAqCU0uyHSucleeCm/TlzNx8vc3zviSJ1z6Cjvd5wOCzLsiDCuGVC - RKtS2HVVP9iZNJ0LPez1mNk516RGgQfe/wGveeXlr3zNr8Z1NtUzwXmf4qgVmoBBU7/wxZddfc03 - X/XyVwgAUL6KSopZ6Am5aieZiN7/p39y2UtfBib2TpoosVEwM+ew+pTqFCMUIQRVHa3/0xPRnFsN - VTz/2c983GMey6Le++XFxfnuXIzx5D/wRrt5TzvJFmY+Ckw3TW+Rcy6mBDB7p6rtbmdpafE1v/ar - 73rPe/I0UlJyUAWcwwZ3nlB47zXGiy++uCg8FMw0rOsQQv6r0kqi+em63n5r0dQMr10uzrmmaQrv - e9VAiX/ykY/64Ysf8NkvfuFE28asfdwmNvmQByAUvqljneTz//qvv/G633zdb/xmZ67bVLUHp5Qg - UpZlJfEU1jpjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjzO1QHmLJU8OyaNXP4xdO0pfy - qCXWUbJSLsZByk4CiR//aZWVsgarZ0AnMyL2fJqfjx1iOU60AfIwO8lLnMYVQkiUNHEtJKwMsJAA - MhmHyMqknINFcjIIAFXZrsU+jLkVLLrbGGOMMWY9QpI0AXDqSdhxESl9ff9XD+pNrtAUk8sVHh0S - QUVo49GbLp/AUpN4FOULAkE4SiHeSTh37/lzrd2uX3AKEBCtmw5+nLNcs5lYRVZfTchBzCtBbgIo - REYnrhbJduaQCCkgrEwQVj+9gaxaMPnyQwMJ3gWRKLULw+u+903t+D4nOJDCiQc4cT268ESrwnpv - AStGm7hyIlGGEwQFKeqkRRupRov4rrvuuCO16gbOBUK0VWjTkJCCc80q5UQMApCcQJOGNv/rt/9l - 2DrKrkp141wRZXJZ6mSxQgigY1YKUoggsCOiqKIqYCiBkrvr/D269c5aGhQBws4XqYlQPTZffDzx - zfkWbsso10IkAQmgShLVOQ2cXKFomrR3156O6y5F1BKLMqS0sWqJxy6UY64+512BrMR5CgDihCJ9 - 7Yav3vv8+5eDtqPQ0iI2KToklrxDcOJIOTKURkHjxsymfPwar6JewIkIUEUqHEsVJaZud2dVxygq - Req3Dn/lu/983YF/j65XBrc0HKCgIcCOPVOqhABuuUFdDSPa86giOCEMWQ/yPbo/+OQfe9autLuj - bTQIIQzTgBmiUhQBTXLkhJJdyTfGrOvkmnDKznFOWAjeq2pKjSN++ctesry8dMUVf55kVEuayKmS - dz7Gep3pHbtnYuRbjwCAlKYyLVSJSFVVNcY4qqwKiMgkzsEYY4wxxhhjjNk+BJJAosSjK+jKYGBy - T5sFClZWcMMCIAhoC29qj07GJ1GOSqO3y5ExpJxvFZFO3eaz643GGGOM2c40xaIsY9MMmxRCYOdT - at7/gT892h+m3M5RARBj7QDk+O5jcxtp9EwEheCXXvjiwbDudtqpiYOUPJ90a+k0NqtEadR3a+U3 - mqKEEC688ML/9OAHfeqfP3eKs6Or/ovcyMy53ToVvRcVDPzOH/zeb/3PtywPh955gWqSovBNXTvn - pibJmNVoPSYwFKz79u2d3NsCEXTNHbfR6rTBN1CefGkK3Lx4lJxLKm7tK0fZuRuc/ml0zBJkinXj - i1KYbtq/XwmiuqHOhCNT3+qkm5gCgICgBIjmoNWzztobPGMbpsIbs1mm958n7jg0GnVMOroawLrS - gWB0rUAUADuXw4wF0JS894Aq06CJf/nX/6cRkekNmtb2z8/9/YWQ7/5DAefce9733he/5LL5Trep - G6covJcmOmaA0gl2EOt/lmNNz8Paf7ax/QMRoiYoyDEAESFyEtWBAX7m05/55rf+Vp2kVZTDuhkd - do99qzW/OOZXdR1BYJAKGHjuM58diBGTkBI5EQETg/Lh9RRyu3XtN3DMIVZW/XqjF6OipKIomiYR - kfcupaSqCnzjG98IxJVK6UMVU0p5v3zm05dXcYSrr/nG/e57X/IkjUK1KIp6WE33SxmNWxmhyR9G - LcM1K9Sx6+pWH49GydZMx4T+hlbZ7/ddEQAU3sUYn/XUp33mU5/+i7/92xyU7dglGbU8vPMxxTXT - zR90g8vrFj7u6qlNuicnAQECRJU3vf0dH/+nT7/3Pb+/b89eTanwgUlV1fuQUhIRR6ONMUfCp5SI - KC8yERERVXXOOedUYu53hJzIPn6OMTrnvPe5SxIzE1GMUVW993k6zjkiSlGjJFUtWmUUjTG64FX1 - u9/73kte9sv/7z/+XwGQFGlcsgGSt9Yp3DQJAAhwnF8CAhIIcIADHvXwh//q5a/yICIlaGeuu7i8 - PLejk9YN7771XUPHhUVW7/+P/Xk88IfAYAGmT3omax0RkSKlRKyjry6lJKzE3oV+NSzbrZuXln75 - 8le+/4NXTK6IK4AEENDoZP9wUttMbg7H6Bl7z9ojmuDCcDgogmeHlEAACSuN9oF5lIFb9xTjeN/n - Vm+/J6rSosf9fUwJQFVVraIEkzTpVZe/4mee+EQ55l8yVra0Ld7frt37rfMaApTzIQ9A3Yz2OQK8 - +w/+8ML73O9pT3lyk6InLsuyHg4bSeDRac9krcs9CcdHqzVvZTcvjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjzjRmVpE8YisPAVNVq1pltgSdVJTyZCBSy4flYRMh5VzZpLoa6s6Wj1V0zotE - gkDhlUPqhGqHa1oUqQytVqvTarVaoVUURRkK74sQAoOImIg8MREROQaNg6FnIsr69vYsQJQUVVJK - KTUxxibVUaIiDZv+oOovDRarZihIyiCHxvWrYpF9TI0HyAVSp8KaEpyyp3YcVK0iCFdDgWuhjiAF - pROvZ8asS6DOOUog4roeZTesOj4epyLBDLDobmOMMcaYW8CeREBwRIgxakevO3BN5XpEOqqQR1Aa - 1fna8MR1XG+QBEAiECAEp3AKArvk9s7v8xq8eoInFYLqSjioOQNOGK06ruqV/26LaTYcsxTGm9vK - b/JimlRkI4cmxVIBShqaf7/uKwMdUEGJ1CkAJiWSXKBpJVP55I2incf1ziYVSEOJukJBVFBx97Pv - WqYSQi74JKtLhplbJ1c14lE93Bz2TGBAOB1Y+n5FPVB042p0p7CIsbIHAABSKI0KoqnSSpU0Ugfe - Xe5pa4eIEgslx0qqAklTFxJE4WboEsJsy9uXUo7hiApWcCIfEhjOQR35neWuw1WrQaWqp7Z8j29l - GU3tc0SF0ncPf6dyg+QaB8dwnrmB5NXDKUiZZ6xiozHrEALAGFUbVUBElJTJc7tVHFk+WoSWD+XA - LR7SG//qsx9epCOtneFo7LkA4qKqKs/OJXSLQkT6/cqXtKOgpWVxBVBhB+3syq4n/OjTznLnzuk8 - xUKVBKJAoghih3w4NsaYzTNdmnl0BicAXvUrlzdN8+GPfrSua8rAcd26sRuSJ6mqIYTBYBBC8N5b - brcxxhhjjDHGmO0qXzmEG938IlEwcqJTzsAanXTT5OmMpBmRju/O63gGbOyDMcYYY24TiGgw7HkO - c/PdwaAWFXLh3b//e6PhURvI3VYmPmth7zXXXHvk0JFerxdj7LTaonGm0ihP1EvTe7/UWy7bLTg+ - //zz6TOfE0FR+Lreqv5XOZzvyg9/9PLLL7/jvjuUIQx6PYioUggB6RQ7mJ1mKSXv/TDG+fl5AM5R - TEpEuok9lkah8OoABYZ11Q5F/sukCy7G/ay2EQFUNcZUxWarxlar5icGzt6314Zwm9s53aR9RVEU - McYYIxF573NmNRxXw5qYfVm87R1vbwAF2HmRdIJBoMxQzY9xBHidUm9Q/fmHrnzSE55YsJuf39k/ - erT0QUVU5JhU2Jmx9suUlDzzvrPOeuLjn/DBKz80rCt2QWTjo64JRL6JTcuFhz74wXc+904kGnyR - 1kQpr+3aPQu63e7Ro0fn5ub7/b6I+CKkmEC0f//+qILRsOEZJUCjuP57N1x00UUppeDDcDhEfUv/ - ik48ROLMLaBVDb8oqWiVeWYkpqaq9+za/ZY3vun/++KP33jwIIA0jpcOPjSxWT2502p1YHMCPvuF - L/zHh/7ob772vz/+sY9j75qqZqa6rsuyrOs6x3JXVTXaq4jkH5jZORdCyL+MMebk31znBYCq5mzv - siyHw2HTNM45ACmlnALunGtSrQnEHGNUgNmXZRkl1TEKwEU4urj4znf9zjt++53L1VA2OvqdABEw - QORzA0/0wnve851vf8fOztxw0C+KIvePmt+1YzAYMJ/WIe3rrL25FXqiU528OILzZVnWzbBpGmaO - MQoxex+bOpTF4cWjz3nec//uHz92nKPFKe0k8swmwcGDB4uyXDq62GmXqUkppcAuzy0pQOOevLN0 - pnYikxE0k3Tq6YWSUmq32wzX6/XYu06rddF97/tDF93vX770ZeRo7DMy0ydp7cyN55gIv/SSy867 - 0x0f9qM/hpj6g0HwjhzreKhI7imY+xCexjk2xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wx - xsw2yrUZbokCwPKg8S32he8NKuewMN9d3t9b6C6kHiDsHO+cmz9n4U7n7r3L2XN3mQ97zt61z3Nw - zjkXWEFEDMfMTdUAYGUAjJUhUOuMPDVbj+FYFONBa5KQAFFScpKoqTXWcdAbLN+8ePjwkZtvrg9+ - +8hVNxz8rqpLqRnWy2g1les7h6qRsi2tTpFirUBRYqkPV5zhj2duG1SVZqxQwC2y6G5jjDHGmFvA - cKoJBCFRNBH1td/+lpAQgcd1xic1RG5FXRABjaqoTL81Cd3xDucSmIhUktXdM2ZD8sUdUspXdjRH - bufkbJJJrSIGEgASZpaYVFgKOhIPX33jVW6XMgECVqTxhi+TTXWDm7zkAkkklC8zKQsJkwicpORc - ubPcc4eFu8pSSSI0NYdmMymDpipVkQhD0Nx4040pJTgQQfVUqsxNF9udrhZHRAnKmgDkqwb5KuTu - nbs9exXOb6ejWqvb78rCTCFlJRnXswLGXymxBna75nfxAT49Va5UQKzf23/DoOmP5yTpdCSnbo+K - acYAUDCARB4QImE0XkAKhYtR1KOntXZTLf3CcXT1P3zhb7+5+M3WLl7Sfk1gB9XKe4awYxdjJE2t - klOSqqc7vNPltIPn28MdT/up59xx/i4L4bzmKHP0xB4E5SBIIFGS5PIx1LYdY8ymotV7lXa7/drX - vrY3GPz1X/2tqqoKgRy7JOtFO0w3L2jdo3xujRBRrj166nNujDHGGGOMMcaceQwada5mjCJDGsAB - jgCKIAWJkBA0CEaR3lt3K2R8fk7j6BLoyrk/QUARlMBJKY5nmY87JWOMMcaY7aIoiqpSEPV6PeeK - lNKnPvPpq66++hQmJSo3Hdz/kv/20qapAQQXJMXNjHDePKtalHkW8y2YBLDPw8+wdbndE4Oq+tCV - H3nus57ZVJUj8t6XwddV5bZJV08RceQB7Nu3DwBR7sS0qbndUxTo9XrtXbeFMXx5fauqqolbuJoR - UQ7wPvfcc4loJjdHY06f3K1z3c6dPP1KjDsMT6vryjkXvAfARAqoSKqb7txcneJ73/dH39t/E4Hy - DX2Fjnbn+dqCTl1DYFIZbZOTTbWO8dWvfvVjfvpnujvmD998uHQuqgTn6romdhvqljr9Wj3OtQtd - 86rNwUzsSEQvueSSK668kgHVdCodHUlVYiBOqXnWJc/odrsa09rc7s0x1WF3/GP+xlZme6N9gpcW - F3fMzTUxtlqtpDIcDkO7ffU11xw4cji/g4hghiNXGbj66muqhzVlKARCRMzsgktNxDg3l3QUKJ9X - bmC0Qq3zeSZfI52hDy0izrmUEgDvPTMvHjly9tlnv/cP//BxT3riUq/nCKIgdk1snPdxdIw+3Vf/ - TjTIgAg3Hzn6C7/4og9+8IO/9iuvucfd776we0+v16vruiiKqqrSMHU6nRhjVVXdbjellDO5VVWT - AFARFeEQVFVFx21gduwco65i8GUIQVXrulZVKCtSVddgKoog0NQIOQbREVhYKwAAIABJREFU0d5y - tzsnIlHwwT/5wBvf+MaDhw4Nm9o5TmndTX60Gky9JolvFXFYh+CkiQKcs7Dnj//4j/fu3dtf7nU7 - 7RhjCEFEcvr15nzLJ6bjFXt6w1+zE2AAk/hrHG+tHgwG3W63aZrlfq/dbgfmpmla7ZLY9athUvnu - 9dc/4znP+tJXvyKKsgzDanVa/CkNNxm56qqrjh49etbuPdVgyKxENFrgU5Ob9KTVM7VNHs/amVl7 - LJ5GQDUceleICMNVVXXWWWc96EEPytHd25coCLj00kv//IN/duG97u2cK1vto0eOlGWB0WkXiEjl - mO/mVEawGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGNul5TgO9xvRIe1D6CItJTOLs/D - 4XDxeQ+44Nz73PNu91nYcVZqJA5i4dqdstMMGydEiVQBUREBmIi6XKgqTY2kJiUlVRKxQU9nCCtQ - 8SgOjwikRASIkqTUKKmwgFULxT7Rs7ThKrV1sTp60003fu/gd758zeevO3R1Md+5uTrYmfdL9YCB - osV1jUJ8IHWRSdNslpQxZktZdLcxxhhjzPpYRAASjQrhUg8sH9h/837qErSRVYWoNuOEghU8no6q - IvE5e88hUaJ8QiQzVVfFmJmmPA7MY0wViMrXd5Qkb7SkJJN6/SpEJITk01U3/PuAFn0pqVbnQMrC - EQCrsCLlAOaNzRADXhGVBASXmNQrxQSJDYIrQmzf9U73cugieQdKUm+TCq7bmwDKdb/pHzh8ACx5 - X3tq0d3rvgmr6mSBalIk2TO/l5UlAkqUoy2Ix6W/CFAo2zpw8lZft1VGrjCYv01VIlrYvc993zt2 - uvWZ2blYbr9ZPri4/5zu+UQUJQECBwCsnnW0OyLF6a8MaMyGCLGulIQTjOv9MbwDenWNkhIrFSnp - kS9f+9lPfeVj3bPbwzQc1Fq0MWzgHbwnjaxEVRUdoxuciKBBx+8KjW/XnSc/+pkX7L2wXe9JA6dx - VJkOANQDDEQhgIQhEGZrERtjNsua3G4AKSV2eP3rX1dV1T/8/ccBIeYkq+urnrJcwbksy0mGN4Bc - h3ez3sIYY4wxxhhjjDk9FEgAAAJ4/L/52VEEqUKIJTESUQ7S3urwienb6QQBON/HV8LoOicBIAHn - fCLeyiRxY4wxxpjTYDgc5nw+sANR2W69/bff4Yjimmi39TGxqDh2TdPkJlJMUWY1O2ztXBFTFAVA - jpoo7W6rqioAErf27jID73nPe57z7GeqotNu1f1BraKqqyKrZxYzp5S89+eddx6AGIWZRbewX83S - 0tK+PQuQLe+6s9XyPb5er6fjbkKbiUcxwKrKBFLsW9jLILZxj+Z27CRyu1e/no53FUJVmTnGJCKe - nWMuWu0oaXFxsVcPf+ttbyOipAqQQIuiqJv6Ft8rJ6oKwMDSoPqV17z6Ta97Q7vT9kA1GBadgtXL - Ntl+vfcppdSk+9/vwovvf9EXvvKVKkVyrBvcb3vvY920Wq19u3c/5KH/KcYYiJqYnHNbNOebK4RQ - VRXINU1TtMpWpxOBP/nTD+ioOzpFFQVDFfmgP2OLV4CPffzjl112GYmmJK1WK9ZNSsnRqKvq9AW0 - qQDv9cxIozC3W1S13+93Oh1mroeDBz3wh37nHb/93Oc9r1dXjNExdJTbTZszxmdTqMJ5Lr37x0/+ - 06cf+RNP/tn/8pxnPevCCy+UqFXTuBBcCE3TOOfa3c6gGjriHLuumutfgJm99/lnVc3J8USUX+a9 - r+u6ruu8oTEzM0fRVqe91F/S5EIIERWJlO3WjqI4dOjQe9/3vve+74+/9Z1vAwghCKDr53YfFyMO - a1LEKgZg1475D/35lXe7y10Wjy62QkFE/X5/586dKaWqGoQQTkPe/arV9URr74lCu7Nut5vXorIs - Y4xREimS6LDpzc3v+PwXv/jkpz7lewcP5WvOa3O7T3HOx8evf/zkP/WHw+X+gKEi2ip8GkXRj0zl - gs/MKn4C6+89Qgh1XStrURS+CP3hoGmaCy64YPRvT8cMbr58sHDA/kOHf/6Sp3/s7/9h165dBw4f - 2rewt+r3ARAIwCS3e0Z2sMYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcaY7aVJEgJI0Ebg - 1NLD/kcufuh/vPDh57bPo0Gbq8IdLIJvEaGph02vaoU2j0sl5KxuIiJQHIonwpro7sR5yDXb8+l/ - ZoBBqspKmmuAiAJMJEXRVkkiEIlKCogQCt4hFbVo4eyF8+511n0ectHDvvKdL/7VP3/Y+eJodYA8 - qoREwoGHw9QtupqSQnVURNCYUzcZ8rxdWDSUMcYYY8x6WAEhR6yqCQmlfuuGqysZComSKAlIRiGr - mlO3N6d9xQplUkVB7d1zeyCOtkklR2NmB43CcT0r5+sLSpJYEuftV5WgBCXND5CmlESTsA5o+IVv - fla6w1r6BLAwCY+3+jx5PpXzKXWTf0UAKRIjMcixR0mD4qILflgr77jFzICcRCU0swGTqkbH1Nii - FCkeXNrfH/aImUGqONUrRKOs6FU1vFShKkKac8EhCgGE9u5cIPWsxIkILqc2Mo65KJkJbf9qtafR - MUnYJNMXf/fO7+XERKej+CMRkkbXpe/cdJ2SkHcCTVAlAeCESAkQJWVlUsshNrNLiBWsxEAkRFIA - TuGEXKSGAzMcU4D3i/HoDfVV//szf1yF3lCapeVBp912cJwQCDEm1VQ1Q9cCFViuIzl0O3P1UVqQ - uz7pIc+/594Hl4MFqjpSU1F4UAUegCpC5MkBkRKQ7PhojNk0K7ndAlp5MIOI5jrdt775LQ960AMB - qCZmjLK9RucC04/VdN3KocycqzwzMxHVdQ3AcruNMcYYY4wxxmxfcswPMnpMUn8YkTgyN8yJkMCi - W/YAHzflQgkCTswJHghAoaNYImOMMcaYbc8555yrmlgUxaCuvnXddR//xCfi+mNadPX/KZBUFdRI - StD8ABGIj7mJMgOPRJSIElY/lFwChIhDAcKgP5Skkra8N0YCrv3Otz/5yU+GEGKMzByjhKIlBCEg - 91ubYUQkIqS4x91H8XjMmxoPnTNNx6M3CVhaWmKe6e/kZOSOXkS0uLgIgAibFdZ+TL82EADnGMDC - woL1IjZmg0Zd/ZUk9xbOPDtNApHCe1akupEmDofD9lz3jW9587XXX5+PoaLCzHVcFcUqgOSeA9PD - Ryc/5zf5sw9e+eGPfiSKKDGI6xjzlZNxp+VbfqxQhnLulbq2b2o+hq/fP+FkTL+pqjZN02q1nHMv - uPTSlCKDVqJ813+zqb9KTI54MOhf8vSnd7tdZhaRyf5/9cc8ZaQgXfv9jP+XSXnc02NjvCugzMwu - +P6wqmM8ePjQB6740wQoI0EVIBoFebvZO64J8JnPfO7a676dFClJbJKIeh/yXzkPe0FurQhpvoA3 - cpxe0FPL68z22wshpJRyi8s5V1VVp9Npt9vaxJ98xI+/+pWXeyB4JyoAvOfjLfvTs7CmhgDQysN5 - jlEGw4aASvGBP7viIY94xCXPfOY/f/azUaTd7Q7rOoomRX9YgV1MEpMkUQWBWYmiSB2jEsDkgvdF - cMGDSaBREntHjkNZtLudUBZRUpMiOe5VQ1e2hHl5OHRlSSH8/f/9x2c+93n3e8AP/dqv//o3v/Nt - X5QC6jdN3mudcCs/0eYU4ZmdwgF79+z6y7/46P0uvPDozUd27ZgnoqZpOp1OzhQvitZpWARKEJxg - p7oGKWiyLUCm1/9efwDiJFo30YeiaLXZefKuvWPu3X/w+4/4yUd+/9AhAExgphAcMLW4R05lMIgq - yrIA8KY3vQmAc05V6zpOn1nQaN+vNHvZ1hs7zAEpqneFcy6l1DSxCGWMcWFhYeUVhNkeVrNm3sYf - MASfgGuv/+7TnnHJ4vJyZ6672FsmIk882g/rqAnBoOl1b/xFidLMfmpjjDHGGGOMMcYYY4wxxhhj - jDHGGGOMMcYYY4wxxhhjzJnHQAvt1rDkg5191V1f9pRff9yDfv58f49WrzPflDvR3qGlr4grLYC5 - VsEiENGUNCWIkKT8cJQYiWn0cCzsEjsEcIAP5Oz59D8zs7gkIaaQpFApFCVQAgUPm2EjSVSJPRET - eQfvY9nqz833d7WXOvO9PcWh+Qfd6ccufczL7rbjfn6566PvdHlQw5eevUtV5QWWj2Nun2auNIAx - xhhjzKxhZhJiZqU4oOWrvvN1DiJIQiLHVjoh5RzgffJkXHxE1lSEUVVWni93dosdpIxji3wZY25Z - rlgn40Jvoy1OMIpwJtIcnUv5ZwCeGJBUNjcuXX/1gW/UZTWopAxgZc6b4aqKeBs5pcpRAQIGwAon - wqPKgPCuSEPs8ne4674f5Fg6EET5lKrFmVu0ssxIcnHG5JsbDlyfqHHOEREk14o9tRpPJ1wliMYl - aAWs5Mjv3LHTCTMcgwkg1al6jmuWP81yzalZoVg5Ck+XPlOCiLDy3h37WApSOj2H1EYitfXa718j - iIBqLrIFpVGbgcdH/7yHsUs0ZtaxCuXKf8qJfCJP3Or36k5nzgdabg7zzuY9f/nbB933aE6q2Gcm - UtY6tT1iDQYECiYlkINTNH1I3y+EOz7igT/zQ3d56DydHZd8SW3vfd30XIhEFVPt0LCKGxWqsz2h - MWYrrN639Pv9sgyisSyLd737nRdddF9ARTZtF5QnlRuH3vvcMun3+5s1fWOMMcYYY4wx5rQhwAFh - fJd8cqV7EtXEAIQ5x4pofjlt5QOJkBhp8oYrMTkCTG4cjiLvWO1+nDHGGGO2PeecQImoapq5+R3v - ete70tr8NgVuqSuMQouiIJB3HoDzXomSikBn6EE5CFqFVOiYPzUphhBCWdRVBQDMOC05mkXwArzt - 7b/tizAYDMm5VqcdYzwNb71ZmDnGeP755xPgCWlrZn4SO724uHgb6AqbA2hV9dChQ6NfbcGHUlUa - 94a683nnb/r0jbl9UkKUxMyhKBpJUcV32kVZ/tXf/PU73/1udg7EIB51Kz7xpn3c6O48sqCS9KIX - /9IX/vWLw7qa2zm/3Os57zcnqXpTHXeWUkplKPIPj3rUo87eszBKWd3QTk6hIsG5lvNPetKTVFVj - IqLJsWD2NcOqKApVbbVaSmDv3/u+P7rxwCElJBlf9Rq3NDaxP8lmKbyPwOte/8YoqWy3qtiwc37N - epgPMYRRXuzx4uFXO7P9XGOMRVGklFJKnbk5AMPhcHlxqRUKienS5z//she+MMbYbpUAYjw2PPsY - Z+ZzqOq4DzEUqJKWhf/o3/zNY57whB9+8I9c9tKXXnX11epYmFzw5LjotrkMQkhQELFz7BwxNylO - P5IKmNi7qqnZOyUs9Zb7w0Eoi6JV1jEmIiEaxvrzX/riS375vz3gQQ/82ac89coPf+hIvyeAAoO6 - ArMPIamkjXbRVBBASQDs3bnrIx/68N3uctemqufn53u9noioalmWIpIz109bO3D9c5+8tucHH28I - mBLKssyteg6+TjFKahRHe/3n/cKlL335K8i7fA08ATFpSmmzLjQTc9UkBd73/g98/apvDOumu2Pe - hdXb7y1urdsFEeXVQwn5C1fV7XU+dRwEdlSnqAARfeLT//zCy34pQkNZqKqqEpHQSvth1fHx5PfJ - xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxpjbM0mQQdNpuueG83/hp158Pt2DD3fCoONr - hySQBkiewDwat8UO7MDMedhvrgwnpMRIrEqSWJQkUhKkhCYhqSZVsecz8hwRG6SIJqIRpEgpQRM0 - FAU7B0BEJEESoOzJFxzQaMd1QiwX/L7uYNeO3r5nP/oFP3rhI3G0cFVROsQqFoGYEqQGzdwQaWNO - A3+mZ8AYY4wxZtZ58lWsWm0PlSPNzdcduEbbohxHVZemn2+1SREgASuEye+Z29tC18Ehh3kz62a9 - mTG3M9O53aQAeLqWDSsAISI4qfzg89/8bE+ONm6oAnaQWibVjkZRu7rxol0kAs1loMZFwCLy9p4Q - YnHRBT/SlQVHQZFExXNxaz6vOUlKkny8fv93yJEiAZzXBDn1nS1jpR6WYLzO5JJKrFCBc77lWt32 - PIZM6pgICsja0l90Kmva7dXku+Njq63paHtTBu2eW3ApYCUze0sx/n/27jzOsrK8E/jved73nLtV - VXfT0OwIiILBfWES454MGpfEuMVIjIkkGpcsk0k0OpnFmcmMUaPRqBjXRJk4BgVRcV8wEUYFFAWj - AVEDsvTetdx7zznv+z7P/PHee6uqF6C7q7qr4fl++Fyq694699yzvvec931+JAHVlh23RwpJI/si - 5bJ7ClJmhRKE4GQ8n8asSawiBFI4ZSiURChHcLvUULuzPqV6R/923rTw3ovfttXf0i921Sn5smh7 - Xw/7nsk50ii+5JDUOQ5RfEKHuqmh49ed/pgzz33EfR8zU2zaesf2DRs2SqoHg/mZqbKuBs45JwLN - TWEIiZIdFY0xK2v0BWE362em+gv9stNNSFPd7t//3fuf//zn33DDTZq/Ryx55bgFwpOp3R1EFEIA - wMwppQ0bNszNzXW73YP4IMYYY4wxxhhjzGGioAQAcPlrcsz3QwDkm2ykDtEXib0wL17CX61b3okR - HYTgNF/VBOcIFoABp4G1gQZQzbnnpF2cN8YYY8yRrz+syrLsdDohpS3btv3jRz+mOJB+L877qqmR - e6s4F1OEgr1bg2mUi5bdttGQIqI671NKTCQpHYJZaEIk4KqrrvrXf/3X004+JcbkiEIIpS8Owbuv - AFHnXSTt9XonbDr2tq2bARDRiqUqEi0NvlVgfn4+pXQPGMiUUwZ37NiB1YjtViVmTUKgmISAs88+ - G2t5ZzRm9d1VeuVu3/D38urcN8A5pwkxJq2rKKnT6Qz6C1d84+u/97KXCfLwcQFQtMrQNEVZhqbZ - Y0pL8oCXTl8BBTtyoCbJs5/zvEsu/uhDHvTg9nRvEOqSF3s93a0kzn30Hc1/u6yfw+hXd2OaSydP - u/+QMYiZm6YpnC988VsvetEb3/JmpdGnWzaFfU569P8Yw3m//oITjjveQ2NomJh02dsdVJ/JPRbi - PpeqMvZ2BejO373T6e6an+tO9eYW+mC+7nvX//Xb3p7yWp70J5dRh/BVOA0crDpGB1x08cf+/S/+ - 4i89+SlTnW5KYaHfL4vdz8CsEAJBcgDzbvIHmyzbJQvt8PTiCyH0ej3nXF3XTVWpqnOu2+0O+gvd - druq61f/6Z+0u52/eMNfMnAoGoL7KYkCUCAqisKlkPpNJKABbtu6+W/f9553vOc9m44+6tGPfvQv - PPFJD3rQg+5/xv2cc54ZQFCBgkHEBPbEnLN+VURVEyAYdYInIioK71wQufXmm39www1Xf/vab15z - 9VVXXTW3sABg6cJJxN77OjQCkpAAJiLVRLvt4/vaOAACPECKY4/a8NF/vOjsM8+CaF3XrGi3yryy - 5ufn2+12URQiIiLMq7797PYGtMeHoWXtU2CcZL+0sUVEVWhmZtYrMBgMyLvPffFL/+k/v/bm224F - U9UkAZhRFEVdB1nRw0CU1Ot0h8PBrz3/+Vde8c+OCKKl8/mIM5n/8Rlh703EfR3kdJX3X9oj/X18 - 6Fg6wgKTn31ZNk2jRGXZAhChKeo3vnHV8omu3i2FFTI6XC7+IqXR546qhXeXXPpJSXjXBe8oVRXM - xEp5PJOODsGjCchkgmv/QxtjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjDiNSeELHFdNx - 6jlP/LXjcOIGHJ+UCnbJLSSEqFFSAy2UHFiJfJwMieVxfE6mUIDG42hFdfQkNawYD/Gyx0P6KKTO - OSIhGY2LVNU8orBJwsyefR5qKiIppSpVhQu+Q3NNv+BCamoW4tHdY0M9ePqDnzW7bed1267mNiqp - UKgvIDEPZ7MyfOagqCqgK1aj5pC4B1S8McYYY4xZbRxjJPKJ4myzfedwS+oFjNN/hcDKgLBinBbK - dz+va085zhOAKpj9uvbGUtsMVtUc3Z32KGVijLkTShBASZbkdufQbnYyKTwkQI5OTlLGOdp69Q+v - jEWlLL5A08CT5Jr++ZpRvlhBegCXEaLyqLTO5L1ZGRWmeP3Dzvw5qsrCM0Q4V9Q6kr5dHikIynnF - jS78kcai2Ta/lZyISL5IuJL1Z5dfdYSQA5dUtFyn4BYJEQjKjFHdLCLVgziJGCBXWhQAeaEmkAMA - MHiqmPapYDidXPBdNUQEh2Hsz+rOgFBL0/aFRgUJQJOU9vx/1nykWt1ZMuaAOR21dYVEwABDncLD - u0Ch4l3FMeHCL3zgB1u/NZweRJ+I0cSGXHQOziEE6XS4CUKeUkod9j6UMlucPH36E85+2uMf+GTe - 1Z3bOrdhel2o+lGasvQiws6zcC5NRypK7JSVICSrXTrQGGPqum53yv6w3+tN13U9NdX78If/4VnP - evZPfvLTFZl+bmrmav7MPBwOi6IIIXhvt2yMMcYYY4wxxhxxZHxNfvTggGXZKCoQOIWDHIJr4TTJ - kVr6uPi0KCdwBCVB5NF82vVGY4wxxhzZOp0OgGFds/cXf/zS7bO72HmkuL/TiTE655g5hACC8z7F - SMtzl9cyXxQxBOQcTVVJqSjLUO+Zt7qSdNxhdBjD+z/w96//i//JwHA4bLdbiEdM/x9VLcuyX1fH - H3/8T7dsXu23Gw6HKSXv3Gq/0apSVQJEpN/v0yTJdUX3F+dcTFIURQwNA6fd51RVxWp3eDJmzcvZ - z7slQO/1hfvqzx9jBLMwseOy22lSuvRTn3z5H/z+QkogipK89zHF0DTO+73ldgN7RmkSERFEVJGS - JmjBtDAc/MqvPvODH/zgY3/+Mb4oJKX9ugCRP+Zq23MxMnM1GLa73QithtWLX/zid7zznfN1tV8B - ogS0vJOYzjvvvNhU3hWddnvY77fb7ShrME95L4KkTqejgCt8NRz+wR/94Vy/D5qMdifoaAgxE8sa - G9yR2ycEAvQP/+iPZt7//qec+2Ro9K1SRRdjdWmUVTxO775rh2CbvHOdTqff73vvczcb51weYlP6 - QpOU3keRV7zs5Ur4X294o4rIpEDB7g7PKiNGXvrECGG0LzCDCMMgDHiH27ft+NgnPnXppz6VBFPt - 8uSTT37AmWedfvrpxx133DHHHLPp6GNmZmaKVtlqtVqtFjM3TdPv9weDQdM027Ztu+OOO7Zs2XLH - HXfccMMNN9xww9z8AgiNjpqsYBBRSHkchZOUAK1DAx6t20mL+i7k3Og8/4AHTjr++Is/+tHTTjst - 1I1zbqY3Vde1Kvr9frvdzsMimqZhZu99jr1fPUvPFHtutHv8hgQMkrwjQKE0Gn7SNPX0upm6bqrQ - 3HDDDW/9m7dd+qlPElgAYhJRBZIg1YEcVvAwICq+LPrDgSO+beuWX33Wcy78+w+ectIJKcRc4oSg - h+YccTCW7nl3ftauqoqdU6BuGmZWpn41vPjii+9sikeCnFCvAgFCTN7xJZ/65MLCwofe/z5l9UTM - rAQVVSgrsHyd0vjxiPrQxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxphDquOLels856GP - O3XTmce442XeEbmmboJXdWB2YEfioKTKquBJvTVFzsSZVErHkrJtlIdOA1AZjw4jezzEjwTSNBoB - TKNxnXkEPTyTKiVJABgEJs9OHYFlkJrWdAuJUxWn2l0Ix13Do6Y2veBJv/nWj93x0/mbpme6dRrU - DawWvrnXsm3fGGOMWUOWBoXm/+dqMlZp7fCSmIrCCUnQ+ge3fA/dJBRVoQzGKGb7oKaf1+/yihoM - iKNYxdOOP50az+JVqShdSsFK7xmzv5RGO1quUkQKT2VTNZ2yHWNUTa1Wuw61OqBAxcNv/vCKrc0t - bgMaRVJ4zrU1hQVCyHnffCD1lURZUoIrUDCRaFWhu7Gc3xmmdcPJR51x3PRJ7dSVFAvHEoR9C0dG - hbojhtDkWuDigVQ5NjTcPHtrdBG5rCoxIEnF7efhVklyKnwOuAVktOEplMCAinbKThg0IaVjNm5y - yZGOqtDm91VNk7nTvZ0dzJ2QURlBHldFzqsDzJwk+sIn5Y6b2jh97AJmBbraBYCZeVCHsiiaanDH - jlvPmjk2BVkaCS9kIe3myEAABzCzsCjA7EMjlFC0XSNV4+dn3a2Xfe0j3/jJP6XpWj2EoAB5JBUq - EFTZISQBIwVtFQUNuKi6J7RP/40nnn/GxrOxtShA3IKkeWhwTM6VKi6F5LiAMiCLZfvUAwKyvccY - s7pyYd9O2QrVsHCOmVrt4sMf/ofnPvf5P/3pbQAcuyRaFmUTGiZOo/KrubF5d49RuWEwKUdbFIWI - jO5Sq4qIcw6jhqJdBzDGGGMOteX3a7QoCjspG2OMMXtHgMt5QOP7KspO8/+ho8v1gT0RS0ISQcF0 - 8DfZ98WBKJUAAGEIAIICoqTCqFSDc3AAp4SUNBaU+09aercxxhizWkII+Wu1qrZarbqunXMpWYeY - laSq7L3EGEL40IUXCpBSLMqyCQ2wfzGbSVKSlDuupBhHj6vWeFtZsUm5Xad5cBkQ6riv3NYVlFvD - 7aL8+ws/9J9e+2dtX/gjbYAag2KIpfdnnXXWVd+5FuPbWKvzXrj55pvdEZ7bneXD2i233DIeanpA - ud37+IscnOmca0LjgJne1IYNG9g6kpl7t9wb0IFy78CkOr5un6/nLw4LH72eCHD5jjx7FhFVBbOC - 2LkQI4D+YOH1r3/9e9/73loheYw5IaaYp5BPhSN3vgPmFGcdvZAJUZWA+ap5ye+9/Pzf+u0//ZM/ - RoytosgNIUnJOUdEKaXJPBMR6egIrISUUg67VVVmTim1ijIH3y6+7UHdtdizi2o+Y7qiKGIMZbsV - Y1w3PfWCX//1d//dBxwo5YUMUgIRTXo75Jl0zmkSIhIVBlJMD3t18USoAAAgAElEQVTggx71iIdL - jKqaUizLMsY4CotedinmwDvL7plcq6rtTmfnjh3dbtcVPi/Gpmk8u8nZjRYLBkCweDNo8hQAMMUk - TDys6mc/93nfvf5fwEuieZd2BF1jud0TzrmYYr+pf/NFL3rTG9943q+/IMbGEbOSkDCIyIlq3sBY - lIiUScfyoghN0+l0AMQYU0plWYpIUjlcd8xijEVRAKMdBIt39FJKwnAE7bZbv/+KV/Rmpv/sNX+u - gCqI8k6U19ridpv/djWaPfuaYt5YdNkWhDTeghKQRi1xNAIA81XzLzfe9C833oSlHd/3d2ZGxzfI - aAZ0/L5pPLM8KrFBiDEgHz/Hs+icE5F8gGOCyGgwU1G4FBIAB/zsox71nr/9201HH6NJuq12jHE4 - 6OetJT8CiDHmVbbaud1ZHjCC5UcJZiciEmJRFMwsIjFGLrxqrh1CSUQ1+bIAcQyN82Ud0ne+d90F - 73rXxy65RKGdstNvhgnQuORT0D5zuw9424ohFEURQ2j54tvXX/fMZz/rfe9978+c9YCy4Bzg7Tw3 - VT09MzO7a1dZlthtkxbNN7jznhJjZB31TBM6iI3pblJl5oLdwnBQliUxi0BiYo/JsXf0QtGkAiIu - fAghQYmdqP7pq1+1fXbXEdnyzTNNALB0SxegSQLg85d/5Qm/+At/8T/+57nnnls3Tajr6enpejgk - JlX1zpFySok0MXPeZaIc5OneGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGHKw8LicP91BV - EFRtzIc5pFQx2vCImBlKKupRNAutE486/dEPf3JZrasbgSbRSO1SACVRYVaQsANoj/AbXT7ca/Lj - 8gw1sm39cCGFF+ZJyZcl2RwCEDivGVaIgBTCGiBSYCCJkHzJIVU+cZc8DcuNU5ue9djnvO+yC6rB - XNEr52Iz1SukTpaHZA5YLjjAzM75uq4PrObKYXGEVQUyxhhjjDn0lOCcixLQ0jtmf9r4QZCai6UN - PgYgNKr9LfsVJaiLpU9G1WFGxaiEiAGebq8rpUXK+RKMMebuU5pUvBElUdLxHsYUtVd2Qx3Lskwp - DIdDOHEt15f+nOz40tWf1l4dEVJErqQqDBYQwMqiEAKTsEL2s7RrSigKYnZNE11Et4um30z7np/t - POaxT+zRtIsoSg5N46gVY7S9fpWwIiFfXVIh2TXcMaRB4kQ5eTsfjQ9o4SvBLdsoWEkUIALlwmci - gCtQ9tq9vDUuefEard93BJHR8meGCDEgrACTKkQEQoWUU8WMC4eiqLSqOgflmBBnB7NhpvHimBij - XPFJwKetd3MEKIpCJEYVgBiuLH2M0k87QnsgM3P/8In3fPfWb7aPK3cM5whOI0ZhNzRq3+Ywb4Cd - E1aebs+UYea3n/eSY3Ay5n1HO4JEnjRFBpWtVmg0NOi014WQeFQUUYQkV4RX2r9kXGOMOQC5/jXG - JU0BabVa69a5d7/7XS996ctuu+22nCnShMY7PynbffDKshwOh977SW53jPGIS3cwxhhj7hlyNfMQ - Qq4fHkLAakYWGWOMMUcyzffgcvdqAiCECBCEoR6OGd7VcdhIcB6FhzRKWL20ztH9doLkM3e+y64K - eBcTgRlKSCCQSiKHSb6XMcYYY1YcEeW0MOccM9d1ndO7D/d83dOklEJKRPT1b3z929dfB8B53zSN - 9Ts68CDQ/X4nqkIDKT784Y+85HfOd4p6OCh9sWee6BrkQACIiJk2bdrkmFRGgzZX5g2WT0eAubk5 - VT3AvllrRr6NGGOcn5+XJb9ZqenHEIg5pZQX02mnnsrMrNhnMqQx9wI8jsNkhaoykNOD82V8VdXc - S5MAgBQpSb7trwQRyd0IkySAUoIvi89+4fOvfu1rbvnp7a2WT3Xcrx2Y9n1+cY5UVQXEgGDrjh1/ - 9ba//uxnP/vmv3z9gx/4wG63OxgMyrIsvGuaBkAx6qasIiKqABjEzGW7NaxrBoqyrIdVu91emJvv - dDqH4FYFERFTU9Xee1J+1jN/9b1/94EE7Xa6w+FQoUQsIt77lNIk+Tinl+f1QABBf/f8F2tKjhgi - IUjhPDPLKl4UAgARGfT7GzZsqEOTUhrWFRFNTU2lEKEKUYFCNSd2q2pKqWiV3vt8VBdVAoioX1et - Tvu73/3uK/7wD/7lhhujqijK0jdhxbqIrLYmRQAFuyrFP/rj//D1r3/9df/1vx21fiZCC1cAGprG - OefZV1VV+kIkSRQleHbkGICotrudYVU54hBCr9fLN848u7T2zkfOOed9E0NT1dNTvef8yq+ecOxx - L/m9lwt02ARJyZFn7/ItP+Qtdu3c+FvSLFIsHl/S7k8eIF3yuGxytPc3UFVHnA+euYsUewdAYmKC - KhyQQiqYIfK0X3rKm17/l6eeePL8/LzzPsZIRLnv00HP+AGaNMJ3a403TdPpdCJRUg0xMDN5x8wh - CZjAzEpRJKmKpibGT37y0g/9nwuv/OY3BCh9Wcem3wy9L1IMS95s5ee/KMvQNDFGBaKIAjf+5MdP - e/rTf/OFL3zVn/zphpl1TahUyLXKufm57lQvryNSJBVSEJFvFc65eljlp3K2dxLB6jfCSaFJHLuU - 0lSn26QoIjFKd6o3HA6ZGUQpJYgyO+ed4yJAZvsLx2zcOD8/r8DLXvmKiz9xqXMupKRY/aDxQ0uA - 7//ox88+77zzfu25r371q0864cR+XbWKQlQgaGJgUOkLEEuIIQRmBrnDPdfGGGOMMcYYY4wxxhhj - jDHGGGOMMcYYY4wxxhhjjDEGyKMvidbOuDRzr5LH7oJIVSUlgjoCRd9LRz/yjCfOFCe4uhdUWy1H - JHVqwJ60YAWrOFXW0YC7pTnc+xoE6HTxZUJ8RNTNuEdiBSvvc8VRhDJIBONHACCoz3F3Qpo4sQor - 99y6OJDTN5512tH3vzXetLl/W2e61aTo7mTMvDF32xoasn33WOSDMcYYY8xdI++a1E8cbtn24+Dr - pOIYKYEUOUFw7EDqy4wrie3xpkQktLG3qUCH1GHU1hTASm8Ysx+UckSuAiAFja4vsERlZmaumqZo - O/U0W+/iDfHLV3x2W32rdCpJQoAnX4XoHYThEsZBy3Ig+zvBF0iiEmNBRAUkKSJKLU+Zud/ZJz3C - DcEiKQjBMbNdpFg1y464SrJl9vZKh8qRiCCqqsqgA79OJACTLr+ARWAiJFUlUiq4nO6tp6VnEFrc - okZvq5z/cDxNc3cxkMCAMEYLVlWhiRVey3XdDbTrUJT/VVXnKEGV4+Zdd6TjA6PtyOWSxEppvCky - 8nySrWWzRimwkPqdTscFNLVAVSgGN8DMwubqex/8yHtvHf5E1ofNs8Oy24WmAjmYJzLn1jIUEPVK - 8AX35+qWb175kpe0mw41KLuFVKpSMJxjIIlUjEhOWxoclBJHUBCKSgoItMx3iNjOksaYlaD7aBKo - 6qiALIkIAXDM3XZ55v3OuODtf/Nb579427Zd3nlVjSkSSJc2HJdOcz8PVjHGoihUNed251LXIYSi - KPZvQsYYY4w5aMyca2GLyCTDOyeNHe5ZM8YYY9aWBBfgALQIpLlv9+gpx0jAMFVdFpCWLY6NqMLx - nU3wIClUKQAgVVKC5nO3U0JK8K7d5h6kBNqFlkCJBOJ7Wv6HMcYYs6ZUVdVutwGISE7vbrfbVVUd - 7vm6R8l9Uop2593veV/uX+gph5cyAMIePVL2ZV8dGSe9V9YM3Xdm6tJZ5UPU4SY3KFNI8UMXXvhb - v/nCkp13BQClZXmBa3NEok5ujDl3xn3vC1FaEre9IvHnxKwiqqNbc5s3bz646a0JzBxSUsX27dsP - fmp73cW89yE1+fvTGWec4YhwpA1XM2Y1LB+3SQAECoIi/zfqUqQk7F1KyTHneGZm78sihcC+uOij - H33n377ru9dfF0S9534dR/0JlffSi+9O9ry9PZWTRgEkQafVDiHUMf3gxhvOfcYzHv2IR5x//vnP - eNrTW53O/Py8c67b7dZ1raqscM45x3nAfKgbSEopTXW7s3Nz071e1dS96amU0t7eNM/zgV9wkSV/ - TKwqwvAhpcK7JPLYn/+5cx7+iP/3rWsGwwGBAKgIg3JALxGNjvAymjNmVknHHX3Ms575TE1SlAWI - JCYqNKU06bspBzPHowkgT2PpGbbVajVN08TQxFAUxfS6dXXdDOvGYTyfxMTj465qp9UeNvWg6jNz - WZbEJCIxpTu2bf/rt731oosumq+GCijBOW6auNeW0kF9jtWhQM4jDynN9Kb6/YUL//EjX/jiF1/9 - qlc961nPPPaYTdu3bp3uTRHz/GAwMzMTm9o574nAlAOAU0opJTCRY+8LcuzKIqSYYlJV8NpqHnrv - Y4wpKSlrCk1VH3/Mpqee+5RPffyS3z7/xbfcvlkBaPTsY15fRIduEPg+29j7tvzFKzan+5yHJdvw - uGe7qCw2CIkgSQSOIYJ24UOILUYU+cNXvPxP/vg/bly3vr8w326VRFRVlfeeDuUS3ptJ/9LxNxkC - AKakElL03hO8AjFGIcC7kCKJMPOOublrrrnmkks/8cUvfWnXrl0Bmo97dWwE8MR1DHfrW9VBCE0D - QFXLsmyahoBuqz1fDd/13vd97OKLf+f885//vOeefOKJIqJEIaXcnGcAzMwEoGrqlFK7bBXeqapA - 8x5dOA9e9SZlWZaapAmNc459KVAlGVQVOy+qBCLnmSGCJiSh5Nutdrd3++atl1122Vve8pYf3fJv - U1NTswsLe8vtXovH273YYwEv/YUS2PGFH7noss99/jdecN4Lznv+maefIareORBBJEoCkA++RCR6 - hHxqY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcaYe43DPn7K3AsRQRRMlMdNewdm5lgc5+7z - s6c+oWjWs5RBlTg6RxKjU08KJ2AoowFUyQHLBm3tdZyvEJbUGGEnfLADgs2BE5DkUdxCUBIAMh5W - rSSjofHjR1LyyQuYiRJrogaspHDCmgpHUzPumJ994OMvuvIm5wslNJJaFs1u7pUsutsYY4wx5i4I - CUGEdH44u21+a+yEnAK8mLeprCxY6UIgAiW49b0NRSqhrKogENnXFmP212jXnOw8pGDmUMd2u101 - Q/WaihTRSLv+4ZYbrrj+nzrHt3bGPhM8WIK4cbkcnfz5qNjbfs+KcxQbZYFrF0TU9Oupsosd7rGP - e1JRdXzyzNzUTbfbjQ3U9vcVNTlEk0JzuDMAQEm3z22P3Mgokd0Riajs9/olYI96uwwkAuW6kKpE - TEI5PH66MwPQ8lKPYlm0B2zxCu4eT6kqjSpEMsdiXXe97qSDiGa/u1SVHYeUhNKWXbeLj0TEygoC - VFmgDHCeDSGxVW/WLCEppvyO2Z291kx3XW9Q9bmbKrf1e7d+41Pf/L+bwy3Dom6Qik4HQAipXZSa - lAFozOXSEzBqNWtqtznE5m3vfvMvPPjp55z5GCrUlR2pO210HbGolMS9TleSX+gPfZuFonJUSkIA - mGWNVjM3xtzDjCt3MzOLQkRUlVlV8TNnP+Cd73zHK1/5+1u27MDuDcCDlWNBmTnGyPn9AMvtNsYY - Yw4LEen1ev1+H0AIAcBgMOh2u9ZL0hhjjNmN0jj8OgEKZRDGN9EIBO26Ek1AUQxqKQFNkHGE4So9 - jjKsFKQKpDw3qgz1DsWgX0M8xCMBUQFFaRcdjTHGmNVCRO12O6WUv1CLSM5yO9zzdU/jnBNJP/zh - Dz/7+c/1ur25QT/EMOqtcvDWZFNp3N5cK3OnqsysIt///vevuuqaRz78ob1OV5rmcM/XXSPNIbij - NNP73//+q5cLtzS62zkHObIj6HJ/JADbtm2b/GYl34AoNE3uj1Z6d9JJJ4mI2/8ebcbckwiNulfm - L/7MDIVAodBc5oAglCOkVZU8k4IbSUmlKIsg8rUrvnbZZZd96rLP3HL7bQKwZ8cUopADkdO4Ynux - cy53MxjWFQACqhAccNW3v/X1l1+zbupVj33sY5/61Kc+6lGPOumkkxwTEwMIIogJgPe+1S77w+H0 - zEx/sLBuw/phf5CP1CklR6syvl0Al38QyWMWCuc1CUQkppf+7u9842XXCKBQ73xM0TknKU4OfUws - KjngNklywPOe8+xep6spSky523buC5HX1Oqp67pst4Z1VbRaVVUlAoiUEEVoTHJhAhWFVtWg1WpR - 4UUE3m3dtu0rX/nK577w+Y9d+gkiSqpF4esYVSEizlE6Qnp6Eo2y1RWY6y8w0CqLO3Zsf9VrX/PG - N73pec999nnnnXfKSWVRFO2p3s75udJ7lxdOlJQSEfmyaHfa9bAqyrJqGlWtZneVZUmO2TlZY+fx - JBJiZEZZlkVRhBSb/lBVznn4Iz7zycte9opXfvOqq2pJTV0xAGIZtyKXVkhZu9VSDn6X2c+PlXty - AvDei4jIKMZbBAyEEBmYmZp+85v+6qlPeUqn1V6Ym4VoWZb9fr/VauUk9Ulj6TCa9C3Ph52yLEUV - REFFFGVZsqMoMhwObvjhjVdeeeXll19+zbe/tWtuIW/fDCigUBDnzGklOgTbCDE752ITYowAFBjU - FQNR07adu/7XG/7yr9785iefe+6TnvSEJz3hiSeceDwJXDGKS48iANh7X5bDqup2uxJCDIGZ2Tvy - LsbIq9yiHAwGZVl2p6bqpibHKYbu1FTV1IO6npqaYmBhYcFx0el0Fubnb73tti/981e//NXLv/rl - rwBoQqPA7MIC+IjJ6d5fooCIAtt3zb79ne9817v/9gmPe/yv/9pzn/C4x89MTbfLMjaNqraKAkBV - 14WzEhDGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDFrDq1UPQdj9hMRMTOzEpFLfL/j7ndc - eVycF+eZnFT1sFUUnolVnQipEiIoKUFBMhqkLBiN4NrnmGUdVV0XvgeP9ToSCDAOM1rM7dbJL5c/ - sjqfWOGCA0SSY4Ek8iAXA7l2N1WDB57+sEu/1uuV1Y5muxZAkpUYxWrupXYbmr02R2nvlY3bNMYY - Y4y5M0IAa5QIn7Zs31xhGKnxBVLKFb4ZuvhlUnPFqRVqC4pI4Yqp1gwPcsG9pJrokFR7MeaeaLdi - rVKWPqRqGPudDeVstdN1dej7n/n6xbHXnx3uRBsAGAi1tFpFjaCExCAVUs4XD0blnPZnl4yNtlpM - gWKTIrQsuqjKk9ad/vAzzikXSu8dFMxelQHRcba0WWm89PKPkOwcbE8+CAmpOgWcT2hUD/wqESlY - Fwt+5cpjInAAlJg9xHVaXSgvliAkAXRy5VGAO7lYae6GvPRSLi6sTEwEMEVa19mQoqK16nOQoE4B - BUh2LWxXr8qEMKpEJxSVPJQJUIqrPjfGHAQl7TeDYqZo6jgc7PBTYZfb/LUbP/2Fqz9xR7OlfZSv - h6nVLiWl4bCZXjfdnxt2XYu1gApIhEBgBhKhqtL0dJcDAg2++J1PXPmdrz76wY/72Qc/8eiZMxbm - +j5xp1XGWvr9vnNFt8s1auWgHIUEYBbOJ2I7PhpjVozu/Yji2KkqKZiYnaSUC1BTWRZ1HX7u3z3q - LX/1pt996e8NBo1CvXMhrcz94xwLSkTe+xBCLuedUrL0bmOMMebQI6J+v++9TynlqvTdbjfG6L11 - sTDGGGOWcUArZzspABAjAVyCFKJgEAJA3aGUaM+kqm55J7FSEhrfXl/xR2UwQDnTTmmcPMVBUqvV - ApAvXcLlWzgEwuEOkTHGGGPu4ZxzAIqiCCGoalmWzZEQaXwEERFmfv/ffSAB84M+mCBLx3kyAzIZ - C3eXedd7e3bt9FjMDbc7GdunS152iMb/EUHVuSJKHaAf+j8XPuoRD4sxHin3tZnZsRNJUJx66qmM - UZe11WgjE0EVW7ZsYeZ7RnS3c27Hjh2ThbaC8hA1JoZqjPG+p53OIFWxLy/m3kyRhAma87shDBVE - VWYGkyoTkRBEJEFEZfPWnbfeeuvmzZtvuummr371q1dfc83soJ9Pg94XnqgKTZ4Uw6WYRn0OdTLm - /E7m5C7k5OM89F0JmgTMUKlFFdi2sHDpZz5zyWc+44Cjj9r4sIc+9MQTTzzzzDPvc5/7HLPx6PXr - 12/YsGFqZro91d21MBeb0Crb5NizSymBafm7r/yxNEd3O0R2nARFUfQH/ac97WnHH3fcztm5heEg - psjEMcXcFXLpeNr8G1Kd6nZf9KIXVdWw1+nUwwqaCnbIoeYrPBBiErA70p3qzc/Ps3cppVavV4em - aepOp5MHo+v4M8YYmxhSSjt37frRj3504403/vjHP772uu9ee+21TYwA2u1Wv6o7ndZwWCvgnMth - 2HvOwdppJi2lUF8WgMQmKQBGHYMAQeSO7VvfesG73nrBu47ZuPGRj3zkv3vko+5z6sln3f/M6enp - 9evXd7tdT2VKqU6pGgwccYpRVXu9HkTrum6XZVVV3q2tlo6AilabdLT3OSXnfMtxDOmUE0688O8+ - 8L/f+Ib3feADQUdrdrLWDl109120wPd3eR7Qvr+0obyvZyEEiDKQ22uSY9qZ4L1LIQFoOTrj9Pte - 8Ddvf8hDHqJJmmE1MzWdUsoLvyzL4XCY+z4dtoh3EqHFpaoEBYQgKguD/lRvZvPWLddee+33//UH - 11133U033XTDjTdWocnzqgABznGTZNLAUxUAxKp7Lr3V2GREFApARFqtFjNXVSOqrbJVN0MGhjF8 - 4tOXffqzlyXBA+5/v5999M+dcMIJ973vfU844YTp3lSr1ep2u51OxxF3nAsxNqpTrRYzhxBArFBa - vS2dMDWzvqqqwaAq263Z/gJ7l5pmx65dW7Zuv/nmm2+//fa5ubl/+/HNV1999Y033lhLIwARHHHO - HVeAQFEOYiDH2sbMIuJ9GWOjxCGmL1/+1S9++cue+aEPe/Djfv4xD33wQ84444yTTzyp1+tRUeRO - jMYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcaYezNV6HhULzMDKaXEiR562lm9SIMUvG+I - XQIY7MlRElJREqWUGAoWeCiPc6B5yeOi0Shu8DjWW4SE9cguDXEkYwZDPAAhIQVTDvAWqMNk/SkD - IICVnSIXmAkMiBcSgQMxOQ+NLeokN3X/U87+9uYrfdvBInLMClHVVRyjvQqsrrQxxhhjzF2LGh3z - 7Zt/qj4qAw5oRtGvNA5endRh2a/0bqUlf6gAwApSKEEV7Xa7pIITKyuIVDWXtFq5T2bMPV8O+BRI - 3td4VMiIAAlSFz1Xo5JOcNPuK1d84V+3fk/WD0NUT4gJZYHSeQmCAkJ5rxcvDOXkRAn7VwdHQQSn - rAIiFg2OW3Gh9bhffHI7tqd8R5OElNqtXl3X3rnVWBpmOQWgJLsWdooTqCCXVc0XH/lAy2kRANmt - jJrq4tRIiQTtdnfJn8j46Xw1y47zB2K3XZJHNbs0qhKBiEjB4qZ7M5T357S6l28mVfyIaHYwFykB - ogoiBpKSCiK0HG0otPs2Y8zaQUpl0U6UUtmkVv3jXd/7wlUfv+aWr/K62FtXbpttOm2oKqm02jw7 - Pz891ZMqgUBgJck14QUCcKfd3rplsG6GVLU7FRvox7/xkf93/dcfesZjz/25px/d2rTrjm1HtY4u - Wr6a7xO34MKoiuIot5ucglT2UgDRGGNWFDOnlFQ1pcSc70YD0KoelEV7MFg455xHXnDBO1/60t+r - qphSWqnv6d77XOhZVVutVr/fzwVYV2TixhhjjNlfzrl8ag4h5N9YbrcxxhizJwL85CYIAxBAGjAR - lwRUAVzAtX7SabHG9b0Zqfo81VFK47zFlX8UigCcCuBVizxbBCG4Xe1y11HrUBJKHkryVVO0O4dz - 8RljjDH3dDkWsSzLGGOObXPOWW73apifn7/ooosYiAA5l7PlciNtcpvhSBrjsm969+7K3M2XrQAa - PU6yPD/zmc9se+1rjtt0LO1v17LDJ6dQJ5F169adcsopP7755hWf/vgHMLB9+3YRuWfcACOi7du3 - j/6xEgPJJpNQERCpjL5unX322cw8+acx906au+QBojr6ARolnf2AswRQYgCiKiJBUkopxihL/tYx - lWVRNwFAiEGAVtmKkohochdgRRRFEULIh75J8GtSUagCRCgK3zQRgHN8x47tn/3yl7DH0PZ8Tj/h - +E1zO3e1irLw7vrvfNez885pWq3x7bkHZk4ch0JCZFdA0Wm1qCjO/63f/u+v/9/e+ZjiZGB/SmnZ - FHKHSeCxj/75+552WqprialwXpM650IIOdsbqxE5PjY3N9fuduoQnvGMp3/nuut766YBNE2zGOKb - N5IQmhTT8kHAAjCDHKnqQlWDMKhqIkBHiearG+280mLesBmFcyEkAEXhQkhlq2yaRgQ7F+Y+94XP - f/pzn8OShpNjFIUviiKnlZOoqraKshoMVXW613vjG9/4jKc9XWJYO+0cJQjgnSPVWDeapGDnnEcU - Tckzb9qw8S9e99+f9KQn/Yf/+Me3bt5alr5p4qjT9tpYobs1XHNTdrXm7E4bypNnvPMhjb64Oeck - pRASA712+xce/7i3vuWvj914tITI7BJLv98HUJZlt9uNMTZNMz09vXSXP1wm47wEUMJP/u0nf/Kq - V33nuuu3z+4SoHBOVYMIJrs/kSRVQEQUKEsfQlRF/hwiACVfFrFZybPGXmZbkZJ6zzFKXdcA8lmi - amrnCkhyzDFFUiKm62+48bobbmQCEVSXNUjzUY+AozdukJhmZ+d++Zef8c63v6O9yl3RFgb9VqtF - IlVT/9lrX/PPV17x01tvCwpZsvUR8rclFUBBgEaR0nFM0u50B8OBc25JePo9iggAjjEu3lCRVPhC - JH772u9+69vfSaIMTE11jj322E0bj/70xR+3YUXGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhj - jDFrB9EqDv8yZl9UwQ6aFAA7l2IkQafsnHLcqU5cq2SRRth8dT0AACAASURBVKnwvvBaSJ28IwDK - mghCUNCy8V2as5ZktyHOMkp0Yl58KopFQhxGo7W2GMyRMzswzlnPqxkAK5PmZxMBTkf1PUCqEOci - kUgiatyZ9/mZ79x6NYTWzkhhc+RSVYyyFI8kVlraGGOMMeYuKImSCMnmbVvAEgl+8fsD58I4OvkO - iVHtkAOz7JsJo9vqkjoIkSOQihy6kpLG3APQ+HLOnmV7BKJIVIJKLMi89tL1P/reP33rcl1f72x2 - dafbdVMpkCAtVzR1JOdBkkgc4HQ0TaX93t8duxASJfYt50hDkIec/rAHnnGO7EjMElRVSJUAJjgA - upd5NytOds7tFCRyYObRIies4HVvoVFwO4E0QZWg3F0eBSE58BsAGMvOMgDAunqFCu9JRtdwaW9n - S1VVgOFmeutwSG5sKIkoHAHAwnC+iUNVdSAohHOYMTsFlMAipLxH4rsxawSr57oMtFBN7fz2LV/7 - 9FX/eEd1S+u49kI9L8NmuuOYfQxNEC1a1JuiQej7AgBIoZSLSAqpQH1T46ijeqHpl23MD4fBD1ub - pmbD7Vfc9PHv3nT5uY/85cc/6Bfq2V2hKtfNrBsOh8RMyiwOyqzshL0AkMiw9G5jzCrJRxdJKbcV - VFVEiSjXXy6KIsS6KIp2u3vOOee84Q1veO1r/3xhYbBSX9VjjM65XNs6pdTpdPLPh72UrTHGGHMv - pKo5gyH/XJYlEXnvJyFMxhhjjJlwo4QJAeCAyOM+iQ3a8ATfQ/XgFqZrdIEO0KzmBXpa0hM8AXF8 - 84ABByTCNXfc/AcnH7/VMbq9Tj9pHIS93Uw0xhhjzEpptVp1XYtISqndbldVxcw5xtusFCL6xCc+ - tXXHdslxoTHsEQB696azt1/qnTx3WOy1Kbm32Tt0OYyqIFYVRwyV+UH/Ixdd9IeveOWhevuDpaop - JfIupchl+fCHP3zFo7snKyPH7u7atauu605RrPC7HFo5zDTGuH379lXa1pg5J/vN9KbOOOOMlJLL - kYzG3IspAUQqOfETSogiO/t9HXe+zPn2k5+Z2TmnqinGJNo0gZHv/xNE63H2KoELXzRxMYqVRmfS - 3VssS/fAPU8++dlREDgTM8sk2VpVgLLdauq6DrEsy5RSnRKA0hchhvw6T8zMMcX8z9tu3wJgUDVt - 5rJsNVXlFg+egvG4gxXoRkUy+QDMzAoQVJWAEELRKhcGg9/4jd94y9vf3u/3PXFU8c5HGfVnmIQf - ExTAVG/qhS98YdM0BXNKqXCeyDOzNg0Vfvk7HniHyWVjLpZMrd1u13Vdtjtbt++oYhxs3ymjecNk - BDqAnBcLgIlFZTKxJONJE3yrFetagdyIbZetuqn3td7XGmLkvGEVhJhAACHEBKZhNcpjDjHHE8N7 - Fhm10JMghjgMMWcA5yRdQj+PmenXNblC1t6HFpG6rgvniqIgDwciIMZYklPFcDAoO+0nPO7xl19+ - +ate82cf/fgny6KsQ4Pl0d0rGeOdN5Q9prd8+8kDj5Zdzxx9HICXH4B2mxLtd2tflk1nt17WS/aN - PY99RVHkjaMsfcHuda973ctf8rvzs3MxRk1JUmwVpTofYh1j3rS42+1674fDYbFHe2/Fjlp3avGQ - RKOPk9O7b9+65atXfC0oiCBAlVI+UwBQEdFRmDQIIAZpEyIAMDQvMQIUMR/kwZMyF7u/71L72BLu - nHeUkopIWfomnxAEALq93qDfJ6I6Rc8uSh6TAFe4JiQaHcPBzBpFgQQwgUCbt+/M8xKisHciuqpR - 0N57gTYxsPfX/8v3b/7pbQFwbnQdQJV0dLpWgB1zkgSF976JEcBgOFBgMbf7iCpktO9Nm3f72TEB - SJIK31JNTQwEKBSAcxRFdy4Md/Z/8pN/+zc9opaAMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMWY1qMIxEpCrpKrCO6ybOsq31semmzAQVsRUUJdDSSLMKTESSWQWEACXoyIgUDAgOh7luAdS - gAQKkCjYorsPI2UZD2MUAEqLI6wFYOXxgFEGSEmVBIggBYmDE8V4dFvFqpCqVD7x6FOK1HaBxIHV - hq+ZlbGSw7RXn0V3G2OMMcbcBUrEjoMPO5qdiSUXd+L8oKw0qfEF1lFJlv2Y+JLqM5MvOUoiYJ/Q - LaZVVUmIGKOMkBX7XEcaBmS09Edf7RgQHi+QvdW+4SV/u6dDWAGW7jKNlZcWWRpfepDR3+heP8ia - rWAro9JrAABS5HWU4+2VRUlo9P18f2rg39UyvJP9brzZLP4zL+iyXSRqZsMumYpbq9s++uUPV53Z - ARbKLtVVJUC3wylIXdftVnegDSsSjT4LTZb/soJKey/Vt1g+i9DE5BiFZwlSuqJo2v/+nKd1m+l1 - 5YZmtmlN94ilaaqiKFKKbPl8K2pJFTPJR11SUkrCaaGajRoAEFGEOlUQHOOg8rKXbHgkcAAIBBWK - qp5RdMqpPUqr7V6Vyeyn0T6olDNC2IEAECsAVWVAwO2yw2BS1lU+kDJBFMzQhEEaDGWwHomIoIAy - KI4OIJMLnJbbbQ7CbvX9FtsSmusDilLexsabGUXkaG0wLWs15T+X8SV4BUniKIX+ePsPPn/lJdfe - ekWc6cdeNbtQdTpea/W+DHUjqq2Wa2KKDVptxEnFU4xbAgSCKFTVq6IK6E2jrpF0QQllu0AaXnLl - B6+/4eqnnPPM+2/6mblB9K0OhFh8vuLPCtZxw3uxTbj0nwBAynlvUgIgB1/m1Rhz7yQizjlmVlXV - NMnsJEBEOu1yx45t3e7UM5/5K/Pz8//lv/y3lMZ1nA+uCU9EOat7/NbqnLP8EmOMMeawyNEdIpLP - y03T5GCGwz1fxhhjzJqTr0DKJHBF84VHQOEcSRKBLsBdVacCaAMEpFW+0+lGM4I0/i/ndreBRjEE - 5gE4Rh2rGNrwQFzN2THGGGPu7eq6BpCvtFdV5ZxLaX+6DJm7oqCouOA9787RZzEEEDBO/MVuTS9a - 8rg0vhLAkq4qsvxnrKVei7Sk7yDuavZ2CyDc/W6OLnvlAX5EBTHnEURJxQEJeM/73vuyl72s5bw7 - EgapMXNKyXtfpwhJD3zAz1zy8Y+DWFSw22I5iE+Tg13z+mqS1HXd8SWgQuAjYCGNLI2JZeYQQlKd - 6/cVIIKuRK72aFMkweiWJSOlk08+eeOGdcO5BV+WR87SMmZVkEIJnPvxKvJd9XwFIO8dgtzdfhQm - LSoSBQA5VhEolKAqpORdEVP0zscUCRSW5HYf7EyOo6xH9/ppMcO7qev8myY0wCjeO0eG58ZSVEES - AhGRqDADAgGCSBRxRRFFHNG4G/aKXV9ZeihW1SBSsOPCe+fr0KQQp3tTrtV+xtOe+uGPfEQBJg4p - snea0mQQBIOhwsDGDeuf+ku/RCGwCAhN0zhPJEpE3vsQ427vuIKUkCS5omhiICLnKCZVgD3HOIl0 - B3J/XgKApKMO3rsjinUN55BS0zQA6qZ27BbTZNc2lfyhGBBfFDGEUaNFhNwoqDilxMxEFEZh8Xn3 - QVqyZdVJmECKsizzcvDeN3UseW1lyZZlWVWVqrLj2ISUxDvHzDGJEyqcj3VTFO6omfXvfscFT3zi - E//zn/9XUg0xCMDEqpqPKItTpHG+9d34lLttPvnS6EH2INrzLfaYkcnYk7vzuMd07uLTSe6FSgRN - Akntwj/0wQ969zvffZ9TTlqYm++1WqwUNLBzIQRiZWYQqapzRQhhbm6u2+3G/8/evYfJlpVlgn+/ - b629IyIzzzlVQBdQwpQ3bgUjoCA3oVFBUVHkNjS3ogRH7cbr9EzbM89jY7djy/Roz4w6OgpMg4qK - 9tPyqDSC6AjiBSjACyIIlBRUFVVFXc4lMyL2Xmt97/yxdkRGnpN1OXUyz8ms8/3+iJMnMjJix76u - vfda35sP1nXXGGOpkfYqVgggNLHkDBEIhnVgSPsmSA2BXOwkRGkGIMRY0v525SqFMWrK1vcZstgy - genWVt2cRUK2EjUAKFZyKgBEQaIYyuJMsO4Da/fZJoaUy8mtTZGwctjcL2YW21aC9n1vgKr0tXOd - AGC9EV8P4dmoqgbmnEWkCbEeFkOMpa4/yynlnm9YF0zbtH0aOh6UUgpzjLGUUtO7h1kVQKLLB2hP - 65xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845d3GqMcnOnU8UUGCLUYJCCBFETUmzoDBgEib3 - P/IgYyRi6jmeNCaihJnFpjEWyiIkaaU+hwJ1hOFQVGRIj1rS+uTy0US5c8ylP56/R9kO7cZQ5e9O - h9kNC3Jl2QkZIBQDCLG+pKZtUkmXXXqZZBnFUUZvsh3eXRP3WEPGhpVHAQjrmmDKvRze7u5LykEa - dX5PeHS3c845d4DwjBPuWpPiQNW1udgoocYykttG089uft6aogYoCBARoopskiFQ1hcvsxLvqWAw - AWU4xTGBBYSi7bz5kodcXpRz7QKCGkJozlxJ7vNUxAokhGTWtGHez8BGm1ZMhDDJCohFBYQZwsXZ - oxqMUEANurwcoDRgES0ttbiknu0iOxsGGFby3QEoV8uH1hcpaqCmgKQGScxBYFbEBBpKYQMFtEgA - EGgAilqN5Ny/qT9rQx2zIXWchEADYUAOWsSgpJhSlZIFhAU7/ZSEqxditt95mIfKKLttBLrjtfUE - HhQrsVCEZDGjUYKqSBGLEqb9VmiLjtKt/U2/+e4335A/IZcWs8TCIFBB7g2AtDrnHAKBRQMWc75e - MxAs16chKBSyPeF1SuqShVi9wsUARNMZ1rojz3jENz7mflfqF8ewdhzXcuqoSZqckVUjIR41urei - qJGMTKlIiMYUW/R568TJL4b1VGDJFCFmmAh4tuX1ODyYYKiXyLp70UAha+0rs6ZvZZLvsPutPVD6 - xfKlrq7DK8vdrz2djWG+LQu9KRHrPDTLMcZixciNI8csi2RQ9vPqXq0sTDDBwNKmL5y88SFrD5NE - Gz5XhXVq1bd0d45k0cIx0dqwIUDNQgotGKg0wkhAKFHEAjLEFGqgWChUUClQ1S53EqANMnpohuTj - euvvXPMbf3X9B07Ob4/3ky7PSsGR9SalJFG73KlCBKWUINAI5iEa5/TppMWgJc9VAcG8XxxDIxjk - 9v7k2iXTj2395bXv/tjTH/NNz/6q7zxmDw5bGw2bkcRkyaTXqNN+1rYtrDbt6m7WIFRk1DYhAQZQ - TY0CINXdsm9ozrl7qJ5tiKqRi9r6OvwrwTIno7Xp1tb6+npKfQjhBd/5vFLy6173EwTaJuZstTb4 - xsbG5ubmLvcxd5T5PX3XtAgswDKum2TN814WLa0ZovUHVd+5uYNCVc2saZqUEsn63ws9Uc45d05I - 1iCx5Q7Nc7udc865XXEZgkhgGfqRAaAYDQQSYRnogOnKX+2f1ShGrjy5iSHPGwSSAR2BHn724pxz - zu27en27Xjb03O57pgaa1bbMshsSSinj0aiUQjIXtm2brZjKH/3Je//uM582DWZFVY0Gy1ikCHPH - u27TKEqwMNaMPUhajqdaee2uN38PjrudPAUipIClNgXDELkHDOPVlvHedm+bqQIbbvEoCgHi5jvu - +P13/sHzv+N51nWT8bibzdu2SSW3bZx18ya09+pz9gUFCCA4nW5N1iazrnvSE75GUAM/sUjXqy/F - zsD0syAiUIjVm2GMkE9fe+1Xf9Vj1QCyrPQ6BIAz7qBdWFyEAO98EjmVpmmv/cy1BfX3PPcTnUVP - puUcZiklAk98wld3s1kUUcBYR1Q6dzEKEmlUgVBokCAC7VdeMCQzbx/9dLldsqDuXkgMPZJLBpBK - xhAGusPi/6ftkVajcO90m68fsTyAgzDjjrda7l5XfrXYzQxjput+2BZjmlXDYkiJgEKhbO+WhwHP - Z28xL3Z+UwKiWggCfU5N0wDIfRdEvu/Vr/7Pb3tbqnHXAgkNbOhOQQGMCijwI699rfXdsne4xsDa - pTw0KS97Tp4+JWc99VI/eFEiYLHHplFUQtNqbLpCiZqzlXz6IWalkSS7T0JdTJmAGlHTxvNpi/Ig - I8Bh8eS+tsC1bgiL3tGKYR3jjr9aHPzrszXY2ICtvo+QKGHepRgjDlKEuRCWcxujEDlnqIiEAgBE - kAKDIkJJBsCAV77oJd/x7Of8+9f/hzf9ypuDaM+aUa15MccADW1buh5A07ap71WHO4aqK43JhTPX - ieEK5Bl2PmfLJ+2Ml92zpqnd40cACBpI2mKPIaKkCUREjDVeWUWkWAKQSw5AEIiVoxvrr/uxf3PV - K14uFOQyCYElGTUEqeNguCzWAamR8G3b7prbfX6aMcLl7hG1KUpCBEIVwIhGg5VCwRAObXL6yRIB - iOXF0QS23HCWud13cqTY6V41DwlLy73WzjZmTgnDwEPNtr0X4+KQsfo9rLaySYGkXAQYxVHOOcj+ - LgbVUD+l61NsRxChyvI4N6SkD9HwyymX2uLuc6mztCTbdd4e8NF092zyrEvbHQ8KDdCcbbHwpLY0 - WJZ1cHxIqXPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn3IV0WkQUyV0qTju3RwwwgQBFtCxq - j9d0ZUsGgQaUvhvpOJ+QL/nKhzaAlTQKLfs6nqxYlB4ZMNSsZVuO1FIdhgXbamTDXQ9eUpoJlPDH - C/C4WAj1HyGW49zrWjEsQdmuSzOkngEGBCxyu4AkkDhODIUJjA+67IHHp5+RBgRIFRbI8OcmWkSL - wrRmxiukJv7YIr3buUEtJ1Uoqtr3PQUyVF9Zec2Fmri75NHdzjnnnHN3RQBFMCvHu9unmJEMAq31 - dIYzEVteGdFakId2VlHQAiiRpZ6gAouGY4PRSEeqykARGmQIHZTTy/3ct5GkEFr6vJU02VoxBEJF - gtB0mHOtEiL9stVdr1fVAMuawL58Q6lx2ouMdQIUFYKCPX+s1yMwlElbTMDiZJIYPhcrdYhoQqVJ - AhgzUYg4aTgqfVBGitQ/11qxbd9n/1niaRXMah6tKYzQGlwNKVic1VNIsWGG1As0y9V78TUXv5JF - ATrbtWZTrYa0+hsVmGDe97GNqiFIEGoIDYql1FljzQTHyx1lMn37O9/26dv+Lk02U0lhWHDLanSw - 1QsNZzwz7AUEhA35o2KLFy/WOhmivgHEkXRTNoowb/5J8+Bv/drnpVvzAyeXclM5hI/aMBPoIaN7 - jwRJqEFZ9+SZpUsdpGxXQBvK+Z1eg+ueGVZRA8JqLTsToVBJgQkoFmUU0Oy4tOSL+9woYVjuQ8xE - F6UVVUSUtXKtQqkaG206quLsjtdnzSCEaDQiS5qWLbOhDt32fkxMuCha50nt7hzUg5QCZSjdZiYM - hJjU6tCiEjQYAikGpJyDWJAYEEGNoiKBKqn0443RDFszO6nreas//sG/+vP3fezdJy69+WbeEtYw - bttiAFBKMYNqjQw/fUru3PJAv+PZzvrR0bjV5abNYSLv+ujvXHvdZ5/31Jc+7H6PC0nnXQExHk/m - 883R2rjrulaiUCmLtjEFdQ8w/MzaAANg4ntX59xeijGWUkopY1ULYT6fXnrppS958Qtns9lP/dRP - 9ymDEJHJZLK5OQ2hqdVs7xm9i8ZA0zRbW1uj0UhE+r5v2wOU6OBcjZavPy/TbT232znnnHPOuYsK - T/t5JU2k3kk7QEk+FYEynLYcuGlzzjnnnNup3o1VgoImxr7v68CV8Xh8cvPU2sZGId/y67/WW1FV - iJiZqpLcTh6sTusgoWrZosozn/4MK2Xcjk5unhqNRsu+T8MfnRHneegIkfv52traLOX3f/Avi2iG - xdEod119wbl/N5qpgoSomBGCeS6/+pu//u3f+m0b6xuzzVOjplVVZta7PDxgbdBSSkppfePovO+a - EB5z5aMaAesovtXVZtGt7l4MiyIJUlRQSEoBb7rpFnwVRFVrxC7vxbteeCJy44031h/Iod/oHrxt - TfBVSO0KQTz84Q+LMbKkcLH1HnbuDMphGyHvZn+0PymXuvK470REVa2UUdtYn7suBWEbGxiH/lH7 - 6bSu2kJM2ubKRz7iSU94wgc+fE0hRLWkHgJAwqgt81kIMmJYG7XP/dZvaURgp0/h+Ynsbdt2q5/n - PnV9L4KcLYQgQRfx1RcVPePxLNVgYxENarkY2DOHEGKMdsDm52m9Fndd2eprAgDyfkeO/fTrf+pV - r3jlD/zwD33s439nYKYpAFEKzFD6vv5VzgZgGXdd+0K0sUHtQsndj8xnu4nunh2/pwgUKwAE0jRN - KaVYqa0XkjFEMxgNRBMbDUhdAkDD1S9/+f/yr//1ZQ94gJCL2PLtx+1e9wdPLU6x4xnVRgOt9Clz - aHGtRErfyduc8XgenPUH7brK0UxDgLGYDV9AdTQaadef+yTehW42H03GBEIIOedClkzq7qu1p1Lv - ZnV98+a/c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeeccxcNMUCEwJCtULOZTIBFijcIFKBh - CBiPm2NCVdrqqDRKXn1L3WXs2dkNYavv4I8X6nGnO1t2dcinChVUk4zt9DBATCQQKgiQGNCMQqsI - glyLzMgi1E0wpHfb8J66nIz9jfVxh1mtGHN+KgnsFY/uds4555y7O8rMfMfJO1LpEaG1It7+f6pK - bNtxLbwFqghotSLioWpvnjulRu119rnbPnP8thtSs1WCJOSa1KgkIGItIJAEmC4ik2s+7vL8jVgt - C7RaYDRgUZpnzx8BBAOEwpXPXvy4DPnGcJ5pgKo1gBakqBqyWGYMk0tGlz38/o9o0gRohkQ3mpAq - i8Trg0JRC/toBgDW0w0zgcAEEEJogiwoChJmCt1ZtWp7/V58L7UIIAAmRs01hHT1L5bnYHbGlYLR - aNz3fUk2asaxxLRVWmnW1se39bdyfb41uf3X3/Xmv73xI2k8P3Ls2O0nbkV7V4F5p39b02AKMaiZ - wNQMQ1TwcFlBbHk5o05bP7O1NoSTk2O87PnPvCrMjm1MHtD3RUKBZNNEMaWCERwNf+cFd/YOyaFS - qkj9rzFP++levf9QoHZlJ11/JikYjh01PjzG2ISwV5/rlna7gGi11B4AERGEWjeQ5H4fTklIXfAE - YbPZFtfvtFjhblPu3FmQxUEzwAAYLBiEIqGBWWZmoSkpqTaNwnhNaDSWDLVMI6DQoq0cn32xuZ9M - 5bb3/+17PvDx993R32JH58dnJyUgBEkpmQ13aPawSZw6jGLbAuyNE+V6/sTxj9z+/938hK985nOe - 9IKJXham49RjbXx0Or1jPApWCMmAKtSggIK1gUTTAnYAKGKIMD+eOuf2Utd1o9GobVuSIYSU0smT - J9u2vfrqq+fz+X/8P38+BBEJs9lMJJRSdrQ3zjjcLyIP7r7OJskjR46klFJKNbe71gJWPTgng+7i - FUKoOTFmVkqpz9QfnHPOOeecc84555xzzp0r7riPEEIws5xz02I8Hk+n0xu+eMsf/uEfYiX8ePcU - 5PqcDI9ipsBVr3jl//aT/37cjnI/b5rGbMjiW3Z9OZghfGdFgVHTTqdTadpXvPrq3/2DP5BWl7nd - Syu9Ce9Ndpyq5mw1Ll0EBP7kT977yU9+8sqHP2IyHuc+AQwhmGWSB63/p5lNJpOUkoiEEC655JIr - rrjiHz573V69f+2sVddLhYiIkZ/93HU7fjustzw/fXP3hIhQ8I//+I/LVWb5XfYGMaRJGh7/+McD - IHlIM86d2ysGigy7WYIirP2Baoq3rey/t/sUH5qdyhkIklaKAiymqm3btlHn01kb4gX5WtPp9MjG - xmte85q/uOaaRkNfCkShimIsBoKFCeX5z3/+gx/4IJjxAoWx9n0/atooOh6PFQKwlILT+m+4M3H5 - sHNWCSC0UgA0MZRcQpSt+WxyyPurdF23dmTjUY98+Dvf8Xu/83u/++P/7t/edOvtbRPnKXN5XIcS - oA0lKjQGLLrr9DmtvtuZPX72e+2/F+8vQWG1McEu9SIiqrBhOEsuOYYYJJScSraS0QR811Wv+qEf - +MH73+/Sjcla7hPMotb+2AqAcnA7ZA7Dc4YfSIFBKJhOp/WQEYMaNJUMUpvGUrqbdzzIdl0baqS6 - wKzAEIM0GlLKXTfr+368z1PUjFqIdKln0Mn6uGk0J5O7Ckk/zMfr/ebNf+ecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOecuJoF1KJYJTWGQAskUQJEFRlAgIskQwrgZrx+uuFy332oAD6EQAwGY - gkYIDAJhiAyGpg3rEaNQOgIkVaE1vdtMYYGmMCGEdxoV7tyh5tHdzjnnnHP3hB0/cRuZazXKRdEQ - Gwo1nls1xTsrpqEiTdMMr1kEzYrcB+phnh0DNJStdPJvrr3mo5993wm7pcSSJVMFgMLAABsDMO0B - KFWogLGGYYvZSmr24sKBYigtuvj/vkV3C3U7vFlOmwzU5V+fp0AoggYFIgyiEYE9m7j+0CNf8eXf - /sMxNwDAsPyTZfL3QRKBulEM9ZiWZZmUNbpba7k8WWw4pUZvUyCmdY7UmrNicjap5Mvc7kUpKAWQ - UgqiEMWcATKZrFvOJzZviw/IX8TNb3nHG6/b+tSsPRXX4u0nbm3bUM6yvI0wgibSb+8EBKAJVGjL - qbdhX6GjBuUU/km8/BlXftOVX/IEnhiXIqKGkCFFkYGa293UBY0DXNbq8KHW+UlSRFGDnEXm8/l+ - f7LUapELZtY0rRyeUrOH1kpdZRHSVEUFUcOoaWue+r6iQACy1HVuPp8b6kbt27Xbe5ShGKKJ1XKZ - 9ehaSgFUJVIgSiihMEjOpVAVDI1pACQXdkk6TOzE1hf+8pr3f/CTf35Lf0MZbXXtvABhglZjKSVn - qiIEIbmHu7G1tXa2ORs1k9jq5nQrjrTdiNef+Mz02un1t33+Vc/5/jU8ALMUbC2EpuQiIgAFRkCp - GNK7DcgAID0Fhggq0Sw+xDc959weqA3IEMJ8Po9NfOtlgQAAIABJREFUMx6P+z6nlNrR5Hu/93u3 - ZrNf+qU3gVlVzbiH5+8kc87Lcv8ppXq5wKvzu4OglBJjzHkoVy0ipZSa5H1hJ8w555xzzjnnnHPO - OefuY8xMVWOMxSyVTJHRZPyWt7xl3vX1Om3ti1JvH4QQSim7vAsxamLuswCvedXVrYQ0m7dBc59U - VRZ90qr7RlfFrZOntIkhhFe/6up3/fF7upQBiCqLYeVG8kpfwrMjMoQ41n6kNDRNsFR+5dd+9T/8 - 5E+RNDNV0aCkmJki7Mn32ishBBHp+65tWzNLJT/mMY/51J5Gd2PoE7jdz+Dzn/98jaEuIIwAVISH - rXMsyZtvvpmLjW6v7txtv4uBwGTcPOLhD69diDP95ou72FGAIbobQx/+u/+bxSsP1yPQRLVsAqRS - GiDnPGpGIYQL1fFUVTc3N5/73Oc+9Cd/4rPX3ygARJlNVC11bWxKTgq89rWvnc1mUXUR8Xu+1Z4V - RgNQyCjIhAYphQdiyR7Mx7uan1DVQgORcwFAMkY97H3xjhw5cvLkyfX19fX19e/8jue99KUv/bmf - /4Wf/b9//uZbb4WoiBQrQAmiBjRt7FNf88tFVVULM4imaVJKWN0j3cP90nknQWkGQGMIoqUUM6v9 - qGOIpRQBrQy9PtZGo29+zrP/1b/8Hx9y+ZdsrK0HQep6IUMIB/Pb3RnKjgxvA9bW1pIVAn0xwESE - 4HZu9wXfEvdk+115nhxOcEohSgagqm0b0eX9nvkppRjjPKdSSp8Mded81yvQBZ+TB/PROeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOXfREGqgkAIJwaKYigUwLoeMkRANAEgRkbZtL/AUu4PH - ZEjJgZiSQgsABGZUCclELY7julgDRkBNkoBWx7TJEOG9+oY1WcwzvN1dIHm4shI8uts555xz7m6Q - BaGc3LyDoVA51LMU1FDpPfmIRaLzzifNmhBpAHUZ3X0R6vs+NChNmeLk7XbztLkdGyiaa2lRhQkj - OTIBJQEQNkoFrJ4SAiYwoAZpKwBQAQFUqBQrWihWM7D34VF3zdbedYlXJFEsqAglSjSVNmzljQeW - UL8dtF4GOfAnHYtSPwaxOjcAiNV8TQBQiiIU4WrS9qKQ1/BvfWlZia8Wi6fXchNbnRnLfHQAFMu5 - xNiOZAwji825hXHPo/N/nH7yv/zpb376+MdvL7ccvWxjszvZGdpGkHYrWXsXX1MyANa40MUlBAEC - tyPjh6pPakKMuK6lfdhlj/6Gx31rPHUkpNHGxsaJzdvjyAAjVRiDNcBQ3OrgRbMfbkMpWCNk+5mu - 6ygwGa4iLYscKfekspwBKiICgdAIECRHsVEerDq8920iQkKoAEOIbTtGr/ud4ysCCFgIFg3outnO - WpSLQ9IiVN65e43QXhVAPeYuS0EqFSoiCNKQpZS55d6QRXQtXtoThamXfhamMz21Wb54ym573/ve - 86kb//5kPhE2pIymRXPTNnmeSgY1kwgBMUaStRDnXrVPc86iJM1UrJEpy5wlHMMtmzf2x+e/8F/+ - 11d88/dcNrkib84u3XjQ7NRWbAgUgIIyfGEGIFAMIIQmAI1SG34KnN3x3Tnn7kzTNF3XNU3Ttm0x - K6WEIOPx+OTWVCX+6P/0r+647fbf/s+/Y2ZDfd66n7ybuqMG4K53qDUFuQYnLC8OXMwXCtyBoqql - lLZt+76vSTCe2+2cc84555xzzjnnnHPnovY9q1a7J6pq3/dNO26akYHT6XQ0mbz5zW9GveUqQnJ5 - hfbOcrsFyH1ea8Jj/9uv+qorHwVjzmmycUnfdaXkZbidLqYEh7//0mQ8bkej204ef+pTnvQVX/pl - f/8PnyIA27Pud8P4xjp6TZS0lEoA3vZbv/Xj/+Z1yWxjfR1g3/ciDBoO2mijEOOpU6fW14/knA0c - Ne3jH/u43/39d+xVoN/q8CqSBQjAddddJ0HFQDOaqaqIQIRmd3Pb7ACoW4SIFLM77rhj+3nyHCd+ - 9eaKAKKg4YorrrjkkkuQSggB2LOAcOcOo+F4tIjuVhHc5U1zAep2eRgfAeRsCkRVJUAT4dbW1rgd - oZx5K/Z8DHZeW1s7cerUkfX1V73yqp/4qdfHGLqcRURgIIKIAV/35Cdf8dCHjppGAcv73V9r+1uv - tlVijKemW7FtcuoUgAjIoR/ZAViyB/PxzDlriy2IBWWxyrVtk/oEIKrikHcJ6OazY5cc7fv++PE7 - gipT+uEf+P5XvPylb3jTG9/0n95y0y23TNqm6xNobYh938cYc8kAaFbqdxcU7my3yMrjfh+uz/JT - lu0HK8VYlm+ggJUsQKOazcaj+O3Pfd73fc93P+bKR4/bUbGMUjTEpm27rhs1bep6bG9xdRs8iGvC - nZ2/lJIAtDHOSybRtjFbKcUwLNIDsT3e6+1XlmvEyorRxEAxM9ZxLqq6tbV1JI72Z8YPNIRcCsim - aSwXAVRg+bTdjcnOvY0/7vooi7Ny55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84559x9HzVY - oKlpo9aoTYKNtYxEGxYCWVUCgxlDYShsQB9/5FYNiUsrlnltZoBQBTA0oRU2tNjHYNCiWZHJqNII - gkENukgZM4gph3HFvrq5u3ZYKqJ4dLdzzjnn3F2hmIEiOLV5XJW1LgsJERA1mvf07M+7SGW+uw9T - wGpYrBIkazIiDk/jcj+sjcdJO23IEW2Uyzj1MXeGpoEQQiizwSgoahQE68GIIYcXAJSQIbp7cSbH - uBLd3du+lSwjQNleP07/HOouK4uShSIoGSUEE2b0JfagCFutWZxULk5xwfNRcO1sGAUQgxhQY60H - QgiCMFCUAiCKUdTkzsu72iLOdnFarooavruy0VHD4r8mCBzO2RXFiNC2SrFUoAwjlNH8i/mG6099 - 9rf++Ne+0H1upqeaUdiab+aCB1y2fvLkVpCzqG5jYlADYIigCk1oEChX3oQKoMaThxLLSfvy+z/y - 2572glF3dGwbqnE+nY5GTZIOgDIKGyCoqan5lYf9Qq3bT1315vP5Pn+eiUQaAQihCkBjbPf5Q902 - kipSD6QkFaFpmpD2OS57sfmSBCki024+7CF9y3Z7zyCobQMhIFCKWATAqISVnIgiZAjSxiBBT3TH - 2QQd5z5Mb51+/u8/9zd/de0Hrr3p49Z2pUnzkJkhEX2C9TYea+6tNoBFxMxKMRJNE3av9n72UrLR - KBK5K522YdTovOTNKY4dwamt26/b/Pgvv/2nX/iMqx79oK890Z1YX9+wvqs78vr1pR5toUAEzBAW - m5thMU+cc26vlFKapmmaxvq+7ga3trZibJqm2Tw1/Zmf+ZnZvP+933tHSgkA9mgXZGaqw6nfMnWj - JnDswbs7d25qVnff9wBqZXxfP51zzjnnnHPOOeecc+4c7Z6ZLRJiNDONwYgjx46+5Vd/7eR0Vvs/ - xBhTSvfkCq0AfSrf8+rXzLaml2wcadbWTh0/oaoxBg6fM0zA8Pk83Ondfd93fX/s2LFTW1vf9Yqr - fuzf/XiXy4486XP+iHobh/V+vShpAtmcTd/61re+9nu/RyEp9znntbWxHbycy5xz27YkRSSollKe - +MQnLjrt7cGtrjqrJSgXKyeBa6+9FgBVFEpCRESEAMk7D+E9EOq2UDuFmtlNN90EgPvTGYgGBZ76 - pCcrYWYKxZm7BecuMoWMZ+wmavYnZXuPNfQYP9wdhgigCaGUQiAARzc2pptbuk97nHtgc3Mzqk43 - t656xSvf8IY33XjrFxVoQkw5KZBSH4Dv//7vT10fAepuPeTPC5qNx+PJ+lrNiy3GKKjHogs0RYfC - jtVKFjPLBDGGnEttN/V9UqCbzUmKXLh1cS+YWer6oDpqmslkcvzkyZTy0Y0jP/yDP/Rdr7r6Hf/1 - D97whjd8/JOfUIAlN0DKGUDTNKUUM4NAIHYXnScPWldhEoCGYLkAaGMDoOSkAAEFvuLLrnjFy17+ - ohe96PLLL5/NZuN2NN3cvP+ll85ms9R1aJqoYT6fBzlo41buXm3WCqECEREg5dwE7Yt1XQIQgvDM - YT6HyY5VbXUsG4mciq58uxDC+mQNOe/r+tn3fe1p3LajnPMoyLxQZfmZgsWxW3zXfHfCwdqVOOec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOef2k5iJUEkJNXCLEIpS6ljqQAJiCgqyIsHShZ5i - d9BsD0kTqpgBClkMPRQji7GEEEhSSEERUgxEkZp0sixqYXW0bB25rzyHMD53X3foCtF7dLdzzjnn - 3N0RM6ST09uNvewI9a3nCbpM7zZZVvu6l4Y/FwUU9GxXACgpp9IXLRQUhakMJ2xEoIYCpYooxahm - Q7mZjFqIDZBFwZ3tOmwEJJuoUg2AKLhvBTF3nFjCFquHcvHL4SRTAdQocROFFJVQWEzAoNmSCcA2 - lJHCDCiiAHSIojxQ1TwNkiGGlXPpOn1qAKJaA7ZFtIgKAihN2TH9JhyyNmHLE2+KLU/Oi6Au0sWs - qx9jyiHTe5nqLYSKlnnW0biEflpOxXVsNrf92T/88buu+a+35ZtK7NeOTjRx3uc26k03bR07ppbP - Yn5SkANQL2FZDCUKTNHXr28yFK41qJhCLZbRgydf9qKnv+zS+OAJNxqN861ZGAGgmgJRbAQGQk0M - KJB8uCtBHTy1yCxJlWF3S5RZN7W6jgnq6nfP49vP6qPVQIEIFNLGxhfueUNyu7KXAUAbGsH24Xuf - FCCoUCFGssy7KUlypVSfF9N1eycw11YpKEAQaigjE5vLnGIBKiKigco+p5lt2f30M7d9+u///q8/ - /bm/vunEdTMeL03PifWSk7EZtxTM532MGI3aruubRgGwrsSFWFQe3yuT9aZLSRUYYd5l6zBpw/p6 - k9NcR+j11OdOfeI33/ufnvd13aMf9OSS8kRH0eomTAUBA9XESAFq+5mC2v4rKmVfN3bn3EVFRMbj - ccq563tVbds2hJBSEtHSp7ZtT5488R9/5n8/dfL4X/zFB/qUyXtaivduX9U0Tdd1ANbW1qbTadu2 - NSnZuQtOVWucPICcc33m0N0udc4555xzzjnnnHPOuQNIdmZmkxJjW0oppZjqdGv687/wizHGnAsE - y0zo2j0GO0e2bKeZAo3gsvvf7/nPe97G2tqJO46vT9bWx2MTlCF90GTRz0KHHlC6H31pzpvJaNSl - fuvU5ng8evnLXvb6178+5S1CDMSOYWf38v0JxBBSKgBYTHSIBWyb5v/55V+66hUvG7cjWNEYQmhS - ml+4MNPd5Zwnk8l0Oo8xqupsNnvkIx95dGPtjs3pncdRnh2RHfGVBG648cbpfD5p2qCKoDDW7j1y - UIO7z+zjIyJG/ON1n7WVZ+5FiunqG3Pn87X76zd+/TeklKJIMVMIDuoscu780Np5eOVGfO3BJMBu - mdaH+egFKBBBAwRYG49vu+22cTsCcKG+16hpJWgqdsnRo9/49c9822//dl5E/9butw954AOf/rSn - HVnfyH0XRG3/OuffCSEpKMVijHfcdvtoNKrRsEpk4LCvD+fHskvgcLAhLBUB2ig0wrDetgqLGpYt - z0NqbTKaz+cIIQg2T546urGRc86lrE/G7aWXvvS/e/FLXvTCD334ml/8xV/8oz/6o0yMm3ae+pJy - 0zS99STapulz2m5vn3l8PkhH7Bhizrnm2QMoOdXNdtK0z/nmZ7/8pS97ylOffGzjSN/3peuOTCbd - bD5qmm42CyIaYj/v1saTVGy3L3XQO8Ov9hQvKa01zTQlFhuppjpapRz6ztx1GQxjdggs9nfjRvtk - jSDG0KcCQIW579u97f575vSIjCeTnPOpk6dGTVsKA0AuFwSx2D6W0d2He4finHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHN7gWKp6QuFUrrYpZj6WHpaqglmIoBRSDWJopYh/QHLq3IX1kpS - 2EAB1tgsqZSFvUQzLaa9SAowESggkhWEjCE9JAlquscyj++gjyd1FwpJkUOW3u3R3c4555xzd0cE - YvP5lknPejY6BGwDtP0rNxNEVFVOK1t48Wk0JNVaF7KY9amUAAlAiWKqhFCVYmpCKIaZtVotlICw - RnpvF3qhmA0J0AporXO654+rIdNLuphCWfm5Pm9Q0gCtK5dQJQgtU0wYwCCUusZxiI23/Y6ePWuL - 3G45o+SUEMoAStGcQxIIoGr162uNTFbCRIVGUR2WmtUFdJfqTKkvDvUdaxUlbcJWf0I3TDbKx2/+ - 6/f81Ts/duNHZuGUHmXJ/amtPgQNIQSVyciEcrZ1ZmsJqyAmgBCBClHCrOaIKkALFtWC5Lg2P/qC - b/hnVxx5WJs3pMhsPh2vj4iuFFNRIggDGAGjmEgarnPRr0HsmWVtYhEBQVo228vIQyoW6fKnfS4J - 1n2UqEgIISj3JSPcrTIx3TmTSYpJkKgie1Vf+E4/3RBUVIUCY+m6WVnmdnO56/YN3O0FMa2XIykm - qlRCTZBDmk9OpTiLomCZzqe33HbTjV/43I0nb/ir6/96Fk9lzEzmHM0sZNNcQI1BjH0qItK0LWDz - vqdBZFnkHaqIMZLsuhL36Mpi16XQIBmYsDYSmNpcSioI2hVrR2jv3950x/Vv/7PfmH9t/6Qvf3qY - 3w95FOq3tqHFUVsOpChaQ9a6lUnvR1Ln3B7qum4ymeRS6ilq13U1tDjnFGMMGiaTSc7ljW9846te - 9ao///MP7tXnnpbbLSJ93y/Dkp27sMysroohhBrrIiK+cjrnnHPOOeecc84559yeM7NSisZgRgAf - +vA1n/rMpxNAIISYcwbQNE1K6a4HtBjxL773+0op8/l8MhrnnFFMYtj1xcoD1TXt3kgpjUajPJ2x - 2Mba+gue//y3vu23utzv6JZ5bnl9dYa3bZtzNjOFGG3e99dd//kPffijT3nSE9sYI5BSOsfvsh9i - jPP5vGmanDMFk8kkjEZXXnnln33wGgHI7ZnDezWf6vC90wJct+az2WwWIc14BC6GYB3g6O5VFNQk - XRG58cYbtzsUi5zLWLLT/lJVWDgK8vjHPx5AjDH3KYRQznsUrnMHlhC1E+b/8frXFxn6DNduQodg - V3KPWBA1s3HbzmazY0eO9rO5iV2ob2dm/Xy+tr5RSvmff/RHH/e4x8V2lHMOIZBU8OFf+ZXjdtRN - Z20TU0oh7N602FdDh3zV8Xj8L3/kRzanU6h0Xde2rd/Bvju7DHmgIDSx9o1pY5P7ZDk/8WuekLs+ - 6OHezmqvGwAxBIvo513TNIVldmoztu0oRAt8yhO/9uuf/oxbb7313e95z2/81m9/6CMf3ZxuIpch - JjnnOupjwJ2H8vMwe3ZrdMidNNiYcwBUVFVLyZceO/acZz37Oc/5pq//p88cjUbjthEiz7uoCpFu - a9o0jRBWjICIjEajZQ/8Q0dYR+bAgEc+/BGv+7Efm6yv9zmJhK7vN44d3Tp5qtmrjrAXiNCwGDtT - DT8b67kJgCaEEMIll1zSxga2vz3oY4zTrS1VPbq+8T/84A/ddMvNzWg077sQmuVqO0R3c2Vq3W6E - dViSc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+5iQJNCoUmgFJNMyRSjGIS1ngFJGgRB - JHj+gjuN0pYhX8PqQVCEMgzONzETo5pJEmUgtSZtGYLVyC0LNAUgQxraIqFEuVsSk3OH0eEeV+yc - c87dx5xWuK3WNhGRcyjm5vaGNvH2E19smtDDSsEoYsdC4Wp28tmfJ+xaaoRiBZN2wkxBkHpGc2hL - 3pyLlFJYCyGEnHMp1qw1EvK8L004LdZcUfOwe4xCS5WUkpmJxhCCCWiFagRskS1d07UVVtN2a6r3 - 3j4CGjJraq8qQhQzGhGj5mSxUQH63gTWtiqQkhNMREQWBa3OpZjjAVGL6RgRRVQ0lYS1POtOKcdN - aJFVCZFQQ7M5kJUI9mGlHxKXOfxgZoCKCCBi1BBKKVSpkd85Z4o0rSTbkkvKzXbDn330j9/78T+6 - Pd0cj7BPMy0QBRQGAw1FxxLRQ0VN7ulWXKtwEhBDI2nUjEuybLAABhggAikIBmyF+08uf/43vvRx - lz1xPD9KRIIYseMskEIJVDASajATgxSFQQy8AKXr7sOCqEAMIGksohJCyMzn4RKPqqiSRM42IqJE - j7LbL0PtwHotDyYQCGkhhJRSbFqS4/HYju97anYTtRQzoNEAo1lpmoC57f7BYn5129171CIGwEQJ - pdZGUTcfnXzf9e/6xM0fPXXy9jtOfnGWt7oyozJrn470lAwooEIFRNkAYLIgogIjWIwCIIqCsFrd - rx6Va833PSxXqAoWBIEILFGtNKqgFmoMMZvkgnZdb9r83Ds+9Cuz7pZnPerFMjs2n9klG5eWLpe+ - TEY671IIwURAUUShQTKkQArhN5Ccc3sjxphSWp6SxMWusG1j13UhNE3TSNAQws/93M/+83/+Lz7w - wY8s/7amGqvG4RQR2NEKld2L6lbLIIfpdIrFeaK3J91BU3O7V39wzjnnnHPOOeecc845d6+cfs9A - qAA0aM5ZJUiQVPjLb3jTsstLybm+crinULueQkgKEDTQShOblJMCbdCrrrpKVXPOa+14Pp/HJpKk - 2HZqGld6NYod6h5speSRNALCStOGV1/9ql9761vrt1NVM0PtqsfFdz77b5uzAej7HsMbkHUIGvD/ - vuXNX/M1j2/bJvdJiKZpDtpVdBFJKcXYbvfKM3v6077uzz94TYyasmkIVoqoKsK9mPjh1tiic+AQ - Iwh84hOfeMZTn2YlK6TGgjYaVPWw3AELIdz4hZtPnTpFQCD1i0IE96rD544UeUIAFirwZVd86UMu - /xLk3Pd9EDXP7XMXNyEEAqPUY1wxhUza0VWveGXtzbtURwjfZ6JAa4/IlFKIekY+8HmdjLZtc+qj - yuUPevCrr74ai3aILl4gtBjUzKKGC7XDUpWSk0Je8J3PX10xvOvYPTFknxO2GGqxOgOF0O0m4uE+ - JC2H5JRSggKA5RJEQ1SSdfRDVM19f8nRoy9+4Qtf/OKX3HzzzR/+6Efe/e53/+mf/ul113+etLAy - DGg5OygQQbGdQ4SWLYTlUKDTGgy7NiFETn/l8hlCAK1Tbtu/FMKAsJie5SQooNArHvrQZz3rWc/9 - tm973OMed2xto1iqDTQpBkBBWBGgDYpCADoMuQFIw+njmA5y6PJqCP3y50Dc7+ix7/mu15gshrcs - XnMx7B+WWzeK7fdCYylNCABKTt/2nG8BsJznp03P8PoDuRYdEHUjvdBT4ZxzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc8455wCgbVszExHykAyJd4eQWjEro/FahywlRWGENWKFhIgVjJr1knpLrXAN - nHj4gtsmw3DRghr/VYcFKyVD0aV+FGMRaivzPEVLVbQWullp2kV6d2mRm2CxxnhrLRNy8cXkuXuo - BiWoqoj0fa8KO1gFde6UR3c755xzzt2NAqaSsvUFqYBQmCxqhdxlttZZWDnREKpCBVDImUHdp+W7 - Xwy0ianMUkohhFHTZkDIJsJyAoRUEQMixQwQYtSidL0BbRM1js3Qp9Tl1IxaEJB6dmfAeaphEhlC - CCKSUpfnFIFElGwa0CdTQTuJSqSUSdMmllIWZxcICFaMjDBZBE8OZPkt9j9y+KxxEYtuAFACAKii - 5FSo7XjjePnisTWI9Tk1bRhrAUkxIakIQaNKEKtB3RGAUrBdAHYo4gkCMFFFEASZ5a4ZNwTmaUpl - s9EUK6fSiXCke+9H3v2Bz7zvpnzDiXCbrXVZWLAouDqUqQV4J2G6d0kIMcQgMcB6zvpZ0CCtSpCu - lGaENMO66oQbE7n027/2hY9+wFdPuqNtmvTBsg51BEMRpQoVRNGsgIGUDMKvc+05kiI1vDsAMIGh - 7BZ5uDdz/q4rSYmEnYXZ3H7T4ahKDRJVxLi/S6DuzEVqWnwhClEgNCx3OL6Nuz2nGA6/UEGK3Qf/ - 4f2f3vybwmkqM4xQFNLA6nEPUBpo9eq5mCoV0HphHUM1VVAAGXK7948AIIINP9fWmgkKBUFIzZbH - 4xAa3rZ5/fv/7p3H4mVPftizQxyfmJ1cC0co6PrcRDWYAgZd1PVTZalN94urAe2cO+/MrGkaQFNK - pPR9/8AHPvBnf/b/+u7v/u//9mOfUAU5tEXNTFUvtvN655xzzjnnnHPOOeecc86du5RSbJtME5Xr - b7z+ne9+lwiCSim733cgGWMsORcrCqScVJS0F7/4xWtra0EDzFLJQ49EldPehVikNu77N9tfk8lk - c3PzyNFLtra2utn80Y961Nc97Wnv/bP3EyhmMcZc8var9+7eOCEhxrf//u+97nWvm4weHGJkKQct - txtAKaVt23oDS4lCa5rmSU96UhDps4UQakgkzWwv+tkQQ6LkF77whXnfTZrGcqkDsRZ30A5Hf6pU - 8i233JKtoMZ/1ok/x5uAK19dBUo87SlPLSmh3os05pxDCOf0Ec7dV+jOrW3oEnrabw/zAezMYFXd - 5+6O94Qs+4cvQ7tlO351Gep8epPiQqg90GzRXRxYjg93d6POsLA4rK2OZamL+CAHNp+j5RqOlTUH - gJFKe8All37jM575Lc/6JhH57Oc/9/73v/9DH/rQhz58zfXXX7/VzQOkaZp56o2oudrkysCfxcjz - 3bqsQ0RoQ3/j2s+ZJMnVdsXw/GI8RW0n1E6d23s/Yn0y2ZrNADSqZtZoeOxjH/uUpzzlyU960iMf - /ogrrrhCRNK8i42CRbJpqMUXIIs9TN1MDt7olHtjuS/asVMSBIKEyfasu0h2Dudtqx3WIqljZcDF - PN91kjyZ+m7dF3e3zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrldCCWgDYLSU2OUYmpE - 7oxFW5iBQN/PSQ2ifdFk6nEnbpXU6K9hrRC6puvrAAAgAElEQVRQKWZQI0MIUKHRzMiSc5+AKIgx - iBQQgkZDAws8cxSxJ2e53ayGKh6uzAWP7nbOOeecuysGGNjlLllXkDBUvhByUV+KuqfFMLbPN0Rk - 1/Tuiw0FVJgZjFKMXRJYCAgRACHFIEYUNVMI0BtCAwBZcs6ZBok6HjUlG4Y0S9WhwJABKAKK1XpD - e/8IFZNSiipCCKERVZgy5UwZpjMxlwIoVCFiElSyWUEQiZBCFYQokdKX0NVzVKUCENRimAfrHJXQ - 4bR5O2s7AyARG6XZtDv+hx94+wNGlz/0gV/24Ad86TittWGsqgGBWViSWQwWBBosKERLCFCY1EJv - 1IwgIhIBk1JQsnXJ+jAOc512Ns9tatfHWcuNN93wyev/7i8/9Se3zD6fYof1IqWkZA3QtmABlpWY - CBMoDIDJWRSbEqKVwN4EIiKmVrQY0CWEMVJCK9DppJ0fff4/fekT/5unH833H/URVA0GYREoxaAB - KlTAFFaGxFUOpbT8GsR+GaqXkSylUGgCyrmWf9KVamWUIRqXsnhPCsAwbO5hbw8eDsBi337680OE - tlFrRTyRoM152LgMhEJA0MhilodqesOR3bdut2cEFqzuwMwWKfUKDSa5dJm9KEQCAkkrBaVghBgI - k0wFFQZjMNSmC1WpQgSaICthBGV/qzGqQajBogAUo1hSM7VEBIVYGwzWz0NEH3HT9IZ3f/Dta5Nj - Vz7ka0ZydLrVH5kcRdeVklSNgqGBNDRIAkDf4pxze4XD/mRlp1jPK81UFWQpeTxeM9OtUycuv/zy - N77xDS95yT/73PU3kKBZjDHGdj6f7/7u4qVInXPOOeecc84555xzzjl3p9q2pWA2nY7W137zbW/L - pAEsO8c87dYbRUWFJFizNl999Xc1TcNiqmrFoEIZovoMw70P3RFxp4c6nTGXEmJM/RxAq+H/Z+9O - o2y5qjvB//c+50Tcm5lv1IwkEAIBAoQkBMgYkDHYgMFQeGDwCO0qjG089FpdbffqD66qrtWre/Va - rrZdthfLgOdqY1w2XctVRXleLhvK2FAGg0xLCFlYBoGGN2feG3HO2f/+EPdm3jfpDcp8L/Np/z7E - y3fz5o24MZ6IOLH/7Xjpnd/7jj/76F8EDdXq7OEfERFhPf8b4yfPIYJ9KQL81r//7f/5x35s0nWj - GFNKOefzHstWMLOmaWAkqapqVYiXvOjFe1Z2PXb0CKtZ5ab1clq4HXb35/7ujW94g4hUWiBDCBy6 - 9G2zLlWnCyittd53/xdsYXIX0sc3AwHgG1/96ppLCgoAKhK884NzwDw/eNg6MYQ0L/xWuHEI27nH - r8ATJ15wkXsULE6PzPtfGjdmvpzqnReezGYdIdD1vsLzLGp3Roshx0NHvPUFKgsJ6HaxF/QTNHxL - Oakj8QnB88N3VECMS20DwMwK7enXX/e0t731bW/5trZtjx49+rl77vmrv/qre++996GHHrr/gb+/ - /x8exOwJABILicW0GOSEVG5Z3LaH3w2vz3879Dg24/qL6w31xSUwfJPlcfuiF972vJufe8MNN9x6 - 662333778vLygUcfW1laihpI1lLGKaaUaq3VqmgcnqlRHL+HGZ6nOGn+nGKhz17aXhvY4nKcx3LP - /pk19+W43exOXpfPwQU+OCphAoCz8Z5qpKfs9u+cc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc09WytLG0ORpSSttK+NGQlIZNTBBr4gB1YrESNVcDUl29MOebvOJbTzxDZgAAopWZuisIEYQ - TWHUSFubfrWvsQkQWEYTGM1U2AuzYiRmG+FoANcrz2xpYolzF4JHdzvnnHPOnVmfc7VM1qFIJYf8 - 5JNTtZ5wztasjA4hxGJo92ZW9NtZxLpc0qiJ0sYSm74dY1ka5JJLKSABA6NKIxHKIpqFphGVyAUU - aIKJ5WpxqB1EBINQdV5lCGqc5zdv/hAWmthNcgGaqGacZsow2gAarIBEG9qUEiu6ad9qU7MpBGTU - pBlNbGNuBACKSVQCQ9b4MA6x7ZTuPI/JhGKYw2JEhJRaEcSgqiP7xH3/TdYisiaOr9x33a6l3fv3 - XLZ//+WX7b5s7+7L9o33jJsVKRIsBsZgUS3CABOSIkIxY60sFIak0ghjXrVOG3SYPnzgoc9/9t7P - P3DPw49+9VA5MB0f7trVCnJiCHVp3GjgpMuqG7ndQ2GgcwrtXpdMup4Mkpqm0jrrAcSIQDBjyS5r - Jkvf+srvfvEz7kqHxkmb9SJfSlQRQMG4UQxKKmAQyPA65q+7TXLSvtRImm3WHJ4t3MWCX8P1o9lR - A5ChxtTcJo3XnYYMOcQGBAxLQcQoMIQQAL0wUb7DgjawssxLDK/T4wPfnTt/lPWdjykUlEAJhERB - MNAUZhAAQgQJoUahqKKyALPidyazTFqblWIswycqUE810k00lNAVQE1NUQWmVgUSUEtt0KWoVrup - IbRoxs1XHvnHD/7hL//A2/Zd3jxt1+iKXHuFhaCgzWdEnX+pE6tKOufcViBJMsUoIpPJZGlpCcB0 - 7di1113zK7/yy+/4H975xS9+OUYtpZRSLkwjxDnnnHPOOeecc84555xzl5icc0ixbdvDhw9/4AMf - aFKc5KIxWKmn64NSSlFICKGW3MamlP5rXvySW265hdVKKSkEETGzEEKtFXppdmXp+348Hk/W1kRk - PF4+dPDQa179DTc+9Wn3/8ODAtRaIQhhNhuDhmKbcIecwDBXmxR+8Rd/8Yd/4N3j1AjQ9/126zIU - wizHceizqqp93+/evfvWW2/92F9/fK3rh7dpCFYoIpvVlfVv/uZv2rY1YOi+paqlFFXd5l1lKbOw - Ugnh3nvvBQDZ6Bt2HnQhQhLrPxAEFLjllltCCCFozllVY4xWtroHh3M7w4n99+cJrEMP/Fm0sJyY - wrvjcBt/hWHCdFtO4bA+rE/h4uvudNa3IGxEHW9sRE/Cwg3ra9GsH7hZJYdGi4iEGCWGJKmfTPfu - 3vOyO7/mjttvt1JDigCmfX/PfV/4x4e+/MX7//6rjz5y7PCRhx979MEHvvjlr37l4GOPVUAAm7cB - lDAgqtrx3dkVUNViQ0doBECAGELbtm0KV1555RWXXX7NNdfs27dv3759N9xww623vOAZz3hGSomk - zttXJPNkun/3HpbaTzsBUkpmdvTI4RDC0tJS6fMwOpPZ8xTzuOWd7XF2nsMXXNxL4FLcOSxu0Rfe - sArp8WM/3cRcejN/0z0J98DOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOPUlRRaNRTaLV - XiRMJn0ttQoYQEBaABBhtg7WQelBJ26RUk0MFB5XA9+GSiGspiJSgvRaV0EVBtQEqaCCtEqDUKNA - bP4YpgkDAEDWn7p17gRDENg2LxezyKO7nXPOOefOQDTmYhSDkhRVgKjHnRGoARAoF8rnPVFKAlQY - FwPBd047c9OoKirQY4V7r0rXHiqpO5qlTJdiHE7wRIKhsY4F0xymNU1rKFCGCIMVgQm0QTYoh8hK - CI2GAMVw6rh1Uy9m0ssS1GBi2UAgCVKIzGJFElITxtJLd7ioYV/ck3RUCwWmqsmCZYux1cPjUJvh - Iw0ShtxusXktpu3D5pvAkOEtsyRv6tJSKH2e5NIGO9w/urK8Yr2s1SOPdl9mlXA06IOqCE1ol8JS - E8dX7L1iHJeWR7v2Lu/bvbJ39/LupdG40ZFaapompUCxPnerkyMHHzl4rD/88MGvPPTYlx565MFj - /ZFeuoosLW2PdZor0CK1HFlhnvSdFAxh2YJQAagQCqsBHIoEnfVmpgStNFGgqRo6MzOkIE1o+0P9 - Ze3V8eiud7zp+5931Qv1SLO73VPXikJNTAihpDqk9koVzEK7YQJTztJLQYF4qc3NNJyxzwrgiokI - ycq61Zd41st7zcejirCe4+421+NcsxORYUHEmC7AlJAiIhSqyJATT3JecA+e2ek2EaFZZodgigEm - 1FgUIFGq9WqVZBAaIBEihBRCQRVrIDY0JigGAFIAiAwZ4LPQbsMFaIYaxWowQqvAEIESFbmCtNiY - KLoCox7rOVrJk3rw/b/7cz/0tn8+Hi31ByZ7mj0w5bxVRwFgoC5sa373yDm32WRjx9I0TSml7ybj - 8bjvulr68agR1QMHHn3mTTf+0vs/8I53vPNLX/7q8GZVzKvt6sI5lHPOOeecc84555xzzjnn3IlO - SDKrtabUfOQjH3n08KEKaAzHZ/wdZ0j1BlBKkXmHmXe/+91BhEYxQCCqsFkXGoEoYMd1aJlnCu/k - bosxxly60WjUdR2rpRhH7eg7v/M7/7f/8/+IIeZaICKcpS/zPJ4EWujfqdjoizjEUfe5Pnrw4H/+ - Lx/5jre8rayt6fa7M6SqtVaBhhDMTIPW2rPv3/SmN/3JX/w5MJs9qmrYtJ5sBP6/e++REGwW1z2b - g5sYDb4VFrskxRjvu+++2evra8DmTbwCt91y61VXXNnGJGBfDaqPs70792SwHqNrJwWCXpJmnU4X - vul2eph5o4Ugx+38tkuvyMU09/VXnFtH2VhXH3/dGH5bck4ppRgNrLXWkgFQsGtledJNs1nTthrj - tO8B7BqPX3Dzc259/vOiajGzUirZxKgxKjDpusnq6uGjR48dOXLk2LFuMuly7rrOzGqtw7FeVUMI - qrqyshJjbJpmNBqNx+Ndu3bt2rVrNBqFEIyVpKpGUZI551IyRKzWYqaqTYwhxlprN1mLGpoUhQCZ - Qmh3LZdau26iujEfTEAcn9stQ7/R023Xgk18dmqzre8wT9d4upRi6U/+Fhf32w3j9Warc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc86dk6qW0VVTGTU1qaRGtIlhuYZcSTGLFsgqEqzUNiJu - q6wqd9FRQQMCFSBMoLAhCYs0GiFpFJbE6hL3LNveQKk8TKuoCLMct5KCqFAJmWV+zGPdhlo0np/l - TuN8yvVcPB7d7Zxzzjl3BiJaa8VG2mswlq0bnQIGBSDGndWy3BJUhdVsu8f7XnnHN77iZXdaykiK - oJPJlLRSp12X11a7I6vHVtcOrdWjX3z0gYcOfPmxQ4+yKRixs9Ua+tAir1dHlNlZ4kahJTFQt2JI - waQgtagEK5pGGmnKFJxIY+PIZlfad/Wua5/+lBuvv+qpl+29fNQspzgiBVqDaISUvgqalbivOdIq - Y5UgUMCUVrdhqSAZZiaAIogLpUh1OskxoGlFU+hrXtXD0jQaQwkdxTLBilqBilRSrOEfv/IFRVAL - QhUTocBETFodK0JlMTOA1CrKIiW2zMhFehsXplphuaKraEYoHazLFaXVJsYoCkT2tQ7lpZRG0aHO - 1HnMURIpaW+5qxZibGLDKWsnl4WnXJav+p5v/4Hrd90Yj43GsmIFFC1qw7gCNwoS2TzVWQBAhQoo - L41aUNuYiFAgOtvTbu38FjPB4qVL371vNSUWd5IigVZCiLVSiaThAiyCWbMBICqgIoDCBHJCbTvn - njCTxVKSBlBhAhVYSAhJNStRNbCUiooqJmLzq4LDcQcbKyY3jogGnFBddYtw9i0KAFs/LhPoMQ5g - hRgqIQJK6mqXxjyyeoBBf/M/vf+H3/7jS3v3dkfXRjIG4hABbsLZF+FQXdLLADrntlattWmaY0eO - ppSWlpbMbDqdEti7a/d0uvbUp133y7/8S299+9sPHjiaUso5L5SrVt9HOeecc84555xzzjnnnHPu - bKSUKm11dfUDv/wrQQLBoVvj6VitEpTVAERol7sbrn3qa1/72r4rK6O2GDEkbooIoaogKLN7GELI - PKbPdnimnYgQMLOUUtd1KcVc61u+7dv/r3/zf6/20+ENpRSFCAja+dwkP9XfEIQIgHbcvve9733r - t347gBjj4y+1C48kyRgCgFyLClJKhXzlK18JoElNroXkECS5CdHa83n16KMHHn744Sv27RMRgZBc - 72mzI5jZ/Q/8vQJlvWMqKSKb2CXpNa95zWyFoYUQQgillCDbJRbXuYvi5CjW4ZXTHafW07533HCw - zdOmZeGHbTil6+ndg/Uk7+2wfLfhcH1enbDWLf5q+K8tzM8nj9FoVGvtug6AxhBjBGBma2trqioi - 3WQSQmhTApD7Pmm0XItlAFE1ibJY7acIoaE0Syt7llZw1TUyZzLrWD4Mh0aRiNRahzesNzBUVVW7 - bgoVhVjOHSkibUpLTVtKCRqgQVXNrOunQXSc2lJKChFA13VdPwkxLoZ2Y1jQww/zdWBHL+HF9Xlx - p/r4798OW+L5DR9nDlxEp9urnO7N22FObs+hc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c+5Jw1IKLKWJy12/Oj1SlrhvnPdb1qWUcs1Sg4GqqBPuS1fYY6bLHkHrNpjo7HFRAUADFGZamxhq - pRY1q3lSQteOyx6uGkKDaanWNzGxiuQ0CnvKQaTSxtquP0FuYvQq+u40SO6oajGAR3c755xzzp1R - JUspAEXEDEIBVGT9rEC3qB6GmQlJykIJnm1Y2GrLtanJOXMSV+yyJPv7aZdXRaBLBhGmAImCPYG7 - zbhWWOPz2h79ocljDzzy+c888N/v/dLdB8vDKCWGadXedH0mah2KGEqhYF7GbZOHlCGvFX2FVozq - cp4qJ2Fv2n/N7uvuuPmlt990x564n8cklJT6llNBhClNiioaM6uoksRiKgmMMkS/0oAKwIS63VYK - GWqwGaQXNjrfOFRhRF9oliVBBCw9K1ARFVFVVKBgHJLVq7EMGfbzxM3Zyf2aHR7KxZIUqqoOKfdH - cxdSgKJYyR1DQkzaKCZHbNdIdUlLKX3tBLACFGgABabDojIhxKA85zxdKkxqD1ARR5QuxNLub65+ - 5u6bv/WVb726vQ7HgpakSaelSFAoBRYNwRAJCrJWAkUg0DAUvaUCavDw7i1EEoILVu91WGeH8QpF - bKO8mrsQRNaj00mSoqpKXJhCyCQFs+J6AObrwiKFlAsyLe6SpYQxQgwwyHBUgSIpre+n074PFqMG - slYFFDIroFmEswKLQ3NCCFAXrhYaxYZ3bPnlcEEVFB2Ke5oCw7FSMkYtisAMxZCBNoQ0Coe6sme3 - lrWj933lb//ik7//iue9dqnZn7sa0YLBpBKGeXPdCC9c7ZzbOkN10ZzzuB2Nx+NSClBUNcYYYuzz - VEIC5NnPfvYvvf8D7373Dz78yGMXe5Kdc84555xzzjnnnHPOObcjTbs+jdq//Phf/+3f/m0FY9vW - PmtK1nen+xNVtWoCCSFYte/+7u8et6PCjqSqwijGGGMpVYKSJ90Z5tC/Ts4mbm17orDWmprQTfq2 - bcWs9Dm14+uvv/7Nb37Tv/vQhwDQDADBIGonz4TzpSFYrRBMp92nPv2ZT3ziE3fefvt0Ok0pbdYo - NoWZhRCGH4aEyJgaWL3mmmue/ayb7rv/ATODwMyAzcylNuDuu+9+5ctfLiIgzGzImMQOucO/trb2 - 4IMPYki4hAydkjaxN1rS8E2vea0Yq1VVGfI1d1a6uXObaz0wWOf/fXwmoBgXgr131hCAzPt0ARg6 - VA+H4+3QtfjkSRhe2W7thflag4WHzH14uuFGb/1TNoYM0EsrR3b4Rusev7k77SchhNgEALXWnLOI - qGqMSjKpjppkZrnvDIwxotQUgsZoZrVWmgXVJqVaj++5TGJoP9Bk8UBPDo2uAGDewCBJ0kqp5GjU - FjMFJIQh2Lvm0k2mKSWFAGA1AZqYlKi1QmxtcgxA0zTteMXMSilQWV+axz1AIQi2uDkv7n3n79jG - FtdhPdsp3cHHi8Xt9yxt9cnduewl5nvpiz8Pt+kQwE45P3LOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOPUEK1unRaKEld3NlWeMbXvRtq/JILxNFEglmRuaYlJOyG/uecfXNbW4v9lS77YRx - nhcGwKhmqEDp+hyYIlsg7lu6/CXP+9qrnrW/xK7JuwJStammoeRIgDTXrtywNNnf5paaq/ZVSalV - DVAhtl1EmtsGdlz2lkd3O+ecc86dAWGFZahHM7T2SFMFZ6cEBhmids+zaONQ93HIAqfA5h9VYRV1 - nuMLQkxMxS6dikdnJ+csEgJT6apIaNgkSYAEBJLsM1kBDULVERXlqDZBx9hz+dXXvPCGl3xl9Usf - vfvPPvaZP23atT6t5tjlUEyUAiUgRgHnlaQ2fQgABKgarNWlWJbsaLx+19Nf9oK7bn/Oi8dYbuty - nKSU25YjmFqFVNRQ+9qLMJKqytCUYkoBZBY3DthszZFZgdRtY/bdMWR4l1nep4CEqkTVnjUlWIEo - kqqCJK3acCY1RJMDCzWdZD1eV22I8xyqKBkBDZiVDW3GqdZaUTVoIyBrKSbEOAUaJ6UYERNICCGi - oJmACyMK8y142MJOUZNIMJShml8LUMBIGBCBoIhd2x/QK8bXv/Q5r3z181+3YrvjZCwZTRp3xSAS - UsyWFYufb0qtw+4F68Hyw1sWY+DdZplvL2KAioggCPT4/er6qnDOtcVs8e94wm+4npCLeQG1c558 - 93iO2xna8ctuHpst87duZinh0xHa7FhAFVBEqEro+rTNju9iGIoAbvUEuUuZKjBvEpiJUqyEWtXG - unvM3WowUrQGgwopYlUBKExoEDvuMjeBhRXVYOtHQCwUg1vfyJSz35qc+g2n3p0uHPKGFvXQJDPM - DrhCBEIEViVnaiOh0ZKrlaxqS0voehNb23PFZf/5L3/3yiuueu5ld65gyXoqRam2MbXHTb9zzm2R - 8Xh85MiRlFJKqdYqImbs8zTGWKyKhK6f3Hbbrf/23/7M933fP5t2udbqB3/nnHPOOeecc5eM4fof - j7vDtXBVkCoA5xf/cAEu1y1ekNy4lzq7VLhxkX6YVL9+6JxzzrkdgoKmabqSf+3f/UYBCfR9Dw2W - MxZbNMNPQ6NHZJ4OyFzzOKYfeNc/mxxbXRm1ue9jjKpaShnFpu/7KIITur1dKjSGPtd2NMp934Sm - lGxWrOKfvuOdv/M7v9MbK01Eht4859NVi7OBLPQdAmC1pqbJfU+iAr/2a7/2ottuj03iST3TLm4K - 5hA4XWo1s6ZputyLEcYmxDe+7vU/9bM/I4AMoeaim9LfZr1b5ee/cN8r77oLZiJiZiLRLG+/cOqN - r7y4pNamkwOHjsz7PihoOK/+YKdY5QgFLtu//7Zbb0XJBsQYSim575umYfX+hM6deKg6YRd6QvDw - dsjdPI+hzLtjKWF+7WLzXPQlu22HjzO7Bjp/5ZJpKJ5Tr522bYcQbgAiEkLAQp/kUkopRVVDCEPA - OUTMDNVMECCmIsbCEkXXt2sxzn4Gh7xtEOsp3bLYAdMoIioqOnSIZOkzVChCclgiIYQYI4xmNkyb - EsUqIFAJIajq0He96zoAUNHTN+0upQWNs/g622Eb3PTtd/u45Of/Vg8vpY3ROeecc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOOfc4hGhihImVjGqE3PyUF5S4CiUsxNiUUmA1NcJpCX1sODYGfwLJ - zZ347KzMIkI0hiYgIaPv+6Dhmv3X7R3voqDt9jRsK3oEltLHJvUlNzYO06TUChliuUyGMBJP1HGn - tbPitzy62znnnNtGhhJ4620JEiGEndW2uPRQIMEm3ZpqoAaxTOSgEFuvb9nrEDjIeaCv4NwqZDFC - TOaVwQkD1MQsYS1PFJZMMtRIUVZQZdulNW8tCQQqS2rjUBtTWAEYCgDoeoV3VkillcgASxZSXqHt - vj7tfd0t13zNc17xO3/4q/9w9J5j0UZXLn/l6OHUBq0l6ZDePCtoshXDVlB6SyPpj3SjtX3P3Xfr - 2+763ut3PY3T+RpEhTBjCtUheRNEUgGEggqwZhG1IUgTFbMiLKbbcDWYbwVVAIJiJjAFgGoISits - UyxdSQFWIJEGmkB1Iar7hM2HqvMfRAyqsPWqejZL9Vb0dTqfBAw19wMAQUElFGHI2YYQCBAaAF3f - ZjE/4wcQMOx0VdUMZiYiMSpA0MygQ0H/ChXVgBrNMpZCkLWxHtt1+/Uv+voXvu6ZVz676ZZSSWJB - QsiVIkFFrDBgCCdA3Vh6JgvfmoCJ4Rz3Iu4sqWqtVIUEzaWQBtEYGwy56bONsgCqpueem24QcGPx - DafbBQBADbBKGlSlGAwc3uk23cllyARAtSBqpSoQY6y1Rg1li6dkKOtcqrQaEjSGUE2rNkQR1lhV - CROjWBVguNboW747X8FgIkCoUJOSQ7aUu4h0dPnKfN2x6WHGIpBgOWdTVUEgKlkVVRWQQlpBiVEr - SmUhBCkMQd9mVCIEpbBUakCMYEXuEABRxBjMaMWQgohUK7JQME6BU2d7U0GdtWekCC3W4yrNVYEE - VBAJRlqtUQFWqbSMlSZm1lWu1l2T/+cvfuN/evONKYzGaU9drSm2TUiTftKOR6vT1bZt4aWrnXOb - ZmF/QgVmzb9cS2obAMUqBAShUGjOOYSgQpAKe+ELb/+FX/i5d73r3VZniWUAhmK79DMA55xzbnuT - ofa9iN+ycc45504gQAIAZASCMtwuGQ6YIYCUGhRkFLOqpgBsS2+EDTfyZ2lCihqG+5YQQwAMrUop - JJQKG/K8t3aCnHPOOQcRqbUOKXRt286y4tzjEQCqknNOKZVSJChFprX/yiMP/4f/9HsVqABAmEFm - OX+zPxvu0M6aNyaqCBze/T3f+R1LTWpjslpCUNIqIEG63IUU1m9VbPRimkefcodnhZGiGmulhlTJ - GCNpEXLnHS98/rOe9bef+5yKFhqgiJGlnHuXofmIAMx7gQ5yzjLvTvrvP/z//uRP/sv9e3dH1ena - sZWlZZLdpB+Px93apF0a51pwfDzbMNzqQD7VaAYR0RiK1RCCsQYBS3nzG17/sz/7M6PQTGoPqKTE - msFzbT8bMP8T2XhJIJ+9+3PQmPN0lFAT16UAACAASURBVJoo0k+78Xg2H7YRFTML0GHmlFpi01ba - 3Xd/joCJGkirqkqKiJD1XMcQNJShI6RABKhoonzLG7+5dNMoqpDc5dQ2qGp1R+QzOrclhNDTBFie - /Mp8U9EdfQQbpn120WKbbfzcBo9Vn365LzpuOnf0+nAhnTAnL8n5dnbrz4ZaOWR2H/cn8+azrj/r - wHkHoHmv4KFFTQFUIKgc3gICIgu/Xf/MhWb9wuTK7IPno9T5IycLE8PZTUwduiHBAFElQRm6T8rw - uTJ/9gbEekD4CZv0mRb6tl4n1o8XOOu1V7bhUzk72bnsNPQc3++cc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOefcxSRyrmFUzp0DilRLgFQtUARQaghcngXp9BqQIIYJhNCgRtkOz/y6bcNmFUtg - s4iQ2SOvARwCkqq2aqjJWpnuBRBqIxSRBgVREqYIaIShau5ShZgJCFUOIWHqYTpuEUlVBRFCyDlf - 7Mk5Bx7d7Zxzzjn3+EhShEaSlBPOOme1cQzQ9eRf5TnVblQKIAgLiYYgRGCBxbIQMlT6kfMtCXmJ - sNP8PHtlOEGjKMUM0lJZFTWKtXtDjFG++/Xf93sf/e3PPvLpRx99pF1ugByjKFm3MrdbiFqRkuQp - xzJ++uXP/Pa7vuNaPG083WViVcx0vVAjTeyEQO6Fs07bMTUXuX6KYYtLKiXIbDNRECKyflZ9wtn1 - xjclhvJR64l2FAWMokKjQAiKCZViGyV75gHMsy1RhgJUOp8kYL6hLY6X8/JYUUMutVYYTFWUgay5 - qyQ0IM5L3UqA0VgZgJWwNHmU+5qrvvFlb37JzV+3m/vTdBRKCBSZhfnBACE539Jx/CXVE+fA2cxn - d36oQ+1ikiLzkrASNmqOHXe5+5zTu2e53TLLo8U8nHsjFlyGEPpZ1NMT/DbuLIkIWOcl5wTGCxOy - paCJKIJwCCUJlWqiBAKhw5FC5od+554QMwGgnFVXjKCZlFDbV9/2htXy2Fo9isYYtNIMKkHNitWc - c9d1k9Xp6qGjh44cObDaHVtdO9o01AaZeTrpMrLGmKIYSt+bKlISM66tIgaMx6pEztb3FQoTBJqo - nm6tVp52hbd5ad3FGnyzcpEnvReCBLDUGGOufWlwGIf++JN/8C0vfqtMZM/SZV2XWeu4bfs+Jw3n - XVfdOeeeuKg6Ho0OHj6cYgsgKu68885f+qX3/+APvmc6neZiAGYtExmK8vrZgHPOObddhBBqrW3b - 5pyHYvdN0/R9f7GnyznnnNuOZoGOUMAUs1stFYAqKgk07WjSHwsBSrPhTtv8nszmD0Xmd2qHk+0Q - gCJ1di8oIveMkgqjWY4NS6XfnHPOOee2Tkop59y2bYyx1gqg6zo/xT4jESmlBA2qamZDbreB45Xl - n/9Xv4AAq1BVqFidB/jNG0E6C0UGAQ3BagUQg2jlO9/5TqGV3K+HC57Rjumxdh6Eddr/yHve864f - /mHSlpql1X5aioUYazm39XM9/XDjP+tIECmmQKz23W/85gd/9D0/ZKixbWqtJJMGMYYQSilyUWf4 - 4qhn65Lq8571nKde9ZQHvvrlJrRZWErZrGC9IX7yU5/5rIEhNjlnFRmPx5PJJKW0rVY8szI8KgYj - AoaFRcE9995rgNGGZxVnTzCKnPvtPi1GyBCuaQBCQC38pm/6phSjldrG1JEkSylN09DOORrcuUvG - kzPac1vtEp1z52TYfs9muNVjP6NLbAd7iX0d55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzTwqcJxfNY26UinpChZCw8fYLNFluB5ml5m1YTEBbX68sNDZeeM/wT1h8Z5WFdA+edZ0a53YC - j+52zjnnnDuDIWB1COcAQJ5YYJHAYkTrZhFhl6dQQoS0UyUXuhMJAWMQAVSIoXRp1LAS94yivu2b - v++rv/nTuddjduBo3+/d0x450mna2isK43E6digvj5Z2yb7X3/XNT9n3lF397v5g1aQ6S4afTfti - aPfOZes1nqigKhEqAAQTs6FEvnIo1h+0kpG6WB1p+Nshv3OYH+u/NLGNM3zRWclLAFDi+FlHYB7n - Hk2Vth4UOv98A7TK+nsBqCmCAdM6fJwEDRo0aq2h1tqkZGal9JVAQkhaYZjqrsk+may88paXf92L - XrMnXJO6cdLdWjQYhNBZlqrN9g/il68usqE6KkmBDMnZpMjCNaB1JidGqj+BsUJsXhlZlEIoi2Wo - 79YvHC6mdctCRubWjhQQzNY0QxBVQol6iiV/Kez/3cUkVrWCAiigQo21CaaQeNu1LzJODUVEqEJT - QRIlJJNZJEACNBSwZ87oD6w+9pVDD97/5Xv+4ZH7Hz32lUk9UuvUamGCaCFFSgwiGmlm3aRKRDWE - iBChBdUYuX4PRzHEiXM2kcOxW+Y5OBQTmMn5rP8WkElBRYhSrOfk4/f8+c3X33z7nq+tpVYtmO1y - TYTq2d3OuS21eB530mWBkNKBQ4faZjxkf5pZ245uuummtm37vh/2T8MFh9kPfsLgnHPObRu11tFo - NJ1OVZVkjLHv+xCCmZ9hOOeccydgEQAypNUJEIbsSIZSKlTQxEl/bLyE6QQGaARrxfym2eYPbXbj - p8pwT1IrANQh2TsABvRUIAGquQR2hHgOlnPOObdFcs6qOplMAAyXyofT7Ys9XdvdvE8LQojFikCG - XnAHDxz64Ic+hPkt0DP2fBhyu0GklF7yoluf+9znSs5R/frGDMk3vvGNT/lX//pLj3x12k+HVZSb - 2DQUmY2GNCOAD37wg9//rn/aBlUEMwISg9ZaQwjZ6tlHql8wS0tLb3rTm372fe8ttRQQMWDzYqMF - cs899xw7dmz3eJkqIEI4RSeui27Wf9jmzxqG0He9NulTn/rU8MriPb7z6I+kIdRaRVVEaKAhBOzd - s+ulL31pzjmIkjQzPVUPN+ecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn - nLsoLkD20+by6G7nnHPOuccjFJBRw1BCURTkrKbiiZ5ALKCcsg2pstZNRARix6V3XRIBz1tHqkFF - QQoEMFZUA1GmKXLv9/yTH3jv7/zMZHrw8j1LBw+sjZdQtrgBX2sdtw0Oy11f+6obL7+pHmZvWTUq - tQqEBhAUUIWKWbb0Dq6OSi1DkPbwdQQIpgBgMKuS1MRUhSQVMBqDCoRKMcy/+fDzsGRsnn5HgQE6 - 316GrVCgkCGYe2O7EA6zcXjFFj9h/QMp658/JIQroRQjLWk0MTPra55t7ESfK4goMWkyk7rGwDgu - KzdfdtvrvuaN1195Y1nV3XpFDG05WpqmDVYAQmweRL7Ot9+LTERIA+fZ3UCMEdQLkavO+YoLlNKL - 0LPcN5WdcfsSISh2XI73FiKhAIUgxCTFFrPLRvPVTWwI+Bz2WpuWFu+epGy+ZqkQSoFEobJo1DFg - MIIBiIKGrCGWilwrixESUojjAIu23Oy/+qrrbrn2Dmv7NTv8jw8/cM/n737gy/c9svZIDtNiXc++ - apEEjTAoWVVFNYAEqypAsEKCgrqQ4W2gQkzNTCDzozBnbaFzM+w9qWC25XGcTDrj9Fg48Kef/oPn - v+r2o5PYtmOIrPWTUdNaMW5e6XDnnDtXk8lk9+7dfVdijGtrU9V47NixH/uxH1tdXc25DO9Zj+7e - cbeXnHPOuUvekNs9ZFmVUkTEzPyQ7Zxzzp1odtOOII8/ux3u2AksR8V4FXuBCrBgS2Oyw7xDZOFw - 5yAbYIQRw8XIRuMhq1NUQEAN0Azw3C9UOuecc+4sqerQQaPWCqDruos9RTuAmYUQjBSR2RUJIIbw - 4Q9/+PDR1Vn3qlnnB0UIQ++4kwUNw2zvp/173vMeAKra932M/ggJhEgpifAtb3nLT//CzwHGKkN6 - 96aNg4whViulFgUC9J777vnoRz/6Da/8upqzqAbRamStKSVV3cTQ8M1y+PDht73tbT//vvdW1E3v - 9kZw2k/vvffe2553y6hNzGUymYxGo2Lb9B7/kK0+JHmr6qc//ekYtK823Oyb9wg6TzSjCAARlIo3 - fNPrm5j6ftKOGqs2jLRJqdaq2289cc4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOPamsxyvsoGrNXnfJOeecc+7MYmyAIVNTqvGkIoRKGSIJN2d0VCNZYWvTVRNSDBART/w9 - MyWCRAVBQiiiQrCa1Lii+9dWJ1fvefqrX/j6D/+3B48efWjPCtbylicpr03sqqU9je56+U1fF480 - K9illBACSaEq1GZxs6oMAAx1G1bhPEuUhehxNTEIdYhHDgqTKloNCIpagUSJYgUGFdN5JP1CWXwx - LC4fQk6Rc2+Lbx62QYUaoDATy2EjOvv4WNzZH4Yh/HN9+42hAiQNFIUqQgQVJPIEarYcluvRJnXL - z3vGC7/2llc866rnxH4UjiXURqZJGFfiOBKVhtmiXEga39okAndmJEXFjCAEShOotk0zW1CChTTt - J5zQMI9kPu61Ia9b2ZUO4iEQm8yA01WnJUkRCIwgSdimHbMfx3EleXWUlnTj/7IwtWcOHXfujHS2 - 11JwvnJRlEpEVALKakBUSbRYqQVCCaKIQXTYHGiWMUorpba1FOtsX7j6mt3PuuOF35jvmD7w6P2f - eeC//90XP3kgf6kbHerC0TUzA0ZttCySo5mJaGjFpC+GKADU5sfl2VRSIQYYaLP07lnLYXH3e1aq - QARRYVaiGiVzafq5r376M1/69G1X3QltwZiRG40KQTUJunOu1jrndjKeeExPse2mOaX28OGj7XhZ - Vf/5j/zoxz/+ycX3mNmQWULzfZVzzjm3jQyh3UNut8xtZnSTc845d4khIIah9+T8taCotQDYRdwI - 7B+iu4FwQe6ZDYdtBWw+XgEKcMBKAh4C0C5J7qv5/RrnnHNuaw1Z0X3fD/8lmVLKOV/cqdrmaq2j - 0WjSTWutGtTMYkzH1ia/+P73BYERIhDVOiQcH3+9whZ6vJAKIAZ5+nVPvevlr1DAzIb4YQdAVUs3 - fef3fO/P/cLPp9Ss5U42t/8GUWtNMZVSAIYQarX3ve993/Cqr4fOLjcZDUHrBejEcu5EJMb47Gc/ - +6Zn3PR3X7iviaEvVVJk6TdrFAr96Mc+dtsLbh2iqfuc27bFNovuHpK5AVFVI61ajHFtMvnCF75g - NABmtn6GIyLn+izZ7JIjZ58RVFD5Xd/1XX3ftW0jggIbQuVDCLkUDb4JO+ecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnLo4hWGEn8uhu55xzzrkzSxqUGOoDbhQbP7HEns4K - fvOcao1vBHYKZ6XD56/b6nSVWkzMoGE20p3a7rxgkiawmmUMAZEiQYKSWuKedv9jBw68+Kav+fjd - H3korx3pD0kCt7gYe9vK5Ej34hu+fh+vWubeZCORmFFUZot0faHLEPMm26v05BNBUczCRA1BlSBQ - M0YqkmkGbVlhAKgbi0HWN4Ih85qzV7HwDjWYQHnSEDCZlaA1OfXmIid9+CzMczYiGgkwxogAYy2Z - rGDBnmZXysvjfu/zb7jjpS941dP238S1sDQdsYOgiZoEsZZi1pUAEZulk863Wnpu9/Yw1L2d/WzU - IG0zEooSxo115glHvNps4x6W/vy4QBVjJZlzZ2K+R7+QhvBuiJnSwOE4eyFGLKaEIrbtKEqEcX5E - nxmOArIdizC7HYUKQOaHnOElE9MghJBVRIe9n5CgEEodQrtJkqgohTD2NQZNMiqGWqmQoGmc9jx7 - 78pNL3vWN7701fc89Km//Pyf3fPlz5BHmuXm6JE1laBNUJVitZSqCTEBZoANLYH10vBKgDocrDfS - u8+LKGiIbZys9aORGmw1T1bG6Y//5vef+YbnBLbB0IxSV7uVsOLRes65i0hESikiZXl5uVJ+4id+ - 4g/+4E+aRvveRCCi5vFgzjnn3HY1BFmZWQhhyPAm2fd90zQXe9Kcc865bcgqtAIKRBho4NApkUQV - URw79qt33Hn9pIMqaYE2u0y4cHd9E4d1IclOLAGg0MSIfCjGR/dc9kN/9IfYvbtXZT9p2xFEsbkZ - jc4555xbMJlMxuPx8HMIIcbYdd3FnaQdgQIzIxA1UESCfuITn7j7nnsNEAEJqxWAhmCnuR8qAM0U - wsp3vetdo6bNOQeybZpSyoX9NttUKaVpmqc//emvfvWrP/LHf6SAbmrXveHiUiklhGC15FqChD/+ - 0z+5977PP+umZ7JYpUGQQqy11lo1hjN/6AVEcjQaZePb3/72f/G//+vhzrsYzzWa+vFGAX7sYx/7 - H3/kR2vuo2gzarfhyjlEdwsEKjCaWYzpC1/4QrYqKlifHSQg5zd3hlh3ISBg5c3PvPG2F9yqqhpD - N+lEJISYa1HVEMLJHZedc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPu - AtvMSjQXhEd3O+ecc86dQWCIsREEISAytPdIUKDUrQv+NNRJt2aoFAJGVa0quuManBeYChVWKEN4 - s0GCAiKxn+SRtvvSvgNra3fd/qoP/fn9jJC05YUMY2y0hudee8suuyz2TUCcWi8xkLOgVgMoZhgS - N7d4araYUMHIeVbxPLu4QFDMqCCgxL5muev7ta4XgahVMcEJJSUVwFDTf1Ym34ZcczMpHGK5Tzkc - PmYhDjna485VrhfiV1AVFkSNpYqYoGSWXhJHK7pnVJeuS0+97Zl33HLDbdesXB9KI0c1orGOSSNJ - sRogVc1gIapZz8XwZwpEsZV7DHc2SIrown8lQEdppKaylUtHBAKtsDhk5Cr72pMXKjrazQ25xSRJ - 1q2vY0uFCaQOIcmpjUtBVI7b2y2uA75/cE+IMABQ6tCiGHJogFJAQ4UaI4eDHRGEmkQFMKNZUVAh - ElVVLBuFqpZiSAjVUGsupW+S5akt2+5bLvvaW55y58NrD//V3/35X372oytL06N2sLBjU2ElF6gg - Rq00yLygNofDuhp0ODYq1QSACUE59Tc64zcGCagRFKtArZCxff7hz37igb98xVNfO6pJGqnZoFAG - IyG+iTnnNsOwM+HZpHkpgEIu795z+NDRXbt2/a8/8b/87u/+h9GomU57AvNdGbADbyw555xzTxJm - 1jRN3/cAmqbJOXtut3POOXcKBICA9Wt9OrtVJwBEqLCKrjzjSH7GgWOAiZpoNq1KmGDTh1Vm0d3B - IIBaAgCYidVap5df9jcHHkE/QS9haVlHIZdpiksXYb4555xzTxrj8bjv++GcWkQ8t/tsSNBSiqpq - CMUI1WNra7/ya78aIAaKLNxiQIXY0CRbvNkwtMhUVMj9e/e++Y1viqowiyF0XRfC9oqIvvBmt6qF - Iujz9Pu///t//4//CBoIE9gTum0z/LEA8+hugmYGgKAELaX++q//+r/8yX8RIVYthjDcyR76tGwr - ZlWEZvZtb/6Wn/o3P31wcjRqKDWf6+cMX+zkuSoQgp/97GdXV1eXx23NtUmx5oJtNydAEgKaiQhF - KPjrT36Cw0mQCEANwWrF+d31EyNnAeFKCPDWb39LSknMaq1mFmM0mKqYWVA128R8eeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnDtPOytkwaO7nXPOOefOQESGrM1ZLDQq - MEveNEE4qe1n51o6cF49EwA4K1VoYoaaazZhRa0Q9Zbb2anVFKIBJjZkYgOqwK6V8XS6hhKXl5ef - cc1zNC+FZtzZZKvTsqdr3d7m6mc+5blhrW1K25fS7h1P8gSMKoAYhBQBjJdEoqTQ1gNoCTUZKlQi - F8QAFYwoVzU3TtAd7A6Z1S51Vcv6n1OIeWV/EwMwmy1DFjswBOANC21hOCuZOksNn2+DwhOrfq6n - aQ/bqWL+qcMGTdWswZooSXJjNYzD7uuvfPqNV930/Btuu2p89b60L04jV9FYChLNLGkqpcQQyDLp - JimFpk3TvBbCEEgOQodcADDOV7ZLYUHvaCJCQKlCQCSFYe+66cHJp/g0CgiQtdZs8Dqqm23xeIoh - U9NmG/pxrF7AmT8khQfERlod6iyfVdinc+diY6UacrsxBMOvHxCpVFWjVCsiVI015wBRqELI+SG1 - ioQIgGSumaSJSZCkqLmPEpK0VkMtuFb3veGW61516+v+66f+8O8e/OQXD95bRhwttRJLofXFwuxQ - DmB2EFcaqGY6TKsSIExxXundGiwUWF/6OEJXIIrRCJPu2Ggl/dfP/smdN9w10mUrJahahYqcqgy4 - c85dCCmlgwcP7lrZ81M/9VO/9Vu/bYbptA9Bqs3uJImIiAyBDc4555zbblJKQ253CKHvew+1cs45 - 506LgGDjMhwVAmK45kiYoe8ut3pN7gpyhBimprZ10d3DDcpoUELYzycRovqPX33k8quvQCmzKQRS - TBdnpjnnnHNPDsPF8KZpzCyllHPGPM/4Yk/atiYipRQNIbZN7qZmPHTk8Id/7z+KCIlsTCn0uZ55 - TtIAfMub/sk1V15Rct+GWHOJMe6sx122iBBt00xyLyJ3vfxlz37mTZ+77/Oc50lvyihKKTHGWja6 - xuWSY9Df/OCHfvzHf3zXeKmCUaSUElVjjJXbb7swBsj1T732Bbc+/6Mf/6u8qVtu/f/Zu/cg29Ky - TPDP+37fWnvvzDx5LnWjuJWFTUOrtC2K2A0NSCtiG6IM7bSKchEULz3aU3a3HR1hT8SEMf2HER1o - y9CtETqNGBMzijjSLWMoCCUWCAOIInRJl1hAAXU918y911rf9z7zx9o7M0+dU5dTlVknT9Xzi127 - 9sncuffa6/qt9X37fcAEfOmuO+++++7NG29YbC9sPJc4fMZg9YjwnMbt7tZbbwVQ69jht3zaI965 - BcNpBiQgGV71yv8BESQJTNdmDpt3i7Ztaw3tPEVERERERERERERERERERERERERERERERERERERE - REREREQeAQVAioiIHFJjtqMpZ+4wCJu2s6hw96EUd5C0ZS3vOP+JFwkIfTh4v7RRBOCW/eSZk7Sw - BGcixxzGw1ia8HAxC7OwAiBAmANGRKnbObNGNOFH2uM3XPesPz9ziuhgcZDp3Q7GVceu2UibTTf1 - am3bnu222TqHcaETiDB3Iozp0rMrDxcLRwCou5nYKymX6oD74ugbXv2zk60jp7bO3nvuy7fd/Zd3 - n77jy3d+8dSZkz17S8Ec9KF6HWwRKEwVmTSQIGHMFnn12qt3MOwNPt+pn0qiB8xS8p0CmpUgiWwg - MRY8dcLGP4+U6mxaN45Orr3xumd99Vd83Y1PevZGcxS9Z8s+OHpUIrmFkazuqKiWLRg0NFMLi44d - GtZxEuhhAB3MhqTQ7suOFv1Qm+wBDv2Qp003zDdmG0ZPsAhYAs1qjQSQuPRisA6EEeMNq9XSYLXS - 3Wut5qSFZQ5lvt+fT3DhVuZEZeSULFhrpSOltFgsPB34BklaSh5WLYCaNtY2Y4jWvKyCw8NAYxg8 - FOYtjxYBwAOgLaOxncsA+2SGkpfhOWwBGJAChvEJPh4JAadFGIBKi/BKr7RKK8uGKRMiG1JmTjab - 2toR3/yev/cDZ77m2z5624du/uQf3n7ys81GX2cDo+97rM0wVAyBpkVKiIJ+iNYj6L58X4AxFj6/ - pDMeIxApm9Hr2Px2AgXMWPjW50/f9td3/dVXn1jPaC0yImhK1xORA0cypURaSolk3/fubJpm0ZXp - ZO1tb/v1t7zlf4+AGWBeauz9w4cZj7GTaDKZTLqu2/sTkUMipVRr3ftARORKV1a5SmMijrvO30VE - RC7Gzn+47KWDGWhG0BrH1PvYIuYJQdAQThgx9uPu731aTcb4FnsuPgYDk+kMNYEZPgEagy9/f4V3 - 0oqIiBxa43AdrLKox8eKnn1IZmiaTNi5c+fWNta7YfjFX/ylxlMXy0uv/VCxZ06Os3nscMg5j7M6 - wca2zo/9yJsQkcxJ5pw1/3f0fb82m57d2rYm//Ab3/gv//XPVADgpY7ZvciTVz8al0Xl7qDPUuO+ - 06d+67ff8dpX/6DnhLHHp+vd/RGOPd0n4xDKvaMXJ0077xZBWE0/+iNv+sCHPtjmpivDPoy1XM3i - nJsow4c+9KFnfMXTc85j/4Idstb5uPuaTNphGMZ+Pc/5g3/6ob0bUtTlJvkoB3xn8296/vNuvOGG - oetySlitQss5c8EyEhERERERERERERGJCHcnudMxJyIiIiIiIiIiIiIiIiIiIiIiIiL7zt3NjARJ - d394JaVFREQen8YR7CklwLquc0dUjAfKQ07R3SIiIiIPxulwuKdJnszpZokozt36eo+yvXe/Ono7 - /6JHraUv3RBDRAEcSKtLMIe+jXm5hY1ZzssIV2MCIqxPRouUKptYO752vZ1qzRpwOLhAZSdamxxf - v8rZeiQzAgFHWLghsAy6xjLAFTzIFPHHwLICvi2ra+5dvUkb80FtmE3nVx0ZrllLPLZ+/bOe9Heq - bVeUvnZn56fvOnnXF+/5wr1n7vrSvXcsytb2cLbrFtUGeliCmfWFZsnMzGg2pn0GLEqt5sQYAQAQ - lSThllrSUYgapDkse2q8mW9tT5tJ9iYKjdxYW3/SdU++7sRTrj9yw5M2n37dsaduphN5PrWuzV2T - LbMGvcT4duN/5tWQxsKqtvyIAGB7CtzaOBdc9f4PCXevMQC+2tTc3SeTCXaXH8bvp8ej3BxtN7cb - 4yUD7FajrqiVdd4v9j5HDoKftxBX+1uwxBDkQZeyNTPSCCS4RdpcO+5IrPHAV2L84I5H8gTg2M3t - BgDj6jF3w+VszIm3AIJYHZ24m6ez81LgGIq9egIzmEBPdAAeZmxg3m/Fsen1L/2qf/x3n/3cW277 - 4/d+4j1fuPP2I8eP5Am7YavND0Mi3AAAIABJREFUrBVlAIlSMJ0gBhhjnDwanB6Xvto73SLR0CfA - kAnjqtXRFKzVD3zij5718q/KQ+MBGwtYX+FNLBE5/Nw9Ioah1lqPHTs2Fu7vusFTes973vtv/+3/ - mjMAkCDDzC71vH5M6R7DNrqum06ni8VCud1ySLi7u5dSduK6x05TXb8SEREREXkCMRCB3SuKuz1j - ARDIDlqlLc9kbRmqfVD3O1dEzw9ddAMIBxxMYAZWXbXqxxMREZFDppQSEe1kOplMhmGYd4vffde7 - uqhcta/uh4T7Mrq71ppzrqUAIPCtL/nmZ3zF06PUNiczK0NJKen67agOZY75bDbry/Bd3/mKn/u5 - nztzbms44JEb46v/5m+/4/v+6feuT6bd1jynNJvNhmF4BL3nB2p7e7tt29Q0BXzRi150/bXXffnu - exKsYj9WIAOIUkoC/vQjH37VK78ruQ+1JLusAeYXQ7Jt277v3T3IlPMXv/Sl2267DePGuOds4tGc - WLRtO/RdYbzmB36wDkPbtlx1u4iIiIiIiIiIiIiIPAh37/u+bVuSJPu+n06ntdaU0uWeNBERERER - ERERERERERERERERERERERF53CJpV1ohR0V3i4iIiDwEs5SQpu1sjF41cyC4t+D4fjQBd/IEnSgO - EGHR1fmi36qs7iDDVDTzYQgDEMRuIXYuf1iSM1WQnmN6/VVPty9kQyIONO3MW5ted9WTHamCyVnY - Izm5t65igOPXXyMMvKKjfOkePs5t2Fj7HjYWFfVqBkQY+9xx1jVMvmaZZzvjZkWl8TqLr7wacV2l - 1TxLW/38zLmT9509eercybNbZ7a2trbr1n3bX9ouZ7a3t7e3z/V9T1Qkc4e7AxGoxDhvaWZw60uF - peTukRPSNK+vt+tTP/LkZzx16mubayeuPfaka6960sbahlvLai3WUyRs0cI84LRcK0ptvbGax3Wp - pCiOauPbZBur/lsA421vWLDBfBkmfsiKqz4xmY0p6wTgnhHMKa/PNhISa3iDWIXMGRC+D8nOu2my - AOjwqIwEEMNisY3Jo34DOV8Y0gWHSjMbU4hX+yOUUh6D3HTacmUzM4Qf27zKzIgKZgC0sNVO46Cn - RJ4IwgD6uGKvjkMOwOnY085cRnUDffKxyWEc18NlRo3RQTd6YguYh48vXhzVIoGO4ixOAghgbX1j - Ufv5uZi1137zs7/rOU/9xv/vL/70g3/5R/eUO2YnjmzNz66vGVucPBdNu2qZ7bbRfLUJXPL2mALV - QUMYjEiRSyo0OGG5/vnnPvr5M7f9rdnXOKa0oIWyd0Rkn40NCe4exEmLYNu2OedTp85Mp9PJZNL3 - /c0f+JMf/bF/lrIPJQCklMyslLJqADzcHeAwDHvTu0spykWWwyNid00eV9GIsCuuv1RERERERB4F - wgMBhAMYk/YIAGFjD5nBUD2qLzvWmzpetNx7hXDf7mkRWF4s3YnuHq+ROi3ghI3p3TpvERERkUNr - Op0OwxARTDYUvuMd77zjrjsfKLcbq95QdwTBnY5ZMyPf8PofanMTLO6+2J4fObK+vb2dUvOYfZbD - yRFh2NjY2F7MS9/RcNVVx7/7u1/xtrf/xgG1EncWnAEB/MkHP/yxj3/iec/9+vWN9X57XmslCbPz - n4t9GLr0MIyt5fPfKwBMJhMz67oF3NZnk+/73v/x3//if9jH980pRy0VeN/73hcROae2afu+P2zp - 3RGxk27i7iRvvfXW7UW/XwOPPKWoteu7aUrXnjj+8pe9LKWEVefLuFxMvYIiIiIiIiIiIiIih8Zh - +ypHRLRtW0rJOZOcTqeLxWI6nV7u6RIREREREREREREREREREREREREREREROVwU3S0iIiLy0MzS - 2to65jAY7OIVMMeq4w/0u4fjvNxCCxiGOpzbPnf1WnUEkEgmGHHIvtN5uIwF2QE4CCDGNDPCCYQb - LCzM6+T6q5+KMMTB1rc0ug/puqPXAh6okUCGGRhmhNPNxsQ2Bzws0kFOzGNlLJ3ptABXK7UBCHOa - IXvJEd5FzWFkw4nbFE6YMTh0hagFEefKLE/X0/GnXJ14LchaGQMWnC0Gm9daS+2HYSil7+tQa93e - PhcsQ+0jKgB3T8ncfTJbS55zzk2aZm+naTZrNlpbQ03oHSUnts6cFy2YEOZIEUFUoCajmbmHZ4AB - ugGAe3gCYCAMdC63XVt9UmAV2LxKHRgRFo9mFyH7g25Bdw9Y0ABv22mTWoQ5HcEgzA3cUxH3UbMA - 3RjLxU8jLbYXWzFVoPu+iYdaWCTdDWRY9KU7+ChBNySyruoq+8baEdsaj+PLPcHqCDSuBo8kvVhk - hxOxG0i/zKQxrjYNcydgQcCI6hGGMB+br2Obicu8mjHAG0DyyICD7kRChBeghgWscnwL5L4fmNJa - PjbEEOcWN7Tr1z7nyX/vxq/9g0/8l4/9zYePrk/6vj8zP33Vsbaw35pjuroSOa7/qy330tZ/W07q - 6qXghI9/X2sMMfeN5k9v/cCN3/C3Mcz6SndXGWsReQy4e0ppsVhsbGzM5/OI+NiffeKmm/6Fu5US - 7hh3XWM5pFIu+bg/DMP45+N9SqnWqnRkOQzcvdbatm3f92MZMnffm+ctIiIiIiJPBA4HyvIhdrvM - AHcAPCzX6Hx5KTJAOrDs39fptYiIiBwywzDAzeFDLSnlX/21X0uWetYHalK5owbMls2bUseGGZ71 - t575ghe8oJ8vZtNp33fuhysR+fJyous6dy9RZ2trp8+e/bE3/eivv/03Dvp9CUzaXPrytre97Ru/ - /hsiwlPqy2CEpcO1gCKi1mrJPaV+GF7zmtf80lveOl+tXfvo9i98/gtf/OJTn/zkadtwHLtwmJhZ - KaVtJ33f59ws+v6DH/xgdgyxH6cShoiAGcha6+te89rNjSOIWiO0wYqIiIiIiIiIiIgcToftexzu - TjLnjNVI/ul0SvKwTaeIiIiIiIiIiIiIiIiIiIiIiIiIiIiIPD7cb7z6FRSmqOhuERERkYdS4U3a - WDvCLYM7SDNyJ4DXAMSji9u8+N9acgKnzp3CBoPwoAE82KTpxwUrQIANwEQAEUiEVWsSaUbALfzE - 5lWZZrXwIOOynW69H187QVogitXq1Sw7YXRjOBDwRKc5EKu46ytXhJUxeB4YlwVSAER1BJkcnmpO - lt1g0VmJ5DUiIlhoZp7g7sk8W0PWiGC3TLxO8IRpWbQTP+o5uQOGSFG8RIpmvQmWGhEsANzN3c2s - DhVwM3PkRMPg1juJ2tcmT5pmavQyRBkqEMm8RpfMU8pmTVTUUiuIMazbCgAjnDnX1DATXhx1mRYK - 27PsnGOM/M4PykPmCstjgLsn6+5ujIgaydL6bP3u3nae42YM7uPX0s3cLFdUjDHvRnd0/Xzf3kAe - yrjozWxMJB6GwR6T+rZm5gSC03YtpwmCfl7VXsJiTDExQkd4eZRWB53AMsM76p6VqmJM5B4blA4z - 310fDWOWNlENsBhDdOoqSycROQyVNZXqHAzhAB20Nk9KVzx8La8NxdiX49O1I1cfOfriE0+77sb3 - f/SPtsvptNacPnlf3mjWmhLL/fBu65cGIC4xtidggyGM8DH/2wA4GCCKDWtH65/f/pFXPO9VbV6L - PmVvcQDVw0VE7sfMSolSom2ZUvrsZz9700033XffqeWOb7nnI+CPILd7LKWEVR0lM6u1kuQV1Bkl - j1+11pzz2FE6JspHhNK7RURERESeUM6/8A2MF+MNDgSQ4Kgp0RORCIyXKgHspGjv670RbrEM5CbA - 3XMTAww1syIqUAwNDbDDlgwoIiIiApJRI7nVWv/klg9+8tOfKssegb1DHQL3a4mNTaAEVOTsUeL1 - r3vdkbX1iVvphyh1/cjGuXNnmkn7qIY6XunG/nQCQNfPZ2trtNR13XQ6feYzn/n8b3z+hz/ykTkP - bAYZAPRDAfBf/993/y/33nPiyNG1dpKyR6kX+4NxSh7L8YR7evNRYdG20+3FfPPIZm7bb3vZy373 - 3b+3X3On1OJAm3Mt5fd///ff9MM/PJ/PU0o4ZN1fOaVal0uHZGryH73/ffs5FJD0lNbaPCy617/2 - tRbsu346nUYUYGc00RU9plREREREREREREREDtD4BRNbcXcAiu4WERERERERERERERERERERERER - ERERkYN2xWUlKLpbRERE5MF5BAy+vn7E7jEjuPy+4oUVAlc1CQ2PvnpgGBLCnPfcd7c/GawV8GyZ - pGqHP4iw1VJgNsA4AAVoQIdNa9TMCjOvWJ9NJ8m3ODhxcJnKRmtKu95sAojEsAKnhRnNaU43jAnU - vqqkOsZUX6mVFsPgFhhLRhoQbsCYUE4giAiMNSXDQCfAgcXcPPmYtG1kREQJMwPMwg1Ilpa/NTd6 - VJRFHTPAstkkGd1iEWZGg5kBwbI8MWtSixrjk82MZESQXJtMhmHoF72Z5ZxnTRsRtdbpNPd933W9 - e27ytJm0YVE5VPY0GmEGRCS4M2LMNwWAAOkIcCdswB0wxphIip01U2U0L6vVt81399HjurG5uZnv - 9RJmCaCN5/Xm+7Az3zGufjuPwzCfK7r7MTUufQZpseh72mNUiNodJDc3j1lYBC5a7+ASQ4tFLsIQ - WIbixO7Oy5ZVnHeD4W0ZWGN0I0Cn7U2O37tdjAevGDPBDbtPCHPCATN4KZEtIax0A+g5T0pX53Me - X7v+2577yhuf+ux33fyO205++uiRE6fP3YcpzQGMyd/LBsPOtD38DYEWQAEiERUoHm7FIxsDXizF - ueF09P65k3+9tnk0p81HMD9FRC7VMAxra2t9XzY2NhaLxalTp974xjfecceduUmklVJSSqRFRM55 - TN2+pNePiKZpaq1jRnIpJSJKKTmri0cuv5wzyXHNxJhasSfEQkREREREnghWlxD3nO2urvslOAII - B21PP9rBOu9dCKz6LuvygmQAgQggAg7s9tSKiIiIHBIpJTeUoa6trf3CL/xCJQ1mbg+UKB0BAGZj - ercBLCVObB757ld8lwEkh2GYTCaLxaJt2yfaCAUuh3YhXfCr2WxmZnUYqiGlPJTyEz/247d8+LUH - PkmEAWe3tt7+9rf/m3/5M7XrzS0i3C+cxsupaZqIGIah1rpYLPoy/NRP/dTvvvv39vddxg6Fd73r - Xf/ippvO3Hdf27YPkGJ+2YwdH33fe04AhmG49dZb93cao9Z5qa/7/lc/+UnXd9vzSdM6LjKwaRzp - umeMh4iIiIiIiIiIiIhcBoctErtt277vx3pntdZxMH9Kh6vTQURERERERERERERERERERERERERE - RETkslNunIiIiMhDCWbz9fV192zmD9CC2p8EUN9TGpOku9978iSSj+Gy7n6p+V5PRFYBGpNHcsBB - ZwCZmNY6QUwSGgTblCetpwP+eqzRW59M88zdkdCzA4JkZjK60YwwwpmM7o+LZRuGOm4iBJAt2hTZ - wj0SCBBhXgOlRsdawMY8h9tAdjUWBV2kYg1TDm+YWm9abxw5Ckof/WLouq7GkByTNk8nTc4OgKWS - FgGEIYzRjLeoXkoMwQpWcAzubbK3TSpD58bppJm0OYMoQ2K0zq7bhg3tzNMUxRfbw7lFv11jwKrK - P4Hqtc99186HPId1js4xuPWGYiiOGG9jZvlONoCj+hjNLpfPuF/FMrHbzcwAJzY2NnaitcevzUfA - fd92EKtdt48vTlQy5p2iux8j9zu2RkTf9yUOfGMkGSAJklcdO76qlG3g3rZE7FcTQp7gaEGry1YQ - YtXAMKc7c4o2RZuiSXWS6yRF01ZvwpvwXJf3uXqO5S0xVjcmhiFKipKCBo+Ua2pK09Tc1jzNDYwV - Q7RI67lL0aWYbRydlGPDPc0zjn/16171pm96zos4z+vtZmbeze6xZRhOGOJSd7eGSEFHLp7CS0Kf - A0zODEcBBqvI5c9u/WjXdGHBMF0CFZGDduTIkZMnT5pZRNxzzz2vfvWrv/CFO5vGhqGWWnLb1FjG - dZdSHtl5/TAMAMbWbN/3ZnbY6j3JE9aYRj9euRorfCm3W0RERETkCYVA7EntXl71JoCw8bpcAPTq - GPx+CXN+oPccbzZeM0U1DI4+oS6TuscJD+oqvYiIiBw+XddFRM75k5/85C233AJgMpnEg45zSMmW - /Q912TT7nu/5nmuuucbdF4uFu+ecF4vFZDrVJdwdYy61u89mMwBN03zzN7/46U956oG82aqLHKvu - nqbJv/prv3bXXXeNQRqHMIx5DO0mubGxUUppUnre1z/3G5/79fv1+gYDUEkAn/70p++4446c8yEc - HztuejsT9rGPfWw+389BX54SgJz8x3/8x7e3t0nmnEsp+/gWIiIiIiIiIiIiIvI41vd9znn8mklK - qe975XaLiIiIiIiIiIiIiIiIiIiIiIiIiIiIyEEb67EcwnIxD0K5NSIiIiIPwczc8yzNWjYJCYjq - CAcwBkMDy9DBZXHMSwpg3on1pS2Lgtv4Q3qA4Ty7OMXUV3YA4Q46qCbcAxpnzZjFazsl4o1AOJ00 - GuBm9ASbpHzQs9LDJ77W2jRbMjPSzAzBPRFrBtp5ua1X+PLl8j+sQkPHT+SsQMAMbi08jaHJ2T0K - EzFJeZJy68nNjA66hTEMFVGBCtCz5ZEZI0rfL7quq6WMYcwjklERpZJ0WPYGgLunlHYCm2utO6Vs - LYgaERFRxv81TQOziAhWWniKlC3nPD7dVkVSaazG6jW8wqohxvK3TqyW5mqZ7mZ1X9lL9nHDnNVR - GAAcKcFT+Npkw60lDXBYkCRhj2SR7W7LYxQEACMqxlBw+mrj732xVc/x/Cj3nfDaPdV6H/AncqHd - +Ulzuq12p2Z7DsyBqBiiqywHnJkdsCCDBiAfn12dh+zwWB6bYtw5BNzph7D+slzZliu/GX11G4/L - u//E6t6xvF8+ILBqze65Jw3Vlq+cwsebEUPXN03KGaX0Q+2REGC3PVhJR/OJNG/Wy9F//LxXffvX - v2qzu2Yy32zLDHQCMe4kDc5LazwDy5SdABwwIhHGZavazIYB6+uTmoa/+JuP18mioseDlrAXEdkP - Pp/PNzY2AZw5ffbHf+wnPnPb55psw8CUEszKMMBs7DpanVxcGjPLOY/nLIvFIqVEUtWU5JDYOePG - Km5k7wMREREREXmi8Qu7xGzsk/OLPevg7vegY9Ul0Hu6b9pgMkFKgLv6X0RERORQatpJX2oY/sNb - 3lpAB7a7xZ7xZuchQCACMHgyBECstc2PvP4NpZRS+nHAVd/36+vr21tb/gRrAY1jRu7XRgxDGMZR - Z03TLLa33T2ZNSm9+vu/96BbiRFhsFLKnffc/QfvfU9YwKxpGgDA/brPL9K+fsy4u5k1TbPYnq9P - Zwj2i8U//6n/6YHmj+257f3RA40ICBA2DsO1s/P5LbfcErBa4rCNonH3WmvTNLXWodb3vv99decb - Y3s/G8eNMS5t8oko1YgXvfAf3vD0pzZNM5vNzm1v2QUbvK1e+rDNHxERERERERERERG57CKibdta - K4C2bYdhuNxTJCIiIiIiIiIiIiIiIiIiIiIiIiIiB8/G0BPfc1v9cPmr0fK3Rrfzq0PslokYn2wx - 5q+NdTn23h6YP4zbzlRVWBiWqRkHOWselQs/+M40G8/7ULYbeeNhHuZ1vDmqR/Va01BTV9O85HnX - bs8n2117/1vfbPfNvOSu5K6moXqtXsPCgMTdIh4Pb1mMk/uA68D4HBWukH20N7f7geojHSqHd9cj - IiLyxEQuM305xjSTV0ST4nHOjRU3XHMjts2qpZSKoTrAnGqb6E5UQ3U4kS4xehC2PM8p5sXdiBQA - WzCHNb0Nd5y+fctONmtR6nZKqZYD+YiPH3SwcVrC4OgDFkhAGAZynnIgR1c6slrYkbWrUScHfDbu - R9eOTtMsSvXgNM1QG8CChV5pHHMrwwhUwI2Ph+i1cTVOhKEP70uKamFekwE0hocFPRxm1bI5gRpR - I4IkQAta0EmLWD2GMyyCDJJwWPLUeEo2Ro6SFtWiJjAZs8ONjjBWZ1hU1LBYJnrC0vgKhFcwDHRD - cjrpViKABJgFEOZItqyP6UZ3utGcZqub0zBmlJtxdSlkWd11nP5xptBXtUh1CnY50TmwViMTAiTZ - RJNKvvbYk+pgyduh0rPVGm1yVDziU2YCsZMLTeTs/dClbHUoTSCiDJP+zvmXBwuz5EZGoRNufY2U - muUqj1hdmlxG26pB8FACCNCADCbADDAEUWGRcxqGkvN06MoQXZow7CDTfA1gNBldBWv75I0b1oej - jKaaO8IQYaweQAYSlrnjSheWR2HnQIPVMQq+e1S94BYW1SPsvBstwkAgzMeDGs3Ge9B9dQjb+zqW - UEpPsvGEMC9okRs3oJa6mHhe69av2nrKK579vT/4/B+5brixmW9YbZEbZpih75CAbMtq6Q/7wy7b - 3tXDEZMBs8FhfU19KZy11m93FfVUuedL5z6PVJNB25eI7BcjjOwX3WwyHYYhIiaTWa0Vnocafak/ - +hP/7M8/+d8IDNUI1FoRBIFYthBL6VdNxQfcNU2n0/FB27YAzIxkKSWlFBEpJV0pkkMoIsxsLPJl - ZhE6+IqIiIiIPFEYsIrus9WVeQDjRcsAxmG6kRhN7FwJjIO/jWfxMMKw7Md3+tzTq27+YxxZQzMB - HDSld4uIiMhlQQMNJWo7ncCtMuAMVFoEOATTZHrHnXe983ffFUAd/4S88JtRxNgK8zSZAomFjaEF - /tELXvisZ9zYGBykMRA0RIR7Nns8jE+7JGOzcMTlGBwnPGiAR0T2ZIxEchhe9wM/ODHf00p0wM3z - 7hcR4bb7XbWH3Zrknhvg7kEE8Ja3/lJXi+U01MEMJFljnFqSAcIPvLk6ro2jvd8SDBrhpZSc89B3 - 2a0xe/m3fOtznvXsaZOXf2GAj/+577kZ9syZB0jvTikFA7ABzKn9/fe8NzwxZdDGSaIhwABpgO/+ - 8LHMrjYCNRpPtVZLqZq9+z1/OBCxE9u9Z7HudP/t+XrquLY44L5ai8yWnX1jPncGMvATb/qRSdvU - WoLV3VNKGMcrxvjVzeX0KLdbRERERERERERERC40jt5PadkHlHO+rJMjIiIiIiIiIiIiIiIiIiIi - IiIi8nhjBncnOYaI7Y0pFblsLFb1DhxMgSbgYRFWw4awASAsVoHTyZgszOnZckKyIGo4IxucYaQ5 - wxiogQgPJg5RK4zwyiiMGGMrnD4WyKhAmFlyz4bMWFWrWN5SYJyqFGMslw2w3lCd4UzOdPDp3Y6L - pllfPNl6LBOxm9mxEzsVtabwBk2KBiUxcsDplsyMwSgAaCnoA7x4Whj6FMOk9pPFojkzz6e6yclu - 7eR889SZI3fft/bFuyefv6v93MnZHWc2vry1cc/pyZ1bk/vms9P9ZGuRz3V5e0gdUngpuVgKMKKi - wsnEQK0gScAdyehjVQ56CuwEZjGsrm6xCm5PxrTK3tobOi7ySIyHQjNLKXVdhyunLr1G24uIiIg8 - uCDpSJuT4y2nFaVnoSOAhnAaDLGnHJ7xEdb2DnMnYGGE0emoHKrH2XL63HB6irUmtaWE5URTfPeD - cxC2rFmaCAcCFkYDK41wZHiy3PokW6qoB5rmmL1Jlp0giXBaNQJmYITBgTDAYpnoTMeBRsk+FhxY - 1g+Fg4jAMqIewFjZc6wg6cSDXFHkA9w/DDG++MNxkWftuTRz0Ys0Y3DpRX5Fv/AFecET5DBY1jBl - ON3oKfKxjatztBXD8vcGsxQRjzS5e/dddmrpAjulf53GHosz3Wk6K5hIM3BZZNkqmMcqvUA1cJVo - +4iPL08YAYyzKRmB5VEVAMfLxk7SALIri0A92NxuAKu9nDsS8lXTq5toiVTB8chUfdxZmXYOsm8e - cF26yNrOcRPYez/+/H73dF78lS/ymr73jy0sgJq9Wio2ybPnXP8NfLH9xh//akK+Z/vLNkVyzNaA - AbXikorDjzvYWDUncjgNYUGDG0gY3JKV3H3uzs/eeN1Xw+LhNyNERB6Su6+vr587d25j48h8Pj9z - 5szGxua865um+ef//KZbbvlQytmqVXI8ObqkF19bW9ve3l4sFrPZbLFY9H0/xnUD2NjYOHnyZESQ - zDnXWnfKKomIiIiIiIhcXntCDVdWnRpEmDnAvXGJjz0jxp684gnTBtMJfDm16nsRERGRyyiltLW1 - BaBtW3e4O4ASyN4MxC/80lu6MhCAedPkiCj1AcYN5lS6wcyMlmhA/PAb3sAaadXWOW/widJ/V9x9 - /HKsmY3t1Wk7ObbpL37Ri97/wQ+WUvqou882e7Cxbpci51xKmSQ34pOf/m8333zzS1/y4jY3iGpG - 9zR+U5fkKqf6UHR4jytPAv/Nz/yrH/ihH2rcmHwoNaUUAJeDBIG9k3v/f5+n1gpgXO8XtX//zR8Y - SpgbdqPRsTMrxsV0MJ/sIeSct7e3m9laMdx9371/9ZnP1Af6TKtltbePcOeZETEuejOLIIC2bfuu - A/CtL3nRP/j738RxZkZMp9OhH9zdiTBttiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIpfT - Zfqyu8iDGvOtxhQJo+9kb+3mShg45kMtSyBYsiCiFHcfy5tHRClBJwiOpRAMZg4zM8sppeQOi8gW - BOAwkqUUt5xzIlmjLON43DHmRywnYCy3EKu4C1sG5tLC3Mmww1ZIwYHgqmLEmGxtBOBt07CwlGLJ - 0yQZOQSiJ6OsrU2RbKtfFC4wTUzcjkUzs7PdqZNb954+e+/pM3ffe+rOe++769TWyZP9uQGl1hpR - SSbz7J6QjqwdmTXrm+vHT2xcddXRa68+fs3xzas3J5sbk7VcnNa4Zys29AS8SU0yjwjGUOlu5hxD - 1+m+Ch2hrerrLcvtGXdjvMIjxsqAh6KciTx+cJ8q8xw0RXeLiIiIPIQxF3Bz/Vjjs87OkhXLCNW4 - sJL3JZ/XEWEg4IQhds6Q9+GPAAAgAElEQVRTjG6kGbthfu/pu08ceVKy1A9dm6f1ymhnHl5mBpiZ - tblJloH+QN8u5+x+/8DLy1VHUuQJb7zONV6ZW6a+gvmao9cla8EzRB03UHfUR7e33Zs/QdIMYQhD - MjOzUuqps6fgJGtEZPPxeqS7j9GMAMLOS3o8ZNcND7XVvDovKXNcChV1a34ORvLA0zjC4ESylNGe - OHECq4LCY2ViWyUiG512ybmeIoefWbJwM6/e92VrbXrka278ulfwn/zWzW+/6sRVd3f35DXUikxk - B7ncKT8CNMR4b0hAVBJsnEi49b/f+pInfycttA8Vkf3S9/10Oh2GIaW0vb0NYH19fRgGAD/90z/9 - e+9+N4FSymQy6boh51zKpZ1vbm9vjz3W8/l8/MkY0R0Rp06dIjn+tpSSc46IC082RURERERERERE - RETk8BtHleSc61CapjFisd1h/B6aeW3i9Omzv/M7v1NZDVYZte8BXDjQwQASKBUAaAYa7Bk33PiS - l7zEcFginw8tkrXWcSDfOFzH3afT6etf//o/vPnmYACYTCZd1zH2Dup4tAM8SinjOyZzAr/8y7/8 - Hd/+8sX2vPV0v2VsIHjoxpO8/GUv+9qv/qqP/+WnalQzq6W4NwQCuH/HFZf3F10Xxzlfo6aUDfa5 - Oz73iU984rlf97V5z5Ae2m56tx/4SJ+Li4rcTgN0T+973/vmiyGnPNTyYH+yesDlQ1/9E2YWEeOH - 6rpuOmmiG37yJ39yNpvFUDx5HQYYd7+SOv7hngemzVpERERERERERERERERERERERERERERERERE - REREROQxYWZXSBSpPAE5YgLAMMAKrIIOJtCBRADGsKAV2DJ6h9VSbpKlSvaVJLO5N9lWVRGcrCSD - VgjELKfohmEYAE+5TSnVilpK20yDpdZqziYDFqXWWqvlBDiQsEphW1WbSIgWbGggAlaH3AHhy6k9 - OA9asuOCt662/ElirLb8RHgJHzhYjpyrsauFmW3bHOm6eTeglG5IC0y7RTp7x8m/uf2e//6ZOz59 - z7m77j5z97lhixm5aSwbG0SuYz7RmHwHC3c3+l0DbXDfSvhyypGzT9rU5tw87WlPu+G6Zzzz+r9z - bfuUzXTVWt60ksp8YHYg6DBWQ06WM7OZdZw7IuCAEz7mjoPj2rCsYFE9aFFtmabu2r/Jo7CTvnRl - UXS3iIiIyMPh683RzXbzHO4i4GPJSwSAsKDBOZ5yPKI6ictmZAARtnzsQJh5QvH5l++5428f+1oO - HhHUVZlHymy3AuRY+jDn9jGYn3uj1JTYLXJ5ORFwIAx0BgDCw+zo2lVrvrYNwEDSiDBURNq/tzbD - zg5n3BVsbW1VlnGaACdJmLszggZbXqcLrPJoddHqIdn5l2XGEN+RAySZrFo9s336sZmbZkBFYjvL - a0ePHIt5JDNyt5yurabXlj9UgLc8ThiQzQmr4ebuTSnRD2dpbfOCZ73k1Nl73/Vn/+eJq4+c7M7W - wFXTtnSl2iWs/OMms9xwLtiazUCiouZkt3/x9mJDsWKW/GC7XkTkiWI2m3Vd17ZtKaVtJxEoJUi+ - +c1v/p3f+S/jPsnMuq5r22nfX1pu96jWCiCl5O4RERG11jE6IucMoOu6yWSC8082RURERERERERE - RETkilNKSSnlnEspOeec8zh4pAP+r9/8v++8924CseodffCXaqbTYb4AEIjXvPoHHObuiPqYfI4r - 1TIQ2n0nvZskgZe+9KVPuva6L975JYdFHMhAjqZpyjAEY+rpjz7wgb/8y0/deMMN4W4EyXF6Du1Q - w1rrTTfd9No3vJEA3GvUiDB33m9mXfTbVXt+aGYppTIMfS2T3JQy/PpvvP2bnv889P3uIKtVd5iZ - XZYkehoqOZlMTp07Mzt69Ld+87exCnq/uD3DGC6c3lrKzvY+DAOAoRv+0Yte+A9f+MKzp04fWd+I - UpL50PWz2WzsNMT5ud0iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLy2NupADCmHV3eiREB - APgy38QCVoECc48m2KyiaQssANIiLJzeNJOIGGoBPKXGLEXEUAOoAB1mTnMagChGzhdbbZtnGxPA - +1L7CLOUprlE9ZSSMVi6YSCrOVNOsQxbMaePud3LmhFEjMUm6GEA6iHM+w0D4cCYOA7shsd4rUPT - NkhlGBawkqeZ7M/VbT+ae+u266kv3vfZT33i45/+3Mfv2vpCabf7NB9yH1Nw3SOlOawfatQ6a8bZ - gr2ZNQDyBIB5GML66lhl193xhb/+6B0fnpXN4+maZ1/7nOd+5TfceM1Xrm9uWoHTrTpoZAT6vpaI - yNljnGxzEKv07gBgHD9UjP9xrGuiPZk8auMB8co6LCq6W0REROQhuDuKNTE9ceRJXzp3WwLMUAOG - gMV4MpMIwMEYk2Av6QyP5gCcAVueGtECQGKAgbZ8/p7b7dkJzO7Oh67DKQAcuEjhUZIwkDA4yZRS - BLCP2bwXM15BG08SzMxgJMFDeB1A5InAnRgDYg00RBjA3GLj6Nq19/WfMwMruCyD+wjf46IXmMxA - VgAVTO7uNnTdvF8cT2bVABjdGJ6sAoBzmWLrtLDxECMPTyxr4O4UyV3+m4S7V+tOb58yM79Yhdz9 - ZQYGrNrxjeM554hIPpYSXk3bnguiRhXYlccZN3gtgURvPKFxpqZyON2/9Gte9sXTf/2h29/fHsm1 - KX1XPAzpUqPrl88PO68pR8INMEQtkeqZ7VPbw7mJHWnM1YQWkX1RA54aT03tS6JFVFr6P/7zf37r - W3+lbb3rA0BKqZTyyHK7lxEFpZAc6/Xjgp6nyWRSShmfuU8fS0RERERERERERERELoMxpLnrOpLT - 6ZRk13XIuZj9x1/5ZYI55b4WgpPJpOu71Z8B5488c0/DfJEMrTet2ytf+Uozs7iivtRyOaSUxv4X - dwcwJiVbcDaZfu/3/JM3v+UthTEMHYCmnQ6PqOvn4txqrQQC6KI68O/f/OZf+U//CaWQZA2SyXYD - xeGHa0BJm5uXfcu3Pu/rnvuRj39sqDU1uZZC2DhmyvZ2/e9ZV3cjrVcDJ4NhYWMyfa3V4e/6vf/6 - 7/63n9ucTsevWpJEcBxyCeByDZy15N3Q0/yuu+76yEc+YkBlpJR2orUvandaV5/cU4paS+ndvQxD - Mk/Z6lB/+n++abG1ffz48dIPpZS16cxhY28glNstIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIhcRYQWgW4UF4KAHnLv1HcKJgFm4mRtRh3nyxt2jstTBnGZu7pZTcCjRw2qyah4OEsgb1g/9 - ubpgmJlbasySwS0bGVbDzFKeZHNzGjnUsTQHDQAzdsInLIABNgBjxQk3NkAcfGDMwwm/WCYBOXem - FgF3oMKNMETOEXUR4eZNTdj2beYzfbt9d5z58Kc+/Bef/Ojp7bttMtTU9ZvnauqZWIAK1AiGu+VJ - njYNan82cZlnToBEGEiUgBnpTAlI1cb5Fx7Egtt9223x1OfuuO2Pb/+DrzjxjGde9ewX/91vPmrH - 1u1YssZAWERDo2NAilxtTO8GETQEwgA/PwfEVMNCHjVbZXpdWbndUHS3iIiIyIOLsexfmJf2aVff - 8Kmzt5BIloJ15wlYBrX6eCYVl5jbTbghnMtXG5uThiBJDMy88947Sq2twXMyI3lJuYZPPLxf9OP4 - OMwSCdCNYW4RyLl9DJrvY5oaaaArrVvksnKj0wA4UIEAPAxE8mF6/bGn3H7nR80RBYYUGNNf93kX - MV46IJlSCvLU2buvO/I09xQFyVOM9WrNgnA4uHstj3sOEHJRTg8L0MYlO/5wLPY7hqkTgDNsOLN9 - 0i6ar34QUwVLJT/lmqdZ4Lw3tRjXRdAvNa9Y5ApALyVSck8oFgy4ZWfKTLl42NHvfMGrbvviZ+6N - OzvfrjVyzhVlf96ZQDKAUUkLpnLn6S9tbl6btZmJyD4ZhmE6nc7n85yzu9da3/nOd/78z/88gL4P - AO6+c5qZcy7l0lIcSJZS3H08kTSzvu+HYcg555xrrRHRNE3OGas8j33+hCIiIiIiIiIiIiIi8lhJ - Kbn7YrFIKQ3D0HVdzjk3zTve+c6/+fztyVNfCwACu7ndF9M0TVcrgKEO3/6t3/6Up17fwPu+96R+ - hAcz9vWQrLWa2bKXx9EP3fd/3/f90lv/Y9QIAGbDMOzvWwdjfLuxX+n/edfv/uzP/uzTrr/ezQJA - HN4hQka0TRMR//pf/cyrvu+fOlZTu/N9qj1Z3ff/2wtGYtWo7j4OlQ3w1NnTf/yBD3zHt3zL3m9n - XfYesVprgMdOHP/NX3vnmfl2BWD2ILnd3PvZ90z4Th+imRHIjfd9+a7vePnf/6bnl3lnhJFNyrXW - tmnm8/nYXbj8W23KIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIil5vZ/ieYiDxSMQaqxTKC - BzCHB2iw4sv11BMdxLjetpM1OmvEgG5AQeOerXopVrf7s2fnp06fO7k9P9P33VC6iGKekXw2Wz9+ - 7MSJoyfWpkcSMyI5cqo5R06RrOa+unVARNM0YbthMeMDGgxBq7ACwOlAAjOQgThUUS3jdAccqzQ6 - BwxhxrZNizLM45yts2/O3Pr5j374Mx/6+Oc+3rfFrHKjdmW71GINJi0qEQEGzJDMDMZaIiIvC06M - 74JxyZjBDCRIDMtf0omw2kyaRd8PBCe9r7Vb8+6vzp798pnbb/nEe5/7lc//B1/zwq+45itzTOsA - Vms4SZjZMv4mYMvVg0AFaMQqMMfpIMLg2pnJ/rmC8rsV3S3y/7N359GSXHed4L+/3703IvO9WiXZ - khdZSF6xjG0G3EwP0zRwGjhNT0+f093Tcxj6ME3DdMMxBrpN92n2rc3SBuzB2JaxWzJuIxtsDAN4 - l7zhFRvvNkabLakkVUmq9b2XmRH33t9v/ojMrFelkqpeqZ6W0vdz4ryTL1++yMiIzMiIe2/8vkRE - RKfhXoOEmpvLLrlCbxKvEBdxqMMELnBADVicOG2VQYdzLfjivMhNXMUh6kX6ezfuPtatjcLjwqJW - I52hIex288nesh1LHZuLG26fGBpV3XTHkBm8XKBhcewUlSmJ6NwTWVZBhQFDenKMZfykC58SD0gA - 4JCg5lWGBqotzf10D1cVMzMzqJqUe47cc8WuLuoKFkVmFzV555Hd6scXgXuILTjeIHs8F3uo5Fu0 - W+uOmti2f506HAgek40uu+SpVjyEAIOYL8vyig+txXqKcsVEj2YuwwfPNSJASg3mnorXWsfNigom - 9eJ//h3f/8YPXDXrNlZ37Zj107M7ih6YGHx+rCUyr3btARWlae32/bc+be+zvRrwUBz4EdF5b2Vl - x2w2U41mqC7vue59P/MzP1sKAIQgpbqZDSeATdP0/dZyuzFU6ncfjhhDCH3fq+qQ3JBzTikt2wQe - 9pQCIiIiIiIiIiIiIiI6Q0Ps7uZRJcPtmktomhDi0K3QNO14x4577r3nZS97mQEqAiCGCJVcckyp - 3CdAehgVUXIHmLgkhH/7b36wlCJQgYsLGPp7/5bpy5szlc09qj71qZc//xue88nPfqZJTZd7SIVg - 2TH9YLlD1dzh3qSYc+mqXf36a/7LT/2nFGJUdTc3d/cAOX7V3SPGbDqpVv/x93zXd3/nd7z3/R/o - a4VAAJflEJ3jA2GWq+y+10qGGGspZgYAqmY1aLj22mu/+x/+Q9GgKiIypHpDcFK/2OJdbSc+ybao - 5prixnR67ZvfNGyLGOMyzX1YkJO20Sk3mXuBIMVUc1HASx036Sdf9ONe6p5duw4fPjwej2OMfd/L - /Qxtte1+qURERERERERERERERERERERERERERERERERERERERET0qCAGqYDBg8u8RoFrBoq4mkV1 - EQsKEVRBcfH1ydSjSzIb9zVODqzvu/GrX7p5/w37Dt4+8+m0THovDrgKRAFUK1GDiFounn212fGk - i57yxL1PfMZlVz5u9eJLdjxxFbvqNGAWxmHctrv6zlRgmgFzGWK546ZSCbZMsVBXAyD28JTUuJ/6 - IYICV/VgApcKMQPUUatvlLW4I8eVjc/v+9R1f/Oem/bfUse5G01rLEPRkrgyShGllI3pbNw2KtVh - ai6axbMZvEICsIhQc4fLomiFKlDnSUWLRRPBtMsxInqoUzPMmtD4uD9S706PSx+45y8/88GPPesJ - V37Ls77tyid/4w7fm48MCyuAQ0xhBncxn690FYdAg2lY1r3Rh2n903nn0RWnyOhuIiIiogeijgoX - 0VDbi3ZdEmrSCvUIVIhvztpUh2FI37xvmcEzc0J1QRMRCZrRb5T1o92RC1eKusAiw7kegAkwRKo/ - YIauIsBFVeG63fUMQwgqcQhggwT3KirgViR6yIlDHSYyz0sGADMArtGbC3c8PlkKBnOIBKA6zlme - 8tBKIPMysm4GVZjY4cnBjNlIRrAYJATU4tXFHUF8c+laN1k0odED0qE4rx//JnZocIN4cTG1rP2k - rhvMfdvzst0QPLa2csmui8VCCMkM7i5DO7CDBXXpPBZSMDP3DBX1JJAURQPMLG/UHbsu/IZLv+kp - O64oG7nru95qDA/qE7msNS8yLwKuCrOM6AeO7LdoyGd7fE5EdKLpdApgNBrNZrOPfexjP/VTP1XK - fOdTq4tICKGUskzdnocNbF0IodY6pIADGOYMIMY4xEiEEJjeTURERERERERERET0aOfuZjb8TClN - 1taue9/1X7rh74Y/CSTXggoISin3N5OhT0Ecl1z8+G/7tv8VpYakVjjQ5DTMLISw7NAZul3cvdaq - Ev/J937vJz/7mT73AAQyDEc5B4a+naF7CehKGWb8+6977Y//2IvGbSupURUzg7mKiurwVnjkCCG0 - bTtZ3/j5n/2597zv/QEwObFTbNlx/4DzqYtuNhGpVgFUq+//0Ae7vmj0lKKqDsMsRWQ+/PIh54LY - NrmWm7/2tY//9adi0K5azvns+gFVtOScVMxg5v/q//gX3/i852ups9lsPB6LiJmpaiklxvjouiyN - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiB5yuvhpgC8T01zMECDmcEFW6XKYYbcey8f2 - Hbjlizd/7iu3ff7e6V21nfgo92GWQ84BroDABdXFXZIGKxVASBoh2Y6uHT5w88Hmw59/7850wWUX - Xv6spzz3WZc+5+I9T0Tt16drbdqplmyeSK0A4NDFIokrYIqhfIfp2ae7bRNTh8nxqHEXq+ouBdGz - bHzpjs986PPv/dsDn+vame8JM5946KGmotUwy52UEEIYj8elz0FEVdXNzV2QAiSiGACD65CljUUh - EyvuojJsQQeGW4agQEUAUhPNLFvngDaY1Vm7Uw71/SduO3jTvpue8+RvfMEzvvUZT3iOTT2WVuEY - 4pmGPCaBD2luQABcIFWDDy8QVR5hG4EehR51BVIYE0VERPTINQQwPeoOL84/7lVcI9o9q49bbXcH - 05pN/YRTuOVB1VkUSVz+y8mxrNVFHAlo8Xe3frnoTFstfr8lOOkkJ22Lzalmw8cqQB+CnLOUUs4Z - wFCucVni81SPNfCklGh7ubqrL5u6AEBcUMJll1zeeOsZQ7FbEfFz11InMv9ONzORIVDWJODA4TvQ - mIsN0YwAABM5vn8Qx/I3eyQV4X1kOqGBQwyLlRZjLH1umqZY1hHuvGdfCPIQRKG3sSkztHXlKY9/ - agppNptFOWEZNy/DI6rIMtGDZhXVQjWt7iYuwdTMq1lV0xR1lsbTHf/iO76vmayM4g6DG9wMy+Oj - 4fYQUntK4kP/yokc7lDADFAUrxbqPUcPSDTjIRYRbd0QgCEiOechJ7vWqikatBi+csNN/+7f/fu+ - z8Dx3Ze7D/9VawXM7H7P34cTwyENYrhnuDGcKqqquw+3SynLO4dHhhCGPSRzu4mIiIiIiIiIiIiI - HtVi0+RaY4wAzB0iGsKvvuQlDjhQrNoyLNoBc/jJ6dHLflBxuNv/9X3fB7MYY9/3y56FzVw4PuG4 - oUdmGcA89M6ISNRgpfzLf/nPFVAgRnV32HCB4jnqeq516GEaupkc2Jh2L//dV0gIpZSgsZTSNI27 - n3I7PszMa60p6DOf/vT/5wf/LQA3E1WISIwAdNHXvzJemf/HSXPwxWTDSvDlHevT6Vve9ichxlJN - NFQ36DzQ+qSF2I5rMM2sbdvhLTE8Y63VBQb8wRveaEBdfH58fpnomW0dMYjBYWYphaGP76I9u37x - Z37OqwWR+Qgxm78Dh67J+35aH3lvBSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiovPZUBra - bF6gQESWNQqIHmauESl4FBOU7DkHt6QSIPNiGkEt+USPbbRH1lfuvru9+Y+/8Jrffu/P/tZ7f/Fd - X3vrHaOb1/YePDo+fKxZm6TcR3gEFAKoo3UfwUL1hJhEFWaSa+hzM5mMjuQL146u3vmFY5/447+5 - +rf+8pdf+f7fev+9f3HPhbce23HXdHS4ttmiVldHCCLwGtwiBFVRo2JcTPpaEPAwBPK5whWAmQ1l - PUrpY5zHiNfq6lDpY8jVOm1SDmU9rd3SffHaj7zmtde//LOHP573dGW1n+Ao0izAgkGrRbdWvZEi - 1nmZBi2Q4l6rOBQQFKADSkBRlGAlmKmZ2ryyhIqrQAQKl3n9DQVS1aZGmGfLRSsSJM7/FCDu2Ud1 - PR1+303vufojr3rbl649NN4/23msbydZpqLeSsLMksUkTYC6u4uJuHnxainEh3710/lERNx9iEsY - ohnO2lBo5aQ5P9jlu3+s30JERER0GkEE5sFD9OZxOy5eTTtlEaA6HKYNeaybQpe3doglmw/2lrcF - IaCUUh2993ccvLWmWfFuqNRJ9++BV77e9zEPQXorET3SiMvQCOUigCZvVsLqatiTPAYEMxOR7T5b - NvEjk0NZZ5sDZR0mAhO4QF027a/YBL8VwypdrFivphLcvcKmtrFRjxU8qIabM6Ol2Gqz+rjVi2Nu - UEPQ5C4iw2Id/+6x45V2uZXpPGECF3MxYNibze+vipn1ErTVVjeaJ4y+7tu+4bvWD05HaTVqCEFU - RVVFZKi5XUq93+e4T263C3Rejx4icIcLKmzarWfvTi5gT0R0Oqratm2MsdbaNE3XdSmlphnV4jHG - m2+++YUvfOFs1pWC0ehsTtKHYRa1VjMbTvNDCEMh/uF213VmNvyVEd1EREREREREREREROelvu9j - jDlnUY0xTvvuj//krbftu+MsZmVuAP7RP/pOAFE0hbitl6Ccx8SRNMD8ogsufP5znxNUarlvbvSD - sHmzCIY88OHn1ddcs7YxiU2a9t1oZVzdEHQymTzS0tbbti19tlLblH7+p//LRbv3NCF6Nbh7KQCs - 1tFoBGAynWDro2Guuuqq0CRNcTKZjFbGIqKqfd+f+1dyoqGfruu6EIKZ9X0fQhi6C/uS3/imax2o - VgFoDL71q6ljjAJ4qbVaErzohT920QUX8mouIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - Ito6NVMgKsKoaXetrKp5P+lQ0batNJjIsW50tF6wccv0b9/84f/xm2/81fd95Z1fnXyl37VuF3ST - 0do0TcvIdRxMl4EpUEcwBEcwiJvAAAPMBFVRAkrAOrr1sD4bT/qd0yPtPV+495N/8tFrX/7m//qJ - r11/V7lxMjo0TeulqaYoZqoKN6nexBQ0znoTbeJotbeHrS6KqtZa+75X1dFopKruHlRXV1cnk0n1 - YiH7Sj1idx2N+9/z+T+76s9f9vHbP3hs5Ui/s9uIG12YWqx9ma8uzHPrgCFeSDZNgMl8AuCAH8+m - OUEwiOswqaka1FSHPA4xbPoXcUSHQsxrVye5ncqFeb/f9sEb3n31O1/5twc/M1s5NgtrHi33ZbXd - KTla556rDulMAbEJGsO0bHsdD6IzVGsFEGMcyrzgQWeBPzAWeyEiIiI6DVUdwgcTmq97wtNbrMDc - dZ64LQ5xmJiJnWWRxE2hrcPcMFRoDJrNXVGk3nrvTRM5lq1nZNe5o3AFuD6JHjPEhkkcQxOWCcSh - jiAyCuNL9lyabBQ1mZWzq1+sPrRe6WnPtV3gYveuHZjaxMVdfdj/u7sMrWsucFUH91Nnbv7FDDdg - KPzrgImaWQjB3Q11La9t9Gvudbu/TtVhxRsdXX7JU1PfaA1DYV8RGdqY1ZcLPLQ1M7ebzicGVMCB - IB4EFVKrelWraq6QYo01F+gT/pdnf+fjRpdoDqhwdzOv1YY4W9Uz+pS6nBTKrTAEgRkgMORj06O9 - zR5ppcyJ6JHPzLquq7WKiJm1bTudTmez2Wg0uuvAgR/8oR+6bd/+UgHBdFaGWIUtHT6KyPKAc0js - zjkPO0ARGbIHhhCInPO5f3lERERERERERERERPSwchEXGY1Gfd/HlI5trHuI2rS/8Cu/Ws4sE9g3 - TQIE4IpLn/L3vvkF4qhWAHidX/y23a/l/ONWxW3n6uo//Sf/G8wBbHcO+pDevTbZePnLX55zXl1d - nc1mOWdV3bFjx7Y+9Vno+qkGhBAUWBmNf/5nftprESDFJKqaEoDZbDY8OIaITe/VM3Hj12754F99 - qC9ZU8x9yaWGFFPbzP88DADbBrVWVS2lhBBCCEO3nZlB9X+88dojx4417cgBCAQB/kBjw045QqGU - kmJyhwJXfv3X/+i//5HSd02M933kfa+0HMaVyfGxRkRERERERERERERERERERERERERERERERERE - RERERET0mFZzCaJBpExznhStaaQ7xu3qrJ9NcbTuOnJ794U3f+S1V1/3ex/Z/+G748G1fiKIjbZe - FFmCCQpmkzpP8nGEof6FK1wN6mo1lKpWdVE4wtVdQ2gcTVet95LR9z491t27f+PWP/6r173++t/9 - 0I1/eUhun6Yjndh9h3EAACAASURBVEw0OVzb1Hqp3XQmIuPVlSo6yQ4dmzwkEbqux6eFGCOAWmsu - 3XS2kUsH2HRj/aKLLvAQ1zE7qvtvmX3+9/7il6674Y/3y9cm4426gk7KxHJRxISYUAVZUIG6qPAy - z8jZRH0+DbeXtSOGO6MhGmLVaBpNY9VYNVVNNQZH1VJDqUN+t0MNsSIsaslIRFWf2XrVLofJ3bPb - b1j/zO+/8+Xv/PT/1+2YbtiGjpvppG+kaSQl0SgQlFxmGaUk1MCAHDpnHkxlnvF4PNwopQxVX7qu - Symdo0U7BUZ3ExEREZ2GOARwd/V4+ROe5l0ABDATxfIMR+BnWxNQHbIokamusulUzR0QSJRD0/33 - rN0mwWqt5+ZVnb/mVQs3Fy+cb5fjK/lhOAx+WJ6UiDZxwKQApq5wrTLkYg8h3i7Fn/akZ4S+VQ2i - 7l7PqBDyg1kesbXu6EY+VnWeFD5/3u0u93u+MxlCvM3Fhh2vuwdVd3ex9dnRHh103hy5vVzr1J95 - 6bNCaYYvoiGP8+SHCXO76XwkJg4ZjmzFIMXEXCw2CUDp60pc8Y1wYfOEf/Dc7/Q1EYvDp8MMw+73 - tOndp0jjdhVTd6gqDCJw1Em/kWtmPXoi2ioRUVV3jzEONfpjjKu7dt55550//MM/fPvtdwFomhhj - wHDmvkXuHkIYemdzzrXW4XYpxczMLIQwBIfHGG27D0yJiIiIiIiIiIiIiOjhYGbVzATteFTcXnXV - q/cfvOfsxjKEoP/4e79HRELQmouVKosBCsz63arhMp5a63d/93cbEAU45XiPc2TYPsPVi1dfc81t - ++6czKapHTWjtljNOW/T8549EQ2hbduNtXUv9d/8wA8878rnNCIlZzezWhECBA7E1PS1bOkNaEAQ - /X9f8QoJoR2PTADA3fu+354Xc1ytdQjtNjMRCSH0fV/Mc7VXveaqEOKsmwEQHS5qvJ/3g89zu2Xx - 6+b7rZRRiAL84s//ggKjpu1nM35CiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiGiLrG2T - wmBVRAANqTGVwxtH6mgyTfd+5MvvvOYdr3j/F//i9v6mw+lQ2V3DjoDgszrr8szcQ2pTbFUCPMoi - rhtQFxjgYiZwAWReQ0EcgAJqxQGEIJqgyST1Oq5xZ11vjuyb3fRnH7726j9/2Rfv+CvsPlrGG1M/ - dmy24SGMVldN9NjGeq4lpWTbVcbj9HLOIQRVhZiIpJTattWoVeu9G3d3zdpGe/ADX3nXq//0pbf3 - Xzmk+2VX6eKs85lESQki8zg5BxwRHh2LSdRlHmTngAtsMS2TNRarc4jgLoAJClAUZRnyPTzWFENu - NxxqUBsCyOGCvlSPSGMUWFe6EGVlVzpi90xGh97z6be/8R2vP5YOH/HDvuqdzBxZzCNEIWbW11yk - IokzJYceNHd/kBFb0+m0aZqdO3cC2NbE7iXGBxIRERE9EAHUESBuFhCedNFTNCeFuAwnJlEc4vDF - Sc5W6+iJ2zK32wBxVVcALqhuEgCPrujD+tfuvjE0wuO3B+f4WZ/Ms7S5PokeM8Rc3MTEoYCJGuat - Viq1ZrviSc+UrrHiEgA7+73Doj3r1HuY5fcFpGbMDq4dMGRDhQxFfs1Rjy+yizzg3OiUTvgqdhVA - RNzERQ6tHfRY5aGoeqtJI6pedvEVsSZFcPf5MQMA2GKbms/fFfYwtg4TnVsKiKsAwaK6uphLdSku - JiK1QDW6B8suXfz7z/oHlzRPTtYMReRDGPob3MzMtvBRHZ5Rj++EIQIRmJWudNv1Uono/JVzXl1d - LaWUUmqtKSUzu+eee/7Df/iPN9/01aFHNOdSSj39vO5HrbWU0rbt8OvQt+TutVZVHfIARGQIET83 - r4qIiIiIiIiIiIiIiB4etnnc2lLX903TdH3J1e85eO/vvOxl45WVM+172HRtGwCr9s/+6f8+m0wU - EBGIhbgchWDi5psu4qIHJo6ooeb8jKc9/eILLhx6ak4d3e0nDVI5M75pkvnFeAAMWO9mv/t7r5AQ - +r4fNlixs++N2iYxxo2NjVL6Xbt2pRiD4eUv/e3gUAAOmC37tmpdLPyJb9cH1rt9+GMfv/mrt0xm - s2LVBNCT/3M+s3N6EeCw2CGEUoqZDZ134/H4DW94w759d5ZaAISQ3KSWEmM8aXnu1+JN0qTG4X0t - P/D9//p7vuu7FFDzMxzBNIw4GiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIieowTANZ3 - 3YZGieN2Ynndp7bDy67p7ZMbrn3vVW/70DUH6y16ways9l3sj+TJhm5M4qS0xVcsp9xbye6Q5Iju - jSM61MRMrQYzBQSyrHgAiEO9qBs8C3oJxaUvyL3Umed1m2ElbYSu7F67bfrZP7z+d97w7v92w6FP - 1D0bemFal7JR3UMUkSBookvtTlmM5VxyhS+ruy9DyjTG6O6l9qoaQnC3Wsv6dN1WvO6xr2587rV/ - 8dJ3fObafvexjWaiO3RapjVXtdoA0eA9SobOa1+YzleRiiusGSZH42jcYxEtgqKoiwDv+aRwhSlM - zdVcrarVUIaphFLVsCkFz0SHCPCqQMLMkSskIEZJEM2os368Oxy1o9Px0b879MVXv+3lX5393WT1 - yKzd6MKsINdag+sojVS1WDZU5uPQI4Gq9n2/tramqjnnruvatj1esmY7nnH7Zk1ERER0fhhq8IkA - JjvbvY/f88SAAGA4oVKfH1Gd9RmFuInPkwl1U3E9d4jALVS32nQ33fHl4iWE8OBezWPCKeoTPpw1 - C/XMqk5uc4sAEQGAzfcQrg5xgQDBLYiL+eN3P3lHc0EtDkBV9D5VX8/xoogh+l333Gnqi0qyJuoV - FWImEFcsvheUdVfPylB1WkTcfUi+vPvQAY92bkv33h/VeMGei3av7E21UQQzFwnLBbNlXLecukI3 - 0aOYazAJJsHmh2BVrWo18ZpNCkbtajfLMTSNNxenJ33TFd+CjFKsVhsCvAGYwbe663OFqyJ49aFq - ugskoOum5/oVEtH5L8Y49NOoatM00+k0pfTiF7/44x//VM61aSIAd7hjmb29JUO6Q4yx67rhBgAz - A6CqpRQAw8++78/dyyIiIiIiIiIiIiIiokeQEIKrpJSaUfuqV7/68NqxtcnEzioMejwaXXnllU3T - 5JxDCFEU1XCmWcl0ghDC0GsTU3jB3/umUk0gwz3bx4EQkwNvuPYPP/7Xn1zduWPady5YWVnZ1uc9 - C2sb67v37plOp91skkKspbzgm7/5RS/8MQGaptEQLGcAEBSrGsJW34UOTHP/6tdcpTHkWjWGUspo - NDr1o8/dEKAYYynFFyMVRGQ0Gh06dOgVr3iFwSEaU4LIMJRh6Mg7xaIDWFyjtfl1C1Byn1SfdPEl - //VXfnk2mYh7k5ogvJ6LiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLbKqhWIZ9RpneU2 - 111+t93+6X0fe82fvezmY18qOzfWZSPHigCHj8dRFSLQAFUEQa2llN5qFl9UbxBzgWMeLw0/oQaK - +jBZE1SB2nspgCBGxIiQ0Fs/89nRvN6N1vOOg5/82vv/6AP//fov/OUds1tlb+hSWeumO3fuXGlS - d+xYI/ZwpfAs64qEEHLuu66LUeMO2Rgf+fDN173mz15x28YNfTOdYCPDi9mo0ZUxUoTX4hkNkCBe - hpVjJrZInDHIfFqGpKkroHAd1qScuEqHPJ0hk/u+0wlc4Tp/vCMGhIBSUIqreBSt1WutsUWO0yNy - YJ/dfPW7XvmJ2z64PjqInRbGwU2sF0VMGt2dETl0rri7bzlX5jhVBdC2rZmp6hD6MNy5TVjqhYiI - iOg0FAJzCQoANT7ziiuH+x0KqDjE5yczwFme183/y1Vc1RVDELgOTyEmMC133nPrkWOHH/zLeWx5 - SMJZz97xxbvvDSI69za3LrkM+1gAMLMYmzatPuHxl4aQhrP6B9lOp/fJ2543L26+J9Q7D+yD+rDj - d3cRCKsmPwjipyhgLSKoJiImdf/dd7lY3eZ6ygOv9oynPVNrQEWUCHNVdffjLacAhjz5+7w3iB7V - BFCPwaI6ghtcHepikBIMwYIimbk20oQox5pvefq3rja7RFAKzJZVsLG1FlHX+VOrmnnAUC7bUprH - 4hIRbYm7j8fjlJKZdV23srLyoz/6ox/84EeH0O6cK4CdO3cC6LouhHAW8w8hlFJijMO/HzlyZMjz - FpGcM4AYY855yNg4t6+OiIiIiIiIiIiIiIgeCcysukkMX/7yl3/31a8yIMQkp+0olZMzgRV4/nOf - 07ZtSmm4mkVk26Omz2diVnNU9VK//du/HcCpRqM8iNmfIlJdAc0lp5gE+KVf+qWc89BRNe1m5/Cp - z4m9e/eurR0djUaqqqpWquXyn1/8U1d+/ZW574cOL6hqCABqrSf886le/GYOxCYa8Ja3/cnnv/iF - 8Xg89Kk9BP3+ZmZmQy+eiIjIbDa75ppr9h84YG7mlnOutSJo07bDiAQBFKqnuyZreMVBRVVf+cpX - jttW3FOIx44dHo2b0/yvY9MFmQ/6RRIRERERERERERERERERERERERERERERERERERERERHReaFt - 25BSV0tOGXv9ju6Wt3/mrW+4/pWH2zv2132z2EuLbgZkrEqrU481aA+fwjtEw0rS1UZHyQJmwXtB - Lz7UKlF3dVdDLBIN0aAOXcb9WDU3BEUbtJEA09JjNsXKyo6QYmiRIzbU855uX7nxTz/xxrd86Jqv - bfwtdubOphsba1owNrSG4NtdGkU3Tcd1XTcUlxjigUejUdu2h2f3vOWvr37Tx19zMB2cIDftDu/C - 2DEC+qn1HbwAQJO0TeNo4zpVQF3gQ1y3FmgR6ZdT9D5aiWbREKvGGrU2Yo1YFFOxeUGJRSD6puXz - +bT5/kWYusKjV6QQgqgVeIEBnmpqNW+gjW3RPElrR9Ndd+Gmt3z0DR+++X13TfflZNqMalHJQWsI - 7kFFGZFGjwClFABDYZmh9stwY/uekdHdRERERKfhAkMNauqQWXrak74+1FZc1U3dNsdtLmrkbe3o - bR4zeNzxf3eYCEREBEfXj969ccdUjlY9/gBxFVeIQczEqtpwyhf8gSscnucEi43hi8PdEzJRT9hA - tr1r6oS5D0vhguOhrb6sRmnCk1KibTZvV5ICMbEIKOCQaqU0aFPfPPHCS0ehcYe7ZPNtPWV2saz9 - wfV7XV1EqmSTEkXjYsdVddP3C6uvnjGZ71dVHOqmKAAqHCoV9eD63UV78/JgI9IFEKirumLRUunL - ewTimmYrT7/k2bkvLnAdChP7skFz8e4yAOIu7ls9fiB6NDDMj7VEADWNIajqdDaLTTIrXkX6ePlF - T7909fK96aIQYAoZPhwCVV18ynT5KZsTk5N6U2T+a1j0s7jD3TVKX2cm/HwR0daISNd1fd+LBJHw - 87/0y9df/1dW0fUlxjg8Zm1tbbh9cuTAmTEzESmlmNlsNtuxYweAvu8BjMfjoZcopTQEM5yzF0ZE - RERERERERERERI8YIhJC6LruP774xSGoQ/qSbavXlTli0Be84AW11lJKSqnW6hLkxIERmwOA6bRE - RFVDCM959pXzHuyHZDhmX0oFPvmZT1/9+jdIUI3pxHGPwAlDEE8wbOKHYCuvra2trq66O1y7bhZj - hHnTNC/99V8bpabmAiCGYLVK0FMMYz3dEuZSDFifdL/98pf1Jc9ms9HK6n1f73w2fs4Gd5nZENoN - lerWW73z7gP/7Xd+u7PqkCGJPDUBVvquEzn5HXHf8ambX6gC4vi+f/V/fuvf/59DCCklK3V1NJ7N - Zme+ybZ5pCsRERERERERERERERERERERERERERERERERERERERERPUzETMzExFU8iiugVbQqTIZk - MxNUgQkQXOFx2vVZax2Vsjr72pEv/dlH3nj9F/7cL1ifpEOyghKRDTFo0kbNI0QK2tiOUkqAFVgx - r2Zlke8CqENcgeFnNCh8SOweMlkW6d0CVRGRWj3natmCptXRaP3YBqCi0QU1oVNMm4ntmX7h7o9d - 847f/fiN14+fqGXcreej7bgdirFvMgRsR3GV+Yu1IY5t01+3uEZhy0IcJsuiDSYiMSmAWZ72Muvb - 9b87+vk/+qurP3rjddOVI307sZGvzdbbtk2hqT1GCXFR8KHPNp12qD4ajZYlL06qG3E8clsgMHUE - n6/eE16vD7l0sAcsKKGGIXxnuR4Cmtoj9xYUozZIlL5admuaVPuqitTCxmXarh2Od/7px/7ok/s+ - tj/fWUZmSaGCKihVLQMOGXLuIjCE31VI3bTOF6k6xzcE0XHu56DMTQhBdfFRch8KutynrMu5xOhu - IiKiR5zhqEJkmFhr7WHmQAer6gGuBWO54MkXPmvkextrW68BfRX0AlQ0oiFq3mrpzBPPf3w4DQbU - YQJXIGTLfcKqhvajN17X7z1SYlch2bKIBAQvHkRMcom5BDcEsRSrRjM8Fqv12fFU7DmFbz6Fnj/A - xR6qlSOLM8yyvMvFTeBQW55nLpeWiLaJa7CkLjXkqiVYUEtVS9WcEEa1wbo+/2n/k89cK9yCSgOf - p8aecjph3gIX2KI1czltfsyyQu4wmZQ+TvcdvnV9YyPEONOM5GVaWmnF4YKiyKpVFEAwxIdsp/Wo - 5VCHqkfxCI/qmjxHz7lmV/GI3qZ3rX3Nx10VwMOW5y/qoot2YagjmgZTCKqiBBRDsrbxERTBwuPr - U67c+01m6mMULwZ3FXcPloIluNqwwPMccH4B0PnDAROraiVYVVOHmsaS1EI1q1o9VVfzArHUxsan - 8Xue88/K7Zo0lIi+WFRpGq29BYvBmuHTfTy9WwAYBIseBTWoi0GKiJfSJ02CYA7TMC2T3ju25hPR - /REgqMYQ3Oa9sF3XxRgrXFNEiLn6q676/df/wZtjk4ZIgFLKsjeolPJAc1/07gw/hwTuEOYHISGE - YT5DDICZuXvTNMNf27YdbjC3m4iIiIiIiIiIiIjokUZcTpoWPf+nNlwf4u7uDvM2NV6t1ioSYPLW - P/nTj37yr3N1g0AUId3/nADM+0mPT4CZXfncb2iapu97CTGEWEqtLvDlwLnhurhTTI8NspUJAFTV - zLza85/7PAHGTVpeJzes9ZP/4cEuoEFsuTUU+pJf+4077jpgLmk07vrcNE11M7gLNEZz5GrDNhyW - QoZBLS7qcsoN/QDTVqUQazYzQEWCQmGoAvuWF3zzi37kR4bRkKXPGMZCy+J9OJ9Ov/YN4gID3v6O - d13/vg+kti2lwMXdSykxxlprNYsxLrvqlp/B+3uVy7W0fMwQzq4QmMOrigdFrTmk2JcyM9NR++Kf - /em1nCtg8ForgNz1cADmXodhqAazE0arwgENYRn2PhrNu/+uuOKKX/jFnwMgjtLn0WhUi/vphoMt - R0ocHzJBRERERERERERERERERERERERERERERERERERERERED5WhuHQIQUTMjDlitE1M3EJ2rcFC - rEk9GLSo9qquIVsV75MWtZJMUm2iN2iaPtVpe/SWo1/4w+te9enb39s+fnrMjtQADAHSpupqZsWL - ibl6tpwtOyAKH8K9dFG/xAGozss0mHoJKIqiPk+XHh5uAlM43OEirgpViOdS+xgaePCqqAqHCKpi - Ejam43vuzH/71o+97s8/9QfHRvvrnnzI1q1Ns5pDlAo3UUOoRdUb9RDMgldFFuRhsQxqW0zvFiBB - UbK6igQHRNXVRT1FzTmravaursxunH3+6k/99kePvnu9OWLICH3BemhqxSzXHgHZ5tFnFZCIkFBD - rphB7JS1O4aY8GGtDbEdLmZSauhde9fiYi42ryMx5OBsyv9eVoqRzfcOeeo+z8qBimh00Wy1mkMh - gooKIFjUrFoQE3I72Vi9921//abrbnjHoebeSTOZosQmSa1JAOlN3BDMk0FNHDqFToEKKDxhfr+Z - ZJPMvA86gasgmEFVc873+fNJ6YH3q9ZqZgBEZBndvQzz3g5MhiIiIiJ6ICaQoNBQa42ukkNj42de - 9rzQNVJNBZI0xKAaSrFSLISzKcdogvmBmdj8TEMAgQZAKsRqkeK27+hXD5W7shRIiDGKOgxBVIfK - ngAWYaIAToqVfeyQEw6+ddNPLE/jH1LzDTFfJIeecGq7yG1V+LxG6kO7dESPHQIsQpcNcPGhYcxM - rNYaJI7i6q7RBbuaPa2ulGIatxztvCUuqJpLyAfX7uk9u1h1b2IrZfirD+1lVedFVx8z5ZLPAfUh - D9vUTGAhBI/a5dmx2RFDn62awHDa4rentrkG7lDc1x1mQEBKAkjNpWQkH11x4TNWbKcgFKnurqru - 1d3FVYZGWe7x6fx1YqH4IXlbdd6aby7FxTDc4xosXL73aV+35+mKJIqoKMW7zmIa+gAEmPcuLJ1w - mOvzT5PLsIcHNvWdmNp2tqwS0aOemanqZDIZErWbpmnbtu/7tm1LsVr8bW972++8/PcATKYZWz8e - c/fV1dVl1DeAoay/iJRSmqZx967rhns46oKIiIiIiIiIiIiI6Lw0XB+iqrXWEMLGxkZKaWVlxcwO - Hznykpe8BIBqBCAxotYtzVwAc1z25EtrLjHGbjItpaSUmqYFGPR7tkSs1Jxz27aXPukJfZ9VTzGu - 79z2Rauqw0W0wCaz6W/85kv7ausbG7t27Tpy5Mjqjh1DX9JkMhGR8Xh8Tp70HL5DUgj/+T+9+Juf - 93x1NCnAEWOEn9DBdkbP5i46z9R+6UtfmvP8CqvqNuTTxxiHq7ba0ahu8fMyXwyZB4HXWkUkQMzM - 3Uej0dG1NQm6e++e11z9ure/5zpX+JkuN4axChK0WnX4cBlY7nsAe/fsfu1rXn3J4y+GGQAzm06n - IYSmafghJSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInqsczEZiqC7uonrMs6kosYmqCrM - xVEtZ6tVbSqTY3Lo9qM3XfO2q+7tb4+7y6HZRliZ50bDVVwxL45hLifGby+ypQ3YlBYNzHMlhpsn - PmqTedSLbJpgEBP3IWFaDQaYoAbk5F17bE0PXP+Zt1/3N28/7Hd37aSMbLQ6nkwmKQX3Wmtt23HO - WSUunsKGFWCyTHPbWnT0kM+NAJN5qYdac60157yyMt4ox2RXuXn9y697+ytvXP9S3jmt2puYSVlM - ZnJi6obPF2z46wnL46eaFivKFqHXLsdLWCxjv8UNy6BuhwnqYppvShjEIEWkD16Cl+AmsOMZRj5/ - NhMb0nDUIA4XlDizHRsf/MK7r//cO6bjo7mZZJmpxtrjpEw3PbkCvy628XL9MzOJTrYIVng0FU9h - dg0RERHRaYgEQL2qSHCv4vqNT/8m7cfFtAC1mpmJiDvckFS3eqZwwsMXJ5DiQIUb3OHqLibJ7z16 - z71H73UV96pBxN1qH0MoJvAkruIQd1Mz0SonJEYTET3G+bwNC8PecmhZE1dAXWAO0bg62nXp475u - 5CMxH5qf7Pg0NEsdv2fzzIcmrbNit955S9FeRMRCSE01V4f40ATpy90467Se1qY1NG+mdIFDVRKA - HpMDh+50VFSowv3s2/Vc5l/ey42iQABMPKNDhFZoTs966rOTtCJheK4QwnDAcNbPS3S+EtedK3ue - eulTJQf0ACACd4QQXGyxe7V587xDbVMqOADZnOttrj70VYjA3dU0xuahfUFE9GjSNE3XdTt27Mg5 - 1+KzaW9mbduurW2o6vXvf99P/+wvAmhSPLv5q+rGxsaQC55zbpomhKCqw+HB+vo6gLZtF60K7Hck - IiIiIiIiIiIiInoUcPGTphO7MIfHHJ9SiDUXACmlvuTYpGk3W9/YSKP2RT/5E/sPHnCgWtUQzm55 - osgFe/amEEqfV8bjUdPWWrpudsqFOWm6v2W+v8c8Gt13ez3ABGCIc1bVpmkAXHbZZTh+pdAJzu3Q - TDOLIQ5PNO2n17752ne9+93j8Xh9fX08Hq8dORpES59379xZS+6mk2H7DO89F7fF9ACbe1s3bs45 - hfj7r3nNjpUVL1WAmnOKYTGMxwA78QLDUxNVNxv6zT71uc/+/ute25cc22Z1186N6QQiTduaWSnF - zWKMAI5/BodLEjdNi0Fd87UE+KJLzgEXkRCChCQIua/QkNrWIB/92Cd+9VdeAkAQ5o+9j1NeMgmY - m6WmgcPdg0IMAfiNX33J877huWtHjykQBE3ThBRN0Pf9udwGRERERERERERERERERERERERERERE - RERERERERERERPSopGpJXGvoSpy6GIDgFrxAsrvVombNyspKX3pZkXVdn7VH9k9uvvotr57IsaPd - 2qT0klDqCTUSxAEY5IGSde0UuTwnP+a+iTwnPUwdgiIoQNFlQQ4HHClh0iNLryt+3Sfe+afvf1Pe - sTYJh2ddNx7tnHUbaSQhllm3tnPnap9nLloVVWIVrSIAdFgPW+GAxiBNNMm1dsGhIhJUY4xNOrx+ - KO7G5+785H+/7lUHdN/62mRkq+rbHemr4hBDcERD2jRFG5Kz1RELmiKjIk1RlABTuAJiCktucTEl - s+CmDnGFxyF3CWJViwuAqKaxIlZ4nfU48s6PvulTt7xvPR7YkGNpdaVaEmvVgqKqZIWJi1grlgAF - lvHkDqi6quujK56ZHkqPrngFRncTERERnYaZuYtKFNcUNXp4yt7L94THBR1ZQK3I2c09hCACq2dz - LLgo2zdQzM9dIQ53iIioVZTeZzfceoNHq2peMszcq6i6BZGkHtQBKUA1gfNIj4joRH7ybwYALhJT - Z6VUSWivvOx5TR6nEIt357rE7n0YEOot+2/0thcEeKiugAoQ3MTnS2iQ86AO8kNmaKIVhzpc1EXh - MdcqI7v1rpsAF4Gqytaz1ufNyot3xTI7OAqCwA2lIqOmUdv6yth2XP6kp4kHhaqrV4jDSxWRTSWJ - AQCui4rIYZbj3wAAIABJREFU/OKmxyxFxtMvf3ayUTCgIEaNEX2tJjAxyPzzMny0T/7nTfcMzbLu - tcIXhdO1jekheA1E9Cg1nU5XVlZyziLStu3w8+jRo7t37/7Qhz70Ez/xkwBi0L4vKZ39zmTYO7Vt - 2/d9rdXMVFVEUkruXmsdivKbbfPBJxERERERERERERERPRxqre7u7jHGGKPG0I5G7cr4D97whne8 - 510GpJgABFXPJaXmgWKNT8l91LQwH6XGq3Vdh2rLqOnlmBMOPjkTQxq2iLggxth1nbsHkXpW40K3 - KteSrToQQqqwH/vxF91+5x2hSePxOMZYSlldXZ1NpuPxOMjJg0xcYAo7g7Enwzvh3KZ36zwj2y+/ - /PLf/LVfd8cwBCrnuqX5CODVmtQIEEME8Ou/8Ru33n57l/uDhw7tuejCYr62ttY0TYzRzM6uf23o - vAshqKq7m5kLduzedXRtLTbNvYcO/uiPvXBtMtEQi21t+QFApPR5eBoYgsgP/cD//a+///tnk2kK - YdQ0fd+LiIjknEMIZ7H8RERERERERERERERERERERERERERERERERERERERERHSeUYuAVC1Vq0kV - uLoF9yZi1k0ktCmN7z14ZPfj9h6th+vu6VePffkP/vKqbrw+wbHxnjYrSp2nqGwqXWKAzdMctlZi - Yov1HAQQgxZFGf5XFzPYmGDn7iaM49F8KOypn7/tk6//i1cdsn1YrZ3NYpP6vhdF0+j6xtHYpiqo - CA5dJK+ZnFVykIdoKtmKKDSYWIW5wWos2Fk+dftH3vje195dbrPRbMeu1VpsmyN9NZgGi+o6ROUM - wedDvM7mmiYKBIP4EJi9KTF9eOSyWshia6ojLB7mAhczMXGIazANrhpKjeu6Z/LW973+y/s/PRut - 91pMgyMCESiCIjBA4QmeAIEYpAJ1vkSuDNOhkyzjuoeqSg/vwmwJ38pEREREp+EmcFUNtXhQiaY7 - sfcZT3iO1lZUY4QqHBUiquHBl2hUh7iqIQWIAw53c7GZbViqX7jhs1Nf91SLGwBVhVg1h0RxVROF - QayKVBETg/gy7JCI6LFOzAXqqi6AQerQRGgq2QGI1Pj0S57d5pU2pGVl10Xbk7nYOSxZO7BQ7jhy - y0zWXUXR9Lki6NC2pfP2KQDzJjA6HYOYiwGmMHEziCE4QrGqq3bb3TcCloLi/2fvzqMlu6ozwX97 - 73PujXjxXg5SpiUhOSUGlRlti0EYFzYuD9Bu212uXh4aG89DGeyy29hV3at7rQYbWmW7jF3ublzG - CGwzGCPAAowAFRgQCAxCIAkBkhASUiI0Zirz5XsvIu495+zdf5yIly8nKTOVIpXS/q1YkS8iYzg3 - 7hBxz7l3f2THXlgXbEq2XraYAVYCSIWEMiyDGSUglxLy8JzTnrh1cbslYg2kgQAyMzOu38s0S++u - PaH11U70p+HcKYOMRZsnPu78LXH7Em+CsimMUAxGaji4Lj2hjhbsH9+ZFwEH5iXUASUC1Mg4xtZ7 - 851zRzJcWNi7vJxLWRiNxuMxgGwYbdp85Sc+9R9+63dyhghyVhFJqTCHY319VW2aRlVFpOu6mv/N - zDVIoNblF5HBYADAS/M755xzzjnnnHPOOeecc6eEozl6hGz/JfdlaXFzzrnruj5lDnFlOv7ijTf8 - wUWvJhEDsqpBU+pAlFI6psbUodCV5eW1tTUR6bppIGoHg43ntCigpDo79OUwBxMeaYoem8cdhtAA - nHPuUj8YDO68884QNgwSEUCoA9mHDmc/JISmaQwwUF+SAl1Ov/rrvzYej9cm4xhjSil1vRB3k6kQ - 04bz6+ocrAejbJyVRoe51Mfo8c/fg49yqW/YhhhY8nTy4p/6yZf92q8TEMG0/gg6+BzOI32GzNz3 - fQyxLzkEWeu7n/ulX1jrpqPNm+/fu4dDCE1bSgkh5L4IH+ZgAN5wOVQMQpid9KWwPqdUMhHt3rt3 - OBqtTaa//Cu/9tVbv6ZAKvnwHwAdctlIzcwIWGgbAZ77rGe/5k/+eG1579JwYbEdppRmpzuaZS0c - fHzQOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555x7rGOb56EgFGIjBWUxFVPN - aTAYcJBJKgjD+yerOC1/+Z6r3nr5X601d++1u3mT3j9dNqE4lJQBWy+6oCA9ysTuA3N5dL14xaFl - FTYWjJgVr7D5JKxXYqhtMDZAGoxTv1bWUpz07cp0sOfG3Z9/18fedF+6PbdTEy4ZAjaUvqxayIVY - KRiEDITCKADDjq0+vBImJSU1GDUSlFJXxmyslieycv2uz7/1E6/f3dxBTTYrnU167vXhzHdjgyiH - EsiCIRSEzNwFdIJOkAWFAWiw3Ja+1X4h98PEgxSaHEIOMC5A4tnjO0HPyAwlELQuJ1TnCWE9r501 - sCLlEkZ5Te4vW5YvvfLvb9/31b3l/hKhIBgRlFHqg2ER1sAYsLrw8DxHz+OS3KGIiOjUWzQ8t8Y5 - 55xz7kHU33kGNjMuFgsPy+jbn/hMTaHvDECIUIWqMvOx/iLcXw9xdr2/ZiDNd0OJUTibqAxx7947 - 77j/Vo3JSAlMwjovXUjGDJDBAKW6T4XHav1M55w7jNkG0YgM8+xkAKwwYg7ScGm3D846c3SOZGEc - 2gV4IhFABKW8nHbdtW8nSAmSFSAhAysICiqo3xQ1vfthbM6jzP7ywgY2FhWs2d77Vu4EKROVYsc6 - c2tIcP1qVYKBYaEWMmaDFcDQNABjbToZlKULnnRhgwGUGQEKNjIzPjV7jpz7JhDExbjlnC07Ghuy - hZS0FIQAQOsoRf2Ve+j6Q9hfixyAGcAEKAhEIDOBNDL4pk2Ic+6U0/f9wsJC27a7d+8ejUYiklK6 - /vrrf/u3f3s8TkQoGSJSQ7U3RlwcpRotQESlFADrYRtmVkppmqbenEwmJ26anHPOOeecc84555xz - zjn38NoYmXw0mqaZTiYiAqbQNitrq6mU3/itl92z5/6+lMFwoWipjwwh4NjHIwB8+MMf3rZtW0op - xhjaJqeEQwK52WaNP+wUHdaj41QTsoOvH5iqFlMSqX/v2rWrr5/nw9lIACHGPvUAjEAsBnS5//TV - n33Fq/6wmKWSBwtDI5RSFgbD6dqYj3G6Kt1wfQIR0Pc9ig6atqT0yle84vnP/S5Vjcf+UqoKoA6u - dbkAuPnWW1/++7836aahaSUGDiGrdV23PtZ2rGyOiEREYqiXrPqzL3nJJ6/6TF+yAYOF4fEdPMYE - Brquf/qTn/LXf/W63Pej4UKadt10mvsUY8w5i0jbtl3XHd8kOOecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xz7tGEoAwYxCAAABUzMZiqiJRivZbR6VvGPL1tz42XffqSe7pbVvk+ - G01XdLmwgknBTFIzszfWltBvTkYKbbgGZs0w1oLYhGmCRk1hMgn7yuLkuq9/9r2ffNvu/A1rNDQx - J805L25e6PKkMBRMxmTEUIIa2GaBbkePiaRelDSXnoM1i9w346t3/svfffB1y3JfHo6V+1wSWvSW - 7OGM7gYAqJECqoCxGqDz4jCllohZj0s3JQMbkwayMA9BDwouxIVQ1p8yK4ei63+hRreT0jyPiQip - IAlyO95r9779Q2++N9+Zh1PlArIN9VSINcBkvbXriU4AwR4dRWjcCbMe2VBLuAA4VcKYfFF2zjnn - nHsQtUJfsiQNa84h89AWzjvz/G2LZ3Nucq65gIAZERk/1AqN8/1VLtnIQAQQlFQG3GuHQbn2ps9M - bC8JE0UzMyssBFU2kIZZm2vC6wlojnPOPUoooQYtkzEZsynNt7gGQNiMgrWDtHTBky6UHGLtZjrc - rv1BpY0BsDEfe1cREZJ2XVj96l03ZsoASCTXXjAow9jUCAb23O6jZkZqNCtJbGAFJ1OV8vXdt411 - 2awgm9nx57LP5wXXFzdCSaUJEIIqlBAobo3bnnLOM5AiQ8iYlJgClJhhVmadjDWN2MBWO3m9f8Y9 - drGRFdYpP3nHMzAOYoEIZmCGkoJm60tF84Ly+9ca0vUq5Eqoud0zRmQSZXAcm2jn3GNEztnMVHXT - pk190S6X++7d/Rv//mX33bd3NGpNAaCU0vcZQIzHHC5QowXMbD3/u23bmjTAzDnn+rAQwombJuec - c84555xzzjnnnHPOPdzqMSeHXAyHvUwm0xAis5giaxmORr/5279zzfVfYiYSWpuMiZmZQwg5pTqg - cAxNAQx416WX7lneqwQSXpuMx920HQ7WH8MAr7cHh2/kA1xOfUr1MKH16we8FFNVDSGC5f0fvHw8 - 7Q9zah0d/5EnR1ID18EkIlmLAsOFUQEuftOb/vT/+QuNsjaZcJDhaGHf6sqm007D7LCTB5pHh85H - PvByHA49bgowwBaGQwD9ZDpsB8jpb99w8eO2bQsMmv3/xpP1HujTa0IkQE0BjEbDAiTDO9793lf+ - 368Kg2ZtMt2zvHc4HOaszXCxm6a69tWjcY40T2f/CyVozpmImNnMwBSaqKB943Gf9cf+7Y9fceUn - DSBmEp6OD8nVPor5HgMTgYCztm2/+K9fd87jzmxItE+NhMAybAdWtI5RgonDsa3vzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecexQiBRXAyCJZVKo1IZSgDcVu0mfVOBrcM9nV - tauXfOhvvrbnC0tnxpWCLEBEbFkByybMs1eD1uiWyoAjZd4cro4EcLSlNRhgJT4w/mf//5JxYHTT - vHmrKGGqBQvY062F0/XTt37k/Z9/572TO6aYZtPRwlKXMjEDylACxCBak4BYj73MR8NRSBQla2Fj - aeh+3HvVHVe88zNvXhneN7F9BkvF4kCSKjXH+vLHRkmL5BT6Itkkg5RIGRDb/zkbkBmJuRfuhDtB - Es2sNaWbbEP1GJvVDDFCYSRGZjYEMq6FZYxgNFsAOHCXEVtMCnpZu2e8872fecdd+bY+rhXujaAg - WJiFcz/s+eXu0YOIalz3eoz3KcFza5xzzjnnHggbTJXYiqXQBksFyQKapWbLk856yqZ4GgqIIQRR - mJVcjvONjFgJNt8DIQMpmKlGd+cCY1sed2jTDTuvHdv9KqZgVagqREGZanCshVmgIdl6Mqhzzjlg - fXtYe3zWK8KyMRlx32dR4b79jideEFIjJfLDugU1EFGxUgb9zl23FuoyFYmiZdZCMt+AHwvSA3ph - 5yWHjVAsl5Dv2rOzlzFgtcuW+YRVUC4FMQQB+gksY2mwaWt7xrbhWSGLQGpPrjDXbqMD+oz8O9q5 - yphNJIen7Hi6dE1A07YtgD7PuvUPqTO+v4T4LMZ7/9pEmAV4gwlkTBoCRe8Cdc4dycLCQiklpaSq - ZrZr165f+qVfuuuuXSFgba2rQz4A1/L9fd8f37uISCml/gyYTqc1LNzMQghmllKqoeA10ts555xz - zjnnnHPOOeecc49wx3rAQdu2CsulUBAFfv9//0/v/cD7DejVWASAqqpqzjmEcBzjBUR0/U03vfFN - f1dMlSk0cbg0mvbdxvPiaH6mFh/hVJf1dOdDr09166eo0YE3jySEoEDW0vf96y++OJUCQPibFLFM - RLnkGCMxr47XQhsT8Ed/9mcX/fEf8aAphNXxWmhimkzWn8IbTqvjI8+1w87fEziLV1dXmxjbts1d - P2ja07duffvb3hYl1NH6Az7zB3zTlBMTAwgxrq5NDGjaRoHX/re//pPX/CkH2XLa6WuTyWhpcd/e - PYPBYHbu31FTVQ4iIgpT1WK2Nhnfdc89L/rh/+FfPntVgYbYqKqpQpgoHNOLE5CzQrF9y9ZL3v72 - x597bl31GBSIS59QtJQyGo0ArK2tNc3DfO6mc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnTgWz1AUDjGECsBHD2DItLSx2pVvVfbZp8o6PvPmutVt41N2zPB5uAhilIGcVCBOV - UggKqPKs1oPSA5d5OHGtNygOSAFnzGImYsTqvmKARKQCWcBKWdUt/Wdu+fgHrvrHcbx/eHq8f2WP - FjCEDQQVUzYmFVjc8PEcLTagqBU1IyJCw12c3Ljrmnd/+h/upzsmYV8zigCUMOkKC+zhLg9fo80J - oP3lPmrBENFZNRgDG2Yh6MqaJRfJRbJxBimoxpmrGMQOqBlihFkek/H6nUoopJkxzRobWAYMMrC+ - XfvCNz7zL1/9SN/sK9IVVsN8WYGyGQE6K1EzC05iAxvRMdb3cO6RyZdj55xz7hGKCPOMKHeSRSbV - bEH7ftpKaClaLlLCs85/jowHbCgZoizEKZfQHHttzoPNogeZoWoAzCARfU6DJUxsddXuu/Hr1yXK - vepgcWma+hBNrWNora5Zf+OpB4I659yBjNYvNb1bZ52PNKuuS8ZRm9YWn7zj6egEKgyqXU59jxCk - lP2Rz/WlHlqDjAhZuht3finFvtcJmJnn++m182vWscVKvv9+HNgI2hRt0pdvvx6tKasQMc2+YY/r - FUHzktZGqgQSpJQJEMOAgHF4zlOeG/NQrIESGTNIVQHMc7vtwI5d/752DigStDmt3f4to7M0I+cc - ArBhcGVWzPpwK+7GO5k5qTHDDDlDKIya0SCOHh0F5Z1zD0XNyS6lqCrzLIpbVbNpgUnTJrWVfWsv - /Y3fvPnm2wDkPHvW+tPrt/mR1A6cel1zuEX25zfUv82sBnXXd6+/+oioPv6gpzh3CqlrChHVFa3e - s3+/xp1qNu68rG8G3bFKKQGoYUvr9/h6cSpa37IBiDH2fX9y2+Occ84555xzzrlHCCIitcjCBstF - QKyMDAA1eDuEMD/4k8ygMGIusC71r/nz//q6N/xNPUsOQJ/yxijpkvOxHopiQA9LwEX/5Y8/d/11 - yaxXzWpgMaJiRkQMImNWDiZQCiQCFjAbkWL9EkhKKoFEswYSBpOeSgc11GEgzMdcVLX27QhHMaFC - VIiVYWxKVlCSkrFQEApEogpVkAQOsRAZyevf8MZPfOqTceMIzgk/pNcOPjfQVAGknNQUhK5PxkjA - n732//uFX/2VXXv3DhYXUy6FCcKqambrRxoziEE558ASJZDBikKNDOtHQB10OQ5HemLTxJR6LUVE - LJdB0z7pCU/8+ze9ORAxIdA8PJ7BDNgBIeoHH0ljavOOVhCmXW+AAhf95z/+w1e/KpUM5vG0awfD - rEVESjbNJhQCRyvQbGTMkPW/hQJDYAyS0MQupa5PRqzMJnLpe/7pB1/4oi9/5aa6vHepn59RqHV2 - HHa2U+3yNQiL8GxqAkGApUHzN2+8+KlP/rYooZ4nyQbNRUTMTERSSqWU4XA4m0bnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc488teIuABFZr0Ht9Vrdw8SMzECWxRRgs6igQqyF - SjZrGYv5w9f+4zW3/bMtjHtobFESoBAgglmN1GJNcZ6Hds9zu3k9zuxQZIBiVptiQ1geExOoloRY - X+oPSdNbL03CtXaE1ghq2h+7wwrKGASIwQqIYAplrPI0b5peefMHrrjhvbv0zrBJcmHRNhSTkgUG - MGhg1JSShMqRaqAwcy0+X9tWC3Ewg4Ws5JabXMqYV2+4/wtv+djF99HO1Kwh5JSSAhRhAVwQ7YTV - FJmXPZl/QAozECEw2EA6u7YMKjQre2IMnW1blLRAMzRDjRWsJDqrETOP/xYm4Tq1gIEZwoAVWGGb - h3dE1shTzZCghSmhIeScc5h0S/v++zXvvm3vlzrZZ5EmKSPEEEKf10KsM/SBFhjnMP82VFURqSW4 - T5UvR1+snXPOOeeOhtZdVBixERnE+Fu3PP5xSzuGvMgFDARmAA8hgUXn1/v39Fg3VDkkGKFwntDe - L95+TRemOerqeG1hYWEyWQu8/gpU94DZTp2qmc45981kPKt/XG/VZLJCYsTGjQwWwqan7vj2kS2J - RjZGARE14cRHl5UCJST0U6zdvuurqZkmnarO+qFmseIPOR/8sYaM5/naVS7cTWj13uV7xmmsWrts - UE7AbJx9cYc2lAIGtUxNGi5hy3c86ZmYgM27XJw7ekwWYm5PG20PJkSyXpm7bgTrT2Ktwzw4zJaR - DAbWDdW8GRDIYrs5on0YCqg75049KaUQQtM0NUjVzGKM4/F4YWFRVSeTyUtf+tIvfelGAAeP/B4F - MxuNRht/KNZkjhoT3vc9Ealq13We2+oefVR1PQ1lfS144LR798hUI17qrFzfdp3sRp2qYoz10IEQ - Qg17ZmZfL045dXWoB38QUUqpaRqP0nHOOeecc8455xwAUgsh1H4DESmlMLM0EQAJG6HPqc9JAQ4i - MSDIntV9a9305f/pP/7n1/xp08RZV9EJ6oEzQBrauzr5H/+nH/vsNZ/jJvZaMsyImLnPOZVcM4NT - SiKiqtm0lKIEEYlzfd8HYiIKxCml3PVE1DTN8QU8f/Otj8LUkaA6yfVm7Z0zJiJi5jpmNBgMwJRz - 7nNSVYlBRLqUVibjPqd3v/c9r3r1qwH0pYA4azmZw86EaV8+9NGP/OAPv+ifPvD+wdIow/qcuY0Q - nvRdzrme6JtSWlhYyFomk0kppU5pnemYj7wfen1i2khUT18U5pTSeGV102jxwmc/5//98//KBjNs - XhwxYIrZka1H89a0/4920GTD69/4hp95yc/uW10NTexLJpYu9aGJMcaccx0QbNu2dskOBoMYY83J - BpOZ5Zz7nGPbWODQxPv37v2VX/v13/69392zb1mPrkXrTBWG0WikpaiCgUAww+bR8A2vv/jZz3wW - mcl84NGHCJ1zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOXdExgpSgMkIhU2V - UIgNQhIzlV7Wrtv5qU/fdLluXlnJK4ZZbDMZWMGzayXTjeUxbHbN9oB5tUQwg5lBDZjFeOdcyxXP - HgDADKqHr81gBEMwBCPWGh8OBZRNGaD6mgbS2mY2YmtkT9nLZ/YfvPZdn7n1o/toVzMMTNKQBGNV - pFJ6NQPHwIQCOnxp31pNJYRQC8IDKKXknJlS0QkF62O3c3Lr2z/29/fmO8rCpEg2RmFVRs23EIUo - +ARVDk6pmIEZzMzMIsRMRJQTtIAURIghDGLDkDJVLhJLOyjDYRm1aTjsR6O8aaksbdbFhTRqu0Gc - tDwV6UJMwpkoA8k0mSawoQ0IQOkhQpFFiKFIBV2vvUHaRgkwFg2iDGjhvmvWpu3e933inZOwd1VX - 2k3DSZ6uTlfbVorm+RxlgJTUjvCxO1dXt/Wi9KdKMXMvAeOcc84592BIARhBCUasYJCK4vR2+7fv - uCB2o2gDy6yqRCjlGF/bZhcARlp3OWzjjkf933l4obLm2H/lji/eO76zk7EFzZqaEBikBJ1XUiQY - QQGo/95zzrk5MgB8QOVjUgACYiAwW9FixNZ+2znP2MJnhNwIBSgJRIQ16+H29Pm496wJCIEB9Da5 - cef1OuxBCTVNHAzwrPfwFKmAfNLNonyNSYMSGzEZQCXJ+P7xPbtX71POJDWFDsddEZdmseoKaP2q - 7frcDGPOZl1YyJufseOCzYPTxEJt0uxSv8lnN8kOXJLYlM07HN1jGhFBKWrzuNPOZggA2GxjTQYy - Bng9ybv+Tp4N++xfmepjuG6SiSBAsLB1dDppYPOfxM491m3MZqhpDfWPwWAhF8vFfvflv//5a68t - hqaNauu74Ef7S4+Z19bWRARAzfUUkRoJUN+3xiHUnICa5OHco0Zd8qv10PqT1xx3nOqRHESkqnUz - 5fPxoUgpEVEIQVWbpimlmDsFrf9sMLP1mRhjPNnLl3POOeecc845506+GgWdtEBYYluM+pK71BuR - GtRALCE2IbYGnuZy/76V177ur5/5nAvfcsk7DJimFKLo4Q8TqCeeHQsC6rl2BGP50R//dxf9yX8p - oD6nVMo052YwiO1g2vdKNGiHqc9JC5g4BjD1JY+76aTvpqmXJlKQvu9DCIPBYDAYABiPxw/9E/um - MbPaz0lEIlK7d5iZgnAMJFxgqeQ+py71qeRiGpoY20ZhWZWDcBASfuvbL/nl3/j3nWmps8R0Y2c4 - sP94zuNp5KFPPdKr1TtDaEdDAJM+3/y1nT/7C7/44p/7+S/eeEOG9apKNByNBgvDad9Numk7HEy6 - aTFth4N2OCimk26aSgYfdKTK7HJimZlqIUITQz1G5vStW17yMy/+3373f2VgdXUNqOcWQoT2T+CD - fQzVdNpv3rw07csHP/yRH3jhiz7y8U9kUIKCKWtRGAcxQpf6LvX1VNQ9y3sVtrhpKZXcpT62DQch - kfG0M5I3/f3bLnzed1/y7kuJQ54dr3VQA/RI520CCBKYeby2VgcUBzEGo+2bt/ztxW984fd/fyuy - OBik3JtpKTlpNnoIC41zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOece5QJR - ZAMjgztQMoRCUUNcs/E43vP+f3nbrnL7nrIqCygGUrCCldmYjbkGqczLS9RsFAAPWmW9Pl3AbFzD - z1Bg2RoJkUMIkTkwh5r1Y4crnDAPWZulRdSINyUFtLZnliyuzBZIA2uANgRRsT3YM17c8/aPv/GW - fdev2n1qHUMYLUlLsSmsBVlgVPRIwT1ExMxmllLCvMwyC7L2RaZrvPc+u/MNH3zd17uvJpkYcq22 - kQWFwcoxB9ETXIKDCLVJqqpqqlYyQA3LAvNAc+y6kjoVyEIc0gRtF4fdaDReWlzeunnPttOXzzpz - 3+PPXD7/W5afcPq+c7esnrV1euZS3ragm4a20NIgUtNIHMTIJH2HnCiGoFnVshYQmiBDoEk6C3In - q+npDEAZWdDHyU13XfupGz7at9NVXbFgsY0mWko3n5WnRgyzeySww24XHqnCyW6Ac84559ypw6jm - dgOhoukiAAAgAElEQVQQZUzwnU+68FM3fXR3nwutZOtDxLRHlAd9oQeiBEAP2CUzEGAEMhiQJU9o - /NmbrvzRZ50DSL+mS4vDruuAGvjNDCgyDCCP2HHOuQOQQaluyqlWXAUpI8AoGBQl596ETx+e/aQz - nnrfnXeZJYFAiYhKQQgEOpG7/cycSs6Ub777S50sN2Eg2YxmPYe1wbyeUOsejBJIuX5sSsoGUC5h - 7ZadN3TouY1UcioFkUTYtBzTK/Msf7tWvc4grktQrd5LhUIeLGDz85/xvf3ebokWDTi4oLbxA5T0 - de6xjAQwiDZnbz8bt5LZLLi7bvzYALCS2vr28NDNsPH+oSCbr7BKWzdtpyzw6G7nHvNqnHDN3Wya - JsbYdZ0SM2Qymbzyla/82Mc+oYrRwnBtbVIjt4/jXeqvxLZtu64OLiKEUEpR1RoRoaoiEoKPy7hH - lZxzXdTrzbqo1yMDTm7D3DGp2726yYoxmlkIIaXkKcXHZz3Fh5lLKfVmDQ06qe1yx0xEUkp1K0dz - J7tRzjnnnHPOOeecO/naheGsa1Q15Q5M0sRGZNqn0DZZyzSlthmuTidXXHHFey9737vefek49fXs - NgVgSKk0TehTPlFNslSahbZf69omvObP//ziiy/+xZ/7+Re/+MXnnfutYO67TpoIwzh1oQnCBKCY - mZkxsQRhJqLal2WFjKnLqfSpZnhnPWHtfFjNJoiZmWtndc65aRoD1FTNasc1MdUHTLquaRplmk6n - YFoaLezateua677wyle9+nNfuLYeVhRDNJ2N9Zy0CUu5yxkADMOFhW48/qfLP/iByz/4Yz/yoy/5 - mRf/mxe8IGfNOS8sDAFMu65t277vu5JRQGYchIg0F2Y+0lmIJ8Rs+TFLKYmIiPR9349TaNr/+Hu/ - v7i4+IpXvVqBKKFPNcf62DBjeXkFQCDcsvP2//l/+ekX/8RP/t7LX/6k886FWQGIKIamtmTad8Ph - kIRTzmWqYdCa2Vo3BXPK+YpPXHnRRRddf8OXFQghllIMZDjcwIYd8VTCnDPNThtFDCGltHVp09ve - +pbnPfe53WQiRNPptI1NCGGtW40xnsxFyDnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc49oTGA2APMsHTJYKITeJv1gfMUXPnD35KvThXFokTJCYC2zMOY5NVK2w6TbzGs8HL7u - Qa0mzVxfigVqhmI5pQKYEohQK7LOC3ocvg7JgZUkFJhFTczuNwbYCGwMMAFQkshT07iQkq6++bL/ - 9vs/8QeR2HSzaKPCHISKldxBHigKrha7yDnXisp1iowt25g3lzvWdv7NZW+4N9/RxUk7jMmKYRZq - TjaLLV+PnjkhRIiIzKwUQ42RQy1poaoZxkIx6oJk5hyitmcunLZ98xk7zjjv7G85e9vmM5YGozYu - NNxYITAplU77lcnee/fedefub+xau/fmnV/ZN94zLWvUgIIVnSpZCEyUGVAjGEkIxpRMS0kBBMJ6 - gnud9sIatuCKaz98xrZvO3/bd0SN0sQyLQQGwAbdEAdSlyivNu4O65QrRO8REc4555xzD6LuG5EJ - wJlnexJspB3O2Xzut5/33I98eVfY1PXcAwjHHgtY92ONFPP9E9SIUK1vxHpgzGcCRkv02Ruu+N5n - fu8AO6IslA7IYgGKzMYAxBRAqfugHlXonHMAA2rALMt1dp/VPsdcggmRMSNBmUKbl5771O/93Deu - 7NKqUIBZVmUDEZketNuv85c/ZmZQhRUopbtX7rhn9euLYSswKgQQQETGbFRDoz29+0Ep6azj0VjJ - GCBTQipxcsNt1yupgmGmZmas+Zi/HZUYUKq1tAmA1m/q2NDqJC01o5gWdmx+4rlbnzTYu2C5UNjf - e1hnH9shX8obv+L9+9o9himpGajw9s1nwGZJn0TrffAHrx02205CAdm/eWQiAtRMCdACKJ++Zbsl - Or6ttHPu0aSOmK4XxO+6ru/7ZrigRf/0T19zySWXwhACr61NAKjiwO3Gg4+YqmrTNH3fi0jXdTHG - lBIz55zrm9a4Cw/tdo9KdeUys3qMxXoyx8lulzs2NY24hql0Xde2bc7Zc7uPWz3YqK4UIuKJLKco - IlpbWxuNRgDWv8TrCnJS2+Wcc84555xzzrmTLxebTPvhcGgwsxLbNpWyNh6DQ0p51/27r7zyyn+8 - 9D1XXXXV8r5lMBfLFLhkjVGYpO97Iur7w5yHdpxdqywg9OOOmfs+M7C8svoXf/nav/jL15591lkv - eP73PP9f/+sn/6tvO/8JTzz9tNOm06kw9TnX/qu2iUSUUk59iiy5FAKyFhKmIEqwUo6YXfwIU+O6 - Me+gq/3VHESLFagCND9RsCul5Ly0efPKykoURhOvueaa97///R/+8Ie/dNNXwFQAAwwopqpKJAbM - k50VOOAjOWEd4od9IQNLNCZSU03j8ZhBwlysXHrZ+95z2fsed8aZL3rhD/7ET/zE0572tLZtYxPX - +mkIIbKUUqyo1XMfwwFnOj0cXZZ1PM7Muq5T1RACEbWxSSnHJv6Hl77Mir7yootKPnDJp43Tzgd/ - DLa/pcIhIzOxETFBVf/hXe942zvf8SMv+qGf/smfetEPvTDGuLKysrCwMBgMMjBJycwGCwsppS7n - 0Wi087avXXbZZW9+y99/6Ss3EUgBRuhywSyhm/Z/NvNGHLzsb2hcDJyzxiA5F8v5/HMf/7a3vPXx - 5+0YL6+MFoYAUkrKmEwmw9FC13VyyImgD2uSunPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc845504htSq6ggElKEMLorGmZvyVe6674vr36eZJUTQR4zEW2lCTzqimfWMefVJDeeYp - D/v/F7NYtI21DmrGmaoBpGq1MAUz2NggJAGk9U4FYDDoQXWnbX9dBt1YzaLeP8vyqS1Z/z+aFepl - YqiJoCvIGLfNnrd96K9/6Yd/M2gbekm5NGxMqVABBMRHypswMzOrRYABzMrCS8ZSvjvv/IdP/e1t - /Zey9DFAVRUoAgBhHnxeWMVmH/vRzKajUZtEBGaalSm2AslQSGLphm1aOmtxx3c8+cKnPv47z9l6 - rmjkQla0lISucAILGKQKUx4gbuUd5552QdlmmVJ5bn/3vp3X3Xr1NV/9zH2Tb8RBm2SyOl5dGDIR - wTjlXDIoUkNFzcQMQCEwuBDDNBQwkJrp3rT7Y5/7wBN//PyszcokDyFCNYRH5zPMiyq7B1GX9pPd - imPgQRHOOeeccw+IFGQwIg0AZS4EFUA0AFKm9Jwnf+9VN3x6xVaUUHrESFqO6ecg16hOmqd3VzVZ - tu49zvZU13diA631Yyv3Xv/Vf/mh88+SzJqELBT0RkWpiEIMRigQAyspn0o/UJ1z7uFhXPsK69aV - wECZdeSpMRFrNoFyMbCO5QlnftuZp529vHxfCIHAbAoCKYrpiUqArdFoBCHmtbznlju//IQdTyWy - AgAEsEDJwECZZ417z9SDIiMDG6nWjmDKk7L8jd23FyqllEAkbIUo58LHOB+NADDNiwKz1e9uqBkJ - inGTmuc9/fm2j4ZxkPqyvz9xfyb3vLv7wBeeN109vds9NikBMCIypaXRpkCsqhAwQQ20nnlPwHyg - pa42R6oPX/tmSYmVT9+8Dcpe8No5JyI1rWE9Trtpmpzz37zx7974xjcJUylGJMwA+DgCVpm5xmyU - UgCklOr9qkpENeOzRn72fd80zQmdOOdOspRSjLEu7QBqbnf9251CaoZNvW7btqaq1Jl7spt2SlLV - nHPTNF3XMXOM0deLU5GZjUajOu/qr4iUkud2O+ecc84555xzDoDCpG2SqaqKyN59y5+75tovfvlL - X77hpquuuuorX7sVgEjIJSsAVQkhlwxCnwpQAJzgE05KATOAtm1T15sWIlIzBe7efd8/vOsdb33H - JQwMQjx3x46nP/3pT336U7Zt27Zjx46zzz77tC1bFxYWmhDb4YCIrGjTNKvL+2KMEgRAKYVPka4t - Iqr9nCGEUoqZxRgnk4mJgJmEQTTuuj179tx77717lvded911N99883VfvP7WW28dT3oCmFEAVTNg - MBj0fV9KISJitlJO1nRpKShgkSYO+r5XaCGoQliU9Bv33v2GN7/lDW9+y6ANz3jGM77ru77r6U95 - yrnnnnvejnO3bt3axNjnbF3fhAiACUA9HvbE50aHEHLONGdmqtq2rRnIMO37X//VX+Ug/9cfvqoN - YZIz4dhSz3PJANQUhgIQs6oR8IHLP3TZ5R/avnXzhRde+JxnPfu8884766yztm/fvmnTpuXl5d27 - d995552fv/aaj370ozfe/BUtKGYhxJQTiEnEsoqI1c/5QA+83OeskankIsBznvns1//V67Zv2zaI - TRiOxiv7SimLm5b27dtXuxknk8mh0d3OOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzAACt5SAKKwABk4GQjSZTuveK6y5b473TMokLGK+hbWLJRMQgNdKa9mCzV2EDz7McFACb - rif1HFbbtkSkipwzqbExEYmJms0qVZDSPKDXzPbnvBz4gjTPYbH9f4BmCUGMWcyEKgHIRigFw7Zd - nXZGGCw2K3v23rL85fd99l3/9rt+fmvT0gojlyjEkMIoZg9QBcLMQghEVIvDDwaDvl27p9z1j5+8 - 5Ma7r8UowUoqPUcqBQaQQZTJuEbF1TygI30+x0G1hqBTrVqvClG0FMtaWYrbnv74Zz3vKd933van - LPRbdFVkdxupDSGKMHFSysV67YuhBGO2RqwhNGZSSkmWaag74vDsC879ngtecP1tV3/6+k98Y/dt - w3ZheXy/Sg4xSEO5dFAEQckgBgiFUQw18ygoAEw0x4X+1nu+fMNt1zznvBegK2wDGAF1gVEQYFxn - H3lSkjsEEdVNTa2kVKvNnOQ2HQWP7nbOOeecOypsooRCBkIsTAZimXR09ubHP+0JF3zyntuKgWtQ - 9rFVFDw4xtPm5REPecj6LZnk6aaleM2Xr3zejn+zRTcLFgoM6I0zKUAgZYBBfISUUOeceyxaD75W - OmDDKkSROGdVNmPLqtyHVofPeNp33HbVDdkyoZbh5aL5QcqyHouaUxtIiKGhv+FrX/i+x/1ITYM2 - gOftJVOQJzofDQUYxgAbmMxAmVBuv+PmtX6fRRAHIBPXuXmsFYBn2Hjenws2KJAyBoNQ1uyM7Wc9 - 7fHfzvfHFLJIyKTz3lWtX8fzm4zaQz1Xv/QfqK/XuUe7YlmEkTFshjXaVkBFDQSAyerAz3w1IcCg - BD6gmDjD2AzEYlAiELNQOG3zaYHjbMTDOfcYVuMZajyAqi4sLOzbt+/9l//3P/qj1wBgDqWklAoA - kePZYtS0bzOrNfdLKW3bTqdTACsrK0tLSyklZhYRz+12jz7r0c4b021PbpPccaibLxGpKezrQewn - u12nKiJqmibnXGOeayb6esK9O1UQUd/3TdOszzsPs3fOOeecc8455xwAI3R9PxwtXH755e+89B+v - vea6W2/7WjIYoPWcMpaiJZcc20YgfT/JJYOZRTRnmLGIEKeUNvYWHf95J/W0qAKAp5OOAaFYUEAc - Y+j6HvVEF9A4pxtvveXGW2+x976HZuOuYGDYxsededb27dtP33raD/3AD7zkJS8JbcMimsspcT7M - OlWNMeac601mNrOv3X77//mKV+zZt3L33Xfv3r170nf1cA0GSFhVbT7v5ncS1GITpt00Nk1k7qZT - K4VFDo125uM7LrPOeDv4jiMJYAWsIJW+nigIoGjJVuanSoKA1S5/+uprPn31NQwQwMBo2Jx1xplb - tmzZvm3bjh07LnrVq9WAeYD3cTvSUS4k3HVdYCEiESKinHPXKXMQptFg2Jf8my992datW3/zd1/e - trHr0oO/14E3hSVrqXNZTet01gN3792z/L7LP3TZ5R8iIIAM+4/LIaJsxgRmzqZNbKapZwoSQ9/n - drCQc9b5YrPxfdfnrx1hVjdN00+7X/vlX3n1K/5g0LaTySTnPFldizHEGFPXDwYDEVmbjAcLw7zh - LU54brpzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOedOXQQwVKFGBsC0JShj - Clm++qZ/vv3+L5U2W0A3RRDWLMys6EG5PpltFt9tmMW1oCbdIIMg9kC51JPJVCQECqTEYCExMy0F - wmrzUhukTAQRAKoZG+tOzHPWGBsCwmleV8NA4HlC0CwQuj6XBd2kW4qtZtMpOIaxTD7+lY9t23bO - 857w/dubM+M0SG6spBK1F4uqNQL8ICJSS9CbWc55MBgQ0a7Vey67+d3X3P0ZCjpZGw82RxryruW0 - ZWss08IGMoByrb5SuH5cD2H+bUA0i8KpxbpzBjMWaevS8pnPPf97nvOdF5552jk0lbwbAbLQLKkR - GZtqyVlRlBQciUIToxVVQykmmpg1CA8orK7uGw5DLi3z1u8/74e/+9zvu+6LV3/0ug/dM/j6Xd3X - +5IHDStgQATMIIZCUIIy2MDGURWEHLHary017Sc/9+Enf8vTTxucY9NoBSxZUeoMs3kaiMFLjrsD - rFclOrXKE8Gju51zzjnnjgbPdpAYKADDlAwo2oa29HbhU7/76js+XGQSomYtbKwEQGf7DwS29UqL - rLS+X6GYJXfO9i7IDs7t1vpcKMA834+1bHHAK93KnePbbr7rpmeedU4/7gSRjaG8v4zkbCdVPbfb - OefW1azlOQJqxyOUVFGKQURgaJt2PJlecO5zrrz6n3f39yonYlJQMWEhQ8IBebEP1M/4AMxMtRCJ - qhbpbrvrlnFZWUQHMiCYse1P717vSXSHt/7R7P+6JDWyLPnGnTf2PDHuJZD2RgpjZao9ssfwkc4X - FdTOXKXZF3fLpGMe5OEFT3rOUDeN2i25T2CfWc4dPTVTImLEyMPALREp7e9kZajWfzes6/WJsxuG - QkoACFADgUGEGLnd1G5utKVTrcfWOXfC1RjamkHb91kVn/nMZ3/v5f+HEWBIKQGIMaaUHsoYTx2g - raHF0+m0xhgvLS3lnGvSZ86ZmT3V2D0q1QW7LvYb/3CnClUFULdRnsL+0K1HPgPouq5t25SSpz6f - csyszsS6LtSZ6Ns355xzzjnnnHPOAVhcXNy7b/mSd77rne95DwEZCMxJ1QhqMC0AQEh9DwjAYEBV - VUEEQEvZf2baiUBEZiY069BLVgA1oPQ9B6nvWMxwYBAyEQRQw7hLN9++85bbdxJwzjnnEJEWRVEA - QQSA2alxCEQppfbn1IEhZk4p3X77zg9dccX6x00gwAxIQJ1GAEQgYlUtCiIzotTnEGOqwefMVmad - qAf5pnwurNDATdZs4PX459gMUu6wfhYTgPlUGCEKpWz7Jv14585y285IOP+JT5i9HICHJzq6lEJE - Mca+71PKTdOICBExqOs6kdA2zdp08tM/+VNnn/2tL/nFX0hIinra51G/hRYCUkp1VA4EKyjzGUEE - A8jQz19SmIqammG2SigRpqkHoKal72Nou+l0/5PnrB59MP97fVavr0Fc1+1ir3vtX/7MT/1kN+lz - 7heHg77vmyaGEEopOefYNuPxuB0O8oHR4M4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHOHMlKAycTMUtNN2n1XXPeBSbPXgmoBE4RCV3LLzewJBAA6q5oQjBizfGuCMYMJCkAU - YgEWRRnGZMwmrMwmo8Gm4XA0GoyapmmaJkowQ59Thk5TP5lMxpPV6XScSq/ISkpcChfjXDgZqbIa - wQjlwHKtG27VaDauud3rSsEgsPVZOKRclI3bvgh94OpLt2/dtnnLUgwNeilFjcAi6Ge1U4wUgO4P - ky5qGSbMHEJAa3ePd1799U9+6itXLNP9mwaj0WCwd20cRljajH6agoV5GNzGFu4PmFMCwLahqWR6 - 0CTtL1KxoV5FreZBaqQ1co6pNDHLpmbLGc15P/vvfuPM5pyFwajsK1x4KbainCeZSIg4MAoRIQrU - SIgtd0bMAiGu2ThZMxPb4nDBrHBPTIRkI2mfe94PPOP8Z1/6uXd87vYrd013SkydghgkIDm4rAcb - yLjG6qlox5Nv7L39hjuuveDsxa0yNCMjNZrNNZpnpRPU0z7ckZxa6d0e3e2cc849sjCzqhJBFTVT - yp10YgpjIwVBjOb7DyYgLjlweML2f/W07c/+yp6r99k3EGrAKheGkZqBDGQQwIwVAcBsB4NUDAQY - stL+cFbgoDjY9V21+gAmQ1HFIlbX1j76hY889QnPtY4XdNQomYUsdec39DkXpNgG9aJ/zjlXGQNg - lPWbrAqwsXVIHCNIqYcQzEpj8cz0+O8574Xvv/mSNZ6ApZiosSAYEhnEAKAQbN4txsfYG0ACIxOS - VFJgzXH6+Vs+/31PPnOQi2WJzaauK1GC5iRs2WYVnN2RcSCGGTQ3A6xOxmHQTKVcd8v1ZZRM+qQW - jNgYRHqM9ZPZEI3WuhKWGCGUlBlQRjDQRLbGbYvYfuGTni/9SFPkoH3pI8VZf6qtd9oe8qbGmPU8 - bnyYc485Eiz1/QibJA2WBpvv7oIOc59KBESV0DOYlI2ZUH+Zgw0E7N8EUwaxIGhRYWiiiObsM89d - kMUwlW9SyXTn3COAiEyn0xCCiBRNImJmqlpAIYaSysp4sjja9PGPf/xlv/U7ADaOGf7/7N15tC1X - fR/47++39646w71v0jzPCEmgWWDEDEYCJKYQxzbG3XZ7wBM4dqfdSbuz0ule6U6vtLvTq53lDiZp - r7g7QEzCbGObyaAAYhaSEBIIIySh+Y33DFW19+/Xf9Q5990nCaT70PDe0/ezat137n1n2DWcc6pq - 7/p9u64BHj13YaP1tE53jzGu19nvEwL6/O+HPSTG+LAbREeq9TcIc20POxtTuvvVx9zuH1MfEQSg - rmsAzO0+HD3so6xfifx8IyIiIiIiIiJxtLNmNBhZZwAyUAAz70OIsbzMamOkMgoWickHxmc/URed - 9JevlAMCthfn9yz7xl+Xr2h9C33DvQ0IQCkO86TBSqkkoJi7m4ofDidFYozroc79MumvqbNFh3E/ - lwI4oL64rs8AdbflsuhvCyC5Lf1yW8Ss+8altR7nfFDnUR+x4n/0lmBAa+3D7ta1LSDLzWkxL/1V - buZoFusdrUGBzvvmS9/PLg5dzo4JNrtyf9j9xRE15JxVFdBSXCQAcLGqimZo5rMqhpTS8y+55NMf - +9hb3vrWO+78fuOIMTS5OCDiUPECDcFKARCXXW8xxrLslRPASpHlWlxfMr7hDbiYO/OHLTc4dP3d - AeTcrL9hF3HgUBFxd4eJCFSCask5higwy6bLtX7ZhRf+wb/4Fxc998JmNhukumnnnUpMwXLpSgag - MfRx8l7scHgDERERERERERERERERERERERERERERERERERERERER0QHcXUTgHkIwMxFxNxF5wgpG - EG0gEtw9apWLh6Bz79YGs0/c9Df3yX2zOFXXCgpYsZxSZbAoAkebESrEgLaDe1AJuXRVHT33G3Bw - c0gJJY7Kdp0PEtL28dGnHnfGGSecfeIxJ28fHj1Kq2phka7tYgKIO2Ai3ieoqbftdNdk1/0P/eCh - yf3fv/f2e/bc+eDkHq/bXDfTbl9R6ACNQSPyBMOIOmA2hyqqAboWcIVrHzKuWIR8h4DslhQO94ii - 1ihCldcEf/bJPznp2hNPHQxQQgrVNE9VHUgCqJvBi2J/5ZBgIVqAly578Ena86U9n373V96Vx2sp - YO4zuKUB3CAtIiAwE+gyvaKPxYYgGbAoRKJF1KF9mkyfMQccWNICgMMdIcIdOSMliaLtrKQECRAN - 3VyGebwDJ/7k2a9/0QWvHHWjWBJmqkjiYh0AE40ADGVZB0YBEweKBVG4LcLERSHu4hArfcNVI2qD - oyACK3b0z178axefcMX7vvCHd659ux6HvXleBNC+ngwUVoXQL3rzRd2UkGDw3ZNdn7jhg8899/y1 - e+rt9XFTNC6mrtKH+7gEFOyPhycCAFUtpUiIMca2bXH4BHgzKIKIiIjoMSwK/In3Byfa33a4e4pR - VNo2veCCl333E7dUg0HWubkBKgjuELE+U3DDzqGK70/p9r4U5IY0QXn03cj9Ad4pBFGZtS7J7th3 - +w13XH/ZcS9GW5XGAHW4h4gQQ4iwpmvapAyGISLaaP9Hrvp6Od3+HJHqIgXWxOOobLv4jOdd952P - t2hay67Wpz/2Z8T6oG5fntcD4PLDPsMfhQtEUTov0sUYszdr3b5b7735yoteWiF6p2amqgYxeICp - m0s4PM40PB0UgMb5rKklhhhm89lgXK+Vfbfc9a25NlmyiTvg4i4ijiBSNnlmT8THQ52ZzacWIkKE - KELWQVxpHuxe/5KrtunRWmLTtqH2lBKKPK40biZ2Ey13swVBLCQdAChmvl44XSBuEAUgtqwpf2Dn - hDoM5lJEvC/ALllP2HFitEpcXcD0bqJniMlksm3btrZtSykOL31N/xgt2759+1ZXt46GK5/97HW/ - 8Ru/OZ22IUgum9sf6LO6q6rq+4FyziISQsg595X3+1fs+4cY7UlEREREREREREREdEQSV1/mNy8m - Xd5av88Tl8z9JNiY5G1YJj/7hn7Y/qIydZSnp4VPGJd+zfSz/Ph/Ph5P0XiPx7EhPaz9tvEhfSj7 - emvFF0OenjKlFBFRjSEEN7dcVoaj0048+S8+/JF3/O7vfOJTn25zUUCjdtngEA1WioZgZuu53Tnn - jR1vuuHGw4YCbGrmNj687+bDsqdPQ3J3t1zMhqNRO5+V4pWIu9eq//D3fu9tv/Kr27Ztm03Woqp5 - AaAB07W1QTXc7CIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiomc4d3cTKy7AvJ3kYZ2A - 0vQAACAASURBVDPVtetv+UKTmhxQZQvex+lYkQyomcWkVbBS0LYwhapmt5jUcldpyE0HS0NdLW1e - idtP33ruueddcN4555+4/eSQ67xWtEt1M6iaoXoAtK/A4AKTRTq0m0BMVEXLUYOTzjjpnFbmdmE7 - k7337Lnr1jtvvPWum+/bc/ekXWvbtl6Ju/fs2bZ12LWz2QyrW6RpfG0NwwqLROw+HRwmvshrc8DW - UySWyd4zTJpq34c+8x9/4dW/UUmpZDWFaGbaZ1eIK8w9WF9URDCbzbavbpnunmsdZat//ftf+tAX - /0PeMiloTJap2wfkwRkWr7tfX4ujL7fyqPr4OexPmoMIVFEyIIiKrvXsZTjSZmpRJDRxq28/dfys - 17/wZ87aesE4b5VuEZD06Gt/Q9se+dMX2eEbamy4rjcbgCJVuXrucZduufbX3v3Z/+fbe76/Wo/X - uj31wOGlqoAiue1QEEJAUBcrxVXQoU2V3j+952u3Xf+yk97UTmceYKLq6HO7ZX3RseI+HREY3U1E - RET0OC1rGPbHcwIvBg9WoBKffdZ5p954xi0P3hOrrkXxkMWSSoADYi7IgMCArFD44iBzeXC2uXZk - dF1GFaVkmcVdf3PjX15w3MUDDFOdUBAQcrGZzmKMNYIUhhQSER00OenY0887+eLP3b4zrnjxOTSb - u3gErIiJIxggWvRgCi67igQXy1FS6yopfPu+b9y97zvnjK5QRLdWBVmCi0bmPj4mV4G4iEdHVJkL - xLrB7Ou3f6nzmfUZwAIPcMsi6SBKZDdmg0GKc09wCSiO0AFZ1LFj9ZjLz35B1ayEAK9KEEVmIDfR - 4+YiriICh7tXVYWZeHEJG+/Sdwbsf2etd10sOzb6/zJXh3mQqF08/YQz5Yf3QBDRESmlVEqZN9PB - YCCSSilNV9rcjkYrIiF3duM3vvqOd7xjMmmwiNbe3C5Bzjml1LatiIzH47W1NXfPOauqu/d53n01 - /67rRCRGdsEQERERERERERERER1p+jRoE9jDYoMFAPyAzgc7DK472nDxli+uoAMEJnB52OwctuSZ - O4rSfRErb4AIBL5xWfiTv31qDKUUcVfVnHPXdSlVKysr4y2r7333u//g//g//+n/8s8GKc27TgUi - KJYhMDMNQSSWnHPJGoPl/TnyD1udm5qJ9cf6cps/8LkkhGCezToAourus8lU+nh79yuf9/x/+b// - wVlnnBFE1/bshXhKqWkaAHVMOh6X7pm7sRERERERERERERERERERERERERERERERERERERERERHR - QehrIFR13DdbS3WKI23i/Ovf+uK9O+/CUSoOEyisz2UQmAmyWimeBJUAIUgcFMW8nc07G1XazfJK - jIN2y8iPvfQ5Lz33tItOPfrMyio1lUlE0SFSSikh5q4DYJIBd4FJhhhcA5KoACqleHYRTWFY67Cb - NONq69bxsWef/5xXX9juXHvopltv+satN9xz793jet+eB+6qtkWv8wO7fduWNA5mXQFsEQcugKBP - IC8CAEUW+dPBAEAdKWDvbNdNO7/+6Zv++pUXvm62D1vi9mZqpqpAkU6AWLSI5pABH8ZBO++q4WAv - dt+x72//0+ffvafZiWHGpkIqHAZgUVLGIH0WRsYyuFr7aIxFlQo1gZmFINHFilUpjIZhXtrdU1tZ - UZlUo9n2lz/71a+6+E1b9SiItGVayfBgYo0eL8s2SdBjhmf8vVf8yp996n233PONLSujpt1rAglA - DsFFE9xLJ50IJMAdcI9VmO6e3vDNr7/4zNeV6TwgBZO+qSbQPmcdBwSFEK3zw604EbdjIiIiok3x - ZTogRMTMcltqHWiXrrzkJVv1KJ1HF1i/X2gSEOAouphMTNzELbipw0VNNr0/VgoAqFTmnqvmbx/6 - 5m333JiH8yxWXEQEAcVzmxuYVJIO/eKfRESHIhd3KTN50XOu2i7HaydBHDARFwc8Wn9yzBHcxCHL - U2aPXykWo4h6Lq0gDcaD3eW+L956XQ6dpqiwIO5irvwgf1xK8boeunr2XMU0ayZrsuvWB27O0oqX - /kys9d/IKJs9fWOKLOhKDiLjmNS1a6GG5FXZJy+59BWr2KGzKEBIQRC8PPZzEtE6kSAigBUUVQXg - jvAon6sGMYiJG8Tg8L5YPNSgAAyOAFN4sZGPTz7qVHcBP0WJnkmqqmrbNoQQQphOpwCGw+Hq6ura - 2lpVVXfdddfb3va2++/f2Qdq53wwdfNFBEAIYW1tTUSGw2F/cqD/X3efTCYAUkrM7SYiIiIiIiIi - IiIiOvIskq03Zh7/iA7Jw6KvUg7IMLZlNnk/p32ANx0ZFj3sG6anQAgBQN+b1ne05Zy7ti1tN9m3 - 9va3v/1Tf/3x8551bhQRh5XFOCkVNbOSMwANwcqGgTjL7XOxoW6mMb5hwiMeK6oASmndTFSDBnEE - uAArw8GW8fh/++f/64c+8MHTTjnFcrEur6ysrK6umllKKaW0d+/ePsObiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiGhTDG7uKagGa3Wy5ru+dNNnquGi+HkffLZ+X0EOVSoGN4UHM8vdrG0m - brZ9tOITG1vakre/4JyXvO0Nv/3K57zpgqOuHM2OrmZb0nwlNqOqG2seeKvNLPfhO1hUGjGIuTjE - rHTiFuCqUAQtIk2QeUhNHaeDerptNDlqtHbMSfKsn3z2G3/rjf/NL77ybedvvfjEdHY1357XdDRM - ZjKZFgNMgD4RHAaHLOo+qEPXC7kEQ1UQDQrppCmrs4/f+BffevCGstJOu7mIAmJQQBdR3w5xFReR - 6MBe37U37vx3H/vXD/gPbND6JsvDm8ChDl3G0hkkAyYwddP+tUzFo3iER7jWw6rpHCajNMxNWdvb - isvWUep2YgdO+Pmr3nb1xW88Tk+q2pHOEeRJDswQK97BBHuHpwzO/YVXv+28o5+7YqtVrmtRyyht - UY0p1q5SHB4QwvKh4qHCPTt/cMfO23PdABBX9eXWJm5iyyxvokdxeKV3M7qbiIiI6DHt32VyAWB9 - OLcEDQhRU/SY1/yC0y+86MzLbRphMMA8iwlMRIIDpS90KFBYcAsOAA41Ud9kercqhoM0mzWadN98 - asP2M7d8bF98sC1tKejcJKCqk0IsZzeBc5ePiOhgiEXM07OOuuiy0670qQeBCmT9hJZHIOLHKLPc - F5V195y9wDt0ttp87W+vf3D+ICqIAObuDhVAYSyE/FgKRELxnPNcRCSVm+/++gPtXSV0wCK6t/9W - NHjZ/Jm9WKEtDsBdrJEEraoaFk9ZOeP5Z7+4KsNBGObGcpvdJSRGdRI9XgrAJEANBslmpq5i+3O7 - l//aokMFgJgsC2kX6TuKFK62Xh+/+DErx21J25H54Un0zJJLK+pVVXVdpxJjqGaz2dra2tatW+/8 - /t2/9Eu/fP/9DwDIGRrCQfTkpJTatgWQcwYQY5zNZn2fkLu7eyllPB4DaJqmbIwQICIiIiIiIiIi - IiKiI4jJAQHAED88UrofkyzGRpq4iz9l6c70RNoYZ73e3a62viqf4vTuUhxQVXV3EYkxxhjNrGma - 8XgcFRc+54KPfPjD/+B3fnfbaBwBNwQVN4N5DAqHlRJTgGAxAYAuJlEcGMj9oycA+59nfd7FIBaS - QhZDimLfglLcDIYAvOWnfvrWm7/51rf8bG7ndapWhiMRaZtm3569s8m0iimFmLPFWD3pC5SIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjjju3rbtsB5Aun1l9+33f+uh+T2tTuACVxeU0JeJ - UAUEsNyKqIZxsZTdoRYVq4Oq3TPdHo4+c/WSt7z8N3/qxf/lKfGso8tJ1Z5RPUt1Vw3LoLY6lhBN - osbBYAgIoPAID/DgXsETPIpGAzornRWDawwhxRjTaLgaUWurIdeDbqVux+NuyzY/6oJjL/jV1//m - W178m2fVVxyrp419tZnmVKlJdNE+sk0d6n1C9iJMzaEuqg4BYkEs6Dqvt1S75KEH9O4PfuHP7m/u - ytKEEEzURcRFHJDikoPFUGq3MMVsvrLn3Z/64wfz92dh11xnnXYmtpnFr0W0iLqoy/66FOqA9AUq - dH0SV0DnTZcSRLxr5yFopepTj7sHzxpc+Euv+O1Ljn3J0en0+bRBySNZGeShWnisNvxYUgqe5ajq - +LR3647muF++5tdOGZ6ZpsPKx0lSTFpQmtwVLxKAgNJX4gg+75oSbOL7vnjr5/JwDsnBdDnLbn3J - DtafoUdzeIV295jjSERERPSjyP5yfQB8kdstcIGZiUgVUpnbSMdVO3z++S8+qjohIYlDHfBuES6I - DVGv2F/yz6C++f0xN7i7JjhKVcOrfPM9X7vhzi9iXOIwmkqXLbpUy1qHP8bcExE9k6k7Vqpture6 - 8oKXbY/HhBzcYejDYdGXKl6cJFt8WWzuIz1pH84NiYDktXYiQ+xsH7rt7htnvlvExdy9iMjheLrh - KachpJxzX+23oJGBffGW65pqaiGLOJarafHF7Jv//nW4QJJmK1pkqMPciE3DSy+6egt2JNRRQ0BS - j31y5xM6d0RHNFc3iEhBcfVZM0XfG7GhO8ME2L9nbuqmvkjvdtlfdRwCdwQgejrnpGfXeRQkbqpX - hIiODDnnUspoNCqluPtwON750O7f+q3fuv32O3LefzfVTe8PdF0nIiEEACGEruuqqooxYtmrDaB/ - 0bqu+7sREREREREREREREdERZ38n5GJw3nossC/+eMDfD8EJj/gVB4SPL0bFHDGe9gX+FE4P2yb3 - 51P7oy+bJ1spRVVDCO7e96P13W2j4TBApmuTIDIejn7/H/6jD33wg9e8+qoIoLgCQeFmIoAjtwUb - 2y+P+Pk4Pewh6zccpcvipv31k8XEoMAwxje85rWf+/Tf/PN/9j8PqjqIjAZDdcymUwFEZGVlZcuW - LaWUnPNgMDiI/kciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIgTVFLvcdDZPW/0rt31+ - JnuQDMAiuntZDKSPRbMOKg6g9S4k1IOADEx8tTvqJee95tff9HvPPf6FeGi8asfEaRhaXA3Dgat2 - Rbsc3ANgXTedTgD3PohN+mjqAE/wFEKEqgscWsRaK/PSzLtmz9rezrKmGEIARBHFVbswwnjYrJ53 - 9EW//sa///rn/czK5JhR2T6ULWIRrmrAosyD9blvgPUz5ACg6giu4qqKDnkekcezbz908ye/+ue6 - UrLM+ijuRTaQZABqAdA2dr6l/auvfeCGH3xuFnZZnHdqWXxTadMucFEX3Rhks37L+zv0YXSAiwHW - tF4NaoM1nddxVGO0tTv2gq2X/v03/KNTcPaqHSNNJZbqeuSt2Mye7AA5ExXE+d5ctfWoGdf7Vn7+ - 1b9y8so5eY+g1RijaGnbBhBN6Dq4QxUhhOK5k7YJ8xu+99Xd5QGTogBcpQ/VEzMxwLG5KHR6pjjs - 4plYGoaIiIjosemj7eCZAa4wUdOBDzAJp249+3nnvbi2rRVUBaLmkgE7ID9bgOVRH8QOosSlGJom - V1VwlLpKbZnN057PfvOv72/uKlUnQUsHzd6nFHrk/h4R0UFTmNpMTt562vPPfVGar6jBHIC5ZkiG - 5CIoBwTKPl7iCC5BAhQSENXdYQqry5e/c92u9h5XF4/iELfiIiE+CTN4ZFF1k+hBFG2affeBW797 - 37e1NtcWYuL715FCgmz6zKQXALAkWUpM0AKdVWcf+9xLz3mhTgZecvZGEas0dPfs+bGej4g2KBAE - Q/Fg0/lExNWDLEuKH9CTIBvr3AOALe6gCogABgVqDJ57+sWhrZNWZjyPT/QMsjj61giNs7aZtc2O - HUfv3r377W9/+w033OxAPagcENVS/OA+HtzdzFS1lAKgbducs7s3TVNVVQhBVfv79HcgIiIiIiIi - IiIiIqIjj8IEJsuLMWRDanIA+gvR5BCe+qZunI7UUYbyiDk94icFAhA2/CqAOhSLkTP9EJqnLMm7 - T7PuL7LqO9G8FHcTkV27du3Yui23nZSc2/nzLr3kT971bz/6gfc//9KLBKiDikEdW8ej9e32AOu/ - bmLTP/CBMIj1b4cqBTeIY5DUHQK88ZrX/PmHPvjH//cfXXj+eUnQzabjatBOZ3CHubgrkNuumc1n - s5mZVTHltnuylycRERERERERERERERERERERERERERERERERERERERERHWFMIKoiUkrp0O1s7r3t - nhun2IdBn1ettgyQ7gXHKGl0z1jLUrLKbGplgqPjyb949duvPu+nts5OqNe2DbujZV4NkzbNrrbZ - 5WUthCaEBpgbZiGWwVAhGVimq3gUS2IJnnKnVhRaSQyaotaitaC2OBbUpdPZ3NdameYw73TeWAOv - bCpbfDRaG730rNf+9pv+yWUnvCjsrescokE8iikACFzMD8yB7sMm+tjsENO+maUVTJF1tfvyrZ/9 - xveuX8Me12XJdzEsqmfEorame67/3nUfv/HD2DZrujXLpRqHTrCp6G4AcIXrekC1YlmewmGifSKG - iZlm0xaat6zEpmnmijAKeZYHa+MXnXD1r770H5yQzzmxOsNmZT6brK4cNVnrYqiqQb3J1myOQSEx - mwbVymOd04njk8bzY3/qJ3/5+NEZsdQlNyGaBHFAHZ4XpWbci1bIkrvUPtD+4Nv33FJCe8ATwyD+ - pAePEz1VjtQiS0RERERPFH3YsVRfZtMEEoOIeC6jemgNxrqa2tGVz3n5sNtRl63R14/3HK5qKg51 - GFAEBjWouqkbNpneHUJIqmVeKo2TSZe9VFvk9vtvueHOL93f/sAjosdYNJgUFEN52NEmERE9HuqI - mmaT+cpgqE160YVXD9rtNca6+E4wgUFQFEXXY2U38XmrDm8RTLOjA0S8rtBmFPXb7v7GrnJvp9kF - fZlXd3cJzkP4H6mUEkIQ1+K5SZOv3n79rOxDNFMzgTr6L2J1wFU2H92dFO7orHSOGBUNtuOYl114 - 1Rhbkw4DQik5l9JXGa5jejLmkejIpSbI2lrI8zwzQRDpeyMWH7Cu2PCuFQcE6groxl11BWBIqAcY - n3bMWbGroqa+AjgRPUOoah+kHWMEMByOd+/e/fv/3T/+zGc+D0AV83kLLBK+Qwibff71XYg+vbt/ - FXcvpdR17e7uLiIH/fxERERERERERERERHSI68ce9MnHfTpyWIZDhw3Tw349pCbdkC++scG6DHXu - bxwpg1T8aV/gT8skB95Q27/pAgf8fLKFEMws5ywiKaUYo4jAfD6fH3PMMXt27x6kqm9YnjXB8ROX - X/4XH/noe//03115xfMrRQAmk2lYZpCLL4fwrk+b9Yhn6J/cujKqQgCk2Fv/3k999hMf/5N/828u - v/jiSoO3WR2jqs5dl1ISR98zqKqllBjjysoKgK7r2D9IRERERERERERERERERERERERERERERERE - RERERERERJun7jKZzgfDocf8lduu32MPxZUwbVos0pYfHoej5iiAeKwRUGm3cub2C/7uS/+r8495 - 3pb22DQdh6ZOqMW1nTfDQVXXVYgCL44iWlRRJHelcSkmZgemm6kjiCqCZLMud12XczYrEqR4zta5 - WKyCBO1KdveqqmCIWqGTgY/SvtGpg2f99Et+4c0v+LnV2bZhO44lqSsAW4Zq99Va+leDq6GP/tGu - OATuiDVmZWqj2Qc+855ZerCEGVCKFoeKRUBzaJtq7Qfljj/7m38/i7stzlINEXRdOZikabFFKHi/ - uJdJGSbqUFOYmqm5mCtckEvOLeoQtakGzbZXXvj6N7/o546RU8NajSYkxFE9Wtu7t64Gbe6KP+nh - cV02CZrqCDHLPt3ZHF2ffNrqOZee84Kt6agyB+AapRSHoQ4QIGe0rWkUqJeY23r2rTtvMu1M8v4I - c19G9T3ZM0D0lIhPdwOIiIjoAGYGwB0CqKq7qwrD5g4V+48Sl1GCZiKhzHMVamvaKox2DE54/Ut+ - +v/9qz+utw0g07WMmOBFolbiDcRcYAKYuvRHgLbZAogFrtBK1FtUFSDIucQqf+RL7z/3nItXpkdv - 120J3aybxEHK0kFEuQkREW1eznk8GLZl7vDjV896/nlXffJ775N64iIpSjOz0SqmHdwwCMFzsc2d - ftNKomeTCChKgQBBFJA8nH3iyx895+UXVXkgHWASQnoKTqUd1kwMIoCjuFS2y+77wrf+82BcTbvp - oph0H9oNONCnoWMz60sNDtRJ985tPNbJWrtDVi845pLLT7kS8wAVM4sainhGF2PMOT8Zs0l0pBIR - wDyW3ZMHG2vdTcSTSnYH4Fjmdsuj1912QX+6XhzRIW11wekXp1JXPshtEfkhDyOiw1nOOcaoqma2 - npbt7k1X6sFoPp+XUqpqULL/0//hf/roR//KBb6/dr+W4gBKKZt93fVQ8JyzmYnIfD4HFind68He - 6+ndRERERERERERERER05EkxzubzN1xz7cknn6wxZCsiEkLw0vda7s+89kV3waE15MM3dGIcMHbR - 7cVXvrCbzVa3bWvdvZiIiGNT4yueRqWUqqrcvU9QVtXJZHLOWWe949d/3Q+TWXhCLNapioiUUtx9 - XA9Gg6H6MvoaUIcBLk9FencpRVXF4f0AAHdxqKoCzXQ6rAclZwECBO4BYoBlu+ZVV73mVa+6/ktf - fuc73/mxv/rLadMG0XYxekoKTCAhxa7rftRrL/sQsT4IW6Qf+ysOx+K9Gpbb+CnHn/jzP/fWn33L - zxx/zLFd00o2EVEI3Jep4S6Aw0oxVc0598O827btOwedo72JiIiIiIiIiIiI6KnVX1kDwMxUFRuu - bSEiIiIiIiIiIiIiIiIiIiIiIiKiH5+79wlifbl7d1dVXllOT4YQQjWoJ12TdlQ33PqVHBqzElPo - B4sCuixgsghlELgISgEE0dPIjn3LK3/3tMGztswGKSdAEVAwh2iIqRjgukitFS+Aw0wAcV88rQNF - IX0xBoGG0ldlEBd1oMDgsOwiKhA4LCtco0Rx9c6CGyDFkxuSmE/9mHDiy8+45sTBcR/5/Hu/P7st - j8skQ+sgUqwP6FG02TSklLRrmoJcVQN315KtQRURAtbanePhlvd+6k9+5dW/k0ux4iXHsYwbz/PV - tXv9b9/15/9yNnrArcsFUIhCy8bF9ThZP0mfayPLRQI10QLXEIp1/TK3jOEAXYtxlWxNt9sxV130 - 5peff/VKPkqyIDrcgODF6xSB1mMs+1/lySJRzLsO6tCgmmTQzXRQr77q8tfe/oNvrvkDk26t7ayu - kzedCFwRowDedRkBrTdVLbfccWP3/Fnr8yh11FhKgUI1mG26nj8d2fqMhr60S9u2T3dzNoHR3URE - REQ/Sn+6w/oahfLw/zKBwuAaTEQigNSNzz/x8vOO/9Ltu79QdLq6inlGXQ2b6TxFBawoHHBVcYjn - g6pr2R9HVesHVCbIoeyNO//yyx/+xct/s+ydSeejevRQtxaHtZoB+iOejoiIfphSuhhELZRpuuqK - 13/tzs+550m7N0SsjrF3AtSQIF3bVSHC7PGnd4svijW7oH+UOIJFwEtqb7v/m9++/5Znb714WA28 - dVfPOcfID/MfRYM1TTNM9VzWvnDbdW29NptNwkhNF1+X4qoHfypSI+Js1g5HKJ2tVuNhu/XqK14X - J8Mgqb+DC1w6QOBRXF0OrdLbRIcykeDSzW2yp9ll6FxMzIs7dLHL/TD766rvr3pvAKSTGsPUDs85 - 6YLKhlErkVikMLqb6MiTUgJgZn2fcZ/e3f+XmVVV5S7NvPvDP/zD97znP6qiPHFfy33CQUpJRNbW - 1vqWEBERERERERERERHRM0czm2/ZuuXa17z2mmuuqUbDPr4357y84GzRibnopDz0Bg9sbNDGkSil - 62KM4j5dm4hIVVXiaHOrGp7qJh6UEIKZ9Ze59le9jkajY4L+k9//759R0d0KMTMX9KnVZhY1DFLV - te3i+sD+bsv07qedOFwWA2kFSKJZvDRtV/LlF1/y0j/90zvuuOPTn/nMO9/5rlu/fdvafJY0dlYM - Zl03iKkpeb2vsJ/lPj9bRNzc19+IywzvsHhBpJBK6QCcddrpV1x26Vvf8pbLLrtsdTzet2+fFBsN - Bs1sHlOyZdQ3EREREREREREREdEhyMxijAD67qoYY9M0dV0/3e0iIiIiIiIiIiIiIiIiIiIiIiIi - IqLNKaWYGGK5Z889e5udqKy4SQhiBoFYVDHATCw4HGg6DIcaxHITBt3qz7/2V04I54wmO2pT9WKa - i3pRE4MKxPuCE2oCuLmYQRy+npu2rKvgEBN/lJyz/g4qgPfx3v1dFC7i2sd9L2kwBZBySjledPwL - jrvm2Pd8+l237bw5rox3zXZV4xCr4h3cIIZcOlOEFDVg2s5TGqolLVnMAVjyneWesrNcf8snrjz3 - lTavR/XKbE+RFd+d7n3/Z/50l949050wVwcU7giuASrA44/KFgckA4u6HOJ9RoY61AQpVZP5bDCM - XnJusWWMfXtQARVGW/yoV1x8zSvOf92o3YoSC1zEINYvQoE5ltU9ntwSHwZxExRXBKCoALFI3aXp - tL7mJW/8ow/dmqRLA5m3TS1RxIvBUaCLhWbInTUtpnc+eMe5K9usq/pqHubmDjMPcnjUnyH60Zj7 - RURERLQ54ir7jwANcEgBAGiwmPJwmx39uhe+Oc7GR412TPchSrAuB1nudznWH6v9EddmD436+7vA - Q3Dt6wh2IZequf6bn/nuzhuaardWoSsSkhq6Q6G6IhHR4SgFL9YVhYY65nh0ffyrLn1jnGwZp0Fp - vGsRA0xg4iIopWzy6VVd+lOT/Qe1OoJDYCV2u7qHrrvlk91orfUGQQ3F1R//eb1nJOu6thrEuezb - le+//lufnceJJFeEZdFhBVQOSPnd1PLU3EkVaxQkU+xOL7zgFWced06ySkuChyJSBJAsPYwsdQAA - IABJREFUKABclKdciB4/F3Ex0+7BPfdlaREgIuuVr10WEd3rf7ENvTjiEDfXDJiWENpqezzu3JOf - 67kSEy/Wd0kQ0RFmvey+bhBCSKlumq5ts0p83/ve96/+1Tsdj8zttg3T5qSU+lr/XddNp9OU0uZ3 - AomIiIiIiIiIiIiI6DAmjqTaTaYx6Hg4yPP5fDqxnL3L0SW6RHiEB3h/QyGKxRiCQ2SKG6aNfxkP - h3WIVUyDqo4auqbtuq4PezgshBD6/qO+I6nrOgAro3GQp3+ZP5UrN4lEILgrEFWjqru1XSPu2mda - b1hoT0Eidd+t/8M54OL7p3be1KnqN0IppZlMjttx9Jte9/rPfuqTX7n+C3/yzne98XXXHrtjewQq - wHIX4SqAO9zdDO4qSFHhLoAAKgiKoFBBACJ8ILp1OHr22We949d+4y8//OHrPv2pf/1Hf3TFZZcP - 69pyHlV1EO2a1ot5sb5VfTuXE9AP4F1ORERERERERERERERPIzNrmgZACKGUUtd130tCRERERERE - RERERERERERERERERESHC3V4MVHrUvudu2/b0+zTKJ25iLhkE1NX8WUNEFeDhqhN69Km1W77VRf9 - nUuO/4njwo5RG2OJgHaha2NbEEwi4IAZtEhwhCLBEB0RCPAED/AAF3WRPofbFVBT9FPRPhtCsSgi - oQIER3DEgmgezfrKEiYOMQDwIB6Co8ph3G7d3p78y6/+r198xmvs/m5HtVpaTGdQgQhGg8GoHhg8 - W9cid0BXSkJMlkIO0RFqzNP8/nznx7/xn+6efTvUcdfefb4lrI33fOTL77nlvs9P5f5OzBUOdRc4 - xFysiG+mBL3s/ykAPAIRri6IKe3eNxuOkuecW6wMtF3D1qgreTTYt+Wnr/zlay/+mbA3RU9hVO0r - 86yWtZjOTGdZzRDFY7CNWTlPAnFFA8kuWpCKiosJuuBlRVdPP+rci8+8MsxH3sowVX19jkX+uqCP - 1DOgIDc2v/l7X8/DuWkuniEGdwB9ZX6iR3V4bR6HTSklIiIioqdLf/jnAvX1mEDV/vhK+qwvhas6 - TFQt6TSeseOcV1z6mk9+48Pj0cp8PhM1jYD3sYGLI8mDJH2TDOrBRCxCc1FzMdGso+Yj//m9J197 - fLBB8KGpAQ6EH3cREBE9A4mZuUQt2aAhme55YO1F57/iptu+dstDnxqshsms6DgCGQZRoGz6k902 - FG81QYCKQ2EdynBVb77rK7fvuuVZ4wvLROtqpFnXa7/So3L3Iq2vNF++4fMPNj9oR9Mo2qf/9und - G0rlHkxUJ6BJonhn++SM1We94sKr53u6sYzdggEuud9VUK4los0zz9m7ONbv3fvd1huJQF7udwtg - 6rKsHu7r+8NQVwDBsai3DQsy1PngvLMv3lYdh4mU7CIlRimM7yY64phZn7ugquu/AggxxFCFED7w - gQ/843/8P9Z1mDdFFXYQ3/yPpg+oyDmv9wPlnEPgQTcRERERERERERER0TNIVVVt25qb5aJACrFO - lUuwUoDF4A5ZjlIQf0rikX9MDgCzydTdo4aUUoxRRBZdMIdJMvF6h5GqunvpVwfgz6hs5X57Mwdc - xCHLax9t0dcuy+FHfZf8IbhoQgherO26IFoPB13XNblbGY1ma5PtW7e94Zpr/84b3jifz39w373f - vPGmb9x809dv/MYP7rv3jjvu2L1njwNBpLh7tgAEEVXtSoFj25bVc88998zTTj/ztNN/4ieed8Wl - V6ysjtq2VYiItPNm6+rKrl27hvVgMBhM1yZVVQ23jPbu3l3X9SMbeQguNyIiIiIiIiIiIiJ6xjKz - /mx2KSXG6O4ppae7UUREREREREREREREREREREREREREtCmmkCxWqubWO7/VldZFRODupoCbwNaT - n10grhKSZsk77ZILXnj1ZW/AAzEVj2KAuWQXdSgkwtU0q8ORTHT5DNKnrfWZD8AyZmXxU03gYibY - GOyybIDtr6QiBsBFATPxvhqDuglUF6UZtF0rR6+euGf20Gsuf/PK1uHHvvThwUjrQWnbNTNYaKBB - VYtYMUuDYNNWQl1psgyDubtX0C1+39odf3H9+/+LV5w92HHUQ/neG7/7ua9+92/26YMWIArXYBbV - IZ4Vpa+vYZuqDiF9lRjAFNBlKIY17Wy8ou2sC4JRiD7DSGpM4tHhpJ++5hfOO/qysltX0koz7fKk - Ga+Mc2kgpl4AEYPiKYqwkT7Sw6V/wSIIUoJbsoHvTS++6Kqbv3PTvvbekHJ2h4phf0UZ6W8VN+Rb - 77x59hMThCG6NJRogGrUJzN2nA5HIoLDLbS7x+huIiIiose0f/dfXTceE7r0+V/WH4QBQV1X4mjP - 7unLL772W9/9zl3Nd6Ve29furMehlMUDxRQwLI4wN80BCEwyNEZTIBZtXaC51bq79YGvfeLmj772 - gremmYQQuq4TlgkkIjooObfVcNQ2LiW4lW2DrWt7Jtde8ca7//wbje31Ydg52Z1WIIBnpEpL3kQm - pIuZetEMAI7+1FUUg5gBnbZ744OfvOEvTn3Z2WmmCRUAHC61kJ8OClQp7Cu798oDn/rmX+VBm6Uz - R5IEVwgOPKu76fROA1LSZtauVKtVGb3heX93mx0rXmWzyioR5JABC67qas+sqs9EPy4TmJfWW0nd - nfd+t0MryDDvz9H3u77ii/4JddiyCrYBikUotysgSF6NsHrFuS/CJMUwcHV1DxLK4XfOlogem4iI - iLubmZkBUI3zyXw0Gn/84x//3d/9b0XQNKVP2l4e12/YB+i/rDf5+ZBS6rquf0VV7csb9befoNki - IiIiIiIiIiIiIqJDXc45xuhWzCyEICJdMy+lVDGJQ5ejO/puiUNy/MAjRk2IAlhdWc1dl3POOWsM - oiKiZiaHyXiVUsp6/5GIpJTc3d1VDo/2PzH6ixhVRbC4TE5ERRTixUwOuJzv6do4N77uI3PtU0pt - 21ZVLSJraxNVHdT1fD4fDofz+dy6VsSrFE4+8fhTTzzhNa+9et62KSVVnUwmDz744M6dO5umcfem - aaqqWl1d3bFjx/bt24fDIYBSShAxK+KS2zaqKuDuKeh0bbI6XnH3tbU1cbi75Twej7uSH8+M/Ig5 - IiIiIiIiIiIiIiJ6Ug0Gg67rUkoxRix7suQZ1T9CRERERERERERERERERERERERERHT4ExFHu+Z7 - vv/Q32qUUhBFzDMACMRMHK77a4a0Td4Wjtm6ctzVl7x5tDYc62reN60Gw06aoupeSwnqKiiQbvka - i1Lqgj7dAcDDqqsLAJM+QEdd+jgICAx9KoQ4AAWKdBuHqzpgonAJDsAU2UQBBbQe100zTT7YEU+8 - 6rk/OwjHfvSL793bPDBaXekwL6W0ba6GMcVqrWlELQYoMkzE1V3a7KZAxHQwveX+m77yvS9ccNbl - d86/86Hr/7+9cl+RRey0qYirGMRVtBx8SQ3vk9H7XwwwOAZVmHWmGXUY+hzRh0fpCT9z5S88e/tz - 1WPxzkWG1RjmZd6iMhcrIqHEYBoMkNxHoR9smx6bOsxVAAfEzUQVxWEmyJO8fdvRBfk5J158433X - 7Z0/gChIIlng6m7rdTISoojft3b3ru7BYdzR/1FMxFVENlvPn+jQxOhuIiIios3SRVCg5A0xX8tj - RUfIWImr3srrX/qWP/7w/9WGVhQWijnEEUzFAVh/fAJsOiqsr67oms1QJBVZVFMsZrOyZ7xt/Kmv - //VZJ1zyrKMuSu2owmBj9DgRET1+KaWcs1usU13yLBWt2nD2Uee8+KKr3v+F96ZjRzFW0cy9DRE5 - m+smPtJNYJohth5Da2K+jJdurMVAbrz7q9++95bLTnrh/MHZMK2gPImn0o4A7j5YTe//ysd2yX1z - mRZAK+QuiwQAwP7FuzhNuqnvX7HG20or2ymvuvy15x9/EfbKcDTuisBVHaIqcHWICQTidkhW3yY6 - RIUQNMqu6e5daztl4CauQADy4n2k/RvqUd9VwbSE5Tuuw6nHnnXm8c+2h1Ic1UUaMXgR7g4THZHW - c7sB9KkYgA4G1XXXXfe2t/1aCCgFqsg5i4g/QV16Oef+pc0s5xxCAMDcbiIiIiIiIiIiIiKiZ5RS - SkrJBE3Xagx9XPRgMMjzFoBvGC7Qjw/0Qy7L95HjTxzAvr17q6pKKWUrpRQAqhpC6LtjDn0ioqru - XkrpWw7AzA6X9j9RzCEiLjDz4g4giJiIiogvtkkALovk6UNt81TV4jadTlNdDQaDvkNQRdbW1obD - oYjM5/MCr+va3efT2WAwKLkUz8NUnX7yKWeccmq/0jduAGaW502MsQpaSoka+u3ES0EI63HvWL67 - 67qeTqeTyWS0Mv5h7eS4ICIiIiIiIiIiIiI6RMzn85SSu7t727aDweDpbhERERERERERERERERER - EREREREREW1aEC3oHth3967ZA1IF96DadYY+biUgAzCHCwym0CSh2Ztf9bLXn7RyVrlfqkGNmLPk - HIoDaqoeFYAUCIpmuAKmWA/t3h+15oJlutkys3qZ7dLfYX9KxP5ca11PXnMBXMUFUBcTh4kBcChc - Zk3rLnU9bts2ur7w3JcnwYe/8B8e3Hefj9NgUNt8YmYRogZkjwHelVxK0DrqIHtTrHQAIqay7/2f - e8/qacN//5f/dg/uLtLFhM7giuIKNzckdVFAUHzTkXAuMIH6sua8mMDqGmt7u1GFYAkzkWncvnLi - tS9480WnXFn2eByHFq0X+//Zu7dgS7KzPvD//7dWZu69z6UufdW1JbnVICEE6rYQQhckNWphkDAS - IWEGjDwDdigG7Ah7Yt544WHmwRO8EIHH9tjhAINnPB5gZC6DBkmANCNmJE8jdDMCCd2QWqi7q6vq - nH3JXGt93zys3Puc6q6WVFWnus+p+n6RseucU/tk7p2Za+VaK/dZ/7YJy4vLdtJZNhUDSBMAKvkp - mdiDQQMgZgYoTMF6EKVhiLnpyuR7Xvraj737g81208tKicCaoAcaDBBIQBDakouHLnzpGadfyE2A - uaopedwmKHHHhh1VCMRTwqO7nXPOOee+KbX9v55uTzb9Q5MMABZrl5IGTf2kmcwXes+d9333d7zu - vZ/4jenpdl4GCIKChmAA1Wzs0F7RDH5jt44QA5hTiErQIpBNYIJlWqCZ/M5Hfv3U/bfekZ43C7s5 - D5ebbdM559w3EkRTCSQNkZPV/v6Znfax+cVXv/T7P/q5P//s6j9PdjusErQ0szJf4opCG41qogBo - EEAJCnQcQ4MELK1HmH/oY//X3be9uOFOQChemX9dmoevnvvKBz7xftye09wEkBapWGNCFYuD8WAH - jsO73/QAjlEH1e12957bXvLaFz/QrGIbOl1aDF0CxNAWqU+zdUawms/S69w3TYwBn/vCZwbtQ8Ni - BzWqst5XOXgqgFqcQ61CDWZjyHfL7mUv+pttmam1ZlSCpJUkjMXLo3M3ls3s+WYWQogxllL6vv/L - z3/mZ3/2HwEsxUKMZnaZWzbXUCGYWU3vBhDjeHullFKn/nfOOeecc84555xzzjnn3E2BmstAiU3T - KIxkKSWl1MQIQC6N7jYCsGP2+b3Lf8AlxlZV+zSYmYgwCAC90r9Ce/qISL1/hPpHX2YAzCzGK/lA - zw1AWaO7eehzKwJAD8fK1z/FBADh05nePcaHbwqIyd58/9SZs5rz/nxOERFJKYlI2zUGVbWmjS1p - pgR2tmaaSwwBgJmZjp8liCKmamaRDE0TQjCzlFJa9d10MgwrktOuBaTvewBN05hYKtlgpqr9qp10 - Xdf1fR8Cccknh51zzjnnnHPOOeecc865Y2Tzty0kVXUymeScNz90zjnnnHPOOeecc84555xzzjnn - nHPOOeeccycCAYGC+a8e+cLAhUGgkACxceIP4uAfI2DaSfvM2579shfeZxdltzm73M/NrB2wUgRA - GlMpGYCJ5iCKKIDAaHjcLCjrDLb65IOfB4xJ31x/sZl1oU7CoFRbT6oiEJpIDfOmCgwoMIBRYiNx - Ms9Lo6HYpJ+88lnfe8v33f6vPvTPvrj3mRlCCFwlJa0JaAJoUMIEJhmMQTrDSk1LxioOe/Ez//z/ - +KeKea97BZi26Jcwa2kNNCNkDUWAq4wSNgBStw0qoCA0Y9pimOPstGtke2fn1je+4s0vu+dVy6+W - 26Z3LBZ7W02nVs5feHh365QmbW2r7iKVXCQZC5gBUBux6zVtBU3EOhqAbMyKaJCCBtCmmS729mez - reff9vw7du58SJYZy2yYUGmb4HYIKCpUKzF94a+/8B2nC4RUCDgmtDt3OScrtxs+d4xzzjnn3BXS - x0+jWftLwNhlgsa2SathO54p53H/vQ/cc/u32IKxIGjtYo4NsNqptDpX5+OWkRjr89dtNq6fYjW6 - G0o1AiZi6Dr0CgvWs//so3/+wU+8V27Vi3q+iI5roBJKKNcvQwmlKhUAgWBCE+/u3ESoAMTAmosJ - sXGE5Rq7CTJmzB/qHF2aX6uHA3Td00js8IEQqZWDiTIrtRB2UCfVw3llB+5xB5oYzzqMp9y4WhlP - lWPXPx2Ww6RpRbQfFiFyazLLS93C7k657a1v+LFpmXIFJAOkHxC78bfEMO5JiFFUoIJa1R+q0GFj - jQxsanWDQQoFBgRAkLn6s7/62Ke+9KdxByub62UKzuYCoeMCALJZ8wl3+GoFpQBCk2ASTEBVKSUk - lUITpa2m+7//J7+9ai5eWFxsGgRB34N1HmkqcLl9sr7srk9CGMelbr2OXdZKcVe2m73uza9+6yTt - NjJtmukwaAiNAWCpo4qAKOWgbXCSrc/kS61/Itd5+GssFMAxrBmuyaaoXlJmL++Sgvykz5RLF+AJ - 7cpLWpeb13Cd1damUouoUkG99JVc8oKNZWn9CovP/NWfJZsLixUYkQpQT0UooHWucKXW0kwDTcVq - axaiCCWeCme/8+57y7zM2q5obyyhicbmhqgSr83BQR9vcRkx7tGrW+FBH+aS/hFNABXDocLrrT53 - vWyiFwCo6mKxevT8Y+985zvPn79YitUfllKapjnCjapqCKE+1m83XzvnnHPOOeecc84555xz7mZg - RDPp+pxS/fs0M5IhBKgVU1XNpnn9RTFVLXpCmFkN7W7bVmJQ1VLKCfrzGDPTmtwcgogAIBljfLr3 - 61OtlJK11GMnAEl5wp852XG9h27EZDK5eOHCqu8nk0kpJefctq2I1OObcy5lLFM555KyqlpRqG2W - +hkeAQWEWh5Sv1ylfhCw67qShhijiAzDkFJqmibGmHOuLyCE0HUdyb7vh5xC49EmzjnnnHPOOeec - c8455y5hx0xKqe/7OtBd/9Dm8Li3c84555xzzjnnnHPOOeecc84555xzzjnnnDsR1oFA+vCFh7RN - ickAM1x2fggaYulkb/LAy98Slk0nEy1ourbAihGIYoE2ZlDT6m9I/efQ1mpikR7KGqhhU6Y0gaEG - o9VnHno89J3A4ubxcKzI+GtjlBsWi0WQpmkaQZhg65Tcfs+Zb3/7a/7uXbNvaVazSdhpROoaSoEq - GMGIbCXlPqdiFsSihDigH9rlI/1X52WPLbop9vchIiQDGUhKIaFAvtJ4BAPXe7uI5lAjNkCDZQTF - 2a1u9Wjezbf+0He//RV3f69djFvtzmrVT8JMEwFs724NZYCQJkGjqIiOaXFGfSryGuqxgNI2mxMg - 9v1yOp1GC1zIffe8gssuMsql02mQ48ekMw0hP3z+K8l6oxnH3SsI1y933Lmnkp/Hzjnn3DFyOHdq - 862dnKkPb1Rjv/CgJ7NeTGrgNRAAwqQGEhdCKaFw22Zn0u6PvOrtd9pzd1anmmFH+wAysQwGBqSC - ELlJCd3Mh2iEUQxiiIZotbfJGqKJoAjrHqtAiQxmJXIBBcZgjfZYfPCTf/DHD71//+y5XoppJAnV - QG1j0KSBUQmjqpjRQBVDLCEW7+rc4BSi65NtHTQ7hsqbEZCSTURIlAJe+RydNAWgiIoICG0sO0aa - IsCgg4mqlJxzoE9teQxQreaiQmghaKCJScnoLUpWEUar1Uu44qEcFVWqUWoKrxhoSihJVZA0wpBE - YNkCwvGaFdakadqcs0rPLg1YJCvglg073XLrntMvfONL3jCbt0GAmDVgyAAgAE1oIhoNMVMSJQlK - HRMbZ4Ydc7uxzj8mIAqaKGJhNAIFUdFFzXHvtz7478+Fry4nF6XJJQ9N06SUYowxxpKUJgRoRhTA - ANFxZ8sJj6oVWqCJEiqaRWp0dyyhyU1rESgWVynsS6tiYeDw4XMf+NAj70uymsQmrxBMWBCExlxk - qFGyY723blvV/V+PWg1cNyILVooQW0uMnFqGTMGMrb/eeeu3v/2u03dvzXaHzFXSbjpNqQ8oNFVR - FVXC1onLx3aa4ytmMo6t2jiePp7JhnD5sfpvkmLd/qktEUC0HhxDUIFSjUqsz/ATbgzMNqDUhSi0 - sTKo9cZYnKGg6rhzVKlaf5HrFHvoJnQeEEVQBIzVuNBk3WKUoCI2Lly/DKUp7bqmd9NELACSgw0x - 5ZiUJShikQZSkhqDQkoGGRCQJeVJvogLn/jCg+h6ag6GDGQZ71IIsiCDtU0OEwgQFaJGcmlaJJQe - 03z6dd/2pvZ8c7rZKnlB6aXJ835AaHCTtW9pAKTATCg0MZiZEkYCCCq1sK0vE1d8MoR6zYEII6wQ - WWhWcEk/wup9Mueu1brGq9/oZqGYWi4wBJGmXaW8v1j9xI//5COPnLd1L15VAaTUX3rrd9PJP2gS - XGa7675QvSlYf2Jm9WtZ3wIWEZGbq4ZxzjnnnHPOOeecc84551zKGmJb7x0IWGOD6y0DI4xmNKXZ - 4Q8o1E8AHu+lppADKKVYUQEDhSft46v1L8Gwvt2jqk/7jn2KFwZhZYAaVGua9WGbjzDJwd9VPp3W - nywTAGbWNlEILTkI6xcwFZCGKKGelgJGCcD4CTRF/fAjIeO3m58wCINAqDBVJQOUNAmMm/IbKFCr - Ud8l5bqh+u24V8fPdeAbLs4555xzzjnnnHPOOefcU0xEYoz1i/qT+q1zzjnnnHPOOeecc84555xz - zjnnnHPOOeeOymbCapJ14mvnjlyvw2Sn+8yXPp1Dn0OfQpHQFKAGSBVDbAVEzuhiN0m7d09f9qJT - L9/Crlq2pu+xUFjQdeoQUUSLqIoCoBGgcb2MiTYHjwYx1inhKcYaxGaQcb53ag3OOBzfJqaEimk0 - 3aSAi0FMNmsAlJa7NgQtTNaUVnPQEmZ2+ntuf8Pf++53PZMvGB61qC0RVAFDMaQCE8QOIRZBQRGU - QANNGyAQFpAFvSJE0FQswVbkQIMqzMYgceMl09yv36dcbkFT0BKDqrWW2jzXLMJoaAkZUObx9va5 - b/uen3jV8x+YPHZqZzgthRBNOkAMYM4GhoKSY5/jMsdeJYmBGkRbWntd8+AMKJKzqDEao1GVWQyA - SiOKXFKehZ2XPOflcX+7yY0oCJXNbP0GI1JAiVq4+vJXPlsalijZEpDh+YnuCTZXQ5LDMNT096f7 - RX1TrmM5dM4555y7cW0Sv+RgWRtyjqGFDhHWltmzZi948yt/tFue7lI3iV3GwMakxXyJ6TSmvmym - 6NxM28dDm6hJlZu8yk2HTgGgpuFqTR7NCV0nWUux1GyFPu7/+h/+uy8uPlvaAQ0Ks0Su0pBTadu2 - lLJuCtbO7SbA+WS0Yt3VOZTjK0DNrD0Y1DNhUSNZn1afK1d1RhjFKJvfrSdwjV8FoChGXZ+B3iV5 - OnF9AiiFRphQIyDGnDEYAQl1kOjq1n7weLkDbYRZGZ9bx5qPWbQqNY5vgNmYTHKhGGKH2VbeffWL - 3/CC0/fIKkS0/QqTSWPrEiVWw4aVwDfMx12XtYPoaCNUEYiUirarBc//wYPvKdN+mfsY45CWk+1u - f7m/XoGsZ64V4KD8EnqVB+4Ysbo3YLIefl3XJ5qDyLDqAclIS5vP42Pv/djvnrOvyQRUtoFtiABS - MpVxjxzOfq77Rrmu5ahcJ3o2TRTBMOSm6TTnSTsZ9jDJk1c8/1X33fXdO83ZvYu9cBKbac6ZdXbj - MV/ZjOOLPPlB0zVMGl+nlj4cpHoVo2D118dfu7Tsj4Wi/s8JGV/7phHrmG1DqDnKBoA6NvkAYNOw - 1IM5nS3QDk2YfnAOK1gAqxWN8XCdU++CbNawTu++8pzmK1WL7aGXcTgxVwQwM4lkg2zZSjFqblYf - +9yDc7s4YEGCBBgkNAC4uY2hoNWVAwVQGG2wNN3uSuZuuGXXbv+O5993dnq75aI5mRkpEsPBW7+J - CNa3OriehH0sTd+oaF8BE7N66TuY+B7jWaf19s83cx107urEGFVVVafTrfn+cr6/fNe73vX5z3/5 - qC4bZhZCAKCqNbG7lHLDXZWcc84555xzzjnnnHPOOXe93AAfG3A3hq8TJu1R084555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHNPP6oJLiwu7K0ulpA0FKOmYgRrELUROasqIoFE - W8aX3PXyM7wt5Eg1ZVYpZhZCs86+Qanp3QSwTrS5NGTNDj3icU+4NEDk0mfq5rGGpslBdPelq1o/ - vz4hKMRENMAkaIPz7bff+Tff/rq/e3u8a8bdtMgiYkSMiAG5YLHCYCYtmkhQa+RBnStDicKDAAya - Enn8bgyjvuIANhGuVmgalFJy1slWMGGf0FiclO1u/8yPvP7Hv+WZL03n7Gx7K3vWRIaaULN5v0Y1 - 5iLZmOt/1bcsGq7w5VwZJZSqPLzn69YBaCmlaTpaPDu58/btZzaYoIxTjhhkHXRSM3cUzKu8vLh/ - QUUhBppZ2eRQOLdx+Kw4QQEOxysXzTnnnHPuBhCkU5hKr5JEO/Q7L7/nja/8tgeagcyL2YSLAUPB - qdPTxX5uo9CEJlTUHkddCBCZGIiMmldqUMJw+a6dGILAilJgSCnPm4l96a//8g+Je2+ZAAAgAElE - QVQ/9Ht7+WsLPlbatCiLMJlZaLNl0oKCJjXe1cBC5JBzyOrpejef9Vyxqlb0Gnu7xNfJBK1BxqUU - ACQ9+u44Wgck18M0/ozXq5dbIz8NxY7jOIsAgdqKNbAIapGSQ19CMmHp5Ux49ltf/xOn8UwuJ2e2 - Z3t7qRBKMYoSQA7I0XKraBVBISqGaIh1oGqs7WtO78FMuOvBvYAM5ICBw8L2P/KpP/7Lhz7DppN2 - 2ts82/5kNtlfLmazCTQDqqChUURAhUMwDSe+eKkxAxkWaRItCXoAWTC0w0qGUMJuONukrVxsuXvu - PR/73z/30J/HRkimlEyYTVFTggFcbrphg0AjNQatg5jjEKKuylSmRFimAZG5z1ureNfs+a97xRtP - b99pGrt221RyVpEnG988HFR8g6vDYUdbn+vByvTIV/60MYFFWAvt1GZqM7VOrTWISjEWlWRUpZg1 - QBRDsBrTLWItrYF20M6sM2uUUgRFskpS6TX0JlmZlWrUIlq/qMvhc5EGsSCb0/26KaIwxhK6HKMC - 1BwsC1K2tpmGaEVXRRYIuUErhb3tffwvPpJjsoDCsWrcrI21CANiY8tZAAU4aXLActVvSccL8TUv - vf+W7WephVXpEUPAVGwiYCnDTZsefbj4HHlRqsVfYZ464K6rJ+sCr5YDEba3dy9evAjgXe9610c/ - +skjbFHGGEspIgIghLBcLkn6rUHnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84559xRUQBSHrv4yHy+N/6ImksSgSlEQEIVAGKAFXZh8m3f+m0xNjXT - gaSIqCqeJCvluEU1KNBNJkHbF93xsp9+y389WWztxJkITDAMQEbQSWDHyIE52yrGfKVvgjVB69A0 - 98pNxPUTFkERCw00QzJmCBzKctBuGrFqpquzf+c1/+VLb/+u7W6r2QoX9x9r2+bI98l1QlJLCRRL - tj3becbtz2ANwAOebJcOw3D+/DmzYkKFmZnPz+++jpOVKOTR3c4555xzR0tCaHLOEpFSD2vZT5r+ - 1P33/eAL73zRNraXezqbwgxZS9OAamICi2IRkBosyhrgPfbixv6sEQZZf4NNQ26M3zaIIBeIAAEF - fV/2b3nG7p/82R//wUd/q+xcPLd6pN3Z6YesEIoZCqhioAZaBKxISaEk0ZPUmHVXSC4NQ60/Ozxs - knMmbezSHF1aM+2SblLOGeu4R3c8kSyljLnaZiRgR3Y+GA+C2zcB4STLMexLm8ACNYqJQpS5hJTD - sFguO26F1fYzpi982+t/vF1s6yK0EYAoUYgaYlqTd6MiFgQFLMKiImoduzSMAbS1OFIPCiMhEUNB - mGBgv5LlBX30jx583zLM94aL7Va3N9+XgOm0W672QhuUYoiKABNAaSamYnriyxgVHEcvg0IM4yEQ - VQmw1nIMEJniT7/6kfd98nfCFtu2HYbBzEhLKZMI4cl2wyZJPcLECBUAICDFUj80bWjaMKzSdtjd - Wp56071vefbZF1iKeYk2zASNZgSEy1RlvGFCu/XQ4+X+28xQajWhKEdSgA8HAK9DwQugN8QlQ2AB - FnQ86yIsAqwFVamHHqEAoTSredU0BWxTIkCT2mKEcT3CD6yDumlKK1IXLaL1FwHABBijr6/3u1Ua - gKbEpoSgApNCZIExKFFKMsnKUmwgKQ0/99X//IVHP13iYC0zzCBZx8aDrBvGUl+/CkyIJjZNz1IE - QRGXvGv2/O998f26CP0qG0NoG8uN9QFUMB+/C8xTzcyOcMx6c4OkrvNxa7bxrD6qrTl3eV3XdV13 - 4cKFtpn8zM/8zEc/+qcAYgxHtf7aZwFAsu/7rutUNaV0VOt3zjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc87d7Gga7LH9c0UToIZC0mzM7CAoJjBE - IlBCae+89ZlnT58tScUgCDQJEChrevdGjRA4nukpphq1jfPJ83bv+am//TOz1ekZtnVAIzCjqgVp - Y5ioIhsghuucfZAJaSAFE8bWBD1aQldxV2974Dvf8j13v2HSn5KhyUOSloXJeDz36wEaAAkIhKhC - s0L5zDufY9kiD0eYbZ6sgJrBrFzYP6dUswKAtBsohccdjRpQUtPHPLrbOeecc+5mpqUkkoqgFgWy - 053intwit73tdf/FbrnjTHdKV4gRy+UQSVXARKzGKNYQR2AM7a7hrpuun8DEELFJwjM5CPAGNEME - apCAditeXC4trrC9+OBn3v2+T717euvOKimUUSSlJGLGmt7dijZEBgclVDxm74Z3+a6sEaTlPBzB - FohNFvhlDTnZGE16kvpON4H1YAdVaamMCeubeM6rIF/3CJPMpkqUa9jE9SYmYoHaAGJEDrlImUwm - /Tzv8pZpf/rFd9z3im95XZi327IthhqdW0TrMFlQBEUwBBMAStg605R17MnGGG8xECrIhI6jCkQR - lFbzdEiTxSe//OCHPvVe3ekXqUy3z/T90nTFWFLpC6KiASDQgCJQwngDFC8TAII+INECEMDemHKx - ttvuh6KBfRgeyQ/9x//3f1lunc+xH4ZVNg0t6xkVI/MmsdeAmnZsqJdUqgA0slDqoan/2zRBoP2w - aELcitt6vvlbL3vbvc959XBRpu0ZKV2/n4O0TexSSkKua7xaggy1fjv246RH63FD8NdiXRuM1xEz - U9jJH4cVWANErS03ZpPBJBnNIFYribHpp2ACcz2jBCYowiTshStBL+iDDcFyo9oUxiKxNEEDACOs - VjKAUXUd423UTc1Tm5247tHdNS/caBRtRRuxaKRR0cmgpU9DCCHGqFoyh0Hmf/zx913Qr61kmViK - wSSwunS9rK8fYhoKZZ61EKcmW2Evfv99b94aTrc6EXaMjZqUlVmREAIDcZMVyY3NmDUA1byuqY4G - xUBdb8KAo6sInDuMerBUJjBJaquUYfJzP/dzf/RHH8oZITClclSbbZoGgJnlnEMIpRQRqT90zjnn - nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc865a2eA - sezNLzACUFUjjYTZ+AhAhAQsI1r7gmffE60pfRFGmJSsphQROyGpY2JiWUPhhLOwP7l7996ffOAf - to9tb+dJG7ctSsGQy9ISWwZGDLaO2/jmGR4fikWA61CPQwsIA9Sw6jFrYwRLSrNmOtPZZL71vS96 - y+te+sPcb7Zsq+m71qYhMDOflPwLMxMRyxoYNZVn3HoHswSt8+1rjUgZ442oRjVCYrg4v0BRVSUp - Iqr56XwP7ljahMl4dLdzzjnn3E1NREimZLPpbklZ09AxhGV49uzudzzwUzy/dUrOpH1EIoRQypiV - u85ZxOEwxRrmuknvNopRap/tsNqBaRqoQhXFkEpqt7Df72E777fnfvfDv/6pr/ynfXtsstOt0/U2 - W6kduQjE675r3LFRk2t1cxpQATMiaaKYWTGidnCubFDl0JPraSmGTX4wCYWZMOeaCW1mN0Aa6w1D - 6zDTZrAp51SPTkG5xlztJ2RIqwKKotRSxnjFmhFux21oiQXMMAECrDHE8a1QtyZTXViTplt65gde - 9cPP3X0h92NTYtRxOEmJUiO6x7hoAWDr4Mn1+MGhLjkPPQI5o2nQD2CEtWVfz+fZ/P0f/+2/ePTj - OrHQdiT7vu+6LpsaCROBEbqJS7/iccNjiTXNuIZtA0YhIAir1WqyM93HftrZ+9X3/KuH01fKZJXK - ykybhiRTUhIxxq8zRFPT0wutiBrGYVMxqJXYmBRIb3HVfedzv+v++36oXZ2Z2ildQdB0zVSzlZQC - 5QmjrTeUy55FZlbrBDPTdXavqj6xqF/r1mXcitlJGeH/JrAmc6cxn5sZAExokSZiEKigCIqRsFCD - apWqzEAGE1hUClj3iQBBLFIjTXjomguT2ooEoBxbmwBqbj0gfArSu+sWjdSW2tQt9mkZW+maSRlQ - htxtdX07/9SXH/yLL/+pbPUaU6HVJsjm0jO+Kat1pmq9UghXQ9+0iILVI/lb73zpvc97ebPogrYi - ERZMQ2RsQyS5udbcVDY7UAmjAboZs7araONdygybeuBxQ+FGeOvOPTVEpGT7hV/4hf/wH34DAIlS - LIQju2CklACoqohgXabqD51zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc+4IULP0FxbnQyOqVgOeGNaZuIoaMGEGTZTSPe9ZL0ARQQiMVoxKVQ3S - HE4XuvZIguuKJoKIhK1warraeeHp7/jx7/sHZ/Q5q8dyGdC0IYrmfoXCJq5z3a73SwJCkOUyCchB - 4vnZ973kb7/hpT8w7Xd3pmfLoOi5FbaGIUs8bulKl1EToFQ1MtJk0kxo8dazdzRsqXzSeBlCqRf3 - H0MoioIaSePcpdYBiNzklVxjtNlTxqO7nXPOOeeOWBAAIFpBtDLktBcsdejCfOfu0/e97Xt/Ol7Y - vX12OihUlRHKrDKoZKUqUASbAFFs0ruBTQaecQz5No5xsGIiishoCQhQYjFgsiVoMc9DP83Lrf1f - +d1f/NL+R/fKuWUatie7SKQRMJW+SDLroFNRipl42N6N7+AQG0QJHeOZrZRkVJOatXkN4w4cw0bx - +G6RAiilKAHhsR2guZkITHAwpGOAGZViqnlzgGqA7vVgVkgYFMdxqEXBQVmUMERYA+uojZi0Mezt - nw/B2tDE0p2VO9/x+r/37PYFs75rC8SgRBZkkcxoiLAWAJjBARzWcbZP2CDHh0haHnOqk0KRs8Cm - i0fsc+/+0K9d0Ef3FnuA7Gyfmu8vu3YCANRgGlRpAkghy8kfviIUQCgBFmriO7WjdpJ10snDy4f1 - bP8bH/7lTz76xynMU0oIYMMQpagaACKnEgPXtZlsFhoIFVMwlTDkkAujIYpGGAqRC7bbuGNbz2mf - 9+Nv+inb25Hh1LQ91S8HGmfdxErSnJo2mBVQAcPjh0ZP/HjLJrf78nX1mENvBihRjnCger3OuhVF - AezJB25PDhYwCXpID/ZETySiEEpATMSEAM2CKQ2waNYZWkMoCIWSBEmQRLMgCbJIEslsEpvCIBaD - Ck1ogRZqNj3WF/NLz87xv67nm1WBErlIUYEhiDZBI4FcViFaIw1zsERIeaj/3Ps/8btzOR+mZhHF - ECLNCq2YZhiMNQZclDCqSTYZLCJnTKJMcrtb7rj/3h/s0mwrbkFVVTWTFtoYgqColjH2+yZyaZz2 - ePyVui7Oeo3p2irQdaVnUEBr3+QJNaFzR80EJkpRihb80i/90q/8yr9VhQjMIJRSjux6EWOsQd2l - FAAiknNumuao1u+cc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnnLvJGbVIeWz+KKhqiFHMjHX+//X0/2aEIrCZyeyZZ5/ToA0MVkxMQghmFImbnBQ7 - 9oFQJKmMaCVxWqa3lFu/4/bXfv93/p07ume3uQkam6YJUCtZLFxFXInYoRwkApvgmieEddRniaLr - ZJEGCKKELdv5rme98Qe+/UfP4o6QgykKrAlxuTefdFtpwLGOpDmUGUEGM8IEkAZxZ7o7bbegBFUF - m9Or5rwDSiKV9NjeOWVhkDIGM3sIhbtBHONy65xzzjl3Mg3DQJNJ0y7390K03Z2Z5sEGDf102t/y - yr/xhjfd+1Z9pJ3qVkoWGjGBCYyqIauoEcp1gDeAdXo3DbQxZs8IYB2NPJLVMjcNgkCItsO816KQ - Dknsos5XW+f+/fv+py/3nx66vaWtKFFMaDCqEQBpIWhsCnmTRRveXKh48j6A0tQKuQ51JOy6JKVa - QTHCqIri6d3HwboeGeufGvOqluvIm12n88AMj88WPWaoNWrX6qCSRWoIGmlczuenTu1Y0JQHKSJ9 - e9fOC9/6mh/bWm1PhklTRAxFkIJmQaYYBCbj3j00DGeEiSqx3vNjhR8YA1iSTbtJ7qGK2QzztMxb - i8/vffr//PBvYndZYt5friazndxrMAtWWNOpLShCoRR5ksTlE0XGyO1oECCKBtHQNM18ON/eoe// - 1G9/8C/em2bzElZRQEEplnMBMJkEEa5WKnL5Ok8MRAbzeAgAQBQCgIpJQLNqtoZT7/zBf9AtdrfD - rSjtct7vzLYjZX//YhBMpo1qUcuHxqo327pxrqTf+CwaWyPXZai0rnSsLq7HBp46Cg7gACYxFais - B+uDISiCIlj9CWkSlEFrkjeBKFZT5yMgRoGJrWO5Aat1FA20GDSK1TZepMnhGkepRgXG8ObrfbOE - KEYzaiEAAYRGGqZdt1wuh1WadpN20pzrH/7zc5/4+EP/ySZpMKhiGECCpkSmWW0oG6JRjKpUI4pA - 2TcNdN/a+eS7XviaFz/7PqamDNbGJkqgkVmL9imtSilN194A9eHVsXozg6rrC/rminPtNo3Gr3M1 - P+El1x1jJr/6q7/6L/7Fv8wZAIgAQE2DhKPawjAMMUYAIlLTu2OMx7rt6pxzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecO1GUqiHvrfayZlWEEOqE - 6CR0nTRV50hvZdLJ9pnZWVokg2UTkabp6v+q6qWrBY5rjHeIbVaQbNhwae3Q7uYzr37xG179rfef - DXf2F7IVDS0osGJScBXp3d88GgJoSfuMU6e2Me+et/Ot73jDT+7m220uAc3e/sWmCwxoJ11alchO - Tki+m4hAjWYlFVpo2E2amRw6J2jrRJOabRIw5LS/uGAyIIiRxWwTS+FcdTiy4WTFN5yMcuucc87d - JB7XjBARb3eeRMJIWsnL2BZSV33P0Ig0nXRN38wWp17/4je/6lv/Vru6JeqkFM0KIxCAQK0BhyGC - VF4adkeAmciHflQ7xwoTQEIQU2iBKkwhBAktoLLpOI8Xvth/+pf/4Be/rJ9chqWSgiBFImdB2qyD - amrZButwQrp27upsju7jxhREAGpKfSmZNQH4SfJur04uiE0wgORyOQ+BqiWEI4vWc0dkTHXNWnS8 - KukmrfBokVTVPg0KA6mWDUe/lWtkVKOqJGUGNJhElajSxmZIq4SVNllEYoqz1fa33fqdP/SKH93p - b2n7iaikAm3QM5doWWpi7qEeeE2krpnHT7zUpxKsadCWlXaNQKEZjFhx0K3l//3pd/8/n/3tYbYo - DYYeLVvrl9NGRCRlIHZs2mSpWH7Cek+Y2goyRGFTsgSLWkoTQ4LqJP3Jl9/3Ox/5t+fxMLcIQDOo - qO0mEjkXVWtblFJqbPDjVq7U0DJliMAMybIFDDooJZJh0e6m237s9e983u49TdrJiUYJIWjJpqWJ - gdSivSGJADBQDXV0kTfDZVRVQ4xZFWJKKLTYUUZ3hwAQZoXkUHJoTn5UKotKr7JCjdnWhtoF7YI2 - VNKKsAiKFaWJsKO1UbVTnZh1hqgSs0gRKVFyDKzp1AoMIoNIT6yaQClgtqAMpUECigS2NNC4ueob - YTS7rjcWxrdMQIuoBi2i2dTMAkLQ0CC2IS6H/dSuHu4f+p0P/295d5mDagGAyQRaVNZl2YxBOtNQ - jJmWAQtAQIHqgLN6612Tu99075vbfrsNW8VyKRmaIhAFQjACQUo54efPVeF4O41t2+acQwiplBrf - fu0rF4HBYhTVUkohWcqTtuuOsnZwN6VSymw2Wy56IjA2SS1I8573vOfnf/6/H4bx/MpaDDBI1qsp - 75uOT4yRJMmc82Y0huTm9PYhGuecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzrmbBHnJ3NQ+T7W7PgxRv3buKwiIEcMqBYIBRREblKIikrUIg2Y89/a7 - sCKKiQkZAEn9ECXkPIjUQIpLVv0UZFNcKaVojIs8CIiiUSKULRGX9v33ve1VL3xgS06RYWGQKWxQ - SZArfhcHOTVWM4JqNPU6EF2ETYhWYAUArKCJsYvoL+S7d1/2jtf+9Ck702gUTs3CtAtqy4F9Qo6c - SInHOQL4cfEQpRRhDAiR0bLdevoWWlz/57iXNrtXFbGL5y48YtFUtc7bf+LzYtxRq5dCVQ0h1FCz - k3KSHN9y65xzzjl3cgkUTBxjaKWQBsn9MAttXDTdcvvNr3zH37jlpdtyC1NsiCYAQM4GocL6nA0C - g2HsvdEgNqa7jv041kdFfbTak4mArL9G/SJnAzAQtlsetc//j7/5Tx+VL+w153LIDHFY5tznaRuC - pOVycTLasO6a1MTg+uW6O0AzlJR6RTErZjUz9ShPBxGoKgBFyZayZT2i8Eh3JIyq3BwOBXRIq3qA - bD1ydJQjwFQjAaSUNmfacRxiNlHWAO8iyEQOiqAiJgBUTGvOvYYuTWernVfc/bpX3vPG6XAqDs2s - RSCGDDRaRIsoABg4jkvW0qcADFCuK/z1qKUYgoagEkoMOo5SSSPnVvt66uLvP/gbn3n0Y3m6YFRV - 3ZrO5vsXU9Z2a7rSsuhXTdO0sXnqd9jRyjkrhTEsVkPbTlJK063phdW5PJ1/NX3u137vX6bpBXZl - NfSqaK787S76Mt0CMhqiDdAyzGYT0RgWk+1824+89ifvOv0i22tnYUcVTRMEBub1UmuwUnO716vk - eAkea9cbc8iljo1uvjUrAIqmo1p/qbvVxjH9UspJGWL7RqwWeZqIRdGG2lADVaI0OQ+pZOmCBlvk - YeDQc9Vzv+dikGWJg0WzABUrosOwWi6XUG0kRko0NpDlfCHCruuiNGRopY0SUSCHrvXr83azXEdK - FBQzBRXB0FgdctdsDaPKgMnwmD70mx/4nx/Vh/Msl/U5RVsvAAiYDNkUlBgkUgLMoBmacPvOWZxr - 33TfD985fS5XzWrVs41GpSFYJo4spvoEkoCDGxiqakKj1THrI0DUddcV1uhukrWlN26UAB5/W865 - q9O27Xw+b9s2xtj3fQztBz7wgX/yT/6bo1p/0zRmJiI1sVtEzOzJouidc84555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPuqBiRJWUWMyPGeKB1ehRI - mJkwAhIQtrtTwVraic5D0UW/2D29k7XUfOhIWV7c326mul9e8/LX3Xr2zlWfYov9JXZn0y4c2Zut - 6UskcrKUchuki4FKkbi6mE+Hs2fsGe94wztvb++SPEEZY61pCmqRXKiAiMZjFYj+xFxzo42BEWY1 - X6YmcNOkDVPamKrDdShepawxMarMxbLRYLKOD7k5gz/cN2BmJytR6ETXm84555xzxxKzssiYFBgU - wRCVmEybfrGYIp5pzrSLrXe+5e8/a3b3Vr5lZrM8R8OAgtRb20YGFphRlIJLO7o8lGgIAFin7VIV - ojUudLNAxEBFlKYNYTngsXRhufXof/fv/vFn0//3WNy3yXR3ut1l0cWyFci2LMNg3tW5yRhrQDxW - q4VqPpTfDPIa+gtjfu34XQgopXbLdRh6tUIxo92smZrHlFKNWmO858u5UQ1qtj5GR5fAaTYmyA7D - KmsyaqmjfceKiSIAAhiYIH2wFEyDAhCFKGiE0gSIRZo8OYPnvem+t7/srldtD6cmOVqP2RSrXEyy - Uuv+qxHeB3X7+k2vR6BgHDNraaCRJrRYx6qs2GSGxzQ9pF/8tff/i6+WzwzteZU+93k23UUT5mlp - jYS2KSmL2hNHx06WrutSzqucTt16erHcC50s0jzewj+ff+Sf/8f/Ydnu7af9IIYMiYCM+3BU6zXI - Zcc9xBREO8OyR15hwiYUlARYQZLd8owfuPcdL3/B/Wfs2cxdLqVrJJclkNeBx+UgtJtqEIPUY6kc - A2tvCJeJPabJZoeSNMCIgjIMwxFt8YCZGTTnVNPBTzjCAqyBRSDAAjVSIy0CoSjjZAtdsyjLOZe2 - VYatYXWqn+8sL073LrYXLnQX9toLi+bislmWTuNWM9vektiWQcpSMHQo7alTp7L1e/O91bBUzTlr - yRYY6iETgxh0fYpe77NUa+uCQoCmJoNJyqJZNbJJqbdm6Gfnf/+j7/7Uox/tm2Wv9QaDiI7FafOa - EaJZTYtXVTWDKUQxYUjn7FXfcv+9z/meuGylADFYWBd5FiIboZCbM8BbRADURO2spd4ISbnf7Arl - eAGi4Sruq6mCRNJkYn3uTQyHGwzOHanFaoDEZjJdpdzE7sEHH/zZn/2HwzCeb+MFf3Q15T2lVO8a - 1vTuUsrJusHjnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555w7oZRaoEmzqgpCgKjCAArMECnFTETMDMqzO7eG0oh+/YgBBbQmPoiJHLucb2077i0u - DLQ4m2axRVqeOXPbcrkMk/7DH/3Dv374q6axbbsA7O0tj2bqeAMMWqAFUSQGUmFlXKh2enJreOT0 - f/XAP3r27AW78ayWRkXABCYgwgJoJuXYhl88MaJIqQXGIGZGhhr8Mp1OD/bnGHJ3+FfqkpP2Jiww - scus2bkTKj7dL8A555xz7sZCNRihinV08Tr8OOe8vT3rF32Z25lTZ75ycfH33/qz//o3fvHPvvLg - Hc+87WsXHu0mod2ZXNifx5Y0MyNNjKAJmJ9kc4Cpsm5L1xmlCqxD+IjppO37oSScOTPrl4uvrc6d - 3h3+zXv/2U+87h+vwnC7nJm1DdgNZRjCwNhoPoYdZneUOHaAOebcmYCFgvl8v4xJtDCDmRFXm+35 - hFNIRHLWEADhfLU0KgWWChGu4a2464AKqAj39vZIAiAvSUS85vWv/yWNKGWkUj8AACAASURBVKXk - nGukaH08ui0diQhTSGLNnaeAjULWZ7hiM/ZEFW2Huc1md/zQa3909UfzD3/x/dOdzICeQL0wrJ9c - 07v1oBDCIDQoFIQYjErLgACUQ6NuJdlsu8vWL1UfLV/6N7/1i//tj/y8FObcnmqnOS361M8mrSCW - VV9UKSe7MjcNMUa08tfnv7K9M7NYzi+/dm7vK//rH/7yV8vnSzNIZAADLSlC+ObD5cc92icEwfak - XV0cpMXprusv6o6eftWL73/tS35ALmw1upWslJy7SdP3PSTg8ClKBWBjNDiBWp0e/NexHTC9WnJJ - vu+hXUFylfqjKr1mIA9qnZRS/fERrf7poYChAaCQMLbQtL6lEONevweUuBUyU69La3IO/ae++KkL - y8cuPHZ+sVgA2JpsbU93Z83WLbu33Hb6zrNbt0TpKKGxCQyqeb58bNp10+kkJ2jREBsAOQ8iYL3a - E/IUnpSmJEIAYVZUFVZMhEiF7Mo8PPInn/vQH3z893g2SydlBePm7Dp4jQrQCJhEKdaXghgRKQGT - uAjPmj7/gft+qBt2y8JijGhkKDmAAQZoXaERNBht3U6+iZgZQLBoIQNK+f/Zu9Mgy9L6vvO/3/95 - zrn35s3KWpqGBgSNRIMwiwSNwEJi0wpCCxaSZ5DAY2kcsjUT88KyHZ6Yl4p541FMWDMeWbaF0Iwl - OWwtWCwCJIt9aYEkEDRqlqZ36LW2XO52znme/39ePOfczOyqRmRT1VTB/xMVVVmVmfee5TnPWTKi - vl3K2dhPldy/GHyUm4VkViOZUlqfxMsUWNrwX/Wc7NzfYjqdLharGOvU6T333PZP/skvzmarGCWl - SzOlVVVVhrGqioiqAmjbtq7rS/L6zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84590iSpWzJlIG00gMxlOYMSVNDgGYz48nNU9TAqzwuFok2t3G8 - MU9NTqvjp7bO7D3IE/rxz37oT296uxxHHIXF3mJSC1VDCBl6SWobJHJCqFBJldAhA8QkbqCVup2+ - 8Qf+0TO3vqPujjVtR1QUiCn75FCEKUyMqpKu3BYD11upL3QMTSgxNTGOq5HYwU3Z1+7E+swEgIwu - 5eaxXW7nHgue7nbOOeecu/QMArDcfoipEmJYtanerC1CKiz25tcee9xqufjZV/6jt35o9IX7bz42 - PiWRu7NzVYCZqSHQMARiDQLTdfJWhn6lstRC1aiAwPoOH22dFNW2bavAMePOmcXJx03avJzH1d78 - zv/3Pf/69a/4uc1TL17tVMfHm1LFVTffGAXLvMr7mO4r4SOlK8nFcm7IEDOAhH3NDxxoKB1i7fuR - ICGC2Wy3ZEzzo85FukvF5MBDk30k9/Z25LJ11RUWKCSVWK1WHNFooF5hnU8pJWaaCBRQIzLVKArA - JJiYKs0Ay1RQo4xSm7bk2p982c/svXP7rt1bl3kuo661bARsXe8uT50U5cGeCUysj3SnMrGLKSzB - okKtn+pREYudppoGEe7NZ5Xd/eZ3/Zt/8MO/eM01Tzu3e47k5sYoNY2ZjKpxSunrufEuAemSWZBO - d6tN2223tep2x/f+x7f/29t2b9l84uiB07PjJ6t22TEi1lg1qAIwFJ4faSTJgalNFXUl2qFitVFt - NLt5K2++8jte/ZobXxd2N6SbxGrc5XlVS9cuR3VlSY3lhcubrCcwavm4f9dvkGK3Hlqdh8/WqkqB - UUt6vm3bS/W+JEgQ/WZuulYJCK/y7SqwCJSVUjAbDMxKdGbHHjfdbs/PsB2P6Z0PfP4jH3z/5+// - zHw0X4UlVSkmoICSycSYq42w9bjpE65/wg3PeMqzv+XxT9scHRcNdZJF7qhtDKMQJlkz8jBgDy7H - gefdl3FtTbJlERGImQHJYCKgaK7TkufumN3ye+//3bS12m736rquY0AWhaJMgADKCDQxM6MCHUyr - gFGIXVPFZnqNXfuTL/2ZE+Ha2I0mk3rZNppzzllCbQYjyugsm/6brto9XHetkey6zpAP/NPX+hZk - ubSjqqaURMo9i3OX3ny+3Jhszmfzvb29N7zhjdvbeyJISS/VeOu6DoCZjUajvb29EvD2brdzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+4x0GnO - pgajCWG03P9P7AYKzRSlQaA2rifoczR9w+PC1M+hfyjts4vFib5eBGBn43qCmOer1WQ03l7N5IR+ - +r5PvOuv/3ixuW3TnHI3jjEvbVSPsuVLFUKIEhQZGV1uxSRKlbNFG8XF5NUvfu13P+0VtltrDpPJ - eD6f16yoEVSlAgh5BIhKh8PtmyuDHIxyDENCzUykIszMTAmAJCkHvusQNRigyF1uwVLfu9LW1H39 - kcRQRXlYG+UK5+lu55xzzrlLymQ/iEgIFEAwAIgxLrtWamnyalTV7CSv6htO/Z3Xfd8b/tMfr+6f - famZzbLm0cmQkLsOJECFlU63KLXc1QQF7NA9binCAgoeTBMOb60QQjVtbtZnzi03NiVBZSM/tLjz - d9/z62eee/8PfOdrVrlqd7uN0Tha0Csrnesut/4W15Dni0V51FJKn2aXIOu4ZtYHRCk4f/4saSZm - yH5LcmVYP+nQPrtJ3d3dRWnoggRU7dIWOY0gQHI2m2EEM7uE4+3SYanNEiIGpUAORJt1/xhJATBl - TMyo8+YJPukXfuyXfvPtv377zmcX7XbYsLSeXA2EGgCCpeRtoojrib18mQIYHnaCCkIMJOoKXQsl - 4lh2l7u3bv/NH970uz/x3W88tXndhk5t1YUcAgMoIVSKfFU/wzILMFRV1YQZxu19e3e8+a3/1448 - NL62uvfs+ZPX1MtVGwLMAGWMdoQRSgAYV5JazW27Nd5qznfjdPwHX/RjL3/uDx3Ta1PHST1dLpej - UW1sNScJkm2o2R9+pqyHHkHqgW3+jRkLNjOIHXz4RbJtW3zNj9ofdgGiQCy9YevnpfJM/2pnFDUV - qlKNqkHv330gnsDp2Zfe/ba3fvbuv+a0azeWizhvpVMFABEEQgDJqFkt293d1el7br/tI59/34np - tc971ne+4NtvfPLkW6o8DRk5MyRQY0AIQpiJQUmWp5aAmAy76jLODwKICREUSsRAEJqka+Lu6Xzv - m97677rji1VY5Q5Us2T742c9ssplQxDrkiFRUUUwMc/jCKd+8IU//vynfnf3IEMUEUkpxbqupDKV - TMBkeEFFmWm/yZgZyXL1VR5bN03DC1vuj5YIzHDwxVE+fkza8O6bTZCq67rlcvn61//M9vauGQgR - Il+iH0mW8LyqrlYrAGZW6t2X5MWdc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPukRiRtMvIJTFgsHU6SoiMPj1Q4guVRLnayx0mMNAsazfZqMnc - cvbA4va33/SHZ3G62+hmqyyC6UY1Wy3bZAAQ/rbX/OrknCMRJXStioTA2LRLqrziOT/8Qy/4ie68 - sOV0emw+m29NJ6tFI4wKgSkgsAo0oAWt9IwuzTJdUgdaJP0mM8tCUVWSxtB13cFuhZgQAmiWPoFn - hELb1FBEjZeyXubc19uVeNA655xzzl3NBFYZKoMYFchEDmZBUVVVh7Ri0ggF2fIaOaUPpieOnvIP - X/ePn7x1fWyrJ5x4fDPLNIRQ7jt0eE1BCcYaYKDJwwKlxnXwUgEV09IRpWEjhG5p1Sh2YozIGoKJ - aYe6nY3OvP1v/stvffjX7053VteMbcGwVwX1lPI3D2IYPDnnxWKhmgBQ+nr3o0480iD9oO3vOMz6 - yqOqnj9/3pDNMmngN19O88q1nz9U1dlsZgfivGKXMn1ahlYJi+7t7dn6Cd8VxwSgiWiAVYaQRLKo - UoEUDDEjGAAksTZ2jW6HmMIqHsvXXaPf9vOv/qdPHn/7NeNvCVr3L0co+0h36XaXb4cRCAYpx6MR - JuVXMklGVSILQhVywma9YStLyyCjjZ1670O3v//dn/7De+e3GhpZ2UauJ4jaZb36jy0RqevRYt4u - 0+rO8ze/+Y9/9TS+tKj35qudY8dktWohCJNxEi6XNq5HB7/XKMZDTzwuHMO51Ril2qiWbVfb1itf - 8Jofu/Hvn+qetDzTTiebGV2yVRSgy6NY5TbTBIhlZlOsf/X/cvCpqPQPeq/IQf01W58a1gcyoF3X - fS2veTDaXaYdA0A1s5TSFTo9HI2CLdiCCQAQFGJAlm6Bc5vX4W/u/ujv/PGvffa+m9LJ3Z3qwRm2 - JXUbCZuUTQljkWDICU3GyroVV8t62W4tVlvbX2o//+5P//6v/8GvvO2Db7n9gVvTqMtVs7KVjFiN - Ykp9Uv1hg/9yPw0kEC2IWgfNxmDjykbMtkq7d+984c1v+7ftyeWD7WkNduLEZLHXVUJQTbRMgEoo - y0WvECa0/ro4Izd2rL7mxmd+z0uf/SPYGU+qCaDzZSOhEjCEAMAoGVVmpVQwf3Nea5jZOjwsIqVJ - fAlTxCRUsb5ObJrmUr2ycxeq63p7e/vnf/5/vOuuL+dsMYqaavkJ5aWYz1R1/XvbtuXwKX91zjnn - nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc865yyrn - XBJBpjQzEkpkA0lVBdWQRYQUqgQGAqA+4q8DrshUraQUK5mGJtZZ5+mh5eT0b7z91+5p71rVyxx1 - ehyosNMs4waqKpQOxSVR/gd6kiEEkZizbozGz/m27/zxG39qsnM8m8k0rlaLSazTPE1kBCqgohPR - kRIlgQGkS7U8l51YNjVhUoWQZNM0tt8ju/joMMs558dsGZ17zHiX0TnnnHPukhMYwIx1XtsISNIu - xrjsVhvTSVo2qeniaHOzOtbt5sef/JY3/vg/ftsH/vMn775p68R00c0Z+u9TSQdroOw7r1qq4Mb+ - PZSQEoPF/gdF2+bptJ63rQrG41FKSYhAIFiHZUL+q7s+srM3f80LX3vjk75rdX634gQWHh4cNSFg - w/2S7r++yjqoaTIsiRz8ggOvUuKRX+0tOYFHLC1ScbHXEYMSAuiwCcRAaLCy8AIQpimoShbry5lH - u9UbVq2vYBrQbxBBHx89uMp9ulVpRjUEGnigUGrls4CA5QUzyyW69r9TaUPT9OBI2H+DozEAhBqM - 67GjWVKn7TIvsoHWfw0eVba7rIUCWRBM+11BmEICckZG3unOdLKKNhGNAJQq+2snw/pevJanF1uq - C5PSXCfDr/J0rlLw8BUc1oiHDj3s77ESMtSLbZiDG1AACEQJsCNUIOURWhY0aBbd3KBQWDASWoLu - RxpwBgCi0IN7wQCCNBpVM0Vn7XYbVqEbBVSKdPjoXn9wkf14cDB8xay40MpL6RHHg5ZpSAwoG2p/ - dlUANAUAI2gKGsFoppmpmsTNxYyb8fH/y8/88//7D/7VXtrOkrIkWx9ZNswe/RuZUcG+EikYNvXB - bW5InYUQm0UzijWq0OZ2ldtT1x5736ffiZQnz5lcf+rp7d7CUsVqpKrkI8yWh/bIRebSck7pzyyP - hPtNywOH8FfUf4tIv0cwjFVcuIuzJA1pN8/leL7lrk/9wYd/4zwf0M2uZVtRmk6rSiRWs9mqrmVr - q97ZaUYTAIcaves9vh4DwP65MphIW1sKU5x85Y2v/r5nv0r2Nkdpc2s6mc/2EHDy1LG9nd1KgiAE - kQOLuF7sYa0f4ax0ZSlbwy48lctwgl6PDQPYn6+HEatELtuARgNyFg2ZhDCLdnbkcG/ZUWLDlYwA - BAFmCJENZqbosjZmZckMw5jkwa1NxZD6vnDt5IKhpYcf/u6v9WXfgwbAqAoNWoFZpUtxHk52b/vo - Wz568/t39EzaaFLoLCoUMZMmmqyzXGrWISAEpIRqRGOetXsGjI5FgnvL8x++7QOfvv0z3379c1/y - /Jd/27XPnM/Tsgmb402kfq/J/mGm6wOQVg4TeaTT7iMatvZ6aixTfvmkGILRzGCqlCxq0ix1e9se - +v33/PZ9zV3z8Wy0VbVtm+d5OhXVbOxfjcPlZj81aAITIkQROsR284Zrn/Pq73rtuN2sdcO0iVVs - m3Z6bHN3vh3rinbRR5288o7QA2vJck7Zv9Jeb0nu75f+AyNoYrjwOvnQCmpgDMIEQSBhlpu8PPgi - 6A+lR78CWREoRkCsTU1GqqBEEEOmGMu0oQIdlkwA1QPXeBc9d+j6dsY5AIBS5nt7//Jf/q+f+czn - AJBISQEECUkvzUCpqmo2m5UfPIcQRCTnfAl/BOucc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHOPRFUBBFpGBkAGMiMDBNUQYGYiQtLMRKIph1LY - kAO4SL0IKP///2WPNQhNjKpUo5bkxH46aviafqmoMIlSiUSpbWm7abr3O2//D2dxf7uxUumy2WKG - cY2qQrtEyl0dqiMvERVDGWeocgBEVUtaqeUsCJLFlvFpT/j2177k9cfS47is60kQkRCpTdqoN5pm - iYih61GiHodTNVeWUh4RINKEJchmUFNIrWoihLBJLWi2X4Xg+mNFH3FTwqxENFiK8s5d1FU3PDzd - 7Zxzzl2hSJAkebVdXTgVdH2UzggEA7IMt4JZx1LrshNIGI1n1hB2HMdmZ/aeevIZP/nSf1jVxz5x - 50fqDdGwaqwbj2W1UlOta6hCE6IEDqVpA3LfipZDDTwCBgUMNYhQaZuSCEho7oRQKAmCmqyiYaP9 - 4rlP/PaH7vrC8777lc991cnZ06ZpK8NUUwgsN9khBGRJCjWYEASESZWmUexwKlj0Ybk9ah/UhNGg - UDHBkKx+GBkykBg63P3dOw+3Xc0OfLccrEXSOgOgERIAECnABNREkbFa6NgudZdjCzlLAuuj3M0e - 6Hb3aUkbauWQdUkdw/02mMRMTLNoNgsQMUIB6vC8oNyiC0szmwKrFCJIYCYUZgJVlO2gB6OY5a8H - H3D8rVliBSiEoOuSZoxHFWBdalAlHett999RxXqZRSa6WGISoZaPlPIUQ1QYkAVZNEOljAlDqCbL - ZRNqzTGda+59YHHns449katR5sokq4lAqbE0fbUf2IeTz316Gfvb4VCiVfueKwATMSlHgl7N9W6l - lFG23vF9YpMlQW19WZlanjf1o4IG639XDltyqLQeODYFQIcoSJWoamfKqpq0ElZc7cr8y9v3yMlc - EZmwgNSirmDdEerdYsODMFEj+rqiCQ0paxW0itS0um92+0q2j8mTqRWQlCZQmNDkYQ+bhlEx/HXY - uTS5oOneP6sqyyAaaAJqlu5I40FM1+/Yb3vrVw0H5nZAo4qZGaJYqGKtXaqt3tBNW6z+p7//T//9 - 2371wXT3dnoIlSqghhFj1qQByaCmDCuYJFOhBKHlDAPXDW8DbKyg0kBIgCIjd8I0HmHV7U2unbz3 - lnec3T39ky97/VNOPiMujoWGdRipJTMVQBWqWtd1CGGxmscYdP9QUtnf1NqvLKFQ4yNOAAJoyY2X - bxuiwP0cfkFWGYBRTZKawiqwYpacLTBUVQ3mrmuMWo9iUm3bNoSqC6v55PxytPOBj73ng5/8M9tc - yFh2l209ZcoaAlShbTeqBdCmaUaTfk8FW8doVQVKaIetzfFqb5UTptOYc1omjAKrrprY8XHe+q4b - Xv7q7/ipU7hueS6NNjdT29R1rUyz5TxUwYxZCYRhaA2V6/2RNrDhIeljlII+CmoZxdp3mi+IBFMV - KjAw0dQosBGBkAFaJgB0QZUmaTmtJszMjY7G43me6ySfXZ7Lj2qyDQojWopRIYiKCqAyB8k5h5Ge - OX/fdHoszRVVQH+pIVLOmwAAI/pTKmxdlF9fHSmsP0FQFTI875X11UW/1ri8/XUxUWgIIUEtI6UU - K2ZdpI29D9zx3j/94jtm2KlPxFlaBZGQEMlEA3NfaOb+bBAFls2GGShZEoOObF49NOfsgfvu/eSX - P3bj0178iuf/0LeeuqGZzSpWUQNhJAExJAXJSnLZRjRSQVU1Icl1MhsHTj1GoJ9pdfjH/kqMagyx - vC7AEKIpLXXBAAmM1SyvdnUvHtcvPPCZP3zfbz+Y7mknS4spZw0iKDuJYhLEtF3mjRFjQNtaCMxU - swxB02IiQZqNp4ye8bMvfuNT9VvYRmgiQtvlWIemWY7iSPuro37mEQNIeyx+FHRUUs7LAoCp3O8J - oPuDc7jeA/ppZz2vGcS0XAAoD14OrdeRRrPKFt1qLFXOXQ6pxaLJq6SpfxEC5RJi//r5KItvUCAp - YhUhbLRZ5nnGCpyIjhQhi2VRQQpmVQaVmZIpIIxpfSWhQH/GH2YkHa6ller17m9g5Tph+Anl/rkj - hNB1Xazr+Xw+mUxzzqPRZLa3+KVf+mc3/fnHDRARMwNhZknzo3hrEel/8BxCzjnGmFJq23b92fWS - fG2r6JxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - OeeuemaoqgoASc0aQvCUmLscqjgxI2maczWpWzVmmikNIUBhFKSsqjmppWy11QqC2aiKkrwSWCAg - ZmBSGqgGUejQJrhcaBJylUVT1ZhYUIgilMaCsNHEqiYCzQSSbEVrBWG+msnxbh523nPzn3zm/k/p - NW1rM9Bo2BAgQTtEAhEJmXaUHkqp7bA0PiIAmmpIBFKjowCoVYhI9bXjp/0PL/lnT8lPR1sxMiSx - bFAycpkbVECJM7ADRFBy4AG44hIYAgVLdaWCjsgUOAMSWKmELmVKpCDn3HYLk1apVrp6hjYCRDSE - kh0JEBERoQFZEcQs8wqtlbuvpxKPaJqGxNVyZvRx7Jxzzjl3yamYikFMYAITGwp1pWFckpMGZKoR - kWGrOm574brR9a992Rte/pwfrecnsDfakNFsV4OgGiMlpARLCBRjqVSWFxRapCFo334uWUcljGKl - Dz30btnnDBWAAap9wlOla+Lefc0d7//Cu970rv/nQdy1G8/k0RIjMyIn5gRkLJsmhDAa1yIEVAR1 - lNJcFBOxIZzbR4X3u4+0PugdlaGkqgcXvRjlsKGAC+/b5cBXXfjvAiAnk1jH8YZROu3MMizl1Igp - LCezTOtiu5IG0UYxXCwg/pWsl82IXKrG6+ohtP9lIFD2CA2glX1RNlJQkYMR4ItvCaWpmB78xHob - X9BoPMLid0klVCIyqmsqm+WSpNRYYO/M4nSHrEBKCAKUNX14Efkr6Ve5LGOp/7LPnK/mbaxGsRq1 - 1qxkdzefO3/+HKxsgkO7YN1gVuqBod4fOzRdb1ii/zVULRXsP9C+bH21RrsHur9qB8ZLX7UEcWBz - wUQhSlFQifL7+lPrzDD7Xmf/mCjnLoSQcyuap/XGfL7oug41Hty9N9WNIkOBkgLnUY8VAIfa233b - 3gQmMTJlM7OM1YN7Xw5b1miz7iauy7uHf/Vboz8GTWiyHgPDCCm/dH/TrRuuX8NgWM/hODADrP+9 - rJQYaKSVXaCABkOtYZKnm+2Jn/uRX3jqxjPGi61xmlYWgjBbAmECBoQIEUpApFAtt3l9HA1vfLBN - roAaE5g4TJKzbrnx+NGt5275rXf/xu17ty4nu8uw13E5GlcCMWMd4iiOtEttu6rrWgnrj69+s7Dv - rA+/TIwCiLH/4OG/TGCkSR9ZL53kC54MGnW9lRRQC7Bo5YurMB7XsULWrus6AJPJpOm6ZbOsJhHj - bNP0ULrnd979pvd++o/z5nzXtnebvcmxYOi3zjAn7B8aB/cOoOvZY3Maz55ZkZhOq9UqpYQYEa2q - 8xQ74WV/5/t/4qU/vdFsNWfTyc1ruqZP24J9mdgIhSjKie4rDKQDnzK50p6TYh2eX//1Yp8F0GeD - TdYNXRrFxCBl5KCSNreWNJhEC6Rl6c7NT6scuaJKgwxvvR7kQlgGMimS0Szb2e7sPPvz9f5GLqcY - Fe273f0K9OM4qNCEJmKUMlcMMyG/TnuHylTq1mKhiqFCmOptD37hz/7qXefsTDwpXUw5QoU5A/2F - 4jBrf0VKaEhhMy3jdj42647tfeyO9//a7/2r//y+N9/b3rra3Gs2Fl2dUyUWBRLIIIZqVJPstEua - AUUQ0sjhDFMM24rDVdmFG06q2HVNm1Nd17Gu2tS2qQlVyMhS2RLzPF1UT0ofvfM9v/nuX7ur+eJy - vJvC0qjlupQ2vEvWGONohKy2WpkqKFBTo5GoLE66Uyfyk/7ey3/2qceexjmDXmQnrs8C5dfBVbhi - laOgdIwBDNPvBfqzIDGc38vvtn+61GFKN0AzckrJsohVOed6Y3J6+6Es6eBBVPLJj277mGEyicum - VYABO3vbRpiRKqIiAKhZTKkwHr4eEAAHL1KIck5/FEvhvtGsVquqqubz+dbWlojknOfz+S//8i9/ - 9KaPrVYtyRCCmZUP1pntR2E0GuWcAeSc19efzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc8459xijSRWqSAGVAaqqqmQgA5R9yYAIhIh02iFIafUA - Cpixr2T3NZkDxRulGsW+iuzF10yA0gRRMOkQLzNjHWqSSbs2t0k7gYQQrerClu7h7F/d/ufv+cs/ - qR4X9tptRsMQuioZnT6pcPRg0oGuDXCg+RUjYozNUqONj+k1r3vFG544elrdHBOLBohJCWHYgeDO - sABD6+EKTGBQAaNhqNRJ6SgFM1gGICIQ5txl5MVqZpb2Y3a0Erwo24qlgmEWQhSglOnIyz56nHts - xK/3AjjnnHPOfVNT6l47H0/HQdnM7eT0ST/8/J+ajrY+dPM7zp6/dIBixAAAIABJREFUbWsLHZAT - JLCKlVRo2pYRxnKLFsVEUNKFOvSSY/mDVEgCAF68yWcGIcu9TV3XiFg0iztmt/7qf/3ff/BFP/ri - Z750Mz0uNJub4biE0DTNsePTvcVOatpRFWOgttnMqlBTAyAKCFUJWgkHGkAaQkmVK4JFMSjRBVUq - bEgyH14q9ve6fSfyglj1xUrSJvtfRRlvHttbLbp2Nq5ijFHzStnWI9HcmKlZ0towzi2XKy6X1thR - bu5E+75gpqgc6IyaiqVg/d27rVdDR3LoDRQI/XpcUMU2AkggpKSaDeUGXCEwGkQxFOoouX+FIUt8 - 4ba52E4XIIS6XaVJrC2jnbcbk2MY6dnl+XP24PnVA3ncMCIlVALJKFnyr/7pSR+PvNinYpRsSVNH - gBG333PrDU9/kdSwDhxGwboiXJLbNvSqS3W1PMuICtAM66/s/xyeBKmVAU/k8rIWvtqlv/IIVNmh - FJHJIaiMcsStn2IoRCBl92eGMmzWw6N/LAUE6/9K9HndTLKq1FY1QWNe5WPjrUXInc7vuPdzWi2y - WEagjjTlWhokHOl4KS72LUKqmcWKudEHTt+3zLNpfVIiVQ+NgQMPv/YbraXzWvar5P5r9rvmVNAI - NUCZlSKWM1iepl3uMKhSABNJMBVAiJHW0p3YODb52Vf+wrs/9tab7/nEarTT1Uutm6ZBzJD+wZoR - MQjV1JA4TOhKmEUTqKwABO1LqzzwWFAJqbC73KvzZGd15s2//+9e+4qfftEzv2c569q98bF4HEBK - XelcZuScM2Q9RTxsGgqyjij3x45hf6I+9CxSDj0NvGAvDMtmQ1yWFqlVEDGkrE3WFWkBLAnw0Wg0 - n82sQrVZtbGdtTs3f/4T77n5HV+e3y7jbCPrVh0rMOhqgXF9cJnL4FcxBEWZKlV0Pf7F0O6la4+N - upxThypsqKqlBo1s4dof+cHXvuCZ3111dW3VeLyhbUNTgAei3RCVC05WVx+aiJUzi/TPOg9NpCp9 - oVlAhQmoGtQMhIiJ9icvpVkMEY0FmdCYTI1mYbk7O33Up9VaZv8LDst+GqCCqgAq7q62T1RPCpnr - XZNFlaJU7X8G0O/roAxanmVLJo2BZlg/lCfI/ngra42hRX3okuPyEJGUU0RQM9XUSXe2PX3TzR96 - 4PR9sikkm6YJtcQY8yobjtZBFwNnOgLEVi00bsSVLf/8gXd94h0fvPGZ3/MdT3/JDY9/niwpi3is - 3hxBlsvFotmZTMfVZNR1TZuack2Yco4hoLSj938OEQElh9kKAJCp5Scxbe6qyUhVd5vdEMJkc2Km - y9V8ujk+PT+NE3lvvPOW9/3eR2557+haKJddbsH+Rx2iAEQhNAi6nFoFJKIKIiKsxFatCqbVFGeq - 2Jz4xZ/650/e/FaFaFRaP2ivTnpgXhWYopxByuAEpIxPaKbSDEBmMMj6kg8HplwgrT8q18QK0Bil - iloDSKEza8/v7VrUHDoQwRDKxSYlDRPmEeLZ5RqeSgGYQgiz2YJaR45pgRCxRpAVCZDMGPqTi/XX - /6RCZCjF9+cdgND1OUi85P0NzXjx88VkMtnb29s6cSrnPJ8vR6PRr/wf/+db3vJHKQNAjDGlBGB9 - ufgoqGoIoWkaACGE8oLOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc84555xzzjn39VLHKAhmJoJsSSGBJM0sm5XmC4yA2Hw5K00psRL1SEP1QwGCUNjQNSjl - pkdbv/6qGTVLAlBliSYqCdQUhAZmIYNqY+hYCUxCNyJtWc1nPHfX9u1ve+8f6TTN290sCITuN0RE - TJR6hIzCwUUCCASDIpXEmJgAaoblKm1tbeJ89RMv/dnveNKLZEGLjSVe1YmEkrkwQqGGdkhTURVC - E0qH1GlK6Hbn5zXkPovUZ0f2icAyqaxjhQQRUU0SxC7j8HFXMTMzu5qOHE93O+ecc859PRkx2tra - 3dsbjUYbYTzf6R5/8ok/8LzXnJhO3/3x/7TIp7fb86GGwZZdW9dEhBJKBAOhwSDog5TKPkCpEEDF - tL/nfYSr0xgFgKm2KQeEwBiqgKhnm/v/4CO/86nPffL7nv+a51//klljeS6bk+n2bKcexXocYRmp - iwRVrFOyNhI0GkJJY/ZvaTQMWT7JlPV9eR+tPhDnWyf6yqfKX40CKKiH1+CCTON++02VMp/PR6Px - dFSpqloHBjVZWoo15s1cx9Gm+Y4zt3zqzpv+4ot/sQyrR0rHXVR/I80+agmDcYhHc39TUxEgsCga - acKhTdiv/fodjQSsrLuVlTWyGV4F2qdzxfok8H6hfd27JtT4sNriRUuW/T8KY9ctqio2TVNzNKpG - 51dnMLUv3vG5Js4sthCLKlBIVhL5iClTG7ZD+XP9WKGqqq5rQIgAUW+57W/+3t8d7ZzdrlkFFRhh - F43jGvq6qgDK8rey3SAAwhBbVYKAldzsUP6miYAXrZhfJaw/wCmwCGjf7S4H+/qQMekDquV7QML6 - sPf6laj993AowkMEZjQ1DYw0axo9tjk9M/tydTzfed8tOa46ZmUUGyHnipZyK+EIKXelSinzDt9S - QuzrpSyd5Xnau/OBO5996rputQpGGstqYj8juj+XsSz8MGMMQ+LwViPEJFPFoNSS0wYzjJe589oX - kbNo6bDSEC0yy+o8rt+84b9/2c8d+9iJj3zhz1Rz0qaeQFuQAMSSGBgCg8CGJ1jGfs36kDkBQmx/ - D1g/8wOARTBi2c4s4i3v+5177rvtB178micc/9acUk5JhDHEnE2TVFWVtbNhPukPUuowNQuGQ/Hh - T8gO/42G/hvMlCJDLV7X0+HB2bX0kjWokYIQCCIQNIYQqNV8Pq+OjZZYtGF5vjv9wU++969v+4uH - untlmlppc25Gm7G1NF/aeGOdqe0XVYfNIRoBqPQLQEAUwVDF2HXdvNHxqA4MaLgZTk7s+Gte8rob - b/jeE+HEaqcTE5qm1NV13SFhqBSXWV6OFLW9cpVHQOXQ2A/ACxQm7GfaUmSnQpQNiKCiVgGRqiGb - hcwEIet6lDrtcqO1trmdr+YYH3mByvWMEQKYCcvjfkUIAoEZQFRjObM4fd3xxYijoNV6zl/vECsh - aCsTnAy/1ruMMCHEqLT12NZQzuDrrwEu98lCRCQBSqhmaJbmc/fecss9N0+2RgipbVeWLUCopgRF - 8lGePYuiDhRYNiRtG2spCAFVtfjwne/769s/89ynvvhlz/uB6695+u7u2diGE1snpKGZNU1jWYNI - VUUAFUXLZVff7S51dXK4tlTKcAoum0vqKmbtzKyua9W8WO4BKhHnsWhPrb48v/1dH/ijmx/4BB/X - nUl7EASBlG53f0bqz2B1kCbnEpfvsmpSZmRFMNgyntQnvv6Hfv5JG884Lqd2ZzuT0Qa6S7+PHlNM - 5QoZgJZjc+h299H6/gKgH5fDGWE4VA9c/Q4D19bTlBA52UY9labKOcfR+Nzq9Kybd5LKj6yCISqo - okQKWo7Eoy0+0TQ62YAuO5V2Z7ZjhBqjRQBBxbTLAkAUFSGCJFDdvz5cXxuLEmLaX7JQ+41y8atZ - 9w2ubdsTx0/Nl8uUdDKZ/MZ/+M03ven/A1BVoety13UASAIwMxF5dA1vkiEEVV2tVm3b1nV9SVfC - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn - jkAQRMTMGKAZBu3/Q38hYAbAoAojdufnjBlMoAyZDS21MlCGJtHwska1dYbmcta7JdMQDMjMkCya - RWFBVAIk5yxRQgXtzIydtrt2dhsP/u47fkunbd7oOm3CCHld/rJSTilJHdGjxMUAYChi7Od+SsDa - xCxNxxvpvLz6BT/y0md9n54LUkE1CcLVm0goKRbdL7lkICkgJmIiJWEuXEqzSHuzZhfTvP5epR4c - GCSoRkodR9aZiORsvLqz5u6yu4ri3Z7uds4555z7elJE1VEIqjmPxI5V0uwuptX0Zc981RNOXPcH - 7/2PyLev0s48r6ZTrFpjDGoBUCAJVKg0GKAQA7KgFE2DgYaQI4BMXXdwD97q5KHtHYLknDUrlSFU - VqHaHH1h79NffO/nvvP6G7/vxlffcM2z58v5ZHMrN9oljYGRFbKKMYZxY8gUGgQUFUBLmQ+AEZmS - RYzMw01UNCP6XDcOpGfXNdrydVo+JJTJaAcbxBfZili3N/O0rlPbddaxigZJVhkgVZhzV06l+/Y+ - /xef+MjHb73pbDq9kAXH++3Sr4YRqV+QRCCaig4rQiQBIFQJQFAJKlUmD6VAVSkPv8/u05jrhGoC - DBYUEZBSx+yL6P39vAIgVAlABXkdSL5gLx/4R+s3d9eljXqaljlanE6O7a52lpjH43bznZ+0cUps - AIshapMFkbBcxtdRrHflwdJt27YhkiPmla24Or33wP2zL23i2prX0IJYpMmwly2YGJMOoUqlCXIm - aBRbVyxl/S595d1KvjuAZsOYuKA9fJXZ34a2n0WHycEDwoZeMgAeLmvvV5nL8Yh10LukeZHa1biu - c1LJMh5t7i3mnS06PX//+bu7uMzBlLXkKMZgleU2h6MtfxmsZeHXjVIAZhYCmoSaKW7Gv7nj09/6 - +GcrdSNsxlSte7H7j17WhV0qTIxZkQHKoa2xrpeWP0LJUdtwhBKiB1/zMhAENQOyUiEIJsystY4Y - YWEG/uiLXrd1fPOdf/WWhDZMrA0tBCGNxAJzYlYgqQAGZT83kqlkbql9vfvQMDCAWCXdGEcIZt1O - J01Vh0/d9+G73/nF17zkDU89+fRj0y1bsU6jEcbRIhZWh5FSjTDJChg1UyFZbJgSyyNIcn+vDTvl - wGxsggwoIf3Ra2W77w9XckjMGxRJYkopWe5ixVoqS6ZtbrPFiPF0Y8l5niz+8s4P/+lfvv2h7svL - em/j1HS2nLfWxIgMqCKE9WuuN8L+jKeIAg1orWwui2V3J1hLHR+P3aIbsQp5co099fWv+vlnPv45 - mFdJw6ZMYxLLWgUxy6BqmUbKEVPW4auO1l+ZDKLrY6R/9DmcOg1ABoihDVzOOFmSmChCsBGsFiYR - hVK1CwbVrs05BUNd7+yt2nTkQ6uPcKMfxuuutgznSRLZsMqLL5+761nXfWdYVTCICSBBBegnf+Vw - fgQyYYIk/Ur1UwTKGFyfIlWsnzaHCUH08u9f0wRADMbAYFq1n73v5m2eNeSUUhXDOLJTdNqFCBVD - /ltf8pCs1iZYRNzASNC0WCV0bIXbKTQ3ffGBm7/4oRc+/cUv/64ffuK11993dv64+vG2tMAwqTcM - eTVfmeXRaNRno8u1B2CEwkANpspySSA0ESuhdDSzVgRVrGMFhKBG1dTI8tzo7E23vv99f/nOmZzO - 08XOItVTiEC6/XS3EipqSIC2igAwiCqTZgSEgKmM89lw0q574w/+wrMef2O1mrZLTOvjzJdzKn8M - UGkmAEyH6z2iP5WbAWAqRyj3L6v6P2kKQIbUtZgMlwo6/Et/X0AjE0EmU1Y8s3emZVOutoIiKKiH - LhKPRMr7ERmtcnXfQ3db1Da3tW3SGDSYBpgYAiwO13JlofsTen9IDtcwwzJYWRMphXL3jerCn26a - AEiqbVaRGAP+6L++7Vd+5V+XT3ZdPyGKiIiklACU/PaR3jaEkHNWVVW1q+gHOM4555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOuW9QYrCMOtZQcGgH - mBmgpJEwBUrhS+z87LzGDk0uxY/yX64LFFRYMpYKQHkNok9LfMXw1yWgSg0AFQIxEzMkQqkIRioY - RKA5d10X40irbjee+d0/efOs3t6z8xkrq3MySISV/5beRPoiVb99jpoUCSpGZClxBxH0hZYqbnY7 - 1Yue+tLvf+6PcjdsVceb1FzmjfNYoEGIBBiNaAGDCiACoxpNKZpjenDvgQ4thviUcr/rtf5IVStW - kTXUAPP/1d99I/F0t3POOefc19l81m5sbKqu2tWijhgxdCtTG91w8sb/+aef/F/+27//woOfqDdn - 27O9jelokZIFXCRGTF0HpAEoUOlQOF6nAA8zgxpoiDWrKmoyVdWQNeB8c0Zqbk6nNz/0sS+8/TMv - /NaXvPwFP/TEzetrbgQdm1WaaSQhHTQLFVkINbDU+EwE+0Fro2ZKCrn0t0MG97vdAujB39eFQgHK - ffXhmuC6ILu/4gc+UJhoaoKRlWTJnViLlEOj9erM7O6/+vgHP3nrh86nh9JGlzdVoRCGrDzKLV4J - ZgcDgVA6tQYj1MSIUjDPgEnpnhogoiL7y6xlvdbJ2b5WzYOR6ZLDDIao/ccw5rKaNB12qABQyro5 - Kn3kcb2JdD8K3g8METKEkJa6UY3mzV6um61r64/d+ed3PXhbOtYmMyiilOcvQgrQHmHrDPHF9V6j - 9dVbEUmWNRsjcte1sfnIp9//uu95I7Y1aJT9bjdKhFX58JAkjQAy+05z/7JDk/VwtrxvQxrBq7n7 - SKMgAqo4tH36Aib1QLdYgf3O5TruvLaeAtZb1Wg0xhhzMrFRMqhYy+WJJ43f/pfvn6Xzuc4aYDBL - ndAEykfxqOjhh3C/GposjpmzdQmdtJ+96+YXP+d7n3HyeTivYuu71DJ6S1zU1mle9nu/bAiY6LCX - VYa8tJYnaJDSA+5T10d+knY0YqAKCYUaJIuWZ5qWZcTRcrGajKaW9eXP/f7N49N3/cXb7jtzB6bo - BLBckRBT60xhAsM6vKpl3wcFbTiy93dwn1gej+OyS80qXbNV6bJBqO/bufvM4vSb3vlvXvqC73/J - c7/32s0n6iLllU7CdFKNc5vLtAuVoc8rQAYUSEAZVzJMxvrwN+2plrhs2TUcJrpDMfUyZREwoRma - EEEjkjWazSxWIWyGFeYz3b5//qX3ffS/feqeP28ms0W9qzGdaxbVKEzqKmu36lAJQkDXIMpw7B9u - f5qk8lQ4DGdAA7LoIunm8dFq1mxVW9ypn3HiuW941S88YXQ9Z/VENi0n6xKjVDFk7bJmBJbYe79j - if459MVOplcRY4nYH9p0XK+UiVGH40SMKv2nDMxircLEMsyCVMjWqmYqRqpVe/c9t+fQ2IUp1q+8 - MPtL1T/GLTlnkillFYSx5E4bbb509i4dd9ZYGX409MtmUKpAtdSOQaOUB+mkgp0ZYAEQYJ03Hl6i - H8rlJCuwi6VkLykzCyIlSiwBO6vtWx/6XJqushmogcwGTVkqxihdm0UumMofmRIpYTQRBF22aBNC - hVDRTKtR1S5n9UQatB/6/Ds+/rkPvOBZ3/PKF76qimk6PU613W43sB5tjKBV16QgVXnNcllGUyGA - ZMygUiPK9YZJeai4uVGZ5FU3WzSLMLFqzPO7p+988I63feadd+99Ucd7WWYW9NRJzGcwIEDEBEwH - Fx9AVsQIAbsuV3WUKqZlh65+yvhpP/53/7vnPOmFYT4dyzRKaJumjmJ2xLb5FUYO/Gmgsj+RGfuz - 3v4JyzhMyOVKb/jW4QpnOPTKWQPlR2SVhNwlIkiNFRdNWNx//l6tOyVkuDwTk4yjXQYPbw1LqEdY - LjAitMoP7Hx5pjvj8VZetiHXNAQVLYHxsq+Z9NAUur8WSpVD3e4DV8Tum0yMNYAg1Qfe/95/8S/+ - txAAIGcAqKoq52xmOffHftd1R3398r2l221mJQEeY+SjucZ1zjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc865rx2pnNabbFiiV+vEjhIkyKFQJHZ+ - cTbJymQiOegQMjCs+xZakgSl2/0Y/c//VOnfPShADWAoWZBsnUgtCAKknBmASbujp9/9sbfeuf35 - BotqK8y6Zc6YTLlaWTUUqWAleYMjlVCKUi+iqQFGxCFhI1q1e7zh5LN+6uX/4ES+LixiNWZGpaZy - NfetAAx1M2TRqPb/s3enz5ZdZ53nf8/zrL33OXfMQZmpwRotS7YGW7JVBruwMTbYQOEAqqqb6g7o - 6iDoBiKqgo7u6D+l+1UPFUVDUIApwBQgPFuWJVuWZM2WJVlTKpWZyulO55y993qeX7/Y59y88qiU - ndhJrc+LVA73nnv2sNbee13F/SqDYggIxKAkAp5T9/zx58ICe2Ioi0DG3ND7GlVLCiWFpIiQvNjt - 9+JSxIXhjyLyk995L+nuoiiKoiiKHycllsdLXdtVZvV4xX1H4Et13TnSZKWL+O1f+t8+8+Bfffrr - f7Fvpd5pt1Q8jBSQGoAgdJ46Hnq2i9flUDjemxr99sfIlNQ9SLi7wyUkgkF4QhrDQjpvtep6ae95 - 6e6HXr739uvec9fb3n/rFXdytuR9szxaC3B7e7sepdDQUKE6RbGIJS9iuoIwUGNI8UFglN1cHyCx - 99fd++dY/GJDo3f+MD9Eefds50B8+K0iTMXqtO39Zrth+0axlJ986cGvPva5J1/8Sm42op7Gss8C - sxaotLKEIZL7xgiRuBsLf11bWjlviA7Z6SygQHOmQJjkez5B6lD5higQAVHWAAIGVru365SQeVXU - Fy1VnafKmXbz3wFAQrnYhUPOHIw9j7hVaqazWWN1Fu7Eli71r2x/81Nf/S9TnbR5KgkQePRm6rzg - nOOw+LKbR1aqDLuLmirt+q7PqBLCwuv+oWcfeO/bP3Cj3JbchkwsAFdSwjVTuNsjNzehKjUEbtkl - dquTJBZB1qFZboudA2Fc7A7rRcc0H0eC4SyN3UEt0Pm+HarJMcS4AYCqeH2rm4u1DcFix8675iNp - +pnTGodPMMFKd+zc0/c++tlsbR4iv9JTICYuFxZx/x5btOiMDgM2oe8xie12J77y5D1r7zrwFr41 - uVEQQkqEBMWHZRphDKcBIEqVSNToNYfOh/BuiBTzWUiGDHAIh4D3fNddNELVEAVck2sQkofVTGbv - 3ZKQMooqz1bfd8PPXrZ85E8+88ev8aVtP+XRSdVpwtA1ValJgDCGAMIwDkVW+DDKhkbxni+dcyax - vt82t/txhR2frR6uZzvTCV775Nf/5KFv3fehO37hn934/kOrV3SbO91kMkr1btVeoUE1KkUFPWQ+ - 084Dseenufmu251PKJHVh/l/PgwJoSkAVNg7U80/Pbyb1tUoSeWgKNCwrafbduJ499IXn/z0fY9/ - YWbb1T7poq1TlQOpYSC2Ji6KcY0I9C1GteT+u0xN1MgSQtR9rWEQp+Q+RVZog42Ndp8t4Wzz/rd+ - 7Nf++X+3lldtMzW23u/kxqqqqft2NmObqkpMKfNE9Plw8pCevZSXSkMwLAoPR3SYrSFU7tbZA1CI - QxwQAS0gDJOpSSs6XINzEIomJPVMXiPXO6fblx9/4UvenKNecEUVe0/pUBEVBkkoSEQEDFLx6JkX - Xjr53M3NHVUMY3koAZOSIQHh/BaIJtxd5orQHAgwAQam4aOUMfSD9xxLjT1B+jexCW+cqoZTKS5x - 8tzxE5NX2tW+lkoi5dznoKiqKsR5gcvOFNiS7fQePapKxiNFRDiFnvuJqaHRllPdLy3br7z6V1/7 - xN1vv+zO9976M7e+7V0jXcnbNvOlSsZIKUEsVBFCGBwIwIncmwNQUQvTkGGyDYncdTPZzqMOq/3J - /vjjLz7y9W888MyJb+400zZNhZNRUm/RTrBvPJpOe9OaQp9fiUI5zOAYJQDo3COglLxNbNdXLF/7 - 8Xf/N++99gN5oxqlkQJ93683q5tb56pRehPfI/lJE7J3YgkKBQE45pOwzBPpfF1YeP6tImEAebjy - y3xyHvaJhdYBTcgx9dondubhZ7+65WeZ9k6eGovp4ELr3crEqCQrfYcNsvQTbD7yra994MYDlqt6 - Mf8bnegFvueKNU+Qg4r5t/qCojG/mRle/BKeaYs3Yz6ZKACGTGazhx56+N/9u38vAnekNL9IuXvE - fMibWVVVs9nsQr+UqkaEmQ0vWFXVj3JDiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIo - iqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoLpAQKdJKs6xdCgcUqiBdhpYTAB2q - Asz0UzsnJ76zJOsAlDZErwLzpkEIKBHgkCeZR3z21Fcu1iYgIAgVIIFJAooMjaw9RSVEo1KE1/2x - /oX7n/vs/d/8Yl7dofoUM01IDWYzmi229vUv/SYoEQIfok+EhQqtysuX1Vf+xof+7YH8ljXsR+1b - G9trB/dv7+xc4kVfBSMEIRGyyMAxBZCQRYRUF7a288zRJ1mFLz5tiKxhdx/P62+2XC1rJBEJuKki - oqS7i70WQfdLz6U90IuiKIqiKP4JyN3ExFS177vcR6oUpuhZpXSwOrixZT//7l+//vrrPvmlP332 - 5GNrB0abPgkNoYLgUFFddLt3w3sUdYFr3g3Zfqe+DzOoSgQRgFJNROa9zN5DGFWVNKGPfifyV1+8 - 56nnH7358G0/dcsHbzxyyzS2NarxvmXve6FAgqKgBhUI5SIcLqEgGIHdpLSCe1KLBBCLX4dPOZ+l - VUIor0+oilE5rAdICJUSMjSLBSE+1baXHCsxy1uPPPP4fY/f88q552Wlna1uMO30ZCYsoTGIjPs2 - 2+syxz+Q7rYqgdh9wAbmHWWlBs6HlgEIRSigflvz9XtWOWkBBZSLmCglBAEJRQiHGrcOSxyA6u7+ - wbAPTfbsLCAosltmDYGz75FH43q726wPy2t+4m/v+8sXz3wzrevGNI8bBRg9UyNt5wJ7s0++odS9 - n9p1XapMK+86KFDV/trZY5+6/79c/bPXJU/DjlpsiApViCFTuQgMK2iUIJSCYKjEsJd1WO2hYbHW - o0AghvNKL8nHtD04NAWDuptMzgoMuVtdDKVvO4MXfxwi1oviF15MAAAgAElEQVTfQWPIWEtQbDhH - Zn1b1U2H3Fvno25LT/7lF/98C2ejpgNCqEZISxUnhwW3CxeLz9LdM7VK0nWUClAwhTLuffTzq2n/ - x289ojE8pZ4/dMP5MIw+4XA+KyABpWiIDyf8sFtiUf1UEpB5Tn5Rj77Y54MECYgoOG/YZw0BG0se - YQZ1qznGZPzW1dt/79f+1//37//Pk129HceDHRV5PquTFAU0VOdDIIZNC2B4ZeX5YyFABOoK3cyb - EcIBw5mdrq4x84364NKp9ugn7vn/vvLwvR9454fec+Ndqwf35zZZ1OYmTErDMOIIisXugtjiqA1x - VQDAPCccAuWwfLY7sw3DNRb/en4K2rt/akui3oW32mJJptXk6RNPPnr0a195+nPbclrWM63d6QFB - 5F6r1PZeVVgeI2f0LVSRFLk9P7mcj9nPy7VQwOfXxAidr/E1tCVW67NDH/lnH//wLb+y3O+3Vhsd - 99O8NF7O3WQ6nY1GNalt36VmFAQBUIe5VxjzSVXiUq53DyNRCQjB4cgSgVDY4mOI+QryEAJORhjD - GIIgQChY9dmgFU37ZraF00c3n/3GsQe7avOHGWBCzEcoFdC6Tn10bQtLaBo5dvqlrz/z4A133Jxy - NQwKJYQQzi/hCiA0BEoZjlUIhPN1cHBolc8HpvF1p2V8nyvyjxRJmc9IUJWNyTlP2St0s74W86CZ - VqmadS0VdY3IF/b6s85TspTM3eFhChOQEEXQ2wiAkqAGAmLd09uPPP2Fp/c9cPAd19/+zhvvvOrg - DUtclSqlGGkkC7F5TJkAKOGaAahGZi9JAFDg1oflWZq8fO75hx//6mMvPHxycizq3ldzp70gJ9Gc - IwmqKuU2VFIIQuAyH0rKMMyPUQ6Ioq6qmksxs+v33/QrP/3r77r8p6rJuKIhe0iEh6MbN6OMC9xB - P1Go8wsTdYh1L7rdGeLKEMzHApiI4U6gX8yo+vp7sxhmv935GQA02uyry6ON2cbMdqbN6S88/Peo - u0CPYbYHXBQy//g3Ma9Vqen7thkrGVNOWem9T3zmlrfeelkjBCwqIQARQJEBhCgxv5oPmyYUCkEd - NkGGKYbzjbvUb9+KNyel9OSTT/7BH/xB2zqAqrK+dxX1xbw9tLfd/fu+zPcUEaqac46IlBKA6XQ6 - Ho9/VO+/KIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIqi - KIqiKIqiKIqiKIqiKIqiKIriAmlCPaqXTFIEQiAqQapIkAqAEJUAe7ZbszPb/cZBOTJkrYYShyKC - Stkt28xDZiFhtH+0H//vChBCG9IDZK4UgogQRtB8ZttPn3r4k1/5c652aDyzzU5JyB3qhL6HQSV0 - CJ8RsdvbAi5sI4aCwxBRGeoQVW6abuVffvi/vW7l5npn3M84apqlsXbTrq6quDQ7xHvMG2fAkLqY - V8BIUoUqrjGzrVfOvoj6/LbGd2u1KLVOy0M9inRVveT3TVEslHR3URRFURTFj1eYAXAPAEjVklC9 - F1PQOxEbYzSb8IZ9d/7mRw995YlPfeGxT42WtLNZRGBoKipU0baoDCISNJchhEgXwFFFWMyTfEPE - d2j7qYIEOe/6EiAIQgIhgEKoHgjCIgXRYVvG/tDGPQ995r4rVq55z03ve/f1771ifI12Y/UG88qv - JVWSkRdBNQ550HnxmqSJDL8hCVBERASAu6uKiJBBcnj0VVXSJBzQxRvnkLBFhCZNKg4gGACD2brN - Zvvp449+/Zv3Pnf8ybP96b7KXKuyhkumiCgTIA4NIFQxIqbxxouF1HADlZZhEA0qnICjJ+oEI8QR - oEJEpImq0ZF3npIxkyrdbLa6stR1LSCgKnQ3GTociUW3W0IAxqLb7QmOCAVIqZpm1rlq5UEFAde9 - 3dEgALUGiIgYWqEwJemcZW1txSZ501e747MXv/jE3Y+88rVJvdk7m5HSQwkzdO5MIHwI+l7ACX3+ - jSwCk8OGqTojMswAosvTaql69OjX/vBz/9evfvDXDywd8gnGscSZjnQZru5Uq1QR8D7c4W4hJqAO - bWFhQKhwSAgh5JDiEzMZsq5g3/ewdCmndnVeuJQMOBAQH5LkCUaGUCPCzATWh6eq6nNLEYMNY4kU - CQKqhIgtxh1EVURcsoxkq9v21GPJT/XH/uazf/royw/JepuRVRFEZKghyA5QQ8iFrqd9eyLXACBI - Dq+PhD6yaNuM9PMP/3271f3sHR++8tC17UanXbWc1rQ3thBKUqUIhQGGQBJgIg4LATivfoLDLmKm - pQYgcxalGrIEh4btRT0fhulsWIKihkRIqLFjpwInAK1jzBlWZKmqln//4//73Q/8xQPf/Mx2/1qX - phQgIdgrxVAbVagOhyIboOBiZg2ZT6qKCEYloMMEWHxAqhGEivY+08rr9eqlnUf+5MtP3f/sTTdf - fdt7b/vganVwieuYWZVTzboK691dKqIapuXhOEfkIfIqMnRXKSIhwQihaWcJSZUyTDDI85y8AVCS - EYCYqjmFGQrrmfvV2Tk5/eiJh+9/+svPn3hqig1UrUhGDnFUAjf0BiAbQEc4FEgyLLACgtyjapII - h4sBBSQYoIKKXHV9RkrJQ91jOdW60Vy/+o6PvvNf3nX9z6RJhVaq1LS9V1Xd5ykU2ljHHoDUqR/q - 0fMjqcJQUJABLAK6lypBJkVEklXuLmEARJKJLi4WVAMApUdAQhRiGGrYQQqlJhpq0zOz7tp68tr0 - 2Cc+/Ucz246aP9xqaezmgwl0OYshGSDow9NSfPmxL9xw4MZb33Lnar3W7fiSrqqnPInxeNkzKSBp - CBoXAXLpe6mqirDcYzweTSbTpKaigOqiAhuiRISA+Pak94+cmbkHnWqVC/q+bdsphvuxoJkCcHcz - pYY7vtsa9fckRCOKLPNtEpLzxXDHsEs4nM8RgAAVzsVMzXdieuyZo1986tP7xuvXHb7u6sPXXH/F - jetL+9eWD1S6JJ7oylCEjFIVfddLj4qs81Z/7sTGq69tnnjq2cePn33ltc1TWVupJZZ7R4hAmYEQ - V2UC0BO07AImbT0EZpYSyL7TISsvKam4RIoqbVc3rN7yb37uf7xy+fo0HaubqCpDwmrVzC4EkIt7 - vC4+3dPt5u79HnKvogoRqKZ6MvW6aTzaLC21F0LEREyHizmZ+zAzVcFwCdJEDvdbfG1yvDmkW37i - zz/7h69On2sOYWcnrAIIlxR6/nsnwgs734AAp0TnTq2RgantnMXRP//sf/i3v/zvp323qgfHtppn - pLOqq/nthwjC4AAEFNUEA4WCYISIiAecVaoA9si85A9x8T0NBe7h0kNBSrWEiMhzz33rd3/3986e - 3RJBEF3vqsnnT37nfwXwA+vdqjqceLJ49jQzd3f3nLPq/Ml0PB7vfkxRFEVRFEVRFEVRFEVRFEVR - FEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRDERk+Anr - Q/5FZP7jr3GhP9m9KH4QDVXXI/uv5On5z00XmDI8WCX0LUZJnSKCEO9T+/Kp56+76h3tNCdJVT3q - pjPV1Iybze2N1CQguFudARYlm4v+w/+H2BgFECqHXIYltW42G1XLO/0mlvzFc0/98af/79nauWy9 - RweBGkAYwIwKmHe7Fy6gKbZHCNy9blKtnE5ZLaHPedmXPvSuj935lrvWfX02i6QCjsLDmghx8NIe - 10QCQxgqBGy3zZTdLdVtdo742LNf3+FmqOD8NBbck0UPmdexDu8/DBd3X67rvutELt3yV3GxkIQg - pdR13fm/+Ym/PpZ0d1EURVEUxY+VBM+HcEUigQYOf+8MAlJj1ETTjOoPvuMXr7/qrZ/40p+f3DkW - de9oe0xD0Wc0SxodicSAh9MoCujejvIbFVAQSmCocSMgEYJ6VTfb7QCW91Wv5pf+4ZETDz1535Wr - 17/3HR+46uC1B9YPopd+5o0sNdYQapThyzNIQJQiYoKcs4iYSIgq4aQEHazUqFBgqFWS87UnI1RN - xJzRR+fMMNEk3gTFO585WmvQRfvyyy9+45UnH3754Q09OY2TudrCGpjQZrRZqtQACsTuUoAwVMIv - qAsoYVZJMhHt2bZ9BKEJlWFUgz36NkZSj221n/RjW7nx4C23v+2OlaX1PBVAzIwpDdE4Td/tVlwC - VEoEFHCqzxPmDAVEVERy77NpnyEqEAO9VwahIiYEqENm13OGCCAkwigEIDRhHWdnrzXLo83+tX94 - 8G+++sw9O81ZXQa9w9AMpxJBgSsApB/QxXu9xROQIAAVQjlfWwiJYVuGpRnXMGvbZvOh4/ee/vSr - H//Ir10+vgp5fd/48MYrp/YtHxpVo77zWW5p1Ma0Ss6c3RVJqDokfEkihEqAQkUyEyCyZzjVUCWN - Hyol+2NGQUhAQImQoDgkjCGAO5IkU8tQJz3oBBihAOh0EEoVKihCitoQKZwHehEgQnyn3cJqjrp7 - aeO5v/7cn728/a1Y7VtOKBhi2AYMIVwqYjEzvAnzaLfEML0MU1PMNzPc+p4Q4jNP/c1zp77xoZ/6 - +Xe+9T1pZ7Q95b7mgNKqSBEIkkImULyL1lsfaa00SoQ6F69P6qip6UEPEQpIEQN7Oi7mag4lqIt1 - wPn8OR8RlAhAoUIB1DwlilDX5dC/+pnfuv6qa//6i//pxMbza/u4OWutRlXpbNLWZlXVRN9lhom0 - HWtTQAVBQSCUAGK+P3cPDbEImaOurev6nq1atnXLs/b5rW8ce+qVLz95783X3v6uG++69sANq/V6 - 9tEoKuUoieVec85CmFllJlLRs1kiSXr0HnAMgU1yrAYXZkIICVUVYUjM2pnVpskCzGw5FDQbdubP - n3zmoccfeOyVh1+ZvTBNmzlNQ1gbLKCEEi6vW0gZphEBQN09eHWdPHIfAUAMpvMNbh2W4AAq9H3W - qNd1maflrmt/+ld++l9dv3rb9LXeNFVV3fas65rZh9U/SACMIbkOQGRYRhSEDvljiYAKL7Bc/5Nk - uCMIukCZ+8ghYirmHjADBCIUhgeGuTzEtBEi6KRTAJNelOoT31o62JzYOnp2cvQ//PX/cTZO5JFn - AHvPwx9E+J0rz4Fh3ttdnAVAEHDrZvXWf/riH33spzfuuu2nxyvL57ZjCauj8XLuIajoEXSKkCEa - QwW5loSsThGPyGGilZoK6Oev+wRimGmHePjFPMARkVIVgqDnnKOPy9YPnYoTAGLoqAMB3R2/F0qH - tj2CgRBQ5rMBcH7Ve/6hw99XkWOqhNEiz17rNs8dffUbxx6efbEb16srS/uXm7WlZm1pvK+plyqt - 8qRTSMvJZnv27M5rG93ZWWxna20sM5/G2LVKFOScSRpUsdtHB4ZpUCMEmVEvjfuZT6ftKFklQmGV - tO9SdDJqKptWtx6647d+8X9eay8ft+sRFEJBQVgIAB9a37zEV+epFA0M9/xDidyVUaVKiAjknIUK - lT7akF6SiAAhBEDncFUG6qoyMwl2nqPvqVJVFSqNJqfE4/2Ln/jcHz3w/BdsHWc3Z9UYDEikgA53 - F8N18008LqhlBV2QAxR4jbP51DNnnviTT/0/v/ah39w/OvDq0VfWR+srzdJ0e1MNOSBiSUSTGVI4 - SO9zwOa35klM1QyK0K6byehHu7uLnyxd141GI3evUtNmJ5mzHz9+/Hd+53dOnDhtJhHczXsP/1/C - BTGzvdFuAE3TtG07/D6lBGB4ZQzN+6IoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIo - iqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoih8HAdRtdbQaGTaSTEQEAAWESAmAMkAA - yla2XzzxrXcf2lprRgbbmUzqNEZ41/VN0/g81D0v1+hQYrn4CAsMbxlQOikkImY7XV1ZaNus85nN - b3zi83/ULm9N085ubWLIcwiG3Mn5ME1gt/hz/sPe6JuR0Epz9CmgihxIqtdd87aff98v6CmTkLXl - 5emkm3k7GjXT6Xaq7XWt80vOIt6hQBBCBYcECqumnnkbTdrG5pMvPqKjmHXTajwPALjsCcdQAaiy - UltKy4YmiWbvzMzdUX6kf/E98EJjEj9WJd1dFEVRFEXx4zQv9gksIFRFCEGREMAsBBAIBVMmqY/I - dQcOXn74Y2+9/6kvfunRu3fqU7Za7URvDTZnsTRuvCNEmnEFRNvPpEOTDPB4fZ16eNT7jmQmhAgd - Wo+qocYwBiVC4QonaDAikGacbWHrTHfq6OYL937m7gPLh6678qZbrr/zpituvWx8VduG9Gkky0lq - I0QgzIweQgpUFaocnuYFIAMkmQkO1W5VVaEoAaWiRzhDOtZIY0HilDsTbqdVncTGazsnXzj+3DMv - PvXi8Re2JhuuXa5moW1oiEIUCljSsVWeASYBQkIRroDu4HzH8o2RyDoNBwMiaFItIo4+IiYzjA0r - 1RK2LLXjd13zvg/e+ZG3X/VO2U7IEpkioApVcriYzh+4KUOrG/M3Rkje8+TP3UPjfagmMxMTQqq6 - 6hGqhPRgAAoJoYIhUIARgCpUMA81RzDPMPNqOtpnX33k85/56t1n88m82rbsvestQc63GxOQL2jH - fOe59P127VDvtj6EO7I1mW2cvPvoh9/9sTuvfu+knRy8/MhsZwf9tErNaJxC2EaXc3Zzs6ry2qih - HaCQDCg1AM3ZI/JQp1ZNpCsjKP84C0AXTUAygFCn9JC82KsqBChZCBWKQEWMUFg20AEBZVhBg1FE - +tyq9ADCnKQOp6K1XbWVm537nvjspx/42y1u+NinMUU1Pz1lqHaLcojey96T5A1Rnk9Z79mo83+g - IADXbIq2dlmuj+oz//Fzz9/05Jf/xft+9ZqVG06enRysj/hMQIshSw/AyJopp6qvlBrijhzq8y9E - bXMvGSbJUorIESFmZnqBA/7CDAHg+eLj8JUIEAGhGIEhlWoxNKFZhTKPZhu464qfv+ZXbvq7+//0 - 4Re+dMXB+ux0yytHhU69k4nUSJaUyYYji3lXGcOkQUAWNfT51imoQkDybNaLQAN9BKVBZW3mNJ9t - bPv+5449/Nw9R1aufNuVt970ltuuvOz6/elQmkzHGI8rAzVyjh4mycy8G8aSJRERCERUhaHRh2ZG - UDSQAAlUTq/3rUz6rZlP0ii0wc7s3AtHn3v+tecefOHBc/nspN9i1dvYm4TEKiIjQMBlSPyGECkA - QGIo0A/LwfOVviG27R6i0CTkMNThgVRL29ESwjFCarrxYb3+Z9/9sZ95x88tx2ps5OWqqqtRl0lG - RFDyMFu9bhVn8YUEobv/Qr3EJxMIIblPhFUSElqZaDKr2r4PhsjQLhUBSKqYJMnuIapQqkDp6r3M - emmj6k7r9tde+tTd9/3ndryZm7aDBcXAC72oAvO9v2dwnu9274a0Xfu2nszk+J9+7Y+fOffNn3v3 - R685cMN0G2ijqVfQuaolE5gxqaPzCPbeaBOZAg0Bwk1ARM6RqMN6PTWACA0XQKjZfti9/P03lCIi - RHYwiR5cu0wnJglSzavn/LbZ8kJWF4dutyKAiPncqxwuFhLnJ14BqOKNAEgdsiuRTKu6CkXXRxuz - pYNLLfttfzUmr2BKbC7atzTVpCCRIzIstKIaprOpCFLS8Ag4Of8GThDKeWabEouAN3IH7TqT0bg2 - ETimNECjqZfYp/Fm/ZH3fPQj7/yllf7gmOvdNKqqAsKGb/CIU0BBiCriUq53z09CDMdZ8vy/QGQf - boU1WVjUddVFRzD3UNZCFRERUVFRVSL3OfchCDMbjSqo5NztdFsbcfqlybN/8YU/PtG9sHTETm3t - jFeQ8zCXKqHUCAndHWgXtJot6OlqEAEDVFiNjt3p6YmHX7zvtf986kN3/NIdb3tf7qendrbG41pS - kkzS3RF0MpAUgEoEXIanA2fuIbBampSS/3A3osVPuFTXTs66POvy6ur6ZGd29uy5P/iD/+XFF18x - k+wEkCxFvMnTwN0BiMjwaJBSGrrdOeeIqOva3Ydv8qlqSXcXRVEURVEURVEURVEURVEURVEURVEU - RVEURVEURVEURVEURVEURVEURVEURVEURVEURVEURVEURVEURVEURVEURVEURfFjQ1W3Q2uHo4NK - BfakqBBEBMzALBiiIilynj179Kl8xzSYgZoqZhZB9q61ke6Cf+SUQwwFEIGFhoDSQ5AhFmiqJY+J - 15Mz+dhffvk/Prf9RLdvEgaJeRRJ8R2tBIkh6LY3X3KhRBiBUNhQUglE5Gm3c3Dlqva1VlNTjVPb - bxHJrFJYLJLnl6Kh/QRAAyKCeZMlKJEZOrZzsXm6e/Xpo09i3TUgYoi8t8KzW4kRCYWtr+6vpVHV - 3OZRXXnmhbXTi/9q7Ha7ReSSaHiXdHdRFEVRFMWP2fCMFzCbFxYzBBCEmIOgqpo4JVQjJa9uXLll - 9ba1t1/3ts8/8ndfP/bVZoxZ9E2js1nHkAopMpVSRSUiBul5ASnNRZd3+PigBGTxDh2jGnBrZ1OK - NvtGTLHdbY5W0pnu1bPHzzxx7LFRv3qgueKmt9x68zW3X33w+pE1IxtXoggXOuki5llEbHjmUtjQ - SxORPiJImT/00uHufUSsrDbOPKRcW23PTc8cP/vKyZ0Tjz/36KsbR89OT+W6lzrPZMbVXDXm7hAI - wEAM4WOGoBWtgSGUHi6v2+QLq2MCqhADIWTvDrgk1suobZaqdumWq27/hbv+xQ0HbsaWyelRleq2 - 6wS1Sso5kwxGVaXI33ZQAkIwAAMA8SHNK4RSAa3rkTt7j1Bm87A8yRN6Hpna/P3rkO/V0BBYIxHR - e9cjC8QqpSFb++gLD37pkc8d33jBl7qz/prnrllrZn3/uvdCBVQYP2Sqdre6GouzSPfs6pCAdfVK - 2pmc7aftJ+/9xAPN/e+//Wffd8sHQVtKa22/5VkiICaqChFndCGiCumoPeAYaqDU8cpS32YyRR9V - lRQKz4gQs0vgsex7CgCcV1d3TxgF06gZ9733uSfgKTrpw5hzrmHz9qxARJQQQQjCXC2ohEbAM3Lf - dxPuPPncY5978O/O5KMxnnYy3Wjz+oGVaTfVAAgNABpMVAABxpvp8s7fNHh+qWV4XaicX3kKzQBc - uq12u6nGjx976Nk/evr2a9/9/lt/Zmffxr7l/bWOamtIaXvP4QiprG4zTNS1z5YpfUgAqtTxaCna - UEqAAQETCDIu9kpO6GL/EEIqBYCIOkAMle1hxSrmkfFZ1GlUS1Ol6r//4P90y1tu/tuv/FnW3KUe - lrPCBR7o21zDRvUo537PmTDUrRd7ODBvwVLnrw5VjZRgRN9x1k3NqlQlq63rd9JYe+Gx2QtHn3jp - S499cd/S4cNLl7/r6tuPrB4+cujy9ZX11NQIE1cRQ5jARFTESHpERARzlRwSBCRVohpgG7lj13k3 - ic1TWydfePrZF44+c+Ls0a6f9k27szyZ2g7qXoVw+hRmqEZ17odTXYUxNH8Xe3FRt+UQz54HvXOQ - CqtUVfs+C2AQIXPHkY3y1NdsuZ41N+x7+8ff8xs3HXznOi7bPLNRj6t6VG1sbalUq0ur0+nUEmOx - fojhckwIAtBFt3u+L0POf8Clq9GacBLZu1BxQKAtcqrm5wzpJElCSBJpmLhVEiL1GdNZTGax+fS3 - Hr/ngU9t80S3cs6rbrv1NK6BRPYXNMSGfbu4LpyfW+I7Lj2UyGlaLdnO5OzXvvWl5195+m2Xvf3O - G++66fKbUx4vj1ckzN17JzOhNLM6VdO+p1JTIugGUtwhasZKYjivhiJ7zAvucnFT0EO4OEg1OHnV - kWtiE2sHliecugQX6XrOL/3fZT+8EcNnCVWhsTt8ZBhi8xOYQhkmC0UE3Ht3B5NWZlZt9xOSIc4q - VCEpyAgAmpgdIaaorUZ47iPPfGmpjogc7n0wwUwoCFIELsMoisVaKYRYWa7anZxzO26WRNj16hJJ - FG29j4f+h1/9rRv3v22525+6cTfLS0truRtuUYY70giZj8d/ImS4vlOGsUBV0YggJIt37CDthBMR - aZoxIgUdQBBZIiKTUa8mZyb7EIqhz9PN6eaZ2an7n77/8aMPbdmpTZ7BjMv7sbWB5SWJTmPP904G - ygu+uueMVCEpIhAOKpBEV6T3nRc3vvGJz7/2wOP33fbWO2669ub94zWEmdUSijB1qJvAzAyGHH0O - r6yGSpKk0vSZsEt7si1+IHcfjUZVRRHb2Z5GxO///u8/8sgTKamIwD2llHPGm/0Wi5kNuW533+12 - A0gpAej7vqqq4cP2/rEoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIo - iqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiuIfmVBS1AdXDwuNFFAFUBEKSJCgA6qqBgaSn9x45fTk - xKhadedoacW7DNKSRHYYZE8fCAD2ZFkuHkJBAKoMlwglYCGg5BztRE9/8t5PPHnyIe5ro/KIoc41 - 75XM3+w88jMPUWE3sXHh5Q4hglQFRIJMAoUcffVbf/UPn/iND/z2/rXLJ7ONKjUY5dlsul7vhwvI - PT2gS868ca4AQkFZdFiiZzg7rrRfeehLU2wJZqpQYsi1Dd2KRT196JswAof2HREmgUHo7iICXApZ - 5uIfC0kRITCPHF06Srq7KIqiKIrixy7ApNGACjq0h3YUUEZgxUieWQlN1RQIzE53R1auWtm/etVH - brjh2ds+98jdZ/Krk7xdpahHY9D6SRfkuG5Moutate9ef1z0NXX+HhZ/mYbQrUW/ePa0QDX8e4uA - W4UsbD17Vom6DVZQpOhiO6fpBKdfeemJzz73ZzWbfeN9h/dffuXBK44cuPyy9UP7V/ct1WtJ1yTq - 4bUlJCKGe2irzeEKUoQa7n3OXYvZg2eePdeePn361BCxU+cAACAASURBVMlTJ06fO7k13cnoaDnM - WZFrOaJzzWpOom+dgApUYYAoRGFIAe29CwV1/tRHDG3dZAh5w71CDSiM7llAowiMqFGPuV83V267 - +q5/fvuH33bF26u2TlvaWEVSQoRo6hGY+r4XNdAj8vd5shdCgVhk3QEFJAcj0CPSyFDlbZ7jOKOS - aac6T42GIAIhDGrOubNGrWIbs43NMy8dff6Z55956fjLZ2abnU36tNXnTpaphj63Q7JdifkaBEJC - TQK88PUHzt8xMN/EvaffbtVViOGsi6lLJ1Wj27NzR/vuT+9/8U++8Id3vOPO66688fC+K648ePX6 - aJ+0ilbrqJM1beoogPShHcQpIVTQJrMdk3ptPM5bWQJGVdYqCMalvLQBSIYAQiEoQ5jZAJ21npmp - tJXUymQSW7IMUtBVFiYyLIJ4SJDZpadlty7HbLvbPLNx4uirLz//8vOvnjp+duecLmNmZ6yKNsfK - Pp3Otp3Q80dNAZWAnl9De8PjZfe8ohIYGs94ffx4ONt3P947rDQjuJrlWJ597eSXHnr1yweWL3v7 - dbceWT189eHrjuy7fHVpv0UjkTxnjlsK3PpsfUgM54N51bdtVY3CRd0EmqwKkrmDyZuuj78RAUA4 - 5OKVIsOyIHRIQA8LTyFQhEsA0CrV9Wi2NR1Vy8v10vuv/eW37L/hM49+8sFX7onl6cw6Jq1tFAnI - vedWAAynNOfLXvMFzpjvTO4ZboSK0J0BqKFRAh3ZhVtTL7d93/WektX7NcfmST97evbM80/cq5GS - pOXR6oG1wwf3H9m3emBcr+5bPZBsVNejuhpXVSU2X0nou0nv/azd3p5ube2c29g+fXbn1Ha7efz0 - q220PfssHoZYJgDX3HNSjwRA1xOKehkMTNouWQJUQpVqDEE2Rgh6RUgoNAAdZgwZ5nCqAWDfZzoq - k0oSRLRDrUuVj8eTtQ+/65c+8u5fHE+WmnZ5Z7K5srKCVO20UzUzkejasVlPYt4AFgAyzKUSQ0Ec - izkMGGZ8XNSu88Wn7iTBhDBWK9b5xFMO8x12Dg9QFaoqIqSQvtNuT9rJxubW2c0TJzdeOnHqxZPn - jm7snAqljsLr2RTMjpV9OLfVjUca+QIHF3e73QCAebv6/AwxjCAhQgKItt+ksEuzc9x5+Pixh174 - 9FK1fGjtssMHrty3sv/IgasuWzuyNjrQVMsjHbnUUpu7SxIg3F3CwrG+dKBrI3mlkXaPu7AHILQf - fi9/HwLL7lYbBd1ktr5//R1Hbnuhe6KN3q2L+cJ0AMOZdmE7MwQQOKBMwHDdCJM8fzXWhA7floBk - 2IyCCEAAA4IRHnRxi3ARFYFCRQwI9iCHNxQY7qo8sruBZlVVVX3Ow/wmJmoiJs7IGTKUcLn4Xgah - hBCx3Y+1SnWdu+zOUbWigpjY2w7f+psf/e1DfqSZjEdcAo3WAxmAUiEpANcAoLRLfDDOCTGUrxdT - twDqlCBEwUTXbmo7uZlWo3o2mQoNCBFQwtFltFn6184e7zDbmJw5efb4q6dfOXXu5Lmts7OudSoS - 2bSjpt6ctbNNrK2im1Al5l8J52/zQi4slR1QVQnW0qsxwCCya4hxq99Z24fNybGnzp589fFv/P3X - ItGuvur6Q/uvXl85cGj98IG1g8vNipmJCFSstq7rDKZMDZb6PrnHarUWfdJ/Eke5+K5ImUxmTTNu - 2xbQ3/3d3/v61x+v69R2Q67bVBMwSylFBHnBd27u7u4AVlZWtre3h4fNoQI+m81GoxGAnHNKqeu6 - uq5/5BtYFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVR - FEVRFEVRFEVRFEVRFEVRFEXxxqhRVpbWlpvVqW8SoqoCIByGCDCQVKmas1vKnWw/8swDR971Fncm - VMJUqSiUCEEo4NgbWLno2SYlqEISQQoBiAgkQvJWt6Nr3ecevvv+F74Q63lms7aHGQBQNDAUKADg - dXkpASVkb0PhAuvAKhCRjgxiFHVKaas99/grD649ePBjd/3q/uVDk9l2U6tS26knJlzcXMlFF5KV - aqGAuhAIICBhyc75xracuuexu6tVnTG7o0oxhNtit48+RI6AcEfIwX2HkYURdVV3U6/rOi48GVD8 - V6Kku4uiKIqiKIo3SqkR1W7p2DUWqWSlR0oGRe5ydmeAIggZV+N+1q8sXZa79L7rPnrL9e++9/HP - PPTsfdtxdrK1lSVXlVWmOXc5sqYL69Qq52FdX+QzZYjNUskgEQZRVCpOTzQRVQkClA4WLQMCrVCv - 2E6OWbx26tyL3zhdWU5wTawkqn0rRyodjapR0zR13ZiZwgBM20lE5NzP+tmsm87aSdu209iOtb5P - HRgBB4BRUKkqMHfvMwMCETCgAjOBVPTIfXZCCBEYMkW+7RFXCHCIAl7oo52aIQ0p5axVbvbZkf12 - 5S//yr+++cidS9w3O9UmG4/qlGctwFSnSodVDBeRlEwY7r3Chjw3JLD7yAoAqoQP6wiModsNpoBJ - LaDPMD16+rn7nrinq3Z6zQnNUDaFBOmkQxjou36yPd06u31me3ouR4tEqGcJW6+Ivte20ywKVSBk - N588tD/BDKj5fOd81/T7myNULOK4QEDg2VdWlqfTiY2qjjuOGK8vP3js/keOfk2yNlhaa9YvGx9a - H+2vog6Xarzk5kCm9pAshEZtUVVcvebQjXfc9F6pU82IFkpVvaSr3XMyNFNFQQE0mJQChWmdrZ3E - xlNHH33y5UfbaicQxpExDQeOyA4P9i79zmxru9vcmpzdbjdCOiYGvLd+dPl4mqfBTIXUaLtgoKnn - Cd4QAAFmwXxN7U2cC9/vU6iLkvf8QDVNyn3X9mEmo8bzcuSckbp7n3+18qryeqwr69W+tfFla/Va - bSNNFuJuOdSHGLZFVeVmGftuv+7Oaw+/daRjZHH3IE3TsDx40YQNkdp5hVUpwPk0ecieJaeBIqbb - W6tLK92073usLF9+9Vr9b37h6hu/9fa/+fJfvDo7dtmRtY3Jlke/vFK33cSGV2PE3p4pFy+G3cEF - agDoMxAwhVSSgAgCgMWk3yEFyTx1M85CejFYQoyBEM88w1PHNl7Ws4ZIcKu0FqqgGq4SJIYKJgBH - iLr8/+zdX4xs13Xn999aa+9zqqr/3EteXpKySImkSP0jJdKSSOvfWJE89sQzsQ3H8SRAjATzMOM8 - 5MFBMn4aBAiQpwBOgATIw0yMCWYSDDLO2BjE8h+NPIb8R5JNSZZk/aUoSyONaFEmL++93V1V5+y9 - 1srDPlXdfS9F8VKixRbXB2Czb3dVnVOnTp3aZ1ejvqzg6qyKwWhEpgI1wBhg6GYdxWHaJklhQFG4 - gxlTjNuPDzbaEt1kTmjHwbaLtB+aQ5jU3B19YjYq68K1O5dvwUG6+5bX/fTf+fnX3fLg8HTtbC4u - 3CcHL5drIuzt7eswjodD3/cgsBOcp2I0tVa08akGejtA8skd9UxynoLnUkarR+PBpx7/xFeffHyN - o5HH0Zbrsh5rqbWWUspQR12vy6HBjBli6EakpfNS932+mF9drTyhOlKPdQEDTDd8vL2m2z2tJqat - P3W7jUHmrUtdbL5IVspKy3xWai4Hw5XLq7/8i298UZDEeiqZxpR9Pk/zLs13d/bB1Pc5d5KlW6Sd - BfZfe8cD9932AJxTS1w7ixnAePFfLlJKy/WATMKcUodi737zj37xdz7NF9k3W6AVlJODnBl2Q6+/ - bbu1Zzm3bdh+QeYwuLROc9uY01WmUDhJ4kTi7mbVjLi9k+FwdzJnEhYAICJ1N/NqpToYRk7VjRks - 7K6m3paSE8xgND3KtPnKQHVk8eXhUU/dTfOLV59eXdi//W+976cfuuPhC3ybXUbPu1SzweezfPXg - YN4vbDogsCMBIEvYZs7PpvZ+FQAl275mwBmeJPVuxXg80tU3nvnKJ7780av6lMk4HpkZah1LHYay - Xo/LdVkOPiCZZjUeBx4qBoj5nhM4YaFqpS4TeHdXxlHLCiLTC4jhxPtML2yYJ72qwUyEMksxc1OF - 5wUflSOegZWOhsuz3Cfpn/zGE/2Te2yZNaEieZ7lbmdnp5vPnIyIFv1uR/Md2e98ftve7W9/09+Y - 2R7X/rvf1OGlqeu61Wrl7qb4h//wv/vwhz9ChHGsreleq43jCCCltF6vX8Dtp5SIqJTSut3th2bG - zPP5HMDh4eHOzg7asS6EEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhBC+T9hBSDOZX7x429NXn2AWIjBcAW4hbmJ3JyJzKBty/cxjn3jvW35iZ2e2 - Pjpc8B4jlzqkxIAriBwOwNnIpFWaX/y74JuqC0NaaMx45HP10b/48Ac+9durxXJMKyWgImdRc4K1 - TIzRiWwWAD9do5ga5DfWp2CDA8RIQqpq1anjEesPP/b7xv6TD//0hfO3lWXpaFFJz/qH1huZkwPK - 2gMwNudKDocbrN+fvf/PPnBIT1ca1D13MDPexOk2pi6Pu+/Od87tnvMVTMEdmxWA4X8dVZdw5mxj - EER0Jhreke4OIYQQQvj+YtEegHNRHgAABOzAGFoIKxFOnbkRmA3J3Y90JYnqctxN53frzeflFRff - dOfbXvWuD33qd/7i0qefXH4NeeVCg5kRZn3WothEE6dFOl+/Gu1/xrZNZooxeYKzExVyiDPDvdaq - bNYTmFZmQKJBnQldn8hsGGAGETWHooxQcJEuEYmgMtYH9RI7szINREZmZmbuLiKAOQNkTqYzpTk5 - uRKNpnCFIKXEDGgZqwJQgwi6LpnVYQATulleD8rMXZeJnOFmptXNpmAuKQhIzgDEYFSdbiBNbQyn - YhW0zv24c15uu+/2+9/xhnc/cM9b7BB2hZzHm3YXWlHGtXDOORcdCVKKETEJmTszbfPB7KeWbsRZ - E0BkzFynWrMnh6g6M5TV+/HQnv6zL/3xMH9m5YdIsm3MtjOQ6Ss72CuZzQoEYBiZWSWtBlAHTqgV - bujaeYslJzhV5/boW9IOYOXxhk59p0hnW58TKdZNofl49zMyACuF+JEJTIfFbFHdLl25tLuYj7Qm - 4YLDI3/qmwdflSvEECIpl8zJQEqogImzaJ/qDi8XD7/+4DWvvWch5whClaDioLN/3t5SzdhMUgic - DUyuTsVSGejwG1ce/9RXPryUZ0oeK6pRKx2DrOWV3QiSkkOrq2Z1VhKqbrUOV8sSwGLRHR2NuQMM - OwterYyJATgZwUDG3qai+EY77twK0ie0EnND17V7D9Y1d1jMOjNbjUcE4czLelU4FTgxH9GlS/gm - L8WuulfPwiBTVmVzgDzl2vdlIYeLcxd2X3nHbVqJNJMlck4pV3sR092MKTvcEvW2mdlre2zbFalN - EYLhCWTiOt+fLY+OXFi6/qgUlr1+NXvkzp966D//0X/zp7/xwY+9f+dc2j3Pl9ZHnCFm5JtnFgE+ - PbkMDGcmAGY0zSE6ocuJIOQwtZW6u1JyEidxMITUHVCwgsHEuFoN2VMmdvcysnNHlqVbjwcnJziI - yMmJpgLwNuMNIiYBzRTqDoIlJmcjgwHk6Ijq4CB0Pav5sPLE2J334zCwVwAGaDtYMYzg005i7sev - Yk6oALO7ghkiuQ4jqVzcuXV+eOEn3/Ozb7//3XqVh2+u53m/GnPuhzIKdNZnr7q+usws/byrtTAn - c25TzQAMxqhtCUwGZyOGC8AGmR7iM1vvduJRmZOYmGXRmX3t8lce/fIfr+SKz0rldaUC9mlHTuSp - 5P2qcLWFuhiKcSF2EJ45WjFBwKnjw6O6tyuSZTyq3PENbh8mf5YAczvOMMA2vWRoq3czAFNgKHAU - SuJshfNRLUSVUSiR9MK42lHHzOt1JXKsnR2sSNrv6gVN9VV33g0k8iyaGdaejHiWodH3HnNSreDU - 526sw+t+6A13X7zns/6p7QV8egHlzTz1DWxPcgPQDrrXHekqUAmAQ2x6t4IkG5m6VVNyBas7zJAy - qgMOJrgBBCJkFq3qDjA8gxnOotXUTYQNgBkMpmCFCAR5NOLpPR5jb5P1Zox+B0fLeu4c05KHv1q/ - 9/4f/7G3/ey+Xbh5eb4c1p3F3mo1zFNfhzGNctPOuZWtlOAEOJP35GCnlh0/0/Xu9jCQO0/JdgKS - Q0qFEpzYxA7t8qf/4k8v+zePcBmdtPtLTU/o4dDqZtAKc6rUMQmp2qhqOOgycpblofaJu5RXpaQM - Z7CbTC/rrYm+3fGeLyeAq3shuBASQwjFYcBYTDIXM4inmaysmGq6Ka3KU0TUWScQKnxg9uTadK0s - IiJ52duKUulplV57x+sf+OH7sy5izvoHWK02n++slsOv/MqvvP/9vwug7/MwFFWfRr3M7t663V3X - tZL3jdx+bd+4u6qqatd1RFTrVAff3d0FMAxD3/e11pRidwshhBBCCCGEEEIIIYQQQgghhBBCCCGE - EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIXxfMIwS8itf8covXPlka994a7UA7kiS - StHUgQjq8KyXnnnysa9+5s33vJ0ZRGqVmNRNiYngjBbNob+eEgcBbOruhUEkYglQ87Hi6CtPffY3 - P/prV7pLKz5gEjh2+lSqgUw3vTQ6XebwU+0EbtUSu8F+hzuRUQZIqEDNtZNuxHrVDX/4hd+ezfO7 - 7/2bF/SVjM4TGZT8dEHprHGuZsxO5FxlBKatW0y/+fQTH/n0h/JNfrhaS0JOqOsWqODTyQ8CQCQ3 - 33Rzl3p2BryapdSdiSRz+GvWcvfHyaozIroUIYQQQgjfT+TMrWtKFaQOBgQuBPS5cx20rIjdKdc6 - naCxSKm1lzlp9oF62c/dfOfc/l0/fs/n//LRj37x337u6584WF5ZzLMzrY7W1GFT4+Ypq+wM2OY8 - 8+QpEB9HI21aNzgpTXXYohWwLrG4ozobWFDhXQ8zrNeVCP0McJQCEWAKy2p1B3TQEa5pYeTmBjgg - IJpG0mVaB1efkpMsYIIaU8oAuWv1NRRE4A5m6HsAGIbKjlmGO1brkYUdWqsD7gAROEGI1FpwcpoR - EDeCMb5DyJcdRtwC2+wgS13pZewvLl7x5te97S33vePO8/f049y+5Ys8lyx11FGHnLuUUi0KwIlS - yurKzERU6+hsBHYCObaV5c32J4Nwq2c7g6a1I3ifu0q16NqpelcP9Gnq1oNftTTdCBG5+/bW1IyS - gF3dqlciAjsxiJAzBoUOmPWwgjJilrPqZiLi+HSGp9Dwjadqt61u402JtJV3r5uR2dmBGligjvW4 - SrlbcC4+EjsAI3OfsrpEbK2zTkYA+VRKFh1SLT0Vna04ExVyr4JEdKMlypegtseacUutCm3jweTm - ru6eUWW9pMtHfLmkocpoDG/VVSciImeQrFWZ2ZkM7mRE5Eycydy7DkdHY05J2FOygwPru3YL/N2H - z9v1W236ZHqZphg5ACZY+5UBXQdOWA2jGbokAFSLZDEvEBBR9dGwAsC9CGGtCoLT8W5baqcy7kJk - p4K0jOMcXZ+7oZRq5cXtLju3Ljn7ZgoS3o60dny8Pfl8B0iPjo4kdQYqtYokKHNNnXUyyE898ncf - uO/+Dzz6rz//l585v5CKUnnVMqttqwInnrBk5owTc4XkUKtEFUZuxJw4iaMUNRbAMD3pFQK4uynS - jAtsVGd3FsB1cB3rmuTa51K7XzqltRlgd4czQQhERHC4O6m3vVEImeGKzKzuNjqY5r2Q6bAeBJvV - bsdlOtntnu5pW2K7+wQwJ3flkjPt8HJ2YX7zQ6985Cff+nO7ejMudb3mLs0JiVMaa+lm/bhesWmX - M6gvZajuKSdTnjr3DifwiWOGbXreTiePimeakYiR1WoqSkIDLZdy1faGJV01KZAWEoa1QzVh3Qqq - fOjoDHAYEQB0i96NACzX676To0PtKeXcK4YbXaeTU96bpwk2Y5VTLxnkEMFQjIH5nMFiCgVVKBK0 - Zd8FlYTMV3rkMMzAiRkgB1XIuBaVtE9VtNu8hgAwMnI4vejvGYy19H2uLjqqgNxlZ3bhb77773zx - 9x9LOhhXp2kPpFMvxHjOAxdvt2FLdz/7Dtsu49tfJzjrqJQoJ3aCqroDBEkA4A53MIHak9EwumaG - E9yhBjcYKTGEyd1UQUBm5MxQc4daAVI7wrNvBhPOYqYrzIDhKb/34mt+8kf/49ff+rbZ6tYdOycF - vdAw1r6fm9p8Pl8dHs4WPQiAGwgEcTrTb1ectn1w22BP0PZVIgUMakktlyvjM3V3ha4UWVW2Nmwl - B9EUtsf0am/mqKrsAFPKjoRa4aMu5j0bqda+T6r1ePlTsZ7b1r1BZlZZwAxTlDaIJ4BBRAY2mAEO - VYe5ZarewxUFlYFuJgwid7gbp9HWS1ty5r35OVAaac19wnCmu+zhuTFBVsvhn/yT/+NXf/WfYdPt - 7nI3Vt2+s9K+SSndaLcbADObWbsFM+u6zsyYuSW6Syk5Z3fvus7do9sdQgg3ZDsWO3lyS/g2J010 - fJXjM602htn825/lGthOTp6+7omVeJYpTZxYo+fLp1vgU0s/vajjdfPNCIqszRe2QS6dXK4/y107 - edLzAtb21Do8z+uEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE - EEIIIYQQQjgjyMHq7Hzh/O1MM6HBXKePz1XAQWLqQ4IwwxVgH7qjj3zmQ3e+4t7b+jt9VaDo+369 - XhOzg7eha/YpHHT6E3E3n5S7zRId57EBgJ03pRg70czmE7+aalab9rNtPmQ+taUbtNDBSp7+fz/4 - z76lX/P9EUzrpe7vzdcHK0qtz/Asvl0jhjedIyOz9iHHzmJtZczJjNFiTdOdYGPA1dXcgTxLidNy - tcw7ssr6Wx//jfV6/Nm3/Ge99cNQZ2kGhYH421ZLnqXe1Vpmz+rUJwk7g+xGOxubz2q+7rrXl6Ra - 5gwAuH1oMjsrqZNVKaUb/+2HfnuVrjyzusodEvO4NqEWBjLxzdWA9vHKGd2F3YvZumypkqpqTp2Z - +nddsArhpSDSFCGEEMJLTgtNAYiI1MuBkxkXJ4PziXMsZaCaETGod7iDBGzkAMM4cefuTpVECMaF - ROfdmt588/vue/dbvvb04x//wh995vGPX15f6s/NlzgaeIQyjODMztTOGmGGzcnklOs2MrAzEcHJ - 3auPRARyZnJTaQ1a91bdtk0D0uuUbATgBQASYXPe5nBtVUJmBmz6OU9njz7lvU9sE96crDu0baLW - 9SbjTbmnLbq2fiEDQHUAENmGfQjwdr+8FWTburOhZRId7FAgZYFBVQEwgRm06Q0ygyFwImcBwahf - 7d43e/Dtb/7RN73+od1+l4aUjvrsvbND2ZyZGYCqA5A0xbBrHYUYrnAkaqes7Y6cSiOzEzw5QcmM - DaRAZQK5M8SLc7ac0oDV6AU9r2xtM1ZvFUabatlbAneFGRFy20ZG038FiZAAH0AAJVRTEBtZy7q3 - dGuV6ZZvqH5kx+tgIIYbwOSbORSyTVXYWi3SyvSYMrMDxQoDztt9gok2yV7ACUUMQDaA2u6BQWrm - FZMXWsk4n5VzGSURVZbR2t50ds/e2b03rqBDJyMDIxEN7CaUCrxo0koq1XKpnQ+sRIzW1CVuQWuG - GQCBweAgtNYUSEHtERqQE5ObVQDeJ2CKeLak1vSUwem5sOfjZMCKgLZ3bW6CjabwVZtT80053gqE - IMJtWo2Zt016d2ye8YB5JVOBUysOT0sc80gumsZqBYPvYneOvTqYk1ermb/N3Nv3iG/m6U4c1Npc - JAEyzfw5Y5o9NAOxdG5M4ARAHW4gJ0L27OPO6y+85bb33fmFr372zz7zic9+85MHtz41zNbscAO3 - 6dEpoW1OYCGRZFVr0USQTtTUCRBndmCEgoAOgLVjwfRgOODkAKh43pSDafrl9IBfO4tHgIPA8M0c - GhHIHZUBdyPavrJMm0bbjthKwGwAtL14CNTATDRNbnoL/bbccp9RHWqgLo9WRkdO0ApUyrrgw3RT - vv3Bex9++L4fefWt9+ZxkWpPztwWawZUYVgZkxA5Sq0EUKvC2/VTiraZdsztkfPp8TLeXuAMs+KH - ZJIk95it6hGJ6Fyv4EB7BSwZi4FgxKgEI0jbDZwBm6rCbZq4FnIG0AvDvUvsrjrlh1/A68UmYNyK - 3Zvfkm9eMtpR4sRvzQGbXrXbcUGwqV5Pxw04YXQQjDy5GsQom3oZvcAzWU8uACsPxgp/jhnw7xkW - U0WylL0jS0hYl3LXHfe/443v/fBjv3dIz9DMxZEYtdaUEkmGG8yJiczNjBkioqpGmI4r3gZTLRkI - dsDt9IY93rbTP6jt0sZiAFxhNG2+zZMZAsBBBvjmoOkw81a5z+wggkPNQa6G1IZP7egn7UEAURWB - KcaKROhzlpp0aanOX3nuzkd+5J1vf+27b+/uKk9RXs0XO4s1lpWUyKEV4FEHmeeCCmeCpekBUtD0 - PDzTU/MONs9OMDKQA5XJyMGU2F19NCpENvpYpQ4YK1t1QLdVyZNvXE2vngwips0P3A0dQMxqxZ1B - pt6eG2bANNamdiNM1x7ivwNqpwBG7k4E3w6/wQy4moAFNq2wMLSl5blycqDQyI5OQUjuvXkZZRCp - R3p5kW9i69MwI39xX6/D99p1b7VSex22lFItxszMyd1VlZlV7V/9+r/+n/+X/02E3H09FCIaa9l2 - u21zxlBrxbdHRNurbLXjpLvXWpm5VbrbeJKIAOSc23W/N3c9hBB+oFwXlj5djfbNWZKdSFTLZvR4 - 6vJ06irTzVklaufB0+miwR1UXYWkje4ZcDMGuRFks0IGIdjx37y0xdt2hTeF7xsb0jvMYD7NObVb - IHIQkVXnRG3li4IFMHQEXa+knwNmcIerqxBNeurqdgAAIABJREFU60xtaDStg/rx7AVjO8dixyu7 - nR70aWv6iZemNub16d4fPyJ8MpQeL2UhhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhBBCCCG8aNpHW7u7iDCzWU38LJ+MHcJ3j2Gd0Wqld93xuvoHkmYy+gqZyYm0 - J2DEiucoVskhhGpq3ZXHL3/+S9947MLdP5TNYD6WIl1fnLV9+i9VmXJdBG+tbAYM5LT9gN9t0Its - m8AAYODsRI4q5mTautluZAku4iIQs6pekAH2WkeCieSeZ+uqo9d03p46/Ma/+r3/85vly3V2dXCY - oesxDENKIEBtitrQ6Y/b3dZJNqwVsmmTFHHGKAagq4lMFi7DuPQdUvICOHHmvpZVZihay4sFcLVa - l5JRuA65pnPjB7/8G5UP/9ZDP3NTfiUsk0gZa+LkTu6ecybXMmriPD1EW2QOGMHp9Gc6n4iqtABK - u2vk7ATlG6h3EyCtk+PsPFWQjKYfbesS28fOAUJWQxUkd6iYFZ77kI4+/u//+GP//g8O5ldogfWA - LMaKlNOSKifwGgKQiBJ7MlTOY3/vrfftlI7XoHkavTCNTk7frrUeXq7MjCSJSCmF6Dgw8RIXQdAQ - QgghhO8vO04POl8TB93kGDffteL2NnIJa/1jckkqHZ0fl2v39Jrd3bvffd97HvqxT3zuox/70kee - Xj+V0trdp2WxG1Uw1I2FWkzbHMxQhhmkrQ+DiIgIZG7wTct3Wr9NgwfbtXmOYMzJljOOz2/tWS5w - HW9d12cZW5+qDJ6M91yzrBM3fjx/RRAhAgvRcl1FkLOIsHktxQnIwon7utLsfZcWdWli3X33vO6d - b3jP/ece2bebhUQPFUZZOgGrnT5FnB7Tk53j03fBr+vbnfqhHT++zgyQw1q1mNzdjQAhZSs6Ol+z - +fSaDXh6QmGz2fzURXT7Bcen2Lq9qRc470ebB2D7MGxu+Pg2T668H9+Bb7PEbWmXFOwwhhOUwKSj - LhUjo4cmt3XlqpI5JdhzBf/Ogs3+Q+3hMIYSUOtIOQt3OfdONvh69NHF3Z385NZum/v0XnFqZ5wu - 7Kf+iROPwbM8u54/3V7VT2V5dfPv7f91E7R//ovztt4OUmJnIyN2IxjqoCszhbkVG0sxJ55Tl5OW - qXP7onLgOZ/yTQtxtUx9mzLj6dpu6+XRbKd3l+XBck8uvv0173v9xQcfv/zFX3v0nz+1+mYpAyXj - BONqUCUH0Hedqh8ejkLY2emJaL1esxwfKmU6tE8LJ9pOosE3K0h+3O7d3pmWYG9zke3r9k5OjgO6 - m9D7KYzNFGGb07x2c7V6N7ztLgQQIbU0MONohW4G7ng5FMnY7bvhcLwwO18u2z4uPHjPW9/1hvfc - d9sbFn5Oj0CWrwsw2zWP+HWP/3Xru51vPXXpszHF81zIul6gIENx86pWx0rVs1aqANiJHQ64QQXe - dgan6VUC12y745/46X++4PU7/na62c2xwXHdQMGv+T+u+7UDfZ4C8FAmMTMzr+7KzuzckuTG6lQJ - yWAvar17U2g2AZEzA+wk2ndl72fe8XevXr362Sc+sVxfMYyYEScnsUGrGYSQiDmzGNWqw6AptSej - AQwycnayzZz8tKO2C2yfs+1559TS20ab5/X2wifRsz+7QSwKZ4e3BmNL2juSEBEpXNXNp0ChO5hR - Csgx44Qi9YAXsn9Td8u73vre+1/10J3n7/YDHq/K+e5Clu7w8IDncqLGbSfLhXxqgHQqanh2OfFm - VD+9VDKc3NyN2IncUZwd4sq1aHWm7/yKfPzjbZTYfTuiOzH00u/0JHoed6B9oVMjDD8+KFyztmzk - RM6kXGXzUzItWqjjPE+w4mPxMrIzOeMG05vhpSmlVGudz3cODg7m82xm7g7m3/rN9/+jf/Tf55xr - re4QEQCq+h1v8Bru3vf9MAwt151zLqVMSwFSSmjv2RAhWt0hhPDCnAhLTxN0dDzRY9e/YNN13wA8 - XdCIE2Bt8swVJG0+SxOJA45K4PWwnvcztD9KA9RcQMKAg8sISTg6xHwBcnibNAOOx7Y3NrIhq9Im - Yk3hhq5br45mu3sOp8Tq5gpm7gW1zZqWKrM5wKthLV0WEiHAjQjU/iNyBbFvZlSv20S0HcvydOL5 - bBHuk1vx5Pn39PP427wQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBC+AFCDiHuOO+mm/e7CyM/ORocJpK8Sss3OG+aTcYG+MyOVgd/8GcfeNOrHtjp7iAj - WBrWip6nQhnBYAKwCQA79dG2vGlbTFWp6d9TGGITbSEHmNxa05ucCWAiLdW85iySe6VSvEqXe0nr - 1Vh9XZOVvH5yeOI3P/brn/+rTw7zg1acZgf5lMPw1tQ4uQme63N3DeCW1VA2P5XI4XEcZ7PZUVmi - AzGqVnaed72WFQgAw1NLgLXKlTsoYTDdO++//+n3P/3kt37xp//b1YppmXb6c+4Op1p1vV7uzOci - 8FMpkE1diAAYnV5nIzCmPvfJYpkTbjR544C2lacWpwO1PggA0LawPt0sOTmZUuJU1uNssVPVUk6X - /VvfGr7+gY//fwf0VL/XrXmdGLBWRHMwzNAzEpI6jaZK3mPW2eJVN93NhXtJa3ciUpi0j1t+MZMu - Ifz1iHR3CCGEEMIZY9Sizo4pM0lkCeBhPcwWs072jtTLWm/fvefHHrn9kYf+xsc/9dHHvv6Frz79 - +Lpf+V49wtW1GzNc0RMY7G5m7gQkAnm1qbtHcCJvZ6pu4NazoWtP/JTgm3PmTV3m2c/3Nme/6US5 - 5lTMe3M5O3kVsWsuPdmEG9lO3IITQO0sdFu0nlY4UWo9STeCuY1Qc3fb35uNOg5rLa45I3WAYj1Y - KmWuews9d/vOqx58w1vfct8jF/d/CGua0cLcXF1EWMTV1dSdrg+Qnw4oP18EBdr5KeAMZ4MwkpMT - sYPNnchFSAQsMPgPRsPy+WCwqIhDHORwNyUwIM4dSZaUEnLHZO6qxQZHEr42SnrGUGU3O37etf0B - TjCDwh0gciIjVkmw6tfvij+o2MEOMYhmApxI3ZQtQWaS57Oum2VxAuCmBcWryanjz0sAXX8MZAB9 - N3eDEYsnQBjppv3bHpjvPfCfPvSxz330j/78Q1+78vgwu+oLL1yroutoGAq77C1m5nW5HpyQEmC8 - aaBO6e7psDQ1fU0ZjvYVQGuondo+rd1sPoXZ/Nrju4HsuQ9BbdbSnAnWnryY6q5sm9lXM4BABALI - QRA1MahkRi5Lg7h1c2AELtfz9fzsmd13v+ad77r/vXdduLfzHbuKUY1I8kvpsX0J8qpmJsQ5cZdT - ypTJcpq6wQwiYnIiGLmBAN6EeM8gdiQlqkQ1kTkzk3G23CElg7iJG8PczcjYpwz2iznVy0YGgnoB - KYwJlpUX6x1X+0/e+V+mD83+/Ouf8P31wfCMdXU0yz0SAYZazYoJgYVyZq+Kqbe9maN3ADDaJLoB - o2u/YjMsOX7CGpPziWl6A03Zw3Y71+bUSY3a6ATYDOqISBWAQ+HGSSRLD6DqOGphyIJ3+7Hno/7i - 7BUPv/4dj7zxHXtyIetMrnbJsnd0YEvmUc5zqfVsv17fIEIFwG42vWGDNt4DEUjA5ARiT4lTEhWo - n+HxHjmIYaxETmztUA8ByK0MBFGrriCFYzQZwfVG3z0KLw3XPmpMSWs5PDzsuk5Vx7Hu7+//1u/8 - 7i//8i+LiLu7e+ttA2j57Rtd5DAMRNSuaGYAaq21VjNrC203y8yR7g4hhO+sDYbp+AyVjv9ncIYb - wAQTAMSgEyPGTYfaTvwdCU9jzxawpgKuzuxIjFpLL9zO/gCsh+VsNnOr866DW1mt8qwjQmYjAGOB - KlLG6ghJMCxhNk1WOuCONil3o0d6EzhDHSLIQFnN5t1qdYXnc0ASISWCGwqysFZTSW3k2/ULBkpx - BqXEVr1NmR4eHe7u7qoqMzE5UGXafNwmMAztT2rQWuXbrexkBvB2JtM3G5SMTvwR0/Gj4jd+Z0MI - IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghvCQ5tSh1 - Oi+33H3LfY9dueQMqgRhJzNSYPORtg4nBmDExVbfXD3+gY/9+s/9yN/f9b31M2V/d29tB0rVKRu4 - fc4tw8grCCDdLm7bpqFNEpqPP/PWABQxAOws1mXdBsvMaZSeq2FpxYoZ3N27lMuK+n7xjF6pi+EZ - efJf/Navfv7JR0u+SmJGSNpWnwGechg31iMwApysJhjQVxAAqi619OIgIqCg34NUdGbD4Zi79nHJ - CeApZ0bt/kIUO5SWTx3uL85/5akv/k//4n/4hZ/8xfvPPTxeKoudPJY1zPd398bqCie24xK3A2Bu - W3VTDLETZW7dRt1IN9vZACYHOfHz7qEoozIAEzOGTu02I0NyiBF764q4MVS8ti3Ud51luXxwudvl - dTq47H/16x/8f75y6Ut0DlbcK3qBEA3JnXV6BIQdVKsBYGau+Vy+eMeFe8ol64TJqzgbGRJDX041 - kfC8teTE93stbkCku0MIIYQQzqgW8G4tVgck51THYvAssyydlcI0y7zzt99654+/bfX4U499+DN/ - 9JknPqnOs0UddIBoqdVMU0LXJQC11lHRdVP+Bi3Q6ADQ4moA6MRZHDkc7ASbzrSndmQL+WCTddye - H7LDiKkldZ7jK5hg7Xt2iBttTv83Z+lsmC6/1S6/WTMjn9I22+DxulQBMTNBiEQyZTAR1stDFux0 - wpRUyY/A2s9pZ7+75Y13vvmh1zz8qnN37fvNs7rgg2xm4zg4mYgIJauu1RKlnLPV78FpAKFNSJhN - 57gMMJwBEu4qaptJMLNSSpHaGj50lk5AvkvGYPZp3zAwA2RMxq7QwYeh9HVgZhYQ2J1wo+30l5YW - 0HV2bNKeBCTAutytrKqOquruUPeqdYS8zOpNbIAzAWysbXbKQCa1YBxqKVq0dmSchESKmny/V/h5 - Sqkbx7UR5rP9Sro6Wjowz+fr08O7Xv3jD971ts8/+ek/eewPPvvEn5tcPXe+u7o6BLsB4ziyYDYT - h9Z66jaVeHOUhoGdDGC4gdpVgVZX9e3sJG9/OH11PnG0YZ5ehq6dzmxPu+Pjs7dMK2/nDad6G20W - CuTM7t6KawyGJTMAVEqRLmdXqpLXwku+bX7HG+560/se+g8v5lfs801YZajk3HHiUu1sTcf8dXMm - JrgDyb2amY5WS7GhSDcFm9lBzgxmNwPI65l+fdHqpC5OwgJ3UzeFX3sY2ObtyfF856lfIGeQKRt8 - Gp+ICepsXnl379zPv+u/2P2Tcx/7d3/Yd+PAV+Y7WC0hAiFwe60ngMisvRHShn+YWtcETKOs7b9O - dBZ9+npdj5s3T8YT6wgotXzgqXU3ghvgoM2yfLMsVc8pd31HLqVoWSqDksz3ZhdXVwYu/Stvec2P - vPmdD776LTd3t9Kq2/VzZa2q7ilRduNaUODoiV/MdPpLCznYHWQGa8NjgDbjvb5grU7ubmbjOBYp - Z368R4CjvYHjDp5ebgBg3uUR7hUOiLSBXXWuZ3z89vL0LO9ullKIaGdnZxyrO6WUHn300V/6pV8q - RVPOpZS+71t7e5vffgHcvfW52zCAmVs/tZSScwYgIif/GUII4blsBoGbvwSZ6tFAmyjE8QHfjy8C - AGQ6pbuxHaI6IABtTy0xDW7N0fd5c3ZqGNeznFEKlRHVkFM2xqrA18SO4tMs42r8e296iIrOhLMi - uYmB4GLTstSr3cCUCHeS67ou8nw9Dmv2o17+8WOfnffAegkCDgfkHsXQdyCIiJghdagVBEjqEk3j - 8DQtdWd3dxgNIu03PG0uRls9HP9bW7Ecmyw6jNuGumYE5MDxTR3/DCeG/SGEEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQzjQjjDBT7Ojeg3f98Bf/9KMy - T7quQmSkztWPP+GfWwVmLHV3d7ZeH/zx53/v/nsevmfnwYs337E+XHNqHxTcPhA3wRmoTuZcps/v - 9ZMfect8ai0mTtYWRsbsrbYtIHeyUkq308NdS2XmTjoAYkwsB+NhusW+OX7t//rdf/z4pc8d9Ve6 - PWiZIlNoH19M1mJn24TN899I0/8J4iCHM4yBZMtxyI7FPK8Pi1fkbHByIyXe3lMHjMCORKARncxm - c2ipPsMT/pVf/e3/9ed/+O+95VXvOCyHmfv9c3tXnzkCeD6fDzY4wcngIBBta1l+HArZ9MitfTDz - dR0QY/ALiE0YGZGRw8nMefogZjCcp499JmutGXL0eeZVjTTv8SE/Y7vrD/7h+z/1xMfzzaydD+uB - HF3KVQsElZEcZnDh0VTd0iw7mDXdffF1czpf3SupKViyUzX307tKeLkjojOaiIp0dwghhBDCGbM5 - 43LAjIwdoApHn3MZwU6uUo9KMUieLxY767JUyH03ve3173v40vKvPvfVP//E4x95/Fufx/ly1Z8e - ZG2EqtVG9I5FznWEwYnaENfNlJmEqaqixaS9nVhyS786T20ZOtGPbN9vT5m2p3/STudgvMnVnPhq - x9/79BUEleMaJTZ18BMn69ZOOJ0AZ8DIIY7Wo8Xxiah1PZm7uZqpOxwQIgFyRzY4jSnbTl7Pd+n8 - 6+/84QfvfdtrXvn6Xmdznvfe2aDDap1T7eed8NzMVNWqEzilROalKH/PzhDtROqI4QKIIbmjVnWm - lFKf+ozUUe4yDXXwl0+ux6FcjVgM7GwER9sbpe/mOS1YslNSq+YM7hKLW7nBqZaXGFJQBcBONj31 - zCBFASJmFpHEfZfms1Q96ViHl0++yQi6yVy1w81mf0jMHagzp2rMCrDAO+HrKtPfb63fyydXywGg - jkUkE2EcDIS57BGRu+bZ3N1u8vnbX3Hrm299+CtPffFPvvSRP//ax2k2W/PRmAYnN1NdIzn6lNQr - yJTgBAcbtc3EwHE5Nen24GV2qtd77Vpdg3wqpIkDOL6iHV9+WhxP37MCIIBqa35vaTW3ljJr7WjN - 7B35qJAVZripK+dvSa9460Nvf8u9D9/c3TLXnkcm506SEw3j4M4iMlWFw7NjRudEcHarbDkjz3iO - VFa6NIIY2MEOJUvKyiZ+hnNwRtAEJJDSaCBqoyU2YiU2sBPDmCyDhC0DMFKnF/EYQYA7A2pkYIgz - K3c1J8x56a/Md/1Hj/zc3v7Oh77wby4XXV053Jv3ZlZV4S6ciNkMRasIA0yoOF3dbvH1bai7fTUG - t1FXe1ZuvxKM6snxw4mnMG8vuN0c7GA1pulJ5q0CD5j7YtHVoQ7rdaZOnGFIlOa+a0/kd7z2nQ+/ - 6e133nL3Lvbndacbd9iyHlifFtKnYmUsI0SE4e6ni4Q/6Oh0+RLtyCyGZObV1AxJuk66TClDcspD - LWd3vEcGMTi1lyFmVPHNNnBxVwZSRlaySqUU1fJ9XuNww7ZvVW57rgzAzOfznfV6JBIAj3/py//g - 7//i0dEwn/fL1QBgGIacs6qa2Qt4fyWlBKDWqqqtAt6a90S0Xq9ns1n7bUppHMeu675X9zaEEH6Q - nTgFcECnGSoQwDz9HcjmdK/96Yxurkk8vR60wWTyTcmbMcWnE+AO1ZolaR1FGFC4QhzLQ0BQFNVx - efiv7nvtTfO+syJaEmerI8wJeK16l6TNMZ4+vW9L9hua/zEDg+To6ixlJxqL/8qr715bdRJ3X+SZ - Ew5rrfP0P37yUeQOPIcCiw5C0CW67CIVICRVY05wSMft1JZB7Lmd+epmzQRTsduBcbOdNz9k+LOf - egObQfjxXZ029JkdHoYQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCmDhg4u4iS37DDz0o1gvE3QA4V2XjbWnCp/RM3+ejYTnPknfGX/uDf/oPfua/kZJm - fH7mWVRMBiJzJCcyz6BiNDirA4C09FjLxrBPn3NLblOADEYwcQOYCYA4JScCSDmp0KAGQEQSS2LS - UkstaTa3rF+98tl/+aF/+tjVT67ny34vXb1aZzKVtgEwWaGWLQCdyJl9ZwRt5Rubajut3gTG6J4X - GA7RjbKv5MUSzbsOh75yAnnrAgFgbtuz4tzu7vKpVZaOcjrEgezx409/7v/+0//9iYOv/Nhbf8qH - dOXS4V63r8PIa8uSC5uyeWvCmYuzWAtpHyc22iJORruJWr1HXsD+IAYxGE03WJkAkDM5xFSmTyl2 - hynZSGDASxHypR7QbsX++C8/+M8f/dqHfX9Y88rNE0tKSc1GhczgACoEcKZRjQSJWUelkR949Q/7 - USJO1ZVchETJ1eoLuRvhB922LnGGKt6R7g4hhBBCOFumdu8mxFiNjGEEW62OGJkpC1GX5wvZqVbW - y3XuFokXWKst7WK64z333v7wvQ8/U7/10cf+6CtPffHfXfqL1fqAkgHmCjdrUUlmYmGCGcjNjayF - IHlT6Jl6PHQiljM1dEHbJqtvYtzXxWSMrvvKz/5zdigDDqNTDe/tQjcn8G1x0xkyADictisML05C - wgxmd3d3OGdNechdne11N7/69nvfdNdbX/OKN97U3YZ1no07Pc98beO4zp3s3XSTeT1YHhAykRAR - nIilFbtVFd8zJyo8TkZTFhcAkbCzmUhNXDJTrlYldy9qavQlxWgKAnKbDnB2ECEllbIyq5REck6i - oqW6mtNZ74CeTHueui+lFOpYOMPY1rAlobJWTfIy2h+IrE2NwRM72XQ0YFFBJXJOqeu6TkYZa1E2 - ETnRFXvJuKbFSgZndxcQnEpVgJjEgXGsRMiSGRnjuJvyA7fv333bvT+hf/tPvvzhz3790199+nHN - Jc+o+KB1ZCLlagQHnGBk3vYlAjnbphnW8l/TYfPbhb+OD+nY7IrmdO3x+NQ1/PieHU93OuP0/tl+ - lYgpSZLsTq7u5jCH0XlapDK7+9Y3/sgb3/Oaiw8s9Nxcd3bqwsYCuJBXN3cSEaLEkmsdv8PWfnkr - 5k7EREqsLZ9XuC5N+k6ApExODIezC0iZwTeUvntJITIXEATOZCDixLlP8yQCGEiB2nbm9oICvLjh - O57ewzAncoJSGxwxnLOlg0uHOxd2L+bb/4M3/cRtP3TbBz7+/icOvr4+PHSqLNnZTa2qgilnMasA - nEB+nFbkTeePAPfpK3Ac/+MT46hpNEWg7RFo817FZuDEm2f79NIjjmSaGAZz8mqbURZQh8qaO81S - Oyqy2+/dcdur7771te964McWvt9hToV4EFc2U3IRkWEYuA4yS5nFanXGYjYvw8vt+Xuy23083iOi - Nt6DiZTEYyZPanamx3vkTM4EEkpsRsTiJspwqcjswgQy9ZpIuySz3M99+H6vdHgBrttFU0qllJz7 - 1Wr11F89/Qu/8AvPXD5o3W4RAUBEpRQAi8ViuVze6AJrrQCY2cy23e52djafzwEcHh7u7Oy0BX3X - dy+EEF6+2tSeAgRmAMSbo6pufgls+twAFEybcei2200GDGtiJCfUImZQBQxmUP2v3/bI/8/evQfJ - cp73ff/9nvft7pm9nDvuxB0ECF4kXkSQAkUqZUeybF0i06RjK06lrJQq/6iUKpVcqaTyT6pUrlRs - q1LJHykpikqOnMhlKS7JlkVJjKhQV5MixYspiCB4AQgSt4MDnHN2d2a6+32eJ3+8PbN7wBsACSQW - 5/mQ2Nqd3TPT09Pd8/a7W/0dnrl4pum2Fe1Q7jTbWfZ56BNGYpUgBmtzO+qQVEbYZrRr0wMdvgdx - 3R//hh8tIdVfk5cxAxk41csISxCCBQcJza74YmX/0+tee6EfNG371taeDT/3iU9i3mEY2ORGMkQz - M9zgAGVVwAYKJGIzK0kgbc6lj6zaw4me5/xhwWYN+uHn5BW3hRBCCCGEEEIIIYQQQgghhBBCCCGE - EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEJ4ZWASFHCB685ef+OpW76wuJwSIH40UuCU - Tf/FraQETX5hdbGZd//i/T/3Y3/rH83n2+MeG5Pk4g5DgYtPF+LtAdCJ9fVuxcWmeLdt8l61ig0I - XWr1yaiebUqGuzRdO469JGRJw9j3ZeyaWdrG0/rkoxcf+uX3/+xjw4NyupcGF/dK1wFFAHEWYMpk - GO05DaZvyDj9A5nSZGaAIzuKC/oeW01bLtmNp+5873vf+3P//Of7pkc3goOsOztW6x6O2ay5dGl/ - 3s3M6AJLvLAYTl+PC8889u8++atfePLhH7r/PXecvWfv8qVTOyfL4DQmJLi7F4B0AGabmPrhExGs - EzzTTS6GqQnCaQ0/394EAXEkh1JUrLZ+HACnVNCm4Ea3esHoYVycPLd7sV+s8uXf+sNf/6PPfHDR - XPR5Ga0kR5PrlaHHlCFZyspoYL2Gv8ATzIv1PMFTd91wL1dNalpTT/CpY+KOuNp/+GqmCODxEenu - EEIIIYRjhpaA5LR1vsXqCaWIzNqWaIbVsBpWIpScWoqpj8OQUmpmnVq/Ouhn3L19fvaON7xmaYun - Ln/5019+4M+/+PFHLnxhz/dKt2znC7NBVeEgkxE0UU2JYqixnQLCCfOpHPm12DrmfdQLHSxPad4a - 817fePSM/Tm3GJ/bixUXuADCUcwcRrqklJqm2/ZTb739XW+45Y233Xh78laG1MlWp52ai7uXnmQ3 - S+52+WDfoGRqm5k4zUzVtYzGlHPbde04HjYGj/ZsX3g7+itTqQ5Yl1sVV+O4VPZ5V063kINyacSo - 8vKrEb80jJYIwhoFAdRpGk9iTYecR5TVgWqXoU0C3UCbKvOvCIfTLY4uNy5QHWHodOsEzzY+X4wH - 6sV5NW0PUgAkzfTNkULasduSuRQfVsvZ0vP4AAAgAElEQVQZlllEkgsLJb38MsRH9/f1JwTFSylk - mncdnMXNzLpmDoCk2VioQBFL23J6nk/87dfd9n2v/aGHn/r8xx76yIOP/YdnxidXzWKlC5PWUAjQ - LU33/dzH0gTF+tD8VWe6Nkftr/rdr30jYTic+xNgHfV0ACJ2OG/oag4dirtL8rZtdubtyV05df8d - 93/77W86t3MDFtzFqa12pyxLWRXJIlkUuhqWZGpTY45+uWya9HXW9VXOaEVGMJlrj1XvIyXnNG+x - NagCSMr63l5nyo01a/0tXuwXzWgoQ0pNKhmj55yTN6lIWQ7JDRzABBFwNCDVqfmXsou8ntoWJVTg - RBEgmRNt227nblisup12l6fefON33nnDvR/4+O986KE/WNil0XqKqxR4US/A4Yw0ATHQpR5JnGZy - ZZy7DiDq6ypXfAQg/lWGcM8JH24+p0u2Jhk1lQIVmhFJkNFwSGnV7PiZW0/f/vqb33TPza+9/sQN - W3LS9hOtEZGUEjNNzJ3OIoJ27lZ8GA8aaXbbmSn6vWXK+fimqf9yrjiMtkkgaXTBymRsduVMK3JQ - 9o75eI+0DAiYnEZocksGIDcyG5MVX5qWxtou7cK7g/1xHj3K4+XozutXnP2Mo6ZG9g4W7/17f39/ - uTJguexJqirJtm1LKTnnxWJB8kX8WqUmwLuu29/f33S7SykpJZI7OzsA+r7vuq4+0F/yiYYQwlWC - R+YfrOa6AQAO0cPvCv2581ckEiQdPYl0oP6/GMqA0mM09MN/e/87ZbmSRd8U3c5y4/Lg9PaOL/f2 - h8Xp2dzEdOhrAHwT216V0oEjtDlcHuDIFJyv/yrFnsdHBdTBBDVkQIEusS+WsvTFmpwz26EozU+1 - 3YWLy7tO7qzKuHf+yRu79mfufs1BX6xprZ3tuf3Mp/8CTUIWdA1MZm1WcAQGZAINkHz9HAiF1SF3 - 85xRIL+iyE1Ma9oNX21+9cXMOIYQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhBBCePkxCMkWwqF9y51v+9Kffq7MvfeDWpdYB7dtU++2Xuc73f6qb3baJ/af - LKP+mz/5pR+4772n29ul30k6B40cnYNhLt4k68QUTiMcU4d7fYlbSQ7ABEYHPQECb0DTNKqMJsUI - sUxPbolqXW4IG03TvGErF/TCR89/+Fd/7/9c8rF8QkfosEAnmLErRkPNRE/tDLmiZfP8OJTgdLFf - UXROAdQBDGUmzdy6U7Mz//nf/ImTPPtffP9P/O+/9r+lZlVgmMJqJhB3AWxvMe5sJxjKYEbxpulk - 3DvAPPft6dUnn/3go+974G+87e/cd+d3718ednBmhi4XaWHG5DQV1TQ6jS5wXhlQm8JJmw7IVNAx - AfniYlKEZTNnLZCPTmgCXAiIk47kaAqMnk83Txw8omf73/ij/+f3H/xd3VlK0tHGrhUzK15KKUzI - jWjxZJB66Wh3JzxhGHXLTtx1/d0n2jO5zAChADR3c3eXhGOVZw7ha4leRQghhBDCcUKHuKCWZjwb - 4CTgRohgKCOsQNAkId290N1Hm7cz0Jb7SwA73cnsabg80rGdZrfmEze8+vbv+ra/ftmf/fxTn/3s - kw/8+SMfvawXlv3S3JmTwYyAuGGkqMNq99E5ddmSXpF+dK7Dj1x/eaUXeiJV7/y5QSAHvlo13Nbd - UTEAUk/ak+ZkSaylSvZuu9u57pobbr/l9jtve/VNp2/fOTgny3laIDPTxQdzZytZzcTNSTrNPSGl - lFJqfMRoCiDnTKZSiqqqqvxVFHN8Wm/itCOrykAslwepE7p3zfyGk6964+33tad8gQPNbldX6tJB - axSg1U3QKGKptXTLiVefmp+xSzTUbB9U9biHfH1qNdX8vGG9fzlUiztse3vrtmvvXrzmgFtY2sIz - rqbtwV0KHeKZXteVAZK0kUW+7Zo7t9I2enGylm5djS/HtJXAr2xewkToBNytjAa6u6wTY8VGQFOT - AfpY3HLyLGSW2evOnL7nu+69iAuPXPz8Jz//Z5/58qfP7z2taSSKczQZXQbQfD0difVR1QFQ4EK3 - 6e2Ftl6lXxnnPrqo8pzb689eOTk4fXd63PUbGS2LU1ySSUaDIixp3py45vSNd9322rvvfP1tZ2/v - Lm3lVTtbdglNORgWvmhzQ4e79/3ggi5vu3spRqZ2PvMy/qVfjley5MXdYCk5Tp84dft1d1sebXss - cADJkQyAGU1FHHUrPK6crj40OacxWynSJLFUDvx1t7wply5ZpstmEPXNKUaLwYl6FDIAsCJmxOXh - 0s7Wth2ojLLVnNy/uHdu9+bvf9Pfffu33//xz3z4Y5/62PlLj1vu2XQjl+qjs+jhnidOWde7C9wM - gKN+9HW9G/7cj5zWEnDFfjolxtdBw8Pv0iVZA5ekrbgDyGyydDN2d73q3lffdPc9N77hTHduNmzn - 0uWhS2NO1pLJTMswmphkcdqoYykgU6Y0jdB87AcB29yUF/WrgmPKAYOA5jg6vnWw9H3xZIR3eX7D - iVvedMfbmpO+5KIkO87v7yImgCgFAFEENd0txTOzDLLyMs5l3pT59njm3Oy6tBdT1q8AYob5fP7E - k0/+6I/+6FNPPaXqOeWiZVPp7vteREopAF5EtxuAqgJYLpc1y13r3fXzcRybpnH3tm3dPbrdIYTw - DU2DQwCbISKRNkOXr0hLA5jOZw/vwuAGGB0wwBwGKGCA9v/wjptPwzrgbLO1/fSzp5u2K9YCNvY7 - s+0LBxcbYLvhOCwJtBmiyAk0FEcDNMTKfUaU9SNO6e7n/BnKeiD79T8SIFFGCABBMQzFZzktimbB - qGUUUbcmSVktT0H80v5WQueYu68ODs4gu5dSFgfqP33L7XZi9xmWi4JffPABjJKspNkc0k0L5VL/ - MAhp0w4X1tW1QcPhn9ms1/P6VZhWvYPEldOjIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYQQQgghhBCOPTMD2KZcev+2W970W3/8azYfR0WSw+sC1y4P3cQhGWXo - c0oikub5cn/5I1/4Q7i/5x3/EEA37CTL639pAMQyp8vxwvCcytbmkrcyVUpcAHGIwx1W+xoECM9w - IWg6+DC2Q7ObHnn2ix/81Afe/9Bvlp3LhoWnoe8xa9C284OlCsUpKrLp8tBBf2EX2a2hNDi8Jpwg - DjEx8TyXFvt2Mp9993f/yNl0aztu3Xnm1F/79h/47c/8qksPKTWUY+sGx2yGg14bWjvbWvZFe222 - E0QhuDxcnu3K+f6x//v3f+GBLz74fd/xd249ne2gmw1d1iQuBoiLb6JidKXVaLfUZM9hwHt6rkAN - Er2w6/A7oDSuX5fk0z3X7s/0mtGTTwFvyPBsuXQwv/ivfvNffOKxj45biwO7nBNSpo3mDiRIgiSo - 2tBjZ97KOAwGmnktmxSczCfvvuG16KVJs7GsRJLTjOruTUrQ8oKeQggvT5GsCCGEEF52zIyEO0Tk - xfWrwisbUYDN+eR0uuWACeCgUGAOdXpNtApEbaTLXGaiSZYCoEWypE6Dexpn1Hxatt904sZvP/X2 - /+T1/9nF1dNPnn/84cc+/8hjn3vq8mML2ytpafO218XKR01gAxMoIIZG0dg6RuNw1DIl1KdGjztA - IckkJF0HAPzKpHdtQ65vn1q7EHqmuTtJB80A0BQuhLE2KkECBDmFgQSZbEQFpW217Xxnju27b7r3 - ptO33H7dndefvmkn74o2oknOJ7G8Pu+HAYQ4MbrXjA5d3JFdALCIKwySKABcYVSuq8BHySau88L5 - FPW0KU7uBgKwps2AtdJowcl89ofe9Z4x9U7QOvHj3qd+QYxe21BmNKcZAbg4c5n7XpPRJR/VDJR1 - m/j4Vo0EECOADBpgMm0PoEuCdEylT3ddd++tN91Wkhok61W1PRxOq4HuNJVSk1ZZ23bs8rjVeOuW - UHO5/jLcGNZTZo4aD6Y7pnolQQNEauULUBSjmUAcMCbLRK6RXat3UUDbOin59bvnXvvGN+ub9YmL - X3rkiYc/+4UHHj7/0N54oXRLa1fa9APMBKyRswJYEmlSSqWMpJPJxWmuUABYH4Jr5vjKmnMHJJgC - gBvpAqudeXdlnfGsP68YAJ9RKXTzotmQLLfadbZ9Ip+77fq7XnPrm+64/tUnt8513rhKPt/k0okL - 1JSGjgbvfRCRZKllpgqUTgjdYap65dxuuEJyJAUdYnnO7fJsf99N97/x1jcNeXQkQJJJWu8jKvVl - 5JWzuseLGVWArAnwkqzOYucyn/U7TWmAbDSFAOvd6iU+Pmz2HdZcM2E00KTFQdlnK+rgIDs4rfto - ON/m7m133v037/jbXzz/8Ke+8PEHv/Sp8/uPL7inzUpzsVRUrMCdTjGSrqzHf5JeByLudeclWZ+d - c4rjOjASFLCONXx69uKgkEjiYmauICnMjbTuM5bU2uz01rnbzt1x5/WvvuPaO87uXNfaVrLMsZGh - bjYYCUskIOYgUkpWDyPOxFw3KXd3OKkimx718d3YXgRx1rf4zS8YDCxwkSSg06XV9mx73Q++891j - Go//eK/+QgpKAhAove50YqAKnApYNsmla8eddLHOV7/c3rLD1+Nu7kgpjWNp29YMIlIMl/cPfvzH - f+KBBx709WEJ069+r/j860sp1UQ3SRFRVRExs5oAd/d6J7XbvflXTdPg8KQyhBDCN7AeDyLVL+r/ - IQBIJIMJUj0zVTDBFF4n4hQO5FxPLw02wAxlxOgYRoz+373xLWkxtF7uxZCtJEc+WO4K8rgQwOAO - XOr3kmAUDO4QGJEcCXCFAwIoAEcGik/LOXW7138xg81493miuyEJ6DBDAhKgxVpQHSTMnYnqhCRx - EEzqCSj9KESBuiuL7DjnBn2mP5Oztumnb7plmcGd2U//6UfRzOHA1hxNRiJSCyS4JMKKU7huoZsv - V5zPihVPIjyspLtrljSVvzfTQUfq4yGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYSXTr0Udr0stoiYlbjwdXiJpNSM4zj4cjbvruF1d56857PyySVp7nC4 - IWWqONREoOOYMtxBd1OjN2hwoPsf/vwHzeyH3/Ej187vWZwvs9y1Tbu/3J+3HVXo4u5m6qIUACg+ - bdI18aTu4gIK6cDgTGbJSidkl5m8uI0CsE0HY19mpWyXj3/p33/gz377M09/armzV/KStGT1esUo - S81MSnGaUUCrCeo0JXYOK9TPh4iYojfrWvo4gAlCKLuStsYTP/jWv/9t175Lxq1GtrnA9775PQ9f - +MxfXPjQ0OxLZ72hnaeDA+06FvPUwNxX2ktuwIx+bAhTdHOsegfRnSgffvSDX3j602+5/a3fd9+7 - t1anZuNuW2YZSZRpbJzijTrNvR4R3AF3E0fbtmZmZg612kkH3D1/jWRwTYrUa+zXq+6TRMJovYg0 - yDC4kkYRYRJTK15yllFHNU9d9qJDOzy6+tyv/d6vfOqxT8pJHWUFc3Ha4CIAoQA5xY1mOdtYcgIU - qtrktBj1TLuNJ+Std7+1KY2OoyDBTATGQhGzclXVRMI3NG2oJMm+77/Vi/MCRLo7hBBCCOFYYS21 - 6NStQW0WT980rns2dMBAqw1FuogLLYnXTKA5zQkTc4g4RFMuklyMZqNv+enrzt3xxhvfZo3ujc88 - dfnLT+0/8eAjf37+4KmnLj25v7xchoIGBlUdt1NyVS3m7kZQvNb5miaboCGNcFd1MzWDpsRa73tO - mD6nhKkyWQtsteamYiQSQTjJlABxIWmDCikiIgITd4eau3hp5s3u7nz37Klrrj9z483X3nrL2dvO - 7lxre5xjey7bTel4ICxCTyLiVKvVSNo6H7lZss05ekaNajvAl7QjKDZ12aeTZ9Dh9QS2wJEcQEKZ - 05tc5gBzmdOuolG9rCcdwOI0o5pYfQWT5WQNj+RmXwHn7dPW5gQFsNpumprOhLgkS+04F8tZAE9t - 6UTbb+0yfzNNhz86YCrFqSYGQEzEUqMtPTkAGv0FNLy+ebxupIbDw8s6O7Yuka2POSKO+uwIoYNe - 65RmdUbTTbShNfSZ1CMHdbvbve2OO+6/6x0Lv3x+/4kvPPWZh778F1965tGLqwtFChJbqU1feqEW - lZwUCgeKAkxSD0Sk0Gg0nZavtn6BMi6JRkSSCIU0wAVGUsRBcxjoTqScUpe6xb6Zk46t1J7bPXPz - tbfecf2d15941U2nb535yZnuZJ1xkVmYmBtpVBU0XafLDleaZzr5lYfq8PVYcqebuBGAtgBoTTvC - vBEXuiSv25sbEiDr7fN44vRMk4kTo5T6dbLUlEYsm8AJJ4wQh9PSS/n27oDTjPCaPzx8h3JnPQSA - LnBJnrIKgO1mu99b0tu7T5149Xfeu++Xntx77MLy/Oe//ODjF7/82IXHL+8/ywQ0yUz7cbW1NTMv - qmpmBkiqe/fRLK5Nh8z6RioKwM3cCCOZWmaRjNHdxIo3Ll0z29nZObV7amfrxKtuuOOakze86vTN - p2dnu3Gelk07zttlxzEDqT47FTWai6rAdDpcrJ+rARBPR/dmgJtfh1w5KnzluzJYbtN4j0aXI+O9 - jp5y8WM/3qOBIwAHARAOmLigPnPWdDfEpdEmlw6QknqVSHcfGyTdkXM2s/l8vr+/v7W1MwzFwJ/8 - yZ/8yEc+6o6cctGipjnlUcs3vtMjVLUeuNy9Nrw38e/VarW5PaXjm7cPIYSXi8NTL19/QsCABCH6 - wRIl5+l2CvoeswZQYHBYwcFFtAll/PE3vZEX9086dtWvWQ1bzgx3lDo8FMfmkF1PcadHX48I6x/Q - fJ2hwBVjSAcO50r8+f5v85R9Gpwb4HAjCNCRvZ6KWz1rcABOgev6kemgmwBbkukopdcCgd8wa599 - /OLPvObeS0yLpr2c5Gcf+BTaGVjQzCgJTmkSAIi4mY6aZ1tQzU0ayojcjVYoiaCIqFs6PHVYr/mr - b/AcQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCK9c - 0q/GbtbA+qHvt5qd733733rwA5/wDjmhafNoOhYfgZSQaSnR6ACSbsI3KMmW7eojj/7J5fcf/N13 - /ZfXnbmz38ewGndPdYv9ZbIuo5HEJOIY1QvgkgiYu5vTAXcoICABJqyWi8RmPt+mcXmwTy+zee51 - eVAWs3Pd5fLUb/373/jQZ37/Is73W/vaDCYQhxF0AALCakANDhfxDBTx6Xq7+gJDM+NouW3alFar - fmerHcrokNZncjF/z3f80FtuesfOcE4pSdtUUhrk3e/8B7/4mxceHz63wOW05YtR27lYOcyFuxTU - sLUBxCgoNf2WHI1pWZ3vH/7oo4sHPv/Jd73pe+675107ftouYSvvzrnTeB58CQjBJEIm8Sm8vVqt - KC4iSMxCRzIvNMLtqyZgarTbbOq0TR+NCY0VK9AsTc7Z1bzQFcNYTpw6sdIlWy0cVl2/HPZ+/1Mf - +N2H3vdE/4htGVu6amaNnSsAJ+S5F3y20dDNsFohMWdVKfmNt791ByfoqC0JQozqBN3A4xyvCeGI - Yxt9CSGEEEK4Sk3pOxCgoMY1gemjY6pQg6B4PUOzttZtnSjJjOo0wIzmnFK2UpOxboCICq0VM45d - 1+YsJ7ZnN97YLu676W+M7EddLvq9Z/eePn/hifPPPP3M8sJnn3p4xdVoChQkA7RgUO9Vi7EvLE6r - S5ozSHhxeC3qgEcSkqXXzZdCITnFe4QE6UITGEUlWyeWRJtkKVs7z1u726fOnj57zZlrTs1O33Xj - PXPZnnXzNndkogqMvJzb1MGIAapGOBskuCYppoDRIXDCxCFuqKFuiMMMWSmG5BTAEkD/ev2gzWf0 - K3Ozz1/NsU85y1prlinuCyTLcBHr6mst/vWX5xVFXGj5cLXATGAmvm4bE6NAwSIwm17EV5j1BlUn - wYjkAu1oTRLQJZlcbdsDANCcpIuzmBno4uBUwjYQoAnNAHlZpojrrl4rZU4ApG+OHJtPlGDWbFwf - o6To5i6mSUahURx0ocNlbBuOkLbMZ75zYn7drbe/4bvvdk16fv/8+ctPPPrkFx47/8iFvSf3Vhf7 - cbniUukGmBdASAdBJlLMClkPS6DUcBtc0GzBfdQC16k83qAVND5Q0GU20AYmwnae5nPfetuNr7n2 - xHXXX3v9uTPX7XTbmVmMyYQHyEjiCh/g7imbeW8r5pUR8OxoaB0tiwsBeHEaqOsW8mG5LXwtTi95 - AOrbVDaaEvAGLuINpxVrxAgg+Way6LgeT8RR3y+mIHfO6lYr3YSCBjpoYKnT9nCAfOlq5UYY4azj - DdukEA10igMGmQ7pAN2cWK1GS0hp5mq2ardkdvP8zI075d6zb5PsynKwvPzMs0+ff+bp/f3LvS6+ - dOmLvS36vu/7fhxH7cs0vS7iODxa1EEXSRtcRJrUdrmbtdvz2dZ2u9Pk2Znds7vbJ8+cuubMyTPb - W7ttbjOzoJGVZ7S5T1gQPWCSpRE26yq5q5jRpoGoU2XT7TbgMMIsRwZGV3dxkKgDlem3WpWDWouW - yTI8HxnvHev3d8ORRCa99jGJ+m5St/9pbzWwGDZnNOF4UNW2bfvVWEppduZtO+tHbdr2p37qH73/ - /b8niaZec91t2w7D8ELvv2macRzn8/lyuay3bG9vHxwcmFk9ph2Ndrt7vTGEEMILQkA251Xrv2up - 83KjqTAVd7YCYDH0XdNIktHH2YxYFbji8gKU//re150Vkf29ezJtsZgJk1pDACjrXDfX85i1Py2A - 1W/5ND4gkAAFemJIh6PHzVDiyOeH1e86sgYgdXLzeXysU6Kb5XFABQ6Io3F08LqEg6MHVFDIaRrV - keAAjG7AZRsFUGCW5YSkMgw3zLtL+33quDv010nzj2+5ayn59F13/uS/ex92tpATUjuOY55vWRJj - pwIkGVer2SyPOuSUFaYwhbRMh6/I5tVhnAGHEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE - EEIIIYQQQgghhBBCCCGEEEIIIYQQwitHmxozgwwwz0N3z3WvPTe/YfDFOO4rtV4ZN2cIoKWQME4X - 1xU3cShFkcYEtP0nnvrTi+979ke+50dvnL+2Hbf2+xXJptsugw5jIT1lCunuMK/9ClCMcBAQIgEw - xfbWFn0s/UVA5lsdOOsx6HaDGX/307/xex//txf0sWG7X5Z9zy4OUaD20QROAwa4GEVqTQkiLglF - 3JyHFxd+nrxmqkZrgH4YckZWlT288zXveec9P3Ca17Nvu65ZLvuWbdL2zt3X/vDb/8Ev/8EvGh47 - 0EvI42qwNk+tEtSiEQpg9fLCLii1DmZjGr1JzVD0qf0nT+0ufuVPf+6PH/qd+9/w19986zvoUvaQ - tWvY0GC2jg2ZA6Bze77l7gp1UzNVuLu6U+SrJ4P9sE8EkrXk7Z5abKmp+0i3ggHmOXVNns23Tj1z - +VmfaZ9W/fzy585/6nc//Jtf3P/ss/KUnhjb1I6l2KDSJBFBo+oAkAzJBBCn1VoN10suym2b5+X8 - vvvf0egcoNOvSInQ6fby7D2Fb62jW+9xEenuEEIIIYRjxmmEGdelGwIQPncgWs9uzLkJ0MBozlrC - s9ryrv9x3T2GAA442zRj5qhWluqeu2a2nU/pcpyLA3qa5ZrtW1+9rel2tw6L7bKv+wer/f39S5cP - nr249+zl/WcXw95q3B/0YNEfLPv95bg/rnrV0d0b6eBiZjgsq5FESrne4u5udGgtaM5ns7aZ7W7t - 7myd2J6fODE7sTs7tdVsndm5Zqvd3d06sZV3s7ReBGZpzLOxa9lJEZZkZqrm7u5UMxIikkREBDR3 - U9WpnFjPCWv+p4Yza9+T4kQtCNbk5zenM8kjj+MQrqcAag5cnDAhG8CE4/FNq75ghNMcYH3KBF1k - 3fGuHSPj+qu63r5Vi/pX4/C54Mpgp2OaRaJJopAQ4zRbcZVtD+vXvd4k65i9iGN9rANgrDvSy81z - 0qR1pol2xTFg8zOe6FPZFyxHZqpIF0DgSaZUWT2+S79Q5qaRJpOtcoRhpIt3+fQN525//fVv8TwU - WS7G/f3lxb1x74vPPLIYDvb39/f2Lu0t9hbLg+XyYBhWuW3c1aHuZjSwljJNfXQoLZPSprZN81mz - 3cjs5Jmz292JkzvnTu2cPbFz6sTumZO7p042p+RZzn2epQWES7q7kCLIkswL3R00EXgSESOUdQ5O - 6JI0w7M4QDMxQF1KbU3DOb3ZHfMd/qWmmyOl112mVqIzLQMisPpuIm5H3laO8fHEBXA4ZfMs6hu6 - S0F9I1kfE+gvdFr+xbCpXm1w0KeBGik6DS6EXpfQSYA222kL1AzFlAMTckpzEZgZR5B+0s/dcPI2 - nLJMaDv23Wpgb2ZmpqpmVsddgxYA7op1t1tEANlqdwUpgWQSZLokbwSS0NAFKlDYEnQhJCN1aDC6 - u6eUmiaTHIuWMjKJTUdj4+aI7ILDrUewPlZjPYI9EvCebj/WG9uL4gDriz59TWy+rAN7cYGTaEAT - 6DFeRY46SAGweYOu3zGCjnSkxKn1V2qR7j5WUkqqmnPOOddfkKjqP/kn//Rf/+t/A0DVSdTfm9Tf - 9r1Q4zgCWK1WImJmbdseHBzUs0YApZScs6qmlMZxbJrmr/CphRDC1aSOjtfDts34WCCSHCBV4F7G - rSbBR2hpbcRY0I/vuf3OO3ZPyzMXX2XS9ostgLAtohQXgMTCsT3jsLrihK1+YVc8GAhJsFRT3A74 - ZoGmwRIArv9E4zlvKvXPOYg6nfcNPgJQrsfk6yVQP1yWTUF8s3DTGPcrJGA2m6nqchznSdRwadmf - zO1QBsksy14kaebepx/6H+6997ihEBIAACAASURBVOnE//WhBzH2Tc5YrZIkaZv90eaNdElggJaU - pP59koMGT1g3xuOEN4QQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBeiXLOy3GRGssUjNmX7dvvfde//ehj0vlq3NcEaZgztdioaFsAU44MKASI7ExKDLac - 73SPD4/8L//qf/z+t/6n3/3m78UqA0kHNHmemnrReCeYkMzMzEmSNXRDo5mrujVNsypL+MhsFOl5 - MNKHpv/y3sO//Tu/9tDTn7Cdg4vlwlgw30lDr8lQ71cBFRypBRlciFZ8aus4Ny2hF6BpZVxph9Qk - qKsv0BnecMt3fP997z7Hm8aL3jXZBxPzdsZ+9P5pe+Nt73hy78lf/8ivtE0x36cUMySHrEsIJra5 - RrEbCTYCKnQskmQ2TwV+fnGxbfHw3qcf/f8e/dDuR97y6nd++2333XT6VcNF7dhJkwGBOY0kyTSO - o7tPl14WNJKQEilavvr1hWu7rdrcAnNDAUjSiMRsyQYbFsNSs+uujvPVYwcPf+APf+sTj3yk7y7v - 4WnfKk5gLAm56zojhmFVCElTi01cAJmKLQQShgEiEGNbtl+1ddsd190jq85FnAY0cLjYdFlkOmBf - 4wrN4Wq02Vxx3ALeke4OIYQQQjhOnDAIAIcYp+5hzRhPuUQ3AEaBA8hgcekV9cbN3Qi9NmimUxoH - nFZogFnvIp5SSpmpyebFtYxD7T46gJS67bRF0szKYpTVajtvkddxy30bdq2aFaWRDvFaczTTYlrK - YGamo7tvupLuDhfQkjQiklLKOTdNl7Ok1DSUVCC1reOu6qYghUxQCLOUjEIzgwvJJJLcXbW4uY9w - ptQ0KefcljI9BXf34u4UZxJ30akD5ASSo2Y+YTWQzbraS13R08q68gS+/syRCOW6I+1ieKHpQZPp - Dmul20AxUAgl6EdesPqINMBAfSEPcYwZrDTmkCmnvglbop7eS53eEM9ALUT6tIqOLdkUu6etjk6Y - A7L+1nRMqBNMKihTzOoqYDDN0/4CTPtazTxPe9+G10Rxjcu+rGwyZKg520pw9NCxvp2bf2KHOTEn - IOICT9O3pTjdWACIbiWTOoPlrnWuU8w7NF7ESgNsO0/uuJ8z01Red/M71EczozhEJAEwM728v2dW - 1It5MTN3rW8HObci0qTcNE3OOUuTkAQ0Q4IIW0Gqj42VpEVufJZMqFNrE04yQcUURKuYIpwiYoki - ojanQ0ySiVgRFKM7zaUo3Wm1Py0+zfQd6539pUdDCye8napzNNZkNFDn08ERHI2HM8XH93hiQBEF - kJwAlNBajAaUSFjHy12SIfk34/hQ773uqOIyvYWh7qHirGM8E5gmADr0S4UCkpmblOFiChstMbka - 6E3q2pzgNg69LqxrTzTJSYoIpzayOdyy1T3O3cnNtHvqVybMSSSBU0PaKQ4rKiKJeT2wcTcASgJZ - 4FpsGAcnmVLTzFIppe57yeuAcjPTKA6C09vYevWug81Tv1k2OWcnrqZd2AQw1N1QAMDNAOGUh3zu - eK+OZ473eI9Yj5bpuPIVPxxbG00wHdu/JUsZXhySqkpQVd0pkn/hF37253/+F7uu6fsRgEhS1ZS4 - 6lcvojxag9/1zHE2m61WK1XF+lcyOee+77uuM7OmaczsxQXCQwghAOtD9JFatQKAXd579szuDrxA - FWVAKegHLBb//Zu/Iw/jfUx88vEdyZ17akhTN5SEg4IuYzA0HZ9e+faUyqbBN2ca9e9H6pCQjgQ6 - REFA6skkpiHE0Zk4w5WLWcn6BPv5fFRAkZRowATPoMLNWRxG9IT5NHQvgBJwbd3T9A/r0L0uOhom - XfUt2oRMzVuznYPVohR3wNUykFxtWJwgTmg67f6Pr7+p39052N39px/7GGYd6VtNVh+zEPuLZnsO - LeYuuQPIzVDpindP+Yq5yRBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ - QgghhBBCCCGEEEIIx1VfxpQSKWUss7zbut336nf+wYc/cIDzA/uUqVbKYFNvjEmNgJkYaKj/A5xi - Ds7SXr+X0v77Pv5/fejP/9//+K3vfstr3ul7y0SawXoVpHme01hWZTbbIunu9AJxEVHo4GXRX2hP - zCGyKCtvSy+X/uLLf/axz37ogUc/MaSxnw1qhrZtRUuvyZAMgBQR0OBmBAhxCCCw5KWWLIwCHl7g - 9/kzMzPM2y0xrobL2z6/ubvz773rx07rtbZgbudk0mE5T0nSiGHcbU8uLi/uv+evPXbh8T/63Pu3 - T2BsF/04gDDWWIYdbY1lc6imPM+ZxZeDDTnBHbu7qfTK1KSt/OXl5x776Jf+6MHfvfnk7T/01h8+ - xVNdMxNkFgGQ2WZpRLI4ExoAgJiZF1f3KSX3FWphBEDtuNWaiLM/sL2u6zI6G12LsxWb6SofLPPl - J5YP/+FHfu/jX/jos/3TQxoIeGPDiCZNd+jCokNxpJZm9WFlfXnmw8s7ewIEMqTZYuftb3nXTjoD - z5yqaRAIPAMmONaZjPDSOl7dbkS6O4QQQgjhmPHas0kGwOWw3gqTwza3iE81X0CMKrD1CacAAuem - dlxvNRrWX3Tzzs1UtYwKGEkKKGhy606am8EL3UnmhqnRlHRKQiocAJOQ1OIuDknT+bVr/Zib9ed5 - +lfTknEamro5evjKa0iydSYQTIC502kuqYbDQQJQuJnVxxV10iRLQg28wcz6sayGMbOZ7n99RieE - UNRMxOF1XdU1XNPd07qZgkK0mvYEpwzw81FPOl/gKcJ0Zr6uimK9MAbCaXAQdZkM0xdXC6+xXhjo - 6/rjlPyc8usAUDPnFBz/kBHXSUtPtbMLANNTh4oBtt68BFQc7ulXBee6BUuzaZMgvdbcUTeNw8Pi - y7frPAW/jtxSM64yffdr5oSn5zZtEDQARjhVpTgdYE4znzrZ7kKhiMNddVAhMzupc6p1dyF1oe7q - rgBcCJi7O+xkvgHZzN2h7l5/ABB4FhAOjIaxLq/X6re7wx0uidNyutUPLpjiwYCYwtQlNSK5ETGa - elErYxl89Nw03BxvqQY4zWmA4vCVrfcux313f8lNa1KOHBltfSCtYwrHtHphTOt3sOOqlrCxPko6 - WW+EA6yHShGHQ+igQxyGl7benRxO0Os7+5QnnLDwyOLBp8+bpmskmaEMZmpNSo20qppykwSqOiwH - d22a7uR8py9qo0+VbigAurt7UyfIJ1ZLtyRbJLiwmK/VTaRl69Ou76CTTCCE/agpM7dZ3FW1aCnW - C0S42bSmzUxc6nT6tK3RsG4zOjc5eFsf945EG68uJjBM62o9IK8fjo73sF53L3Fa/pvDCHE4Nycg - AOzIQUmcppuO5/Meb4dvuRrSVtWum4+j/st/+cv/7J/9zzmjdru3t7cPDg4AiIjai/nVmpmllFJK - ZrZarepvDbGOeatq13V/pU8ohBCuTnI4fsOmF40EK2N/ZmcHY8HQQxXL1Y+97g3XAOeG8frFKoPz - Ji+LC8YEFCABBiSiTRgdbtDCk7O2rIb1vbP+N53McXpAEOruAOECAzwdTqhN573TmHqKf0+TdASn - M871sPL5fJRNPxzw6QEcnKYRCmo3HAbIemBmRwavm5Et3RN8iX6H831fHqxWCQ3gO6kTtwMbe0dH - ZGFf9CxwUrhYHDy9WP7Ubbc9O2v+j898JiWm3GI0dB1WI2ZNm+mw0Zzkerx96Jj9JUIIIYQQQggh - hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhK/LzNom0QRIBsky - Oy3X/Udv/N5f/8QvldaarbmOVgabt6LJvKggg1BCp2RTcQCQNuW9vctNyifObS0PLl5M+OUP/sKf - /Ic/vv/133XzNbfdcO5GUen3rS/LebPdtN1idSBOpxeUJJ4aOk3Zz077gT691PGZ/sKfP/SJj33m - Q1+6/ODY7GtT2MK8KeYJkqQR0Lw4BZ6nS/0S4sba7TYkg6DYutjtAifkBeYXSo9TJ+YHzy6zNqdn - 1+bLs//qvf/NifF66efJcm6zq+acQV0sL7XtLBll5LzZ/cHv/OGLe0888ORH0o40GQbZhMM3V/4V - x0w4FPehWEpOGFGb11pUHcBYfN+F1vhyvHDp/OOf+qU/veu6e15/z+vuvO2ea05c13I2DkuOOXub - tKFnUdITkRJSSlAvX/V5+REkU0oiorSmRa+XDgq8Se1uV9Lw+OVHH3nysx9+6I8+9chHbba0+WjN - uLPV9X3v7l1mlmSDD8NIG5lTIkmhK70+ZQPMafXS0Kroutz3RUzONTe85a77MbTwtO5iGCDwBL6o - 9loIL1eR7g4hhBBCOF4EnmtLx1kzMjZ1u72GbQB4rf05xbyeUddWN66swwIotT2THPAp4em+TCKt - iLuYuwF0YZLlwSrnLE1GguqoMKFkSTSq1xM5kEJSIHBkEYNagbuRSSgimZLHfnRJgMg6HlnTa76J - WHL90QVio9kIqz+5Xn7CjUJx1Cp4qtUfAynmVtxGcwAuiSkxk6TqJlcptT5rgLsK07QyMdUTDxfE - p5VDHJ6x18rgFcXNI4vNKVo5/fOv8mNfH421uYkkDuNhjhoEYLr+GfHNWbx8xcv6yuWWpzj1lC+X - 9bm51dtYVByexMVhR3/gmOJUmicgNatqlMOMNxVU+CaAWgPVV8/2gGwAzQnDFLPavOhGU5ofRmLr - 7v3yWjn1oG2bl2xavE2g1QERt03U1nj03wKAE0qDlyPfrRExc0hvB/U4RFKQ6v2KMUsLwN2N6mZ1 - 1k8MiZJAY64PTpmOh2UoU90buR7lHRCIILvTzNyVpAhSTkmklGJuXn+KUo+9EC0sQJ3uI1zogiRM - UCvqxYs6jeJIMutSAsswAnRiTNMzXtfRUjKvpXa/qo6BfznTrlHfVTjUVUk3UJww1olaAUTQwgm+ - mMDqy4TB6KBbYwCgFKU4IW7JnW5ONxgh4P/P3r0HXZaV52F/3netvc/lu3T3TPfch7kx08Mwwz1B - IARCjAwMCIGsC5aIbAkFkA3IBCJFSRQnsVSOXClFUv5IqhxHVa5ykkq5UtglO+VCMZWUY9mSXEhC - FgIGFEDAQM/05bucc/Zea71v/lj7nO983T0908MMdE8/v/rmzOl99tn3vc++rHofHaL4nstoZPVh - BxevTwfq7uouBsl1UQugQ7a6wrWJ41TKrC/u3miIrcKR0SMgee6yA/BGRcIcjj432og7vAAQDSIi - 4lhG6ta5M6gDonCHWAFK/WURiNZTONWccz1mSKynZ57drXhop2a26DMACaptW3ftXGpMOLDcFYvA - xCDJxZbnRVLfwFVdTQyAwwAravXLausR489/y8cxq6Rzvdj5nilWPw1X8S1ck9XM1p+84XgOLPe7 - 5XWK1XNsMbHAI/tVRCXmnNt27O6f+MQnfumX/msHzOBACGHI7Y6ScgEQm5D7y/t9ads252xmMcbZ - bCYiNbE7xggghJBzjjGq6v7+/sbGxnMxj0RE14J6Qif1nG4VrG2IplhkzOYo5SP33HezhodmaWzF - rRhsu53uLWaboRHxXHIUzAxb47i7yAKMQwwhLEpnJQXg4KJ3OUYB3M2WV+4uKEPHg37Wp9EE6m6y - +uTgVS/nHpw6RqXU+4wZ6GtjkeFSF7K8GQggLE/U8uFL8tXNhzns+MYk78/nPm+jRIEhLRL6khMw - gRw9tv3YmXPT4nNgFGBekMsN7ehIN795Mf/12+7octnd2Pjlzz+KUBADsgHq6o22F7lEudw7jURE - RERERERERERERERERERERERERERERERERERERERERERERERERERERHQFM4HGYAXBtA1NX0pxl73R - ax96w7/6yv91du90Klk1CjxIY7IwQ4NsrjkgC0qEOIIjmKHvt8eT1JdTZ85tbk5O735ja2v8mfnp - R3/3D6+bnLjtxrtO3vGie269//j1N3V9l/by5MhGFIWUZJ2jd8l9WczS3pc+++dfe+Irjz726GPn - vravu2WU7WgpiCLBS1HBSKSUvtb1TRkaATcXBTSYAibIwaEHAV656DJrHGgd4k+xWFbEMY7SzRcp - +JHpsXj6+r/+Qx892t8d5xvT0TSlZLl3dwlS3LKlRuI87TRNjGXStDe+63XvWvzO6b9IX9wtqTSe - FTVWHD4kiYgjiqiU5CmVBIUEcRUDFsliK1HFLQsQRbykc2nW3jT94/6JP/7Uv24/NT6+efO9t973 - ojsfesH1d23GY42N2zKOpdUsMBSDLDOVLsrdUTNCVFW1lDLL8ywzbGTT7ht7j332i3/yp1/+k688 - /qUz3VmPNjoRd3fnwTSqzM/MJxOkDjJHUStqHiANEAVZ+nlpQtQaGCE1CQLiEIcZillxRG1e/eLX - Hh/dXGYSJIh7DZEzR3CFw6+tIBG6DHXTra9Xi6s494WIiIjo2mRYJVibOCA1hvGCvqAAFAcJeYCu - XXOaDyl6hrWoaQAigFvJRUQAUaC4eSnT6bSUkksSkRBUJbh7Kn0rTR1ivX4D4CalFBEXkSAHV39e - zNxDaFYT4e7w1RWgriZ7+akZABUguNe01+Hf7gJ4GSJGdRmA6WYmElxFQxi61K7uTYzZDF7MXeFQ - iAtEvOZFLhegiw2LDQox9eXVMlT8YondF11HAn0Gud3LSajDAFS9xgLpMrh39cZMhmv4Gu89ZDk/ - 31912EoPAiCxFpGusAJXh+Fg237eZfoqXF0gQ4axuLgAPoS+Yxm6fEWsr+d+e7BhL4CG5epeZp8a - YOu32ZaL6oq1fvS78Ji+2vcv3mWVirpMtq7xwBCVZc69iLvWKNi12xYiUtN5gQKolSwIcDdA3EuB - iIhIkAiHDAGr8Owu8GUuepAh+NhLSdkSsmoEIFDRGv0LdzfA1UXVRMTV3Nw9wETq4cxETOCu4m59 - 36PYKLRDzjTE6108V4iKm7gsg9h1tSCu6DV8pbDVxqXD4VQh+SAZHVp/CGvY/ZWwpz/DV0Dc1FXc - ABVBcNhBPHLlgNUu34aNR7AKrh5G5gJglVO4dhBwhWjqzVVjCCKiMHd3FHeXALi7O6D1HAlwUbi7 - ACIBMEgAzAzuXvfH4YghAOBehrxuh6gCdehuZqWUEJp6iDCHiEAgggAUMxcooostB54B1JOzevBZ - nk0ZYMslbctdtS78muQ9pHdDDOIuBleTgz6vMbZK7z58vuc111qH5QPgqv19B1azVjeVusct53o4 - 017+s+Aa3RKuYqV4M54sZt2/+f3f/8jf/I8MGI2arksxxpwzgNFo1PWdBrHiOV1ebjeAlJK714ju - pmnqZWHN7S6lhBBWT2WY201E14r1c1o51EGevMfDPQwnn+s92PC7bPUsFcVRMnJBzh942cs35vN4 - 5uy9kw3fOX0UjcIytCCmvg8AiiWUHmiBVrBY5AaYtM2iTwV5jFhw8eO/Albv5MjBObljOHU/b3bs - 4BQCWJ6Crq6CbX2QT/WqsLBcEC7Da52A2nDkILwcCIABRS6+nEeCnf25DYnjnjJEMGqRE45Op/v7 - s8fOnNseNftd2prE/XkODSQhdt1G1JxNc26AneK/cN+932yb3/qTT6NpYEFV0CpMEMIwytUZ9jCf - JoCv3fXiBTEREREREREREREREREREREREREREREREREREREREREREREREREREREREdHVSBzmpsU0 - RPfSxFFwLXbkVSdfc+qPH3ti9kQzjRJDcbOCJiqKQcyhJoZa/3+ZO9b3C5cw2Z50ud+4runLQiOy - d19Le9/4i7/4oy//fszjcdg8cfSWG4/d3EgMIYhbl+eLfn823zmzc3pn/4w2och8EeZp3KeYsmSv - BXyLjMMop87dRuOYc+57tOOQrawn5ujwalgW/q250bWK7pAbMkyz1ngCBWrUhQlwuBqwGiSFGNtG - gN3mx9/83ju2Xtzubo/DVunnEIi4Selyadq4ubk9n++Pxht9n8dh2p2e3Xn03h9544//j7/96/0o - Z+tFMgC4wg0+FDbu+9I0EqPnZcBCKm5wjTB4X4oOtYs9AGgxl5k3iK4ld1/tZ4997iu/95nfbcr4 - 1uMvuG564vYTd9x8/LbjR05sTLYaHamIFqkzbjLkkS1TySCrcCX1Usru7u6Z2RNf/soXvnTqC196 - /Au76XQX9zrd72PBtpjZfJGnm1O3XFIZj+GGGNCGkIq5AlF68zLPrWDURhRYreosawsTaFrtFzbW - dlOOv/ZlD6ddiYgqMtSHdoEYEOradGGEBD1PMLqbiIiI6OpiCgAFBzE7NUtVXcw8DH2J+kG88UG8 - 61oy5TLndUhMrd9a+2jIlRwue0RQc9cCAhwodVASJA4RsCIOFBsGKzU4G34oJahe2fr5aT1yMF47 - r3vAEMO8irnE6vp5OWj3g7HU/EgxB/IwQoEOCeRZBAoREa23Gw4u6myV5bmWOjksuhrhU5fqwWjX - Zmz90nDVuSYd4WI5SZfiOkSqL2MOl9fJy/jaIfNybeyr9TtsCc/r1yEKdFjka9utDbnVLuLQZVa9 - Xt7Sv/K4LvfZtW11iLAyq+mnNfLzYKu4trYHO0gpW98q6q6ndSmtXImhsAe3/3BBOPfKQff14/n6 - sUfXeq3p9UMA7MHhVsRh9Vh6cNcNvjxO1zh4iNhatPMQ8nvBYUxE6u0xXx3lhp2xxsrDl8fzg28K - BB6gVo/o7gHLfg+O5goxMQhEpUGELeNd60a+drdQfe1wfbXv6N9my61I643O5Q10U4dBFfU28PPl - SAIUXf12D7/1BhlOU2Q4LKwdSZ5DDkDMcH5MuHqN316ewi3PBDQA8LqL2GqNiLhhOM8atv6yOgL4 - 6hxmyGWHHD7vGh5ULI8ZXg8Cw4BlCOFe698Pne2oDVGHwzmJ1Gk+2DGxfrwyCDwsx7TaZ+1gaQBw - VQynr1fiIfq55IfOHy96vncoy/z5cL4HDD8Ny13g8I/a2h567W0PV4ucc9u27t73fdu2ZqaqZoDG - /f30hUe/+IGf/eAimQOLLtWk7frFrusAWH7qA23TNCkl1LTvrlvvAsCWZ3Y1vRtACKH2g+WVIBHR - 81iNkx7OFurP6PI81pafKlQO+jfD+T+pCsCLiBc3Fc2uurzwM0ejMEvBC3LGokPqP3L/A0c7uzfl - keWmeNg9Jwg96hF+uOhTIKM40AC+dpbT90kBQGput+Pw+eLafGG4kl2bTj/46LyOK8vr31V3X3b2 - p/Nqq+/4EOgth85s127x1dn0g9Tw9Sk5dKOqINTlmRCAbjaLgtaRuzQC+kVuBMhQgTpSMgEMSEBb - ujvOdLdO2r9z5+2nRH/t0S8gKiSEZuRAcjSr6Yj1sqImiYsBBq1rIQCw5R1UseWcLeeLv5NERERE - RERERERERERERERERERERERERERERERERERERERERERERERERJfDzASIMQJwd2UpbHpuqNfEFtco - 2bpGGstJEGLe+J4H3vwHn/79Tvv9/mwcSSrWaGPFi8LFINbUWsVDZnaNwXGXXCyLIvelJnYYCho3 - z8W7vtmb4fSZ/b94dE/qFwTmQ/ljg8C2a6xAcTETMzEFXByAqCTvNECAVLPMGpRSamDYsIeIGaCw - goO6uCYQR3CoQHyVRAZ3FW/UVWCQIlJSKmGMUuCKNiLNMVVpvMXuaDscf8fr3/2S217l50ITRiUl - ACLiKBAPUVE8ZzS6kU2aOO5nZbO5Lnd7d269+Eff9Nf+wT//+2my126OZv0ilTxpW7eF1ixrRSeu - wwKEu0cMgegmQ91ndQwB3gWuNe/DiiRvioVsPl9Y/Oz+N8Ms/MGpaAVwH7XjzenW5mTz6OTYqBlP - 2pE2bRsigqJYX3IpJZV+Pt/f3d89t3duPp/3uSsoHrNJdik2zqbFxCBmDghio70t1IGIVNe7wr14 - hEM9xyiIYsEzLGdHHMdULDsiEB0NWnhJXZlIM5rf8H3//ru0HAlxw7s8GsWc81B02dXrCnWVC4pF - E7m7hgAgpSQCd4iIX/EbCqO7iYiIiK46Q5bN+bHEfmFOsV3yn2tfPfSvpxWV9xyEItva67M2ovr1 - i71efDbXgicPpuQZTMIzn+oLJuxwruGTsWvm9cAFmU3Px6DHi8yRXbglX7CbXAlr6tvxeuGOdqiL - 69W2QTydnf1SDm0VF+vhKQ9NfsGbS7pwgi+1zz71Gjm8wfvadFz4W3DF32+54l3k5wbLDedQnu5V - +wpc/Cih53327dyWaiz34U4X3S2e1tHg8H5x4Vp7dh369XlKF/4iX/x7V9+B+rlywfneJTaMq/H1 - wrm4uOfhudzzyMbGRt/38/l8c3OzxmmXUhAiXB9//PT73v+zs9kCQNu2fd+XUi53+DWlO8aYc+66 - bjweLxaLOqLNzc0zZ86YmbvHGEspNbSbiOha4+flL9dWETIkT19AL/IOEAkpdU0zApAX3Xgy6jPa - iOiOXEKfYIb57EMvfXBjd/c2kcmiHxVvHHKQfS0G6Nr53SXPEA89K79En5d7A/BJzk79ab5eOhf8 - wiE+zSm8RD9PdlVbAAGuc6RZH4Fm1PzSvffubmz9+qf/HZoiITZtCwUUEGQvQUQRBJb63uGmIcYA - YDbrNybtsJ5ccRA1TkRERERERERERERERERERERERERERERERERERERERERERERERERERERERFcu - gcFhCgAKV4cBoTTNbOPtr/3h//kT/33YLnv9Tmw1pRxCcIHXDGxfRY2oARBbhWJI/c8AwMQAg6AA - eEZV3mWor2t4ktK3cpD5hYv048MkiQOyrNZrMGhNKHABgEUum1vtou+DQhQlYTwWLAK6ZrMc+cHX - //BDt70qzEebzfb+mf2tje1cDDATB2xIOvAIAKUgaBBRREmjRrdfePyht33XX/6nf/BPHn/8G9sn - tmdpr+/nR45Nzz4+m2ygU3KmTQAAIABJREFU2FB+eVX2eUXXZ3+o/Qs1W86gmRhCrqX5ZflNd3fH - HnDWtJmP7HGLEgXB3QGtvZmZqrq6ixXPRbK1RceKALN86dVxaPHWBHYHzAANJoBCzMSgyDk70KhE - iJoWOEppHE1u7jr6wCte+LqmbORejkw2Z2dPjadi4nBArBaXlqdRlpnoasHobiIiIiIiIiIiIiIi - elK7u7sxxul0CkBVSykxRhP9ype/+iM/+u5vfvObTdOEEBaLhaqqas5P8UjvPCml9fTunLOIuLuI - nD171t1rXHfOOcZYHyU+J/NJRHRFWmZmI0hN79b1KGqpbV3kULi3OILgoKmKHxw2YxjVwU3aEcza - WMQysqEvWOSfu+/BI/P53fCYXCx59C4iOYJBHcElQAPMniKxmy6DAwvBnmM8meScjs/T9v7Z//bO - +86J/O3P/gnGAZuj/dKH8RZErc9tbOHaaAQAQeoXsW3HE02WNUQViOFgIxFADK5glDcRERERERER - EREREREREREREREREREREREREREREREREREREREREREREdGVx2tCsjcQKwJBhiM4Jrb94tte/rqT - 3/svP/PPJ5ujvUU3nsRFlwOiOMRNAQjEDQ4VNVcBVundAEyvxFLCBqgjWAxQgxV1076oxTHmfe8d - puNoHeZ99s1oOW7rDd//6kdeft93TfK2zOCeJ0300mGVuC0wQE1r1ra4CyyrQawYvI8ntl/w3fc/ - vDeff/LT/+e5M6emNzR7C5zemU03kTIEEKj6weSt/r/M4h461Yjxi4aXA3Af+hMREbh7zpbLfNJO - 3eGWzcxdBCIIpqUvRQBRmJiLGczhYpe9wgoAQRCoLwStQw3R3SRkN7RAKG4FRUpRF8HUJhv52Btf - 9fB2czR0KuZqHmOE1+WpBsWwKO0pxk3XMK8Z9VcPRncTEREREREREREREdGTmkwmo9FoZ2enlNK2 - raqKyGOPPfb+97//8ccfDyGUUlJKIhJj7Pv+GYwipQSgZn7nnOswzazGhAPoum40GgFgbjcRXWuk - tlHAWviy4CC9W5ZvDneA21p0NyAKIGfEiG5eRqOA1MGTqCF1WJT3P/DQ8V5unvU3aBilZIYELwVF - AcAEMrTfOW8K6FtlEPcwHTX9ot/Q4KU/gpD2nphI88v33n16a/xrf/hvN45uonTQFhpslnUUAZR5 - H6ZN00RHVlEPq+R2wFebgkFsuQURERERERERERERERERERERERERERERERERERERERERERERERER - ERERERHRFaWGJQOIgAFZBQILphPf6M7N3vzKt3/963/+Z2f+cLwJCWIBASYO9ZrbPQxFHCowQHxI - mF5+cIXVEnaoQ7yWzK252VbUTLEoGAVMJmGxk9vQHp0cne2lI+HEax94+PUve2vbt7aH1mJJ/dbG - 9t7enrQ6ZEu7wsUECogjiAAo6AVtCCHngP12s73hza/6wXO7Z/7tV//vc6dPNdti7ilDNeBQWraq - w4Y6z2sfeE3sfoooa3e4Q8RVRVVV4UH6tAA0QKAQCRC4F7hrVBERdREUuA61pQWXk97tQAEUMEHw - YQod6mICiKNVeEbpPYzUojce29nWy+/67pfe9YrZN/KGRoH288V0POnLbL2UsQEqJg7WN6bziAwH - HkZ3ExERERERERERERHR1Wb1fPGwnG02O9e27dbWkf39/bYdnTp16kMf/LnPfvbzDozH48ViAcDd - SynPYLSqWp+sqKqZiUgppXZZPXEZjUY5ZxEJIXwLc0hEdBVyiBswxG8P5IK+BLbMaw5Yaw2z1meM - cEGcBnhG2geAs/u/8LJXXA/cfW5vIjpxS2kBYAJtINncbBhsERRBPcqLs63Es6lH0c7GUJQ+Ahq8 - Lz4ep+1FN7LFr9z30DfNf+PRRxE7bE50MkoJcQTdbs1MAS/WIzehres9CyCIy2Y2fpGNhYiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiK4IqzhoxxDcrIB41F43yvb2ZvO2 - V7/z6//sSzOEU3t7cRPobejbda0QcQaGWrTnpXVfUfVp1VU8AjDJrmYCFwigjpygE6RS0GBztNHv - yJFy4/e98pHvf/Hb9ey0DZMmNFoQmtgv5qpwmIk7hqjyGibsglwsqIgAUkJoRzaxBWKSSTr6Y2/6 - D8799qlHz3363O7pdkPNNaUcg4rbQUC1qw4rRcWH6v0uw1qSJ48qFhkWvDtKcREXgYh4dMDMtQYe - u7u7QGDFXB1udcwuEHmGlZ9ttdIFhjrNBoc4xMTNm9BokLn1kuOtm/f8pVe9Q3ea7bDVWFQVT31K - GaoOWK0/LXVI7jWw/ILwAqL13G73q6ASNjdiIiIiIiIiIiIiIiJ6Uu4+mUxU9dy5c6o6m80+9KEP - fepTnxbFaDSqud1t24YQ3F3ksp+LmFmMsSZ2hxDMzMxyzu4eYyylpJQAxBjrKJ79OSQiusI54MsW - NDKkaLsAsnwYfd6htzbjcB3+auZ3bT5iKaQFdndQ/H133/e3XvSSW/b7zdOP3+p+PXIs3QZkIzYO - C/AGaIC4HLwLipjLJZuH0OXbbCcNVGABCIKu+GSMxdy2A7bn+cT+/F6L/9U993/0gQexN8POTqNm - XQaQLZWSNcQQmiFOXQDAgCwoggwYlGuLiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIjoaqDLP/EO23FbzsX7b3jJw694JOyOR954P5QLNgGgjtYRfVmveFWL9ootIWyCmgNd - c7vrzARHMExGSAldh/E4znf6Ub/5ppc+8r0veutRualZTJt+rL0GiQpZdLO2jQDWyjTrEDINc4ED - Q8X7kgKkQdtiOvXtuLvxnre8986Nk5vliO0geBPCkNNtYiaw4Y0drAhXALLMrvYnL8Nv5gBUJYSh - Wr873F2jQlHUspSCVFBMM6KFNsRGNYrIMFh32DNZcVpnv2gtYm2QLLAgcKtJ4QhRJKvvY9OPfe8r - 3nrT9C7txjE3WjzCQxTzshrU4Yxju9gY6dq1iorwum1dPeJ3egKIiIiIiIiIiIiIiOjKpABiVHcv - Lu14KiIf/hsf+te/9yl3uKPrOhERkZTSt/J0JKWkqqoKoO/7OszavWma9WcwzyAanIjoqlePggoI - MlCbMAigQADqcVGAsOwRMAggcfi3oACKLJLQzTC3jz740snjuw+1o8VsEeFHEIJ1+wYISpS9lCaA - LhPDfRisD5NwNT0KvwoofNbPRkHg3hvgMCAvcLSRWe9t1P2cQt4/3o7HT5z51Vvv/Krbb375i+Ho - NuazdjIBQoHmZfugAETAYQVaAIfK4XYuRERERERERERERERERERERERERERERERERERERERERERE - REREREREREREdGURG8K4XeFqkACIupu1Ns57kze85O1//o2vPdr/6dfn/5+MAamVbCMQIIAYdKhD - qzakeovD5UrMXS5qgNUsbAHEoQ4T5IS2AUTyTLZ9+42veMsjr3xns38k7+iRyfX9bD+VFNomWd+0 - bXFzwVB8d1UzWbIBCGqCIEELkIoPgeaqPtrQ663z9zz8gf/lE3//S7uf20873uhyUAAMwxJTsWHg - ugyvFr9Ubvc6EQlBVtX1S7HitTsEgLgIoJ5LwrIfFcgyyHyoQP30iA+FqU0UAg1ZfBiMupi4BZjD - LKNvT8RbX/PCN778nu8uZ8MkbPZ9keDFU4gGkbJWCFt8OTFEFxAZ6pRfXdHdrNRNRERERERERERE - RERPysxyzjHGvu9//ud//nd+55NmAFBTtEXE3d09hACgvl4WEYkxmpmZLRaLEEIdmojUocUYSyml - lDquZ3n2iIiufDV+WVGAcihOe2i0AQcMcCwbVyhEh28J4AiWJXWYzbCz94sn77vh9NkXmLV7Z46I - jGFA6YBJQFTMkrcRGmUfSEC/nhTuUB/e0LNoDEhxc8SABDQKAUryVsWKjZuY+76Z751Aub7bu0/w - sZc9hHNPoBi6Hikp0AIRQN0q3GqGty5fiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiI6AolBpjWP0etMeyARgCQ0oxwZBM3vOMN7z5WbjqC65pSSwSrAy5mai5DieJKDeoK - V3GVK6yYsIuZZgt5mK5VsLYjCqRX7DZbdvzhV/7A9730rXF/MkqTjXZjf3cWQtjc3MxWINKM2kXK - huhQeBRXAOJWk8+hnnOGSQiNqko0D2aSPZt07Wa6/o6Nk3/59e+5ZXzXaDGNfQxDNDf84M9cs4vV - haoOwDAEbz/prKkKAHevJfdr9X6zobK+CEKARnGBO8xMxEVQ/wC4wwosX/YiFVM1VQfEIKiZ6OpI - BcXhUSQAFsZ5eu/Rl771VT+i88lIN7tZOrK9bZ6yd9posuQ1PX65zbAMNV3aVZcZwWLdREREV6J6 - RqGqjKQiIiIiIiIiom+Pms/tKCFKfbBXM7OhsbiUYr/xG7/5j/7RP649hzDcsrDlQ79Syur1omrU - dwhBdXg2Ud+4e845hGBmNbF79ZVVnyGEGuO9/ikR0TVB4AFZ0QN5mdgNDCndlkoN7ba+h3nN9DaD - Q5PDAYgh9Zgt8MTuL95679+984Fbz+4d7Rdi8yDeWK9uc0VSdAVeMAEkoyueA2YBi4CkMEFwNI7G - EXi7+lkly4zt4PACBcwASIGYAS7IZSIIZlrSCBin2a07537pnpM/f/dJ7C5g2ft5yIgGDK2jFK7e - p+iIjmiXaMxDRERERERERERERERERERERERERERERERERERERERERERERERERERERERPSkRYFpue - e1lh4gimwVQdLl60zMuejCXDVUbSTW9s7/jxN/30zXpn7DatR0CAJ9fOtJeIUusG15RoQBw6pC9f - cXm1C7M4UREpPSKiqpgAwFhHshtvkjvf8tCPPPzADx7X20LfoOSS+3akJj7PC4+wIIuSpGnhtbKv - ACoOhQuyIMMtBHGTbCiKLKVob9IXyxE69o3+8XDf8Zf82Jt+8tb2rvF8OrLpSGPJACAR2eEKNIBk - SF5L7waAS0ShXzRqsCZzB4ECXuDFFRBRoAarD/Hq9U8BvezjjYpJECnJGkHbwA0iMI/FNLRtKS4O - nYdbN+76ode+ZzvdEtPUirZtO1vMEE0bWZS5BJjYwUAd4iouNRadaEVEzAxA0zR937tfNeER3JSJ - iIiIiIiIiIiIiAiTySSl1HWdiKiqiPR937Zt3/dN0/zWb/3W3/t7/xMAd4QgpVx2dqu7i0gppYaC - A1gFdW9ubi4WCzPLOa+euBAREQAHElCWod0KKBCBBghAE0PpMwQ6ahG8TwuIiepi3jWA7O1h0WE+ - e9/td/7KAy+9bXd+Yt5tpzwyV3eBBxRBKYIiqM1T6sNjFxRBDig6dMcyLFzBKOhnn1/8n8PaWC1x - B6JhOutv6dNNu/sfveMO7M103qObI5UAOJBTsS5rbLxPcEAuGDoRERERERERERERERERERERERER - ERERERERERERERERERERERERERERERFdCcQgDri6So11BiDZNYdR05U+iwNBUojz8R2bJ9/xmncf - KbceCTfZ3McxmJk4XBAD3GEOuMIVMPFDmdNXjskEe3uWkwdt3MWKlB4Nop3BdX7Lm1/2rre94oem - s2Ozb/STdtK0waW4FJfsYi5eBAZ1wEXqzIqLDtHXprDgprXGsiKLZoWLQ7wJ2oaIjAbjvW92d1/3 - wE+97X0vmNyrs8bmOkJsNVqCCDRiPocLXFCjuwHoM62dX9O+L5H5vcoUVn8m68vMQgijCGT0+/Ae - AjV4M2rFVZJM8vZ14ZYfffgnX7B9v5yLwVoABphkl1LUTMwEqOnnYrqsiKx1g2R6N13gokH1Vzhu - x0REREREREREREREhPl87u6bm5s7Ozs1WtsEXU7j8fjjH//Hv/wrv5oLHIhNm4u3o9HlDl9EVs9R - RCSEkFKqed5nz54FEEKIMeacQwhM7yYiWidAAFqgdbSGaBADksMRRtHFeqSF92hjDxgwGY3QJxhw - du+Xbn/hA7P+hrNnpyUJLAmKQB3BhyjuaAi1sQnEhoRuCSZ60B1gAPRzw4Gy/PPlnwz/r62m4IAJ - siIJXDAN2HJvF/u3d91/d8fJ/+yek1jM4At4tr6PIWgTofBGHD2sh/AnlYiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6EqkyAKDR3gDV6BAEiRlKcmLN8GDChBye6Rc/7Lb - v+eH3/i+uHfsWDyhnbVAUFhGzoCNYRN4BGqlYasBzd/p+TtEHOgwUYzaJrahK9ldtppNP9vcGO54 - z5ve+6YHHtEzmxt29LqNE7nrk/WmyTSZliJeZJWoPeTwCkxXtZNdAQSDuNc46hxQRAFRF8u9W5fR - bW1NxnHanba7Nh/8sTf81AuPPRjnk7abYh5DxrjR3GM8xlCqGQBMvuW5rtHsy7+DJO8a162uy+z2 - y80XNtOS80INrWMsmLQa2xFUUpq3EsLeJJ7Z/um3/c1bx/fLfohohlFLdjETczFfqz6tjmWW/NDh - W5t1et666tK7uSkTERERERERERERERGapqlx2seOHSul9H3ftm3bjD/xid/52Mf+k6YJIQiAvu8n - k0nXdZc7fHev4dwAUkqllCEg3AxAfd91XX2jyucXREQDBQIQAbG1nGcDVODmYsmTikQJCkeah5zR - ddif/ezd9/zqAy8+tvvEVr+75SWi+LIJRA3t9joYR3ARHDT/iIbo3hgEMIEJigxNbL7FNiJ0obqE - 1//K8MZR15RDADhMYEBf0Kd8rG2mJZ/Y37/uidP/8YtOYmcHZ3dbF6QO4gYXVVFxucqaLxARERER - EREREREREREREREREREREREREREREREREREREREREREREREREV0zfJmuLQ41gYuJG2BmZkBoYipJ - REbalj0Ju5MHb/733vuOD8edadtPNyRowihGK1iWMR4KvLsA8i2HTj8HJKNBnM9SSqmNEyya0WLr - BZN7/8r3vffFN75y1G+HrkWJgIiomC8DyIcMcpdaZNkAX+Z2D3NpojW5XIGaS20AoPAorrAi4qLl - zO7pcTvZHh3rHsfJG17642/56XuPPTjpto/IdU2Zpj0rHaIu07UFWCVbr2dcP201lhs1FftZJ2hH - ao46q24h9dLNckm58SD7coPe/lNv+xt3bT54RG5Ke/3GZKyopa4B+DIEXcUjMGQD1M1v2c8zmGO6 - Vlxd6d2MviAiIiIiIiIiIiIiIohIzrlt252dnZzzZDIppXzyk5/8yEc+0raaUnEXkQDofNE/s+cL - pZSc82g0qv9cPVBZvRmNRjnnUsqzMkdERM8DAkRocD1oH7J6GK1IMAOChAAPnmNatA7M93D29Mfu - uvuelMdnHr9ew/ZoPEPJ9Usu6nCgCIrABbIWyK3wCG/graMxBEdRpICsKMs++YD5WebiELjApTbz - yYqscIE6GkfraA3Rpbacihoc2OtTCCjSXz+SE4+f/m9OPvif3/sgzp1DkxfaK4p1C7iIhu/07BER - EREREREREREREREREREREREREREREREREREREREREREREREREREREdFFibjCtQiKmi/zktW0lSYi - mOW+X7iXGEQL2tRM55t3b9z3Mz/4we10o50db8pGmWHSbACoJYxd4ALUROsrLLpbXcXVi25Mxt7b - 2Eab5eiJcvtPP/LhV97+urC/mWcYT6dZ8qKfRQ1BorrWeGlA6uISAFLr+CYgy1C4WeDBEOBhmH9Y - cAsGAeA6Hk+73IVGiudc+km7EW1su82Nesf73vHh+4+/rJxujoTrYx5ttjH350+5ibqoP6PlKecH - HK+KTZuJmdhyxR0klD99nk0FMUgpIXsUbaLEjbgx7SZH+mM/+vqffPENr55012HWbIwnucyArG4K - ExdxFVexBh7UgrhKDe2uwefC3G46n8iwD1xdud1gZXUiIiIiIiIiIiIiIgJQSjGzvu8nk0nt8tk/ - +/xHP/rR/f2u7y2EICLu3rYt3Ju2vdzh10cpMcau6+obAGbm7jHGUkpKqXYPIVx1j1uIiL5NVunZ - AS6QoMWTwmTRSQKyYmf/gy984X9x8t7bSz/ZO7cBMSv7/SIdDGDZbORwFLivXpbjUUAcwaDLz2z5 - Ss+uoemMuADiUIf6+tqAQFbtgwBEDW0ADDNH3/W3NnHz7Onj5878p/efxM7ueLaPlEJoLRUzZZMA - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiK5Q3LmLiRa2om6h6DBYb - V8nmlps2qGopBVLGMYwX4Ui/dd/xl/zEIx+4aXoy70zQR0tZkCCdaQYMDhOsMryvHCYmAVAvs/74 - +LieCSeve+jnfuIXbp/eNzsl12/d3Dbjc7tnEcp4Ej0XMYiLugzB0q4AxCFuiiIoggIUAA51REcs - Ek1UXIMjuKlbLbBc3AxiZtubWzA5c+acapyErdF8ezq77t3f/9de+8AbFqfSdjwy0rGWOhaY1D91 - gdexXOYivVhu94WL5RktTUAdyIgCU+lgMtZ2MvLisi8n4i0//Ib3PHTLK0azyaiMo4dusTArUiPd - HQqoBXhQj+qxRsirQ33IEV8FyRM9D8Tv9AQQEREREREREREREdF3nqqORiMAZjYajT7zmc/81b/6 - U2fO7GmECHIqta+UM6Cp7y93+O4eQsg5xxhrMvfZs2drHHhKqWmaVVy3u9ecbyIicqAAIgg1tNuB - g/Ygnq3f1CjFYQELw37/sZe87N7F3mbq9hNCK13vTVAvtjUa525Rh2kHAxcARSDw2nzk4CMBAAXa - guW3pBcACH5+Ww/6VoRVRvfwf1nvsFz8LkCob80SfBrafe83R+qw3KcJkGV+4yL/3Ttf9BjCr33x - ixgHHY+yDmnvREREREREREREREREREREREREREREREREREREREREREREREREREREREREdGVxhUfA - TLMJAA0GsVFw85KCQDygCTDJuQ9arOQpNOrWqbN27w2v/itvP/a//4t/8PXF52Y47dKZooZ2w9Vh - q3q3F6RHf8e4oIsm2bZkYqf8LS95+5tf/U7Z3YRMRuPxzmKh8NE0WumTaVQtxVWjOQBVAIDBFBlw - QVYxuJooPABqCC51fjV41qHaspmYi85TnmxuLvZnKXUxtJM2IuhisZjo9sZ4E1l/4PXv2jo+/u1/ - 9X+Ye4jqbocStV1NAVh4hgvzIAb7ouHfB+O6nOGLow3qjk6sU+QwX/TzZhFfsHHnWx9612vufjju - TTZHR0qfF9ZvbW3N5/MgKg4XNQCuCpXlBGkth7zcXAwCMXW93Fml572aJeFXVa1yRncTERERERER - ERERERFysjhqUazP9tjXv/oz7//A44+fixGpDJGfqqqhySlpaACxYk8xxAuYmYjknEUkpRRCACAi - 9U2MsZQCIITA9G4ier7yZROJgLU2EFIjuQ/1BkBgAAxD0wSVoZMDDih8U6MsOmRgkd5/9wtPNO2J - /d1p120K2ga7vYc2WLEoYdYt2mX293IcAhlam6w/33Y5aMShPsRFG6BAluX7q+mB+FVGl6vJoIAN - 60KGZV5b/rRAX/qNEE93eSxoHA2Agial47kfI3747nt+8/Ofg0iMEVGWW9Bqu1qpKxZP9jERERER - ERERERERERERERERERERERERERERERERERERERERERERERERERE9Z2p5WBXYsnSxAoAroFGlc/dc - 3EWCNo2W+Tx4WxZ5oznqs+6u7Yd+4i+9/5/97j/8s6//Xm+WY29AUbhYrTN8sdTl9W7LUsRyUHP4 - YtXn1cXE1eXQh+KHUqgvUtf2gjrGwTRaE+bNDe1tj7zpba++5/XNYlswbXTToDl12qBpmmxmZh7C - MhpYV6PTtVLOtszz9rXKy14nxVR8OTcCAHHUzuddE1tx5NKHGN2LiFhv4npseuLMvr/xoUeObBz7 - +P/zvy6wu9D9HHuTOo/mB2WZ1QXidql5d+hy9s9baDivTPTaorpgAa7W1DAXwwQ4AJgMY8jFXBBC - nDaKPmAfJ4/d/67veff9R14eZlPt296TKlSRkwnC2pJSrOV2Y6hWbPYk4eJEVzVGdxMREV25mFBF - RERERERERN+CC559Lh/OlVKm02nf9yV70zR936uqNm0xCOKZs2f++gc//NWvfdMFKQPLZ2hmZtYB - sJIuMVYRcfcav21mAFS1vnF3VRWRGtGdcw4hiIgOTzZRM7zBuyJE9PxlQAIECLChEYroqhWLYGgi - YYDDAgyAwwVSD8Uppya0xW2kIecsLuiAszv/5UsevK/bn/Y5ptIAnaMkjASeCgBx07UmL3VQCjev - vw6+3n1o1bE2wcuPvLbMYG73s+u8xWkH68Fq65VVT8umTrXxjyxKHgsAFIE4WoED2U2tu7Erv3jy - nr/z7z6N0QTjCaaT+vUavt4AbqaKtdR2lfVJ4Y8wERERERERERERERERERERERERERERERERERER - EREREREREREREREREV2rRKQGBtfq2e4uKu6sykrPhSEBWhxxKEZrLigKiBggIuIAHI4+mYQ2x5BS - VmvGNpYn9OR1Dx35rp/5N5+761986p8s2jOLZqd+3QSCYO5aLChU1N2zww0iMtSBF6hbjZIWHzKl - dUiWVgAmAOBYq0x8OIjaBcWhKkEEbrCD2raiEGgpgLmqihUHpnkjfGP7NSff+NqXfvftN9zZ9BvS - R7Fo1ouEcVCY+aIEUSiK1YVgh/c9gyvEHA1QKznXOr5DEWYZJsyKDHMxfL1YFLgXBzQEd0eBKqS1 - XCwuRtt+Yzp95Htf8APH33Tjx//l//bV9IW5nOkas4BSoGajEFBQRIubikpwdy8FEDQBZkOl57qw - ZBWQLcNiXH0EP+hxa81OAAAgAElEQVRyoWVstq6KEwsAqRNfVx/gqlCVFhFzzIJgktum39Dd8cvv - fvXbX/vO46NbmvlUyggazNVgKq2XEkRMHMNqvTCl3QwHAe3qF0t+p2ubmdUDiKr2fX/B58NB7Ns9 - WU8Do7uJiIiIiIiIiIiIiK4hIjKZTM6dO9c0TduMSynu3k6mfZdTTn2/+OhHP/aHf/ynqlCBqJRy - ea0B3H0Vzh1jrPncNbS7lBJCmM1mNck7Rj6kIKJrkQzZ3Kt/mK3isFdBystGHg6E2jSi5nbHRuBR - BPN5hGJn9z88+cCNqb/B0xZyyKUYAJQ6kIPjt+OCiGgAOkRyX2pq1z9kaPe3gV/07fLfBgQAgMEP - mtfUYO+CAJTctyFcF9Au5n/7nnu+Bv0fvvYYQlzM9kbXHXOgLx6CBB3C3GVoeKQHg2JuNxERERER - ERERERERERERERERERERERERERERERERERERERERERERERER0beJ4fzav+YXLRLr6mK9WpEyVhlL - U+bFT9uJya2vu/f7bzx64yf/6J9+/tSnw2Ypo7LIvYnBvW1jyjl1hoCmiaFpzKyWkQdgooDVosl6 - QXFadRgUAlmlOLtCbJVLLY5RCKWUkt0BVWgcZiknxGACBGhjDXIbLG6VE+9843tOHn/ohutvQhet - QxunITSlL8MSqKnSa6HRvlxE5y2Kg08PFp3pJcr7PgkPKKVEiy1GvrAQRw/d9OrrfuCGj/+///BP - T/1Ryad8lJoAE/SLMh6FkkrbNOZ9t3BRtGMEQUoA4HIosbu+H8oIr83GKgj9oMLw8s36NEsNKV/G - jy8SmgaxgbuUbKmYWwF8+9hk//T8/2fv3oJty+67vv/+/zHmXGtfzunTV7UurVtLsrp1s2RZAuwE - E5NAKAoS8sBDCBSQxJZwUhUXcgVkCwPBJOAiPIQUD4EqKJ4STC5UEsoGwsVxISMsWZJ1MZElI9uS - JXWfPr1va805xv+fh7n2Pvt0t9S6tdTn9PdTu9ZZe6655phjzHnW3rXHqP9vjL29syu/7ZHv/13v - /P2r6XB1euiqkqepW1j6pQzzy608dWDPc9CBZ5F5m9UrJxUDAAAAAAAAAIAXkM1mM47jwf6ViJim - aRiGWsfT09PiQ0S+5z3v+bmf+3lJEXLT15rbLcnMLiZLzKyUMs/z8tzMpmlqrbl7RMzzPAzDN7d3 - APA8V6SyLEcIyaOburwoyrL+wRSSSxYqklwmWUbvOdTB62rb5qFYsZSFrh//qUfe+LrW4vRJW9ej - 1jN0bbCYb7MZa3xN8tLjZS4VlUkRfe5nub9ez9PZyw+v/vArX/lXPvnx9T2HqdayjsVSii43l4Vs - uemUqrYs0CG6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACef0KSRbO2zZN12RvGmKOv - +vpF65ff+/ADL73nVT/3sX/8/k/+7PWTL+wdjjFse+09mrnVlTKztTZnk8tviXL2sJCUrpKqfalQ - G8urfineeZdLfR7t7ClLadPXVaWOTTZFthZZw6rKGH3SIK3ddVTLZu9Nr37Hb3/r737N1e9Yx6Fy - UJqbtZY9m6dklwrjWlxu6LkTph5z91aHGIdh3PbttlmsHxgf/kPf/8M/90v/+B/+q79/Y/v5ONjO - 5Xg89OPjfnUs2abMHKuFqU8566Kor2d67AYsfMntTk+7pepvpKcU9owB7bHsaRnL8Hp6WJU0jKmS - 24jWoxStD0zK3Gj7pbiWD17ze3/f7/6P3vLQd+vJ8e7D+zdPTnZe8vriAi5J4VSvxjcuM81us0rW - RHcDAAAAAAAAAPACsre3d3JyMg7riKi1mllmFB9a6+973/t++qf/sSQzDYNP23jWoz1dZpZSzKy1 - toR211pba621zIyIUkrv3d1rrRGxzM4CwAtFni9U8JApJVcsn4Ohy6tVpHSlZCryUhQhdw3KEtJm - o83mfa9/9OWKk5PHH9zfO543Vn2/jJuzzfjt6Ba+NfLSQqXUbsFNSCUVUqqP8lVZnfV24+z4gbuu - PX58dF8df+yt3/nnP/aLdnx0cPcDXT5NuTeYUgqX9+U+DIWb32aLHQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAIDnxu0WS4oXigwbhiGin83HtY5r+bzt0+mkWl6y/9r/8Le97PUvf/NP/8u/ - /6kvfnRea7bjHNQ9zeSuoZRURKZZRuRFeVvJ06T0UIRFyUvFby08dqnPknwXp+2SLOUKK+pdPSbV - oZRRyrnPvTUPXVnv6zjyZHjkxW/9t7/zd73uxW8a48o699smLGM17g9jbVNXqJSi6LvEbn095fG/ - bla81jL3uW02xbyW2psNfe9uHf721/6e1z306E+////4V5/52fFqnfJ4f19923uTXKNnkWKWScM4 - 9J5KD1sGM2Tql7PIU2m7x1taf1qStl9sMaVFzwjzlJdSpjZn5jhUU2xPQl17Gq7qJQ/f/8jv/p7f - +7K7XjFsDw7Xdx198fhgfaVlSHE+mBF2ce2Ar99tl9h9gehuAAAAAAAAAABeGNIlFR+iT7NmM6t1 - PDo62t8/nHv/yZ/8yZ/6qf9dkruia9rGej1uNtMzHehZ5ix775JWq9V2u5WUmctj772UIml51O08 - vwIA3xBTN6VUz6O6U9HlKdWL1RIuyZVqp63u1Yho83asrrPNf/6iVz5suufkKNXuWdt2czaklNk1 - +3J0PW3BBe4gqZtrlS5UKaWm8L5x2YPrw8duPLEni7ItR9f/zGse+bMf+5g2p2Uc1sN5vHu6QirW - pdgtd/rW9gQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4vlpqaJtR7hXPF561dQ2r2rWZ - 21n2lqUUH/Z8XVT6aW5O7PXX3vbQv/+Kj/zaB97/sX/2q0/86+N6NNWzzJBy7r13uauUlO2K0Zbl - 7g6Xlq+pX4p4TlPckvgcyl0JZU8Pk61ri55pimbzrNCelZX2NY1+Y/3Kex753nd8/5tf/tbVvOdH - fu3g2jSpDlVdsc3JsvpQqkVvN49/8cyWVp6z0ZQ81Vqv4xDurbU0Fam673mdT/LQr77cv+OP/M7/ - 4vW/8ub/8/1/74vbX51WR7aXvh8KTZOUGgYNaX1qVcOSgN5NXZ4WIclayTDJzysAh+S2BGmf1wTW - zQ+YkqqhMIVrKuqubkoLZZRotZQhRtuahe2pFve9+dr3v/n3fe8bv/+wXJ2eiLWueA6Hq73euruH - haS0XQ57LFeSAG98M2TmkkBxuyC6GwAAAAAAAACAF5DNZrNarZbnrbXDw8Ptdv4f//pf/5/+5t+S - tFqV7bYPQ4mIzWaq1Vt7lqDupzCzzKy1LrndtdbMjAhJ7t5aq7Uuj9M0jeP4bMcDgDuOuSy63JdV - IBmSm0mSXSyVsPPHVF1XNdUimev49F0Pv/7hud0zbwYLc51usrjWg2+nMPXDst727e00X41vQJ7f - KSltpbU0yiSlUnMbpIPV+mQ+8+jrbfz4w6/58V/5pA72bZDKIPkuHn73T4SaS8YSAgAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4XiqqfbYwq3X00qN1mdY+WK9q/erqrjn2NOVveeX3vebF - j3z01z74Mx/8v46nxzf9LEuzOvcy98y4XNnWZBGevgRId6+pkN2sTp++y+q2VNhSQnl5NdJ01qOH - hsx1Gde28u5lO4zzwUP3fcdv/Z7f/ugrvnvc7tfT4XA4KJ5nj2/rei/TJHNlhvU2p1kpS5J1nKdY - m9J3Z/hcj2fUnJReh1Ux0zxtcprCxqura08enxzuXT07qd/9yn/rFS9/9T/9yD/84Kf/3ye2n9tM - R55yVy2ekT0yzwO5c0kcN2mXk73rxBJD/oyPfnEtdDPDO86/S1Oalpz1tulj1L3Yz9NyUK699fXf - 9Vvf8jtevHrlYd7bbvT9XldlP+fMyPV6tW2bywOYMpNCTnA3Xpiouw0AAAAAAAAAwB3sqcHbtVZJ - Uw93L15ON9Pf/bs/9Vf/6l8rxXrPaduVyszes1bP/JrjXzOzlLKEcy9vf+KJJ8xMkpnN81xrrbXO - 8zyO4zzPwzB8M7oJALcJU5pCXpaVE5LkSpM0mvrFbim5miRTdal3TZOOT37stY++YTtpPjoYhs0c - g2s09a7tFIdeatpp37guHQd3IpM81U1a1tKYQqpST6WySFW26Zu7V3sn27OxliqdtvnBofy3r37d - ZxV/7dc/KzMdXJN5M0kqkuShHpJfLH8CAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXtjM - 7Gsv1A08d3y0YTvP5l7GwczDskU7a2fWNsXGvulR8sr6ntjOQ16576GXfs+rfufHfuUX/9XH3/+r - X/r/jvT4tDqexrOtbdN36dGespRlK+mp2nMIuRRSl4XUJPkSAm27DO+bTGbaXw+rGPIo25N6cP3Q - 217zvW959Ttffu9rV9q3M3n3sRQ1RdOq7GV6a93d18MoaZ5Sylp9bnEzufq8s5KeXmn/m8iy7tX9 - aZo2OotMG9OGWmutGm4cP7m3t25tHmU27790/ar/4O3/yVtf845/9IGf+vUbn7p+9JgG5Zjb2HZv - vi69z2mylEuWqrkbq+5P7dVT3NI9Vy+7jRaq6aUrLGSyrsNxpWO3s/KWl7zzd7z197zmRW/0s9FO - 6nbTru5fK/L5rA21qmi73ajuotBtKWEsSe58muGFiuhuAAAAAAAAAABeQCKi1uqpWmub+z/4B//g - x3/8z0rqPZf0bkmthbta+zonIyPCzFprS1Z3KUXSNE3jOO7t7W2329VqNQwDud0AXoByWa0gFbmk - VJhJ6UopVex8v/MnRaFpVg+dnv6pR9740uOzw36yHsrZPA9Fm1kpjdXVokUPaVhWsuAOZdqtWtL5 - PbKsdUlTD8nUc9meozRvz0yK1lO6ezWcnW3ulw21vOd1r//Ln/iE2qxhdXGnmZTLAwAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADg+Si6eq2uMkSftnNz96GOnp5F0bOOg6dNp5NZXddxyPVs - 23e+/Pve9urf+vmjz370Mx/60Kc/8Gs3Pl3sRo6tl216k5bobvdUN7d039WpXZKz3TJ0Xg63hJRe - ctnunmXIMW/YXatrj77yjd/58Dtfdd93XM0HyrQ3TGPVoB4ZIVuK5harJbKvhpqZZ5tTl9Vapdxs - T0u56KMpXXKlX/T6uRvQ7WZTStkb11ttp3my4qkyz/P+3nq7nYbia6s2SX1w33v9lbc9/Hte+clf - +9AHP/YLv/xrH79+8pjGofk0zdssHhZuiiW3+3z4+nlDac/QuuVuu6VLCsXu25BnVVRLK+GWXppW - fe+1L379v/3m3/nIi9++3lyzG6uVHUT2g71he7pN87313jxve28+WLeQ5UXFYUv3fM6j0PECkZlm - lnk7lbMmuhsAgOeR5TeJi98n3P32+sUCAAAAAAA8fyx/ZDCziGitlVJqrZk59chIpSv9n//zf/be - 9/6oe+m9S1pyuxfxbBNnl/+OseRwl7I7TmaO4zhNk6RSyhLRPY7j8sbVarU8IbcbwAvQxYIJSSHv - cilGd8X5ioWiNJmk7LU3SepnOt2+7+E3vuj0rMbGXCdzX5nmLjeVNLWUrGv312QWPtzxLtK7d2nb - JpPClSm/tBZn2dNVUpqmuZqGzHtaH64f/6lXv/YvfvqX5apapWuaso4mValfukMBAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACAF5wlNSxTpZSIcLeIbvZMubvAt1o0P5XkKclXvlJ6NjW5pHT1 - 7CaVwUvKupmX0JCTbCovqq9+8NGHv+8Nv/eLR5///PVf//inP/rrj//q567/m61Oc92yTN2alz54 - D3VFnsfnWdEoeTZzH0sfopcSdajrw/277hquvuFlj7zqvodf9sBrDsq1Oo9lLiVDMZlve7pqVQ5z - VGVxr4qQRUZT+lBcUmqWYpfbbZFLddxvXXBfeFUqeg9zDTZ2KdNVhim7D9azW2SRrVKjasxDP1q/ - Zf/eN33v9/zm8Wf/xSf+yfs/+bPXz35z767xbD7udU7L5eRzyep2s7xI7Q6dl/eXtASa23LhMi3N - zJTVVXcjH+ndV30c2t56Pvzu1/6WN7/6ba996RvX/WC+nq5VHYaI2bv1uY2lSJr6qVzuGdYvBtHS - JPd0ZTFlmihija/bEk5Rlvttms2UcXvkbBLdDQAAAAAAAADAHSgixnHcbE6vXLmy3W6XCf7tdnvl - 2t1PPvnkerX/4Q9/+Ad/8AfnOSWtVsNmO39Nx8/Mg4ODk5OTiy1Lbre7Z+Y0TUtq+Ha7rZXJCAC4 - yZaVEyZJKZm8S2W3diKaFJrHWhSpkzNJ/9kjr7l/O91zsrmiIrOe5sptynfrY3bH0a2ZzbjjeSps - uYXOH+3mmpeLe8OWrzRXmlSVV+e5nfoPP/qmv/JLH9Gq2bBeDWWaswwmlW9TbwAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAX0lYhHdJSneFR1W60mQeFinJPJeIaIV7SCpm8uJR21ys2VD3 - Xjpevfe+h97y0DuP25NH8+M3tl/64tFv/MZjn/3C4587np483jwR0Vprvacks1Jt5V6uXb13vbpy - bf/ea3fdf+9dD9xz7f5rV6/dNdy1PqoHfqXEft9YtKzusu2cZ6qSllMKWTMpJN/V0O2yy+nRqWW3 - b7m0SI8wLfWeLVV2ZxFpsYxjCbP0umzPItuPtj+3s5cN9Q+888F/953/3kc+/Qsf+OS/+PTnP7Up - Z8223bvVkLJn6+peS1p4Kq1IiuyZilCtZrsL5qk0uYdMdfNkrMeD0YpmjTm+8r5Xv+2173jjQ2+5 - kvcdlnuGzTomK2FeS48+z/PKR1fIIm5mcmfuvvWlM54uuUmSfUvHF3jeIC0DAAAAAAAAAIA70DjW - adpcuXLl+PhYkpnNkeuDw+uP37h27doHfuEX/uM/9IdkJdVKKV9rbrckdz85OSml9N7neR7Hsfee - mRHnk4oRklarVWa21gjwBoDLQkqpXixekFSk6lJkRMxb765N/Nff8cgbYqOTk3F/PN1MQ49Bbj5Y - dmWYlEpJsQsCN0kl88u0iTvDzeu7S+/OXW63dHPpy6UM7zD5KKWsSd0kad2nF3/h+vte+/o/98mP - qm20fzjWVWtKl7sAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAM83aequsBi6PN0yLKUs - ZtHc06JrKVEbad0kz1Cm9RjcrWaPlLyUcjAcbOf5Srn/2t59L1s/3K9s88XdTFZDg0X0RWa6u3tx - 977tZkNJdxurjZLp1Gz2fR1kz97mklHdq3nTXo1VdKXFUhNX1qXucsvziO58ahncy1WVl3rLeu4L - LYdFmNJk6b6cUoashS1tW6jKvKRkYZplc6rXUoqVPB3aSdy799D3veyhd7z433liuv6rX/rMxz/z - kU99/pcfO/rc1k/GsWuMKbdNspQizMy8ykKu3nNpJDNs12ErUe4dr10d7nnJtZe99qWvf81LHn3w - ykN7ua9NWQ0HMcXZPLtKNc9uxbzWdWQLhZRpkiJuzeb2NKUbJavxTWJmkjJT0u1VCp2cDAAAAAAA - AAAA7kxmdnR0NAzDwcHB0dFRT2Xm/v7+Zz7zmXe9613b7bbNKsV670sC99fRxDI1slqtttvtsqXW - 2nuPiIgws4gopZDbDQA3mXSe2H15yUKaTs+O66quXOqh482ffct3333j+krzfevyG8fTelX3bNXn - PsfssiLLJb7b5KG4mdls/i1YVIJvh7yUyW1SXqR3n3+bFy/Z7u4KS8+Q3KTYRXfHkHrQrTz+2Hvf - 8Ohf+KUPa1s1evVB9sztAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC+7UJ+Kfc6pKXi - sZu6pdwUUlj4ea3aIrPeengpxav1jDb1luFWarilZ4+V9gYvLuvb1jLyUt3kMHlK0jAM6lKXJFdR - ekZk+Nxk5tWHMihM0VMys2phspDJJFn3lClu1r+1OO+FSUrL85rNulSF96nx3s8BD4+Ql5QUy6OU - pkh5SGkRS4czlpq/xfP09NjM9vf2pcO2nXLS1bq3b9fuffClb3rZ20/05PXtb/7aY5/57Od+5fM3 - fuPz1z/XYjvPc8tIdXf36mZmaRFh3WqtV/fuuvvuu++75/6re1df/4o33lXvuWt13yoPfbtnZ7Xm - WDS2k63kQ7FSimX2uXmvpZbYjZ7CdqWL0yTJ0pWm9FsHFniBIioDAAAAAAAAAIA7UO+99354eNha - ++IXv3jt2rWW6r1fv379D/7BP/j5z38xQ+6+5Hv2/jXPlkXEOI7TNJVSttvtMAzzPLt7ay0iJJlZ - 753QbgC4bFn04ZI9JYHZZNLB3lqaNW10tPmhV77uFZu4v5aq+WjTH6zleNtm9S4NKiY1a2kyqaRM - 8lxSmXGHS11aXyRJu6VLcWmfW7abpOzn990S9R2WmzZfcdUnjt73mkf/3Cc/IbmGotm1EgHeAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPB8Y+klXJJlKJUWsq7cBXi7Sekm5Xlac8oG92gZ - kepRajF5MQ1eoqenSZmKkFpmREzTNK5XaW5mZrtI8OwhaTs3C8tMkzybu7uZBvO1d1lLD5l6RoaZ - hqKWTSmlS6MkV1gqLcJ6Wkgus5sZ5ClZxEVk+PKvNcl3vXuueOQgpaxJXQpLKb1E6b7koPe0Hial - WYySUj6uzd1D0dpZKsyLKYcyetZxGtd+cM/wole95I354rl58yG38+Z0c3Z2drbdnm3bNiIyc3// - cD2M63FvtVqty9rMLN1TNUNTyWlQrpSjxZDpLWK12lNM2btak3kpJc2n3qzooiDxbiBTSvNc7pPz - EbZGcDdeyAjMAAAAAAAAAADgDjQMQ2ttnudpmu6+++7j4+Myrm7cuPFH/+gf/43f+GKpLleEeu+y - 4u7RdWvu57Nw92malnxuSfM8L9sjwsy22+1qtVpyu6dpGsfxOegiANyWlpUoRVL6bgWLLWsbQnNX - 77p++qe/6x0vae3uopw2Za/OranlsAR8u1vYmeaLeOUlk1lSyQw7XxSDO1TYLpP76QHecb7pYoe8 - 9BaTXHm+dkalaHDltl2ZT977Xd/9F/7lB3SlaByVLuMmAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAIDnF0+v3SW5XIpukoVlynYV5n0X6O0pl9wUc4R7LS4zy0iT3KwUD5ObZ+asnpndVcZh - bzX2nsrMVKa0SwF3SaW4SvjyYkQolApTV/SI7KVmqT6WWmRd1nZF71O78snpyvM6zHJpye328+LK - EZIyltq5sjxP7L6ovvycDalSCsvY5XbLleX8xSUTPTK9m8zMUy16RLdsXmSDF/eM2G7mOprSq62q - PDPDJIVZ9uwr9SuSVqF9XWSiR0hSCdekEkWSq5ilYjaz8NrTUlUyl3m1Pk3KZhmZaaWWUpYi15Ir - /TxiIGQ6H9hl5M+7YHGRiH4zMR34euWlT4nbAtHdAAAAAAAAAADcgbbbbSml975arc7OzsZxbJnv - ete7PvzRT6zWvt2EJPmS42nRu6RLs4/PnuEdyyRmZilF0tLQZrORdHR0dOXKlXme3b2UQm43AFww - RVk+Y/181YipSZJqb+qhJ+f3vuHtdz3xxAPrcnZ2MhR96axdraujtt33EtF7RJH2pZ7qqW7L0hiV - VJFqqp+vgMCdJ0xp6qZy/oPapYsUb9stZlpSumVSV6Yt90OW7GXZNZVST226rqzKds79x278ybd9 - 109+9EMqeyp7z/VqJAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADA18oUqy5JYd7du5W0 - DG8ueYYrLMPTS3rIlSWtbHMuw1C9KNJ6qEdaqGVEWJHXMpSxKXtGa92k0YpkmdaVkkwuycx6C3OZ - TEqZSWVJg3aXFVeRhUwZsgjrrVk1KZZMcZd6uqVryQLf5Xl77CrphnSeln0pvdt3SdPx3EVNu5rU - XSFVyyFzF+8bFufR42ZZ0lxSWnaLUi16uCxMfe4RsR7Hg8P9aTO7VzOTW2b33EWgj16lGuedyszI - HhFFxa1WW4LYS2ZmUyh9OOgR2dPNqpmk7D3Va5GX0V1hatHnbJlmXjxcsrRlVGUpT9N5zWJPP+9L - hjVJnsNzNJjA8xlFtwEAAAAAAAAAuAOVUnyomTn1VsowR777XT/0gX/5YXdtt1GKlVKUae51KM9+ - uC/fSu89MyVtNpvlyZUrV1prwzCUUlprS8g3ANyxcpeVvfx7S3J23nz1gu1WLfgyV7u8WNU0dx2d - vvc73vjA6fae7Hl2snIV02EdI1LybfSLVOZ+8zjLIRV28e1tIJ52rpe6c8ur9rSvr9LT9w+7+fWM - B/9qzvAZz+frOL1vUNiXDWh/6jk8bT9fFtBIe0Vn235Yy8E0X33yyf/yDY9qs9F2q9ak6Hq2GPin - 3dsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgOeOKzx38dba5Ut7LBVn0/18u6eW56XW - kObepjb3DKul+CC31bgnaZ7nNkdmuru7m1lEZISkIlu2mJkkd5eUmU+pOj9vJ0UW81S0NvU+h4XV - IilNspRlWMoi7SvUq/92FbrNkmEZlqb0MFu+bj0ht5TSTSGLFrOUZiaZ17Je75vKycmZe83M3nuf - 20XpfrPzgsg91TObWbpHrRqLVQ/1ln2O3nuGlVLGcRWR5sMwjINXRWa04qq1FvPW2tk0t562k2Y3 - T/MZk4mXMstpX3kv4GuXLj3jf9znaSxF/XafAAAAeCozu/il2cyS6AsAAAAAACCdz2aFJD3T5GJE - LNOWbY5hGAMudeoAACAASURBVNJzbrO7eRlay/f+6J/5J//0Z2MJlZV63z3LbC3aV254mROVlJm1 - 1tZ2+y+53ZefXOxZa33KEwC4M6WUIblMcR51XKSi88UrufvQXr4zSaq7zGNTSBmqmjVPOjp+3xvf - cs/xjb22HaqpyUIKpaaUFUm7j3BNlxo35dKQ5+7V59tflJ+egS0ppTjPmHbJlC6Z1KVuSlNInruc - cnvqG28+1/mrl3tt54fdncDyLjtfIJK7syq52+dic2jXtGz3Fmm3wGjJun7KmVwc//J6k3guL4Hn - rvt+nhYfl87lcrtxvmVZnuR53kFJ5x1X1yDFPB+oDNt+2LY/9oqH//y//rRWXVfWzavkkVrbzU7a - efu35HbfRqHxAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwFfnPLT4+VbwFS9cKTWXtIvP - NqleVEhWSCVUdi8oZCGTpcpyC7sss2eLlDynnFXSZJIsFbEk8V5Umg/dUll5OWpIOt9hKXMbY9mL - rlxqNLtLeZG6F3K/KCecLulSendoV/K2n+9wXvn2KdG/+ZymTVtq0O70L7e71P31m1WOLZbiwLZL - LDZJJsvWUxqGEuqyXaleWwZt6fLSjMrSmCKWMdcSB267Ac3Mpq7ocqWmFrJcqjNnXAxRcZcyM7tM - ZjJlXg5E353t+eFv9iVdFp7DxVkBXycLU4mIjJs/Hc3Krj768/inJYEZAAAAAAAAAADcxpY47Xme - zaz3Po7jUFebefKUuytd8r/43/3E//I//6+SVqvVdjtfevczRIA/xZLVPY7jNE2SWmtmVkpprfXe - 3d3dj46OLjK8n6NuAsDz17IMRa5b46LLzaeXdpQr1eY0s8iso3mGjk/V2nsfefP+Y1+6dxzPlGop - aXSTtI18xmzmhV/a9DyelX6qZ8x6zkvPluTsJY/cbs3Gvth5idYuT+t2fvks6bxY2fO0cYtL6d03 - d86nJnPfbP3Sey9O8tl/rH7D/Nb+frmLfrH9We8QT7l6bdpvqrH9kVe95i/96qfUZ3elxsHUZ9W6 - W55TLrpo56NCbjcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAfEv0S9Hat9aqvRkULeki - iNqfXr/Xbtnhy+127ivW3M1byjI/hedXePHLH/lbmS391La+bGeX8TkfpWc8w6+uOPEz9S711Muh - S3WSn83X3y7wDbi4Qb/sB4Ckb0nR7q8K0d0AAAAAAAAAANzGMjMiMvPg4OD0ZLPdzO69Z5QymnKe - 29/5O3/7b/7Nvy1pb2/v7OzsK05SPoPW2jAM0zSZ2cHBwfHxcWa21iS5e0Qsod0R4c6sG4AXnLTd - xG9RlPRlZUp3pZSm3Yt2kby926FWa67Wm/VeW9fp9KcfecO14+MH9vfb9vRgdOux6ZoiuzTaV1i2 - cht4ysnb06KeU5Ks37r/EqHdXGHpabbslHLlkti9G+G8GdQdlw7s+UwT8ikzWUomX65dXuR2W5pS - vlwtS9dur5DmsJQpUxep37HsZRkyWXrKUvaVFhjdHlY9XlL1nkfe8Jc//UuDu7LLi4pydw/HRdB5 - apfeTXI3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAC0RmZsrM8jYpyk2KBgAAAAAA - AAAAt7Faq6Te+zRNksZxlHRwcDBNU/Hh7/29/+0nfuIvKbW/vz47OzO7nLD5DKmmz2h5Vynl+PjY - zPb29syslLJEhi/tunvvfYnxBoAXkuhSXz5RM5Zo4yKZFIquXW73LR+4oc22z33eK6q96fj0fW96 - 89UnbtxXdHR6PPeIiLOudR2G/X25520ejlxkRcVUTCZZnoc/XzDthmj5MqlIRW4pS3laSN2zm8Kz - +XmM9HK4W6Oj8/wr7JZWllDtpXlPldidx6Xcbt/ldkuWXqQqH6RBKiqe5mkha2bNrLk1s24KmSTP - m6cQt/e1Uo1+OG8Pr1//T1/9Op1u1UM9FYq+dDIuj2pcivIGAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAADAHW+J7r6N1G/3CQAAAAAAAAAAgK9RuiRZSGqt1VpX4960baUM47g+O7sRZ9ta - x5/5mX/03vf++DBYm/P0dCNJcl0ElX51hmFYwrlba5JqrWdnZ5J675kZEeM4RoS7l1K+6R0FgNtF - KuwiRzpUTGnRpSaXZPJdprMpila1mFJnJzqefuTlr7p/c/ai1Wqez/ZNh3ur7dk0Sj3t7HRTa1Wf - v33d+kaZrMol61KXhyJN2kVv61IsuUkKc1N4ypWuqMpM9VRK3dUtu0mSpYpUUuV8Yj4utXcxWR+3 - HF+m3f6+i1bP5V0puRS7PbtLRc138eGSrMu7vElpan6zrZKxnIOdn/9507fVeoFbubK1swfqsDpq - f/z+h/7G459r25O6f62kcomiP7cM7G3cVQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AHzVzOwppakzL5UCf74iuhsAAAAAAAAAgNuYmbXWxmG9fLfdbsdx9Dr+P//0n7z73X9iGGyes7hF - qJRhid/+mszzbGbu3nsvpczzvGR1R4SWuRDJ3TPT7Hk/KwIA33xeLtKcTSqh3EVJm0nyJrl8lJTn - wdIp611tq+tH/81b3/GSeb6nuLZn6Urpxum2SPtlvNEnt6IMu83jkdulOXOX4nxO3VO6Je7aPOU3 - 07fz0lt2cd2Zu8hoS1k+NT06TSmF3Tx42M30br95Rnb+mOf/hEu7gX7qaKcUuTsLWe4y2C1VQ0XL - Ce/267oT7FWdtvmBbH290uas7u9pc6y9fUtV8y65wtIluV0KTQcAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAMAd6iKle4mouI34s+8CAAAAAAAAAAC+/UJPichMV/pq3MuwzdzmyLlnC9Vx - /cEPfvA97/mRNmue0qTec0n4dn+meYFnS9zOzIhY0rslTdPUWlueLwHevXcz226335yOAsDtw6Qi - L3KTp7zrUpKzPOSxTMh2KdSlrvCYNE+6sfnRN33X6gu/+UD11luTppANWg1lz4fe+1q6++Cw9abb - Obu7mY5LHhedubpUlKvUKjWmimRS2C5suygHRVUu4xdSkybT5Ooml8bQOrTuWneNKdcynoolXlt2 - 8ZiybupmYbstksX5Y6h3ta52foAu5XLB0tRds2k2baWNdCqdWm6tN0spa+aq57rnXuSorFry1jN3 - P6T9Doiy3jYNplrbuD364Ze9UidnGlPaqkvNJe+qSlfIMsru6gEAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAODOd9tFd9dv9wkAAAAAAAAAAICv32azGYahyyKilBoRH/vYx37gB37wsS/d - cFeEMjUMwzzPki9J218TM1smP5b0bndvrWVm772UMgyDpFKKpNVqlZlmhHgCeIFJSS7JLCRPhbmU - nvLlBd/tI1eYutqsJ5780Te89YHNtFJst5tS1KV1UZvVshcplSadHd9Y+y50+raWlkpJYZJLJqXU - Jd8lZutiu85jtEPql36ehBQySWEepubWTd2V8pLnO7j6eRC4pyzl2mWnL/Hdnkt4d5qihCxVUqb0 - 8yl+S6UpTTcj2LXLErfzA16c6uWfdkt8+LLnchPcvoorTZvtdi29ZDX8yKOP/qWP/aKuXJVC7pd2 - dKldeg4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8vxDdDQDA88iSbhXRl29LKRFh - ZrtcFwAAAAAA8ALWWluv1601MyulTNMkyczCvMuUrlREfuELX/yBH/jBL33pCUkXOd3zPEuSvkz6 - 60Vk6XnqdmbWWltry/NSSu99yf+OiMxcNj79SOR2A3ghWj5FTUoVk+QyyTykFqquTOW0tdVgEZon - PfHkj735O68+eVTbZqzeI+aUpGHSKHN5u3lQedzefx0umevea/EWUV0Zms8Tu0PaH+1syiata43Q - UbT9Ulv0yNxfreftZlSxLN18kzGVMo31tGhbNZnlOB6dnlw5PLTNXL2kadtb3Vv95M//vKT/6u1v - z4glnHsX450yyTK8TYfjOB+d7ruv0/t0emhrZSuZoV6tzMuf6F3miq4h1KVV1aapm+qos0mjqbqi - KyV3RWSXZGFmitv4isVy+qliOqiat6cHMf/pN7z1Jz70EV0dtK6SWlPd/QoQtyaYAwAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAHeOpRB3RAyl9N4pxAkAeIHLTHefpo0kM8vbpCA30d0AAAAA - AAAAANwGxnHsvS/J2RExjqO7T9NkMkkRERE3bjzxx/7YH/vsZz/nrv5lcrq/nCWrexzHJRT8IiO8 - tdZ7X1qXlJkiohsALtwyK+xKyULylFxauU438/56sP1B262mps3mz7zlbQePXb9/NZ62jJ4pWZWn - SpjJlwN1KV015FLoNk7vtmVCusfuh1JV67JUMZXUyZR7dVDr11s7HPdq1Bvq2j842mzWZfTD9dnZ - JmrVuDq1bGP9yx/+kCxVq2qRmeTKrjRFKkO1SKFMRfz3n/ykUje/dPGYitB2q1L+h9//Bz718Y8f - rO87UuR2O6Q853UtfbtZ18Ey53k+HIrNfeU6axqKwnQ66dphPTlp2679uuS0yyJTunpw+PjR0d63 - Z6S/aYoXKaJndnnowb1y/YvXf+w73/7nf/njmkoZa3dtQm45mkkhlW/3KQMAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAADPgOhuAAAAAAAAAABuD5vNZr1e11rned5ut11LinZZrVbH01mt - ww/84Ls/8clPuftFRupXr7U2DMM0TWZ2cHBwfHycma01d8/MJc97ye2e59nMamWKAQAkSSmZ0iTJ - UkqXZCbNKlX762Hqc/h8UFNH/Udf/5Yrj3/pwdUwbU8Px1oytnNYV4R0ntudilZSUrXbObX7EpcO - 98YnzqZq2qRGU5aiplA+2UIahtXq8Xmr9frJ6De89gfuP+n9b3z4Q1qPstRQ5S53Rcqr0jU1jaNO - TnVwlyT1plqklJsyZaZcroqkW8cwpUhdNfX2Qz/zf6u4XIqm6GrtT7z1rf7k0RXfuxp+0LJrzj6m - 5pNoK69zb126NpYnjtsgDaZt15zp0uFQp7ltj44O5Km4fS+ap2VPmXXl3qD7UtvjzUuG/X/zhS/9 - kYce/luf/TfTZpPX1l2aog/SRdg8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA7lRm - 9u0+ha8TuRoAAAAAAAAAANwGzKyUImme58wspZhpvV5vt/OTN47X+/t/+A//4Q9+8Bclrdfr09PT - r68JSaWU4+NjM1uv15vNJiKW7Zl5cnJycHAwDMM3tWcAcJtLydSlkMYlbDt3GzWrjHLra0udHv3Q - qx55xcn2RavhZHtmUkY7aTo0d6tbTXF+vJD8PHg6vlLDt4cuueuJs6lKretwb3+zbZuWvRRfr7fK - jVsbhycnaVX/6kc+rmFPEdofVU3ZNZbmpclMJWVVZZ7b3sFKkq7dtWtjqGkKaUqVZeI+5SZP2a0x - 2mnqPWr1ebLxYE85K0PhSunk5K994mOaU1PTrD/5yBuv7B0czdOqrPrm9N79K8dPPnaX6jzpmg9d - vWek6a6D1WYzbVvbd4+Iqpy/paP7zZfSqow1N2dbHRYpdTadPri+OvXQ2Wa8a69LKRWvTVNJ+e26 - UgIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB3OKK7AQAAAAAAAAC4DczzvLe313vf - brfjONZaN3M7OTlbjXvDEO9+97vf//6fl+Tup6cbWVH2r+KofvFsGMo0TZJaa5JqrWdnZ8tLmZmZ - vfeDgwNJ2+221rrkiAMAFkuecZeKtMvcblKRMlaeevK6ul5VyrXsOc97rv31anM2raRIzX0a5LOi - WXaXp4aQSyHlszb8/JaSD+Vo7veMe9M0p3xz1rbyvnf4mPejdX2i6m9/8pc0TzpYq80a14pRddQ8 - K4qGtdJqejWlNIXSNQz1dM5xsM1Z21/XaP8/e3ceJNt134f9+/udc+693TPvPTyACAQSYokiIUAg - RVG0qGyVSqVsyYpjqWxns2OlUpETlSVrj1JBibIixpalWCwtFBUxKicqyv4jWiy5lASSYrGoEiXT - NG2BBECCBIiFJAiSWN+bpfvee875/fLH7Z7X8xasb5kBvp+auq+n+/Zdz0wPcM75fREjSoEEBIMG - wBHkcGi3AIALBIhRx2HZtq3nUUIEBNUQI060yAUzQQcUe/eXHkOu8ALP33n77U9V2Z6dniP6clFs - 2SKmoDu1X+4NXaNj8SbIXJu+jNfoSl82ItqXfguIQAHm2zoszPLydaP//dtu+7FH7w2SQjsHwpAt - pu5aHy8REREREREREREREREREREREREREREREREREREREREREREREREREREREREREV0NU3TF9GBV - /vvIY3Q3EREREREREREREdExYGuz2UxE+r53DSmlvu/f9a53ffCDH3Q7t7KI+IuMe805i4iq1lpD - CDnnpmnMrJTi7uM4hhBqraratu3lPTUiouNNAUAAh1VABTI9FQEBrKJWePqh27/mq/f3A6obKvDM - YlBg1syW43ILSQXwvOpiFuiqt3n6/hjnd5ugz1WBnZI9pd1c0J4oJ7cfGxbvefBBRGDWQgpOzKEA - OiCaBdXoGmU6+2IwRxAAjWKsCAFNkmpouyiCGDEMtW2DC9wxLvNslsSBgy77dW43AANqydMHmaii - GKIitYAPQ25nsyGPMUVt1eEyEykZFv7PRx7BkNHbD3z1ra87dWo2dqWUkhen5tvD0AfReSzLUiuq - rZrDMRZCiEV65K0Q9mrd2bMEROQZ6nxn+YNvvuPn7/0YNJTibXcCx2ZcBBERERERERERERERERER - ERERERERERERERERERERERERERERERERERERERERveowupuIiIiIiIiIiIiI6Bho27bWOgzD9vZ2 - KUVETpw8eebMmff84i/9+m/+U7NVRqm5aUhW6/NtTw8/NgDubmZTejeAcRynJ4dhmFJOfUMI4Qqd - KRHRcbIOh05TLDRQgajrl6ygGp7d+x9uv+OWsaDUlFAzZjEMIWaR/TFXQOfds4vdORANUeDTL2UI - kATmqMc6vbuJQWazx/f2hrbZPbX985+8DzGgbQGg6aAK6WDwbBJjHUfEAEAC+n5MTaONAnCznHPb - tm2wknNMKcAEamNGDG2n7j4OfdvOUgxwoGaE6Z7o4SU0pmXNTUjVa2oSgGrV3cOsrUBISSG1lBgE - CNCYc01tg6ZiNv7C049ib/+v3fKVbzp56oZxvr979qY0WwzLLYgAkiQAlo/xzQJ8UYbr51vPLnK1 - Ok+6pahiQ48KO51cntl551u/8SfvuzuevG5qpgc580RERERERERERERERERERERERERERERERERE - RERERERERERERERERERERERE9OohIke/Kjeju4mIiIiIiIiIiIiIjoGcc0ophFBrrbV23fyZp8/8 - 1m/91i//8j8CEIOWYiHGWopZhsoqUPMFMUy9Gu4ApvRuVS2luHuttW3b6SURAcDcbiKiTS4AIG5B - UAEDXCACuKFU9Mvvf8vXv36s2/1ggBkC0Jfal4oY3V0h+8u9TgGDAnDYKqlbr1ogsq33pH4uhdk3 - XtJ1v/d5rx528KJWQRUUxRDCIjbP1mo3/Vs/d8/daBOaBBU0nSMYFFCBaxARwBFSB0Hfj23XtF0z - Xc9qNWlo2wRYLSXGgJIlBMA0BQgAA6Rtk1kRdbhJEMAAW70qENh0zGMpTWwAhJgMqOZBw3TopZYY - Yi65iQGA51Fik2bdUK26t21reZluOPnbZ5/CcsQy/8Tb/twXz+7NtRuCoIyWK4CgED93xS56maYg - cQdMEHx1PU3g06uXuLhTIrxd4SD3ObBY7AtQgFJQ3AzQCHWMo98ckb/8DIqhHyERbZgOXlaHBgBw - haACAFYvT7npcrAmERERERERERERERERERERERERERERERERERERERERERERERERERERERERAVBx - VRcTdyk15KrZxaZ6tAeJuOoCj+IqLup6LY+XjpKp3q9vlpIWAwCf2o8CcLEacgmDiQVLGwWSp/La - EmqMtQ2mJja93cWmcsNsbHQedwdWqRbHCKO7iYiIji4RWcWVEBEREREREdEr1rkOJ7nw/wHIufzt - GGToF7P59jiOgOZc77rr937yJ396elcpBqDmAgACPH93xfnJ3u7ouq7v++nbUkqtFcCU0j2FduPc - /68gInrFmn6ByoVPXZRgNYYlV6QAgUF72MwdyxF7+z/6hjfcnoLnXZjPBbXCAQeiwGsNqx25GHz9 - q3m9N/PznrgyTOACF4gDDl2fe4EcpERP5yiAwgFUIIWwqDUA2113pu87DcXgQEIqQHbZlVq350+0 - 4efufwBtC1HolGutUJmCyXUa/TPtUM9d+q5rpmMLAABdRWsrgBAbwBDPH64hooCpThHoCtgUIL1a - rnakCsxis/lG3UhIb0IEEGJabXNa061VQCKAkLYAoG0RK9r8E5/5FBbjnd/4jvTMmZOQ18Q0Ls8W - Q9dh7NFEuGOoaEThJtPnM6BABAwYIL6+sCNQ1nchbtyFKg5AffUuAAWrVOwrZLoJEQJXBwQi6tWh - Dgca9+uq3XnzG3/6C5/HCYHMCkIp6CIAc9Rca6OdmRYFpjtoBjcIEPSgIRERERERERERERERERER - vXziF+9+vMTTkGs2A/xSx3llj2dz85e6JpujyA6vc0G/POe3vWwv7I4QERERERERERERERERERER - ERERERERERER0RGiqiJi5u4QESaJ0RWgMIneIMcQMbZ7+7Jbt/YHHdzQpuSjNTH1fb/dnir7Mg8n - vQ9sijSRKasbyGrT1EVbF5F2VLHYaLdYLtvr4j6eXW4/O9hiC6+pg4XkriXnITZdv7TrZzfGs7NY - W5PRFS6lirsaXA3G9G66UClFZBXjLXLtpnG/YIzuJiIiIiIiIiIiIiI6BqYeencHVDV86I//5Md+ - 7MdzrofLpJ4fyP2iTLndMcZSSs5ZVc2mGFQiIrokBfI4TqnPgFbUFgIr2F3+6rf9lZurx/7sVIU7 - STCvZcpvnkYvTM7/92LfXRlTbjcAcYhD1indBtgFdaIPPg8EWNZ6envrzN7+mb7fSnHIxYAU57vF - FkHrdSd3kvzUR/4FTp3A/ARSuujezy9DfUFZ6kvUqb7UB5MeWsrGcv38iyt8LQAMbsAqAtyhBrio - xBhi8r0dObX10/fejbH+8NfctqhoU7sVy7CsJxS5wIF5o2ZwFzMHEDbvtTgOkssPn4as78IqOl2u - ag10OXSJVwdSgBNtWAzjFppbt0794Bu++ue/9DAsRJ2NFR4BmMGiCqZg9smRHzBBRERERERERERE - RERERHSVuUD8KCY3H82jIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiekWz2MShX3bxhGnZKc/c - 9a/+2WPjgwvswEU1luXQpQbVAmYzu+7fe9t/9Nab3w4L1/qw6ag4mB/q4nJupqil1IxLc0hKaW95 - 5k8/+Uf3nP3Inp9N41yKawsNNo5j0Dbo/I6vePt/fPtfBZIC9WDTTOym53QQ1+1HP7ib0d1ERERE - RERERERERNfI+R1Oq/DUKZzzgj6G/UW/vb2dcxbRe+6557u+67vGihhiqS8rrvuAiIQQRKSUAiDG - CIC53UT0KnSRMtTPWZlavDapQfHiXqO1kFgHLHoM41OfuL8V1BhnAV5KqZfpV/Zl5YAAjUGAClTB - IOKCMOVUT9HN4nAI4MAIaIo1ly/u7Z/YinkoQy4toEhnSj57Yv75KO+7/6OYzRAaxIhwzMfxyKGH - B4naGRK3t+EVPqJLP/vYg6jle9/89Tf3eb7cbUwSbIlSzKYMbwlQl+AKYITVVW43HA5Ap1RvR3CE - 1fNwwAVVIIAb4Ku9X1HrKHefYtwP9lgMQ60BEMHZs0+c3jr1t9/8tvfdew/U59tzhwEaIICgenVr - 0vpPCMU6Q32VSk5EREREREREREREREREdFm4vLhZ3Fc+l3qzR3dz2NV0nHLumyPUdarYKMrwIq8o - PZfN9rYaEnjBfb9wHSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIielUxrX1cLHUIHQZZlmb/M8/e - 8/DiviEtVEMIyccSBk0a6ihNPvFNJ74xhyGW9lofOB0dqxLC4iJ+roBxRa3IWcbQiSi+vPvEA1+4 - f+h2g8AkSykhSM1ASV28/nU33zKmvaZGcXOBCYBpa1DOf6SLORZx3ZsY3U1EREREREREREREdHTY - 1NEg6y4HkVV5zu3t7VIs5/q5z332O/7mf20GgZhdtgRPd59Cu6fHZqaqtdZw3CNXiYiuqIOK2lFE - JACx9hgKxvLTX//Wtt9zcY+yO+QtIKkU83qNj/iQadyD+hSt7ApsHt4U4A34tNr0kgPutUnaiuzt - lyaiB8auXXh4KshPfeYTmHXoWsSECkiA6AW7PTZ8PdJklTntEEBlelL2a2mCNvN5HZZh3qLoez99 - P3by//zmt9X9/VlenAjRSmkViOgrDAqIARXqqAdB4BMB1BEOl2+31TgVQCGGqzsawRVmU4y3oJ3J - 0HsLZB9Pp3kZ+uv3Fblg3mHskRSqnl1EoSEAMEDWtd2nEyAiIiIiIiIiIiIiIiIietXzjeURyu/e - SO8mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiq8jca+rUk/XDoqYhp97mxdvc12WMUZP0Y04p - paY9ezbv+o7pZStNT68UevhxBWBmoYludWljSSO6am2ps2EMg8lYKkJASlL7WEx72StxyCEHwzQF - VhwKQtrhMQAAIABJREFUNcFGBWWicxjdTUREREREREREREREL9a5bid3h9gUBSsivkqFDTnXUuzJ - J5/+G3/9v9rvs0C6rlv2w0U29lK7KpqmMbNhGGqt7q6qzO0mIjpw4S/XVc1qA9xq1AqUcWjEsRjv - fOOtX5V9nsKyVEXY7pq+3x/Nj1p8sQCtT8Mf1GAKJEdyN6AKHKiyipQ+yJBuFWNxwAVyfZo9lcdn - RXbms5998D5sdYgJBZAO+yPmc8gRqzX+4h1kma8+ER3iCAIDutAZMKBquwVIqWjnLZK963MPYLn/ - o7ff8TW743Xoz1qREUFhQAUUq3Ln6oDAsQ7nxupqTY3E1mHe0wqO1QO9WgMSdH0w09HtLX3ewita - DTvj4nSa6WL8H2+9/WcefgDzVpAAIDVWoA5UhwoCMuDQFoDDBbaxQSIiIiIiIiIiIiIiIiKil+nC - qGl5zh7VFxtN/dxbez4GwGVzmIBvvqZ46aO8XqDN8728V4ZeLF5hIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiK6OA+SUxODD0GsRQ1AzMX6kEOb+jImTUVrrRabmbapvLzZj/TKc3gOo6pDYC6otWoM - jpoxjqEfwmKB3aXvVXhIyAIReHBxL6XWWIuaiUE1mB+UEL5qxZDpGBERN9+M7nb3o191+qgVhyci - IiIiIiIiIiIienUTAyAiIuc6Gdwd0GeefvY7/9u/9eyZXQAhhGU/tE17Gfc8jmPf91Ni97T3zW4P - IiK6OANUq8PM5iGgH9/5lrfe2I/e7+S83Ao65uUwDCqhCbE+/+auKgUUqlBblwQXuAIRCH4od9tl - ld6dCxqFxrgLfyqEnRPX/cRjn/vZhx/CqVOL1IxhXtttIKKbA3C7Vmd2uej6CwBWAdoAfJWrnXMW - BEcyxNhsV02lbXwWcHrrHzz0qTOv/YovaOtbJ3cAQ1BfbULcpmsrvo7lFvhBPvcUBz/t3hHWX1fZ - wa1TF3V0HUywV5BLnQNbsJP94jXL4btvfRPGAcv9c9fHgCDAudvvhzdIRERERERERERERERERHRN - XP1iFMei/AVTpa+QF3X3j0VTISIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiy06B6I2OyXtshXmU - JiCISErJrAzFXS11CUDOGUAQ5s/S+dZVgBU+NQ8FNIRgZtWrNqKNeDBPNc6kOlxUI+AoGcVUNUpM - B0WYN2aeGosK06VMGRabaRpHXLzWB0BERERERERERERE9Go0Vdt02ehzmh77qptBRNzd3au7u+/u - 7H/3937fgw9/VkUVKNWChmEcLlfRzpTSMAzTrodhaNvWzFTZBUtErz7rCOvznrjoigJAFW4G6xRY - DDizOLW7PJXrPKWas5X+VEgDMNSCii6EXI9QfrcB09Eo7GAchAKyTu92oAImABAMACTIvkvvujhx - 6oGyfP8jD2J7jhCANNemZoQIBPR96bp4fPrNLylAAQRM7cBWDUNUABFspQTHYn+Yz9upQRSVhepc - o3TjD376o1jm73/Tba9N12N/Mc8lwAFTOHw1FKVuDEapCnMEX90CBdRhftAkBYBfsj1eTlPbdgBQ - AdSsDB4C5gmW0So8Dy1wfc3VI5ZLnDo59H2btlVQi6uKBIOgmdoPAJnO1wT804KIiIiIiIiIiIiI - iIiILg/xi8/2v2hP9aoD9Mr0uMoFIw3We7SNPSrWHcRHod/UD/fo+8Wum7hvrI/zHjNt+nnxEhER - ERERERERERERERERERERERERERERERER0XMT10aCG0oVr1WLlaEPbtmKeW0DglmQGEPU4qGIVk5e - o3McMDGf5n6uGobCIUAKOpTsIu5eylhtcCnu3mEWcnAUWEVVyUm1jaURD+JBfWpgDgjEDKpsb/SK - cBTmdxMRERERERERERER0YqsTY8BuLu7fM/3fO/HPnYPAHMzR4qpWpWLV5p9KcZxLKUAqLW2bXu5 - NktE9Aonq4jjToFxwM7eO7/+bdvj2KLWnDMQAKu51tylCGA4SrndABwosAIzwOEO93WANwABDDCB - CRwILlXiU9I8c/L0F7a33vmZ+9//xOPYbtGmEluEBoYQAEMVxFmsDjvm4yoECOdyu9e3WwCxanla - xypWud0FcFQgaLsPH2cnx7bDyfl7Hnnozk998kvz2TOzZj+ELFplCv4WAYJDffVx7oAJqqCuq6JP - BxCBcNW79h1i0HWauyZHcJSMGFEMBkSgLePW7u7/dMcd6Ps2Jji8IrSCMNV0N/i5AHhcoj4+ERER - EREREREREREREdFVcPX7Ky+6x6kchvg1rotxKId73SV+zHv4j5zpLr+QG33N2wMRERERERERERER - EREREREREREREREREREREV1DwzCIeZcawEVcFU2baq0AulmoZovl6LUE0aRBhRVe6RAXgxyUlIa4 - qKu69v1g1YOousAkpdSkVLNHiA/VhxwhrYQomqp6sWCbEx7ZzOh5uB+zybGM7iYiIjpa3F3W/20T - Qtj8loiIiIiIiIhesTa6tUSk1lrMoFoMElKt/t1/++989KP/BtD1F3LJPkVirthG1urzCCFgnQs+ - mbo3UkoHrwJQZScCEb3a+eHK1MM4ADA3q1UOhg8oYBX9Av3wI1/75tPLXko2iADN+rdzArwUSHAJ - R2rYgQlykFExwguAAAMcUF1FURfB6DCN8ACEJZonrr/pnfd/+t2PfQ7XnUCb0HaARjjcoOaKElAB - A6rC9ZiX9vb1LdyI7naxCvMgU+q5x/WHcwR0lbe9haZBbKRDmGF7juu2/sHnH7z/ZPv4VrMza4cQ - CtzhCgSgcTQuYigGVywdVc+ld0/Z4bJxFFeUrBu9rdr/lDKOBiFVaYFaVj8X0+FtVbvJ7W/d9mbs - 9QBKQhWMtfj0Z8nGQQsu+IkiIiIiIiIiIiIiIiIiInph3H0a4CQi06gnd7fiMFGEIDFIFNfVFwJc - 3QSugiAIbmJ1NRrKzGqttdaDDR48Pzk3UVzFBQavbtXN4CIyja2ajkdExKdhBObucFWJ0+7cRBAU - ATZVyQiK1cqrr2sn1xpCzDlPR1TcJAYTmEBDMPeYUq0155za9oWOSHsVc/daq6qGEKaWoKpmNi1D - CDWXnHNKCSoHzWkaqgfzIIrKy0xERERERERERERERERERERERERERERERER05Exz2aYEsWm60LU+ - InplcjFtQwlllDzKWGOt0Zbe1wiP6EuVBhrh4lAfSmZtVzpEDHATdzGXQ7+mZrELrsjiFU1sagaK - JoF6n9A3oYqNYovgo/uQdFVMWh3TF6BYVV4mOmQ1f7ZWrBMujkXOJpsyEREREREREREREdERMhV4 - FZFhyE3TjGP5sR/78T/6oz+9jLs4KC/bNA02KtsSEdFzM7NqNYiGEMqYYe7VAIMaRvt7/8F/eMMw - nsi5CSio01sccNlI6z5v/MIRkKud3J4bIAoJiAkhYt9gAgfaNBMJFtJTbmdObj3S6bs/fjdOn3IN - 3nQlzQuSucCnURoAbEqbnvqhXyGfLr5euvpGGrXBpqrqdbrLAZBVhLdM6wEOdU1oWzTdrz788E8+ - +MBjMSy62VLEQhTRAAmQ6t6FcHLe1YquE1OY4KCprFO0cRUaz0bQth6klitEAYUqRIAqqIIyvWSQ - Z/bfYBG5IveiyFZiiHLetvCKaQ1EREREREREREREREREdLXFGKfxVO5+EI0cY+y6TlWnkOlaK1RE - BCpmZvApeHv6ggpUaq0uCCmmtmm6NqTogmI11zI9H5sUmyRBDV6sllKqO1Q1RgkBQK6lH4eQogSd - jmfauASdtj/ksZQiQadqLFMplinReQoLDyGkEFX1WqV3u2Bra2t/sThx8qSrFDcJOtQyWoXKsoxD - ybXWtutCCIvFopRyTY7zGBGRra0tEen7HkCMcbrvtdYQQs556+SJGOOZnbMxxrwO+R5LNjOohBAq - fIqEJyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqIj61jkktIxVdWzouqq6GsVOGCC6qgOM4gAqtOE - RhHOR6NDTKai14cmroqpGaJEkZAQUaH1oLCyuborIDABpAIFsPUXxAGouh4sr8l50VF2HLMt2I6J - iIiIiIiIiIiIiK6ZzTjXyaLvNUYzhJDGXP/Xf/ju3/6du6ZQVIf5OrxzozvCXlSU51QbtG1bdx/H - cerYYK8/EdELMetmUcMwDDCPKcFdFLVfIGc89Ux45POn8hg993XUmByC6Xf0NAQBEF9nGB8ZwXES - GHf2AaSkixF7GQuDKLJDEMaxh4ccZ2evu+6HHr3vF556FDecMIfMZjlLMTXELM0oqSA6IEAwC2bR - S0IRFLkaedNXjKxzp2X1NX0XgABPqxrvBhSbAryBCARfvXe6IGKKkiBbyBGnrvu5zz36uKucOr0r - dtZNkCq8QwymTy76VgXmucAEVWDANKalAqOi6KotXfnTPmioq784pvRuWT9VBEWRBQbcvD0/8eSz - P/L6N8IHwyIpvIyoClNMid9AxMFmrsLxExEREREREREREREREdEryjAMU4C0iEyB2aWUnPOiXxp8 - ytueQrgNLkGnrymu2+AGd0EVIEaDjKX2Y14O41iqQSREaDBIrtaPuR9zrgYNoW1j14WUXKS6V3cX - DalputlyGHM1iGqIEK3m5hANGmM3mzddV6oNObtISEljHPJocFVV1VLKMAxmFmN83hO/7FwEkMVi - MZ/Pz+zuVDfTUEUrpJ1vDdVi021tn1yMeSg5piQiJ06fdhHn6LLntLOzM45j13VN0xxktLtIMTNg - b29fQ+zmW/vLvp3NXFRCHEudmtBYClSLHefBFURERERERERERERERERERERERERERERERERE9FIZ - 1CEmWkVM1GSqfRtXlegr3FQlClqrAZ7cwhGrcEzXmPoUtg3IobmKZgZVdQiCFRfXYOqOHDBEjAFj - QAkowaqi6qqMtglcVhuUYxbNTPRcrsHUbiIiIiIiIiIiIiIiupRTp04988yZ+Xw7xfYfvvtn3v/+ - f6KCy1iZs9YKYBiG+Xy+s7NjZqpaaw0hXLZ9EBG9EpmbOEQ1aoCIj9nMQtQQgMXyXW//ppuHqrWM - Xrdm3e6yPwE5GMPiAATBIfCjNt4gAA5sBYyjdRFd1y72BxFFiDu1hHiiXnfygX7vvQ89gDCgjWOp - qevgiBpEMRoykBQABAoD3AADXMTC8R/H4+vy4+t/VQDAAAE8rJ/2VUK5rkY1ycZQFddpnBO2tt2L - dPi5Rx76kTd81ekmnUo4uxy3pC1ucLspNMs6tqmpGKddV4dMo6RkdSRXugEZBEBYneP0jwpg6wRz - QFzcBOqAoAko+4uTkNfqFoaxaSXnnLotVMD18KUjIiIiIiIiIiIiIiIiInopQggiAsDdAYjIFINd - 3dy9WBURSVHdq9k4jiml6mZmBysDMOCzn/vs7u7uE0888cUvfvGJJ5545pln9vb2xnHs+76U0vd9 - 3/fDMLh7jDGkOJnNZqdOnXrN9TfceOONN9xww/b29i2vfe3rX//606dP93kws62trSC6v78fY1wu - 9kVkPp+r6jAMeRhCCE3XllLMXEVCilrN3Wut16ozNcTY5/G/+Ov/5d5i4aK5lD6PbdtGUau11jrv - Zt/1333nf/6f/mcOnH366bZtr82BHh/b29tTKPvUMlfNFdAQYtsMw5BhX37qybvvvnu5XJpZ27Z5 - GGKM4qi1fsuf/wtbW1vKznUiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiolc99VUSc3DEIGYO8yAK - h7uroNTxWh8jHUHnqkC7OByuVs1T0FLratqjiUgAxMSLQGWqrwwXVVGDuhxs59zWxM/VZybadDDv - 2x3ufvSLUDO6m4iIiIiIiIiIiIjoWpCLx3Hv7S22traGMf/mb/32e3/xf08p5Fw3Xn+5Id4hhKn2 - 69mzZw/iupnbTUT0vIIoBGM/NG0Lh8QUAAz7sOFv3vy6byxtm4eCOotYLPvTs3ZYjg4RuBqKQoCA - KkCdkryPjAx0TcxWi/vcsdwbDDgh7cKl79onrpu9++MfwYkOXQPZBmITAfNxMTSzDoYERIUYFOsT - c4UaxOAGAHKMP2J843N3GjAiWCdSOwCD6yrOej3W5Nw7ARMDEFQBoAEE4hHjiFl69+cefs9f+Nan - 7v/UrOs0pcXuznVIUk2BsR+nPHUHqkLW25weXNERCCZwQHxK6XZMkeGw6ghTHvvGygKIoxYU4ISk - 5bj8/je88T2PPJC2t20YNM0OLogLDAhyxJo+ERERERERERERERERER0TZjbFIauqu5tZrdXgITUQ - MbiZWSkAoBpSOrPYf/LJJx966KH7P/2pT3/60w8++OBnP/vZZ545I5C66sl+HgqEEMzMBe7TzPFD - rwLYars3velNt9xyy4033njrrbfedtttX/d1X3fy5Mmu7fqcc+5DCLGJxSz3QwghhSgitVZ3F4EG - dXu5I8Gejx0c73llEcZx/NBHPuJATKlYLW5mUGDq4BfgLz3+JYc2TeMpXew4z22ZpntqZiGEruuG - YRjHcb69ZdUMurNYPv7447/2T/7x+3/t187s7kyDAVIItdZpoMF2N///fu/37/ja26xkYa86ERER - ERERERERERERERERERERERERERER0ZEkIiyqSVeIwhxQWPAavASfHlhwyDQ70F2luBcBNLalLl9+ - pXp65XAVmK6qGSvE4FrVALNQK7LBq1SoF88iLhLM1VAFFYB7dE9TUrwhOGSqJKzA1MxcwPZG5xER - X0d3HyOM7iYiIiIiIiIiIiIiOkLcvWm6//v/+Wd33vl327YdhiwSQgiljJdl+6WUqYgtgFprCKGU - IiJM7yYiem7uLpAptxvmgNTFIkTBsn+9hhOCFsGRA0ThO8uhEZhLQAAgbtMwgyuau/wSODACZSwG - bIsq1GBbzfzpcRi2tz9Xh/fefy/mrTUho7Vss5QgAKyZdwByttSoVZhBDwp4CyBTeW49emf8oul6 - bMhB3XERrBK717ndK76xFLjA1wXQJaACi73+xLxD18Ezxv77//CfYxh++PY37pfl6aarrjUvTkpX - UDPKiNXQAxeEKQPdVwdwRU0DssQhgE5J8+IuqIbpbA+yvYNBAFWIYfQxFLy2bP3QW97+c5/+hM7m - qzbggEPEsBq7c/wbBBERERERERERERERERFddTHGKa4bwBTgHUKAymIcQ0ohxL293YceeuijH/3o - hz/84Qcf+swDD30m51rX071l3ZFr68ooqhCZqlW4O9whAhEAmFKqK7DagAOACAQ4mD8eY3D3vaG/ - +xP33f2J+zZ38bqbb7791q+59dZb3/72t7/jHe/4ylteG2IsKBK0wL1WAEEV4tMgrqtw9c7jQHW7 - 9xP3KaTA+5wN0CCyThKfOotjk0yQaw3s5n0+Xdft7u42TZNS2tvba5pme3v77M5u3Nq657573vtL - v/S7v/u7o9u68cEMtdYoEIi57/SLZmu2GHMnB+2IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhe - VQyQqfytOMShDvH1xEaDq7nAosFsOey5MEqZVgRQV4MJVqWgTQwwwCCoYlXdJCNgMewbqompx+Ai - 6lMzE1fx82a8GgATXJVyyHQs+TRJe4rxPiazYxndTURERERERERERER0hLRte9ddd73zne8UwTAM - TdONYynFLhIOeklTP9bFu05FJKWEdW43gBjZWUBE9PxEJA9jahqUAlGIhPkcy/07v/brbioFwBLZ - AS++3YXFWAHA4RCDiouiHMFq1iZIKYgj5Frd92ppm+7hcW+8/tTT8/jee+9HkyBJS9OGUBP2e99q - JY/mUqDStAGwftybz+bAKqka0PUXcMyzu6fRJgcfqw6t6xcE0I0gaj9Y3wGxUdSguk6/FkDgJ7Y7 - tyJBrUJPn0YBUvzZRx/4wdtuw34O2bahQXTX+mYq7C7ANF5qKowOYMrSvpKnbOLBIcAUxa5YNdwq - 8I1BEOoI00EaRiAA183b5f7+DbFFX9EAiioI0wUxBF0deTjmTYKIiIiIiIiIiIiIiIiIrj4zc3dV - nbKuzWwYhlzLv/yzP/vXd3/sgx/84D333LPfL6fBUg5IWBUVmIisul8VOs0Dr4bzul7dzz0xpYND - pU6dpO5u5jgX0N2Xio2uTxV1n7Yon/viFx//0pf/+MMfft//8Y8A3HLTV7zlLW/5pne8461v+bq3 - ve2tN95wQ6011xpCSKmxWq7UJbuE6RSbpvnTD384xDiWHFJQINdVrLlPndUiMcZSiloNgUPLnkfO - OaXUdV0ppdbazmbL/f2P3XvPu9/zng9+6E9yLU3T1HGAiLuLS9u1fd8XB7AqZWEaJKi5h2NSm4CI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiC4rhQOI66K+AVAHzCGKqqgCE6j4iHJ2f+9aHy0dLeII - rq6bsQTm4jUUABpi9mrqu8vdjCri0UpwdzF1BId4CV6DW3CXdZVlW6WAAzDl5Ee6GD8ukd1rnDJN - RERERERERERERHRNbPRhrYpwAsDHP/aJH/6hH9lbjAKo6jiOAFTVLlMHhIjs7e1NVWgBlFIY3U1E - dMhUXXsdxWzA9Bs7QFPTwAGNEEPuUerf+Oo3fMM4NkOp6g7bRgTKbl9DwLl3AgoIxK9s5vK5EzAA - 4piG2FzsVReX9at9rgBmEJEEtyddFzfd+Pfv+TfY6pASpEUVxICKZbZupm5IbXTAUR0GYD6bOQwQ - gx0kdh/Q4x/VLIdLtk8n6Rsf5IrNM7fNEz78XhPVRb9su1mFeqhxPsOi//kHHviB29+S9sdZwVlb - nJS49KIOm8aqyKo4usD9yl9LXVVjX32Jr87OBbZ+VTaalgCtIDuWi+EUgi3773vzHb/4qU/ipHpI - 6+PVQ3/2EBERERERERERERER0TE0JR8bAIjL1CF56FXxF7F8Oe89OssXeN0ufO+B9UZWI4de4DZf - Ac67DpdysI5Vj20TQjh79uwnP/nJD3zgA3/wB39wz6fuLxs9kb7xrlodWHfiTl31DgC2asLTQQgw - RXavHp+7R/Ba62rT53UYHxy2iIpOmeLVDcAUK+7mxa3kMQoAfP7LX3rsy1/6/Q/8oQKnT5568x23 - f8u3fOs3f/Of/+qveqN7FRHF+a1qsxlc+MPy8pmg5PHRRx8dS3Yg5+oqcJcYvRRRtVqru5kBFmN0 - uyy7Pbou+rN5qTUnm2u6wN2brt1fLlLbadP86vvf/773ve++Bz49FbSo8OU4iKqZiYjD+6GHIIRY - SnFIVF0ul6qKWq/QORIRERERERERERERERERERERERERERERERER0dHlCvfVDEZX3yh7KwIVNTFX - MffsBeh3h2chLjCXdQFl1/WkSoPYeuqlqp8rtGyCqdrtwXLDQW3d1dLk/Ge4vPpLdTW56PLC+6gA - BHZQ0NhFIeYmEEOAe67Ii2EvYxB1qQZ38WnipAGusOntOk11fWXPLKWXR0SOW2b3CtM4iIiIji4R - AeDH9K8MIiIiIiIiolcZk/MzKXUjkHuy0dlkU1VXjWG57Lt2bobYdB//+Me/4zv+m2Eoq6Kx8KkD - wtxeTN6rYdV14QBCCFOV2OnJui7xOSV2M7ebiGiTr8cmnBt5AquwAMAVFQhAAGCwHrt7d1juhr4T - wEyBAQVAAsymcQYOVKACcHhd7+JKEkNwoEpV+BSbXdeVxLOjkTB6gSAGQXEHUoMywODZMc5OPZPS - T91zL07N0ERIgANBIQbBVgQA0enCQLDOJ19/wOnBYvOAruz5XgXT0JNzJxYuWEM2E8pFMbWBw9dC - pmsGzLutVePSAA/oXoNaf+GBh77vjW/cHsuNo/ReVm8RWIBVAFDFaIjwaajTJY91s4Y7gBfZ3g7e - Xtc/Cw6orU7k4FVdFbVfF7p3RERIWngvZfm6IljsYN7EEHJRjXCgmLUKNxPlHx5ERERERERERERE - RETHyRRn6wJT+Ut/+ds+8md/NvUlnddn5euA4xe4fDnvPTrLF+Ji71plBPu6n/EH/s73/PiPvlMc - AS4ObIxB8gt6YK+tFz7TfupzFw21VripqkLMVhHXClR3dxcRqJiZC1TVq4mIaHD3WqvEIEFLKbt9 - /6EP/OHv/M7v/Mmf/umTzzy9Gdd9/oCtdRg3cLHXLr7exuP1v4J1mYtL9bm612kUwEG+uNnGdyjn - vVHDEztnn/qXH/mTf/Wv3/m/vOsrb/nKf//f/Xf+k7/4rf/2O77xpptuLmOfYhsUwzBE0aBSaw2i - UdRR3V0hBodEMytWRWQaEmZmMUb3iovdnTY1e3t7KbXuPq2vIUCgEv7kX3y4iW0pAwCVaG5eDEC1 - KqvTKSkEzzUEvXBqm1wwLu4o2Ew6n67GpVrsqn1OCe8OWy8nIcVSCqYBeGIA3N1KDQ5VFYTqbnBV - hShUHF6Ap3d3/+mv/eNf+ZVf+exjnxegAgVwW6dx27QdAWT6GS+lCCBws9rFAKt6pUeUEBERERER - EREREREREREREREREREREREREdGL4e4ChBCmx7qu+E102amruwsMUjafd6AUCxrc4LDitnXCH//S - Q+pFzSyOpjIOdT47PezlJnWO0aW6mk9z6FynKrJVdCppu7nc2I1CDi3X81u5vJbLqb71RZaH76M6 - HHqQ2w1XVxgCUAOg4mZFQu3z3tm9Z+P1Ogog5hCbCkw7ghhQ1E1c4AkwE0AqcNCEnnvKLr26uDsg - qjrNxnV/4TPvrzHWxSYiIiIiIiIiIiIiugbcve3SmOtsNrMqIvrJT97/Az/ww0OfS7WNtV5ij4O7 - xxhLKbXWEEKtNca4XC4v3xkQEb0yTaW9AwCHOESAaXTAlM/sGBZ9OxPk8c63vuXG3d22+jql+1wR - 5Y0E5Ys9upLC+lBWdag3DmmuzWD55Hx7WYdhyHOBOIYBqgjd9o6FLzfhpx74JE52aFKRaECz+gzp - q1ghAAAgAElEQVSy6RoYTKFyrjr8oWLcx6OH/KV67rOTw4/kYgnfF67sgppUKkLqfvH+++98063m - 5SaEUEpxwJFHdC1mbVoschtQ66U3eplMTXfKnN985kLTT0oUiMuIAPcCP912Tz+7//f+3Nv/7r33 - 4XSraLNDBFFjHvumiRdkmhMREREREREREREREdExYIAJTFGButELOfV8vbSe0Jfz3qPjubsRL3Z2 - KiKOChGYO0TgDrXn7GE8vsxsKk0yERExV0dMKQ+9qho8iMYmjeNoZqISU8rVSq2pa3Z2dv7f3/uD - X//N3/jjD32oYF0mQtQFMLGLJ3e/FHL4wbnbepmmijum2gaogKhUw6OPff7x3/nSr//mb77m1HXf - 8A3f8O3f9m1/8Zu/5TWveY0LQpPqmCWoO8ZagjiAambwWqxt25BirVVEYoxmJiK+DqJ2ObcEUEoJ - IYQQmqY5e/bsqetPP/nU01sntj/z8ENPPPHEsgwxxLGa1aohmB3qkBafNnXMfkZXdSUOm1rJeR3V - z3Fmy+WyaRoAOWf3GpuUQkSIcFfHoh/bto1BhzyK6pjHJ59++n973y//+m/81pNnngUQQnSg1OI4 - lP5+qSB4BQBjPzoREREREREREREREREREREREREREREREREREQGAmIkBMIUCVWAVUIGrSx1rvzfs - LG1oxV0E8Lad5X6YN9u1FAkwh6xnR5ogrCa22eYMyoN5eOrT5DaDH1qamAMCLq/BcnVHgClc+yLL - w3dz+n49S9Egq1mV6hCoW1ZxCf7oFx5J8ziWbGk1qdnXZbdVTOACg+t6OqZNDWfdfpTp3XQed3c/ - ZtOQGd1NRERERERERERERHQZvdAqmhrDYjmIqDvg8vTTT915552PPPLZw2tdqmLn80sp5ZxV1cxq - rQByzi9tU0REryqHajg7gmAKLx7cIyQY2jZi7DHkWfEWokepmLzAIyqA4F4d06gHAMHhQDQo4pOL - vdMxRYE7WkFw7Jk+Ng5nTp78mU/fi+2EGHIuKcVzn0KmWBfiV+YuX1YChFbRK2bbP/3ow//9La+L - NXS5zAKGik4QLXxpyDeoBPMM6KWztK8+B7JDxJc+dm13fWnysn9NFz779Nnv/vq3//Ld94YbbyoC - VARFbDg4gYiIiIiIiIiIiIiI6PgSuNgFfYW+nn09rTI9dYn3vzIdmb67a+ySKchuAhcREXFzd3eB - wxd7u918JiLL5aKRxt36MW+fPLFcLguwHIaPf/zj/9dv/Ppdd931zM5uSinDHTC4A1jN4tZpWNRl - Of7nuo8v8h4/7+qllOnIc8lJw1Nnz/zzP/rgB/7ogyfmW2++446/9lf+6rd/+18+fepUG1u4m2Ba - WRRN07j7OI61LzFGiAzjKCJbW1tjXZVggEOA1RIY+n5ra6sfct/38+2tnbNnT5w6OeR81+//3v7Q - AxBZ/WiKyOXKKb+2LsztxuHxfOLnlsBUSeLcctK2ba1VHPNupiH0Qz8sx9ikWmtKKbTJFMM4hhTv - f+CBKbR7sApARM1trEUgkJc+8I+IiIiIiIiIiIjo/2fvzuNlu8o64f+eZ629d1Wdc4eEBJMQFCQQ - RIGXpgEbUUHAbhF5234/Du+nbdBuW+m2RQa1nXj10zZoEyQqBCEEEFQiEgYBGxAIIiDggAQyEDIH - Qmgy3HuGGvZeaz3P+8euOufcKcm9udNJft9PZadO1a5de1hV537OWuv5ERERERERERERERERERER - EREB85lq7i4QVTUvpWCc2xtXb/vm5vQd9QhtFg9NiJ67SryYiQgQXNDPC+5UBVDksG/I7mLmXTno - +waHLeKauTz+y4NN+PQtV20fBnFBElUHxCFJYQpVlyCxLZAaRe3m/3PTtMzCsCrI96JJEm1jrI5N - RERERERERERERHRUHFaUqQJQDSGEkn1lZfVnf+b5l33hihCkFMeWuqhHrA/q7rejqjnn/sGqqu7l - lomI7ts2i1E7AIMhqBYgCCDw1IobZt2LHv24U9bXR1VjeXZC93cfCuhmNWkUAI7oEgAHYozjPDut - qtuUgmAAtA5DMxsMbq9x/rVXoHIMAqAVajhg2EzvFsVh/qqje6hLs7quMSsYjt5wy62//NCHPbiO - vrq+pOouJeEB9SB37aiOuctHp/D80WNArDVA19vpQODAbFbOWBq2ax20wmwSRqOo/TAvGEpQNiIi - IiIiIiIiIiIiIqJtzA6VRbv9E3/pWJCFrQ+6YDAaQqWY1YOBiIjq0o5q1nVfufVrl1xyySXveud1 - 19/YF3twoKQkgPVNT6AhALCCo5XbfXzknEVEVUsp/Z6HGFPOAAKkwFcm47/7x3/4+3/8h1/5jV97 - 5tO/70d+5Eee/rTv27FzuStFRMwttTNxr2Olql4sxlhVVc55Op2GEPp3kcVSHSYYjUY557quAcxm - s+HS0tp0GuvqHZdcIiICaXMKsc45l5xF1X07ndJ7rx9dsXXZyznHGEvK4/G4qqoYo1Zi7qaSFdm8 - WP6Hf/rHC/7otR+59KOpuC2+F81dRN3NGdpNRERERERERERERERERERERERERERERERERET3Wh+3 - 3U/VVFW4iHuWdNn1/3T2Ex4x7brlalTWOwQBSoG5KKDqMJgCJnDRez7jzbYUH1YuT9zycGd7FgVg - RdDHtIubuopHc69iXLOJVeXmb1w3ySsegSAonAVJR427b5daA4zuJiIiIiIiIiIiIiI6yvQQvU5b - H+5maTAYlFLc5UUveck/f+EKAKU4oAKBiLu7l/1fdo9VVVVKKaWEEFJK7i4izO0mIrprtjFGxAE3 - CPqfFMiOAJdasT792W9+6IPbdMZgeW19dfnk6xd2zHO3ARHXBqJAC1vJEwOKZQsyKT6qh7nLe7Va - WV4+/+p/xkAwXOpcPJug1DFsVvUWQBB88SMdJQK4paquHVqaYXTDQF/x5cuff+6jvi02D5Dq9rQ+ - 0CrlbPDVLleLVx2KH+L+MWICCCathWgjhRt2NXXddt20O83x4nMf+aqvXR+RkSMEEjSw8RARERER - EREREREREW0/h+rj0btf5W6e7LewzaOCD7cLzG2f18jBEn5dAUBO9jMjB+z5gY9A5wfr7g4XFRFx - QZeyxODusa7alLouXXbZZX/46ld/8CMf3jhsA0IQuJf+IZ13glopACAiMXi2o9kzeuDVPNyNH2IL - IYRSSj98q78PIOdcVVVKqcBV1NxFJLs58IGPXvrBj1562mmnPP3pT//J//DcJz7xiUG1HU+HVe2O - YiYi2czMvJQQwnysmuvWHVFH8DBt23oQ6kEzns66nGNdfejDf33ZVVdqVLh6sZw7EXEXN7tvjAfw - fT9h+9n3Eduy8ubZUw1mLiE0VQUgleLuGkKo4+pk/cMf/vBrXvOaf77si31idwwq0Fxy/+YxVMgZ - QFXVKc2O8rEREREREREREREREREREREREREREREREREREdH9jAhEBICZOVwFEtPlN3zyXz/l+zSd - GmSgsW5neXnnaH26rkHhInA4REwdDgFgiPtNXC0Hey+X+Sy8Q9XYp+PDZPMKbJ362V+1/a6OibmY - AeoqgDiCIxbApS0uTVVCuXXtlhu+cW1cxjhNQzgux0D3A+7b7JuC0d1EREREREREREREREfFRvnO - e1Q5dzgcdl02s1/4hRf93d99WhVm6PslHe622d/Qd4sebg9ESglA0zTj8VhE+pfnnGNk1wAR0V05 - yLetwwRR0K2tNwNBSWdBzpBg62u7Y2U5Hf+dPBTbf+CLAlIABxShCoqo6223XA8c5aulWxs03zC9 - 4PLLsNR4LSvj2fLSrlgpAPh8uIzLPM5csDg794lq3SeJoJXDHZCocEXKWN7xuhtu+JUzH+aTdgkD - UXR5NqyDWJlny59MNIbaSspYbjBtMW27BoCV3eKTnNF2EIUDVURftjwo2w8REREREREREREREdH2 - pX6wqfi+pQ9RDj/t+H5oyxm7b/ee9QOW+qWISFAAxazAowoc65PJX33gg6+54IKrrrqqs2KK4lBA - AjwjFxdBrENOBQBCEBE3gxngnvMJPbgjkXMGoKoxRjNLOUHgjuIGAO4hSN8kcvGv377n4rdfcvHb - L3nQWd/0Yz/2Yz/9kz91+q5TBnUjMZRS4C6qIaiqlpSxKLWw9bM4nU6bppm1bbA4XBrNuq4r+Xde - 8b8MKNkA28gUj7HK2/B8HiOxqrquMzMxAxBjNMP6bPYnb/njCy587Y03fTWoFMAF7khuZhZCCFXs - 2nZ+GgUpdSf4MIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIaJtzhyhExNzd3QEFHN16+trl137q - u8/9ocltqzvjqaFpJu1Mm6oYIC4wdROYQIOpQSHuMp8EvP9UYDG49kvvixCLGRTzgsRcHv8lfB6j - roD5lmfFF1dncdXU+2QEmwckuKhrMFVXuGqIE5vF3fr3n/z0VFdlmCWfdMWNiY4b5nMQERERERER - ERERER0FutnZeI8yvJO5S/jVX//1D334Yxup3A73fUryqogAdri53T0RmU6n8w2pllKY201EdNf6 - gGoBIID2X+NaZD6gpBkOsHLHT5/z8O8w9268U+tp7k6qyvMOdAp1BEd/HCYYC4J79DwrGMWqBrSz - qYavnDY8/0tfQlxCzqgaiCwvjVAAAQwIANAJAFQb1b3lvl45/vhyoAABogY4CkqoB54gIfzuV298 - 8TnnPmjP6u6cReAR6xOMZJGhfgiy2CwAE2Cff58cE+tdOW1pOMtTazGoAVGxkFKCdztK/Z8e+rA3 - 3vwVDHWaumGscUT/niEiIiIiIiIiIiIiIqITyOe9pQrIRt/Tlh4rO0gP0KH7UH1zUM193SHOgCye - ky19ZwLTA7r2tkvXmtzNjvbd7oK+RgCQiqWSd+w65eavfuXP/uzP3nDRRbfeflsI0YEM+LykAEoB - BCGqu+dUYlXlnFHKlnc7qn31h+yFPdwWe8BoMQGAUgqAGGOfk21mXdfnOmuIUURyzkAR1eLef6pE - IAJ3hKD/5/Y7fu/3//BV5//h05/y3T/2Iz/6rGc9a+fOnTm1IaiZt+vj4WCw8d6LhuQAqqqGaNMM - TGU8nbrgD159wecvvypWIeUCQGMopYiIl/tCoQU/xHU8sJWqz7vUN57qX9ufhfXpRFUHw5Gqdind - fMstF1/89gsufO0d62sGqCKZC6RP+HZDVVUppzJzQOeXDaKqZveFs0pERERERERERERERERERERE - RERERERERERERCfQ1pr1IoDDJKOafvpzH3n0Nz9+IA9INhwNdk5Wx6N6mKWDFCCbQ13FVTwGVw/J - YP3cuo0Zdos3sM1l/4wbAIXB+2BvLo/r0mR+xbdMfJwvdb/r5SYOhanN1wgWxRWmsGACr6UL7Y13 - XPvP1/+DLvnMxzH2kyC3z0xmOlkdWXDGicWIDiIiIiIiIiIiIiKio6Iv1XoPC26qFZx33ivf9a73 - NU1o2yKCKtZd6gCICBa9DiJyxL0P88qq7mamqiGE/s4Rbo6I6P5h/i0pi+9zMUClr9c8WUfxb9EY - 29WRaGfdCNrCygnb2f2ZIAtUYDYP8C7igLm4OXZUurdNIYQ1xJXR4PyrL8egRt1AhpAAqJnXQdAf - j0NkSxlyZ2j3MSEArB+tYkEl5y5UA0SDTF913dUvfcjD42S9sTKdlJ1DTa2dVONa1GVJQjue9eHu - OWHmBlhUFTOZTh4cd2LaoanCoEFnELYhIiIiIiIiIiIiIiKi7U0ABWxLoHHfsTof3HI/7A7amJ2+ - dbmw3zMbD248pffpMHMXiIjB4XCBihT3bKVN6X/+6q/86Z/92d611RCCA6kUh2sIIp6LGaBBzLxk - AwBBTgmYx1kL4GbzNncy9Z/ehX4EV84ZwEais6o6tOQ8Xyeo94/HAMBKcYMIUjbARBCr8PFPffJv - PvmJHb/6K8961rP+3x//0cc//vE7hqNmeVRKXwUDsu/YNVVpZ7PY1NkshPDOv3z377ziFQ6kXELU - ki2l1O+emcUYc+mO85k5Uea53QdrQS6omjqbZfgXv3jZhRde+Jfvfe/aZGz9uRWYCwTm3uevq2rK - CYCo9i1TVEXESrk/fiUSEREREREREREREREREREREREREREREREREdHR5u4QqKqoq5m7zXx6y96b - L7v+7//VI76/W592Y9u5vHM2m8WIEgwwV4cBbuoZ0AKoA1uWdrAZcLKYdKcsRHzi9FdHNidAGgD1 - fTK8N5diAqhpgM5nmHo0CERzKGNfk53d3/3tx1bstnFYzeYOVOE4HgzRyYTR3URERCedRS4XZA5H - HNBFRERERERERCfIZhFUM6uqqq9zWteDlFJfgPXCCy9685vfAqBtC4AYqjZlkQB33/K3ADMD5IDC - qvvo07j7oq59pde+oGr/7PzvC1vWJCKiu+COILCUtAoQdcCLRxWUBMhLv/2xu/euVmoeEDLyob+c - TwgHHOgAAWrRgWvwBIcKimCarFas1fHWJp53zZWoGtTDolWB9tHLlYoDEvoNGdzi4hdIfyoM4OCK - o0gA9UWetRvMo6oDWRSDOlb621++/JfPecQ5nQ7LxDqDQhSW4UBUMXMHIiDAicqPV4dCHcUBcURB - qyELKscw6gOn5Rcf/qhXfuMGQ0EMSCdPzD0RERERERERERERERHdI2ZWzLSKdazgriLiAlgAHO5A - 37u4GeCtB8nCFYhLP1nGQgilOGJA3k6dRzHGvIhYBhBCKGWx/w6FmLsAIqoixYqKmlsfcx5FDYDP - w5XnkdOOIAgKK6hCFPeDxwiflOSA/ezHR3VdV1VVtlJKGY1G65Nx0zQ55zoGA5JZm9Lvnf+qt/zJ - W+/Ys2JAH9oNwOGAbp5SwPKW99gIhjeHuB8QkX4UbN2aQFTRB4Tr4olDzezaOulLZJ/teP+YAOhH - c/V3NsZ0bdyZr14AKGC25aOxsW0HulQEUGBtNnn7uy65+JJ3nHbqqf/22T/0nOc85zuf+CRVjfPd - zuh7clW7nEJdFThUX/Wq81923iugUMAc81h0935PBJpzvu/V0ejbaimlrutSSimlqioA/RA+N1RV - ZWbZynzUHiTEiFh94tJLL7roog9f+tE2Zw2xQPpvPCz+22BmgAJwXxS1MNsmH2UiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiuht9ghjQzz/izCE6kdzdzcRRBDqsZmLv+8z7zjjzIefseGwsUS02eShS - SnGL5hGubtYqPFS1wwvC1omNgnnDXhTAF0BEtJ8xVyBwAZcnZLm4OnDdmPjpKgD66AF37yeuqqrC - FcE7h3mo1FUKHBIAm+o071z/pxs+efnNf7/ud0ptJWE0RJ5BhV9ndK+4u6i2basKd3GHqpqfXKXa - D8TobiIiIiIiIiIiIiKiY6hpmtlstmPHjvX19dlsVte1mb3rPe89//w/KMUHg3o26wCknABV3acK - 7T2xtRZwfz+E0CeFExHR4RIgCgSQUME9iwNSrI0ImE7+06Me9ZC9q980HEwnk3XHaSHkYuVkGmkg - QCnYvXv3nr17C4oADVTEJ+4GZEAldqE579ovYdBgsFRcCxSLctUCFAEAFYgvqoALfF5pX5nbfSy4 - zAfFwAB3gRm007CkIjuXXvHlq1/5sEfugqKYCGaOQVQRUYdZcWB5tHTnZHyiOv77uu5lfn+LgMo9 - 5ZVT2oAuDaLCHDXHJxAREREREREREREREW0zIYSmaRzYs7oaQnB3wAMAmCx6iPoA7wL0AbYu+8Qc - B0iBw9FUdZdTKSXEupQMgVaVde0JOa7DlXOuqkpVu64DUErpw7wXJ8GbEFW1S50DlQazUktQ1VSS - uwkQJIi4mVWiIpKtuMMLIjCZTACIHyQSe7uo63oymezYuXN9vB5CqAfN2ni9GQ5zKVVTT6bT6XT6 - 5re89XUXvn7v6sosGxaJ74dxxH7A8qhSVREppcDhZpgHhO/7TiKq6iIKlFLgDndIX/7AN1ZW0Y0i - LO7eb7yvZ6GqOCC0+54LMZZSNoKhb7vzzove+pY3vvUtDzz1AU9+8pN/6NnPfsITnnDGA0+vqsrN - ulIGw4EL3vmOd/zuK8+7+oYbVSWZO3Dfi+i+a3Vdd10nIiGEnLPGUA8aM/NiOeeu60bLS23qoNp2 - 3V9/4H///qtfc9WXr560LRQFKJYlqDvASG4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjrRHBhb - EkE1HL/+nX/4X/+fX3pQdU6VquFg2XNxSDFFgaqqupecuuShAjTI3Oa2JADi3sd4b50hLLyduNuW - ibQOAO5l4ycBVMQU6l4sm2Uv2DU8ZTqdJpshqklIaD2Wtlr5yt4r3vfJP7893TTYjXG20UgloyQL - lR6Tybp0/+Pbqh2xNDYRERERERERERER0bEhBmA2m1VVtbKy1jSNS2i77uMf//hv/MZLS/G6aaaz - FkBd1ykluJVi+wVf3q0+t7svLdoXe805m9k+3Z9ERHSP5WwqElQBCOCwpgpYXUPnD1iZPnDUTFdX - AzBSdFZmh/utfYypSwWf7F2tgF3NMLXTMeCODMSA6DGX6kEPeyRiY3W0ULXFB8DWQG4FOkBgtRp8 - PiKjH50R3eC6MYSD7j3vAw0ACAIiNMMdKBXMoCvtbHc9wMh/8aqr/uAxj2lWbt/hmAlStgQosFzF - 9ZRXJuPqxI126duGCbSvUu4SfF5lv1LbhTqL/eeHf9sbrrsamktUhbL5EBERERERERERERERbSdm - s9nUIbt27frvv/SLd+y5M8a6lKIQM/M+zFZQSpl17Sx1t91226xtb7nlliuvvPKmG2+cTjufR30D - 1neHwfuYb1XL3Qk9tsMQQkgpiYgvJhDnnKsQreQ6hBDCrOtKQT9P/ZRdOx/58EecddZZZ5999u7d - u5eXlpaWlmKMZqaQGFVEUsnuHmO0XB732McerN9ZXU7qMO+t+zabzZaWltbH67GuSikqIiEUt7YU - db/kXe/+vfNf9dVbbm2tH+aE3FcK2NwWAOt/Pqz+xKN1egRwMweCqKoa3IuZe4wxW4E5BCrqAssF - gjIP9gZU+mcF83IVpRR3A6CQPrHb4RvNxt03xnSJCPzgGd4HOS4HgJwzVIKGfmxYfydbuX1l5V3v - f9+73/++IPKwhzz0kY985Omnnx5DuPnGmz76sUtjjIjBAVepYjCzXA583yNMEz/JuQBAm7q6qWOM - OefclVJytlJKGdQDK6UeDCA669I73nnJa1934bU3XFeA/iaiGsVKcTOIzC/6Fovmeojr6BxfQURE - RERERERERERERERERERERERERERERERER5kJ6uHybNqtyMrO3eWPP/RH//E5P9eV7pTwQHGpQgNt - zGDZg9sghCCeHEUAuLu5o5/26O4BYaOsrErop8uJeIEtps5xefyXQOkvRBBxACJw7a+fubu7q4iq - q4gERdS9473NsI4DHZfpzLIMpJPx7d1N7/7EW29Zv2rwTbHV1HVQwFrfOVqepdmiqDDRkfPtFdzN - 6G4iIiIiIiIiIiIioqPEDrgzp6pN06SUJOAzn/nsi1/8kq6zEGPXdQBCCH0t4xBCn8N9WPoaryKS - c1bVvrprKUX1pAqTJSLaNqqoALIVd69CdDOUGaK+8FsfflaXLE/76GoTtIZapJxkPcQ7qlFKnUKn - 7VSA0aBaK0ljbKeWqno8Wnr+JZdg0Fg1nBmqIAGL0tIOyMbvMHWYbEnpFhhc4Qbh75ejbPP0iwIG - uMAVNmpGDpVmgGWzhz54csValTpXh2PXaNhOZ23Oo6CpWNiSEHCcGcwBcTHxvmUEhzj6EVgduirr - qSsZkxa7RsVLYPshIiIiIiIiIiIiIiLablS1mMP8u7/ru7qcq6rJOdchuuV+BQkKeLJiZnXTFDcz - F0fbttdcc80HPvCBv/mbv738iismqVMgxKrLKVSx5Hxij+uw9P1xMcZSipkNBoPZbJZLjkApxUo5 - 8wGnPfnJT37mM5/5tKc9befOnXWsYozunlJCsRhjCMHd4cXMXGBACEFEutlMIftFdLvMI4e3i+Fw - OG1noYouks3ELVTRBF/68tW/+Mu/9PnPX1a8P2TNxYrvG38sB717bPUjrDa6WZu6SSmZm7lZ6YO3 - IUDJWQAVNbc+kDuI1nXt7maWcoJthJB7H9AtQIAY4PBiRSAxxFzmrd3d703frgR192IFgJt31lde - kK7kGCLEcrarb7j+6huuByCYZ8mnnCwnAyyb94MCtlXruvdUtZQynU6hsry8XNy7rqurKpsnK5PJ - 5I9e/7rXv+EN65OJARlwoB7UXSrFigMSo+eMw7lwel/NQiciIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiohNPc7LJbLq0JHvLbJYnF1zyu9/36Gc/81/+ACaxK0MrUa2qZBC9alObZ9OqGWLLDEcREVER - QbHFnEudT5EEAAnYKEzM2/G/IVbVIl4d/ZzWYg44pJ/XCoEXh0Pc3VCwI6xjnEub66yDam9352e+ - 8ImP/dP79+Qbd5xZr6d2kjAaqFulAW3iDEi6t0TEF5OrRYBtEuPN6G4iIiIiIiIiIiIioqNgs4Su - wAHIvPMpxjibzULdaKyvvPKqF7zgheNxq6I5Z0BVRVVTSlgUCT3cup1m1jRN27b9fXfPOVdVdfSO - jIjofsWQC2LoVGsICqQAEHTtjvH4NGh0MQC1ZPdQ0LmHE73H+/L1NFkOdYBbQVPHvbO0Agw01KOd - t7v99k1XYdQgNNG1yh5rAQAYTCFwgW92IavDCgAg9KM2sPE/OopMAKAPtFaIOjKACuqQki2qYLl+ - 0Yc/+FuP/he+Z88OtfF01q5PR5W2xaPKMNaztjshgdgGuDiA4IAjCwAPbgI0GlorIpA0O1tHv3bu - t738mqvr3TsApr8TERERERERERERERFtJ+Y5xAiVyWQ8Go3MisIGMXjOKouJtGYAGo0Svey+phsA - ACAASURBVJQc3NVFIYPh6In/1+Me9+3f8eIX/MLlV175ylf93t9+4lOT1FWCnLZTbjcAM6vruus6 - VQUwm80ADJpBSOmbz37QS17ykh/+4R+OMeacxb2qYik5tSmINiFIDGZmXevudVUZRDUUeE7JgdFg - kNoWgDjU592H247Bc85BAPdqNOhS2rNnz//4n7998V+8oxgAxFiZWVuKqgrQx2DP3eOJ2EdhxrYK - APHN6d/9+U5dCyBARMTc+scrqPXjuNwqQETM3d1SO+tf26i6e9kyk1yhpe9H3exd9z63O2pwQSkF - 8+no7od/QN4PYICIqgYtpcBLv2OpZAAK6UPizczgBQhBSnEszl4fGJ/z/h/AbTAb/l5wQYhhWI1m - XbeythaqGEKYlXTtNdf96dsuftvb3rYyXq9D7AAHVCBBp7MOgqqOqcteMkJAKQfZ8gGPLAZhEBER - ERERERERERERERERERERERERERERERERHRPq6KbpQaeduXf9a7OE4e5u7/jm93/hrZ+4/P1P/Lan - POSMR37rmY9ajg8Yj6drMzRVbAaDnFznsdwwc3cXNziiRixmxrmJL0AFcN5O0A39/FYRgThU0Oes - i0P7GaTZ+zneaiKSQ06D1RnGk271pltu/vzVn7/ma19awx5ZbuugU2snMyyNoBK7XJrh8tr66rBi - cWC6P2J0NxERERERERERERHR0dMndstm+U1VFZFSytdvvfV5z3te13UAzK1phjlns9zndscYU0oh - hHKwKp93ra+mGkLo7/SbYno3EdERiiiWROv5j5MZQv7ph5/7L5omr+ztH510noAdITYiOacTt68H - EYFUuglQA9OUPWD38o47kn+9lJffeD1GVRlUaC0Imkrg/e8sg6BPjxZAAQEcaoAB2heHd0DhDO8+ - qgTYL/rdgb4T383cLcYAAFmwY+m3Pve5//7oR6fVO85Yarq2RdABMEklojhQH7Dx40EcMPE+eFwE - fU16B9BaycCoBjKsnZy1tIziaAtq3f+YiYiIiIiIiIiIiIiI6CQWYxQRMxf3IFKFaOZupiJBRETM - rORSPAOAiogIEEVVxYvlLsUQdi8vf9eTvvPxf/Knn//C5T/3gp+/8povR0F2YNHDdPLbyO0OIZhZ - 0zRt2y4Nm1ec94p/+5znLA1Hq+try4Ohh5hzriRkuLkJoOZmxUpR1aaqc85mJiIq0tc2UKAKEbZN - TsQhzGaz4dIIqqnk9fX1d77n3S972cvWJpNsGC2PJuuTVEofZ21+hKHGR/EE+QHNTkUBmPdXpK9e - 4IDFeVc5Bs1g586dO3bsGAwGfRsopUwmk7W1tfF43F/WApdFZrMAAhFVAOqe3YqVjXcVEQeOrPWL - qoqUUorNz6SZ9bHxAFzQZ3gDUFUXy+YaxbKLSD+o7NCbPoLd2R5EpG3bqmlCFTUGreKnPvWpN77p - ze/93x9oFynm45JFIAIT8f7cOlKX5y2vlBBjSftHnh+oX70vSXIfPqVERERERERERERERERERERE - RERERERERERERHSiCGxY+57bv1Yvx2Zg65PkhsFgxSV/+IvvHX3h48t66qmjM77p1LMfePrZO085 - ZVQtnTY4pQlVFZsQgojApJ/i6iYCCDRA0GdFm7iYcX7cCSXm/WRYU3d3FwNgYqUkrTWEUDxPJpM7 - 9965Z8+evbPbvrZ6/df33LKystLaLMWU6llpZq1MtEI7xdJImqoZr7fFPVlbD4JbOZoTd+n+6sAp - 2yc5RncTERERERERERERER0NcvDCsqrq7nfeeefznve8PXvWAAikqpq2bQFAPFTRi/XlU1X1CKK7 - SylVVa2vr/eJ3e7O3G4ioiNWYNnyUBo4pm0aDhustWd7nK3c8aA6tl0WIAYNIrOcm5Ov0HJQiCA4 - smBaUDfN11fWprtPffm112DUFABopAaAXCwEERgELgAgPk9VdunriWsNgykAKFqoA9UBadN05Hxj - uUhPl41nVAMMKadZLQEx4rRT/td11/z2Q8++c8/arkFcneUlRSWoYlBHyYf974d7Tx2Yt50AIHgp - gtJHvAvEkFpUwG7BN/bc8arv+zcv/tjHEAKCHv9dJSIiIiIiIiIiIiIioiOTUgohVFXlEqfTKQBV - DTGUNqmoqAb1EFWkMYGImxmKuTmswF3MvHg2n3VtszR6/OMe+/FLP/q6iy787Ze/rJIw7Q6dInyS - 6boOgKqmlGKMbds+5SlPecsbL/qmXbu7yUThS8PhZH2trmsBZtO2rmsNCkB8nnquIuoeABVxM42h - ClFVLZdSSpDt0Ykmh5hAPRgM1sbrVdNcc+P1L3rxiz/zD/9UAAcMWFufKMTc4Ih1lQ8RHd33lDoA - bJyKg43F2q+L/ggmdO87CVxFRcSs9NuuQvUtZz/4sY997GMe85izzjjzwWefdcYDTzvzzDOXlpZK - KSklVe1HZ6WUcs4xxj7W/dZbb/3q175+/Q033X7nHdddd90Xr7zi2muvXV1f7d+sruoudfMjEHF3 - wCFyyAO4i+NyNzMAIYQ+ittsPvAMAodDZb6aWx8hLaFC6dxdRA41xGGbzYw/TE3TzGazqmnM7K8+ - +IE3XHTRZz/72ZnBFUXQDAalFO+SiBaz/mQMh01KKWerouZi7pjndt+zQSLuzO0mIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIqJjQ9Dm2dIy4F7aoIZmVE+ms4zxsBmut7e1ec/K2o3XTzTd4lO4FR2W - 4TA0g2bYNE1VVZVWIYSg2tRDFVGJUVWgItLXxjX4IeeU0rHXz0XNOXc559J1uS2lFM/Jcpfb2Wwy - S7NSCtRVVYN3eUXEtRkWL61MZVBK7bOMUBArlTIYT9q6jnGgK+1UImoDp0HSEXN3FQGju4mIiIiI - iIiIiIiI7iM2orj98GvjbnnJZDLL7j/5vP9444231HUs2c2sTV0IASKldCVnODaKuh7BnjZNs76+ - HmME0Fdi7e8TERGwpcK07PMTDjZAwAGFNrFBCwQMhxXW11587qPOWh3vUpSSHSiAFavrgaOoal/C - +9hZRDr7xt46YNKnJmPrg71scEAFCCgFd2TH6We87LLPY9Rg0HhRAUzQZR9E3XhZ/zsv9BtabFQB - mMINAl/UVadjSnx+TVSRzKOirmq4AlJCDHV+6Rcu//3v+Pbx+rgRhKCWrUtFgQoAsE9bdDkOg5y2 - fIgMgDrK4iEJ8AwNmBYM1O686SakhNJsXR8AoPt+LPtPlIZ9P7lERERERERERERERER0QlRVlXMu - pUQNOae6rt3dcqmqCsWKpb7bR0RM4F4Cgoiqzvt4VFUQACwNR13O2byq4vN/+mce+Yhzn/dTPzkI - YVbKdpmSW9d16joBkPMznvq9b3rTm3YOl5BLU1Vt24YQ6roupVQhNk0DcxExs2KmqjFGFOu6TlVD - CNnNcskl9y8JIcAcgPWd2tukg8y37O2sbZd27Xr1BRf8xm/9Vt+r7oAEBIk5Z5d5dnVOCSI4xDTs - e9oS+k7tu1j7Lp41x6IHUgEBAlyAp37vU5/0pCc97alPPeecc5aWlvokdTF3yyISICVlMQ+iashd - EvOqioNQdSWXWRdjeMiDHnz2WQ/6zic8sStZREIV27a95tprP/3pT192+Rc/8YlP3H7nHZPpDIDC - s0MAVZSNXtN7dtEF1g9LE6CUUkoBoKpmFkIAUKzsc3rdIVK6DkBVVV3XCaCi5iem83+jB/teNnLx - u9/CxgoGTGatVNVb3/a217z2gquvux6L7upikCq2s5mGIEHNrGrmH/PptBVABTkbgCpqyodz0rbJ - p5iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLajqoIGTMcFQN0Mx2vjZqTuViyZF8uSoxf1VpAi - QtC16erUg2rwzmXmABRBREpxhYiISOhrHcPc3aECGKBcnpCluwDmGgCDmAOi7oB5MTGJ4pWJiHsp - cBQfjSR1LigxRvHcAuYIFTwhxIHNPIQggja10k/8JrpfYnQHERHRSUdE3L2/IyJmRViwg4iIiIiI - iOg4E9us4bp/hne/tH1XRgxxPB43wwFc3cwMGusQQjtZ+6mf+qmrr75eFF0qQF8f1krZsgXgnoR2 - q6q7u7uIAHD3EEIpZTab5ZzNrC/v2/9tQfgHBSIiYJ44jXltbpf+63v+DawHrC4AikAiAuBAbuFl - 13jygJwCkAETGFB58C5XiGZ3WxT8XjGIiQJQtz69e17lXGCAOhQii1Bth/cZzm2L3YJZiqUe3R7C - eVdcidEAVQXEGOBAB2iUxWmIENP+ZMj8LMiiTDkEkHnh7+oQJ42OnACumzW7ZWMBAJX2o1lC/5AC - qCrs2P1lqc8doJ6u52QAAqQSVS/eJ60DwfrNqMNMXI9l1sF8VBXm/3ASIBhM4P1DgpmgA7L5Lhtj - uhejAQaxWDJPMVYOV683P0BuUMsCAMEVzgZHRERERERERERERER0ghWDaDQD4FWIXgyAiBQ3EUDm - E1T7viIR8b57arOLat6dqgJxBIGU0oj84DO//71/cclz/t2/SwCC5OIA6kHTtS0AiPQp1n2npm9s - Cceub3Zzd/d/RwAOVaSuq1SC+wNPO+1Nr/2jUwdDMRO4QCACcwGihn5sD9CnJqOvVJCtCCAxOJDd - +lDhGGM/2sfdDwz6lWN8pFsdmIIcRFNKIhJCEFg/DCnnHLQSkVSKqIpIURhQ3G782td+/f976V9/ - 5CP9pTMDAC8AMgB377pu8WZ+0D72LU3Gtj67367NX704Yaowm6/Uv0iDmC0uoKqbze8UCzofBdYP - B3jwmWf8m+//1z/4Az/whCc8YdgMtr6HWpnfVwEg7nAXIAgEEIGouBXrm7SKu/VlKMTKoB+ylXLU - 8Jhzz33Muee6wMyuv/HGD33oQ+/+y/d88YuX95tKxQOgIeaSQ4g5ZwAag5Uy7ztWuM2bH3wjktv2 - OzNu1id573OmNp/uW+N8cJoD5cTlduu8qW3uwaLtbe7S5hV3dYFDpP+C2doqzA3ef4Km7WxpaanL - qaoqmOecNURV7Up2QTabzKYXvuGNf3rx227+6ldF0LcXEc1uADxnOCzPz15qOyzakmMzBn0zt/uw - PpgcvkdERERERERERERERERERERERERERERERES0zbkjhABAVa2kEIIfx9l/RAfncKDrECJMvNi0 - qbWfApw9a4CpJHEArggGmGkA3AwFMi+0b8gAEDZm0h1YAfakCLHmEvtMBJ7PvLZ5EWkTABFtcSgA - y9YBCAot8AJxlDwLqlBLfZ639JNt9ZjP2ab7NHeHSEppIwrjoPPlTzaM7iYiIiIiIiIiIiIiOhRb - dCDd/d/7u66t66ppmsl4VlWNmbno6urai170ks997gs+rznqAKASYiwpH9auhBC2hnYDaJqmbdv+ - fowRgNm8VC5zu4mI9nHoL/IttdY37inMoApktN1zv/VhjxfUKA5kQekLZLv1I09w7EcZmEAdgvnN - gaKbb6qAAmXLjljCsEbXyVSrtcHwvKuvRFOhCghxowB62Djw+ZnRzdMj+/5/y3kLx+gI7+cO/Rt7 - I7B780dRDJrXXvflX3vIQxHjMOUdTTWb5exlsLWW+aZFKfdjph+po+6LHYYAwSEqJsjFzTADdo7q - 9fH4d570L3/1mlt83IUlUakSUkQEkNsSm0X7kkUaOBR+D/8VRkRERERERERERERERCfAgXnP2Ejm - PUDOWVWDiJmVXIp3j3nUt59/3nn/5SUvKcX7nsw+3XkRt3wy9RIJ3FAHtWIGvPr883eORpKy6oFl - CA7pUGfm5GRmIQRVNbOck6r2tUVSSu4eqirGOO1aSNBYvf3tf/7rL/2NO1dW56ntDlXtu6HNDjY+ - 6i46MO/uLMm+a80zwh0xKlRyKmbzaPC6abq2DRpUNaUUNbgVBU7bvfOpT33qT/z7f//kJ33noG5K - Slj0eN7FrvbdmHe7FN+8zi4Ijr5xhxAe9i3f8oL/9nMvfuEvfOUrX/mrD37gne9852f/8XMGlJIV - KDnXscpWLBcJqoqSDUCsQu6K2d1/Hg6Wh36yl024iw+FOkzswEof2g++CwHwnDNUduzYoTHMurZt - WwDD4bBNedrNYl3fePNNb3rzW974x2+etm0B6qp2d8sJIuLifcWKk/sUERERERERERERERERERER - ERERERERERERERER7aefumh9qeJFPVpZTJczQR/ODACbWbpmW1bex7aaAEsHZdinMcAh+1z6zeu+ - MSWW0yvpiInINm1Ah1EagIiIiIiIiIiIiIjofkbnSamu8IP9RV1sow/SgFBV4/XpbDYLIYQQptPp - b/7mb1566d8AqKoKwLxir3vJh5fbDaCUYmbu3hfD3cjtzjn3lYtLKX3BXD9UMVkiovsnWXSKLpKQ - FarQABXo/BveVVylv6+KqClkoGBWvtkrmbVlUdHaZZ4m3FccPw5fuH1uty7GsRhQBCnABQIEeFjs - iQnEAUMlYQW+ulRfkfZiSaGGOrqgYB49Hg2hYH46aBtRQAyD6uXXf+nWpWEejPbMUoQPRPuA7soQ - 50XbHUBfa/yEKObubkBVxR2D2Lbd0rCarqygayWqdV3yElBPuwzBZm63ApAIidB92j0RERERERER - ERERERFtfyICwN1DCO5+yimn/PiP//jjH/sYADHOR9T0K5zQ3Twkd3fgGU976rOf/WwR6Q/nvkF8 - /5uZYXHJ4KoS+9totDRcWnL37Fbc7thz588+//n/7QUv2LuyWlWhrmsAG5nf/UYOj++fNu13dwsx - qiJny6n0LwiqALpZG4NaKTklAdzKueec8/uvPO+zn/nMGy+66F898UmqOpvNsDGg61hKKVVVVVJe - WVk5/fTTn/+ff+YvLv7zv7300uf9xH8Y1rUAy01jOblZU0cvVpItL428IHelaSoAArnbs3HXZ/KE - c4Etbi6bud0ucFEX7R/sd9wWz+43d79/+bRrq6aGyqxrU8kra2su2iwtxcFgmlJn5bIvfvG//Nx/ - /e7v/Z7XvP51s24+5KNNXZeTAxqDwY/DdSciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiuk9y - P2kLAxwcpxYTERERERERERERER3ADzsrUlX74sI7d+5MKa2tjV/96le/5z3vB1BVIaUE4N7U7e3j - ut29lCIifW43gBhjXdcppRBCv5qI9G9HRESb3+VywMOHKlTtAEoFRzv++XMeceq4HYpgMw25rwTt - BsP8diz7h8Xn77blXXzf0tQGlEXdanUsia63Je/a9Y1B9edf/ypqxdKwtVyAvhq1YLHX/Tm579ST - vx8QIAbUAcPBN0bL7WBJgTpWxc0gBSIQ3beO+YniQB1iE3XcZhTLBavTtHtp9POPeyy6VqOqhGw2 - qEdtZ5tNUQAgAKH/kdHdRERERERERERERERE9xUi4u7ubmaqGkIYj8dm9tznPlcAFAOgsgjwPtlS - sR0iKOa14oUvfOH6+rqZ9QN17qtCCGbWD1KKMYpI/+Pq+tpkOnVAVW+46aZnPOMZf/Hud1VVNCCl - 0nUdADPLOQOoquo47GrOuY8ID1HrphJFSQaHCjybAgF42lOecsnbLv6bj3z4x3/sR3ct70izdjQY - 1rFqqqqu6yOJGD9MQQRAjHFQNwoppexYWj7nWx/6it95+Zcuv+L3fud3dy4tAxjWlecigArW1yf9 - a9s2AXB4DPFY7+ex5gcJ7T6SjdSDZtrOXNAMhxICVAej4dp4POnav770oz/xk8/9wf/7h97+7vfM - UnIgO5pBHYIAgCBUsZQCQBjdTURERERERERERERERERERERERERERERERERERER0+LZZajcARncT - ERERERERERERER2awBW+39/S+6DW/alEKyjFQ6jaVF7/hje8/vVv7J9KqfR3SinuDkfQw67eW0pp - 2xbA8vJyzrkvZwzA3afTaYwRQF/3tuu641P6lohoW5iXfl5kVctGbjcW6d2+b4x3AMRRWpTyIMOu - tqvdZSNA2OECExTxA0K0jz71+a8ibNlBcQSb734BMlAELvOYY5d6XQY3oPz+NZdjWKOpkhXRejO3 - u9+QGGCQY16CnI4yFcSI4Y43XXHVTW3Kgx3TnAB0gizqUEBsUd/8BI4GiMA0ZRQbCGB+yvJwOSDM - uuX1VYzXYHnSTqLWAOZ7K4s2CcAUrg5kHOMPGBERERERERERERERER0vZtbHXYcQUkoi0qdBP+MZ - z1CF7dstpCcuUtcP7KJyAPMw8Uc96lHf+cQniUhVVf0RHfcdPMoOlZ08DyY3V4i755xLKRJ0eccO - j1qNBn9y8du+56lPu+32OxXoUgYgihhjCKEf0aTwnNqjtqNywA0AoDFA0OeLl2TdLHmBCgIQvQ/t - fvJfv/99737725/2Pd+9NBw1sWqqugpxOh57KUF0vPb/s3fncbJlVZ3of2vtvc85kZF5h6qioAEF - BFRmRAYHBFsRGV73026c/UChgCWj70ExOKGtgiVUMThUIajoe4KKEwo4tE3T4keQoX0gMqig3aAi - UFX33syMiHP23mu9P05k3rxDQd3LvTczb/2+n/PJiowbcWKfiBORWbn2Xr8NOXdVye1o6u1nVRzi - aFOT+76fz4NIVC1Dhtl0spJCOLQ6/Z4rnvDud77jxT/+Y7c5fAjmrao4VqeT8UCjBgEEUmr57M/G - vuCnBHjb8dl4CujOOR4n3HHHc5tL7XOJTQvV2TB0q2vr88Xv/P4bv/5Rj/zOJzzhT9/6tgJUYDC4 - QoMs+qGaQwUitRSIIEqtwwU+diIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi2hWM7iYiIiIi - IiIiIiIiOjfcvWmajY2N17/+9dddd/329apQ1bZtx29FpNZ6pjuPMY6B3BsbG2OLWwBmJiKTyURE - NjY2xra5IvuqISsR0fnkx1s8n3jt6HTNrB2AOWaLJ939i7uN9SmyIVfYmOk93mZnNPKF+cy1HT3i - oyEa1AGgjPHGAoyB45BPm9fbXPbzf/dhBIGiImpoTh6k2r7r302jUiuQChTdyss/9tFPKzaADBRF - UVTI1qmBrXN/d8KvQwitSHZ0KQ3Vb9qY1wod+sN58fT73BNW19qVsUm9Bq3YkdvtOqbVn+adS0RE - RERERERERERERPtWCKHWWkqJMbq7mY0zYS6//PLb3fbyoCLAOB9GVO3M59WcdwYBHvKQh+gIcPdl - vvXFaJzaNM5BcncXxBibrp3lvpg97wUvePZVz8lui5INcIEGMcOY8D3uYZzmdL6Np0qMcRxqCrFt - ojoCcM8v/eJf++VfesPrf/O+97wXSg2OsuibmI4dORJEppOVfr5w99XV1Rjj+R7nMAyTtptOVsys - DDmFII7N9fU8W4jDhnz5ZZdd+ZTve/v/+PMX/8RPrq1MBZhvzhVQUXeP4byP8MI4tXp9RkXhMbfb - gG660q1Mjm2sQ3Ux5Be9+MVf9oAHPO0HnvWBD36kChzIhtREDWKOah6iahC4Y5x0Z3YBXnQiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIioovV2BxgOzJj7+PqYiIiIiIiIiIiIiKi03E9o5tXuIsW - w1v++E9/+qevLgUphVKqOaoBsGEYAMQYSylnMZzte7l7rbXW2jSNiJRSQggisrq6CqDv+7Ztx07H - Z/EoREQXo7HV89an+s5K7umyqx0uQ0Hvdxi8td7hDcShBnGouIm7C/xC5XaPOcwO1OXDSeNjJLlX - gcnyOIIBwCKG2drBH3r3O3BgiiZAu4AwLGrTahHY+CwsQ7vNAUCZ372fuEZt4BoFKIZJc+OBySGt - KEOtFbBiqr6Mcg9w2a3gbmCz1sOTyTCfD0NeTdFVAEiftV9c0gbMFtJMxDEUhGYMGDcBxjMbAujy - LUZEREREREREREREREQXhzHwupSSUlJVAGZmbhLjve9xz3/95NtEdq22dcvd+973LqWUxaJrGhUJ - osX3Xsr4uWBmIgLV6g7VFKOZra9vzIb8+Cde8d73vndWahCp7ga4A3X56o3TlmqtQx4E4mf4qo71 - az/1qpu5aYrJ3UvO4xVWi1ccXJn+0A8+/1v+8+MuPXy4DMOhgwf7vvdqEsIwmx9cO1CGbKWuTVdF - ZD6fAxhT2P28lc9rrYvFIsaYQoR4LTWIHlhdA5Bzllpnx465yGWHDj35iVd88//5H19yzbW/9Kuv - DSEYvFRTqMFjTEPJp39CTnniTvNM7gHLd/n4RYATi8KyHO7O647P9xhvbxAXrM8XLviXT3361665 - 9jW/8tqN+eakm4xvRTekqLXYMBQATZeGPteyjAiPKY1nS+l7UfV6RtHhRERERERERERERERERERE - RERERERERERERERERES0L7HZNRERERERERERERHR581VJKjq29/+9uc+93k5W4zIeexeu/xT/PYF - AGeRqz3e3d0BmFnTNGY27kpEcs7jvzZN4+7M7SYiOtUyGHj7G1nmYY+bj//oUK+o9Vl3vtsli5zU - CzDAAVTAIADUIb7sGX2Bcq/HDtSCCnEgABHQMeZYYLLM7c4q66n5eBQcPoAQqkZHALRJCYbxGwAO - G3O7DbBlgDftH67juYioaMPPfvBvjjTpM0NxcRl/SRAAIn7Bzs7TWwEW8/n4q08ppe/z0OdGsGK2 - lhdwx2DISGl5ewMAhymg2A+pDERERERERERERERERHRGhmFomkZExqkv2ArzNrM73elO7ti6Gu4O - OV2ta7drSEHkDne4A4DJZNLE5NVKKbs8pvNGVUMI7l5qhQiCrs9nn/iXf/66R3z9O9/9rlkeVKW4 - u8CBEARA0zQA+r4f93AWud1nzJFztlIBJA1JRYD/8KhH//e3/tmTvud7Dx04kEJQ4KYbbpTqQdRK - tVJTiF5tPLrZbKaq48jPq5WVlZSSV7NS3V0hZpb7IfeDV1tbXRNHm5JX82q3ufTS7IdjlgAAIABJ - REFUF/3kT735jX9wt7t8Ua22OpmWWmKIueTzPc4LaTnpYuurnP5k0TGxeyu3Gy4w4IMf/tAznvnM - h3/t177iF35+Y76pIW4u5gIZq+S5WAgyztQbFhkCOGJKcJScU0wAIOLG3G4iIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiolsFRncTERHtLWMfIhG4Y2wEI6dtOURERERERERE553u2I4b/+fdzEII - cLUKlejuIYT3vuevn/a0p5cCd5SyzM20rS6ftVYAY9Pez966V1W3s7e3L2x3LjazlJKZ7cwCTymN - Yxudk+MnIrqYnJDbDQCoQG9WgQIUrxWY9QtYxZCxGO6oqV3MRGANHOhRK2ACcagj+Jj9fSGaw+/8 - TDdFleWVDqQoYmggCRLQHIvxxpXm+n/4ILqE0AW0Bl1mkhtQEcbUbhzfw243t6czN75mvcEMKSLK - j3/wbxarE3F0Bveqqtk8qDRjv/FdGuYYL65bb70IBEAdLdAu8g986X3RV8D6Aoz/tPPOcvwsJSIi - IiIiIiIiIiIiootDCKGUMn5V1XHuDYAyDHe/690UCDtDu/2ESubxstGuFjir+93vercUQq015+zu - O6fu7FNlyCnEcVZSCMHMttYxiY/J20Fdpc/D+/7m/Y98zKP/8Z8/MdTqQDY3wBwAanUAwzCM+xyn - SNlJr+JZkB2vvchyA+AQCBzjHCmBN0HN6qUHDv3qq1/zql/4+S/+oruqeXAviz5CVyateIWVqGhj - 6vs+hCAipZQYo4jUWl2W4dDn3BhKXYYMc1XdntY1TvEK4hrQ97MY1auJewoq7lHxlQ958B+96c0/ - +JyrUIsCtRYFdDxwyPhe0BCWT86pz9ie5NWCaBD1agoZn5kgGiSIS5Cg0FKqO2JM7q4x5OrVLaRk - qtn97e/4y2/7ru/499/wiN/83d9Z7xdjYTrX4lA7Pr0Otbrb1vNhEKAMeSxel5zhgJ33ZHkiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiujiMCWIAxtWRuz0cIiKi3bSdqrm9uny/5GLs+9YARERERERE - REREREQXWK2167pSSq1VVUspMTZ/+4EPXXHFE2ezQRVjY94xz/ss9m9mY7filFIpZXV1ddzbYrEY - L4yPe06PiYjo4iSAjjXRcWqTL691oAJQXSYESyg2rLQN3DBbPOse99T1Y5e2K25YH5DaICFUhQkU - CI5oCHbhoq/Fl+21q6AKClDhGcsQcVRvsTKDr0+6n/nQ+9E1SBES4KqAy4723I4AO/HnB3+a7CsC - B0rO6BRdmOceKytom6NtWyqSoU2h1DyNnVXvB8Sz+TXk/CrAWpDJkQ3MFhCLaYz0dhljvmV5Supe - bylPRERERERERERERERE50bbtuPEGNWtAtHp+lbsbvForLi2KY1DBaCq46Qg2edNNtq2rbWOk5FK - KU3TtG07TlJyoLiFFIvVP3vrW7/ju77rpvUNBwwY6+wX9NDHJdzuKaYQAtybmOCuQBujV/vKBz7o - ne94x2Me9eiVrsv9oPAxMxuAnjhQ3WMv2Tie8Qwfx6zAGON92eFLnnfVc9/0h3/4JXe9mwJRgsC7 - poV727ZwWK1N237+IekXTErJzOqWJqbpdArzUsp4PVS6rhORYRhCTEMp7aSTFG88ctMb//APH/N/ - PPabHvctf/a2PzdgPBVvybQNuZmvRERERERERERERERERERERERERERERERERERERER0dnz/rHEe - sRU7EREREREREREREdFp2Y7tBCGEm2462jSdu6TUhpD+/u8/+oQnXJGLTVa6avCtLq+11u3Q2Fuu - aZrxvjnntm03NjYAmFnbtuOjbyeC77uyBBHRHjF2cB4brFcvCpNaBIbNdeRyYGPz0HRyrJ+5YzWh - WJ3V6rLs+Ry2tu39XABhq2F3FR0EGYhRF8VXQ5sQF/DN0H0iKaYrSKEiADqOzAAfh6vLEYsbXAEV - qLBevK84YAGYpLn3CwxN23l1dN01H/xgTV0T2kWurWh0WQkRQDj/HcfVj7e2vyVd0YNAzL8gTa66 - 9/2weTSgiLlAYIArFC4G347wJiIiIiIiIiIiIiIiootcCvHwwUN7P0/XgYOrawcOHKi1iruIiMhF - MG9nTOkOIUwmE4UMi35zczYMee3AAREpViWE3/rd3/vOxz9+PmTbEZZ84Y/czeDIOVutSYO4ByAC - tZQX/tAPv+mNv39odZoEw6JvQtx5R5OTt+UO5fh2qu3k78932Dez/51j237EbeqAeR4WVoZ7fvHd - 3/pf//S7v/Xb3atC8tA3IQ59H0KAY+j7bjI5BwO9IMys9EOArE5Xk4ZhvhjmfemzqgLQGAAsFotS - q4bQl+wh3HDTkVe9+jVf9bCHPfH7vu8973u/ATGGetJsDQHEtj9BZMeHiZ6ynXobIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiK6uMXPfRMiIiIiIiIiIiIiItpSSllZWQkh9X2/uro6n/c33HDD - 05/5rGPHNnKuOdcQpFYbO4qanRz7fUsMwwAgxlhK6fteRMxs7PZbSokx1lpDCDnnlNK5PToioovS - aRsub0d3RwHq0KaE6gjxqnt88e1qXvRFgRZQx3pBm2DVdGtXMoYoX5B25H78QUVcVVAFLtBqrchm - 7YE4R9w4sPKaf/gAQoQcLwGLA4IMqCAKYAY3YNmOWqFgN+r9xoEMkxAAZLOu6VAztP+MS1OH1aZb - DAvUoaJ2gnnZWwHYBkAwK9CycclkghjEBkgDj3CFoMIABLHxW+X5SUREREREREREREREdLEzs7W1 - NQeq7ai+iuxCNPTnMp1OU0rDbB4BmIu5myHsqYrcGRORMBYfc3b3EIKKNG27ubkZ2yY2zcte8fKX - XvOyAuRaYhPrUHZrqF3bLRYLAVbaru8XACL09re77XU//3P3v+9925SsVC91bWXqZielbvsplcfP - Eqe9i8ThAnE0KTmQrULk0IGDR44dveYlVz/oQQ967gt+cF56qzVArBqAtdW19Y313R74LSUiTdO4 - e815vCal1Ey6UorB3b3U6kGn0+li6P/13z71qlf/4m/81m9+5sYjbUy29Tr2pZ7w8p7JS7lzJt/e - +4whIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLzYn/3BSAiIiIiIiIiIiIiOr/ETtrG5GwA - IrKxMdtY33zyk57ykY98tJQKoG3bWl01msEMbTs5u4cNIdRaRQRArbWUMl6OMfZ9H0Iws5TS2UWD - ExERgAgA7p4bIGrAbIFS0ffdbPOQSgqqKikmMW0VJSO6R3MFHGJABarALkg7bwccUCAaggGACdyh - MQDat5MbDnUvfP9fo2kQEiDLRG4BHAHwcbQwqC13B1SojNnetN8YzAADosZSDKKYrLzoH//x2IFD - N5baxCajToJUWWbMn1dyao/7z7r1hksmnSP7xlHMN5EL+gVQx704dGvAduqeiYiIiIiIiIiIiIiI - 6OJTaz1w4MC+qA1Np1MrVRzjvB13Hyfz7Gu1DJOuEcew6AHEGM1sPp/Htpn3i5de+7Kf+MkXHZ3P - NIUK9EPZrQqzio653Qr0/SJCFbjPve75pt//va944AMvv+yyslgoHG556Pv5XHwZg+0Ch7qoQ31r - PfV2bvfyBlvbaLzvuXXSAx3foMc3WeZ2i2NYLKwWt6rwjWNHV1dW2pS+6zu+7a3/7b/e5Y53EnjU - oIAA6+vr+yiDupSSJp0J5kNvIppScZst5vOh1yYNVj1q7CbvePd7rnz6M77iqx/6c9e/6lM3HjFg - VrKLpq4rgKguC9Vb8yKObwLI8uLITtxGztxuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqIz - t73E3n2fLdhldDcRERERERERERER0RlQ1cVi4e4hJKv+lKc85QN/++HJpDUHgDFXu23b8cZ935/p - /mOMIlJr9S0iMjbGrbUOw7C9cyIiuoUcJzd6H6ukQz9rRAC3o8cQI8y/5Uu+5DaTZrFYeC2A9qXM - zaLqSkLyZdq3ARlSLlQTdhOYwCAAEpDcFK6OAlTBOuxTUj45DTi0AkTEbrvb+LLVtCMAst2GWgGF - s0y8PwkQgQ661UxeJTbQBAhSqHe+Yz10YOYFgLv3htjsud8ZFJj3dRLaCPu++9wLOUMFetK7ySDG - dulERERERERERERERES3EocOHRovCGS5UnfvLdMV4ODBg6UUVVVVd1fViyC6e5ybZGZd18UYZ4s5 - gNAkqLzsFa+4+qUvyXADhlw1Ski7Vmgez4xpN2lCUsBhj37Eo37nDb991y+8c6Nh/aYjCrGhKEQh - q2trO+871lZPjej23X71ThrPTiLSpialBPNJ28HMSgmiX3Tnu7zx937/vve4j1kRoEtNCvGCD/zs - VbdSi5m1ky6E0OehuqWm6aYrfR6g8s53vetbv/3bvvExj/6N3/nt9cVcY6hAjCmmprot+gVUzO1z - P9LN8O0JJHLyNBIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIrol9l1uNxjdTURERERERERE - RER0emKQ0zT6HIYhpWRmZvbsZz/7Pe/9/wDM5z2AkCJUqtX5fB5jBKB6xn+HH5v8jvncZgZg7JCr - qiGEpmlKKeOeNzc3z2L/REQ0Mqur7QRmWGRdWUVxlLoS48bRY5e0oubRVdEkbXIxyUgYo7tRgRy0 - qDqg57lAbLLsHF0Bg0agAZJBHatRFkPRAytHV+MvfODdCAXNZDGrFVpxQuZxdDRAABxeBXXMfAZz - kfchB4YcKiY1NIgGVJhXoJng0MEf+Yv/8S9DX5vYdrphCCFtDoNd8Hbjy7D5022AJG2ylaJuNhyG - YGOGPCx7o291Rz9+YjK9m4iIiIiIiIiIiIiI6GInIocOHLglNa3dTdp14NChQ+4eQvBqY3T3flxR - fJKmacxsGAZVHacnraytLRaLa6655qUvf7nsiDo2aC0G6PYmOxKQz/erU2sVyHwxzzUr9Huf8L2/ - +trXXnLwUMmDirdNtJonTRtFo+j6TUdOurttbdu2A7NPun7buZ0MsDM4/KTEbsNpArybppnP517N - q+Vh0c9nTQxl6CdN++9ud7u3vOUtX/0VX+3wIQ+llnM50POsbduh5GIVKrkWDaGbTDYX83m/+IM3 - vemRj3nsY7/5m//krf9tYbUAErUv1YG+5D4PIgIghHDaPe94/pbnp2+dvTu3k89UpncTERERERER - EREREREREREREREREREREREREREREd0KMNKDiIiIiIiIiIiIiOgWcB23lFp3UY3Pff4L/vhP/swF - BqSYANRa4R5ijDGWUkRkzN4+U6ra972ZicgwDCIyRnTXWgGMbX/dfTqdntMjJCK6CDlgUBurorKV - YuwQIGiocNGI1KA4zDEMl5utJdTqCohbRQ0hyNh0fHufy8tn8wl/ptQRHAK4oAIABBqA4CjFh4BP - R732Ix9CN6mTNQi6STrh/svjta38Y3HAoWAD6v1LBK4CDY6hDoCKKlQtCGJ62Uf/4Whsb1jY2kqz - XnNqGmy91js75ptgDHG3HYHZF0ax2qVuMw+HV7vu2AZcEZpaCrYS5XXnu2134xeIiIiIiIiIiIiI - iIjoPBNHgDRNswzW3a4NyfLS8cDdXRjcyd9Np9MgMk4HGlOuz25e0J6Scw4hhBDcvVhtuvaGG294 - /W/+xk+/9CUGFCC1CQAEuIA55cdf/h0cPmkaBZ76lKdc/VM/GdzUaoxxPISmaWazmaY4DMP0wNrN - 7Xk8x/yUnOdT2YWqVJ720UWk1hpjbJpGRFan05xz17RSS3DrYvjdN/zWt//nxwmgY5r1PgmRL1ZD - CKltzCyX0ky6T376U6/7jdd/+UMefOXTn/qe979vnIlnQIgxF4OIxuCABJWgAOrNvOl2PAEGbM0P - +Zzx8vvkeSMiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiolsRV3UFoNsr6sSOfwVwAVdBEhFdNOJu - D4CIiIhOsBW+BQFU1d1V5QL2tyEiIiIiIiK6iJ3a6fSUVp47So/iCCEs+pxSatt2Y2MWmlRKaWPc - 3Nx45Stf+du/80ZZ3lCGUgHAHEDNZdzD5/w/+hDCmMa981sRyTm7+xjdHWPceQMAKSUAIiyNEhHd - Ir78agKDLNO8oeaCDDhCEkVQDBvPu+tdv6AsmoJcx58ZFoGaawCKL39mGCDwaHZiN+/zRYAEZEdW - H7x2aWXIiwAReAXypP1020AbxENeFYpSLUQF9ISW06LbewvA8SBy/iTZdwRIcdmq3GwStKJAIhzi - ERHo/JPtyiTXY/OZRpj0EdDxlN86LcYO+30EgFjROAJcgHJWbclPvYt+1r1EDbM8P9hg86bN23fp - OXe560s/dWNsGoxvT6g44BFiLmZQ5XlKRERERERERERERER0UTOzruvG3G6Hw1xFzUwg2FGUdR9r - nbDzHLYrN7//FGMQrUNWQdM0fe0RL0jZ+PNzuhEu50eJo0nd5sbmysrUBaUa3H/3TW967g/9cO/L - 0nrp84576M7ZVuf8hRAgIDgcoi4wKykmQ63FRBCBPAzPvPLKH/3BHwpuUcTMvXqITS4GIDRpKFlT - HEreWWUU366RQ/z415tzPl7Tz/6Ipz56riW1TbEKQDT2Q1GNZqaQJG6wGMIrX3bt4UsOvvqXfynX - KkAIWorFGGspAFaaldmwOM3suF0VNQzDEGOCi5l9/OMf/6qHPfzI5nqIcV7dgRRTzVlExoRuh3ut - ELhvTcQzQwioFaoqYrUuX+txKp27BrG6db6mZLlCFWY7zlfD8eo5F+wREREREdGZ2ZsLeXzH4iV3 - 35uDJCIiIiIiIiIiIiIiIiIiIiIiItqnRCAiIuJu4Dw9Om9MTOA7mrCquoyLXk9aomjyOVq/EhGd - b2YWQsw5i8Ad7q6q5ntrXfOpTs0pISIiIiIiIiIiIiIiuHuttW3b2Wy2WAzT6XToSwxNKfXXf/3X - X/2a16rCAXePKZ3F/mOMtVZVnUwm29+Oj7tYLLYHoMq/5BMRnUMGGMQAVFSFFsDHIORil7k1m4t4 - QqfxcYMDFaiAA+oI7sEv0DwVhcpWWXczL0SiIhZgEcJ6TNf9z/+JlRWIRgWAGFW2Z9nIiRsUUDne - k5z2Jcf2C2y6PDEMYz57cQh+4cMf3tTGUzNdQd8Dskwv2DlzYZx0tX3+bp9gdv5Pjt5yF2LJOJAw - KXYQAVXQF7g5ls3X4YCrAb7H+sgTERERERERERERERHReXJSILecuvB1t8ucCgTR7SisvZ/YfQvl - nA8cODBbzIec46R98x/90dN/4FmD13r+U9JPK2gA4O5uJiI555ptrHOb4/965tN/5qdfbCUnVfGq - J9YTxxfltC+N+HLb76rlJoUmBvGq8Bf9xE889lGPbpOGrXkBZhY0CGQ2LFT23KyzUoqqmlmKMcZ4 - +NJL7nvf+wbReSndpA0p5pIBhQQzU40AEIKowh1mEBFV1AoAZlarQAQCB8xhHlSsemqiBokpWc4I - AXbCebI1h2L/nw1ERERERERERERERERERERERERERERERERERHRxEMMJnWN1+dXlePdjYY9WItpD - ttfdn/bbvWnPLb0mIiIiIiIiIiIiItoLQmwWfXb3Q4cOqeqRI0dWV1dV9XWve93VV18DLLt6Nk1T - ctYQznT/pRQAZjafzwGMKd0iYmZt2wIIIYSt3e6LkgMR0d60bLvsCh+TjnXs6p6gbhYAEWB99sz7 - P2Dj2LHDh6a7Pd4TODCDORAhEw0KFK89sqbuWEz/aobVNah46WsBgFJ3e8R0AcgyrGDnnKlSgC6h - a5F03sUjw5DXcSAAQJXlZnCHC1zh0Tya61Y4vW1FZp/v9O6osdYqjpwxLzWKoAzwcYqYHj86MYVy - PgMREREREREREREREdGtRJB9EIU9TuORraG6u+yHYW+nVu/YdNwALWaLYWjaVlN869vedsX3fI8B - 1Ry7kdvtwGDFtp7kFKIATQoqAsNTnvTE5z/veTfeeONkMqm1lmwq8YKPcZeJyGw2G4ZBRCZt1/f9 - a37x1d/4iG8AYMWa1LhZtQpARavvuTYcqhpCqLWWUmKMUcNTn/rU4qZA3/e1FAAS4KiqMCtwoFav - BhEZp3qYw5FiWla43cWXReYgqiJw5L5Y8TJkAF1qtqriJzDAmd5NRERERERERERERERERERERERE - RERERERERERERHRW3PdZegZbXRMRERERERERERERncYwDIcOHVosFmPG9nQ63djYeMtb3vKiF71o - vMHKSjfeLMRo9YyzUlW167qx1WwIYQztNlu2TB0ftNYKIOe8L1r9EhHtWYoxlFjhy9xuCBSh1Qhz - zOdQWZsv1lL8tyObuzvUUzmgAcU8WxWxFLxFWM/1prXp9f/0T2gahCBRQ0SuYw9ruhVQQBSAAHAF - EBJcYJYR9er3/3VzyeE2hlAhDhdURVFkwfj7SgCCITjUIeObA6gCP++/bvjCctNGBQSYNq1W//77 - 3AdW4XDo1uMvfx3ibz9EREREREREREREREQXPRFx9xDC9rrcPbtCN8a4X+K6b7nJysp8sYht86GP - fPjxVzxBgjjgsmt1ZwcEYm4qmkuOIVquXv2bHvvo//IjL4yiXdO6uwRF0L7k81/i3FvMbPXAATOr - tfZ9P+0mXu0VL3v5133Nw5oQSh4AxBAlaHUbw+b3lPHtIyKlFBVx90c84hEP/vIHqsANcOg4Zvdx - 8FGDOBQSXOAu5kG0iclyDkCEJA0REoAAiJsVC8Bq13YxCNC13WI+Tynt7lETERERERERERERERER - ERERERERERERERER0b62c13hRbbGkIiI6Ext/yjc2RZgz7YI2Cnu9gCIiIiIiIiIiIiIiC48O/3V - rtsXYwyz2aLrVubzhcYmpfS+9733qque2/dVFaI6my0ApJRyLqq6nbp9C4nIYrEAEEKoW8nf2x1+ - Y4x937dta2YpJTNT1c+2OyIiOh0ZM47HaGIfQ7y3AoErRNAACBHHPnNgyKsxtlIt760qrwIVMEAF - TZChGCA+Xbv6Ix9Bq8ubiCEvtOl6w4Q/Li5qcsJ/sP1q98VjFGk7LNYxaW+otpaXt3KgKgCoQ31s - do/ocN9xA8Bl+7vzaNrEWZ9XAvqKPNSUtJutI/ewtHUwBgFk6817XkdDREREREREREREREREe0NK - CaXs9ig+hzEAWET2w8LhW8QFm4t5tzb9p098/Ionfe9mP6iqwO30B3hmM6POToxaSgGgqopotSTV - B3/5A1/+0mvbEFe6yZEbb5TUiIgG7bp2GIYLMKq9IzXNfDYLIQUNCq+5NBpuf/ltX3Hty574vd/z - nve/r41hKBUqgNUznMx2AdRcVLWJ0QW11pW2OzbffP7zrvqmb/02EaQUh6GICBwlZ4W4jbVuh/uy - fGzVrAbg0kOH73znO9/+9re/7eWXX3bZZaurqymlY+vrH/3Y37/jL//qf33i49OmmS8WAah5EBx/ - 114sb18iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOr8upuWERERE55CI+M7L++THJaO7iYiIiIiI - iIiIiIhOL+fcdZ2IQOO73vWuK6+8cj7PTRNzLrUYgMlkMp/PRcKZ5nYDqLWqakqp7/vxGncHYGbu - Xmtt2/bcHg4R0a2VAbrsIu7wrShgEYUD7hj6H/7yB1w22zDt58XbvZcVPK+YNtFR+8EnSWclftIc - XVNXJgEKmOcsqQHQMLf71mGcjaCAjHnXghRlcIhIaDvMF7jk8LGjm3dJa+t5XQB1mMAEVREMAMKO - juQGmCwvnNczyAR5KF0KJdcIAWSt6Q55wWyG6XRrCLZ1cMztJiIiIiIiIiIiIiIiuviJCOAhhPEb - 7OGFuTFGd98uYrm7qu7h8d4iIcXFMDz9mc/4yEc/1rbtrO9jE60U36XQ51KLBPVqpRYFksZ/d5vL - fvG66w+urbWp2Th2bG26KiJ934cQbrrppul0ujsD3SW11lJKjM0YcJ6a1C+GjWPrd/+iu17/C9c9 - 8tGPunH9mANuDtmLbyhVNbMYYynF3HPOUcNDv+qrv/KBD/rL97w7DwWAQgwOQOACBEAhFa7AbS+/ - 7dc89KEPf/jDH3D/L7v00ksPHz4cYxQb34leSulL33WdGf7+o/9w/ate9YY3vKFC5v3csT1nhIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIPi/u7ntsIfPnxN7tRERERERERERERHQrYTu2W3Br - gcZmthiK4W//9oNXXvn9R49uNo32QwkxAFhZWZnP5zv2fMZCCGMn2bF/KIBSiqqGEJpm2WJVVTc3 - N1X593wiorNjgEF2fkprhRoUAhRHLiilW2yuWF5NaS9+2ioOdHFzKIvBDzV6NNsN0+7FH/s7xLYg - liq1ujVtFfHq0Zl2fPHb7iou0O3O4sPgQQAIRDFpX/RX77S1w5/Og0OCIRh065bbbwbZysbenuNw - Ac7/NsksVwcioiDMN48ecn/ugx+IagGAG+DHh7XPZl8QERERERERERERERHRWVpGdwMA9uwa3XGQ - Inu6JOtj3PEO4se3rSLhcjMINP7gj/zoW9/+FxXo82DAMBTbqkceryleKDElXz48FBCrv/Tq19zp - DnfsQsrzhVcDMJvNAEDlwKGDF3Rwe4FK07UxRgAxxtwPK5PJtO2Gfn7nL7zjz//cz3UhKrCcaLb3 - 3koxRhGptdZaxVFLOTBdhdkzn/G0tWmnQJOCwhSIgigSgUmT7vSFd3zSFU/4kze/+b3v+qtXvvxl - j/tP3/xFd/7C21x6uIsBJedhMfTz2i88D5PUoNSouPtd7vzya6798//+tod8+QMSRCHjU3L8dL7g - 5zYRERERERERERERERERERERERERERERERERERER0UVjuy3AHl+Av20vNp9p1RrtAAAgAElEQVQn - IiIiIiIiIiIiItpt6iYhBDP75Cc/eeWVV9544zFVDIOJIOeqqrPZbEzUdvedHYRvuVprSmnsRjoW - GMbOqrVWbJUc3H06nZ7LIyMiutVxwLa7itvxqwAV5PLUe917TRBR5/OhTbs71NMQk81FWeuwlnDD - YGFl8slJg65BiFY8qkiIA7xAgjLt+FZmx8vdNeIGh1cImoRJ+oTbrJ1UhACoH0/vdqDezP7kPJ88 - 6uizt4pW4oBssLXU+eZGOnYMpaAa3Hdki2M5XCIiIiIiIiIiIiIiIrrYqe6Dha77YpBn6jW/8su/ - /P/8qgEGZPOYggOye6nGJeembcfLAvzYC1/4wPt/mZhbrSnErmlrLm3bisgwDKWU3Rnlrso5m1mM - cVj0bWpyPwyLvvTDSjf5xm945NOe9jQB4lnNZLsAtifFpZREZHXtwGc+85mu6x71yG+815feIwA1 - V3cI4I616eSK7/7uP/jd3/vrd7/nZ1704i+73/0mqUmibYhtaqRYXvReaqOh0ZBibFJKopaLVo8a - FhubX3K3u//263/zx3/0hQpsp3cTEREREREREREREREREREREREREREREREREZ2p/RJKSkRERDeH - a42JiIj2kJP+N3v8dozpIiIiIiIiIqLzxMxERFW3L4xB2mYWQjxy5OjjH/+Ef/7nfwVgDlGM/6du - ZttfsdVX9OaktEyCbbd6y47X2Jbxyu0/AoxB4ONtWJUnIjo35OTSqAjgBbmsLRbRhxjgALbijfcM - ASQBXrCZMQl6k6aXf+gDWJ3AdTL+DRmiaIAo4lD7nHuki4MAsB3J1oZWoEOtpUIjRF75sY/c0KWF - oNWYHMFQDTFKBiRJPSXnXQ3i5/38DwIxZK+KAMDycBCyao5cYBUle84AhpLP7ziIiIiIiIiIiIiI - iIhob3D346tm3LGH58mUUkII4/wid1fV/bTeRzU2Td/3quqAqJr7u97z7qte8PziblvVw5wrTiwj - XnilDCpQ4LHf+KinPOnJYlVgMBdzMcfWXK9b55Qqdw8hjBPbUkq11gCEoHD3UhX2vOc+52u/+qE1 - V/gyfn18orafrhjj7g0fBocKADMLQD/bXJ2ulGGwUl7wvOcDCAIFHvRl93vltS/9m/e9/yVXX/2A - +9+/5gwzBcQtCGAVVgUeVIKKuMPMa4WZlZJicKtS60qTar+IQb7/+578//7KawNcgLAjlV6U6+uJ - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6Ga5u4i4Y1zRtv/WFdK+Mq63jTGOSwixdQbu9riIiE5j - /GlYShE5sV3A3salxURERERERERERER0axdCWCwWTdOYWa11MpkASKn51Kc+9eQnP/ljH/vfIhKj - nt1f/lNKOeex7Wnf913XAcg5A1hdXV0sFmZWShGR7QxvIiI6pwQAFBD4csKJAhj6OWrFkLvZ3Pre - HAe7JHvsk1iACO00eYULjsT0qaCYTi0IcDx7WQBZXjTstWOgc+2EGHrfuugY+tqm2MR2cxgw6dCm - GyexD9FEC9BApjHU6gPQF68C27lDh+7C7AFTeIJ3pTz5fvfDbA64NKm6pdgCKLmCk8SIiIiIiIiI - iIiIiIiIPm8551rrdDodcg4hHNvcmA/9057xDAfcT8zq3t0KncOKi+N2t7nNz77ilWKeUvJqumOI - sj/Wbl846pi0Xa0190Obmlddf/3tbnObNigcMUZVBeDubdtiK4F+t4e8pA5ZlqrlYQ/9mq968IO+ - 43GPe+dfvP2Nv/f73/3t39mmRtzHQvZ2OVt8uW1f3t6V+ik32HqIf/+wh1/9Uy9WQASydYo7p+oR - EREREREREREREREREREREREREREREREREdHeoKpmNp/PY4whhBDCfonCJSLaLxjdTURERERERERE - RES3aiGEYRjatnX3Wr1WN4OIDMPwfz/7qvf/zYcgMPdcLIRwFh07c84ppVLKmN49pnQDEJEjR46M - A4gxjn1Rmd5NRHSu6TKVWE/oMC5A20Rsrl91jy+5bdfCYcDmIg97b1KKwTYtuyNHuWE6ufajf4fQ - ujTbRxQcCRhba++94dO5tv0a+wlZ26JomuBD9Vy6ZpLN0cXrPvgBOzA9UocGqcJzqVKx2mpxuMBx - Qnr3henAP3ZLH0PnDS4wBRqz1WG+NQgFtFqFIKa90jKeiIiIiIiIiIiIiIiIaJ9yERdpmmYYhmoW - mrQodXrw0JVPf8ZHP/5x/+xV5s/xz+deUExSjIoff+GPHD645laCKudT7aCnXRU+DEMehkMHD/Xz - +eGDB679mau9mgK1lFpr0zQAcs7jpLVdfD79dGVpcTQxqNmb3/jGa176kjt94RdMVybi1sWoO6K4 - dwZ177w8MoHJSbt1wAWu8NXp5PHf/Z1f9eCH+JgUrqqaROL5OUoiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIqIzU2uNMYqIqtZaSykAmN5NRHuQu49rlrc/o8Zv9z5GdxMRERERERERERHRrdr4J/5S - yjAMhw8fHguTs8XwnOc8553vfKcq3KGqIqi1qp7N39VzzgDGYmcpZdzJ2AV1zPPu+368cHb7JyKi - z2pM74YLZKs+GgCsryPoJA8y3+iSQFGBtabZ3bGexOEppQZqgK0c+GcFVBClAlCD2HgjcdZ9b03G - OQlycuC2OyQFibGYaWzQJAj+NS+GGHp4J91aWFFg3lts4IDJ8rS58CePAVUMsPFgovnKYoF+DkMe - skoMGtzdnC34iYiIiIiIiIiIiIiIiM4Rkb5kqJjgdb/x+j/847fEGPdUQU4AMeRc/sNjHvOf/uM3 - 1VrXVqbbDSa2nTb++VZrjKyeTCYppWNHjrSpaVN6xNd9/dc//GGTuCwF11qxNVctpbS7rTpcTn4F - xVGG3M/nXdOupHatWynz3oYcVeXzG+l4d3H0s3nXdc961rMUcLibjdvntXciIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIqJzxMxUNYQwdrMXkZSSGaO7iWjvct/dVctnjC3ciYiIiIiIiIiIiOjWQez4 - toO7xxhVo2q84YYbVlZWNjc3X/KSl7zpTX9cirmLqpZi7ui6zs68ZaeqioiIhBBEZIwGH4sJ2yWF - tm1LKWObVCIiOh8MBhh8K+nYgZhQ+q5mKTkYNgpWm7Q5DLs7zlMdzX0W89B9Zl5f8/cfxbRzUcFW - UdoNjiqoyxxnZQn4YubL3G4DKnA8ix5wQXUbz+9GG6tmJuiaG7RuTJrSpKO+mNXZJLRhvD+gvnMH - cLh9no3Pb4HxDWgKl2WjdAOCY61m1Az31HTjLYtV5blMREREREREREREREREdGZsWQ48US4lpSQa - F0P5p//9v573/Ocb0J8Yib3kO7adZKvad55durb6X370xyZtm0I8evSoma0eWDNxEzeGdu8wZmCP - W65ZBKmJK13bzxdtiNf97M81MXWpAVBrTSkBcPexZ8duDfjUs1MdAFJK0+l0c/0orJRhASsHLr1k - trEuju3tpF3dzGbb2zhLcHzMyUq3mM0f8XVff+nBQwIEDRfsqImIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiD6nGGMpxczGJYGqqqrCZZVEtFfJPvyEYq9rIiIiIiIiIiIiIrpVc/cxvTvGGEKYzWbX - XXfdr/3a65s2AUgpmdnYvXSxWJzF/s0sxigitdYQgpmZWSllfNBa69gRdXz07TBvIiI618awY1u2 - F3dDrU+7171br4dXJkP1S7pmNuQ2pl0e5inWGlTHpjab3Spc0HQGAOYAdGxqbWHZI5253bcWy1b5 - O+YnGCBRi7k5BEihUY2w+qv/+A//Zv1CJaFp0dRqKlLKsqn+GN09Xq4CB85rs/vtpHADtmPCHSIO - nc+ffe97wrG5OR+vj0Grl9PmChARERERERERERERERHRGUkpLfLg7k3XPunJTz42n40hynttolIA - rnr2c77gDndYzOalH6bT6Tiba7fHtdf1fT9OTltfX19pu6Th0gOHfuyHf2TIAwBVLTti2kPY5eDq - MWZ7uzatjmHRe7XVlamZWamTtlscObIymZzRDsftVALUXEJQWLnPfe6jUJgpZNefByIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiopGIlFJijCmlUkrf95ubmzHG3R4XEdHN2neZGmzdTkRERERERERE - RES3auNf9mezmZl1Xfe6173u+ut/ZTKJfZ81pGEogOZcY2zGAO+zMIZzqyqAYRhERETG60MI4+Vx - JNuXiYjoXNkOCJYxt3sZ3Q3U2vR9sLI5mzdA6QcB+pJ3cainEqAO0CQ3rbTX/N1H0K4Ns2woDq+A - QxEABawEMwf+f/buPdiy664P/Pf3W2vv87i31S3JRn7IWLJly/JDtrFs+QF2sJ0AxoEYEkggFExM - ZmoIFBWnJoSqUMkMIZWYEJKQyfwzYchkUq6aKVzBvDEYEMIPZBtbyLIk2/IDYWHLkvp17z1nr7V+ - v/lj7bPv6dvdklo6597b3d9PtY7OPX177bX3Xnuffc5ea33L4ZtanVbP+yD6MqR3O7KXDOTgroAD - GchA0wLFj26eKEmaUULKSJPRuBaznNvtOO885qsmgLi4S79shwI42mhMM+S0sbEBwAzJyz7UhoiI - iIiIiIiIiIiIiOhysLW1Nd3ciKP2J37iJz5596ccGE+mDgC69Ke3t//S4tbeuilw7TOf9fff+U4v - pqrT6dTdu65r2/bsbGbxoTPAZWR5rZe3Sdu2ZtbGxosFlZy6GPTv/dD/8PpbbwXQNM3y0HczO5DK - n5sYxNpRBGxra6sJcdS2JWcx91xvGcvZf/zMP9b/gZ3nrreZjds2pXTzzTcr4HBzK4W3pImIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiI6FAwsxCCqoYQUkrHjh3D2eM9iYgOh3p2GsYvXywnq3jQFSAi - IqJlduZ8NmJA6Ke/OUwToxARERERERFdQmKM7jIajVLxX/7l/++nf+ZfGbCzk1XVShFVNxMRMzPL - bdt2XXdB5YtICCHnjEVut7uHEADUxxhjnQk0hMD0biKiNdE+p1hRv4QtBfPZlTlNQmhQ5tnNsTGe - nJ7trLsmJgCgDix9HeyL14e/GjSKR8wfagWTALd2MgFQYA4tsACIGAwQs73/lC5RAgX2TCJerx8E - CiB1uWkiAJSIycYvfPKuf/WCV2wfP7UBDZDt2c5Y1L3Pepe+yP3ggC3d7ajt1WAAJPl0pMgZ2SxL - GIlKMN4ZISIiIiIiIiIiIiIiIrowevZLDkw2N05tbd19z72/9P/8V1VJ5ts72+f89wfSc2m4a/lT - P/lPogYUi02zs7Pj7qPRKOfM7lSPqw5ub5omdylqAGDm//Sf/OTb3vE38nwuABad1g5PZLUJdPEI - 9xoxnktx99g2JV9ADwh1mEBdzzn+rmmanZ2dyXR61bGjBoshduZw9rAgIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIqLDQVyDzOZzd0wnR2++7tavbX31ke0HO90qmlxQNBfNLnCBAWoAYAKXPcXsKbcf - dqqOYfydnXfMpg5TG5uYOsRjsEYsBgvqUVzlzOUtLU2HCvSFSF2cm9jiHylcxBcjYRe/sDyf8qJu - i6Xs/eXL1CIeuf7fAPjuBjETL2quGSguZgKXXHS3bYirmEJsMRhzn6tPdFgwupuIiOhAnHUpX2Na - VNxNRGqIl0Pb0XQ+2456jqlznoRa7J5XVlLyY1v3Qv08s6Wc7/VV2Yetd85VkLM+4z45y/V397qs - fVipYRHDQle7XFXdszr1xxUuYrlYHNDUVEu1OfdXA/1p5MwarnA7mJmIqOqeE8u6jzss7dBqqM9K - Ch9WZ7nwFa7U2dt/H7bYsJTlVatWtd1KKXv2CFba3s5nOBjXt4h1nKbOWfiazlfntOf8MFx4rPA4 - Ovv5CnfTnk00lKwrul56jOXuWVzddKuave7sM9vyG+XZBOGc7XPdZ5Whno9bwyftkkyrPWe7dffV - tts9b/37fF23/OKqGsbZ11r9+5fnlZS/Z1nDdtv/zxFmtsL2cPb7C9Z/cjiQD0f1lQvdbgp1d1u6 - l1fq7TcHHKrhdz/w/p/6Z/88FUAQVEoxAG4GwBdzdT5GbveQyT28t6pq3cU1t9vdSym12rXhDatQ - M7xxiZ4JiYgOVkFWqCDmroQAUQQDZrOfuvGGZ3ddKJhDXIIA89lsBLF1Ts9sAocAMHF11LO/QxJQ - VNxdzB1ogAwYoAGni6Yrr/yPd30MU0CjGTQjBPWAgsWs09o/XNa9SC4HixnrA/oselm82CxNwR9G - sb/eCQJMgbLd+dEQd2xno21kjtYlIRhsLh4coRblcJEzej2tQQFcIEAoEK8Z5F4jx8fJvv95z/9v - f/6V0E7gMMBX9GU4ERERERERERERERER0eUj59zGJojWDktQmMBQMso//Il/1HnJ5gJxSBAtZ/XH - O0dns6dy1052S+gDmhd/E5smpzmWbn2+8iUv/Zvf9d3iJgIrOagAUgpzu89t+W6qw2tHNRGBoHaQ - U5HX3/Ka7/6Wt/3Kb/9GAbK7BC3FIaF2i1hsV9uf+7LiUNmteZ3xwVwdKAZI6JueBBHk4ov6nWtc - 1Zk/6tCKHMPsHr5YaF1MG5v5zuyFL3whgK5kBIXZmm+PExERERERERERERERERERERERERERERER - EdGlIMZYM1lqGgBHvNF6WAiheMydjfTqN9/4XS/5+lv+/NF7/+Ajv/aV7S+dLA+lsWmLLqIoxBBV - 5zOLY2nGzU7XlYKmERQXLIKZHSYKVxcVh7gNExfXYXi2lHilfUK2LnK1bdRqt5Wv3nj6LTe+7uXP - e83Vo2t01sbUKgIWkSXmYnA3uIpoNDMpFiBjBBUxy45soRQtpmZQ9QBvtTSAQurUy67IAlMYAIO6 - wKCAeh07uIjulstv0mUzK24551JyKtksZ8/m3aybbW+fPrVzYmvr9OnTJ0+ePnEqnfzqzsOlmRlO - ecgWbUfyXKAjZEMQwU6YxBFyl1M32Zh0XSccYElPgbsDLqrz+bxmvKwq8WrdGN1NRER06KhqzYBU - jSdOnNrcnFrploJXnvxjzRqrz0XC8Lq7PMWSn8ijSBCpXyCYu7iXVZUcQuNehjJFfHnt9v9xVUt3 - F5G+tPpYt97qonYNi2A5kRoYp0PzW5+U8iLuVNcxg1Ipy4ng/f8Xf1ZgOXC85iHW1XnM7bbOVrf4 - juCsegKQ+m0FfLGjsbLknaABgJub+3JQ6Lqjc/sAypqu6G6Lxce4mo82Q/T7sKPrk1WlJ/pZ4aZ9 - vtKapxMLi/0yhMW617PMavZXE+OiWF9akKyq/POxUs+He7feqrZnDd1cDmyuP66qnYehvZkvtQ2R - NR9H9ewoZxxHBiCE1RxHy9HgZrvbbVXH6Z7dO/y4aA/rUttzP3+guxXU7baq9qD9dsPyeRVLMa57 - FCs4uGzXPanhK4w6Pl9RF3vq8J52Mvy4quu6PdHv+9Ywlq9/lvfd+drthRqyjZf15csKNl2t/3Ia - /VMv84kY9vuwp5Yztp+6GOOwufoPgSs9Dw98KZP+8a6HV2xo6k9mufX6RADXWo6KAsjJptPxbbff - /q53vWs2yyGIC0q54FZRN0utVYwx57x8RVE/cNVXmM9NRLRvHKaC2Xw2aadBQ/9R1QxejqQ0zShA - Vhhk0SNj7cThS90TZPGl7aliVx05sn3qVBDEEBs1FzvZoWvGD6aEKyaI2E7zaZzAgQ6Y7ClXgX1a - BTpI0j+Ec7x81s+i6IDRBjY2TmyfPNaGk/N0FJJQgOCiLqUIxBYtxxf9q9bD+pbvwRAcYTElOwAx - NMW+rhlhZwYZIaqKGmwxeTsRERERERERERERERERPQEuNSE759xoKHCNIZWkIf77//gLH/vEn/X9 - lVXa0HapO6hqNm2bui6EYKXo4gboT/7EP1a47FNXvsuBB/cf/wc/+r7f/o0IaGwyzLUfbYJhco19 - rNDZO3cls9TUYh+j5ZRSoNKGePTo0QBJnFGCiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIgOD1eY - uSNARIMkAfQaefaRY9NXft83fPwzH7rjnj/63NfuPbH9sIyTNTl7LmaTadzq8unj3WSCptGUTDBE - qgCAutYhhC4Gt0XWFQBYDawZ0l5gANThAnF1wckT+dgV4y7NbvvgbZ/508+/+kXf+Irnv/rK8bGm - jGNpkWCGgCa2DQS5lNKVtm2DhtIl5BI1iLhJLm4m5qglR3gQj3B1MQCCrPDguc+ecC0KG3K7F7UV - x/6Ohjx4InXiYfMIxBo5ZqZmyAUJYlBXdUcpnmeYncCph04/+OW//Nxn7v/UF776xTiZTCezR7rT - TQsrUA052zhGeMp55u5Yc54UXT7c98ZIHWaM7iYiIjpEaqxaDY41swceeODnfu7num4GL4AvMlye - /GNNHa3PRXR4PHr02ErKP9+jmYegIcQYQwhR+k9prhpWUn7Opa6LqgxLCUHr2q1vva644uhay3eH - qsTYhKAxNqqy2GKrMUQhAhCREEIIQVVXHum3x3g8VtW6rPUtpa5IbQ01WnuF0ZjLP9ZNJyIbGxvn - /TcCuK7r8XzLXHwoqdU174OBV7vZa+uBYMh+X3dk47zravsREciiAQN5RVmblvLwvK4RFnm6Kykf - Z6UoPcnIyQs079Kwj4ZN51hZe0hmyzm+IqJBRSTn/Nj/8CkSDThncvCKPpTPUqolq6qoDsmutqL2 - UMxFpB5H7q4h1OadUlpJ+eeTUqrnxnrJAQDuIpJXdJ7EIp1URDQEEVERAKtqD3uinXeDisN6v9pL - OQ/HkS5Sfn117QHDe7yIqITFAXve7SY6nOptaZuvPeJaFUshx4vzsKzquNs9Ry3llwNQXU0U9HnZ - erebLXbNYqUWkx6e5zx8oVF5rmdcI+5bBLWEiKXY6eEjh5VV3bpYOp9oWLR5MzP4Cg75Jvb139Pe - 1s3d6kZbbuorTHFeOp/3260WvqrrjaWkc3EffoTszY5cseVTnNtw0kPQ5oLK6T8XOEQWwaau7t62 - ow996MP/4Ed+LCeLUXO2J5d6ulzP+mGhvrM3TVNK6bpOROp78Woj24mI6HGNR+P6rVtx2M68CYou - iffdRKR2x1i81ay1JvVizxymNTy5X6gAV8Ymb28DaNvm5DwZMAZcsNOGn7/v05jPynjaNiMHPLu2 - tUfJ8JalS8+JFlzRjpC2/7ePffBfvvTmMNseo1gQuMIgbpA+S94cupI50R+TOmrHqOAYrumLAIIG - mIamLUAIaLQoUpk3Yc0fBomIiIiIiIiIiIiIiIguLYt+iVKKqSO7zec7kyObd9973//5n38xBMnF - AYQQutTJY4Udr1fqOgCllKhBvIjjRS+44a1vfvMBVeeSFUJ49atf9Tf/xnf9v//9vSknFwAKEexj - n8nDwN0FoqpXXnmlw0Wwwh7gRERERERERERERERERERERERERERERERERERET402tuHFVbuo2Xxe - 5nnUxvHk2CMPbb3y69/6shu++bNfuedDd/7u3V/42Fb5Wpl2p9rTOtKxtqMuRw+lS8HQTmKXchEI - VGuagxgkAygB7n1Ety9im4eZaOsTR034BoCjVx85eeKUY3bkWPuF2Z999o67brv3d1567ave/Iq3 - XR2eeSRcFVPQpCG5o7M0n47H8+3t7DIeTdDGLqcMC6EFNLgGC2owcRezkExcvIWLoBV3wKRWDAiA - SF+fPrG7joX1y2uKWi8FgIiYQ7TGwWgQz8WH7CRxd/WA0sh0Iz7t2MY1Nz7/Fd/y0u/56sm/+OCf - /f4d9/9RMs9Tm2PexJC9O7mVrrqyOXk6Tacoc8P6JyKmS5WIrHkS93VhdDcREdEhEmPMOeeca9DX - o48++p73vKfrOl3PZeqQEbaqpM7HXZwqgBpQt8ZpXkT6P2tOwl1VQuV5nR1Bu+6ZYVShqjnvS4NY - rNe+TXez8v21vIMO4cxFtT4iaBptmibGWCfhWnmEeZ/TvNC27UrKP5+2bUMIw+pgEau8qvWqxdYy - hwWtMPr97Mjh6siRIysp/3zqitSV6tO7V2rP7hhioWNc70fO6XQ6bMPhRXdf1QrWksPCsOlWFXlu - ZsuVr1usWkn55xNjPOdxtKryh0a+vOmqlS8CS8fRdDpdVfnndL7jaFXrNWT31lZXd9P5D1gHBOqC - 4Chu4jCVqAo3gRhc1/RYsouaIIjCTaS+DlvVcpfKcZUo2j/mZGtdrxAawABdx6OJWXZRCALUBGFY - rhWcu1YXWP6eElRdEET9vOWv6DEnk35Zu687SpCwkq0XQmNWc6jrW3Exg1le1fVGzkVEhoDw4Rhc - d4y3GVRFROttDcBXG+FcV8HM3L0UH1ZwdeerfjnDj4vKr/cDUim775u69CH5Qq/TQliO+taanm5m - n//8Z3/0R3/0+PGTw7X9eDKazeYX+r2/u9dY7pxzDe2un/RTSstNS1VzzvU3L2wBRET0ZGguWcSj - qCgEaEYj7Oz82Cu/4TrvZyHf5692ZHHR44LiqP0+FPCcCjAW7brURhmP27w175rmRBMxarAxMgjg - s2STkXZzC5Mz39/7DjH7uCZ0+NWJ14NgczrbGB0/efza0G5ZlxxjiMLrl+g1vb5eVzlk3QH21XD1 - XyuQHQFiJ04hGSyXDqO2MezTl9VEREREREREREREREREl4ZSiorAPMYoKq1ERUxW/sW//JmHHzlh - gAhibFJKTWhzyQdY1RBjyV2xEgAB/qe//z+GENY+EOgyIyKnT59+17ve9Vvv/51Ht06XfpiJOOp8 - Fcu/eiAV3CeLaSj8yJEjVrtBXtLrS0REREREREREREREREREREREREREREREREREFxFxhBBKzl5M - 1VTcHN65F7myffrpk51JueHozc97yw1fOfmFT3z6Qx/9zAdD+uqJr0aotWQAACAASURBVD3aRm2a - mLuZBoxGYTbPKnBRuBoAMcAEcIEDwwBOlzPGF9YZYlEDvBeD706eOhVHKmrb3skE7TQ+3D1w271f - ++hdd7zq+a977U1vvO5pzxvpWJK3EibTNs3TuB2JhM5K7jqDQKUUF2gwMa8RK+5iYgap/wvBAHFz - UQ+odQUWM0abICu8joY00csqarrmWIhDBV6s3zIFKo3C3OFWCgpQ95mMtJH5aNxO/WR5lrTf+8bn - vuqmW37tg++956G7w3hnlrZGm8EKTpxORzYxn2Nl6UF0eVt3sMvKMbqbiIjoECmlAFDV+iSE0HWd - iNiarjB2g4elD4Bcz2OtvjusYB2ZH8v170PB9+WSbN0XfiEE9+K+twGsPNptuIQtBnNfdyb5EE3q - Z8Y/r+pKOsboC1haxGr31zBXUV/y4fsUMCQzpmxdmrvP64+r2r1DS9nnCPMhknzPote33NUeEGfX - s+6pFSWDPyHrOMTPuf33OdJ+T6tYR/l1jda9Uqr71B72tL31LbduOhEpZV3bbj/PP8MSz/n6qgwb - DYDZuRfgZ9ZEBDFqCNq2o7Ve14UQVSWEGIIugof711dSvlmNMdZhKSFEEYjoWtfr6JGj695uEkQ1 - xBhUw7BG51sv8QteiovXd+eaL1/3VIzNWtdrc/NIXZehJdTrryBhdZ8jXESbJo5G47r1AK9xyKs4 - 1nYDs0VE67ZTXVU0+PmEEGKMMcYhS3u1keHD58oYY9M0TdPEGEXkQiOun7i6GTc3N9dUflX3Tn1U - 1WHfhRAurCAzLJqXYTfm/Ed+5Ee/9rVH6xviaNSY2Wx7/uSqWnfBaDSaz+fDsoaMcDOruz5GfjlP - RLR/YogAUjdTiWgi3DDv4onT0fquITp80bP+r3lkKa7YBCaQRXq3A5shZrdtQ6vy6Om5AjYaPWgd - giCEAokIbQM3tGMtgMD6wmRR9XoxRQQAcKAI4niEvPOQ5ae1k9RliWGsCF2p+fEuaAsCkJc6Ua2J - CRxwQVaoQxziCA4AQXFqp/u6jat+7KaX/MJ997THNmBunkPgVRMRERERERERERERERHRE+Yem6ak - DMDdi9t4c/P//sX//Ou/+dtQuAHop06o8yysvz7A7k3seqtcAUCslK5pmpySAM9+xjPf8Y534Dyd - ZulJM7O2bV/yopv+6lvf+su/8j6DaRCzstgn+ziM4UDVoXnuttvR8WKbC4CIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiC5ZYsVPSx8iE4OMW9FiKMlhMtWRBKRZ183s2njjM1723Dfc9C133HvbJ7/4 - 0T8/+fksWyWmpCZWAKhHmJoYxFwMAllMWTwkX/evQOEKqEIF5pIB65OggckmzMwz1AGLySRLaa6Y - zeSh2x5470cf+MBLrn3Zq1/4hhd83U1H9Ko0b0fNdKcrCV2MMcRGC8RiCLGU4lKKzrN4cIhLm5si - 2gWYFvQhFzDpUxu8/ie7U+TWWqtfNkMi63TZ9YnAAEgIWjOZNOfsGlUECCp9yEUQ7bbSFXFT5yPz - rlXPj8y+vrnxB97yP//Kh3/59nt+b/PptjU7Ndlou65Lc1iCXmC8A9HZlmIBL5op0TnPNRER0SEy - RBoDUNX6Ywih5n49dbXkswPhFq+s7/GMOgwpa6tar6H+IqK6exG2vmi6/bHYPv02lIXVRp/WvMI1 - 5Vufb5lLCxLsRhWuZn/lPJRTC9dF9Olq24OoyhAQjsXxdR4H0BTPG/W6ul28p6h9iDTG0oHg7jUA - sb68qlytEELNbhxeWfdKmdXGs97FLKeBridmeG/7Xzo49sNS8Crc/TGPxwsrdnk1hsNqVeUvL2Vp - B629PVR7ToqLprgCqrp8HC1ODmtcqf05/+xZ4mC17WFRvj+hjSZw60+BLkidlWA723ltMc27jyqo - wdOob+CCfhK/VS9LFAKIrqv84XFxe2BdbGmNVATicHH4+dbrgusjw10EwKEBKirqqfN9aA91vYbn - jpVtT5FzH92rOuzOLlwEqljRx5THV1dk5Wcw1QM4MWJ1++V8hjVaJJ73L17omsZFuxKByd4S6lrM - 56k+jzGkdGENor6zxxhrbneMsSZ2l1LCAhYf9y44d5yIiJ6Uetky7+bjduT1s9DODnJ3TQhhKbEb - +3h3Vxa9H7B03V9fLCV3wBVNzIJGfdy2n5vNfukvvoTpJBeXqAaIwwxxz21eVxCdS2cIqjJq/49P - 3fmzz75RxLZymuhug1/3B6JlQz+nsNv03QB1uGEEzLa2N7RBo+hmmLRR2Z+BiIiIiIiIiIiIiIiI - 6AIM/e1TySHoPHUP/cUDP/tz/8YAVYQYu5RzSpPpdGdrFkIo5SDH3XixADjwQz/4A0c2NvalD/Xl - pZQyGo22Z7Mf/uEffu/7flUdMN8zAP6y2uobGxtRNDMlnoiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIDg0Xyz5vYxS0OaPkoBqDKMTdk+XiVuDWoo1oNFw5DUe/9ear3/Cyb/zo/R++/e4/eHD7i6nd - LpJV+kGjCiySTPrEbofCATEZ4jDc6oTGdcChuLrujjndOoEY0aq6BDO4GIIlnc+Lh02YzT724IlP - f+mu51z5/Ne+5I2veOGrw3y7iWNFAwGKiEUtdYkwgYtDDI4aEx5gCqgYoOK6qG9fdxeTxVoA6Ku1 - 7iCEQ8bMFW4AXEzqfL4qYjG26NP6gpVhL8tVR6488eiJNkjThFlXooyubq6Q3H7rq7/zxNbD9zz6 - JxIx3+k2jmyefPj0xqQppVxmA0xpLfY1nGwVONU1ERHRIVKjH3POWIoZzjkvJbk8JcthyXuWvJLy - z0dV0YdQujtK8cWV96oiQsNy+QOR9aagne/Kb4XRp9hdLx+iTwWrWa+aTHT+VrGPXFZYgaDhzBDc - 4ekq44sWu2O35udpDvVAPoDNG2ODxZlk77GxHnWGr3UvxcyW4mz77e8OkdXs31J8ebfuj0Vb2tdF - 1J21qoZxrmL2fxvuLnp17V3OfFvRPk55pcfTuU4m+7T19hyzq2oP5zuOVnWKOLueq23Pj2spKl6w - dM222oU83i+YqrobhoB5hwMloz5f96NDLA9XdEC/NRxP+VFUAXP06+UGx+LtdL1rtF4iu2tUzlj2 - auojWGQROwBYgQ13F9bfHup6La9Tv0+fciT4+WZGXNXhLot6Ll06wtaf261ab/8oYO6y8gZ4vplU - ZUX7ZXh096FMEV/L6fBc9bendm2bh397xmkMojADHJPJaGdn3jQhpXKhud0A3D2EkHOuod0Ajh8/ - LiKqWtO73X02m00mEwDb29vT6fTJrwwRET1hKZdxOwKAYmIFQf/hDS+4PuXa7QLwvr/I/pLabwX9 - lNiKvi+LOlLKM2BjPH1oti3PeBqmEzRRoYJGHCLoLANRYbvdSvrC9nst6PCLigKNUdGO0I66+Wwi - AaEUhwLRAGDoLCPrb0QBrt53U+iALABgghHQopl7vqKN0ITJyMQKEA/4y2siIiIiIiIiIiIiIiKi - i4p5mncSVFVj085L+W/vec+XvvygA3BJXa43p3e2tyWoYf0d5s5VRQAaQowxzeYKbLaj7/1b39PN - 5pvTSUkHGSV+6RHBvJsJ5NZX3/L6W1/zRx/+UHEToE7ScMbdYb+Ub82KSB1X0zRt0zTzPGf/CiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOjxMJSngXiSYiABRDMiOLKGEgFZDzu7ZLGUr1oyaY/Hq - b77+W99w45s///Bn/+BPf/fOP/94nmzP45ZrFrfgqDHYJdQRdTUs2yBZHNpPRJv7OZlrfnZpsRhu - uDEeWynm5sEs5GQlGxRoIrwgI9ukS/HhE9vH77njzvFHj7zx5W991fW3Pu+qF84fzXY6bDRHtQk7 - s1loA1yDNwILZoJSQnYxKBSLBwk1RNwENaB62CjAbjbCKoPHDjmxmlsHwL0PyHETd8D7aB4RUcgi - GcgfOf1wuxELZtldN7Qky1tpOrnyGZvxHW/+3v/9v39Woh/Pp08d377iyNH5fC5wHMwoY6KDxOhu - IiKiQ6RGfA0pZGYWQiilLPJXdgPeVvgo4u6yjpKHRzMMz0XCckzdysuva1SXYuZrXa/zPbqvppxS - fGmNhi0G7z+37Pd6rbbVwdVR+i0GdS+rKr+c2R72of6PeRwdmJzzPi9xEWm83hmMzo7+Xd9y9zkM - eJ+tdr0OalsNUbhrsqfwlS+rvumvJ/j5CamRq2vdhsttY30L2ue2txTxe4DnB120nP5b0X2uz/Ky - REREluvzVB7d967X2Utch1VFy1/oQle1XkM5e1bkoFrpYrlP/RFY59l+cV8Bqrq8iHVvt8Xxsq6j - eHmLnes8vMrHpTLXfhidWX9g7/nniRLsxqVLvZ0kDsAMInDHzs4cgJnVH58EMxORnLOIpJRCCPX1 - EELXdW3bTiaT2Ww2Ho+Z201EtG+a2KQ0D6oaFdmxtf3M0ajdOhkkOBQoS+8w+3EF1XdNcejiDVQB - ARJQHAKEoJNi27M5rjj6v95xB5qYECKiAt08taNm1MZSSgjDG/Ciu8s+1J4uNv11ZxhJyMfNjkg7 - bmzWFRWYQB2y6HtkfePcp88Rht3LMjUkoIEdwej46dOYzTEO2kRH2J/KEBEREREREREREREREV0a - 2radzWZBBSI73fyRkyfe/W9+tt40zMXbNnYpawhWih9cH2YAVkoqBmDUNq993Wue85znWNd1XRfk - IEdhXJJCCEFDyvmHfvAHP/jhDwF1yopLdJjEeYiIQKwUdVfVfuWfdB9BIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiKi1TFAwyhZgZlqbGJ0gVk2yxos5y67RFF3UWjQGLT1ouIjiMTZ7Ibpy5/31266 - 72t3fejuP/zk/X+S45ZpKlpcUDSLmUtdiEEAKNxqHrYsMr37+Wtd4cFE4TpPrkFNSknJgoWIAJSC - NEcIUJGMubUC9ZnI3LZ/5xO/8olPfeRlX3/L6178puue9YJu+/R8HiZHpikVccBrOjgccIGJiSPU - pTsANxT04XZlMfBPTFRdrVZYFpW8HLjWVV2ea3oRBWGyGIorAgHc4e7NKBYpRYq7qzcaY1PanFI5 - Ua459sy3fdM73vP+/zLd3MjRTu9sqyLIvs1ATJcLETn8bYrR3URERIdLKeUcP/ZXwbaOR0cfVLam - 8vc8LmKn63qtZbkOuGdgvdttPx8dOHOLAajpeHaRPnqNZTkENVlV/b0GdZ/z9y8njrUnRJ3jI9ba - ljhEB9HjOqhttQ9Nbq1KnfDuQFdh3duQx9Ea+e7UhH6gG9q9VmD1UyWeORveetduX2be27sKvoYj - 8KwVuRQOv3VsqD3ln7WI/d5uqz2Kl1dn384PB3UQPYnzjy8V5fVT6qLyy2tRyuOsUo1Ir49N09SI - 7voR3t1VVUTqjznnEEK9odW2bf3n4/H4gqpNRERPXQhBVVEKHAhBT5/aADqHn9FHpH7Fs14OGOp7 - mMDgAgxvTgHF0Laa5jZBGzauuN+2MB0jNI5Y+4e0bVPfz4KGob7D+9awOkRVn9sNiAsQf+aeT//r - G25oujQGHChAVqihdQDYqU1oiIJfjyIoQAe4iImro7HhuBPD7Gkyfve3vf0f/+av4spWg8ql8LGG - iIiIiIiIiIiIiIiIaJ/knELQEMJO6qDycz//b7fmnS9uHXZdBmB5eaTSwRCIu6sgdemH/947czef - tqM07w6yTpci7yddMHf/69/+9mc/45kP/OVXvB+mBGCpGVzSnQ3MDNJPSzEajXy2I0EPNr2eiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiA4/dxegTjnubg4XkUt7NBYdELWiIg3UHJZ922AqQIDBNTTo - pyE2l2Se1DWUxk2LWpDJJI/L6fyyyWtufO3LHnrZlz5y7+1/8rnbH509ZFMrecdMm5HMMI8txFAy - VFqXAJiIOZIDGmHFSu5CGClilwpac61p3wbADOKIDpE+g06hrlYAh2d0TbN9wv7y9+9934fu/b2b - n3/LG1/+V6+/8sZTp7YmuulJMxBCU1xRVK2NMRTbVhig4oCLwqDi4oYi9YU+kEUdAoQ+u+1yYqJn - TBG8FIJWJ6AeghVEzd0NUlRNAWsaU5EisGkYnzx58sXP/oYbrvn4Zx69s5PT0iZzBMOlEWVCB6K+ - OQJIKYn0TdHdD3+bWvfk80RERES0HvXjEB8P/yMREREREV1y3H1jY8OX4r5rUHcIoT7O53MzM7MY - ozBwkojooKWc+mddh5z/+bf8tasnY8AVvhR07XUialv/fNR1SbJ0p7a+kgwecGJuozju4F/eOn7N - S16CUYugGGrmZxZ0Vm2dbzu0RAEFApB3OrgiSLpic8vzRhzb2a1F3Pcl+90FQ++cYYEZKLAxQpqd - /NpnPoPYYNbxOoqIiIiIiIiIiIiIiIjogqhqKWV7Z2c8ndz/pS/+X//llwoQmnCOG4EHPTmIAI3q - sWNH/sqb3jhq2jTn/cG1EBEvRYHN6fgHvv/vArbbXeGy3N6qHFZPRERERERERERERERERERERERE - RERERERERESHiLqKq7iIQ2AC034a4jpTrIgLXIAh0BpAFGvUYrA2WNOmybjbPLJ91fXjF3/3rd// - 49/1k9/2yu9+mj970l15LDwtluaKCUoHKxi1AeZdSqV4lw2qc8N2h2x1subskix2JeSiuWg2QBzi - OCNG2hWAuAbXYCpAlu54eThNt+ZXnPzw5z7w797z07/4W//+3hMfOzH6y27jRJnOurBt0eJ0LHHc - JXM0hkbQClogwoNnR+nHPw7T1RqwmF738mKiAExg/VDQpRA0yRCD5N0/QLAYLIjXXaMGAVQdMrMj - etWRcvUtN7xeZqHRpivwCLvstigRAMSDrgARERE9AQc9IQ4RERERXeTs8X+F6KLB7/LpyfMVnQ9V - dWtrK4RQSkkptW1bSnF3ERGRrutyzqpqZimlpmlWslAiInrS2hgAuLs0EY+cfPhzn332ztZk932h - dkA4gGtmWVq2A8kRA64IcTbPjW5iFP/Rr70PTUCf3b1I/MbwjbHWUmxxhWSLVy/L6bXpHARA8tCI - TKbY2saoPW6+ieZ4nlkAgLYgAGXRpvYhuTs41KGAOzJgAgesb7ReUCBQzchzTMcFCGuvERERERER - EREREREREdGlo5QiQY9sbm7NZz/9L/7l3GBASaX+7XAr2c/43wFwdwFyse//23/nyiuOdts7QWFW - ILxDuEohiLu5e1DV4t/3t7/n3/67n+9KzgddsQNRe/fFyGH1RERERERERERERERERERERERERERE - RERERER0qFjwftSnCwCF9FMl1+zqGpstABAAM49AgCgcimKAa/b672et7Mgz9YXf9dIXvPVlb//Q - vX90+12//+B2d3p2+shRScW7rdKOpGlithKatpQiIccoqpJSzl5EiilMAdfgqH9kUbdSM8QBuIpr - LKqAiXkjHiUlK/Od0Gpuyyceuu2u93/k+mtecMuNr3/5c2/dHD1tfqqbdSnK2FVaCbBYTBTSSpDg - QCno3ETFTOrKGsQMdYtcdnwx4bTtzjRtACCu/WzWvWCIuYlikJIVcBMPwUS9lSwjDynJzde/6jc+ - 8t5HS9IAE+Yh0lMiIv35yi+ylsQxxkREREREREREFx27LL8fJiJ6ilacyVrvB4xGo/l83i/AzN3N - rKZ6q2qM0cxUedImIjpIOzs7k8nEzEIqCOGoW8ldAgQuEEAdMBjE1fcj9NoEurinXJdo9RJfEES2 - ZlkRT1mebR6BO2LrQ3qxnJnRLXueEp1D24g7drZnk3GLkrbbRqYbTafbmGnfpsT7huTYx64zcma7 - DRLmXsZA04ZjMWJnB0ePOjvyEBEREREREREREREREV2gtm23trbu+OSfvu83f6MZNV0qbnv7TfWz - Iux/5ZbrIIDjne985yOPPNKIHjuyOdvalhCc979XqpSiCFHD9vb2c5/z9a+79bV/8MHbz/2rfol3 - PhARd48xisAutrkAiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoEraYxd7q4E+DqsMEwfXMX6kx - JVrjnBUGMcgQ5CxiYdpsjJvRqVOPNta95aa3veqmV9/z4F0f/NQf3v/gfZZPXbnZzNJ2ZzMEzEsy - hwmiRDEvDgAhQAEpqJHh4oBbLd1kGJpqEKgpAHEBdCfPNOq4aYIriiWfzSU7cPfDp+79wN23Hbvt - 9S/+K694/q3HxtfYPEtqOtNGR9GjZZ/Ni6SiihCjwg2i8KW8ao4HtN2EhRqi3m+TYfhwEFcA0QCY - wdQVEHhow6ibdaEZb4yPPf9ZN370y18dH5tul21AuWFpJS6uAbuM7iYiIiIiIiIiuhitOID2crbu - mQYv9NvCC63PYfs2cv0zN7Lx05O3quPFzNq27bouhDCfz5umSSmpaimllBJCAFAfAYhc0hOaEhFd - DCaTCYAQGnQ7SGmSOgAqMIfCC8QwdDvYj4urmtvtfXSxAF4AE0SFdX5FOzpleqqN/+zOj2FzDI0C - 7auli4utpXzl5Xqr1AnXFUQLZqaqk+kYZmj0P33qkz/z3BfGnCSKuDvEoQIorOxLfRxIQwMWqPfJ - 9BmSgU49zzPmJ4AGGbHldRQRERERERERERERERHRBRCRUkpx+9c/++4C5JTg0Bgs9/eWFQD6KG85 - uP6HMWrJ9uIXveDaa6+N5ui60iVVPWz9IS92ZubuWm/Kunsuf/fvfN8fni+6+zLg7kO/PiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiosPHAKhDXBWKPoNZAZgAAhcDTCCAFSmQXEeL1ghtiWFu3SPH - H9GIK49enbe7K2fhDVdf++K3vPGBE1+445O/f9dnPoKAyTR02EGrnUguZo5s7i5NEwIszW0ChcMk - FkHZXajJYmplwCBdkZgRXa2NzXyetsu8DaHRxk2h49CEec6jY+lz87s/e/vdv/eJX7/1Ra975fNe - 9XVXPCfn8cxGmmOj7WSy0egYqZQuaYiQLG79dMxuy5vlsiJnr7LsGYbbz0FtdQeJARaQpY/6Hjmi - aWvZLAiSvPC5N33s8x+JWYInuAP7MxUxXbLc3S+u4G5GdxMRERERERERERERXRBV7bquTnQLIKW0 - /Fc55xhjfey6rm3bg6spEREBgJmJiAjQjn78uuuug0uAOZBrELY6+khs2+38sf5aAQoEwICiABAM - c4d089SMt6cjRCBGIAwhxxBkQIDdaaSXc43dRGyR7s30buq5ZZdgHkJQjFvs7Mya0IXQisEhZzWV - dR8CJnCBL449WeQBZC/taDzvZpMGmzr5T9/81h/5yIfKqA2BjZmIiIiIiIiIiIiIiIjoiRIRE9xz - 330fuO12BxAE2a2UPTeR9aCnKKgjsb/jO77DS2liqyOZ78zG43EqF9kI7UNORGpSdSmpdml7y1ve - MmlHp7r5QVdtv4lInQMghOCOg4utJyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi2qsf9dnP16pA - P3cr+sRuQx/QDRMDEBwQg5iJo59OtuZ8o5R09OjREGT71JYajoSr0mk7Otpsm+n133jdm17+pg/e - 9f4/u/9PHs1f62ZzC66NNqOmFaSUPLkHiT5M1QxdJDwvz4IsDheYwpGLGIAys+kohBBSKl3qmhBE - rLOM1k+XeZiEycbkK6c//+sffeDO+z5y3TNufNNrv3USj02bK1I3si4181FEEwIAUVcTExcALnZ5 - DgdUh/Vb3PrWsTe3e7FDXB3oYlFYnchaYSbFpECzFZFWVdFIfNbTrm0x7ubbTeMKuyy3K13uGN1N - RER0ETg7PIMuYwc7PxIdQjw/EBFdhng9cJGRx/+VQ10+0aVmOGaewk0hMwNQ5/EEUEoZjUaz2QyA - iKSUYowxxpRS27YppaZpnnK9iYjoyVNVAGbQ4kfjSE8+um1oWyzOzvs9J3kNKnaBeR/CXQQANhRt - hmiz1bR/0W1hMoLGlEoT++9/CiwDCg3Sv5H5IgIcXl9hnwfaw0JQB7Jip3SbQTEeHc+zqzeneedU - 6xaAAMnwMjSkNVOHLXr7hKUvNws8qifAC0La2Tl1EqNRCLyIIiIiIiIiIiIiIiIiIroQKjmnd7/7 - 3ZNxc2qWUDxOxnk2Aw7mfvL5+jeW4m0T3v7t3x5C2NnZ2Ri1UYXD/FdORACDiwACcZGrr7rqzW9+ - 86/81m8ufuNy6WhQ0+LNTGTRKkXgl8fKExERERERERERERERERERERERERERERERERHR4VY0u0Bc - gehQDEnNYl4juhdh3i4Qd9dUY77rS4YacWeefSOO03aa5TyejCRgnrMCGzkejVdunR418cV/6w0v - +MZXfscd99z+Z1/4+MOzL5/eeaSkuY4keDFDcA1t25UOAsC0zp9sw3hENQGkX6YLFCaO6aTtdrpZ - KaoIEanWqEFQKYaUSgg7cTrytrs/3//lBx788C/98StvuOXWm7/p+q970Xh0lc4EnXgJdaZl9X6+ - aAOG2ZcvHw7ULQ/0qe3Dq8uJ3YtfVxPLoQM0WBsckDlgFpLDHElVoKaqxzav2mgmc3QmGQ5nyAld - fhjdTURERHRx2e8kISIiIiIiokvDymfZDCGUUmoc7Gw2c/ea1T2ZTObz+Wg0apqGud1ERIeDWi4q - hjSX7VNHR+N5N+u64UZp7YACcej6Z6WW3S/43AXwAJi6Q9BlOFCsbLn/189/EW0DQWwaLPWTkOWC - 6rqdMdc5ezzQ2VwEDsTQAgbVX7jv7n/6vOc9fdEHy+D1G2dZ5MGvlQBNv9zdVwBEwEoCoA1G1nz5 - 5El4BnLtMbXmShERERERERERERERERFdlMT7eRYqF8xy/ouvfOXX3//+AgDQJuTZDL57I9AOaFCK - L27HD/W97jnXvvTGm3LqJuNxTt14NE4pQcK+V+1SZmbuUHjUi+bOugAAIABJREFUJqUcm9il9J1/ - /Tve91u/2feOeKw7xP2EHftT1f0h7rvR3URERERERERERERERERERERERERERERERERERIeAyXIs - dx0EKioOGGAQs/75OSZoVReD9v9QoEDOOWqIbSg5FxMEKcVCZz6XsU4DRnnHnjPefNo3POt1L//m - T33+o3fef8f9X767lLm0ZpJzyebJI4oiOAwIi1GpAhSBS5/hPcyWLEC300UNMUpGBqABLugKSvHY - oImaU5l32xIQxpJtthk2PvbFP/7Ul+68/uk33nLj615y3SuOtk/rtqXxibgEi4BKPxzWACuiAGqa - tZyx+jABXCF2xohJOcdQWnEsRtnueTxcdufHPmMtlkcU1zov1VyKi7s1BSpoAHMYYKHVedqJ6iXn - 6Xg60nYUw2yR2i19GLuKR3iARwDiNhRtEJPdJHWiix2ju4mIiC4CzktPIjovnh+IiIiIiC7EhcRR - ioi718eaw13juuvf1ufuXkO7m6Zp27b+1Wg0qk+Y201EdBg4YF3SUGDbo/ksoEwE8/o6UCSZOoAA - BIcAZc3pxQoReP92AlGEaBmCDLhIJ+12HMEa6ARqQIZEOABVINaOC4ueEgKE4ZkMf3PoOnzQAXIR - uIwBOPK8RI1QSW0TUoruGbUl7tPc5LXFBgDwAskIBotwAcbArLOJwDK2ShlddQyakE8jbrJJExER - ERERERERERER0WVLXAC4OACvUxjUYfAiAEpKo+lkNk8xxlSyxCZDfvYX/kMCADRNk7q0KMmwuBVu - a74nvizENuccghQrgMAXd+eB7/y2b4+GNjQlZxWZ51SnkaCVUlVRiME1hlKKub397W87+r9sHN/e - KjVKXQTuEtTNsDR7gssQ2y3iPryIpTjvw7nDavWW6yYiDhcRVTEzBQzifihrT0RERERERERERERE - RERERERERERERERERESHgyxGVoUQll7huCRaPXXYYvJV9eUgKgMAV11K0F6MnguLgX/aB1B7/+8d - 6OebrdPNmgQEhFijrWMRNQ+pafXoNEyvuf5Zr33em+7+wp9++K4//NLDn53LydDs5CZtJ28mIUpM - XQfzGFVE5qUABoGIFHdFHTYKAVSju7rlGuVtBhfE+rzAYKIICgAOz5JONlvhaDMr23/6yEOf/uOP - P/fTz3/NTd908/NvndhVoZuMyma0KObBHZKL5xy0uEXxqEGKWCkBQVXhdWCkOmBiWIzG9T7svG6x - ftJm7Tepi9tiampfjMA9lJPf+mPXql9BhY0KHFYkAY17I64iBphZHsfWrASLWuTpV1/z5ZP32QjB - IF6blIq1Yi184mgEUGRxoA7LFC3QwxhvTgfN3TWE+XwuAkCe3HujqpoZgNFolHOuoR5mprquFsfo - biIiIiIiIiIiIiKic3D3jY2Nra2t4ZWa262q7t51nYiY2Xw+j5FfthMRHWqxHWN+/IdvfOHNUX1e - DBgpzOCQ5TnJZTcUe436fiyL3g01zNgcotgyx2R8UgMmGwbsbG1tbEwAG2K5w3Jdl//f/4/dGOgM - DnSpa5tx7QsU2wYZCCEFmKii9MHd/e/uxwT9DpRFgy1Sey9ZnTt90iIVZJeRjLqTp5EyRg3skHZe - IiIiIiIiIiIiIiIiIjpAYi4i2jQpJZh3OY1Go5Oz2VdPnnjv+35VQyilpJRCCKUUVbWlmRr2c16Q - nLOIGByABBVzeP0J3/4t3worXZem0+nOzs5o1KSU1jeU+vJUZ4FZ7HERkeAClVe+8pV/8Me3xyDm - bvV3bGghu+ndgzphhwnEd3O7iYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIaCX0jMGfe0f51Qjn - xxiBufzP/Vx/VdTEoTAAwRBMXEaxNLlzQ3jFs7/ppc971Rce+vQHP/mBz/75nTPb1g0/sX062bxt - Y4woJZugaTQXc0OBu8MBVShEVd2sZmYDCjfsRozvJQ4HsqTsuWkkNGF7Pr/v5J1f/pMv/f7HP/Dy - G2699aY3XnP0OVvHbSNMRaJ31rZjswxRL5ZSbkM7aideyjylEIJLDe22Ov5xMdXtGRtMgKXhkbvj - JH0YOLmfg29XTRzB1QVFzMXFFVB1AOaAuIshlKhogkR4dMABV3cFYMHNIUVg0gefQ1y8bh0AcFnK - hidaKRH5/9m7tyBJrvNO7P/vOyczq6rngisB8ApCBMABCYoACZAEiaVIKbSm1t71PvrdT3b4yRGO - 2Ih1hB0hx9p+8GX9bIe88oYvsVetFUtpJYoQAYLgBRRJALyABCiCJEiBuM10V1dmnvP9/ZBVPT2Y - oWSA09Pdg/8vgJqcrKrsU5WVU12V3/n+ZrZarZqmIWlmBzrZXGkiIiIiIiIiIiIiIiKX4O47Oztp - 08q2bdtaK8nYNCqdFrquI1lKUYC3iMjRNe5iLNcvtsor224gptzutenE/1S3ARxsrcSUWwwgAN+E - hU+lCCXQzbrna/8/P/UUolhq5/P5wY1E3iSapgH25dJHxRBb7bxaPxqaTXx9BaoBBuMBlsJUQ+8A - kAMgqsGBQhiwC2RgqCB4jecbLP/ux3/zH37pYcwVSS8iIiIiIiIiIiIiIiIymc6cBYCphCnnzIic - c0HUWufz+f/6P/4Pr7766lTF5O61VjOLuKhHwxXUNM1Q+mk5IqbzltefOvWR++5nLdN6M8VBHxRO - 8d2AkTC4Ox2/+elPP/TIw6VyOo9s7uvobotLVUysVzkR2lEiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiLHShhohQYjDeFc51sb3ZhOzxYj++1zr77n2g/f8Tv3PPuT737lO1/8yrNfTLO2NuNYd2td - 0WCOqJbQEmyw7nPLIMkSgUQAmLbMNoUDMARQYOtQ7TCAIHIiFt7WUkqMNQUyS1oN5WevlBdefea5 - x7732V+/9b6P3/3p2YnbVstFm+elhNea3RubebIIlr5WJ5vUe9AijA7kaik8hwMOOg2wTZoAzi9j - mjZpAXogHH6sc7sBTBNAAwAcCFiZAtQN1awazQijJeYuzR3ZiJKm9G44AQ5M7pxVr9VZnSmMNm12 - X+K5yEVIknjD08QjwsxIppQiwt37vjeztm0v6zDPU46IiIiIiIiIiIiIiMgvNTUv7bqu79c9ZHPO - tdaImL7Tj4iUknK7RUSOLgIpI2x48eXFfH5ue3fLEZuSCJtusD6/a1egUqIaMZUdBAx0oAAxZXi3 - zcoyTnTo8mosiaVt9f4ib5wBQBrGocszAMuxLpqEebe47oby8lmev9kVKoOhoTowld4YaAjEdDDS - UIACnGpaG2nR11+cxQh0V2RkIiIiIiIiIiIiIiIiIseKN3nYXZlZyokwh+/s7laz3/u932uaZhxH - M5tmKW+Cmw9NrRX7xuBAMvvYxz4WEW42m82GYcg5l1JSSoc92KufmZnhwQcfnJ7p6Ql393qJfPcp - zPuCXeLE3u3UbUFERERERERERERERERERERERERERERERERERORYqOY0mFWf5hUijAn0xtKwXdKs - u665aWe5PSxXd5z+0B2/+f5PnvvUo9/6/OPf+cpOfQWLxRK7Q+m986EfzQxIZjaFYduFsb209cRE - Wzd8dhCG4NSNFh6AEay7TiY3JIvkAaILWPz83M+37BcPffv5J57+yv23f/Le9zzwlhO3LrjVRk6R - WfuhGuhI7jlbqjVGWDim8O5kdDAZ3QlYBBKMBNynoO71CIEI+DS8MAfCj/P8VgKBTIAIIAB3jGbT - MklamFkyetPMnHlK+J66YYfBHLQKq7QgEOtd6pvNx75LEQAwM27yO37V7ZDuPgyDu4/j2HUH24pa - rd5FRERERERERERERC4hItq2HYYhpdT3/dTW1t1LKRHrM4K1VoV2i4gccWaBKNhd3dhtle1XFo4S - 2KvpcCIMILApATjQSokwhAGbrHBHACCM4CynH5/dXt5yE7oMi7bJaV2j4L90cyJ/EyO73GIEMuZt - qqtVmvk/eOjf/aN33g6kipoAAA5k4qD74BuRApiKpaYKqs2h2DSohhMnmle2h1OIghylRylTGZaI - iIiIiIiIiIiIiIiI7GdmllPAPayyBjhbLP7vf/7Pzp49O3J9g3Ecp0hsd49LBDNfIbVWGFLOrGFm - DpL8zGc+s1wuT24t3L3WmlI69Ijxq1IyJ7k/fZuk0d535r03XHPqpbPnChgBY7yBUgnTHhMRERER - ERERERERERERERERERERERERERERETkGHGxAABUoQF2vtnC3ZG6DsWLOrUXe4hCrn716a37vr91z - x2/f9Xe/9v3HHvvBF5879/3S1DoMzcwBoBpppDsCVhIRtSUcVmBBH9aTWjn9aHc4AGPAomHAUAjL - MGN4LTWVIED36h2QzZrxF8vn/uhb/+KRJ//sve/+4Mfv/uTt197hq9Zy28wWid3YDzFGrtZaGGLT - X9qJVDwbPUd5TUPnAGgBTK2h913JKcP7WPe/dZpvHkAYCiyc3DwoDziYgdz4DGEemVYcsReybggg - jAgDDaTvbWt60jSlVA4CyZRSrdXdATRNQ3Icx7ZtD+gnKlBEREREREREREREROQS3H0YhimfG8A4 - jtP6iDCzvu+7rptyu4dhOLjv8UVE5FcT43Kngf2D+z523W5fRoajTWAguA4N9s25f67LJuKA87sR - tvdDCSCQACxL7U4u/vHjX0eTkJ3gONbk8KTobnnDHBa1lJRaAONY21mHqGgSkY0FsDfSgv0Nj4bI - AQCJwPTqJxwEMA7ogdqP120thp3azufLcReNCnNERERERERERERERERELmEK5HZLJWqANJt13e/9 - 778Pz6gFwJTVHRGHm9u999NrKSDa3NQyJuCjH/3oyZMno4z9WHLO05zqiDBLhzXUq5WZYROLbgQM - Qc7n8w9/+MOf/ZPPwWBTORxAYKqiuLhGYV+Rw3o7m4ILERERERERERERERERERERERERERERERER - EREROdroiXk9i3A9PXBK7w5EcdBoHh5Bgm62hVOZ1r+8vC6//TfP3HjPmY985/lvfPn7D3/v+adW - dWewnqh0pmxEIBABc9i6oXMAm0mIBjBgiPBp6uLeXEVrEIZSwUqAjbfmNCM4ntspXYPFqba0y1ej - fvVnDz/29CN33fC+Bz74Gx+4/Z6hrso522pPzmxeVyXBjQ4ghdG8GgPhiPDKdfPpCAOBsL2BORDg - +o4AnHstqY8t2nrPWoAwEghDEB4wWjLjetIxCSDVDBsNhCEFnEiEE04DPMydCAtarDdL9ceWSyC5 - uXyDE49ns9nOzk6tNaW0Wq1ms9mB5n0oultERERERERERERE5BKmvrEkU0oAaq1d161WKwDnzp07 - efLkOI7unlJSbreIyNFFNLMWO7vx0iuzocy7ZFGXI2ab6+38Ddf1EVegEMAJm2o3QAKEEZjl5oWh - outgXqNaanLTXMFUZbkKEahAeJra27cpAYU1zJIxJbiDgbqupjEYYbig5frlZUBD2FQ6BZKBzRG3 - ABqgaZuzO0vAV6kODZECdpzrlkREREREREREREREREQORlQASJ1HGVNuItlXvvb1xx9/vETtum4c - x4jIOZdSmqY5xOhuTNHR7pwCvGs14PZ33/a2W25pmmY1DiTdfRzHpsvjOCq4++A4EbZeGFar/+Dv - /Puf/ZPPTeeII+BTWwYCgAEOGGE8X7NwcXo3oABvERERERERERERERERERERERERERERERERERGR - o84BC4AOc1gADgsHAYzRt7MZWWsp1tCcEREWq9K03ckFF2V79+3Nibfe/Pb3X3vfc9vP/vHjf/jz - 8bkX+h/vlB0amnaT7GzD3pTDC+YeGgxBjwA8EHQARIwEDcmRkxvdotYhSq3uuObkieVq56XtYetU - V7naXZ1b3LJ4on/8mw99/R2Pv/PBD3zqQ++6f+YxrEqbFlGbdWtbAxCG0nAIQ3VOcyo5JXavn4ZJ - 2L4gat+bXXn8GQKEg7AAgwDdSNBQHSNLpFptNKeX7HRLKwNSANVTuHH934VZ5jROy0rvlr+O2es+ - kNx9Z2cnpZRSqrXOZrNxHJumOZDxAVB0t4iIiIiIiIiIiIjIX2P6vt7dAaxWK5JmdvLkyamzLYBS - irtPNxARkaMnMKwwjDfN54thGIbaZswd3NeZfPoXvMIA0BA8wEKAvU7W0w8J1PUPhfel1tkMQTSN - m++Ou4tmDvW5ll8NEckziFrgDQC3psMYO01erXLHmjaFMNjXiv3gRwVuim4ITGPIQBnGDigplbLq - Zs06cEBERERERERERERERERELpRzHoaBJKZZ8OS/+cP/t4+aU+77HkBKqZQCYLo8LFNq+HTe290j - onP/6Ec/mnPe3d5JbpbzXmmWmU6QX2YkARhgZgYkoBI0RMSnPvkbDsR0LQDAN0HdvknvFhERERER - ERERERERERERERERERERERERERERkePOGIkFdKCApAc45TzD3UqMUSoNyVIthaztfMHBxxIknZ2v - wofm5uZd111/451/764nfvIXX/7e57/3/JOv9C9ELXAaSqTz7W2xv7etrS8JxDShkU7LwWpBEmME - IrIhpdQ07TjWneXKctttcbeOgcAcSyyZcOL62fO7P/xnX/j9Lz/+hY/c+YkP/NpHbjrxztqPqXbO - bERiGKpZcYvRPMztwrHsGxAMAYMTMDfGlPB9jBkdqMD0iJ2Y5okSHnC60RCo9BoYgAJkA4znZ5ga - 4YipS/HUMdh5fp9qzqkchIhIKUVEKSXnDKBpmgNN71Z0t4iIyGUwpXZNlxEREdMb+Rsw5YFNl5d3 - kCIictWY2pa5O8mpnZaIiFx9ph6Ue90zc86H20BT5E3LzKZP6NOvXnvf3QO4eEFERI6iIMxQeix3 - W0Y1ADBDueiUv4GEEQcblm1AQwRA2NQCe/pgX83p/rbb3oMgzEF2uakMN1dvcnnDjGjg04ssNRgA - wltkS/l3v/ON/+q2O24ZfV5rBhqi57oy5uAQGO38Mo0kEi/I8M6Wo44t7Rq06EfMgYMqlhARERER - ERERERERERE5+i6uFV+f00spjWNp2+7c7mq2tfgnv//7yWyo6zrDvUlJh15tbu5kBWBmybxEPPDA - A0a4O0AEHUZDrdXMLvFw5XI4P+3AzIDs6eabb373O97+w+d+nJONdf28bzpgYNE0fd+n5KUiItwI - IC44nzzdVjtMRERERERERERERERERERERERERERERERERK5Oe7PzUkokfTNXTuT4sYDtmhEALaYJ - ggGnAbCguWcaKgPJDT4OxZDhqEAxwqqRxpJX+dRw7X1bH7/nIx99fvnjR7/70Je+9+cvjj9Op4Yx - BjrMwIABOSWSwxCptQAjQIM7pv+jplznRsB72IAcYSArraJxwi2MpMPdYsqjTsnH0kfq22u6Z8bv - PPsXP3jomc/fcfOv/617/vZ1s1tO+bU2WO3HxpA9aB59eNsYrUQ1s5QS4OM4pjTNmJzCrQMArNAA - Hutw6jCCFsaAwWhgAhCGEkQymq9K7wurHHKDHrQ0IiInNAm721gkMgIJNNKKIU/zRx0ADTjuz49c - ZiTNHGbDMJgBMMBIvoHG7hFBckr6mAJADy63G4ruFhERuSymUD0AU5Cqu+MNdbeZQrsBjOPYNE3f - 913X5ZwV4y0iIvtFxHS5F+Pq7nuhkiIicty1bTsMg7tPIcGLxaLve+V2ixyW6Wv6nHPf97XWnPNq - tZrNZoc9LhEReT361X/39/7DroxEzQmrEcmQYIATQSCAdMCJxfs5YJiqPlANNICgYen+n/6Lf4mt - rb4fu65JMMJ3h37RdldqaHI1WrdfB883TTdYwqztF+3w6njKU426Ayya3I/1QFurhyHsgr86EASw - Tu8OwBkArusWy19s/xf33PffP/OXBzceERERERERERERERERkWOq7/vZbDbWGhFN0/zbP/rsi6+8 - XA47pfsSzEgChBlrBOjA+9///vWV+8ZrXE/elyuAZDJ/6M8+P9YyTV5L5gECXutoxLBaXXv6tLuX - UubzeZRRO0dEREREREREREREREREREREREREREREREREROR4Cvo4JVUTHuag0wz0MAcQ8Kkf7DrN - GgiEIcIAizA6IkVOYTZYa3Mf6tua+d/98Ns//qFPf/37X/ziU597ZfxZP27TaclXtR/76o11Xeqj - wpHbBPda6zAUAJ23iTAC06anKHFHALAA4R423cDWLaMZAUNNGFJvTY40PFd+ePZnr37x/3z4g792 - 3wN3feK2t7xnq9saVzaO9Jrm82vGISKiyzMzq6WS1lp3/vEhYAFw3RPX4ninU1ux80nbjk3H38pK - hnk1hyczehQywxJHRAXMkFpYyqwx1hIWNAIFdAM8HFjvoKM3h1nkdVN0t4iIyOVBMiJSSlP89pS0 - l/Pre6udcrsBTOHfXdfVWsdx3IsGFxER2TO940xvN+M4HvZwRETkshmGoeu6vu8BNE2zXC4Pe0Qi - b15t204f8Kdft6aP7crtFhE5ZhgI+8WPnnsX2KLpS986DOaWatCAOlVgrOONGQY/yEIA32SET9Hd - mzRlVsdy1uLEAp67rhn63bbrSi2dcrvlV2Ggna/7SYABxgxUDDXNT8TZnd1a59mawp6sRuBgDwFM - id2EEWl69U+HQ7IK9JUzsIPX3Z13dIvl2d31oSIiIiIiIiIiIiIiIiLypvTL0qzNzN2dHGrJs/n/ - 8U//6RhBGI/azPcpTXw9J58G3HDNtXe85/bDHdSbB+2CfPRpHYAm+XJ399rTp5b96uSJLQDZfBiG - nBtyBqC77rqIGPt+Pu/6frdJmVjvx4s2KCIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIkcXDXU9 - X9UDDiaYgxkwD0wxz4YEOBgOhIUDsHASDANAB1LAmd2yefYYC3byTd1tv33ruz/y9r/9tWf//FvP - fuWHz/9gTMvFYjb6asXdVa3W2li46quhtglb7dSTtqDuAF6twGIaYUyTaglDAFMQdaSAATQUgyeg - WJSUc+uJA3d/Pp478Zb5F376z7/63L8989YPfvy9n777HR8+zZviXFqu+kRPSBgJwmlmyS0TlRaA - hwdBIMICCGdzePvnV2axns8LAx3MoIU5EJ5QHRFhCRbJq6fSdjaOHjBUYgTcUCqNqCAsYAVwGD3M - 6Ea36fVjccgPU44YrgHgcQnYVHS3iIjIZTCOY9M0U4JXSmkcx7Zt38B2Sik55ykCfFpDUrndIiLy - GnvvO6WUiJg+ibr733xPERE5Jkope58shmFo23b6pHDY4xJ50xmGIaVEstZaa8057+7uzufzwx6X - iIi8HgEQ5dWzCAaQgJRsHBkoM6TY3ATrFtNXYjj7f6ujGUBnVIuXs6FrUMZac9vNV6tVN5tdkUHJ - VYub15sDBqxPPBhgCd3sF8vtG9uWZewL54vm1eUYQILhILv5G+GbdPDpMgycQru7NMtp6IeTbRfD - atkvT26d2pT+iIiIiIiIiIiIiIiIiMh5XdeNtRBG8uWXX37kkUcApJSilsMe2muZO7me8+/mZ86c - aZoGZdRcqSuDF+VtGzH2w7WnT7/86qvtrJu13e7uLhFtbiLYNk2tpY7jNGeh7bq+7w9r8CIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIvIrCoDmgE8J3IADbps+tVi3ZaYTsHXn2LAA6YDRQQOcyGEG - t3PLbToXi9nMtmofCL8xLX7rrr9//50PPvPzp7/27Uef+sm3lumVtLW1rOfGoV/MsruPwxAFRjgx - jpFT0M/3g55+6F5DXENMI9lDojGEe6mstVp2n9EMLw7LxQmE7X77hcef+eEP3nH6oY+c+Y17b//I - 6e4aq01EeA0wJSRUsJYpCnBv6mV1wILwg+zFe0WFeaIFHKhhICpgRsvelJJymTfDgn1EjdSEcfTB - fExe2sZPztMJpxunZz5g09PioHK75Sqh6G4REZHLoGmaKU5vyt6eorxms9nrTd3OOU/bWa1Ws9ls - WhMRSu8WEZH9mqaZOmHlvP5M5+7TO8jhDkxERC6XnDPJtm2nqOCIGIZBnwtErrzpuIsId3f3vu+V - 2y0icvwQKHG6aS2tKqMEOBLAyTQrtXewXNSl+qDFelwEDHRDJDAc/9MTf4GuQdMhGYHpK+LpO+cr - Nzi5uhjg0wIBwgzVUICucXR5mLfnVsuTjY9jrJbjHJ5zHsp4cONxAjAjgHV9FAEQ1TDv2n4czKIC - 54bVqa6b9f0y+8ENRkRERERERERERERERORYK6XAvJ11X/z851/ePhcAjmBjADOSAMwdNUg+8LGP - 1WFsNuWQToRKIw8GbV2fkC66ajabbW9vtzkbcfaVV7a2tsZ+mM/npRQykpmbpxallJ2z59rcTDtx - b7MiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJyfDg59Td20Iy+WRvr0G4EEHtB2rC6jmqOBOYU - PkU3m8Fy2upOMKFW7owjLHJGMsvn5qdw8723vPV977z/2z/91qPffvh7z39jGK1Z9GV3OcZAoDHk - BIPnFNVRHR4IgxMBpGki494YCBoqYMxEMCICsOqtwYcaUSvccaJtOMSqMiOw2Pnh8OTPn3zm80/9 - wafv/p333Pzem6672cYUuza3RfYuAtmazfYdFus4c7sa+t/SENPD4d7DKe40h4VzNA6YD6dO1ptW - q5nXwVs4CsJSbRG5SSfi1ZTLPEUOC1gE3de9gzWzVK4S6vMuIiJyeUxpXiml7e3tEydOvLEoL5Ip - JQCz2azWOo7jbDaLCEWziIjIfn3fd11Xa00pTUGSu7u78/l8f0ssERE5vsys1lprbdt2+oAAgKSi - u0UOi7sDGMex67ppoWmav+lOIiJyZDAwllSjRC2GRUrZfFnGUsv6+gt+yYpN0vGBDQeIqZRhyu6m - GZCAsMDWHKjMRmB3GGdN40BOecr4FnnD9r98fN2W3VGLkG7AAAAgAElEQVTG/+3Jb/6Xb31nGLKh - MzBiWYZ8wK82u9QxZkAMYzYrlae3Fjs7y5f6fgac3Tl7oIMREREREREREREREREROaZKKSmlIJI3 - /+YP/tBgAGuthz2u1zIzRsCQzCuC4Ic+9CGz9Ux9V/H7FTHVRcS+J9yIMoxbW1tw8/k8ewrznXPb - s9lsGIbpNvN5l3Pe2dlp2/YIvrRERERERERERERERERERERERERERERERERERETk/x83OrHOupgm - HfqU9WwBRGBfbrb5Xi/bMDhQDdO0UHowDFENKbuntnWP4Dis+q18qmE3bI8p8X033XvHO977zAvf - fvLZx7/81EPn4uXq22luI4ZVXwLRzVNlpSEcIEA4X9sPl+sBTF1s3RurrDVgxpxpgBVYBUB3d09m - GNn347lt8pXy4v/z8F/ddOJtH7jzg/fedf8tN7x9+9zgq+bE4nQZmWIvCtCdEZbt6pruSoMRtICx - Rm/VE9smd7PFTQ/c/cl3nXk757XWkagknWjSLKrV8Lde8+5umKdo4D0MtAjY1EeYao4tl3LsgtKU - AyoiInIZlFKappmCVE+cOAGAZK319UZum9kUwjrFdU8pfTnnY/cbhoiIHKiu60imlKb07nEcp9xu - RbqKiFw1Ukp7Hw2mf973MrxF5Aoj2ff9bDZrmmb67Uu53SIix5BbLYvTW+deOXsKCNQMq6h5CtI2 - AOt0bOMBB3cDAKpPxR8BJgAJnlBpgGOYLwI0wNuGMQUaV7h+FZRfCQEzwKa0eOSpp/qJBbb7U9ec - Xj7/wg2tL4dwYNZ2ZdOH/eAE9hdkwYBEFPC6duvlfme1s2ySdQmtu+dGufUiIiIiIiIiIiIiIiIi - l+BuZgb7+c9//tAX/tzcEesuBIc8sAsxAgCIiADQeL7jjjvMDJondRjC4EQYVqvV6dOn+76PMVJK - 5149u7W11aZca513M3dfrVa7u7vuPtWybloqTK+uqcsCAJ3OFRERERERERERERERERERERERERER - EREREREROeqcCMDAqfGycZwSu90qEJvmzB7mU19kwJ0ZAKyMPjJPc0Wne0eQOZCIsfSFtW2b+Xxr - udq2nJqUrHB8pcxz+775+297320P3v63Hv/B17769GM/Ofcjzpc+H0bbeaXWucOmqYqGYAbgm+1v - JjNG2JQ/HYBHcjJFrRawggbIDhgiykiQIwF3pNbcMyzO5r/qfftHT/zgc9/847vfee+DH/z0u2+4 - c7ncblMXxhQJdHB6pEdrZu4bM8WwEw6b9vP0NJZZl+sYaQBXrOT1s1sWW1vWxCw6jNXMSJqlAutZ - Oyy6fpHCkUtNERYwgAH6FWjZLXIFKLpbRETkMpjStdu2nWJTx3Fsmub15nZPpi3knKc8sIiYwlkv - +5hFROT4mt4sImJ6g2iaRrndIiJXk4hwdwAk9380cH0pLXLFTQfgbDYDQFKHoYjI0UIAmNpD2zoH - +HyT6E0wcCDiP7/77rfDz75y9oZZg9U4tY9O7owaAAjf3H59FyMOrEl42CYnHITRaMVQYDu5RdP1 - URrvMF3vQBD6vC+/mukVVzbLCXtJ9QnFlq/u3GTWD9EYqmF36JuDH9I6McDgm2buDszhu/2y3QR7 - 9wNH1HQiowwYR6S9DO/YHB6v3aDtPTQRERERERERERERERGRq8X+c+K270S2uw/jiJR/8MNnn/+r - 5yuQUzvWcjij/Gt5NmOKWh249trTN934FlhMJ/lCZ/euIBqM6+c851zHEhE55yh1a2uL5GocG099 - 35tZ0zROm6pYh2GwpMI5ERERERERERERERERERERERERERERERERERGRYyo2od3THwGEg3u9YWn7 - Wj0TgE+TEgNOVFpMHZWd7mDOnmnG2nhKKQNYLpd53gzDCjV1uZtZExGxQrKu8cVn7r31vrsf/Nr3 - H/vSdx/58at/6Xk2n5eR56aIbqNjndvtwOanr7tMwxjTqIY+uq5r2og6IiKAmAackRxwkGCgkrWO - A8dmy86uXmnnjTu++twjTzz7jbtvvff+Mw/82s3vbUuXS5ei8do4fRoALPbP4r34CdxbmqbGvrZ3 - tV0q/3sdRr4XSX5g6PsGQCBgAQunD6veaSml5DmGOs+LjLS7XDbjzCuaNpeISmb3tjGvm2cDPm2T - m30RCD+wft1yTJHH7zWh6G4REZHLY39gatO88WyLve1MaaxKBRMRkYtNbxb73yOU2y0icjXZ+xd+ - +lCgjwYih2g6ACf6jUtE5GghwID5VBSQCCBgNWr11JJeATMkBGI4UfpF37tb6UdfJxlHrEs+kAPY - FC84GMCB1gE44W4kK5FyHQr6lLYXp//rJ58Em5M2q0AFCFQgma0bsYu8MQQizL0aKrCOhc/Tyzwb - FqdS13J3G3AzBK9Ibvf6ANs70AgEEJsSIgKozAYn2lL/szNn/pfvPo0uITmC8EAiDZvCpvXBAiBP - W6ArvVtERERERERERERERESuDjQEDIAbjeeju2kYS2lm3bnd1b/8g39dgQCaZKXGkZvibAGkWqsB - BrzrHW9bzNo6jjC7qC2B25Eb/fFmhO+LfgcuKEAoUd09IuBWogLwnILwnKZrAQOslHDPF+0sXNxW - QkRERERERA5F13XjOOaczayUkrOaiYmIiIiIiIiIiIiIiIiIiIiIiIhcZu5uZgBJqlG5HFvrDOwN - DwSRYurrTABwIuDrPs22t8asNvtyMszIijJNfy0EgNQ0tdaUktNLVKMBCUBi6tiUs/31zc2/dcfv - fOTWTzzz4+/+xZOPP/HTx186HUN3LllCgLV6NncOw0CybbMlr5Wo1Sy5OyLDHWMEzDePwgzh4JTh - XfaPD26oI1NCcFzypbTIQ5z78s8+9/XnHrnzHe+/9/b73vfOe7bilC/bGU52XMQYQCB5RIAOT1FZ - YSkZADLMLYG11qhjNm+aZgzuBWbTAmCsw7MDcCcANwSYp+huGg46vduB9RimGHI6DBlzGApROKJB - RKC3ORbuZuYlgmaOBAJjACxpdCIMQHKmzYiV2y2vRdJT6vveHaQdlxhvVduLiIiIiIiIiIiIiIiI - yHHDdWrvRiCKJx+HoWlmMIyFKRFlbKK0Ufffb//CdOJ/b+UVqAOoQQPcAEPO2Mn5ryoxWwAZRNoX - RQxAIcRyuQVsE1WfZzurVQEdU2lUbbKN5RAKHS7+kdORmAOzOqKW9S2my3Wx0V7VVgR885f960VE - RERERERERERERESuKtNJtFg3FLDlarebz//kzz4HwGD90Lt55UHO23+9bOrAQABtm+tQbn3nu8hw - d9sXMk6dFj8wrzcNXftCRERERETkeMk5933fbHodTrnd0/JhD01ERERERERERERERERERERERERE - RI40wkHs5XZP9vV33azhpRu9XjR/0TeXRjjgRjegQUZB1OKlWzQnr3vb9befPvOp+unP/eAPn/zp - X7x89sV2K6Oty9X2aGM7S2bW96X2SAk5J5KFxUBbR+6WsKCtOzYbYYAFnB4GYH0VsWm+a6gWNQ3g - MObd1HRffe4L333uibee/Nx97/34/Xd+zI07587OmkUqyZkiUFGzmeWUSHcfxwGAR4R7TgnJUGMc - RySfxjCFZIcFmPbN6jyc7rhOXBAQvtl3xN4zghT7VsL3duS0o2ml2vn7qsmvXGUU3S0iIiIiIiIi - IiIiIiIixwYBs4syrW19wr9pWwZqxSwZGHC7Amncr4vD3MCGOwMyUK2WmSM5bP2Qpgd3vopBrbHl - V+EAkC56UdWK7Ojn+dyIWdOBtQaWhc1hjvW1wkCLdbC4r0dOWMCTna/S8ukoOWJHuoiIiIiIiIiI - iIiIiMjBoVvXzZ9+5tmnn34aWPdGOILMjBEAnKjAXXfdBSAiXCfCRURERERE5Bgij+IH8IgAQLLW - mnNWbreIiIiIiIiIiIiIiIiIiIiIiIiIiFxRdCCAtFk+z8zMEhi1RFh4aq87fcM1ds07r731Ry8+ - +/gPvvS1Zx97fvvHs2tP1q6c3dlpMzx75w4gokRCdQAlRwEQjgBoAJACFrmJ7GFOhDG81FTKlOoN - d3ogYAGChpIibLc5uehj97ndZ37y6HMPf+PzH3rfR++9694bZ7fkcye3cMozI8aKFclawmjzdg4S - 1WJkTfCcI0etYzICFbBABp37cryBCAQQDofFJs47IHLVIY9mif0vpehuERERERERERERERERETnm - 9soy6KUwtxaFPg4YhqMW3Z3hI2sZsdVZGdi0MzuxAAr2FZb4L7+7yOtg5/9Me/nWdMAtAcY4udhd - 7XAcGrLJbsYYj9gBg3WaPR1GYKqRmlK8X9PMf3poOnhERERERERERERERETkTcDMSD766KN7M/WT - pxr1MMd0sc25RzMMYzHgzJkz08gvOtsnIiIiIiIiIq9brTWl5L4un805r1ar2Wx2uKMSERERERER - EREREREREREREREREZE3HwemiaUXdIYdx9GMyRM8Ra01akRYpJN24/tPXXvHJ+568EO/+dC3//SR - px56+ewL119z/Rh95UgQVsJQuelAa3Dui79e99fFFIxtoCMCCCAMIHJkwB2lGmgRBgCREFyOGKpx - vrX1ose//sr/9dBTf3TPez78W3f/nd6uRyREaqzrfN5501jiUMyMRk9WGFEqU/bc1BgNew2xzTad - sZ0R5j4NAwibOumKXP3M7Oh1tX4tRXeLiIiIiIiIiIiIiIiIyHGyl9q7buZtAAF3MqzWpkmlIrnB - /D++7/47eLQKFAJBwDN2erbAzjD84y89inkLXz8QN5VUyGUzlSwkXrjKEIGU7b959Iv/6O67WpZM - W5XiQHM4w7w0Jw0EAhYVng0wrwhswsixWVZgt4iIiIiIiIiIiIiIiLzZLJfLr371qwSSJ5Alqrsz - juLZZndDpQN33n4HAFNut4iIiIiIiBxP5NFqJpZS2tnZmc/nETEFeM9ms1JKzmopJiIiIiIiIiIi - IiIiIiIiIiIiIiIiV5JjHZvtfsFag7mDBM3cLSN15kB19oYetzS3/Uf3vPszd//9x55+5OFv/elz - O8/aiVzafuBAImckS1GrBQB4wLm35YAFvdAQRBiqISwj/HyfWjoIWACgAQATipX2tI11+4XV2fm1 - i7Pe/7tv/6vHnviT+8984v73feqd197ZrE6N59xK7pqu1GW1gV5T6xk+VmNEINMaAjAYkVCN1QHQ - jaBhL72bFsUAwHl+5CJXh73qepJ7feKPONXZi4iIiIiIiIiIiIiIiMjxQCAuGdNrANzMSRqQEjCM - qDXO7aSjVJdggMEqWEcsFjl6S90MixmccJ+iu6fzzD49UDsu553lyOMm5B4A4A6QWMz7pmnGfmZo - HG2TSl8PdZSv5VHBgDGA8AuOhouKMhxKvRcREREREREREREREZGrixNhsIvOekfE1tbWo48+CmCM - mjzhKJ0Z38OInHItJQHJcMstt4zjmMyP5mhFRERERERE/npmR6uwe/p+AIC7kzSzYRjatj3scYmI - iIiIiIiIiIiIiIiIiIiIiIiIyJsMX9MuemogHTnniAhGjEE3GswMhNWUvW0w6/vV2A/XbN306TOf - +dCdH/7Wj7/x5e8+/J2fPJFnXbPAzmq7H0s3T2QF4PT1lNtNGnd1hAME1013w3F+EmvsK/6dQrWX - uzi5hX7kOHAxRx/LnQFbp5plOfu5b3/2i9987M5bPvjg+3/7zNs+mOLE2Z2dU1vzUq1WRoS7uzvh - QcIcgDEMYQgHjG4MIIPmQLWpTW7AKgAwXbKjtsixRu7ldx8Piu4WERERERERERERERERkeMkgGRY - F0PsR1puykgCjRmGck3TeOwezih/ieSWA8xYrgrCViCywzHVdRgAwo9WVzc51vYfIwGs864JwB2W - VxXXNO41SCz7eqR69SWirUANBJHWK6ejxdePI9brJqYiJBEREREREREREREREbn60RDkSy+9+L1n - n2ly6kutDAARcdhDuwhRa50Wb7zxhq7rWMvhjkhERERERETkDTtqfcXMbPo2wN2n5bZtpwzvwx6a - iIiIiIiIiIiIiIiIiIiIiIiIiIi86Tj2JrpOLXCj1ArAzNzdLE1Z2lND6WEYIwVakKiFaTW7xt72 - iVtu/OjbH3z2+e/9+Tf/7ImfPs42x4l+wAowWydzA4jY5HZzyudeb3bK0h4AwDzgAAwBIk1Nn4lr - 5lZWbAyzDhGwijajlCC6fLJdlZ1vvPToDx5+4l3Xv/u+Oz5y72337+50J/2anLY4sNBSbiIRtTiT - E0BM6eHTxmkOGuEBGKtbCethIwDH1pXcESJySYruFhEREREREREREREREZHjzmuMyVMZas4JBoxE - rbkfE49Wd/IxagDuiEDKbW0yUJnbgO/FDttexPLRau8mx4/t/TG9nAyAw2ABEKisllJKqGHEzC3i - CL3mjOhKoAZiKo2C7T2iS9z6io1LRERERERERERERERE5EowgoBvzuBN/Qi4OS/2yCOPAOjLumfB - UQsPm7h7RMxyKqW+9a1vjYguN9yEeYuIiIiIiIgcL0cwEpuku09x3e5ea00pHfagRERERERERERE - RERERERERERERETkTcYCmBopx/m/IgCbSnANiAjSzIxGdx+9MsHdnBkRaUyZcG4NsXvm+g+959+7 - 6/svPfWl737hW889/kr/EtoaVukjrYRHdYStJ96CmDo9T2Hd07rqgU1LauP5ubosbJPXGlGQPIGB - arlp+7GOPnpbUsuz5dwTv/jJX7745ENf/+yn7/nMbTeeufnUbSm1sULrbrAotbPGCFhwyhK3qbF0 - gCRierxGpPCpFfBRnAMs8uaj6G4REREREREREREREREROZZs3x/JEuDrfmgkoiCiHYZ09GoTErA7 - Ind5ZBpyA8/jvtzuCxy59m5y3BAA6voYAQgaYlouhM9qtYhAIAFHLOYeidGWitWIWhOASpglZ2WY - XXDEBLDuMqhDRkRERERERERERERERK52AQTwxS892qRUawUw5XZPOdmHPboLRMRsNhtXqwTcdONb - UkqlFAcMZheeyp8iye3ond8XEREREREROcrcHfsyxZXbLSIiIiIiIiIiIiIiIiIiIiIiInK5mBkJ - s3W1HslN01sRudhmfus6tJtAADTDujcu3GEwAEZE7z1aGI3VMhvAp/sFatcuxrridr7j2g/c/uCZ - H7/wo+/99Nt/+vXPnuWLu76NuUWuAxiGlJAjRW8pPHtylFJHOLxBJejrWaseMAB0AE5HQZoCfANu - yQ210pLRxkAhimfYFs7xxZ26/U++9KNT+S0fvetTD9z1yWvaG8Zlv8VTM2taJJBBrwjm7M5irFFr - XebcZGQLeiQLM7QRUdMR7JAt8gaRNHOY9X1vBnK98uj3hVZ0t4iIiIiIiIiIiIiIiIgcQ1PFga0X - azA5UnYUIBuGHqWc8mwcDnWUr5XNBwaIar5d+d8+/lW0bWBfELEdrV7qcoxx/58BBMzr3rWeYMmb - mfc7ba4o1oPp/J0OXyK2zP/hxx743e8/YwAB1mqWEwBelNJ95IszRERERERERERERERERH5F3JwU - Syl985vfHGsFYO5TdPd0edSUYZwWbr755qlPiemsuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iMhVJTa9YXnRpa2vpa8b5E63R3KuA7aBqfWsk+bRNGG+g5xxa3vmunfcfM9dH/7y048+9tTDz73y - bNpKi1mM3F0tGbV2TdvmBhEkm8bDolRgL7ebmw1Pud17Y506WltUQyDg04ogUG3dyNfSrjelkn/8 - jX/16Nf//Ndvve9vfeBT77r+14ZzHmOXmGigW611LJGSpyblbBFRSs8SmU22xq1xsHLQ3FqRQ6fo - bhERERERERERERERERE5tjYJvskTYWNf2y6RxXL+T95zx3uipCPWnHyXMUvJm/zCqi8nTwMGM4Mb - 9ipFRC4fAoZ9tTkR2NQJVcJzT6vgsuBkSl1lQb3kZg6FEbFcVd/G7i7mc4MjOwCQsKka6/wxc3GW - t4iIiIiIiIiIiIiIiMhV4zVnk0vUbz31/7F3J0+SXVee33/n3PfcPSJyAECMJAgSBAESJEEQBDgU - p2IVi9XVXepetWQmM5lW0kr/gDZaaaOVzLSQmcx6KdNKZrIuk7qlqi4WWSQBYioCBJhgYiZIAgQS - Yw6R4e7v3nO0eB6RkZkYOIBMj6zvx2AeHs893M9z85dY3HvO71hK7jL3WqvWMrrbpBbNJZM+8pGP - rA6a7V/pAwAAAAAAAAAAAAAAAAAAAAAAAAAAwMHligtaR/PiKbGpMb7apBJFKuOxtJAiTJKrhWrp - bNr7LFpkbbOyublx5K35a39x+7/50q1ff/yXj93/xA+eefmJ9O7qI77wHanWWIZkrjRFKqUyhnbv - e2dZtN2SwmraqsIweaobw7qlMDVzpUsyk1rU2A6fb/v8wRe+88BT37v1o5/51pf+xS2Hb9moWxkT - j95zNvU+axt2FsVCVt29dBO3SbRSs0+lqUlEd+MyYWbKdWxsf09EdwMAAAAAAAAAAAA4iELyvcDe - miqmybRIMncpDvd9f2Ze1mkRN6VOCuX2fOF936YTbUyVahkT833hw6G9fGXg9+YKjXuY0s4FXEdV - 8aHvaost17zVoiKt0ZR8U26YXXvkqLpu/F011dlubveFSO8GAAAAAAAAAADA5cfOz+0eBwG89NJL - p8/sFLcwjbndpZTW2iWq8T2Ma5DXX399ZirT3NdnURIAAAAAAAAAAAAAAAAAAAAAAAAAAAC/O3uX - UOq3nxTr6ZLCYu92VEqfLdWsdJ1ba6FhaN50qDsynJx7N/nKh//szo/c8/PXnnnoiXt/+vzD3k1q - tx3dMkprnpnpxd09hnphFbl6ozG0O/ZFdysVsYr6tlTJLuSeMoXlcrrVD2fnp+fzja1qW9N/OnHf - z/7msTuvu+OuW774yZvv3OyuijPLMkw3bDaZzDq1VJGktBpDzZC6ru8ikknTuCyt0+j390Z0NwAA - AAAAAAAAAICDxCVlSOeiekNy83EvRoTMqmXrazvcTdtyfukqfRuTSXlt2Q6Zt+nkpZNvqnN5N7Vu - tUtk/36SvOgI8Fux1a2trhppNxO+VZWNqRbD//aTR/7nWz60PLOYWDfkeu128FQo33rjdRWPDDdv - yjLubNp3XbD3CAAAAAAAAAAAAJert10uTtPPfvYzSS1ytVpmMjMzW7MVP0kqXhRN0tGjR81s7eoD - AAAAAAAAAAAAAAAAAAAAAAAAAADA++CivthVRLck+V5E99vFWLtk4ZPShbJlq8MiO7NesmjRpukT - 29ysthzaTEe2Zld9+K7bvnXnX3/n4f/w3OvHXzn1gm3WyYbttLPLRZQSnUtSnP8GY4trXlyjVF2e - slAJt5SnLEMWadrZmYdJh7RjZyLP5kbZbjsP/Po7x1558OZnPnXPJ79x+4fuOTq7eli41b7W9Oay - akVpll0L1TDrbHfQNHAZWcPG9ndHdDcAAAAAAAAAAACAA+O8vRUZko+7MoY69F3vJnfJXLKtvp+f - PTNds+zr+bJ9oOvk5a2hTa44KpNqRrRSyviEJK0b759xP1CRpBy/W+NendJJKU171W6RbWPmZ+bV - ZOsWg23Soc0tRTP3Fiq9K5VDWO+7O6DiPV4CAAAAAAAAAAAAuOw89dRTXdHQZO7KkFRrdfc17HB2 - 94gm6ciRI2N5EbFu65IAAAAAAAAAAAAAAAAAAAAAAAAAAAB4f6zCuffaSWN10EJSrn733HvIUmmy - qHVwWSlqqcwWCnlIWRctU2b9xPrNyfRwd/SQjhyOw//Vt/7bX5994ZFnHnz4yftefu1Xm4evODSN - ed3JrGHnTazd67+13K0sJansJosrdx/KMIUspLDOo4Z1CtdiLutiY2JRq46q+c7x13987D8+ftOV - t/7Jp7/52Y/efUV/9db0iGrnrWSmufXmkbUOIe8uGKoN4I+P6G4AAAAAAAAAAAAAB17X9ZJqVV/U - ohVp2Nk5VPqhDZe6tPP0RfNaq6pmG9kXRVMpvRXFKrI7JCe9G++HlJpkUolzW4TcVmHXi1rLpOu8 - DZZn5pHSpJ+2YXHp6n0bWxuTY2+8rq6ElK4mFZP15zYbnbtS8oLfAQAAAAAAAAAAgMvW8ePHJYVU - JJmZWbaIiPf6u0ugtWaSSVddcaWkUkq2xsIeAAAAAAAAAAAAAAAAAAAAAAAAAADA5WEMvU47F9od - FyZVR5gkl0Iml2svM3t82NLVhhxKKe5ukilbthjSzH0yVaplXdSd2DlpZl3XHe2OxPZmH7Prb7vp - a5/4i5+88PB9j//jL159bnLIlt08u+XFFWoVGO57v+69f1pICosokbuFmWLR1EkbkzKdKVto3rIq - J/bG2e1p0aEPbr26eOLfP3T8gSc/euuHPvPVO/7iysl1h/urfdHZMqbqpt6laUhd+IYA/uiI7gYA - AAAAAAAAAABwMJzbUGGulMzHQy5FyF2tpTor5opa3HO5NK3X1oTa1Lm1yKHof/3Jo+omUlGu8rpT - 0oU7S4Dfi2vvGrDV3iBTmrpJV6Wun7h3vbUqLYd5uaSlXuytneUHr75GmbXVrnRtaKUrypSZ0mWx - 2nTFVQMAAAAAAAAAAIDL00Wt/1KYnn766dpUitUaMnkpEZm5VmvjkmTuEeGSyw4fPqzIri/DUGWr - xf8kwhsAAAAAAAAAAAAAAAAAAAAAAAAAAODgynE2rO3ldr/dk8bnrMTbPSNM/bSvtdZa3T3NM7NY - KaW0oUlKj37am3XKtOZWXa2/cuOaIYY+N79x67fv/sSXHn/2n+479sNfnHxm2e2kD+ktLNJi9x09 - Vund8gztDrtOU4w9vabc1/0aTZuzYpHDolnIXcU1nXozm25FRJ6p22Yqh/TS8NSrz774T08+cNdt - X/niJ79+4xUfmfWHbOhaLQqzUpS+F1U+xodrX986KOQAACAASURBVKB42gWfiu99Tialxe5nq7Bz - f/6uHyfwh5W5jr3t747obgAAAAAAAAAAAAAHxN5qrHnauShvk4qk0GRiUiiXqoti7ta1HNYqvDtd - y8wsWs6K+l5bh5SeKfm4h+OiLSa5P7Ec+C2Y1I3ffQ+lpG4M7d59NItSLS2myoVLsWZB9ybbVHlt - e0fKSZGlShmzxWO84lPdbjaBZKR3AwAAAAAAAAAA4DIUEaWUsXs5MrvpbLGYH3vieCm+aDGuJrfW - TC7lGnbX5+o2r77qKkltqJ2XsRN7b+0y7dxwAQAAAAAAAAAAAAAAAAAAAAAAAAAALrmxD85MZoyF - Bd5DXpQkfd6U2Hz7UOrd4+dSvVsLMy9ljLV2Nyk9a45XoaXHKoPbTCZLdVoOgyw27FDbmczs0Nc/ - dO2XPvrNR37x8KPPPfDkCz9Z+CnfaDs2X1r1yXQZq3ZWj+YendIyM9VcbfdMJPVeJA3z1ndSbSm5 - K4tiHIOryJRytzfW1aSFz4eyXPRnv3P8/3zw2X/49EfuvucTX7v52k9t5hW247M67UxyMzPJl4vq - qdlkZpGttcy0YpIiq1mW0rfYDfa28IxQSpGmkI+3Y5K374Z/p61dfzEuY5npZm4+DIO7ImRmByLG - m+huAAAAAAAAAAAAAAdISJ5abWhYhXZnSK5QuFyhmpJPOt/JZVmn4OswRUgmNS22d9RN5s1mJnM1 - tZRM7mNU9wFYa8aB4qsY+L2tNJYqJqU8fdyltJbZ1zbWZucuifM2A4XcFTmmd2stzwAAAAAAAAAA - AAD4XZmlFJkuSW4RGREnT54cog3nzSnQevYzh1KSSX3Xl1I6c2VcUGqu0ZI+AAAAAAAAAAAAAAAA - AAAAAAAAAAAAfn/vHiZ9/qP5DhNlLz6+e+T8VlUvqRIb42+TYeueD331jpvv/OWrzzz4xA8f//mP - F/W12UZUa26teZiZFctUa6tm3eIlM8yVmcOgiNYV63tbzbvdzcYOU+5OyLWU5GHylBTN1Tyy29FU - pxcn7n/27x976pGPXP2JL33mT++89QuaT2e2mVVa2qSbHdqYtSFqHTLT3SULtVKs90mtdfvs2Y3p - 5t6U3bAx2tzHgPSQPGOsKzSOsR5rAC6BtWxwf0dEdwMAAAAAAAAAAAA4IOy8u6uV2fFHSGNMd0iD - /ptPf+4jp89cVSbZhj9+me9uq+vLMJyJTlWzzaLQfF5nGzauNa9yuy3IIcYfR0ix/4JaGyk1qZlk - SsnGy3/vH4HdsG7b/weM9QcAAAAAAAAAAMBlxMz23zez1torr7xSI3LfA5eitN+CmWazmbub7GA1 - YAMAAAAAAAAAAAAAAAAAAAAAAAAAAOAA8SiHl4fLTn/b1pdu/cYXf3XXzx9+6gePPP/9X5955tCR - WGQbQpLMvKUXS3dvgzLSe7OJueUQCsticqWnjyndSqXta+8dB0fnmKa9yvieDypS6evkSBeLk786 - +egb9z/7/Qf//dfu/quP3/CpDx76kGc/nG3u1aykmk1LjcHMSim1tWG+KH13+Iqjdb7jqTBPecgl - eZopXbVkmLKpNVeYmpmkLry8e1Q68H7LzDxofeNEdwMAAAAAAAAAAAA4MPbWY8eNCb53tEimTNnQ - dHa40qez2SyGRVr62qzhesqLLYfhqKxUU2rYmZvPZrNOWc8bu55KW53gus9Zx5qz3R+m3L1kbF/O - ddrqvuXapXeHKWy19yc1nsS+GG8AAAAAAAAAAADgsra/Y3nv/ssvv3yJyvnt7dY8m83MbO3WIwEA - AAAAAAAAAAAAAAAAAAAAAAAAAHAZKentbLti8+pla6deO/XBzY/+m3uu//xtnz3+0o//4cH/Z+6n - amkxsTRbeoZJLovBTM3SQunqizLVljIfp0O7xoBurX7aXsOs1b0Jv2mabpTlstWaLXem/TL87DC8 - dXL5yv/1w5c/eOSjd95y9xc/8eUbb/hY3R6G7flkMlsM8673Jq/DUKzfPLQVte1sn+46ayYpQ2M6 - +N4cXpciTdqd1mtypRkNvMBvgOhuAAAAAAAAAAAAAAdD7m5TKNqfPCxJMi2H1vdFXqTiZ+cxLHda - m61ZxG9EmrRQ8Y1NDcv+yCFJmTLz1cmx1wHvnzRZSnvfrn0sVwnesRsSPz5pfb6A416ktJTCpCZJ - cil3L+rzLu71qRsAAAAAAAAAAAB4n4xx3WaWmXv3L4zuzt0l83WVqel0unsGq9O51EUBAAAAAAAA - AAAAAAAAAAAAAAAAAADgcpPyfrpVl8ti7eqtzcy2OF1u88/feuvn/vJT//lDx7//g8f/9rnXn5pP - 577hg5X5MN/YMEky1aYIdZ2KqZksXennv7rvxmbHxa29Z7ZbKeomrsxla4PU95qUmG+/8vPFyece - efwfjv3Hu2/72pdu/8aNV348F7Urs2zKbCavdchhmGqy0U3mPjSPErJUyZDc5SE1U9iYPhyeUUKe - khTGXF788Zid++ofrJZxorsBAAAAAAAAAAAAXA7SLaQSqe2dTe8mfdnolYt6qes6T6a6yeR0aHrj - dZrNMsPkZmO48p7V3gtf5/HqOCDSzsu41/ilSslivDtGd2sNv2yWaWE5hniHK0L+nn8EAAAAAAAA - AAAAXDYuiLgeQ69ffvllk8wORjPzWPNkMllFd2fK1m5lEgAAAAAAAAAAAAAAAAAAAAAAAAAAAJeH - HJtas+W8FbNJTKJ1bWFD2Fdu/us7b/visV8/8qOfff/4r46nLQ4d2Tq9fUKdSq8iZSgGeWdmmQqZ - IlbjcC18nBidHlpN85XG5GyTpNms1IihpVl2rnQNobNDTDaj6IwfmpysJ77zxP/9o2P3ffamL37h - k1/5+PWf7Kzvs+/Vd9mXcB+ytSaXx94M3pRiDOcOdWNvsaVbhis8JIugcxeXQh6IXvd9iO4GAAAA - AAAAAAAAcDCYzkvuXSVb724OKGU373pjuljMM9vZRd1Ys60Dpdiry2W98vB///2/k1VzjyaTmknj - 8q2tNl7YaivEpaoUl7vdvQ3NvJlpLbc6WKpkqKWarLzdM3KMvQ+Z1vMUAAAAAAAAAAAAgN+Z7Uu5 - NrOUIuKVV17Jc0cOxiLZZDKxdV2UBAAAAAAAAAAAAAAAAAAAAAAAAAAAwOUiWiz7Uiy7iMiUu5WS - JcO7w8NOzoaNO6+5/uPX3PPzV48//MT3Hnv+oY0rrj1VT0drZdL13hYxby3lCpMUbmEpSy/Rjand - TZEWaTGGau+ptZnczCVVSQoraX3WouWgHJadhunRzdPDr3/0yv/7kxP3fuTox776mT/7/E1ftOXG - 4pQ2us2+71vNSe01JoJLbTcXXJKFLOU6d5um88d1A3hHRHcDAAAAAAAAAAAAODBMkmLcE2D7D0W4 - W1UUk6J20640n6xf7nVEbhze/Fmda1o062oMXZmmFJLkqVi/knE52P2OqWhfxHVKpuqq7mpt3b57 - njKpC6lJTTK5y7Qq+0Lp5NwDAAAAAAAAAADgMmNmmZmZ432TorWTJ09KylQq5aZc60BsM1Nm13Vm - 491LXRAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuX6WbtBxzrc2sSakcQuZReuu0mNjQXzWbXHnD0duu - +chffenb3330e0//+unXTp7IjRaTWpVNS+tMbZzmK0t5ajXcdy8tO11WtXdcq5nZ44DpzDTzTEXE - cojpRG5Wh0xt28RUVOPMM2dOPfu3x75/9BPf/Ny377jl7iLtLHLaTbuh83C5mu2+eI753OYpU3pK - puYxThlOs90nAHhHRHcDAAAAAAAAAAAAODj2Unvt3AFJLlOaWchCU5/HUkpPrduuAUsNNborr1BK - Zu4uKXdPajeYXOMJAb8nGy8Pky4KvB4Wi346k9tCimm3ODus2+4Bk5RxqOu0rKohV6tD1xez1Wnt - ZZCnZFwvAAAAAAAAAAAAuEy5+zAMbiWl6XT64osvnntszaOwzSKik7quq7X23SQy3vuvAAAAAAAA - AAAAAAAAAAAAAAAAAAC41MwsIrtu3aZ1Ang3YR5tmiZ5NZcrQmnpkqVCWnamTi0Wkcv+CrvxsF/7 - X3/xM6/NX3746fvve+oHL23/ohzqw+swtCJZqku3VCrCohWZqfisDt5ac+9llmqe6UVmoQwpxim5 - mS65suvSbaG06h5SWKaZzBc7uZxcvXF8+dDxe39y888+8eVP/+lnb/z8NeWGrnWKzsy74i1Kyywq - Sut8WusyssotSmuqaYN7sdb7ejcc4zITEVa65XJppkzlmje87+J/5wAAAAAAAAAAAAAOipBWEcQ5 - xhLbKum6yJQ5tKG3VBuseJ0P3bls33VRpQjVRZNPFXJXZprZbsR47CUs78tcBt4fe5dDRvSzTWWo - tdb7W2/u3HroSDtz6lIW93Y6KebL//Ev/9X/8MMfSkO3MR1rVucXPJPrBQAAAAAAAAAAAJefvUZl - MzMzZUbEcrm8tFX9DsZl8fF0Dkr3NQAAAAAAAAAAAAAAAAAAAAAAAAAAAA6c8JBcNva1uhQu12pu - bRQ1pUoqNFH2nYUNcb1Pv33HNZ//zBcf/cXD33/8u79684WtI6XGjiylaBq8M+uyppaDNMyLqZ9M - 3EuGtWaZ0WqUcTDu7rjs3Unavpqina6U7wZ7h6t5LvNsOaRuqz1z+tgL//jzB47e94lrb/+Lu/7q - iq0PdDaJwTubKrxWTbrpMN+x3twsNISidF24YoiSTOTFJXDgesaJ7gYAAAAAAAAAAABwcOSF8by7 - SdepiGk/UVvIiyk2plbm2dYsvXurmyzSjviWTg2aTNSptVa6rox7KLR7PqYmSSqkEeP3sbo84rxv - kYW5R2vuJvf/5bFH/6fbbz/x5qmj6/dl25hMhuXi9ZdeUgsVSWqLRZlNVw/vy+sO6cI0bwAAAAAA - AAAAAOByYTauiqcyd3Z2LnU5v7HdjuuI2DsFW7tlSQAAAAAAAAAAAAAAAAAAAAAAAAAAAFweQr4T - kuc4qtaVndJM6QpJsZoBrTQb07UjY1IOlcXU2+Rf3nTTt279658899A//uTvXzj9zHZ/cujnrYsm - xVLumk2lkKdaLmtdRrhZKd5JUqalpCgpSSmFRfiymSR1rSvhJSdStFKbyzsNc9Wq0rV+1i3zrafm - P37xV0/f99zf3/HRu77++W/ddPVt5VTr68bmZJbLqq6Zh1xmoZZ1HkWTSekzm/biwgG8A6K7AQAA - AAAAAAAAABwcFwzx3kvyNlOxoQ0lpeWg7cXEu0HDuqX57tTloEkbQrMtyZSR6dqf261Qukyxe3Ll - khaMy0Xu/znUoe97ZUgmxZlWr5pt+XwRWq+w+9PL5dZkcrifKaVSpCjTqSJU3ubKDi4WAAAAAAAA - AAAAXI4yz1vCWywWl6qS301KrbUxuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAD4gwnPZiYpLU2STCGX - RaakfSNtd381dfPTi2k3vXK6VXeWtjO/+/o/+fRNdz7x8mOPPHf/oy88fGr+5uSQRbdYtLqYq0uF - KaWUvEQpJVqN2jp3rSLDI0ySPBXSeL952GqSdh2PRNVsIqtqQ1XJyZF+UH1r58TW7PDDJ+579G8e - +fi1n/yzu/7yE9d/ps5PpdnE+6LO1Xl4Z27eWVhroXUbwI3Lmpnttb7nGs2xfm9EdwMAAAAAAAAA - AAA4OGzfz5RsX1hvxLTrtbPz3332no/1Gzun3rxmovnyklT5jiZWStf5bEMtFFKWvu9XS8wHaqUZ - B8BeHrxd+N3q+z4iPCU3dd1gNm+tKNZwp427vXXyDSnlPl7+KYUkgroBAAAAAAAAAADwz4CZjdHd - mWlmMjtw0d2Saq26KIMcAAAAAAAAAAAAAAAAAAAAAAAAAAAAeB+VVAmXIi3C1KylqZkkTxVL9+gt - vWSY0hWW6spGKV147AzLZSy6SbehK7r5xlev+9ad197zzEePP/D0jx7/9cOv64TPtsOHWZGbqhRS - S0UOMlk3Dsz13UTwGOfoWqqEp0XzaCV8dUQl1ElqypTch2g7260U7ybT7WHx1nB6czZ97NSrT/zd - /R+//rav3fHnt91wxzX+4djucsddE0+1GMxr6bqWqVzDocK4zB24znGiuwEAAAAAAAAAAAAcQLsr - s7Z3xP3szpktla157c8sZtJ8WLs47CLbHpav5aCtqbpxc0TUdB8zyPeV61JI7HrA+yAli9UXykKp - zJSb0lQ61WrTSTszN9laBcinNJ34m8PiyuuuV4RMVdmG+XSykfsvfMm4UgAAAAAAAAAAAHD5uqBv - eT6fX6pKfgdmpsxaq5llrNFyJAAAAAAAAAAAAAAAAAAAAAAAAAAAAC47brk71NnCFW13zvPuCNv9 - U2xDUq21ZaTSOpvYTMqssmGqpff1yGc+cM8dH/v8s2ee+sGx7z76wiOnlieW9XQryywtSzYpJCvq - OsUQLsXq9V2KsJBUUjkmee/N087xvS1qhql0WUrxNAuLaKXzycZkqPOamkzsp689/Mx/euqDWx/9 - 8m3fvOPGez585ceXZ2sudOTwlrKcOnVqMt38o324wOjA5XaL6G4AAAAAAAAAAAAAB0WudjnsS7ke - b01RB+/L1samziyORreVMettUdPe6bUukXnW7vDRf/fkY5qZOstURrh7k9LUrU7RJdl4msDv44KM - 6z1mOV5Lw6DppCqbyX2SsV5T/reXoWk5eea03JVVmvSTScuQ7W5yytU5rtuVDgAAAAAAAAAAAPz+ - MtPdM2VmuXtkZ2fnEpf126u1ZmZmmmRmB7EZGwAAAAAAAAAAAAAAAAAAAAAAAAAAAGsupcHHiN6Q - TOll1dVqyvF4G1Ozm7dMhakOy+nWppvV5RARksy86/vM3Nw8MsTi9ImT189u/i/vvvXPb375+EvH - /uFn/+n1+uszi7d8uuyn86ahhoaq4ooIlyQPi7RIk0mWUUJ9k6cru5CnqXnM27LfLF6sDrXV1ksl - pZC7lktNeitdNwwtulx0O8/tPHni8Zd/9PT3PnfzF77wya9cf+WHXz15qh82rjhy9Xy+XvOEgfVE - dDcAAAAAAAAAAACAg8JX4d0XzfH2vpdWuxNyuTNVLoa09Yvz7a0soymH7DZNaeZmXezlK5uULjON - 8d3vELsM/KZs74fbvoMtWvEiSd7JvIZk0Vabe9ZI36nWNj3Sy0JeUmkqZmp7zzh3rQAAAAAAAAAA - AACXocyUzMxkyojIXC6HS13Ub8HMlDnOKQhTyVV0d7IWDgAAAAAAAAAAAAAAAAAAAAAAAAAAgPeZ - xzir1uQpWVhqnF7rqZBkNS3G8dZhkSaflBpD1HTZrJ/VWmutfV9qtjpfmunQ5AoPz9N5U3f4mptv - vOP2Lz387I8eeuLeF08+s6xvarJj3VKuaMpxuPQe0zh22lKesuiUvY+TdVP9rG851KVcKkVFylBx - RdO0U8tcLAbrihcbNFin0+31s4uTv/rxc/cf+/7dt/zJlz/9pzdce9NrZ16adRse3WpCb9reye6W - IO0O8w6TJF9VGBd8cLZbeZqkCFs9x5PZv3gPZnbRwPi1Q3Q3AAAAAAAAAAAAgIPBJN+L6TXJxgV+ - 390ZkG6dcm7TNgwLl5QXZ3xfYqEMpbqpycc9DOPmiX5vLrmNp+MMKsfva981knLflwRfvNSMXi4v - iuJhnZurXrJS30FW9bO+1qoMhXqVIcNNnULy8XzStHu9BDHeAAAAAAAAAAAAuJyYmZllXnBQa7cQ - /s5C2RU7eea0lWKKaLVl7K1cpmnswx5vyfMGAAAAAAAAAAAAAAAAAAAAAAAAAKyJzDSplJIZZjKz - GKNsAay12A2gDknKvQxrhcXqfrosPCWVyLHt1YqZp2pdSuo6z2xld/RttMhMSSab5ebVJzf+9c3/ - 9ps3f/OxFx984OnvPvna46eHN2JaNbbKpkWEF1kpTW25VN8re9XwVts4Wzsz3SKHobNz2dp1TP1O - WdmtuCjUxrbilMxyaUO/FW/Ey397/G/ue/a7n/7kHV+89aufOfS5cmbqZVp8shiys77zvi5bZyru - ntFaS/NwS3lmutxyfz63PGXpnqvpxaFMU1rNsQE4gvRu7MlMM5fZYrEwU6bcPeJc//jaIrobAAAA - AAAAAAAAwMEVKZfOW5lNy7auS6Fx3m/nYsgtJVPKpLRVEvnqIeB3tfq6pTyk1a6bdFnERU91XXxs - Lfi4aUhSXpBAEJIzux8AAAAAAAAAAAD/HGRmSmbmZpPJZLFYXuqKfgO7a3kRuVwuW2suudleXDeL - fQAAAAAAAAAAAAAAAAAAAAAAAAAAAHi/vf2U3fPm2u5mUf8mkdT7g6tLeNdKnmxbs6Nf/MhXP3Xr - p559/fgDx+577JkfL8p80Fwlsms1d5bLKEWbm8q0+U7WiElv1mW2UKa5LGQxjqRW2O7kXdsr6sKz - SM8oWbXwqTTx15dnfvDkS48cf+iTm5/55ue+fctNn7Rh2nWbllLNyWQjl7XWapHp6UVuHkpLV0Sa - wkJSWqzeyaRw3/cZ7cZ7A29jDLM/WNZzXj0AAAAAAAAAAAAAAAAAAAAAAAAAAADwz5SZ7d3uHZnN - ZqcPRHT3KDNT8/m81jrp6GgGAAAAAAAAAAAAAAAAAAAAAAAAAADAQdW89oei5jC05fKU+nLVJyZf - vvmuz/1nd77x3Qf/9ukTP/3lmWfqRp1uTpSLWlWXqouc9Nrc6FrEMCzcZW6LRU47pe0livu+GPF4 - p9jsUszClstlUSl9ly1P1Td/MvzTYz949OPXfOobd/z5Hdff04dbNQ3NrLg8uyrPkNQGT8ktTGlK - S2kV4C3JM9PC0vdyyj3d2x/0s8Rl4qDEeNPoDgAAAAAAAAAAAAAAAAAAAAAAAAAAAKyR3UZly0xz - V6ak6XR6aav6HbTMxWIx7fv9MeQAAAAAAAAAAAAAAAAAAAAAAAAAAADAQWJ5ZuetfjKZdZuTsKyd - mg4VHZ1c/V/86XUvb7/wyM/ve/DJH774xvPT2Ww6tfly5wNHDp05c2ZxpnYTuamFiudkZtFyNyfb - lW7n3kHaTdTebxiy762U0tRaay7vJr1N42w5Wy1++vqPn/+7Zz688Xdfuf1rd3/8y0fKVV1sFJta - ejZJKsUVWaOp7GWEy1NhkhQWLqVl5hjgLcktXYp8u2KAA4fobgAAAAAAAAAAAAAAAAAAAAAAAAAA - AGC9ZKa7jRnemZkRBzG6W9L29vbRw4fNLCJMBHgDAAAAAAAAAAAAAAAAAAAAAAAAAADggPG0w9Oj - ERFzeXbmXbrVulwshn46u6m/5ebPfvTPb//LHz/34L3Hvv/8W89tTKdnXtu2rt+YqKlmprnkSqWk - MHl0klwKk2VIq9xuS0na35LbT5SZtQ6SWbEadblctvDqpZ/O6qSdbm8+Gyd/8cSxH7zwH+788N1f - uvXrHygf2sorY/AMt0mfxdLc0kxeYgztLmYhKS2lGAO8pQyVEvI0yUMivRt7zCxTGjvf81JX89sg - uhsAAAAAAAAAAAAAAAAAAAAAAAAAAABYI2O/stl5QdcHNLr71KlTN1x33aWuAgAAAAAAAAAAAAAA - AAAAAAAAAAAAAPgdWXrdacW8WC+pRZU08bLRH53Pz9owqad3ZuXKr9zyLz778S/95PlHHnr6R8+/ - djz6xSJ2FNH1US2HKjOV8143XBEWMikl+cVvXUqptWXKLM1cRV1XivVT7xdRh7qjLmOqedHTZ0/+ - 6vEXHjp23xdu/fqXb//G9VfeZMN0MVSpuLoi81RJL7F6l7BoHmlyjTHesow018FKZgbeFdHdAAAA - AAAAAAAAAAAAAAAAAAAAAAAAwBoxs4gws8y03SOz2ewSl/XbM+nUqVMR0bmbmejTBwAAAAAAAAAA - AAAAAAAAAAAAAAAAwIGT3vssM5sv0poUJtnQ5bKb5rT0XZ1uLGOx3F4cLltfu/5Dd9341WNnH3r4 - 5/c+cuyBZd05sjGry5026PDRbjGvlpKFJKnK5Lb3Lgq78J3n81aKuqmbWavRWmbKrFrZiarNaa/0 - 7ZOLad9NjswWy+Wv6i9++fT/8Z1n/79PXP/pL9/2zds/eNcVuqZtV1lvGRadpZXoJKWpeW3uzSOt - jUdCYSZJuaoQOCcPYKw70d0AAAAAAAAAAAAAAAAAAAAAAAAAAADA+jIzc59MJpe6kN+YmTIlmXT2 - 7NmD2IMNAAAAAAAAAAAAAAAAAAAAAAAAAAAAjNLUpJRSMjM3WZhZWoRZt5wvWyfr+r500cIiZ93h - D3/wlis+dvjIVYd+8MDfnzl9cnKoqLT5vNqYip0uhUyr/yS9Qz9u38tMtUZrUqrr5O6R4am+V10M - yu7I1pGWcXJnx7rmM1mnN4ZfP/LK6Rd+/cLHrrr/C7d+/bMfu6sMkxKTrra+FUkl3dLHDG/J0xQW - aUqLvCg+HNhz4DrHie4GAAAAAAAAAAAAAAAAAAAAAAAAAAAA1khElFIiYvy1lDJfLj/84Q/f/+NH - zGTmkXFpK3wPux3XIZ04ccLd984FAAAAAAAAAAAAAAAAAAAAAAAAAID1Z2YyywyZzOydInUB/DMR - Fm0yREQ3mA1eoniRFK20kJlZMQtlRK3dYtnvbJeTjx778SPP3//8i09WX05n06HOWypN9g7B2GGS - 3qYhN1OZMlPXrbLDW0ZJdSFrMlNzLdu8mXtXJFOrliqlyOKt5SuPvPr6k2/+9IpHr/mLu/7Vnbd8 - fsMO7Zy1frl5pDscS49mlu4ZIXcpFGlq3iSVKH/ADxQHTUSYirvP53N3ZdpByfAmuhsAAAAAAAAA - AAAAAAAAAAAAAAAAAABYI+6emVKamZm1CHe/6qqrtOquD409+WamNe1qNne1kPTGG2+YmdaySAAA - AAAAAAAAAAAAAAAAAAAAAAAAAOA3MQwLybvSu5dsObRBHt77cpjPNqdnh52zbbu70t+qr933xL0/ - evzeM+2t7fZWK4vSe4udmvJepbc6pKVLZQ8PCAAAIABJREFUkly5yuqOd8jz/s2ELFw+vlaXfWaN - iKUtrV9E0aKc2Snb//v3/933Hvvopz9251fu+NrVRz742skda92Vm1e1hUny9JBkkiJNlgoLX9UJ - nOdgNY4T3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAACsEXePCI3Z3FJEmNkNN9zwezXd/3GVUloLSS+/ - /LK7Z2uSTAfoDAAAAAAAAAAAAAAAAAAAAAAAAAAAAABJKuFX9keXrS5i2bzlJLM1D1m2fqO8uTyR - h5an8sS9j3/vR8fufWPxuk00tKFMbLrh4cNQ04rkWi6zjK84pmJbp4xQSFJqzMwe70t6l8bcMDXb - fYKFSZbhKcndukwPpTysWMs2ZFvo1XK4fza2n//p0/c/8/3bb/jsn37uWzde97E3T78+67e61nt0 - np45ltL+QB8jDi4z077E7sx8ty/oOiG6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAFgjmXnBETO77rrr - JJlJshw7mzMvfuaaWEWPS6+99pokM4uIsXoAAAAAAAAAAAAAAAAAAAAAAAAAAADgYFns7IS15i1K - Wuc2tSGHZZxVmb+y/OV9933noafuPeVv5FYMWwszm0w3ssWyLYdWQypFcrULQrHTw1wZaSGL37yY - lJrLd/uMfTfwO0ySQgqTm8xTKUs1l82G08NJl1ouXn36xJMvHP/sjfd887PfvnZ6o6V7urJYuiua - mbSmLcy45Na2vf2dEN0NAAAAAAAAAAAAAAAAAAAAAAAAAAAArJGIcHfJWmvu5u4t8wMf+EBKmZJJ - ZlrjrmZzj9ZMMunNN9+MiM59DPMGAAAAAAAAAAAAAAAAAAAAAAAAAAAADpb02qat63xmZZlDs7oo - O2+2V9+IV37wT3/31K8ef2v+Sjkc4YvmLVzzuQY74ynv5a7aFE0lVVwKeUpWJQ+5pDRPaX90t+1G - cV/I9pVk2vsDj/HGU97MshRTpCIzPeUpl7Z31G8qipZxpvd8dftXDz6z7Kz713/yb/tWIkqJ4rKQ - WyotJP9DfZo44Na4zf1tEN0NAAAAAAAAAAAAAAAAAAAAAAAAAAAArJG8qF/ZzK699tq3fdTM1ra9 - 2cxOnDgREXI3s/f+AwAAAAAAAAAAAAAAAAAAAAAAAAAAAGDNhGXToipSMZR6ur31/KvP3P/kDx/5 - +YPLyRnN6mIyz9Rs4q1pqNo67FFDTVnM3F0tQhGyVJFkoZQUMk95/q49uOMfWirMJa1eMySLMQg8 - QgqZZK7NXsU0r1pEWFlkr0Vs94dL86F5G+ONLeXyppDk69q/jEvo4i749Ud0NwAAAAAAAAAAAAAA - AAAAAAAAAAAAALBG3D0zzTTGXUeElXLDDTf0pUS0Czqa17DDOSNWdzJffPHFzMxMM9PaVQoAAAAA - AAAAAAAAAAAAAAAAAAAAAAC8NyvStJ2ur/30lz954PgPnn752Lzf7j/QDW1Ii83ZZsuYz+deNJn0 - 88XQFxsiI9LUzLzzYmbRBllaSmPfra2ytUOSSZLvf8eUJE+XFHbuSJqk2Ne265KbQlaLlCkbXzDH - ly0tO6vq6rIsbMMjUlJ6Z2p5drndvMZu1Lelp8Uf/KPEQbaGve3vjuhuAAAAAAAAAAAAAAAAAAAA - AAAAAAAAYI24d601Kd3dZIqwrrvqqqs2p7Nczue1STJ3Sdkuda0XS8nkpWRtKb36+huZ2VLFTJna - HQqweq5dsjIBAAAAAAAAAAAAAAAAAAAAAAAAAABwWbGQtC962rUbfb16XJEWq27Y9N2k7Nj7W0na - jcpOi7QWXtNaK4uzdvKhY/c9dOzel04/P8y26+FltZ2dpcyV5stFk9T3fSjbEO4eEaVX7yWaWkvJ - LTMzZUqT7atpVehuB669VzKypdJWt9p3dp4yKVMKmckkM5P3kW7NNsq0zs/6ZmcTny8Xw7D4/9m7 - syBZ7uvO779z/plZVX03LNxJUdxAEJBICaC4ACS1UNYoZoZ2jOyxYqwZP/hNTw5P2C+eCcsx7w6H - I2aeHOEIT4xiwg5PWJ5x2HIMbS4iQYAAQWIEECRBggAJiqSw4/btriXzf44fsqq7+i4guADdDXw/ - uKiuzsrln3UzgYc8//NLG9TE+vTTJU/55vvLMPkpy2gGroLobgAAAAAAAAAAAAAAAAAAAAAAAAAA - AOAEiQgzs5QyU9l4qbW669Zbbr77/q82xYaaGWHuR7oHnBwpS6UUUrh95/HHbn3vzf2qb80s17P0 - w5SmlEkyMXEfAAAAAAAAAAAAAAAAAAAAAAAAAHAimJlbjpP8zEzMgAOOzdVytS+zDq52KUyRyrDI - 9cxVtwxlEyqebilZWNa0UOnNyrBqu7arMURE2ygia61t29ZUepHnQsve921n9dTF73/9u1/9t1/7 - vxftpUF9f34RGsJrmtwUJimKuyRlNZOZUmGpDEVUScVd2YfkrpBk8hw3vEpQt23SuLeTszfv4+BH - +pj6HePsXVsnkUftYzJp0qLWUHEpMqqFraI2Xbusc7n61Nmpa3+wqL7+An2TWZ7hVQpL2/wVADKz - iJRpGIZSrNYcF578/0cS3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAACcKCZpu52HS5m66aab7nvgq8ua - kpq2HYZB45TmPHGTmmutJqVsiHjq6WeH94SZXXXNMJUTN3wAAAAAAAAAAAAAAAAAAAAAAAAAAACc - ImO2dWxivCWFyaVwuSlkkjKtpMUY7t3NusV82TVeii0Wy8mknc1ml/Z3S9uq6fe1t5rsP7P68b1f - uesrD9/9XP/X9br5suxHxMG8XjPJTOvf16HaY5Tx9uv2p2up0OFM4vW84nWS90tLy7YjP7cO5N20 - qRbDEBFqPC3TlU1r0fe1K0PIi1pTrDKHmHYzS/fc7OFaEenAqUV0NwAAAAAAAAAAAAAAAAAAAAAA - AAAAAHAKvO9974uQS1WKWE99d/da6/EO7DJjmnhKxT2jPvHEE/mRD189uBsAAAAAAAAAAAAAAAAA - AAAAAAAAAAC4iheNkT4acR0mZZOSZZQIU0jpCimkGmZpCrnkqTbUSLFYza3RoGi9nU3P1Kr5PJv2 - 7OC7q/L8Exe/cfdXPvfVx+97vu7GrB2mQ2ilCI2J3ZIks1/k9NkrQ7tjvfurfw8Had0Hg0iLsAhT - uqKRp2SRfWT1aCN34vlFNel816wu1tI3k3JhtRcWjaXLQjaM+/EoaSa9tBBxvDZkppmnlDnOI18v - 1ImfQ050NwAAAAAAAAAAAAAAAAAAAAAAAAAAAHAKvOc974mUmyIVQ5WbxinNJ9JBu4HHH3+8lKKs - OqlDBQAAAAAAAAAAAAAAAAAAAAAAAAAAwOnl4xzW1FbgdEiuMb3bZOluEXKpmFxWu9Zdw/6iDlG7 - nbIalos6787bI088cNe/+/S3fnT/cnppr92fd71Pptko+n47y/oXm9t9bT8ht3v9q0mKsEjTUFWK - SqMMKRWukqHii6qzF9TP1e/lNC80y1mbZ6+bvLFEW8I8w5QpeSrT4+ArBa7BzE7sPPdtRHcDAAAA - AAAAAAAAAAAAAAAAAAAAAAAAJ0hKV07Wt9TNN98sqZRSa82UpVKKuPqU+2M0zrI2s4iwTXR3Hepx - jwsAAAAAAAAAAAAAAAAAAAAAAAAAAACnhL2UKbTrNG1LNTFICvdq6t3D3DNM1sg8QlWSmUtm1czS - Y3fPis+mO5d89YyeWp3Z/caP7r/rS5/+9g+/Pj1XludXi7poZ93Utb/at5D7OjF7DO1+mUOLD889 - r5h1/CKZ4SYVU5Giqg5SUenGqctRUv1FddFO48J0df1Nb7n19nd++LZ3fKjrZyUaS40Z5zJJ7qlr - pYbjNS7zVAR2HyK6GwAAAAAAAAAAAAAAAAAAAAAAAAAAADhZUpLJNvOWLSXTm970phuuP//McxfN - VbzkCZ7Z7O6ZOXYDePTRR/u+961Pw6TxsxM6fAAAAAAAAAAAAAAAAAAAAAAAAAAAAJwyfjRuOsw9 - FbK0dEtJZpZWTZmqPvVq/TAddodn7n/8ni996zOPX/zGqt2t168WjbLaMGSt1ZVdI3f1db3bg+m9 - uU7yfkXPcWRHk83DQpuQb3dZKqtcKpKFDX1mrzbsTHt9s5q98w23/OYH/sbNb/m1nf7MWZ2NZVqa - 5GmRppBbxpV54cBBaP1JneB+dUR3AwAAAAAAAAAAAAAAAAAAAAAAAAAAACdRbqV3S2q8fPDXb/v0 - Zz+fUkRkppmdzMnNY6y4y6R89PHHLu3vnZvtpI6cDgAAAAAAAAAAAAAAAAAAAAAAAAAAAPDTSb/K - MtPgY0RvmKKNMYVaaR7KkKmYm7kGSVmHXqv+vD03PHnfQ5+/5xufeWr4wXKyP++W0Ya100v7i67J - 2cxXy7AqL1rsq+2O5IJLMtMvcJqv5zj6w4NcKz97nLFbovH0sKF6pClNYev91EGe1npbajMMw8zK - ddPrp4vzv/6OD33wljveev27uuU5e6Fr63ToNSlFUlpsDh2STGJOMF7ciZ3nfhmiuwEAAAAAAAAA - AAAAAAAAAAAAAAAAAICTy3I9tT4ibr/99v/vs59P9xhCkrvXWo95fFeznmjtZpHPX7w4n8/PzXYk - jW0OtEklz00fAQAAAAAAAAAAAAAAAAAAAAAAAAAAAOBn5dVMkkvrzO5UkWWqykxWPaLULKvUvI+9 - ue1/+suf+/r3H3hy97s6u1hOL85VsyjdhuWwM5kqVqtFtI0iteo127FVzTHOOlO2CdW2a6Rrv1JC - kqfCDpO2w+SykpNumGrhzbJ56w1vvfltt/7W+3/vxu7NpU7s2Xaq883QFE3TM7KGD+uYcAtJtt7X - VSLSgVMR172N6G4AAAAAAAAAAAAArwpmSsksItyVoWOuWAAAAAAAAAAAAAAA4BfKpcb9jo98NKSo - IcnMTmZut6S2bfu+P5h6/dDDX//kb/521FqOd1gAAAAAAAAAAAAAAAAAAAAAAAAAALyozPTimZlK - d4uo7n7agkqBV6l0Se4+TrB1dynH96VMrBZrTJYxJmrX8OqN2lYlMlda9d1iOX3hr3a/8+WHP3ff - I1/abS4NZRnXZVrI0kwm90GtpD4su7SIIdKH7LTINK27Xr8Ccd159BBmylSmFDKTu3nxQYOZSlpR - 42E1Q17lGkJdO7F5G/vlXedv/uhtn7jt7bffMH2TDW3Zm5QoJRqvraeHIi3Co9r69CzlByHgFuMX - Dowiwpu273t3RSgijntELwnR3QAAAAAAAAAAAAAAAAAAAAAAAAAAAMBJkSalwlRSkjwlKTZz7G+5 - 5ZbZZLK/XKZUShmGoZRyYgO8M7NKRXr00Uc//tE7J6WkyWhQAgAAAAAAAAAAAAAAAAAAAAAAAAAA - gJ/JGBicmZJKKaWUiKh18KL5Ylkaa1uvQ5Xc29IPi9XQT86Wvtn71o8fvOeRzz/0w68+W38UZ/pV - u6oupTyldJOPc2AtQxpzrN3lNaUcjvV8x7huNV6sMQsbhmHZ18mORWTfp4ah8XbazDI0LDWJYrvN - O1930yc++ju/9rYPnh+ub/dn0/mZjOJZLMd87giLNFVXtQyPsPCUTGNyt0uRYk4wripP1ZVBdDcA - AAAAAAAAAAAAAAAAAAAAAAAAAABw0lnKpDe+/g3vfsc7H/zWN7u2W/UrbfoLnDQHozKTmz344INt - 2+pEDhUAAAAAAAAAAAAAAAAAAAAAAAAAAAAnVPrlCzJLKZlZa+2HpZmZmZSrmJ+7sBMr6/uctGeq - 6rJesp1eO/v3PPqlL3/zLx59+pFdu7jsFn0JFVmqhCSlFLaO6/b1lN5QytNDjWWj2kpptpKOYaps - 01hExqBQLbJiTeNt0+Tefu+N2k4+beqyzvcXk3rhBl33nhtvveOWj//qL9/WDjPbLWcm5zT1+e6i - bdvQeHqDFGkZpjCFLE2Sh1TSpXAyu3FtebqCu4nuBgAAAAAAAAAAAAAAAAAAAAAAAAAAAE6UMElK - kx2duZyZdRh+4zd+48FvfXOMwS6l1FqPY4w/wfaoMvOhhx5qmiZWK13tvAAAAAAAAAAAAAAAAAAA - AAAAAAAAAICXIjMjwsxKY56NJHd3t6p+vtwvpc3Onh+e8lnu1mce++HDn/nK//X06onnhieXzTLa - WFoNWZG5VGI9q9dt/WYMrU7JxjzvjDQpy/GdrmpNl7wUT88ata+Sp+d0VoaouWqydmXfb+je8MGb - PnLbuz5y8+t/pcwn092dzqZRvb84pA/T6U6tWcfcbqtpIUVahHnKJbeQpyyldOUYZH55aDpwGhHd - DQAAAAAAAAAAAAAAAAAAAAAAAAAAAJwan/jEJ/75v/zToQ5NaSLC1k0AThCXpTIlM8vMkL7z2Hef - v/jCudls3bDAjnuIAAAAAAAAAAAAAAAAAAAAAAAAAAAAOMlyOzr68H3T+DAMsmiaxt1rHfp+JcnU - ZNPs5q7OrfbLc1/99t13P/j5Jy/9oNd85cuhG6KRN6Ur7WApSasqDTKN015LykKS++a4YZEeaUPI - JZUMe3nn9MZVlxaziLQI9+I+UZNmHq7lsIxV2y7OvKX7pQ+/72Mfvfnjbz77SzZ3u1hKmVjjy+gl - 86adWGPpvS3TIq1KIYvxcJ7ricqWspSnSxHmOnnzl3ES5Npxj+OnQXQ3AAAAAAAAAAAAAAAAAAAA - AAAAAAAAcAqYWSl+5513tqVZDsNQBzf/yZsdnzG6W9KlS/PHHnvsA7feGlI57lEBAAAAAAAAAAAA - AAAAAAAAAAAAAADg9CqlyCwiah0ys2ncJ2Uei5zVi8sff+n+z33x4f/3+frXeXa4ZHs+USlm8gwN - OWRVjYiqrnRSoxxkGjO5x8BqS0meFp6qFpLGTO/jyrJ29xxq1EzPVCosMjza8+UNN+y88bZbPvzR - 9378l2fvqM9bea49t3N+GX3UWi3NvXTFIoe+1n6piaSQTHKlm8Ykcve0g0jy8WsYz9pPVTwzcC1E - dwMAAAAAAAAAAAAAAAAAAAAAAAAAAACngKdqxJvf9Kbbb7/93q/cH1GPe0RXl5veAxHhJkul9MAD - D3zg1luPd2AAAAAAAAAAAAAAAAAAAAAAAAAAAAA4JfyqS1erVdd15l5rLaU0TZNZd4fnfjA88uWv - 3/XVb3zlhf5ZO18XuVzWxezG2d7evJhcmQpVNaaumBUfaqyPkpEmmUJyhRRKt/Q0L6GwMFdK9kqe - +pHzrUVq27axJgZJ2ul2bihv+e13f+pX3/rBN7/uLXWey+fiTHem67r9xbKU0niXqsu+76MvbSmT - xmVZq8I336pbylOWMsWY0l1d1aOawsZ1gvRuHLDDhPdThuhuAAAAAAAAAAAAAKfGWJqQdvh+Xcxg - Uo5/1rUUVbJNIYOnwg5fX0Rs1T78wmsCYl17YZKUvv6pw5KLI4UXx1WFgVcPl0Ljv6Pxkk739QUX - spDSM2x9V+myq9421+f28vE2ufIGiWtctNe6la64Hw+3j3X9Tq6Hvx6qfBx8rk/ryMA29xQAAAAA - AAAAAADw6nPZw7issRoWn/qbf+vue+9tSjPU4ZjG9WJScnflSGbK1EMPPTQ+6EvTwcxsu/xBJQAA - AAAAAAAAAAAAAAAAAAAAAAAAAE4D2/S+zSMZ29tdbbde3VJpMkVKpsiDKbSbzS+bVLvpXhvby6Ro - miYshlittGh2bF/L7/7gOw8+ft89j3/mUnm292E4U6siu3ArF/fmbetDDdVspLaxIouIWqsXP5IO - nusxeEoW2zHFlps5sbb59WCMdnS1Me7aNqeT40p+sElaHLYD1mXb+sE6ksYJuZbeWtOpLX2xlbc5 - e9vr3377r95++7vueN3+u9r52Xw23XLinoOW6lWUmcMwSJo0k7AYYhhiVazxcVzrA9l4UEv5ppUx - 8KpEdDcAAAAAAAAAAACAU2KMuXb1CknduMSqJKWvn+xHurU1FG6yLGGSYpMAnNKReoerHOFIePAB - v3LVcZ0X3duVOw/zMF8XWaTMVaUw2UGxhSkVIXfCu/ELcBCNvZWFLUWkF5cGrfalwS0aZSOF1Etd - UaYyNaRaaZDK5vaRdFDWE1KTStlK2crSLDLMTFqvYFvH1vbh1zVDlkc+Wv8cl6QpUq6qyBpLWZVC - Fhm+2czlW7n3AAAAAAAAAAAAwKuLjb0HNs/djsy9z+xKY5G/98nf/W//yT8Z6uDW1IwTOB/eLCNS - UtN4DNGV8uV7v1LayXK+N/GmlLJYzKfdJCLMLAjwBgAAAAAAAAAAAAAAAAAAAAAAAACcGE3TZKYX - jxga90yaYAJXsIixO7RcCk/XeoLsOgjaFWHy9FgvcU8LpSvCwpWhUHqaSmNDRGamaexwG0pFlqbU - VZ+epZTIGjUbayQNGVIdpqtF2f3Os9/40oOffeiJBxZ6IXdqWp+msEiLDLnF1KQhimQmSZk5KF3y - olhHj4eOpHGvE8TH8a9dOed33XDXU76OHreQoqRM4bneSZpKeAn3aDwVHuFDZKhVmIaQpMblqToo - azZNU9yH7Aelm7sV772Nriya63XDe99464ffe8ctv/T+M3Zh9XRtfOY5NvUdv/+tv5zxa4yQ5OZK - V46j3Qpcl6ofnEmMJ57rpsahre7GgKTMNHOZLZdLM2XK3cdr7IQjuhsAAAAAAAAAAADAqZJKUx5E - EUs6+r6kTL55/J+m8ZeUHdY3XfWRf5hMmbLtIolrhXaPO/+pSgfqwX63ihheZAcUZOEX6jC9u7il - tJjPp5NW/SIzo6qXXJpNmtVq2E1dKD5xX/bDpO36fqXNtZq5fjdenEV5rjSrOpibUqkcQr514Zok - O7zj1rnbefCP7PBSr9I6jztTKXXdpB/6tm0VoRikSSkaejWbZPu0dV1GefE7FQAAAAAAAAAAADiF - tp9cj9P4xyWllMyMfrjpXe9+21ve9vgPn5hMJvuL+fGM8kWY5KaakjIzpL7W733vez9+8q/feMPr - zHK16ksppZSsfUimkjwjBwAAAAAAAAAAAAAAAAAAAAAAAAAAOGXiaBdnlyIkbTrHjvHYsdWTOeQp - VauSy2SpYdWbFTeXrGaGahQ1jWekpEbFUxkyVzZ1KP3KFouy9/AP/vILD37m0ae/0U/3hgvzZe7b - Fe2eLXXlQm3CuTfjv8aJHZ36apv07vGN5dhndx3affAthKlcfsQIk/lQx/a8Fu2kWfRDDZVGpUhV - WVXMu51uuVzWVRYvM+9yZWVouzrtVrPb3vOhO37l4+9+3c3n6nVl3tqyaaLUJsdDXZbbfZmrfbo+ - 6zzoC3z5Ji+yP7ymZZ6+i4PobgAAAAAAAAAAAACnyjqB21NupoPKjNwkc3tGqyhVksp6i8sf5l61 - GmJT0PATnvtuf/xTtQ0vKQ81EcpYBw9vcou3d2k/IS8ceMlSZnIpxottvNpcy6rSaDqdql9p2SvL - bHpWl16o0nw5TEt3vvhitcxaB8nS0lpbl8tkkVxhkimKlFKtw1w6r6yhKs2KhpQ2l/H6rpQkhSl1 - kLotSZZyqaxviPXreEdU03LVVyteJvJGaRlR3a1drxCbmh7uFwAAAAAAAAAAALymZK01o22Lte3v - /e6/98//5Z/OF3tmdgLnOEdsJu1nukuh3f29hx9++HV3fCwt3T2j1lrdvUY1crsBAAAAAAAAAAAA - AAAAAAAAAAAAAABOFU+XXDKt3yhtHdgtu7IJdIQ8zauVNA81rvCMInVmJTzThoy0iJJmkZ61xrS0 - nu2wGJS1mfmynT+nJx/40X13feOz3/zRQzZbNTc089VeLqLrSlW+SBT3z6+kdJAFblIqbQgpTJ4e - NnbKjbppseuhMNWitFhuD2w5dJ2moeVSdVDbqCk7abEcFlWatJpmyT2VxeRt59/9njff8rt3fOpM - uWEa5/OSX+rlqbaJYqYwMraBn4jobgAAAAAAAAAAAACnSqrYQdz1mEqstHUMtiyldB1JAtbRhOw4 - srPDj2wrbPiyeoPYWv9nZpJnlNS6tsIOl2+KLfwgiRz4eeXWlb1ZMDa7L40iJSuSNDlj7fTZ3Rdu - VDOZtsNi3tdVUSlu+xHX75y9uH+pVdH60szNnRVVWsnmynM7O6rDU/0qG5vt7Dy5v+fFtY763j6+ - jvbaT0+VDE+1Jk8VqWytX1N9O4tJt9sPsiIr5j7em7F+jSP349GTBQAAAAAAAAAAAF6tMlNSKeXS - /NJ/9Hf/4H/6F/+zJHevtR7zyK6QIXdFKEJmKm4Reffdd9/50TuiX1135tx8f29VVzvTbsiXsQkC - AAAAAAAAAAAAAAAAAAAAAAAAAAAAfvHS1/nceaSjclhIkZZHO8WGxnbSNv6y3iTlKWWqylwqpTTe - do16DTWHVCzqInNuk/SdfLY+/eVv3v0XD376+8vHhp2Fv65f5nx3qUmj6aypy+Flb06bY5fpwx7W - IXkqTWnhUvi6K29sOk6nxbqhro0J37KUFy3nKtJs0rSztl/VWmt6atC5rrNlyUv21nPv+PCvfuzX - 3/2Rt5x7h/YnZTnL2pmV0jZqrY9+uVp21vxcLbOBn1WeqguP6G4AAAAAAAAAAAAAp0pozAXe/O5a - F1vITGaRFtqULmwak9vBk9zYijGWlCbLdRyxpbqUHQ3/3X7+e1DrcTT8+6WWY5RUI3mmMrQumHBf - D/3Imtt1Fy9x58C12Dri3n1zDVepmPq+b4eqjOwmS29X0v5ifq7RapBqLa6z0mr/0lRyq9rcOwe3 - 1bxpXjh3/sf789VyacUn588vV6u6XPl0VkoZ76zRQYZ3mI+3XAmVjCbU1egizrVtW6OLaEIloygk - Dd48Gbk3ne63RateO2cilK7MdQB5Sqmw8R45VYUaAAAAAAAAAAAAwM/D3c3U9/20m9z2a7/+lje8 - 4ZmLF/cW8+Me19WtH/ClMtctBr7whS/84//6Hy32h1prKSVr9H1fmhInLnkcAAAAAAAAAAAAAAAA - AAAAAAAAAAAAL8bG0O70NEmxbmCuHNH0AAAgAElEQVRrobERbmqd7S1JLlm1GGOsy9iSOYuyCdlC - URorVjNTYb4qNnQK085QdwbtLH68970v/uVn73n488+unu4uNL4zDP3SFmpKa6U305BDb2rsZW1U - 68oupVB4DlLI5KkYO/Ga0uQHraZNufkqJJUYl2125Go6zyiLXsvozbMt4dHs1OvK89O3ve6dH/3w - xz/wzg9d37y+zLtmr7VV6cqktKWvuVwt6yrTSvGpZRztlg28EnIT3J2nJMGb6G4AAAAAAAAAAAAA - p82Ydb0Vsr0O61aMpRi5FXm9aQNu69VS2gQYb7+O645Z2QcPe8eig02Rx2ENwmHgd77U3O6RSa4Y - xxJybQcPm1IurQOPzeJ0PHPGKRDauiNCyioVNe1EJlU9tVjMzuw8u3fp/OzsC8NyFb13bWmbi/vz - sxfOv3Bpz9smpTDPw1In7Tft06W9f/5sIy1qjVVfxlTvZV/XV/QhPxiH1vVDRWqkTmql3zh3fVej - jWgjPNMVlloWX5w98999+W5dd0FdkcndXYqUm1IhxbjDn+4mBAAAAAAAAAAAAE65iHB3N0tpNpv9 - 4R/+4X//z/7pcQ/qKrxY1MyUu5SWyvEx+0Nf//r+Yr4zne7tz8/uzCy1v7e70+6k8ZAcAAAAAAAA - AAAAAAAAAAAAAAAAAADgNPF0bbrOhkmKTc/n1LqNs+ugf+w4l9RSCldo3HZsy+yWJQep1khF22Tb - WdPm8/7sY8898rWv3PPAY/c+ufp+cyF03fDU3qKVipfG27FtdEjpKuVljrFOr2aWrnVU9xjgLUm+ - deRxyqyHNkHm8nBPt5QU6RGm1SBlmNSqa6zx6t5nWXa/+tYP3XbzHbe+5wNTnYuLtuqbHU0tm9ab - GKL2yzS1xTtvQ57J9FzgJSG6GwAAAAAAAAAAAMApMWZ1p5QuU5pCKlesdZCmbeuShfStzODNSluv - m9VDllK/XjGkMah4/SoLy81yheTKMKW/5OqEMUE8NqPY7OQw5Tgkya88I+BnZFf8lnJTW7TsY9a6 - rGi5rOfPP7s7n+2ce3IYyuRcc8Ps2f097Uz+x+9+TTlo0qmMd59rjO5eX/ON1L1hZ7ZSnCmzS3We - UquyVB0/9isGkpsI79gEeI8Z3l/6wWPKUIaUyjgc9nLQdKJMual4rbV4cUlVZmp8fSTXZfcyAAAA - AAAAAAAA8GowTpVPu3x5RJhZ0zT783kz9f/k7/29/+Gf/dO2KcuhnqjnZjGsh5NpXtxTlpkZl+b7 - 9913329//GPuHhHF3K2JeryDBQAAAAAAAAAAAAAAAAAAAAAAAAAAwM8kN01rDxbYuuHyOFvWNk1p - Ld1TUoSVNA8LaUgbJFd4RCt5NpZNv++7lxZPP7X3w8984//55o8eenr3qe5M0Zl8fnlJg6Y7spRq - jaGaqTHVXrVX2/mQL2N2d3pUZSpKKtNNyuxkIYUyPBUuSR4+nmzZmvrrKakJqSpSQ5lav6qdxzQt - X9B0ee62d9/5sff/7tuvu2USZ7RrGdF62+20XjWs+qqwRpnuqYzUsHBJftlBgFdC5um76IjuBgAA - AAAAAAAAAHA65Bhubeu47qrLo7vHjuXV/KCnd2y95tY6o+1o4fGjKh/7nqfcDpKGc13rcNmrX7HD - n2gwDW4yH8/FNaYU+5jefcUD57hijMBLZoep8NrkdkuyVIYmrYdUXDp//l987X7VVJXUyIpq1bSV - SY3UFFmobcYr9mB/LplcoWfljU8u1t7UleK7tW4iuXP71TbbpbS+I9c5AyELzSZbN2vq4EA7oVXV - ZJLylJViub+0brNyqqzPjtsEAAAAAAAAAAAAryGllFLKqu/bto3Md73jnR++/YNf/ur9xz2uqyjF - Mi0iaq2SXFbMI+MvvvCFj374I7Od2WJ/v5hNp9NhGOQ/1eN3AAAAAAAAAAAAAAAAAAAAAAAAAAAA - HLOQZJE2vl33gba0sWHsuo1zHqZ3eyjNXaoKmQ429MYHzQdl7fp9e/77zzxy79e/+LXvfnn/3O6q - mdv1Po8hh2E6cXP1fWRq2qpKdVDbeFOK1VSYS2EvX3p3pK9CbilPVyokT5dcFmGhHNvv+njim28o - pPXQcvwoO83zjE39ks107vZ3ffQ3f+333n79TXFxemb1+ly5LEpRZgx9nzXcvUY1Ky5PT0+TUpZm - NtDCGsfh1KV3E90NAAAAAAAAAAAA4HQIqR/fmSQNkjYRv+vqgBpSmdehtl3tw5ShKpOZzKTQkDrT - NrUfcp09PO5sTM9WlVI1NoewPIzlbkwRGrcaw8J9kx2eL7l5eDX10rJpZSZ5qkqmoUo+HumwzCE3 - wwJ+DrmuE/KD3O7xtXHlurDJVVI7nfoqSVbkLjUyyUwmmUuucLP1lb+1d0kKRR+DFCn1dZB8cyEf - ed0UR1WNG23uvU1BlQ4C7DeiSrI2J23ItbnvbDK5MuL+KksAAAAAAAAAAACAV4Px+dn287CQVEq7 - XC6brrXiq6Fm1L//R39071Z0t7tHhCQzc/cxNvtY1Jrb409lZBb5n//5n/83/+gfZ1YzK6VIykwe - kh+vUkrf98MwdF2XmWbWtu18Pm8apqIDAAAAAAAAAAAAAAAAAAAAAAAAeM2xDbpeAi9u05w51oHZ - ts7tdm/qkAo1pXhqnO5aSvGmLPtVH0PTeLGuZmamvC7rvs2Wl/TUt374wD2PfPGbP/r6nvbK69v9 - nKcNTaikmpT1kZKbqmkVqkUqigzLMJen4mWermoWbrFpqetKD3NJmWZeJA0ZLjXuERqGlXcR44oZ - lipWJtHasumWZ9648/YPvPcjH7r5zrde+CVfpD1XuzLVMMiKLBXhCpfJi9Jlm8bVocGGTafglIz/ - SuGVlJlevO/7UqzWzEx3j4yfvOWxYr40AAAAAAAAAAAAgFPDrvFrhNwlb9T3uv66J598qmnayaTt - h3nTeAw1lLXW66+//tGnnpu1pWmarWe5m7IDU/UY44O3Q4QtlZmq0TWtSxoiM12KGMwke6lPhat5 - TnZ2G5c3q37w1qRQcW31Kj/pT5hxeuTmcvLLlpq0ubyrVIrJm3Xxjfk6sTt9k2i/2eqyEpxxP+vs - 7czDo401Ulvv8/D9kXrDy27mdbL4OAyZfBxeXraub46zjhWX5KKOEQAAAAAAAAAAAK8lEdG27arv - W7WqUZryH3zq3/+H/9V/WbzITdKYvlw3jnu8R4QkxaOPf++Jv/rBDdddP2ubUnwYaikleOx3rPq+ - n0wm45VzsGQMVgcAAAAAAAAAAAAAAAAAAAAAAAAAALiWsJBCdjhR1FP9op+0E2+aYRiiRnHzkpHD - crlqp5O2afvoh6jyqB4r36vd/gPfvutLD336208/tOgu6XwOjfZj4a6Ssty0wj3scOuSlEqLajLJ - TZnbo3gZmEpu+vKmlOHyMcfbivW1T6lpJJUhVjJvZ2UVUTqpmlWfaKp5mQ7TG5s3fvLjf/um19/6 - 1nPv8tUsnstJaYpnv+yLDwf9rj0PmgO7NKaSR9o6njzNLLfWAV5BmZl5muaGE90NAAAAAAAAAAAA - 4HQoko8VEltxviZJIfc+ZLVvd3YenV96240XVL3WmJ6ZLRaLdmcSyj7zkfn8/Dt/6e7Hnlj0dZCq - FFKV6tYhbJMOfFB0YJuCDF8OneTSuW52w4XrzjTerRZNDC9x/GnqI/dmM3np2iY0uBTDyotLPtZc - nKanzTi9xvIiU0iD3Cy8KZsYbldK7uPVON4jvrnXyniBxsE9GKkx3F7rNa50sDAP76k42GKd2D2G - fB8p9CmmcjjYzW5MKpI8t3LufUzxzmsMAAAAAAAAAAAAAHh1iRzcfdJ2fR3arqmZb3z9jX/4d//j - P/1X/1tunqJlZq3V3SPiRXf2ShlbAOTY+MDny/ldd931H/6dP5AyQxFhpfC8/Hi5+3K5jAgza9s2 - Ivq+P3PmzGq1Ou6hAQAAAAAAAAAAAAAAAAAAAAAAAACAEyrscCqrb+aKWvq0a1fLwUyTSVtm1veL - VV1KmW0MXoc++7rS1KJb/tVz3/32Xz/0b+/+P5fd7moyr69LFe9VZZoWlZUsm7QIi8GVh61uw9Kb - VJqnFKYxwLsoXr70bstNe94c/7gkN1WPtCgTmStCq1rdVBRVqq7VXOdKmazOlRcm77zhlt/50O9/ - 4J23+X5zfnJdV5tFv+qjVi9mnTVNaiHJopFKSJKP32r4IEVahklpaZ5qxu/f82TMJsZr1anI8Ca6 - GwAAAAAAAAAAAMApkbI8DPItGiO1Y+zx7a7irQb9L99/TP1KtUitMuWummrKmPv99gsXhk1c97B5 - HasrxnKDMS14OxVYUifrlTPZoDSprOY/+s6zstTQK19qdLeUypAXpc/n/WxWTNWaRort0OI0Aojx - srHDfGvbXOaDXPIiNWOpkx3J7R7fjJH21VRyaydXXqm5Cbq/8nUjpNws2cRtb65/20rq3tzstjXs - g8Tug/3ZGC4uiRsHAAAAAAAAAAAArw1jIHfbtE0WpRTxzDPP/MP/4j//X//3f7WKlNQ0Td/345uT - lrucUppl6l//m3/zD/7o7y/2L63qUE7JlOxXt67rVqtV0zTj34WZmdkwvPSKCAAAAAAAAAAAAAAA - AAAAAAAAAAAA8NoSY8NYk+WY2x22abOcQ51Op2maL/aH5bJpzbta1bdtWfR7NnOf6a+ef/ze++9+ - 4Ltf/tHuE34hlra/Up+qY2PaGKRQGz4eIqU4iO5O2Top3BUeJrOorq2u0i8LT3nKJKVSUnrYGF4e - KopUDnKpSbnUSE20E2uzWrc3e9+b3v87v/X777nxV6aLc93emZ12Z35xf173p7NuNpuuhtqvsjSu - bEzj7M4YO/SGSRZpIWVstd99+RLKgZ8o85TNDie6GwAAAAAAAAAAAMDpEYdhvzqMEM5+6JumXVVN - JE1adaboZBPVUCmSH4T6PiW13i1jdbCzkEvKlGmsSghdUWQxVmXsKV1qVIq5iqvt1E1+ioKMrMql - rKjxWfHVcjmZbBKL82opyMDPwTaB2KatH4f3TkhyKeS2yeeW+bj8QNl6P+4tj4R/uyTbBHGPN9E1 - X8dDbI9kLK7Kdc2TbWqtxhG4yfLI/T5+tB3avTkFhcbTcW4jAAAAAAAAAAAAvOqZWVea+f6lnXNn - 9+fLNJ0/e3bn7Nk777zzc1+8q23bMbe7lHKCcrs3fRYk1aitl3vuueepZ56+cGZHyhyGMY/8GAeI - vb09d2/btta6XC67rptMJsvlsmmYig4AAAAAAAAAAAAAAAAAAAAAAAAAAK7FD/ozr9vhpiT1Gf1q - ruI+s7Y0vc2rFplDL8+d/vvPf/uL937mq4/d/czwlGaKGy1kSndrGrU51FT1El3XxDIlVYsxt3vd - xlZKeWTj6ZKVSJmaiDTFy9qdNtd/Uo3kYeO5hqQY1DRyl1YqoS47rZq679c1b7r5Le//2K//1k1v - vrnsTyar6aw7G30sY+k7TbFJSBGZmUWD9+42lTIsZENaDe/XX3KaVA4685YMaSUdmcMLvDLyaHB3 - ju2nTzbmSwMAAAAAAAAAAAA4PQ7Seg8SiCVJk6YNqStSNPIiedQ21ZTOJNWapVimMrVQM5hXtVu7 - G9+5FKEcA4TzyIdjqrGphqRsm3nfa9ZJkvkm0filcM3n6mb7+7FzxifdpA7z0rgyZLGpMvGQim3W - B34O2xULuSlicoUdZm+rSC7VdQD2uML6Iz+6k4NqiIN++S5JnmaZh4ne13q95uCu9nFur3Z0L4cD - y/XvvjUkAAAAAAAAAAAA4NWvRpVKKX3ft6UZFG0pi+Xyj//4jz/3xbv6vjczSSc5CbtGfX734he+ - 8IW/86m/ban95aJt2+Me1Gvdzs7Ocrnc29vruq5pmmEYJpNJKeW4xwUAAAAAAAAAAAAAAAAAAAAA - AAAAAE4oT4XJUq7x9bCdctd11VRt6K3vtV99qaaPbvXI4w9/7Vv3/uVj9130p+263tu6lGRqvInV - EL25Ne6eaf3Q7/dDW4oUsdnxuiFtriOrw+SZspDCNcRmSC+TMFm6xgTxVJjSYuyauzNtdl8YSuj8 - ZFaWXd2zt974jl95720fuunjbzn3y5PciRc0tZkNNt9flrb0Ub0p8lRVRjRpjcncMsbewZ7rnsGH - X7bkvmnaa9LYkTcFvKLMLNfp3cc9lJ8G0d0AAAAAAAAAAAAATglTFoU0SJKKVEy2SdkuKVUpTd6k - lGUiKTPNqqlmmHljJtMQMWz2eFjMsUkpjrHcIKXIIwHe7kWNRUStfTdpd3cvnjt/XrpWLvHV1Z0z - e/PFuTPnJGUfpWukoR+G1q94dJsuO7mN1HEKbNcumGKd3h0plYNLPV2SSc2VIdt5uO3Rn/Lt8G6L - NJNeSqmEmyR5Zhzu30IHNU8KSWYqmw/TD+7Qdap4GTfMTRS5SS7zy08XAAAAAAAAAAAAeNU5fHw8 - ZnJPJpP5ajnpZjVyf3+/mUw++clPvu997/vmN7/Zdd1yuZTk7nl8857HJ4xXHttkblYz/uzP/uxv - /v7f6LyUUk7Z5OxXo0uXLl247rq9S5dKKW3b7u7u9n1/3IMCAAAAAAAAAAAAAAAAAAAAAAAAAAAn - nys15kxbSqk0LVaLMmlWuZzHpfaMyetffvuBLz74mcf2H94vu3FhUPFe3i9lpqbVsBrMVFpJMYQi - w5u2aZp+mGsza3XTrfmgF23IhtiEZ6fWcdovX5vaNA0l0jTO/A25JFdYavf54cYz59p+1j+fb9h5 - +wc//In3v/v218/efD6uK/vu1mTksq+TZjKdTfu+P9Pt9P0yermreBMRQ6ZbzVJD8hzPsS2xPl9P - yWKrXbVLjRS0sMaxOHVzw4nuBgAAAAAAAAAAAHA6jFm9dVP8MOb2Fq2rIaKmy2SKKpV14m9mNZN7 - yQxlyORSSi6F5IrYiu8eKzB8q+W5SeMj4KYrQ1/HRRG5XC7PXThbh1VpfopHrimtFNPZuaHKQ6Xx - jMHc23aqevmhgZefX77gqgUPeVk+/TUKkK7Vd//g0xzvtjiy4kGA90F6d7osTJ6Ht0P41hHWW10+ - qst+AwAAAAAAAAAAAF69irt73/dt2y5WS3c/c+bMvO87L//Zf/oP/uRP/mS1ye2O9aO143H1A1tE - qm3a2q/u+tKX9vb2cjrdmU5jqK/w8CApt56z/tm//j/+4A/+YDqdLhaLiHD3rutI7wYAAAAAAAAA - AAAAAAAAAAAAAACAF0U3WZwOJqWFpdI0vq6NEdHydTdYhUmeChsDqg+u7zE9+qCZbcTWHlyyHBvd - RkrhmdZbm3t2SdNY6uJ9j9x/19c++1fPfs8v1Gebvx4mKw+PkKu0Taesta9to1pVQ2ZhLjOPiFW/ - sMMDjQNYjyEkWeQYXH0wVNs0rT0yzXV9n/rlCzcns4nifhGekjwUQ1GalDLJMzy8RFOiPe+z+nS5 - 8dwvf+TOT9x+0x03dG+2ZdesuqZvvFrNwb1Mp5PMXPbV5cv/n707j7YtLet7/3ued8651t77nKqi - ihJBbGkEFSRIaITYBEOCDTcN3htjmxEbUIzmYhODN+PGO65xoBcNYiLR2HITkzhGEk00NxGkVVFQ - KVFpqgTRAiJQzTl777XWnO/7PPePufc++9SpgsJwzj778P2MM9ZZ/XzfOeda/+z1e3+bqfNSimWm - RXRdl5lTG+V2ML/s7GCHm8+15Bd94/ixS76FcDLM7LRUeFPdDQAAAAAAAAAAAOB0MMmP/T7g8NcN - B/97Z/NPItx6HTUCe5fZzMzswo8qMg9+PhGHl8cdLQd+/G++dWxmlplKHVyRl274cMe/VC9JRfNw - zA7/YusuqcjL8Wdf2qwM3H92+OMnkw5PpiLXhZZrv9B3faFP+/All3Rj6+hVF7doHzXcfzA5X9zX - 73gOT3W78IMtO/YZv2ggRw/k8ZlcPCoAAAAAAAAAAADg9Eu78Hc4u7CWgVLzugMlQqUUSdNmLCbV - +nVf/hU/8L3fe361XkdEhnVd1nrx3/ji4E3vuejA5XDJn7wPlz/YTGOR3vuB97/qNa/+wmf81Tq1 - oxHm/f6zn52SIPdJyXmP2nweXVgBw8xaRNd1NVoNlVJCetnLXvajP/ovvvTL/vbU2rBcRG2llDpO - dnhE8uDNLlze/yMFAAAAAAAAAAAAAAAAAAAAAAAAAKdNZKrrPNWU6a6IMLtHquqSJXKBq9KcUXXV - ULoiFIfnris9LZRdyOdVml3qWriieTaLZkpTyqWw6ELuabJsysgmT1cpYcWGyCqFl6w2TRprt6nD - dGd7/+/d+tuv+f1XvvOOW+26Fh9X99bnh14lJEVxt2wZksJNETKTHfZWm8LMpTyehw27x9q2cWna - 9Hj+8WDuByndC1lLT1e6pad5WMzby8M3n59W0iJSkvtc0h2eZl0flqtaF0ULc59iyGHRdrTXfeJN - j378Zz71cQ974vXdTbka+s1iyKHVOo+gzCv41klSMZdUiuUc+zWFlK1JcuuUIc0r9MbRXA5HFrqX - fDC93bhyMtPNWkRr7UM/+2pCdTcAAAAAAAAAAACAU+PghwsX3ef3fPieJb5+cdHwvV9eGXa8oljS - xTdZ1xofYXavV2d+Lyec3cf1K+DoE3GstP4+h2D3fJjPDgAAAAAAAAAAAD7a3KM42VKDu6s8/1u+ - 9R9/7/cOfRlry1pViurBX8X9Sobv52rweysIL523KUJauP/cz/3c3/zrf2PcX1lkcf7u9xEWh79R - mPesp8LU9/3+uXPd0Kes67pNnV7z6td++3d+5yd/yieFyVihAQAAAAAAAAAAAAAAAAAAAAAAAADu - ryua2wP+XHxe6DXMpQiTNJdVu9Ils/ScT+WUJEvl3CQtU/rcFT3XY2eEpcyKm7upZsqymBUvq71d - K1kGa76eus3UrXbz7tf89itveccb3vmB2+y6NjzIduOuVcvFWeVGfvC5ufjjcy+LRN/r5+ve7jz2 - 2oOEa154cpg8L5ReH0QpLWS6UI+dc8w1Dp4hydKLMpWZZuHumRlRc8ozXeeTd60spi1f9Q/7mEc/ - 7bOf/qkf95nbdsMwbcc5Uy2LbnCZwg9b0T/YFC6aevqlz8x7yeDy5QN8GKjuBgAAAAAAAAAAAAAA - AAAAAAAAAAAAAE6xbFFbfd7znvfSn/xX73zP/+gX3TRWtaaD+uaTjeCHdLByQKvhrgxNEa989av+ - +I//+OMe9LFuUl5YvuG4e1tNAPeDpV+8P8Mk6e67777hxhvPnd/tF4vW4q1vedvXP+e500kNEgAA - AAAAAAAAAAAAAAAAAAAAAAAAXE7NLOV2UGkdUvhB9tAtJXlRSDFfhmn0LuWSTOFRZFHCJRXz0vWt - TeNmlNtyGFrmerXufTx7w3I/zp+Pu/O6+p7dd73mN3/1DW99fV1sJl/njeumOu1Hbz6Y5X6GMuzy - ZV5d4WbhGXGhAjuaHT6anhZzJbkOh+EhD7foPD0s0mp41FRncleEIjOsZZGlFlXDppT1mTP2wE9/ - 6JOf9plPf9jNj/BVvxj7rGk1zMw6hdY1JZv3PHCNMDs4nzMvyYRf3ajuBgAAAAAAAAAAAAAAAAAA - AAAAAAAAAE4xd+/VjbV+69//lv/9u/7RtKlWPOPeFi+4Ahn/D562dlOmUuvN9DMv+9l/8n/84/3d - PQLPH1mXlqBLSun6G244v3u+GxZjnd77Z+/7yq/+qrvPnUspWfoBAAAAAAAAAAAAAAAAAAAAAAAA - AIBrS5hSmTpKm7rkc221p3KOnKabQoo5mhgWYe7pFi5FibnhO2Ta3z+XmcPWoLTNZlWsO3N2sVfv - en97n7bi9rve8Yr//iu/967f2Qzn8wF1r56zPt3NIm2StVKapdnUtcuaaHRJ6XNDeVy8oZRkClPa - wRWXuiafJ6gIU+rgVe6amixUTC5Xmlo3ZN/v6frygM985FOf9GlPf/DOw4d6vd+1jP2aKqbWFfNe - LSNas1L6vq+1XsbZArh/SLIDAAAAAAAAAAAAAAAAAAAAAAAAAAAAp1hmdl23Xq+f/Tf/1kv/1U+8 - /bZbpxbuHnlhYYEPXqh9GcZ08L8du1U6bzWKmzIz9G9+7t89/x/876qt67p7tE2nSRdXUCft0h+G - eywocbD/V5sx5Mpcbcb/9cv+zjtvf/eVPisAAAAAAAAAAAAAAAAAAAAAAAAAAMAVErKL84bpaS4p - 5J5z+HAu9nbL5qYuI3Jus5alS9FMMo059jsLd7XWMqNbFrU8t/nA+Z33v/Out//66173B+9487jY - j53pXLtzs9Gwo81Gilx2GooyWiitlMs937CQNE/NDyKUfrALTGnHirRTlgfV3c1Vvba5tFuyVHG1 - JhUNXVfaltbDVlx3vT/wcz7r8z7tEx978w0PyU3fbba8llLj7M6WpzJqtpo1zcpQhgyrY5p5Wtxz - mACuLKq7AQAAAAAAAAAAAAAAAAAAAAAAAAAAgFMsIjLjATfe6Ofufu7Xff0/+M7vKFLEJb3dJ9d+ - bVJKrYak1lKSm9717ttf/quv+OK/9szcjCc2smvRvfZ2p2kzTttndjZT/d++7G//4dvfNi/20Bml - 6AAAAAAAAAAAAAAAAAAAAAAAAAAAXGtcocxjWdPDa+lShLkrQumSFFJYqmQp0lyALYs2v43cVEK2 - ntabaa9sqd8ud+3f+a47b/vvr/vF297/tlXbX97Qr3NvVffL0nYWuZ60va0ijWttJvVFVrJFTcvL - OOHD+YXk6ZLPk/O5njwjTGZKSSmTlwxJYQpTmmRKKVJFyvA+VWqxvUWZth5y/Sd/1qM++wkPf+qN - +thF22nnmpr60nelZOZ63Mumzt3MZObuZpYWWav1fhnnC5yEzMzM+cpJZtc/HFR3AwAAAAAAAAAA - AAAAAAAAAAAAAAAAAKeYu7eMu97/geXO9ld9xZf/8x/9kT/+k9s3tc7dzJdzGYP7EjoMW/t849Cw - 6MfNpFQppdX2Ez/505//uS4uDPwAACAASURBVJ+3Vco9Fls4fjNPR2r76uKpeTWNw95uD2lYLqcW - X/P3vvbX3/DGkFLW94s6rU90pAAAAAAAAAAAAAAAAAAAAAAAAAAA4CPPUi4dFVQrPa3Npd2SSy1M - ntEspDSFp3fNJVWP5tk8lG7pJbxTN+5tuu0yPOjsu6d3vP5tr3392177rjvf0YbJr89a61491/f9 - YItax4yyKJp26ySVTmXpNWPKVJHHhxr0/5yjRGqkz+3dlnONd5WpzNHL7OYdE9ambpTkIQ+5PE1p - IblNC9sr1+cDP+2hj33iI5/yiI//tK3heq2Gbv/6WGtnp/et2N27c1JbLJdhUYal1LcmRYx1Mm99 - Z8NQpnrYjQ7g5FDdDQAAAAAAAAAAAAAAAAAAAAAAAAAAAJximWlm21tbSmVr3/OP/88v/7t/t0g6 - Xpt9cgXYR+3dbhrHSZK7mjKkV7zqlbf+0W2PefinnkzD+DXKL96XaZqXzWgR/+T/+p5f/K+/bKZI - pXIzjUPXU44OAAAAAAAAAAAAAAAAAAAAAAAAAMA1xy1CKrKD+uhISelzgbdcUljIUoo5CtpsvlNS - kySLtE11jVHLTXrP7p/+xq+/9vXvfO3tm3euF+ems6M8M1X6Unqb6mjhnfeZ2dZ1GHq515zGGiqS - Sykz2WWLk+bhpUnpESFPyRSKw9yle87Pc6XkqlKaTCoHJeWdRV/aoh+3H//wJz75kU/7xBsfsR3b - vu5s5d6GTn2/tZjGvbbZXw7LZlOdptINWWOMyaz0Q+9mrY2bWFmzTovLNVsA9xvV3QAAAAAAAAAA - AAAAAAAAAAAAAAAAAMAp5l03jqN7iQhL/bVnPONZX/jMX/ilX3ZTpPq+TFOTVLrO3adxPMGRKsOL - RUvLDKllvPAHvv9nf+wnps1mGIaIiNoWi0VrbRzHvu+PXjmvxUDJ9P2UmTIrpXjp77z7rq2zZ1N6 - 6Uv/5Q+/9F+mZN6pVUmllKlOJz3YyyUi5CYpM919viI35cn1xJu5WUbYXGkfYXai4wEAAAAAAAAA - AAAAAAAAAAAAAABwCTOZmZkddgHLzIgB4fRJT/WSlCmFVOespqXMFFHn8J1JEZK7un4jufeamtL7 - LkPjuuzFcvXu/Xf9+u+85o1vf/0H6p+1rWmztWk2leIt00yZGZnmssimJlPpvWWqNZMXV8bRmOK+ - BvuR4JYlNU82skSkJHkqs0xT9H3v7tO0MWv9okTLaG7uzWop3o992e0+dviER37M477gyc860z1w - q5z12mlqJaNXZ2aT70/aL508uwh5Di7XJE8vFs1abVNaNq8qLsmrnK8OXEMys5QyjqOkUkprp+P8 - probAAAAAAAAAAAAAAAAAAAAAAAAAAAAOMVaa2YWEZm5NSzGVr/9+d/2qle96s69/Z3lYm+9cZe8 - ZOY0jsNiMa43JzJOM1MqMyVlprki9IpXvOL3fv/Nj/uMzxjHcXu5lX3u7+71fX/mzJnN5mTGedqF - qXRdZu6vVt0QZ667bsr8mZf97P/9ff80pWGxXI+bOQrfWnNd+3XoZpZX37o4KV2FowIAAAAAAAAA - AAAAAAAAAAAAAAAAXBvS1CTJpTBJ5kfN2a1FV3ozayFlFO9Sbb2ayrDYxGa57Kqm/Xo+tjbvuuvt - v/aGV97yp284pw+s+1Vu1yyqLdRkmTZ3YytkUrrs4P3vUdB9lGO0yxmqs1RmMUk+HuwBl6SWmqJt - nRnW63Fa6+x2J8vVuhbXTjlTR+/Sylhu6m5+8l946mc/4nMeUB4ybHb6cbuURURYpFmW9LCq0uSR - 0VmasvPwgxlZzJtqppQsPeWWrrz2I5z4KHQUFT/pgdxfVHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAA - p1hKXkq05u7TuOmH/omf9YTnfsNzvu9FL1qtN5IipGySivfjerrsAzLp3tLWrTWZ3LuWLSIXi0VO - 4/nV5od/5Ef++YtfvNzaOnf+vJmdPXtmXG9Wq5W763AhBlYnuP/MbG9vb+fMdTtnr7trd3d7sfj5 - f//z//C7XrBfp64f1pt1Su4eSil1eoLxfw5mlnk1VXdnyuzYrbxaBgYAAAAAAAAAAAAAAAAAAAAA - AAAAuLaERbjCmmeYZBl+kNn0RdmaIlpTZqa5u6v0y4VFjjX39ru6Xp7/w//xe69746++/b2/v/Lz - q7LrfaZntsiqzszM1NQdpUlNyrm++tgA5keuZIpujuylyyJtbiyXTN5pP8Z+qbLQ/lizqe+1XZa5 - l8N+9+DrHv7kx3/+4x72lOv9pjKVLS26Prqo1qpaMQ3yZVWpOXquvIWnlG55OF0LKUzNJYVcJaxX - FkmudkmPOYArjepuAAAAAAAAAAAAAAAAAAAAAAAAAAAA4BSzQ+4+TaNX37v73Dc997m/9F9/+U1/ - +IeRMpOVEq1dwTEpU/eo2zazVLbW5iubzWZwM+k//sJ/+ua//7xHfMrDrrv++r29vZZR+q5N9cqN - 9tqS0tnrrttbrb3rFltb/+WXf+m53/SNVUpZay2lrutqq5Jkdg03R9thSbaZtdakq6LD+2gAeew6 - AAAAAAAAAAAAAAAAAAAAAAAAAAAfcWE1PSPlKcs5c+dK3x83Q78cll1T1phqtvRUGW3YX8UHbnnX - 7/76H7761rvfdt7v3HQbDa3r3GRZlZN37l3XTa1Ndeo6HfRXX5yWs1SaXIfl2Ucuc6jOVZWeqbSD - bc0bjNB6rTJou1sUheR9HeJue+RNj/rsp3z+p37c4xdx43bc2LftiGotZTVisghLmSkzZW4qJUzm - HjbPK0xzktalMPOUyyUvUZQuKbyl3ddgAVwhVHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAp1WaajR3 - d7PW2tZiuVqthu3l9VvXffd3f/ez/86X932ZalNkV7pWm8vi5EZr7hmRme4eES3SpDHyn734xT/y - kpfsblbbW1v7u7vbyy0rrqDY+M+j1qgxWulqxG/85m99+Vd/jeSleGu1xmF9e6qUktlMsmt6N2em - mdVadXVUdx+XmVfVeAAAAAAAAAAAAAAAAAAAAAAAAAAA15CQT7KY67plrjSlS77cWqynsY776rP1 - Mea62RRbe7/x+//llnf+1nvvfvfYjXv9+SyxGMp6rNMYxbrOSpEi6maarFO/sMiDXnBdnFX01Bxl - ndu7j+qrTZe1vTvMJGtpLrlSlgfV4UPxnWVp+zlttMjrtsr1n/SQhz/hSU9+4sc/TbtlaMuuG8Zx - ExnLfiuqVEuYyWXFWobl6Nm6g8F7Si41q2Gu9DS3dElpslQJmdKzhUWcZKIXuCxOYzaW6m4AAAAA - AAAAAAAAAAAAAAAAAAAAAADgdHN3tai1Lku3WCxabbXWL3rmF37ps//Gz/38f0gpMyLCVfJyrmog - zQsnSCalUgrJj+7ILF5ahKRSSinFWmS2SP38f/yFv/nsv/X0z//Lm2ksfR/KWmvn5fIO9Vrl5l0X - 0m+9/ree/exnm1nNiBal68xsmqa5x7rrunHTin3ItzvF5vy/SfOUrx42f0BO29oEAAAAAAAAAAAA - AAAAAAAAAAAAAIBTpMTcJ+2WXsIklzxN++Nev9M3r7txvuzERuff+ObffPVv/7e77E/uru9rHi5V - U0olvSs2DFtqyhapzMxQ81QpJWs72lYeiyvGfHOO0B0P0l3uhOucaM2wlEwml1TCc6Wh2/FNP9Sd - R3/C457y2L/0iQ96+LKe2dm9oWt9StnaQi5T20xtbMOwDCvylKUiM6bU5OrysKI7TLKQIn1u75bk - kSoH+yFCSnq7gasD1d0AAAAAAAAAAAAAAAAAAAAAAAAAAADAaeYmt2xRim82m2FruXf+3PZ1Z++4 - 8wP/7EUvetMtt7zl7bcV72qrLoXsCtcFX7S0QKSXEq1N0yRpruY2KaQXvOAFT/vVV5Zh4WZmNgxD - HFuyYXalh34KpclLn6ZXv/I1X/p3/nbKzUpkeCm1Nklm5u6mGDcbl7prt7vbzOZFQHQ1VXfPVeLH - b8qu2UMAAAAAAAAAAAAAAAAAAAAAAAAAADgpnm6tl+TZWbhkktLUrHVL28+7xm73zvHdb/ydX/u1 - W175/t3blw8YVrYerjsz1c00bbqFR0Sdous0jXtzGK4UG0rJLGNr414buk7ytJAiLOb4XNphGtRk - 6UovMVdqK7zeI3X6kRWyzJQpUyaZRRdDn8sutxZ7Zx7/iCd/zmd+wUO2P75fbw27W11243panFmM - m7rem5bLpaTW2taZnWmaPFummZSWYU2mdMs2pJllyqokWTM1HWQEXaaWlulhmlvSPWnvxjUrM6XT - kZCluhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAnw6TjXcyXBtCJpN8vEdmapOVyuVmtV6vVA2688e5z - d5/d3llN40t++If/yl/9wmx10fVTnczcUpexAjsvOa6HSimtNZm8lKjNzGJet8AUodv++E9f+mM/ - 9i3Pe56btdbaVPtCFPrDFlJE+5VXvuprv/ZrI3NSzZTkrbVSSmZG1NbaHIUvXT/VaX7hhRUxLn7D - 43XpeToS9PeUmRFX43fJXCsOAAAAAAAAAAAAAAAAAAAAAAAAAPjoFDZn31ySpx9/RJLseDTOpbjw - jIuefM9gYEph8lSJztJTlqYwNW/h09Rt6rB7+7l3vvGWX/vtt73ufet392dj+bH1/GY/+3J+b933 - 1i3KZtNK0bLXZqNSVIoktZa11eLqzLK3g2EclFVLutDerYvziZYuC0+XIsyPAsT3yC3axfO6KGd8 - 7JnHs3nzVjznZ5tk1sLTuuy7HBZtZ9nO/qXHP/0xn/SEh17/Kb636HcXy9wutcvMneWwv79ffHF2 - +2ytTVLXlc1q7Z1lZrZq7nKZWZpyPgDpsia5KQ62Ox/EVJhkHoo0T4Wlz0fhMrI43Pl+uKGQxbyH - LMt8gNKieZNUohx/ddhBz3qJTpKspoUOdrof7VVgNtfW654f0lOAvDoAAAAAAAAAAAAAAAAAAAAA - AAAAAACunDSlWUqecilkmSnThRLb41XBJzHCIyF1Q1+jDV0fdXL3VqOUcsLDupilOpeymTSOaysq - xder1TAM2cLNHvvoT3/Bd3zb977wB2qd+q5sapNk8qEfpmlKNUnLxXK9WV80reOLHXy4872PI9ha - m++K2nTYW3xw3N3S9P0/+EP/y5c865M//hOUuRwW2aa57btmDMOi1rrarLe2trJ9mOO5ylxagG2X - 7OGje9IOb124OLw0a615V9br9dbOtrvv7u4udnZ+4b/80td94zeuN+viJaOFNPTdOI3RQocHdn77 - sbZy34XcF4/hanfpPmytlb4rstpazN3d91Eqf+XYwTedu2eL1Wrl7qLAGwAAAAAAAAAAAAAAAAAA - AAAAALjKuLu711rdVdwjwlQ+9MuAD0dYpGWaLEPZhY4V4pqkKjsWvbWwTGWYlHJZHD7fPaUWfekk - 1VrT5L2nR51qF71Lk+WoaMtow3Rn/bP3bd71itf95z+54y3v37tdy6m7KVdR60bWKbItekkZtXVF - Mk0h75XSQbKzyFIHVdWWrirpqLf7HkE/S81t2mHhB+lIl9x1UPU992FfzC0v7IhJUYpZKjJNKkUh - 1arSq4aKzZFVWZMVKT1buJeFdnxa9Outh5z9+Cc95qmf9ainbOX1fd3q7l6U6F1dyLKElLWFl5KK - sY1z/DJTVpSZZmbqlLIIU4m5IFxx2CYeF6rW8+DL4aDoOj0Ospxx2Xu7lWGR6iRXFlOkhdSyNLXo - bbuo34yTBuVi3NRpK8902beYvJTWsiy7Vdt06oe2MEWU2qwdvuHcyH6Zp4BTJcMkmVmtYWb5weLH - ccVGdX9Q3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAIAras7SH3TpplKSXWjVPV4tfPGNEzAPMq/qbt2w - nAP2fvxeS9U6LYYhOv+Ob/v2l7/85a9/4+/M5dkmS2mcRkl91091Wm/Wbt7yJILQJlmRe2vTajM9 - 57nf9P/9518chmGzv+6LzXu+tTaNY+m65bDIzIt7xa9xlvfZnN1a2zp75s4PfOABNz/w/N13t8zl - 9vbP/4f/8M3Pf/7+Zj0f2b7ro07jNBYvLY53nh+cLccPeR4udXHp6hhHD+neSrKvTmaWmZmp4vOZ - f/If5MMBRIRdDeMBAAAAAAAAAAAAAAAAAAAAAAAAAJwoO4jWhkzH2qAV1ilDdjwFaJY+3xOWygvB - 0jSbWjWzbugj6lRreA7DEDWbTdVb2xrP5523vu+tr/+DV//ubb/Z31D38q5pe52d3BQmdXJ33SNn - +qEycGH3zKXeWwJxHrB0IQcbR5d2UarRZaG8kH80s6NgY2uqVe4qnca1ukF1VDbtLL0bupjCctjx - 5bgXQ2x9ysc+6omf+pRHP/QxD+g+xlaLRWx59J6dpaWlpObtWLV2fLAO4vRLRn7RQ5e6onXXF3La - rpTMw2Su1qLWKuu987Wt9v1uO2OrzdRb11pz99rSiu/m5vrFA3LqLT0s5gNqUqZbuktXWwczTprP - 2djTFfemuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAnYC7TPWCmq7LFtrVmZpLmy6vf8a7zruumaeoX - i93dvR//8R//3L/8+Xfcea53k3e1VjOLjKnGQWm3m9oHe+fLqzUvJWp745t+94de/OJv+9ZvzWL9 - crG7u7tYLM6cObO/v9/GcbFYjOPofu1HpC8uyU7TYbF9Xni0Xwzn7rpr5+yZ8+fPWymLvnvZ//uv - v/n5z5+k4mWqkw675+crF3/Arv21EswsIiRzP6juPuEvGTNluntGRKrMB+Wq/N4DAAAAAAAAAAAA - AAAAAAAAAAAAAFxunq48Kr+Nw9yfK13ykqbs87Co2yw856Th3OedsvQMSyk93aoiLSdtzGSKbrKp - jvt905nYbe99yzt+8/Vveflt733ztFwvH7L1vrvP+1Cs24mMGmHezFtEXO4kbfODbOO8oTIH7FKW - c3BynmyEXHOU0rI1KdWXYeg6RVqktTizU9Z7q9KpW5T1qo0auzJo3ZW96572sL/4WY950kNv/sSt - PDvU5WK17TF47TzLvLFQNK9zgXfI/D7qt08FU3gqdNR37pIrS2Sa91EsSmza+u3v/oN37P3BtNwf - Y933FrW6da4+o6vNPuXmRz/2hsf1dSt9koVHpyyWRenp134WFR+WzNRVuiTAB3Pt59IBAAAAAAAA - AAAAAAAAAAAAAAAAAABwVZlzuXOZbuqqjudO0yQpc+5KlpllpnRV1Xj7fdUw96WbpqmO087W1rBc - vPCfft83feM3b6JlTCllZt/1U53MrCtdrfUKj/tASpnbOzv7e+eXw6KOm+9/0Q8+4xnP+AuPecyd - 587feMP14zieP39+uVyaWZ2mkxnkiTpccuKeplb7xdAy+8WwGjff80++58X/4l+ktBgW63GUtLXc - Wq1XkuYD/UHe/8KGLscETojZQfo/Ik7s9D4uU/N3iCTJDr9eAAAAAAAAAAAAAAAAAAAAAAAAAAAf - lbyES5JV6TDslyFpbmIOydLT5BmSW0oypUvhqnM+UwqZJC+ll2eopqbsWiqmbtwd9t5462/89i2v - uv2ut9TF3bphvQndcdf5fkdyb1Ktma5SuswWoc4vRA4v++TzwqQ9D4KyaXIp7CA2WyQzKUprGbVJ - iqiWbb3WcvCYMsayk2emVZxd3viIh376Mz7jSx64ePDZ5XU2dt3Ud9oqUWxyVycpLdJDFrKU1bnl - +grN9vIKSXZwkpjMM0p6mrrW5SZXb7n9915z639bL+/Y9/0yZJuqpS1s27P32N57+N6n/sVP9egk - Kd3SPV3hkuI+8q34aJaZVHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAH9qpqK2ttc513VdztDxNkh8f - 4Vz2vNlstra2amRk9ubP+sIvvvVb3/7CF/2glW5qLZURIbMarfOTDB17Kft7e5I242Yovm71K77q - K1/x8l950ANvPr9at2naWmxFy1qnxWIREXFNFUx/MGkHq05cutRFmtJ8atXMNqvVd37XP/w3/+bf - NmkY+s24mdvlN5tNSn3fj5d+1o5Ol8NVLU5dTv7+MDPJNptNRBzddbJTPVqQwKT1em0nPR4AAAAA - AAAAAAAAAAAAAAAAAAAAwEmbi7pDSllKTQfd1a50U5GkuFAyXdJkCqtp2Tw9I6s669rUxrZp2+tp - uX/7+dvefvvvv/wNv7in8y1rnonooqrEkNtnyljbFFPLSSZ3RcqkUnR545ume2Z1U3kw97m8+yAJ - 6CmZlMqqoXSl2GaaWso6laWle3Gvo/dt2/b6M/kxT37U0578GU990PbHDeN2n1uldtZc1RSy7OaO - 8LA4aDpXeEZYKOU65dXd6WZxWHZ+OJfsTNayRYYs6nI6b3fdbe/ZDLt1oRwyptFqTDbZ1PfZ7KxN - pS1MJrd0ySxlGVd1shon5/QVd1PdDQAAAAAAAAAAAAAAAAAAAAAAAAAAgCssM829tXaU2p7rsU9y - TPfhoLo74pL1AK52liqlRG3jZlxsb9VaFfGd3/4df/RHf/Rv/+N/ci+Z2aKZe2a21tz9Qr3xlRWt - SVoul+v1emwxFP+T9773G57z3J/5qZ/eWgy9L/rFMG3GWuuyH+Ya9Y+ewH+aSkhS2IV70hSSuXVl - 2F+vvvrvfs1//9VXpVTcVuPUlU5SbTUyJHVdN03T/f+IXVoTfnqZWaZ2d3fz6qt7T2l3d5fqbgAA - AAAAAAAAAAAAAAAAAAAAAAD4aBVxIWc7Byft4vbs+fFQultTelyoZ3aZS01SWJal9jZ3qU+7ob53 - 9x2/8Tuv/e3bfuM9++/Ind3JZJIXC3U1rI5h02SdUioud2UqJslUiueVzJmmS5pry9PmK4c7xCTJ - U2ZS1CaVTp2Z3KaqNqn37Vx1NwwPecpnPu1zPv3pN+pm7frO6vqc1KpFRCnmcktPZbpntrSjqaUk - P9j6aWdKl8kzZOGhMLnU5JKlZUTNoeVizO3Rz+SqjhnyEm7yHC0yp7HalKYwL2HKwz1zLWVN8REy - h3Yvvnk6zhOquwEAAAAAAAAAAAAAAAAAAAAAAAAAAHAC2tVXpnupWuvxm3OK2K7m3uhjiwVEbVs7 - 213X7a32h35RhkWr7Qde+P1vufW2N735zXP7dd/5OEZmZuZF9eRX8OAsFv04juv1upTSWhtbuPQr - r37N977w+777H73gphsecPu7/uTBN9+8uH7YO3fe+879dC+I8GGvV2AhydOP1uAIWZpa6LZ33PYV - X/PVb7311q4farSxNUm1VUkm6/t+M42r1UrzqTsf1Hnrh2NwyVJ2FVZb/0+LCO+KMs+dO3dhdie9 - CsC8DEFxy8i9vb3TsioBAAAAAAAAAAAAAAAAAAAAAAAAAOAjrnnVQXH1kaJ0O6hPDrdqarIqNamU - tqMszTNtbrkuHgqfNraXN67ffscfvvpVv3LLu960tj0brG21sjS3jKapeYaZlUXXl85a3ZfJTSZF - KFMKuazJpcvW3p1K86MYbMwTzNBBRXnoWLY1pXD1g41jZqo3RUutcyeuO2M3Xa8HP/nxn/ukT/uc - 6/2mzblx4Ttby8V6f7NcLidvEdEVM/ds0VqLiFLKwTZNkg52b3pezXnhD+lCoHg+ZE2WrmiSQuYy - 66o2aTFp2mi92myKL9tkXVctm6UX64oVz96yeBSleyosXCFFmnSq9w8uj9MYjKW6GwAAAAAAAAAA - AAAAAAAAAAAAAAAAALgXdjrzw0dKKeN6U6Mth4Vk01QXwxBbWz/7Uz/9zGd98e3vfo9M01QluXtm - nlR982azmUu7W2ulWES2VFfsJT/6Lx/1qEd96d/4Wzc+8Kb1ZoxWl9tbmdnysq37cFUKkx8embSD - fyG95nWv/bqv//o/u+vO0pfNNMokU9f3dZz60tVWx2mUZGZmFhGXLpFwjztcirx2VlKICFfJzPV6 - fbV8jM3mD5m7R7T1en3SAwIAAAAAAAAAAAAAAAAAAAAAAAAAnIywkGVKaSbNTcwHpd1pMoUUc4f1 - 4StSqumRHs2yeaTX5lPtVm979y2vf8trbvnTN6z6XTurVVtFZL8cVlPKZXKzYu5ultnappZOEYoq - ScXlxTNKbc19btG+TFzpaW6HKdHD7GR4XthumsKklEyrKV1aejfkUvu2Vc8++qGPe9wnP/Gxn/yE - Zb2uW2157Ra2ky3r1LquW48bKzLTmJuYNmbmnbt7a3Xelh1eeJQwWfrVEj/888kuLeYS9CJJMdeg - Z8hU5POudCte+s7Kpi+LOrY+W0RkDVfnmYrq6XZUGJ/zmTk3qfsH2zo++mSeyhwy1d0AAAAAAAAA - AAAAAAAAAAAAAAAAAAC4ojJzs9kcBuctle7e4mrsY95sNpqbraNFRLkq08R2sDDAvQfgOy8RIam4 - tVqL+UMe/OCf/smfeuYznzlFFLcpMyLclaFSSot24Z3NrkB5uUnRDjba4mDxg9rSpOd/+3ee2T77 - 15/1JS2jeDHzaRpLX1prZjYXfkdEKUWnvGddUmttGIbWmrvXWruum6ap73uFtYzWqhV370I51voz - L/vZ73jBd89LbrSpHebcvU5NUm31aF8clLKblLJjJ/Bi0U+byaQnPuEJiuhL2Ww2Z7Z3pmnajJvt - 7e02VUl57CV2qnawu7fWSunuuOOO+bNh7nnS3zOZadJUW5FWq9XJDgYAAAAAAAAAAAAAAAAAAAAA - AADAPcypuq7rIsLdpchMM9OpSlfhtHD32lqGuQ2ldErPrJGTWXM1WbjMrLPmmUWKdewvtgZlTnUV - y9zrdm+5/Q2vf8urb33Pm2u333bGtNYiskT2sc5w21G4qUppqpbVTOZSyHXY7JweTVLOJ/zlm2xa - pFSzFllXiqWypiLdPSL6oYRprC1NZfCU1lO4q8+F7e2cqTc/5kFPeOqjP++RNz9ymdvaPwg8plVl - mIqZZ8g9m4VL4VJRPnoLAAAAIABJREFUSpnZsplZM1m6p+wgjetKzQXVp5anTFnSJIs8LHp3eV+8 - pWXkou9XYyvqW7WyME2rPtNi6kzWSdNoNprq8eN+UJ1+uAHgSGa6+3o9minzINZtdgrCx1R3AwAA - AAAAAAAAAAAAAAAAAAAAAAAA4Ipy9/XeOg+vR7Q46T7d+7LZbCLCZW5mp3Z1DcsLBcyeGvr+MY/+ - tJ/9qZ/+sq/6yohcdEXF15tJUmtNbsMwjOuNpMyc67FPYtAahn69mb7hOc/Z2dn5gs/73OJltdls - LZfjuImIaZp2dnaGYViv1xFRa+37/gTG+ZGztbU1TdNms1kul+5+1EQeyn4x5Dh2Q78ep/1x8w// - 0Xf963/371NqUko6atc2fZAz1F0RMmlnuVitN3UzLbrur3/xF73w+75vuVyeP39+sVjs7e0Nw3Dm - zJnVatV5udxTvqzMLJWZOY7j1fn9sr+/f9r75gEAAAAAAAAAAAAAAAAAAAAAAAAAfz4utRpd6aQu - J7Wpmpm7imW2ZiVMJimaRbMMrxZly87rDl/anu3d8s7fedWbXvH2O94SO+u2vQkfPUOS7Kh9uUq9 - 5qZqi6N65rSD3uuQ60L+NCTF5ayytpQsFsUzY5qqUqWoc4uIkNbr1nW21Q8R2uyObuXGxQ0+DVtx - w6Me+pi/9OlPf/TNj13sb/leN/hg0cJrWkuLMCnTU/P+KuGS/OIO8rS0NElh8nTLubs8Upe3rfzy - 8zBJByngsPAMKSx7z8i0TLmKZec5eG6kCK/l8BxIb5mZJilloXTpoLd7PiuC9m5cE6juBgAAAAAA - AAAAAAAAAAAAAAAAAAAAwBVlZnfddZckk8xMUl6V1d0p7e7uRoSZX2hHvhr50bU5DG+XJOEP70mZ - YhpLxjOf8Vd+5sd/7O997dfX2tSaSaVzSbXG3Nu9tbW1Wq1OsFV9s5k6L2H6iq/8yp/8iZ/4K0// - gsVyOL/aX5TSdd3O2bPn7767tba9vd1a29nZGcfxpIb6EbG7u9v3/bBcpKlFDP1QTFOrSlvt7/d9 - 7+5v+N03fcs/+Na33nar3DIuOcx5uHDGPe+XpEz1nVvkar0xqTc96wuf+aIf+H+uO3Nm7/zuclgU - L1FKZm42G3fPq/qc/9DMLCNM2t3dPemxHMq5ad3mT+qdd95pZqK9GwAAAAAAAAAAAAAAAAAAAAAA - AAA+CqV7RG9uKi1qptzMZZmmrpNUI2qE3Mpi0flgvo6t/Tv2/uyNb339r7/1NX96/o+03fxmG8eV - yTw6WYTVNMlU5lyhrySlZKmUIn2+92AAJlnksbruS9OpH0Ge8qbMSFffKU0hjZbu6syzmTZq+7mM - xVk/W1rX724/6WFf8FmPetqnfMLD6n5s7hyHYdmf6TersStm6Uq3VNFR+7j61mvu4rbIgxbqo9nN - s/aQ+ymPTx4TmqdvilSRJJmkbCYpS0n1Kt68qBvDwjJM4ZJUJA8zszgoepcslJpL0IH7khenYjPz - 6s7gS1R3AwAAAAAAAAAAAAAAAAAAAAAAAAAA4Mq78847JaUUrZ30WD6Y8+fPm5mZZYakyJi7xk+1 - zjy9tGn6ki/64h95yUue87xvUmooPtaY09HuHhHTNOmSBPUV5u5jnUz6huc856U/+qPP/KvP6BdD - 1FrHsda6vb0dEbVWd1+v1+7+od/xKrZYLMysZUjqum6apojoF4txnLZ2tmvEi37oxS9+yQ/fce5u - 78qmNs2V3B/O+Rg1pIPFF77omc/8oRf94ANveMD5u+9a9EMpZXd39+zZs5L29/eHYTjZQ/8/7+ij - +oEPfOBkR3KvTHrf+97n7rq6vwMBAAAAAAAAAAAAAAAAAAAAAAAAAJeDScW6bFJu3OTFpdZSEZlh - 1nfZZ2iqNjbbX0/7u3HXK1//y2//s9//07tvm5b7cd16HTVGDZ0sOqWHJPlBdfXc2C2lyQ6uKS2O - GqyPhnFRdbfm4OJlm2+6pJbRmsLVXJHKSeaRKy3aclvXDePypsVNf/ExT3rqZ3z+Tfqkdr7U/zEt - u8V273WKVewXK03F5JL7wWhDCk+3cMs5XOhpMgvJ5wmGHc7aIiQ/6C+Pex3qqXHUtZ4uRcolpUKS - KyNbZMyRYZfN+6SZhaVLMqUGV5fy+ch7HnR2u4LcI+7VHD2e88dmdlqSyFR3AwAAAAAAAAAAAAAA - 4P9n787jLbvKOuH/nmetvfc599atqiSEGAgJYTAESKSBEJBB7RgUwVZ5sUFRUQZF6RcBtZt+kQYl - akTEVwQcoIHuVhxabaZoSFBR5kAIgwqpEGKqSMhcdesO5+y91nqe/mOfe+rcmpIKqbq3yO/7x/6c - YZ89rL3P/u+3fkRERERERERERERERMeUqi4tLSlQAMcknotNmc5dWloKIYhDIKWUIH2QeKMPa70+ - We8zFc5++Dpn90GsupQsl//wjKeb5Z976c/nYk1TdV1yoK7r8Xicc66qJqV0tKceWDecvq5+u8tp - bjBsx6O949FPPP+nXvXK//rS//cldQhRg4isrKzU9aRzesuWLWbHxxQJB16vqa7rqqYGkHOOMdZ1 - vby6qnX9tZtu/rmXvOQjH/1YhjngLugD7QduxLE2ZYYBa8XeDgCqqDTkXAA8+4d+6I1veMPC/Jbl - xb3bt5/Qrqx6sTpWpZSU0nA4zDkfhVM/ptwnE2zceOONG30sAPY95Xzt4t98882q6qzuJiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiK6VwohlNS5dyFKQSnF3SsJQwvD1TzuSqdbss8t3bh7x2f/9cNX - fuVTt4e9bejCNhe1YDoQ8egImpEBFVO4CgA3yCRgKA7pU20C9EXdbnAFdJJGdMW0vfuo5mc9usUm - NgkppVVUGA4gQBqjzhJ9sMVOOG3bQ857yLc/6sHnba9OTouWDXWs5uLAi1ku0cVDEI2dl+mRqkGh - wVQc4tKfsouIQ6FYi8iuC6/CTACYbrK88BEycajAYAAcEW4GARRicAAGyZCSSguFCRzqiEAxN/Ha - UblX8EpdxftybwNgk9J3vZP9073S8VLXPYvV3URERERERERERERERERERERERERERERERERERER0 - TKnq4uJi0JCsABBZ6yHeZBRYWloCICICUVW4bcLq7iPVjdvBYCBAEyuoPOtZz1paXn3Vf3t12yYN - YsXH43EIoW9x3sDjrOu667rReNS/TWYX/eZvfP2mGy5+7euCu6oOBgMzM7OFhYWcc9/TfPxy96Zp - DN62bYwx52xmTTN4xx//8X999S+bQaroqYtV3aYOwCF6uw/NkK3Uiu996ve8+U1vqmM1XlndurCw - vGcRQF3XTdMUt5zzcDgspYQQjsp5HivT6u6dO3dunjtD1gq8Adx0003Wz+9BRERERERERERERERE - RERERERERERERERERET3Mg6kUkQgIZoUMyniUgetsbhyS7NtqM34mq9/8RMfu/zLO69YLjf5fFmt - c4ariRZXiKibIRfTAMAgUFe4msBhcASbRBH7+moXyCTfZoDt67N2BSBuBx7kPXm+Yl7pUhqJoBnM - ueW0u1MLC2Fe23jW/R553sOeePZpj9qup+hqHdrhfD0wM5ScuwJAXCEQCwYAamut3CJqDhEo4LIu - Ztn3c/evBebQ6Vs9yid7DAigyAYI1KF9ibnCBBmuImLq7sUlpzwyzUCGqMDEAShcxYP0pd1uwS3s - i08LoOI4zqvNiSZY3U1EREREREREREREREREREREREREREREREREREREx1TO+fbbb89Wpp21bpsx - 4u7A3r17U0pRQxVUVWHu7gfrTN54/XwCPnNos6+nsw2IY2F+fnVlZW7btsXFPYMt81byC1/wgq7k - X/uNX19aHfWF2RIUVuBQVdugq9N1HQBRdfcYteTSFXvbO951/dVfeeub3nTyySe3bTscDpeWlk44 - 8cSc84Yc5N124PWqqmpxcXHbCdsBlFLmFxY++5nPvPpXfuXDH/t45+6YzAPR5QQAutYAPTPxgQAC - OfzV+qnn/eRFv/o6hYxXVusq5q6rqqqqqq7r2rYdzA3n5uZKKX6wgvoDj3kzc3dRLWa7du3aFLND - rB9SA2677bacc3WcV84TEREREREREREREREREREREREREREREREREdHdk5A1REFtZiIitXdYXe1u - iSfbZ6699JP/8o/X3vblZVlMTSrRXDM6NDG4eoZneIwiIppNAHWsxRAVrkDsK5nVzYAAAHAxSF9o - 3TN1wCEe+xpvl2x3ElK8+3KwPFhdHSEWKKqqm2+6E04ennratgde8NjvPmXhAdvqk3wUvZUQhoKw - 0nYiRYMEiaW4StAQIZ5LEbXg++rIIerQIiiaZ+rJ972YVJQ7MJMQ3hTBw2+ICcpa+7rCAwC4uMCR - VODirqVIbvPYkF2zAuKTEQie1WPf2B3cFUUm3eaqgENni8+Jeu4+DSCLyEHDyJsQq7uJiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiI6E6Iow+rfyOtvf0WDGhT2rO4VPotQ8wdgAT1AmxQ1l0Otl8H - Vtpx13WIVdRKIQaUUlSP74hu6jpVTW3bNI2lHIKMR+OX/MyL73e/+/3sS35uNG6DaO4SgKZp2rbt - f9UP0exyf0dhuoKqqlJqAaRUAIQgVvzvPvbRp/z77/rt337j05/+fatdt2X7tqXlpRhjv1s5PlLe - +3PBuGu3bt+2MhoB0rbt637jN97+9rcvd10CDKhipe6pZLjv6+1eTwQOX/fV2kQSCkSRl/7cz776 - Vb8sxdQxPzc0s1KKiHRdF2MMIaSU+t81TVNKORZnftS4QFRyKXfcccfmmRxCRODoZ0DZu7La5VzF - evY/MzstyLofHle96UREREREREREREREREREREREREREREREREREdKdUVUOwjGSmA9iwu3V15649 - V3/o0vfdMf7aot2R69aGyFKSQ4G5pk5tlwVaQwRdccArnW1YNhPAdd8+XPfFP6Fw036dvu17kg01 - dTWBifUptv4XfbF3/3L/wu/+1fRDgfrk7UxCToHZIm0bLWHrMAafsz26rTr1MQ973Hnf+sQzTnhQ - bJsmD7St1KOLmAEiVVWZuZsXcY01IKkUdWhQ8WL9yUhfy239wbgA8MkpuBwqr7d2yJuxvdvWRvKw - S1WHA0WLA4CKA2KYXAJ1qCgcXjQbUkEnyMEhgPn0djBBDiiKPB0l2z/GqGzvpm8Cx/e8AERERERE - RERERERERERERERERERERERERERERER0j5MD6mHVYWvLns/k52UmnD4bYi9uVVUZPOc8GAxWx+Mg - 4qIIccd114lodgNcVc3My0x4e3PU0/ZTClx73XXfds45CnTjdm7YpJTgOMwhHtitKwdrWT7cfo/w - 9A+1/kEnFHCBiYlK8YK+1Nl8LgTruu+/8MLT/+qvn/PcH7t1z+4AxKBdO+qnX1BReDYgQApcAQ0x - l4zpxASzx/ANTVewLsPf93ZPleICJOCGxcXnvPAFP/Xjz/2vr3zVfZrKq6orRQQKaaoqtZ2KhxDa - vp7czPt6eBFzNzNVjTEeqpraRQ/6+V055v3GvN9Xf4dPNm5Whdh13aCZS1bMLYTQpRzqKpWi7sn9 - L/7yL1//+tffeMvNTaxyP9MB0OU0s93+dNaVdIv0H6Mv9haIuwdAgFpUYBdfdNFz/uOzBxI6z1Ws - UkpN07RlpBIhkq0AUNW1oT7I4Ny93ugjLVN3OaLduMaQUiuOEAL6bmwg5wwNqRSD/9vO60UAhxcc - i3ki9m9OR7/H/or0V9xKAWCQL/7rl85/1KMCtJiZWQjB4F5KVVXW/0P7qU98MgeKATYzPv2rQ43w - 2vXahJOHEBEREREREREREREREREREREREREREREREW1SfRhtmrRCH0wz2xypR/rmY1ZaLxJrWxks - fuLLH/r7f37/7fmGJKPSJAeKSrEMQQUAyKWTgCBwg/bN2JikEPsY2+S9QGB9+rfM3LsmWNfyPS3w - FiuSTSwHoN+sI9haeM0V0NngpaxtpNKQrCigfQG4wRQakQrc0MTGTVLXiXpU0VZPsXnsjifN3+/R - 5377eQ970n3n7x/a4aAdSodg/W4LoJMdGELfQe4Kz4AGgQDuRVy1T8hOi6hdFdCDx0b3rXPwzzcH - F5jAMSlQ71u0Df1rnSz7hnJo/23RAKhaJYAgQaxPyAat27waYjYpEvyO228ZNqFN0ACTyS0RxFwK - pBOYixgqU7jYJF29lmokmlVVVbtnryqKoY9OixxpjHgDsLqbiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiNZxWdcF24erZyPWs73d07f9T2Z/q6ruLoC7j8fjIBJCgEgI4eprrjFARc3NzWa7jTcy - 6e779u5ADFqK7brhxm99yEPm6rqu65WVlboaHN1DOPqnv18Eur9ewVHF+tse8ci//cAlz/6R51y3 - ayfMo6gB4lLc6hANsJL7X+W1F8eYAwVQhYq8/X/9yd//00d+6Rd+8Uef8x8lqDjELefs7lCZrc2u - qsrdc86q2jRN13VLS0tzc3MH3YX45DY+0uV+pk3SZlZKUdUQAlRzyk3TrLZjd6+auri5SnGrB4O/ - fu97fu/Nb73yC58PEjRWqzmJBrdDVIzv+6Pte6sKc4eImwtQhyDm7vamN77xh37gB0/cfsLK0t4Q - gojknEOQqqpKObqh+IMOzmFWPlKlJFUNombWX+IQAlQM0Bg+f+Xni8OAqq5TKu4bNgPAdNfu7mtF - 8l/acfVjHnmuCMzMgRBC17V1jDlnDYccC5M7n/PiGDxJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIjo7hMDYGbDZtBWo3FZ/MJ1n75h9au+bZw9mQCuLgC0b9lWx7RD/s5Se5PE7iE752d+btPCbyAY - XCZBW5NJh7eIwWdCxDAHIIBjnIoIDBCgDqhryeajFlWFehDGq6PSydbh1lAqG/uCzJ9SnfqEJz/x - 3IedtyWc5KNG9w6r3MBjFFV3SDaZtJCv9ZLrzJna7LmLK9YnksWnvzqO9We0do629tomnwCACmw6 - PoCqQ+GQApg5XLQkq8LAsBqquJpGXcldTtrXv7t4v0Xtg5gOGFwdETADXAqAsPnbmInuMlZ3ExER - EREREREREREREREREREREREREREREREREdF+fLb21Q61lqz7VtcKvKdNveIoKYcQooZSSlVX7m5u - JrJjxw4Aqmrm7h5mq7t938Y3Vl9pfP3OnVu2bl3avXvb/JYqNn0VtN/1LuLN3aE7nSsBQN91febp - Z7z3/7znOc/90S/tuNrdABTAga6YA0HU3QwYzs2trq7u29BM6/n+3eD3+DGLmLnDAezcufOlL33p - f/+jt73uV1/7uMeeJ+5RtaqrUopCmkEzXh2JSE6dqoYQ3bwddaq6bWF7zodrH5/ew3dhqTjYPBdd - lwFUVQxBRYq7u0spJVb1Sts2w0GM8Y49iwvbtlrXfeCSD/zGxa+/+qvXAggh5pItlxhiOnRFuois - tUGre98HDXepq9B1WYAAmNkJW7f94Vvf8j0XXmi5rCwvu3sIoa8zV9W2bWOsj/AKHLEj/Av4Ea3v - xVRVVACIiKpKUCleV/VyO/rUp69wEbhPh2jDmZnI5Aw/+tGPPv85z0XXNVWdreSUAiQGLTmJh+lP - XCZPWpfpbde/X/vwAH5XZ2AhIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqKNoaopJQtWSqmG1Z49 - ewBsVBROHbEooEVQBKYwAcQEFtzEAekLu2GCvlm8ipW7Gzy7pc69NQ1oKsBR2lIVbK20bqMvDR5w - 4llPPPcpDz/jYduqE1BqW9HGtwzDvEK9M/ECMbiFPqQM9bVOcZdDppy/+QRTYBrXnZ745H5QFADw - aRxbYQBM3FzMxOAaoLAoRSFIVltJe1b2LudRbmIW0ckFJLr7Nk1a9wiwupuIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiI1vG1Eu7p29nyV5d9y9m8e/9aZf+mWDOLMQZRL5ZSkrrasWPH0tISgFwy - ZPP2Whd4gHz2s59dXR1v336C5WRwuN3jteL9CG941W5QBVAgZ5z2gMs++MGfefGLL7vsss6KASGE - qqrati1uqhpjXNfb3fPZNuGjSFVLKXVVd6kzRxXDVf/8xWf98LOf8fSnvegFLzz/vPM8qABdSpY8 - NFUVoruXlHPOqlpVlbuPx+MYDxm1PpLe7n3L/TRNU0oxs5xzzjmEEGOUoMmt3jK3tLxcDwdtye94 - y5vf8a53Xnf9LgMcqGJV+sp0keJ3aUaJfbX3AIDUZQHmmjq13RmnPeDdf/InD//Wh5aUPRcRGQyH - Xdd1XTccDlVFjv4fcLZY+q7c20dadR9CKKUAEJEQQt9onqykrlUJH/zg5eYeNHQpAXpkm75H9Qc2 - LVzvl1dccUXXddGsvzkLvIox5xxjhK2rMJ998B7ekQ4gERERERERERERERERERERERERERERERER - ERFtCHePMeack6e4EJumma/nd+cl2ZimWQUUrn11tjtcDGJwmAAKdfSvHYArgC63AFxDlBiaSiFW - WnRJzYdVXUkdRs2D7vOI7/iup519/0f7ONY2xKqgxEGYE6/ava2Yz88NrBiQgT5VN8nIqSuQN2Qg - NpD6fkHIfaHmtYSmA4CLwEKpIVY0uRhcAVGHGIKGlLJHT6X72q27WozRaOm3cLyVLtOmcmBrt7vf - 47n7exyru4mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhofwboXQjKat/YLeaA7J8GB4AYo6Xs - uahq23ZVjBqr//1nfy4iBneHirh737y7Th/d3eiwbozx4x//+LhrxUsdq+Km31j770FbdfvRnnSf - fyNbv6vHoFhfotwXh7dtm0sJdeXFhrH+H+9455/86btf9guvUMBKGffXSMXcutRBBX2+uu+unjl4 - OybJ/TZ1qiqQlAuAtuS/fN/73/O+9z/20f/u5178s99z4YWDwUBE4Bi1YzOrQmyGAzNruw5APWgs - 73/X6d06bjvgmvZXues6ABpDjFWsKxExs65LUlVdTv/6lWve9ra3/c0lly6urqiKxpByaQaD8XgM - IMY65+yOWFc5pYPu1+GTf6k7AA0BgOVSCeBo2+7C7/yuP3zrW7YtLATR0Xi0sLCQui7n7O5N07j7 - eJyqqtmv+ftomO7gUE+Vu11XL44YKsvuBSGG7KUUg4pKLO5Xf2XHRz/5CQPcDICo+tE/2UPp5yPo - i+enr6/ftevyD33oGd/z1K7rAsKgrkspuUuDwcC99MfqAsBmHh06fUr0H4rvez11zJ4nRERERERE - RERERERERERERERERERERERERER09+Sc67pG9hDCKCUzMzffoHCtCdoAAOKmsGiAmAtM4AIHskId - 4hDX4Fo0Z0U9RFUkr7QltaGua4klVXNYCCvNI+//6Asu+O4z7/NgH6ssopFB9C3IVdem7D6odFgP - 3bpSMiRDCsS8rw8H+qUJZhKK3+TUFQgGVZ/J7IrB+xGw9dldhat6MIhLW9TMA6DqKoAUEzGJBcO8 - Y9fnrR6l0BrcistBc85Ed9mB7d2bH6u7iYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOiI9WXP - 4pPO6dnmZmDylbuLiAmKlaiCoPXccOfXb3z3n//Zvu2IAJM1N2FYN+V04623XHb55c/8gf/gQNU0 - MIPdk8dpM8sNJI6mabZUVZfS4vLS9u0n7l1a+pFnP+cRj3jETzz/BTffess4dSFGM9sv3A/pS6SP - 3UwQpZTYH4mZiDpkMKzbUStABq747FVX/PRPP+j0BzzrWc965jOfeeop37JtYUFLMfdxTuIOFVU1 - +H7TC4ivK+FWh8ldWmJ6w8/83AUS1Mzcvbi7u5mtrKwsLu39H3/67vf9zSXXXnttlwyABEnF3YqI - jMdjCDSEnLOoImhO+fCjMf3jWJk0kbuj0fDjP/ZjF1100SAGcYyWV7Zt27Z3cVFVVUVVq6oqpfQj - eQ9ckrvsrvRJT58tB47qQZVS1IGgANzdBVWMEkJbysUXX2yACpI7BC6T23UDzT7lVNXNfvfNv/eU - Jz/x5JPvu7J3byrZiw2q2nPBWpe8zdRy93cdERERERERERERERERERERERERERERERERERF9E1BV - M/Ni1bAC4O4pJW02JgjngiIALLiZWwAAiEPRfw44+sgeAIMBqGt0KzDLW0JdofY2RBvMhxMf9ZDH - P+GR3/GA7Q8qi657q2GoxYpa6NrSVMO6Gbg7YMWSwUSKqJtM4rYO7Xd0bzPJDwrMFWIzecj+re6f - zXTtW9WzIivgEFO4AkilrbaEcdWulN3Xff1qGeY2j7UGyjE/K/qm4+7u/fwAm3FmgINidTcRERER - ERERERERERERERERERERERERERERERER7ccUkAPSsvtX6DoEgE+LeG3mJ+oCcy9uEtRKgYrGsHvv - nre/47/fcvvtJjIp9rZJfP7g6dxjktg9yE4EcAQNbgXAa1/72vMf+5jTTzvN+t5oVfGDpP4PVTHs - M1XFs6Pa1/Eevsb4WHEAqe1KKTnnE7dtT127dWF+eXn50Y/6tn+4/LKX/vzP/+2HLpeS+8MPTSxd - XnfCax3DOBYXTYvBDYBK0JLzaNz1X8w19bjtBNj19ZsufuPvXPzG33nCeY/5/qc9/Tuf8pRzzz23 - lDIej+fm5hSyd+/e+cFw3Vb3nY5jrS/5riwnV1UmJz7t/1ZVCcGBvXv3fnnHjo997GOXXnrppz/3 - OVdJs+3vhhCju5dSJKibWHYAbv2WpJ8oYf2hGgCBuDkEMhNub6p6IPKbF//6jz/3x9rRKEiVu25+ - fn7P7t1NUw8Gg1JK13Wj0SiEMJgbAuhboo+qg97kBz5h1pisPVv65eGVgiACkb7KvQqhuI9WVt7z - gUv+5rLLh8O7weClAAAgAElEQVTByngMACKwO+lBPwZmH3TubsCnrrryXX/8v170ghfUsWq7tqlq - iCKXg86too6DPnwwM54HPFg2xzOGiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjWCyGklNTFzUII - MUYkiIhvRHU3YOpAH22b1Hij/yTYWoxUYIqi5oAAocXQUGmIVofx3H2q+z3uYd9x/sOfMh9OmtOF - 9vYsBXODeUmWV7q6aXQQuzzObVbVUEXXkpBiFdyzT7KZChdA+8ynQPX4qAa+B5j0Oe2yL+46qTOH - ugIok7eTzKCouaBo7qIVMTiCaxEB3EIah1EbF7/4b5/Z091e5lorqBy6QTcWfdM4Xrq698PqbiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJa59CVuutXmy4PsX4IweAaVOBF0Hm55rqvvuF3f1cA - VS2l9AFdEenf3jNHf08RZCt9vv/Gm2966cte/qd/8sdVVYkecQ+uOPxgtd795/stN1CM0d3ruh6P - RjHGdnW0ZTg37rr7nnDS/3znu/7gj/7w4jf81vJoJEFym0WxL14tAHAs09ZuBiBWVU6tiIiIuVch - rradKhwYp6RRLdvHP33lpz59Za1y//vf//zzz7/gggse+9jH3v/U+81v21pSmm5wduTVBeL9Jbsr - y9ki5dlPPvu5q77whS/8wz9++Iorrrj59jsAKFCAYq5BSnFVFZG+Lh2ABHUzuGoI7u5mbgY5RCO8 - Q8PkT6Sqfdh9fjh33/uc+O53vevchz/Cco4axDyE0I7HCwsLKXXj8djdY4ylFBEJIYxGo6jhG70e - h3Wk97msf9EvD/OLEIKImFm2oiFKDCtLe792440vf8UrYozLo7EDoYrubhv6kFHV6ZQEItKXtQeF - Gf7br/3q2ec84rue/B1SxVDF5b1LC4M5M+vbu9VhB+3xPoR+eDf8eUJERERERERERERERERERERE - RERERERERERERIdnZqo6bAZ7855SSh/926iDEVdxBeBqAPpybhOor6XVXHUSJjUI1OJcaapUa67u - u/W08x7xpPMe9qRTqgekPd7kOcuyUA1DE/K4g6GptxS3rl2pBnVVx1RyQXJ1ETExh0IMroBiLRwn - 7kcc6D2eqcMkHzSPbAd5pxBzt6LF+7drnd4m0GFY9NuXw+4rd3zKB9ZZiRFe+lWYPKR7HVZ3ExER - EREREREREREREREREREREREREREREREREdE6IVS5S6pqZlVVTeuB+7rZad82ADF3dwQHMGmldRWR - PhmuMYxGo3owyADc79i793kvemECCuAzHbp9he0GnOdhTOL8Vld1Tp2q/tPHPvqzL/lPb3zjG07a - tt1TqmLddV2MEUDXdVWI7m7ufYtwKUXMVRVAgbtb0zR9PbO7i8h4PJ6bm+vPem0GgcnyoKH6e9ah - Cn3dDYAXCyG4ewyh5BxFLXVNFV/yMz/91O++4Odf/rJPfvaqKiLb2rwLChggwLRduD8Xkf6WCCEA - OBqXOKcEqPvkyFPJAIoBgAAl23QsR+Zf3fW1f/vaDe/+y79S4MzTz3jIQx5yzjnnPPjBD/62c889 - 44wz5gZDMzMzERe4ivaXz8xmC+Z9zbrJLzR2Xff1m2+69tprr7/++q985SufufLKL3zhC+OS940t - AKCszYhQivcbnz2dtVkPsK5heq3sua7rlJL3K4m6m/ik1joo3FCAp373v//d3/n/TxgOvZgAQbSv - fw5BU+r6s+hbrvvjT213tHu7e+L73+cAQgjj8Xg4HIrIaDRSVVUNIYjp2rUQVTVBX2QeY5xeDqj0 - N5iZxTouLi5u377dcx6nXIf45R1f+cFn/tAop+mdXnI+2HEdU7OXe9rhXQwiyIYf+fEf+4s///Pz - H/1YpFQ3gyxQoEwHoQBA/xDuR6a/gtPtoO/qFhGRlJKIxBiDapfTxs3TQkRERERERERERERERERE - RERERERERERERHRcEsFaoHL6ibDulo6GPimWc5Ygfapxms089tRVc1SNLrl4Z2oicEdXUCmCiEgE - VMwFbvCYh9XKtoefeu6jH3r+Wfc7Z3t1SjWubQWNB0GpVUPqkLTy6IJOzSQrJPsIribqUBdA1qqn - PWASP1Tps6Ow/u2GjMax52KQIvv1dKO/K/YNwlrQ20W8SIZIsCiQ4Kou0YNpGsm4q8fX3Prla75+ - 9ajOIQ7bdtQ0AV4cmyzQTccVEclrid0+bb1Rz6sjwupuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIlqnG7ciEkJQ1a7rAPSv27aVoEF0X29x0OBwFDNTEYkBrtmKmUFlPBoN5oYSYlbceOONz/zh - Z+288QYTHA8h3Ik2dVFDshJF3nvJB266+eb/+c53nLh1axqtDurG4KntqqrSEHLOTd2klHLOqqpV - FBExd7i7t6lLbRdjjDGqatM0/fYP7DM+Nu3dhzd7DALEEGDeaDzzAadf8t73ve2d7/jlX3mNGUIV - ulTgkEo9GxToC90zZnuF+9LuEMKxLGif6RCHRC3ZDJMa7AJ8Zef1131t56V//3f9CVYhnLB126mn - nnr66aefdNJJDzjttLqOw+FwOBwOBoPBYNA0TYzx1ltvBZBzHo1Ge/bsufXWW2+99dalpaV/u37X - zTffvGdlWQFVzWYOBBXHugkSfKbc/IhUVZVS6v+JTdN0bSsOhbiVJoaci2fbMjf3mte85vnPf76n - Tgw6nRHmbo/gPWdaFT97nwNIKcUYSymllBBCPWgsl5WVlaZp1CEiJphG9lW1lCJBowQXlFKKWxCN - dZVL2bKwMG5bE1R1/Wf/+y9+/uUvCzH247/ZHzYCF0Cx3KbnPu8nXvea1z7n//nhubppV8d1VamG - frKVOgZ3L6WYWf8JAIPD3QUK6f+w/cOnqqo6Vqurq51ZjHFT3ARERERERERERERERERERERERERE - RERERERERLTZWYiaUptz1ugKmEIj5gYRybtRQUm1Dqtc1yVuWzjx/ied8dQLn3GfeL8Tm1PnZWvo - ak/mXlThyABcIA5XM+i6PmpXiAIiro5JZE58EoZTh8DUDYDda3q7AQigbhADAFd3B7QPp7oX+CTc - LRCoBgjERCoRbTuJLk1dwazLba4T5vJSXrzkH9+LBRPRLndRVEw2e+KSNre12vjjD6u7iYiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiGidpmmm6VmNIYTg7uOurZsaKuKYlsiKiEJyznVdawhdTu5F - Y9BYZyv13NxKO3akv3rfe171qlfdsbgXfW93n56/C+Hcu7ziUTE/v7CyshJjzJ1l9ybGT3zm049/ - 0re/+Y2/84zvezrcl1dWTty+fTwe59TFGEftWEQ0BlXtUso5VyE2w0HXdVAZzA37+uo2daqaurYK - +6K+065lO7Y9u33j7/q0ve/3ifQl3O5NCIPB4Gdf9KKnfc/3/qeXvfQjn/iUAO6IoskNBVKJ50ld - ObCuwPseDWPbna8yI+d966uqqlouySbHo0Aqdsvu3TfvvuNz//ovWLvrDrz3wuzpzHwbY5VyAlCA - 4pODE6ihrDvnQ5fWr13zg59XSi2AwWDQdV1JGQDc+iO3XALwhMc/7g2v/60zzzxTShaIussma6w+ - 6O3dP1tyzi4wt6WV5TpWc1vmLRfoZPiLublPppNQKW45Z6ioqkOKW+6ymVdN7SF+/eab/vMr/8vf - Xn6ZAd6Vdb3dm2s8DiAC9d17ll/+il/85Ec/+WsXXXSf7dtSyk2sUs5d14UQVNXdY4zmk/vE4CKA - CiCi4u5VrC2X0WgkA4hIjDHGmK1s7MkREREREREREREREREREREREREREREREREREdHmZ2pdWEWF - aOqu7uoFXc5jz8Moc80w5FpX6hPDt5x9xqMefdbjH/otj6hHW0KpvDXzXEoKrgFBLEgYuCCF7ICg - iHs0VQ8mDo+AiiugBgEUkxBiH0B0RRZ3gbv09dQbOyrHkqgF8eACoC/qVgBwRVBAfGqSMvSoYWV5 - PDfYVtf1yvIdWtnc1sGir+5Ot192xd/s2vNV2V46FEMZ1FLyWO5NVehEU6zuJiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIqJ12ratqsrds5UQQr/UGNqSUSZ9zEElhCgiIjI/P1xdXV0djauq0ioW - MytZQhh37Xve+/43/f5bvvivXwpx0t4b6yqntLEneBf1vd1t1wJQ0TZnAEsro+e94IVP+fYnvOIV - r3jiE5+4uLIcQqjrOucsMRQzs1IFHcwN3T2ltDoeaYzubrBxm7wUEanrJpRgZrLJG4UBACGEpaWl - 7du3tyvLK0vLVVPf98ST3vtX/+cd73rn63/nt2++9Y7c5hDEzD1bCFpsLfTfNw2v2bATEIiqiFgp - 5mbFQggqknMWEXc44HCgr4TWXDKAALhCHSYIEFfJ2awvzAYUcJl82+akIQTRVDLgVV2bWc55uvfZ - IwGOoEa631cQLW5t28K9AAECeAUxOIDXvvqXX/SCF84NBqo6Go2CKHSzNXdPzE6Q4YKSs8FzyU3T - xBhXx+PSfyNweN9IDRUF+udMKkU1SAhmltwAhBCqKhbH5z7/+bf+wR9+4JJLutT1jd226du69wmC - 4giiAnf86V/95V+/9z2/9PJXPPdHfvTkk06o6zpIXVWVmKeU0M+1IW6AOwzuZgDEpeu6wWAQY4gl - agxB4MVSyf0Tm4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6DAc6BJUEdSCBjODaaODqLWPvVv2 - +w7ve97Dn3D+Q5/8gIUH1nlr2FuHVANwzQiQCBEVC9lg5kW9OFxM3IKbuMLVBA4VhwvgvhYEVHeD - mAOK4p5dXJAdKIiOe0tETlyDiUP7jOVaRlIAz2WSy3ZAFCICEQAr3biZb9xs1C5rU0pob0t3LFdL - H/6XD33knz88d8rg9u5WC1CFKBwQMfi9ZTzpKHE/bvK7U6zuJiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIqJ16sFARLquEw0aYpuTqSezUFXu7oCIQNXczazkvLiyMr+wMBgO27YNIsuj0T9+5KOX - fvCDl1566W2LexwYDoZt6lTVDPsqnA9bY7wpkt8iOee6HuSci+U6VjnnNucAfPjjn/j7j//w2Wc9 - 9Pu+92kXXHDBYx7zmFRyU9Whrs1sJSVNKcYYYlRViVpyFnMJWlVVCKHtur6QeLqrY9lr3feF+/oe - 5cMwlPmFuaWVvfPz8ymVksuWuaG5v+innv9DP/CDb37r7//B29+22rYKmKOYqaqqllLcPefcv93I - 6m7AzSY3mgNAKWXyzl1U3Sc58eJWyuQ4C+CGmYJkF/Ql36JBxeHw4uYOVS1upZT+ru1SBxzZHXzg - n6D/dX8o5jY3GI7Go7nh3Hi0CngtWtwedc4jf/8tb33ogx/spVjXQXXLYGBmlsuRjs/RZgfcbC6A - ClRDVbUlr3atiIS6yu5Bddr13pd251L6GvguZxc0TVO6bseOHf/0T/901VVXfebKq67/2q6qqsYp - AYhVXRw+rU4/4BA215QAjkqrlDtkN4cBAjTDuYt+6/W/9luvf9L5j7/wwguf8PjHn3322QvzW3II - BWZuKioiGoLM3GjzTZNzTsVC07RdKqWoSIzRfSP/ekRERERERERERERERERERERERERERERERERE - dFwQR62ViyUrq6lUAYPKQldhr546PPMpj//ux5315O3xBFnReqWqBGZjbbKbiAXPcNEiVsSKuFTB - BeqqriImgARzmLi6qEzquPu0nwMF+96aQyClT3QK4LIpAsfHgDuglbpiOiLuLu7uGqKj9N3bIm5w - dyvwaq5eaVdibIt1yRfnTq5vX/ra5Vd+8JNXfySc6Itpb6hF4RCkAolr2yW6u47H3m6wupuIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI9tN1nahCpB4OvvSlL+1e3FM1jQtKKdYHvUVgnlIaj8dd - 162OR7fccsvOnTu/+tWv7tixY+fOXcURY+y7dwEfjUeAOjAYDMbj8ebo5b5zIuLuXdf1b1PJgFdV - DcvmVgz/fPU1X77mmt/63TcNmnjOOeecefoZD3zgAx/4wAdu3bq1jtVgMBgOhzHGnJMLqhDdXQBV - HS2vPPjMB5188skqACAOl0mj9ia0Oh4NBoO5LfOpSyVZCKEO1cpoVUM8+YQT//PLf+Enf+J5v3rR - 6/76/e8DYEDfuywiutbBrKobeQKT6Rmgqhp02gnt7u7ev1VVETGzye09+aFPJ34AIKpuZnCsNX+r - qooUKwAgENXpZvuffyOHjJlW5tF4BGA8WhUgAtu2bv2lX3jFT/7kT5aUmqoqgBfzVMZtCiFI2NDR - PrR9pd2AAfVg8IV//uLy8nI9HAAw9378q1B1XTdqx6PRqG3b8Xg8Go26nHbt2nXbbbddt/P6Xbt2 - 3X777eNxNxlmIMYwTkmC5OIld0DA+qbu/l0/LrbJ2rtz29WxKqUUWNM0bdvuXtorgAg++bkrP/qp - TzpQqZ566qkPOuOBp5122tlnn103cTgczs/Pz8/PDwaDpmlijJZLEFXVOsbV5ZWzzjrrvifdp21b - PU6et0REREREREREREREREREREREREREREREREREtKE0ahiPS13p9vlBWs2+Rx5yv0ec97gnPvrM - Jw/arU23JXSDylXhhixVGHkHRZRaJLobVEShQbK1cIgrHOrwfck+g6PAAQis32m/70nuT6wPqq4d - UvaZEO439xJQc+sHRERcIOIuMHGzkaFAzBWqMBT3kpBHMXShrVU0lJ23XPuRSz78Lzd8frVeWqx2 - D+YGK3vbLcO65E4EQZESC4zpG9Lnr/sMtYi4T8LaG31cd453PhERERERERERERERERERERERERER - ERERERERERGtE6vKNZjZbbfvftkv/tLHrvhkn5rtk+4CqEgfpi3uAEJAV6BAFYO7ZweAkjMm6wfA - BaIi7bjbf2d9razv/8GG8X21x25W1XVKSUTczeExxjZ1AKoqmBUARaTA2y5f8ZmrrvjMVSqAT85G - gQBJk6piREFxCBAFVQzPe97zLvqVXzUHgA3p1u2bwn1m17OvxW36YTVoPOhqO07j9sQTT7Yu7dmz - Z9u2bSlly2X7lvm6Cn/we2958Ytf/F9e+crP/csXq2a4Mlp19xjjtCf7aJ3FXVpHzEUANxQzAA6o - intfrt0HxQUQkQD4TEpcMHPkZg4oRCbZcrN9G1P1yf9htnT7EEcndvDPD+BrtdNNU6U2AWiq6hlP - +75X/X+vfODpZygQ63plaamOVVA0W7cs33HHcGG+bccz/yfF2pU9sBv+wHvgaJhuf1ra3b/eO1r5 - zd9+w/sv+dvJcAgmf4f1P58Olh/sw/76dKU44MVDFQ3wbNrU1nWbq6P7YAQICJ4NgEC7NqlGs1wP - B+14PO7S5Elrdt0NN1x/w41VkFxMAcG+GQ2m91ylIVsRoAnVxb/268959rPrzdrjTkRERERERERE - REREREREREREREREREREREREm4ylPJ6rG1mNWBw88n7nPPFJ3/mtpz5yUOZlJc5XC9qErht3JWsV - VTWXIjEopADBTYrAzUuGWVMFwFzWyrldxcVFTYoLxB1iPqno7kNw6tC1+KW4BADiCA4B1GFyb1h6 - 9nZ6MQoKAJfsYohukiHmUkQ8ey6WxjK+5sbrblu6+babd+268drblm/DtlLu0942XqoW5JbFdmF+ - riSgZI2GCuZHPU9K9wbHRVf3fljdTURERERERERERERERERERERERERERERERERERETriMhoZVWr - eMopp6yORwop8AJAMWk7dod7Xx/riq4AAgfaXAAIRFWLFZ2E5h1AjDHl1Ld+u+tdby/eQCHG1HUA - HBBVAH1XM1RSKSICeC4OwKAGE0heyxtHUYd1M8W6nSMGlILiSKnMzc/3a/aTChxYq7x5mFnfeL19 - +/alxcUqxC1btnRdJwZRgbs6YhXO+3ePuuxvL730sg/+5m+/4cs7ru5Sdst9ij+IGsq+U9wv238X - zl36q3DAun7At/stewrpS7UFIiIGn1xKYNK67X7wrPjshyL9nX/gmmYZUFUAOn1thrVpI2aWYvDZ - 5WHrxwVwVCq5TRVw1lkPfc2rX3vBd31nFUIpRUVS284P58wsBlnZvXvL1q3jlRWJ4c4HdBNommY8 - HttaD7cKiqMfNxEA6m7TgTZAIBCHi89cFffJ+GkIpZSSc//O2m52X4cf5o3V35lBgnmJIaaSAbTj - cYix5Oxw6LQt3ttiMm0ud9eZiUkAmBUFHBiXpFUcDIdWEuw4eNgSERERERERERERERERERERERER - EREREREREd3ruEJc9723yVLcZHadY5cRUyC17bec+C3f9qBHP/i+D29s+LUbr6/QRKnG47F5rppK - QswrxQwawiSbaSJAMARIgCjMLJu4i026ol3FgwsMcLW+unsalQPUJzsXm3R4A4AAwUwPcpjfnEwM - iuzmuXQll5JS6UpJxUux1JVuPF4ZjVdWRsvLy3v3ruxdTitl3op2pay4FNmGsa4udy5zWO28GcKL - m3kVghUrhrpWT8wb0t3n7rJpw7qHxepuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIlrHcx40 - lQOro+WqqjLcgIJpbfKkeNhgikktrPu0U1kdMHNADXCgX3Y5AQBssp4fMiy/eTqs12qAAXcvwOTY - FIa1ymDvK5n7VurZT/J0rGBYK31OBgcCYEDOBRB1CCAOXSsjNoEf5dzyoba/vj5cpx+Gfn1BN05V - VQEoblCBAIKcUwzqbuKoXZ7x1Kd+/9O/7/K/+9Af/dHb//7D/9Cfv+VUqSRzDaG4wR1QhAA3+Ew9 - dl+H7j55OdOQPVN/bX0F97ozckAOsZxUI5fJmtj/DrMDi40P36V9oEl7tLkBMAF8dquyNlnGviWC - VlbcvS81d3cXcXdXVXebbQYPgJp/62mn/V/27jXGkvy87/vvef5VdU739Mzuzl5ILpdLiqLIXd7i - UBR1oSRSa1miBcSIzFxoK8pVfhXAUBAgQRDAb2IFit4KSAIkeWEEuSlvZEWxDcuglUB2aAoUpUQR - RV0ckSKtcMnsZS7dfar+/+fJizqn5/TM7JUz031mvh8c1FZXd9epU1WnumfR//r+7M/+e5/5zL8a - kbFaLS9dunZ8rK7rvLTWJE01u2G5Wk1W+lvfQa8Uhr/bZ9rtnt3mrnimmrLz9Wj3MNWQbB14t1uO - fCry5Lhu3mXzNOf3YEvJX/ny4bnZ++fnCqPNFVJSy5bS3O2eX0ibNlXuG1dX2+yb9WvfvsbOuvX3 - K7O1mPJBui8JAAAAAAAAAAAAAAAAAAAAAAAAcEdkqpQiKSK6zvPWMWjAHeLpOY+4XIuw9RDDtFOj - 8/yeDI1Lk/d6/vqfffZ3/t4/jH9Qonh2p/Li6zF9t7EeinpjO0NbwxgtfWtI4yu9p25+lbuZCf52 - xHzcb91XYaeHSO5HSq3UtHmUYYQpLReuHNVLkixXZt4UcrnUarj8nA2yxI5x92matB6dvTMDWEl3 - AwAAAAAAAAAAAAAAAAAAAAAAAAAA4GaWmXZ6YLdpPYZ2M5PymEfOv8LY2tya3nf81ad5aklIlsp5 - GjZ/+kYb+97cMeFNez3h583XpEsx1R/+gY//6Cd/5Ld++7d/8Rd/8e//g187nmqLdGnuTMtNMrV2 - ctcEc5eUESmZmZlp0/C+hd/mpgz2qtO7unvf0MpNSplZa+myrnSttXVPPNOkky75MHTjWCVdfvji - f/Dv/vXP/Ev/8lNPPfXiiy8eHBz4MFx9+cqFCxfmwe03NuS83oLi5P4g8/TGdqZvriQ33UTk5A3x - Gu+yW6avtSVvZvPvuje1VSfXmbixBpOkttl9J/v5pvuzAAAAAAAAAAAAAAAAAAAAAAAAAADOI4s5 - zxy+GXyZLpun62GVnoq7PJYwTLKx7UyTF6dYbPfO4+TMWX82z+lAS+CuIt0NAAAAAAAAAAAAAAAA - AAAAAAAAAACAU+bma5hCys1DkhTrQf7z/A2nRnLjVvMuXU83j5DMZMq45St3l6WU7eFLlw4PDz/0 - gff/t3/rb/2fv/u7/9V/81//7f/lV64dHq9qleRWWkYq52a1TJkhybuSmRktb2lzx2aPbRLhd/M1 - vPGVv97vmJvKmVKGFG1eGkPXZ3pfympcFakrpY31rY889DM/8zN/7d/5mccfetgjDw8PL1y44O6r - 1UonEfQdsXVWZ5qUFnZq+anT3m45/Ni2vtnK1pJTe0+RXI8BAAAAAAAAAAAAAAAAAAAAAAAAAABw - x5hZZmbeGOC6PX+e+VlvAAAAAAAAAAAAAAAAAAAAAAAAAAAAAM6d1whI78ZA2h2QdvPjPuCy8fg4 - W8sWbaof+sAHfuHn/7P/+3f+r5//mz/3ye//gU6WrXpESQ1yTxv6oXSdyaK1jJBkZu6nxkGnJLtP - zjsvRWaSrGix6Iv5VKdodRxXC3OXnnrrW/7m3/gbn//Hn/uP/8P/6OLe/rRatdbMbBzH4+PjxWJx - 4cKFcRzP+nW8Xjed4SHFPHNfnO3n1ryrAQAAAAAAAAAAAAAAAAAAAAAAAAAAgDtiV3Ld27qz3gAA - AAAAAAAAAAAAAAAAAAAAAAAAAACcL3PzdbskbSe17pQUWx+ffM+93MDdY5oHIqdSMkkKj9zsxpuK - 3bbjO7OUcnR4dOHChYOhv3r1alTbWywPp/rX/q1/89/+13/69//gj/67/+G//6Vf+qVvvfiCRwup - jWOTfPO6h2GIiFqrbk07z0vyxmfOxa7a3s5bN+iWJRFT1/d1nLKpZY0MlxZd55EffP+zP/1TP/Xp - T3/68Ucfu371yvGVK3vDIiK6rsvMruvM7OjoKCIODg52qN79Cg3pOPnM+g2yOb7n47ieSybZLbsz - N586vSxMZkMAACAASURBVEC3XFsAAAAAAAAAAAAAAAAAAAAAAAAAAACANyEz53S3me1Qwpt0NwAA - AAAAAAAAAAAAAAAAAAAAAAAAAG5vbimv26+5FdbdzLoUuk1hGbeR6+L5ye7a9UT3K6nj9NDFS0er - 45dffnl/f38YhtriYG9/dbSqGe9/7/t+/j/5uX//r//sb/7mb/7yL//y3/u1v399mo7GYyk7WUjT - OEpy94i4b86tm+PsrZpkpozm0tvf+tZP/diP/hs/9dPvf+aZSwcXD69eO752bdEPluqHYarT8fGx - mZVSWmt937v7tWvXhmE4o1dzh60T9jdy0/fNYb/zTm5kcNMuOtmBN11kAAAAAAAAAAAAAAAAAAAA - AAAAAAAAgG+TmUXs5Nh40t0AAAAAAAAAAAAAAAAAAAAAAAAAAAC4mUuRaSZTuFSklLaH07okyaQi - aau6i9uyVG72kud66idx9M3uy/uiuNsP5fDompdy+fLDreXh4aGk5XK5XAyhjNZWx+PFg/0//9wn - //xzn5xa/fXf+Ed/+1d+5Vf/7t+5cu3a4C7ZFFGU00moeCd2y9Z74Nbt9VNfmR6StDd0n/jBH/rM - Zz7zQx//wccuP9q5Hx8eXrvy8qIfJLWpWuQ4jt6Vvb29iMjMvu9ba7XW/f39WuvdfUV3zvbLj62F - lrKtmfkK47d8O24SW1dgbZ16cXJ5kSzl8ztoJ94+AAAAAAAAAAAAAAAAAAAAAAAAAAAAON8yd++m - AqS7AQAAAAAAAAAAAAAAAAAAAAAAAAAAcIql0tbZ15LrPvdNBdjbhmPxSkxqkud6N7rkIUt5rvfh - vM/n6a6bai1dJ+nw8FDy5XIpaRzHUkpmRqovpZTSWouIfrn3o5/4kU/92I//wvVrX/ziF3/1V3/1 - s5/97J985SstsmxOrbnofHKanbs9dPoNMG+e37JEm+UX9hYf/ehHf+qv/NXnnnvu0sHFzBy6rtV6 - NE0X9vYUWWst5qWU9BzcQzmOo7ub2TzTdd08c/df2x2wfW5byk3KdcDbNzvHNg+R7n4tIZXbXYHn - 5SfXasvN48y2FAAAAAAAAAAAAAAAAAAAAAAAAAAAAPeJk263me1Qwpt0NwAAAAAAAAAAAAAAAAAA - AAAAAAAAAE4xMzfLzKnWX/of/6exTkpPt8142jj5Sr/pY9xOMWuZocxMM3P3TlbM5263pSR5KrRu - G+86dw+lJO+KpBqT5N6VlGTmUipaDUluylaHvptWxxcXix/82Me+97s/8gv/6c99/c/+7HOf+9xn - f/0ffuGLv/XlP/xjk/rOppopFbcpbuyjk3RxKSUicmuct5nldlXbNgnj1xoL7uaZedOqtBlPftO8 - mUXErVX7k/50Si515k8//fQnPvGJT/zwD/+FH/lk13V9KetVSdmaS13f11otZWbzDpSpZcy7dH7S - eaa1tivd7tnckJZunN6emqbpv/zP/4tpmlpGmrn7fATNiE2/mjTp5LqxWThfPebTspj3xfvS5TS5 - +zmM3QMAAAAAAAAAAAAAAAAAAAAAAADn3Dxgzd1ba4URTwCAB15mllKmaTJTREieO5LvJt0NAAAA - AAAAAAAAAAAAAAAAAAAAAACAU6I2d5db5+XCYrk3LFS6rZ7uVro7Fdx04rXMeyk2GWkzc1mRZYSl - fCtmHJsu7057Qy/BUqota+tK5/1gkZZ659ufuvypT/2Lf+kvtda+8qd/+vnPf/5zn/8nv/d7v/cn - X/3KCy9d6UyxDltbKSWk2mq0Jsllfd+Hsk7TOmNcSmaGUpEyKbWeus1LTCY3S0WuD1FkaM4dm1kq - lHMSuS/d1Oo8X8zlFq1l5qKsU9/zM2gTVDbp6bc/9bGPfey55577ge/7/ieffLKUYtliNRVzl80r - Xo9L95v32v3RcddWrvuk3p0mk/b39obW2tDC5O5mNu8N0t2v4uTNtU53b/ZtmKys73GQmZZZzEqa - mdVoZ7KpAAAAAAAAAAAAAAAAAAAAAAAAAAAAuG/sSqv7JqS7AQAAAAAAAAAAAAAAAAAAAAAAAAAA - cIp5ysKymGxueJtZShFztNukdTnWNpXi+yA4ffeYZJlu2tp9mUrL9HWCWicjleew8Y7zk7q7v46v - 7roS0aJWKZXRVivv+0v7+7XWYbF477vf/e6nn/7X/upfcfcXXnjhT7/+z77w27/z5T/6wy984Qtf - +tKXXr56VVInla7U2lJZpzEkl9KUqTnprXknp9zWuzojiytSysy23v3F5e61hqQihdIlmSwVUrRq - UmcKkyKiqUileGvhkkmd9MTjj374wx/+/u/9vmeeeeZjH/2eS5cuLRaLaZqi1jatsnlfuqF0RQpp - zn2bZDafI6mtt1Jsct73R8B7m2VKatOUSjcVM5NSmUqZKHe/pvncsE0qPk0uRVufx2X9RRlzGP6W - KjwAAAAAAAAAAAAAAAAAAAAAAAAAAADw7TCznYh5k+4GAAAAAAAAAAAAAAAAAAAAAAAAAADAKe6e - c/NVkpQ10pSZ7ich5twEkKU5NrwD42rPTEZKMjc3tczMdClTJtNWtzvmpveDl9ltU+28ZGbUNnS9 - u491Wl1flVIiopgPXRe1jXV1af/Ch5599plnnklzSbXWK1eufOUrX/n9L3/5a1/72le/+tWvfe1r - f/DHf/T8N7+ZWoeNUzJTnJyfm9qxJIXm5Pbcio6UQhHRSymlNIfVPWVSZ9Yy+3kNKUlPPPLIO9/5 - ziff+sSz73vmO7/j3R/84Aff9a537e/vZ6YizMzMivl4eFRrXS6X/bKPqU7j6KWbN0+ZRRYmMzNZ - 23oXxWb6etrnOyoz3czMJEWmUspNyh6vLOcLR944FeeLRkSTz6eS2ebUDTPlg3hVAQAAAAAAAAAA - AAAAAAAAAAAAAAAAwJ21E63um5DuBgAAAAAAAAAAAAAAAAAAAAAAAAAAwCmx7nanJJfcLVMys3VT - N9dxXYtNZPc+7gvfCfNeMtNmD5rWleKwdR96dp8Uduew9pbNy7r9YOxa697eXmaO4xgRZtaXrvPi - 7jVa1NZaK6Ush4WkiBhKiYjM7Nz3H3v0bY8/9rGP/PMR0eZdGjGO48tXrzz//PPf+MY3Xnrppeef - f/769esvvPDCiy++eOXKlaOjo3Eca62ttdVqdXh4eHR0FBF93y+Xy2EY3L2UslwuDw4OHnrooYcf - fvjSpUvL5fLy5cuPPvroO97xjre//e2PPPLIYrEwM0sVs8yQZGYWIa1j4HWa+mHYWwzRd5LquFJk - 33dRY92r3jrccXrn3E/vqFc6q70USS7LTJvz9jIzu0/eBXePrSe2eWcVKUxd12mzt203730AAAAA - AAAAAAAAAAAAAAAAAAAAAACA8ynXzno73iDS3QAAAAAAAAAAAAAAAAAAAAAAAAAAALiZ2ToLO8em - 16XhOUs8N4btRmt41wbY3muWkpSRaet9lVIq5/DzSb85bZPd3fEdapu6e96Idr+abuhbRraQFBG1 - Vkld103TNFe0i9Ram2ozM3dvdXT3OU7capPk7p27m5mZvPTmi75//JHLH3r2/aWUUkpEtNbmLri7 - a3NKn8zMI8XnInjf99ujxm1jeyWllHk9c0G8NWVrmaF5/ZFp2h8WETGOq8zsuk6Z8/fK10c4TZZK - U2Qo11syLzmZ3sdaa5Jifndk6uQ6s3ND9u+tk6uE5Y2Lb5jklqacI+gpSU0ykUIHAAAAAAAAAAAA - AAAAAAAAAAAAAADAA4p0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAE7ZTr1GZmbO/eK5VTzXYre/hjLs - q7N0bZW5Z0WaA88n0jSn0X33m802x4NvfiG3OVPS0szGulJk13WllDmhLWVfSmtNEV3XdV5aa2ZW - imsVnnJ3mWqGJDNzs6nVzEyTmy27zt3nIPfq+Njdu1K86yStE90R6z733OWW3KyUIsnWifrNFkZk - ZmSWUoq75tVGaA6Bm43Hx6WUvnRz3jszTWbudZzm5ZLcPSLM1jOb177ZL+bzu2z9Ya732xzwvtFn - 3k2vlI62+XoSKcnNtQlO+66f/XfZJt3tlvKUpDC5FFrnz3M+n2Xzh3MiHQAAAAAAAAAAAAAAAAAA - AAAAAAAAAPh2rEdn7xTS3QAAAAAAAAAAAAAAAAAAAAAAAAAAADglMzc5Y2k972YWdU7AzunueLVV - YMs6wLzOMCvNXMrTIetXyhvvopPy8utMMLfW3N2KtYi6qqWUuW891dr3vaTjafSU952lVqtV8V7S - HMB2KUwW2bJ1XjIzlJmZLVoLSZm5HIZ5JmqdB4S7u7mbWa7L9MrM+VSX1jHped7MZKb1WyGVqTlM - vl4kZS76ISLmQLLL3CyVUZu7l1JqrbVWd3f3ebPNbN5I5SYcnjZvzK17b1Pvvg+tB+dnnrz2jMzc - 9KjxCjbpbml9qq6vLScXbZMysykVmZnziQcAAAAAAAAAAAAAAAAAAAAAAAAA2GmWSslTMQ8am4dL - zmPNTGlxMhrRUmUz+izMpe1xe37TOm/HpWB6FtNTTg8vjflA3/pl64Nr82fXx93TT76r+XpVnvNp - AzxYSHcDAAAAAAAAAAAAAAAAAAAAAAAAAADgFFeZm8QnSzIj82Q8/lyHLWezcbto3XleT/N29zKY - l/hWlHd35Rt8AWamNKVcRaVImqvcXryFJHnpLRWpTFnpb7qpQJpCSlPLuLHCrZVnWy93mexGcju1 - 7nBrO8UtaevbM1/7tcwR8flJU0qlTDJLaWpVptJ363XPX5N5U/w7t251cP+Ful/jdNja2/JNJh2v - zLb/Y6cXrlPeJ19j2+8FAAAAAPeT+Z+rZtZay8yu6yLC3V/zGwEAAAAAAAAAAAAAAAAAwOtx08Cc - eVAYcOZKqkllTjg3RaQ0mJm8jhnV5Z2XtC6ahTqpmWVYZma6u5uKp2VmNkly73wzHG3+89RN4fs8 - RKwfxOl8FOYCt5mlSYo0mWXNJgv3nP9muGaNUOktalp6X2yMppR1MqlVs+yshnmq12R5LHWdLMMb - FzO8aV6KT9NkNt+EIDLd7LbDiG+U488D0t0AAAAAAAAAAAAAAAAAAAAAAAAAAADAvfMqJeNdj3bf - PfN9TV7p7ibc9QQAAAAA8EBprXVd11pzdzOrtXYd9xMDAAAAAAAAAAAAAAAAAOBOMjOJIX84XyLk - nVXlVOWuxVC66qvV1C+6oV9OZuM4+eRL9fvNvflUJe+HMvR9P5Tlol8O/aL3frlcuoq7d6WY2VyD - 9hSR+rOVmZmttTZFa61NtUZEyxoWR6uj64dXr1+/Hjlasf1SrMTR8fVUKxrMW2/HGqKljpt6lzLl - mZlKFZebMmTpXNbwbbvpKnFe+tyvgj+1BwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHbAOI7D - MBwfHy+XS0lztzsi5nsmAgAAAAAAAAAAAAAAAACAO8iMkjHOESulph212i+1WPrxtRrH9dGDC9P1 - bFejkx2US/t58ZHFE9/5lmfe+dZ3P3zx0f3F8uDg0nK533WdpUWTwjKzqJiZm52c5Jkp0t1nyNIi - JWVmmCSlRWaGhSR5SlljOjq6/tLVl1566aWXV9/65tHX//T5P3nx5ZeqTZMfH7artTvyYWqW6ZOl - ssmqOuv2zSPCMwh349uXuT2fN7e8zx/S3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBtnMN7 - bnZdN03TPJ+Zkuh2AwAAAAAAAAAAAAAAAABwp9z0l4NmlknuFudCqpvatH9xyFJfvBp7pof2u8Nv - Hj06PHVp+ei73/EdH3rvh9/1xHv28+Hu+OG+La2FWVp4HsnSMza17nRJnuu/RM1MZUbm5u9mXQqm - 935atv4keL7opOd8QCIi1dLisueTj8guWyvHbXF4GFevrK5/7Ztf/+If/vaXv/4ljd/UcnUUL6lI - vdKU1bx5X0qLOFkt8Kbt4g9E0t0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADADjCziJjnI6Lv - +4gg3Q0AAAAAAAAAAAAAAAAAwB1kN+W7gXMgpRrZD8tpOsyq/SJfaakL73r8PT/07E985xPPPPno - k1316eqqb8ulLhX1WcYaUzZFpsvMzM2VUmvaPs9tTtabpLD1xzzu/cM2fySsTSA5UpIsZCfHJa1F - ywzPElf7R/bf+tDCH3v7d7z3qe95/vgb/+RLv/6/ffF/HfaHsRttoW6wGp5hluEt1CkEvHmZSbob - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuE+ct5tvuntrTVKtteu6aZr6vp/nz3rTAAAAAAAA - AAAAAAAAAAAAcBd5Z7LaViquC+6LuPCBt33fX/zop982fNdeXupeVluNixz2un3Pfppa1RTeOuu6 - 0vXeNWVM0VorpUiRaVKYFSkklyLMlSElj7N4WEbOf7psZu5mZmYyS62TyRbppuislCKz4nZJ1Q6n - KeN4f3nwnQ89fvCBgycfeezXvvA/f+PoK8e1dfuy4qkqyTxSfsZnMO4jZrYrFW/+zh4AAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAC4jTxndxRrrS0Wi8ycW9193x8dHe3t7Z31dgEAAAAAAAAAAAAA - AAAAAOBuMqWvppUe2hvKUddfvfTJD/3Ej3/k05f11vaSvJa+dPv9fiinaZV5pN4X/YUWEa3VFrVO - 5u5WuqGXJItIk+aptI46Mz3Lqfed5kr3PI15NiSZmZmHyWUtMkKW8mqZWYb+oW5/tWr1W/Ut/VOP - PP2j09XD3/yn//sfv/z7ra9ZWni0dZM9tD7cwJt03v66/vUg3Q0AAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADsADNbrVZmFhHzdG9vLzPNuI8eAAAAAAAAAAAAAAAAAAB3hZlp91qluA/VSZcOluML - 097RhR/+8E/8hQ/95KPxjvqi9q0vxVOxOm7V0op7l03ZViuz4l66rouIzAxlZkjKyLD5tM75HJd8 - bkbzOKOHaqtmpnRZmIo8zFwq2hwnzQdPaTLz0vd9rbVFaoo+bLDBYxhrfurP/eXj4/HF61dfjm+t - /EozTSaZujyT0xY4Y6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgB2Qub5nnrtLKqXQ7QYA - AAAAAAAAAAAAAAAA4A7KXP+RXma6W0S4e5K8xTlg0nQYl/u3PbX/7p/46F++sHq8Ox5KhLrWNDVX - uktdWAtNsijeW5oiU2mSydIkhSSzTRF6dnKKWyqT6RlMpXBJKZtL3iGFxc0ngOTro6Qcs2YXUkit - d/dWvHWlXTx80X7su3/yay/+v7/7zf9j1JVuT6tRi07rMjvwpmSmu0/TZLa+YJjZTvxw9LPeAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAbVhqryv9OPj1xb/wiX9l - MR7stb16NO7tLZpHLVHNm3maJDepzCFokzQvnOfXwm6e4mzFLcdoXnj66JxqEDePsAgLWTNNblPJ - KNEt9bBdv/Dc9/z4gR5a2n5OWnQyo9uNOyx3ItwtdWe9AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACA2/BUacVX3Ufe9/3f9eQHu5f2srV+rxy269XVvFMWS3WRJaOk - SWrmzdxlIXdTWMxrkpRS2KnpDeZMz2Sa67j23Oe+Uem+cXRyvdBNMX+DRVqGaitNZiGlemnobP89 - b3v2g+/8c//oj/7Z3qVuVauKgG/fruS6t5HuBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAIDzyFLdVB5ePvrc9/7Y8Uv14VhGc++7a+NVXy6bqWS65IqSUdJDksklWbpC - kuec7laY5hj09lSSr5u8wfRMpmGuXM/bqc9KkqdvfeibD9zUZJGK5nJNSq8R+4u9F19+4Xs+8PHf - +oPfGOu10Q+bWpGv4+DAm5KZpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAHeGpffRf/g9H378wlv0/+2pDr0vDo+Pl3sXjzWZJjN5qkgmCw2SStZU3V6FJCnKqfau - 38tXgVdhGdJJn/vGQfL1EpekXJfWw9zlsV7ShcmlZpJVU7FoXS3veOTpdz72XX/40u/2Dy1qHCpj - K9QOvDFmtqPpbq5xAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHAe - eQzD9NB3v+/j9XoubPBmJUtRcZUSUTJKVleVFOZhqn5SeZZ0o9v96s8STM9sapvE8Dx9/Y1tVxbl - EBrCPCwzjtSmg/5gPy8+dfndg/anabLuda8PeGW7mO7m3AcAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACA88hi8djee598+EP98WC1djZmi/2hPzo+GromqyVc8lBp7mER - phJu6w60NoVo0yYNrdwORUtSmFIyMT2D6dYRkeyksB6SmsrWeXDjeKWFJEv37CJNSlm4aqqWNAvz - qXvn277rH//T31jFZC5zWbtzZyQePCfdbrPXn5Y/e/7aXwIAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAuCMs5oenJHm6JJ+rzan0kJRe0xSSx+LpR9+3WD3Ux95y2JNC - 1qZ6PHRukqVL80MphUVapCkl5Wb16y/wmB82PxSmkIcp19/O9AymKU+zNFsvPH3UtqbrYyrJUpby - dUzZpSIppG4ooaam6Tjf8x3PTId16PtNc/nGd1n6PCsLaX7IJJNi3WU+KYgD61z3Sb17h3RnvQEA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADA2TMzs7lgu3uNUuwMi7BI - padJ6SFPlTTLkpkySUqvNWWmvlvG1L33Le/pr/ve3v7q8HjRL919XK1KlrQilU3yW6boQmmyDEku - hcJTYSeZ59npMHNG2MlCpvd+uuZS3HLUbp5KyijpUjRTWCgli7SQcpUtzYp3xYZlWTz20GPXjr/e - F3lIqbKV7pa6ME9L2SSZS8r5JIhbzhY86DLTzFprmZLNDW876416XUh3AwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMA9E5JJbrku4M5N5UiXxVx0LiYzKaxX9+RjT+75 - 0qopPTKjtZTMPUPa6syvi8s3ussxL3nVEnNIWq/oXHSsH8zp2vbxeoVpmNZpdtk8lSTLSJs/ZxGS - u2d3YTjoV8sxqlTSMq25pHTJQp7ysDkKnifn4Y4UmYHXhXQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAANwjnl2mlJ3MJV8vtXBlmiLkJkke8rba67vHH73sx95aK6VI - ykwzGssPrjSFRZjS3NZddreM0jor3lr2pbT0i3sXh7FbpTXrmqVZuhTmbp1ZaebNLDexbs854y3J - bwqKA5m5/aGZnV5wTpHuBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AIB7Ij3MUuZZUl3KUx6mkMIkKVNu8jmqXHWwd9B1Q2tNkvu68+3urTUC3g+glMIiTWlKySTP9VnR - snWlKELZq2l/ccFVLCxKNGvFopksPS3Sbopzu+Z6tzw5p3A7uROx7tNIdwMAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAvRAmqQupmTezZj4/qntK5hZRPRVSScsYLh5c - rjUyc+52R4S7m1mtlXT3g8gilWFKkxSKTlIJlylD3nWuZpkK66zPNCncmpvmk8WsmpmpmaqrmdLS - PGWpNJfccrNmYMf5WW8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - ADw4QpZpEZbpkRZh66ncMiRZhpSDR//Ixcse5u7uHhERIcnM6HY/2G6ktS1NkoUXs2xRZJkpyTwz - m1l6qguVzbRklAxXWJ6s58baktMKt8jM+aTaLd1ZbwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAPBBckVY9s1jndmRayVfKSTancZtMmekhS/PsLx08Moe61z1ms8yM - CHffxZguvn1hm8B2+vZyd4/W0kqqeWfyjJKS+ialVGQpC3nzEiohz/XDcr1Cut24n/hrfwkAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4E4oGX1EySwZ88MzTLLQnE62 - dEuztKKyGPYyMzNba2bWdZ2k1trZvgScIU9ZunSqs50eZlmzZrZJVUVRJJe5JLcwCynlKWWROsk3 - j7nYHWFxMj2T14XzLDPPehPeMNLdAADcGbkhaZqme/nU89NtbwAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACAN8RMfd9npplFhJmRBsPdYKlYZde6Ifshh1K91LL0oVS51GXn - oekweu8tvVjpvTcrktxdUkTM85yfD6h0yTzN0i1PtYmP2yo81acVjXX0LrO0MRS2nLQcW0kf0veb - DWaLKUrIQham+SGFrNLtxq1KKSfdTO1Oxpt0NwAAd0Ct1czGcTQzaf1v5lrrPXjezOz7XtLJBtyD - 5wUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvCm+GJZp3bgKqUSWiBxX - UbyY2Wo19n23f7Bw99amls2LqCljm6VrK9qdlmERFsvlwou1jLCU5zRN4zSV3qrSFsUWfVWustWM - hrobywAAIABJREFUqYR13lxpSluv5oxeDXC3dGe9AQAA3A+6rpumabFYZOb169cPDg7MrOvu+s/Z - k6e4du3ahQsXFovFNE1zyRsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - cN6ktEqTe7jkfXSdL/bbsWXnLjuaatcrNdUaXrr06gs7603GOWKSp4dkGZvadsgirY2thkLpZtZ1 - Q/GFZWdmRzrqe4+MrOpMpuy1qt2Y1tJayF2yueCd7q/y3HiAZaYkM5tndgInMwAAd8b847+1dnBw - kJlHR0f34BeCkyc6ODhorZ1sBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AADgHApTtRadfFGO6nHNaMpQTmMzK4tObjatIqWuc5eKSUalDqesm8QWspAkhRStNXcvVmLMGNOa - 21TqURaTZUSVTF2vziOiZp2kWK8mt1dM7xj3ie6sNwAAgPvBNE3DMEjquk5SrXVvb+8ePK+Z7e3t - TdPU9/381MMwzB/eg2cHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALxB - kX0dY7W/OIhxUpmk2peSXT+NdVmGrpqnD1ZyTE1t8N7SznqbcY5YauuMiDC5UlIpJcMsSu9DtuWi - 7e/roltrWsU0elMp6pu1lXVRSi1duKeXkLS1OpNTisdpmZm5e6cF6W4AAO6Avu8zMyJKKa21vu/H - cXT3Oad999RaI2IYhtba/NTuTrcbAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAM4tM5vGURbLMkxT308LPyxWy9KWRSVbLMrB0Mrx9fFiuXxRj1qQoMVNQgpJkm9m5O7j0VTK - cs/3o406Lr7qii0GW4RN5rUUa5NU+2X38DIPurroW28ZaQqLNMVmVcArMbNdqXhz3QQA4M4wM3ef - 692ShmG4B096kgYvpUSEu5vZPXheAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAMCb4OlDGzy67njpXo7Gq++5/OzyYDjMK8resotVWw57VqNJjyye6K883F9cnPVW41xZB7bT - UgrbdJSLSpH1OdTjKV1vufjEe9/+7LV8uR/3raYv5CXGcSy+KL7/UD4xTAddXchq8+aKZpnWJIXM - 08/qteF8yl3pdW8h3Q0AwB2QmXMze653R0TXdfemop2ZtVZ3d/f5d5GTjQEAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAnDd1mhY+aLSu6y8tL//FH/zJ6eL10Y4thl69Jlv0 - wzSOnfqu7vXas7G/3WrWcWVLpa1bzppn6C7f13KTK7TcDhf6NFX3zjyn1XTw8KWPf/cnP3Lwz63i - 8IIea6sofabXaVp1w/L4KC4Pj5eXB8lje9UWnDy41S52u0W6GwCAO2JOZZ/Uu93v3S+LVLrvD13X - zdH3uf4+jmPf3/bftzjvIkKSu0/T1Pd9ZvIm3S2ttVLKPD8fREkcx10UEWY2H7jtw4rdMo7jMAzz - TN/3ZsbR3EXbV9H5tx2uq7soMzNz/mV1PogRwftxt5xcQrd/SgIAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAgDNgIcvMcVgM01RVy/7i8vFqcLUSZWHL6XhadP2gyd1zVHFTuuSxVRvw - lOSW6/mQZJEWYSnJRYD5vpXSfCZ4rqvbnutku8nlOeZxt7S6qsty0F3tL1iU6CXXFFJKylEXZOVa - J0Utq7CQheRSWJR5zWf3+nAezXk+M8W64W1mljrvPW/S3QAAAGev1joX3zOz7/vFYtF13TRNZ71d - eAPmkuVisRjH0cyWy+ULL7ywWCzOervwxsw9y+Pj4+VyOXe7r1+/fuHChbPeLrwxc3Z9rj7XWksp - mTlN0xyBxq6IiPmQnczQ7d5Fc6V7flfOR3Beknne/5cZtrXWuq4zs/m31vkHJe/HnTP312ut8y85 - s/nteYZbBQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAAygklTyaJtPxaJMv/avf - +n9iv9oiNVpvxar66Me2qm3UlE898a7uyNQWngpbx5pP1ua5/ji2Vi+RlrifndRf/GRuK7ad65NE - pfWlvXqZIprHjY/SSXbjfkK6GwAA4OwNwzCOo6TMjIg5MXvWG4U3JiLMbLVaScrMw8PD5XJJmnTn - zAn2vu+naXL31WpFt3sX9X0/H8eI6Lr1P3vpdu8cdz9JzM5lWXdvrTn/a26nmNnR0dHe3l5ElFLm - evdqtVosFme9aXgD5nR3rXW+qC6Xy/lQnvV24Y3pum7++Shp7q+P48jPRwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAA8P+zd3e/tmxpfd+f5xlVc661z+nu0900pjG0aWgbDC1DGwJxUIIdh1gRylUs - IeeGK0ciUhSF3Jj8A7lAeVEs7mKJ3MSOFeVFlghJbBliGWHHiW06kE5jDLgRCe6mX85+WWvOqvE8 - uXiqxqpZc86199q999l7nf39qLvOXDVHjTFqVNWommvttX4AAAAAAAAAAOCVcO28G73X3XD1qHzl - v/3ln/vd69966F/u1HysG+tLKRERrg/6Bz/6/T/2Z77t38wMZhN3ERFv6d2uN9VqiAkJLwBevIj7 - l8tHdDcAAMCrt9/vM5F0t9vVWluSN+6X9mmg67qIUNVa66vtEu4qk2WHYei6rtaa2Za4p3IuLaU8 - efJEVfu+v38f2d9sqioieRnma1UlKvje2e/3l5eXEZGZ6znHbrdbrsf7ZbvdDsOQ1+Pjx48vLy/z - qsT9kh8x8v54cXGRr0lhBwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgVbDYi0YZ - Yygb7T8QX/Pf/2r53avtu5ut7fd1o904uIZZlGt7YB+JaoNKFdGQsCmu20U8xFREosV1m4aHLtcA - wNfrnkYOEd0NAADwipVSaq3DMOSX5HbfU2ZmZuM4isg4jqWUcRzNjGDL+2Wz2VxfX4tIHsrNZjMM - wz39sPeG2263u91OVWutH/rQh66vry8uLna73avuF+5AVd0975IRkbHB4zh2Hd/KuE82m427590w - D1/f9y0EGvfFOI5937t7RLz11luvujt4TpvNRkT2+32+yCuR3G4AAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAN57xW0bGxO9fvxYZJT9XnTQbugexCDVN6LF1bxEr7XbX41PHl/JOyLq - GdstIhbimuHc3jK6NeO6VTSE4CUAL9Yq0C0i5LWP6SPvCgAA4BWrtV5cXFxfX6uqmWVut6qSFny/ - uHtGk/Z9X2uttWY06avuF+4mc7vz2JVSMre767pa66vuGu7g4uLi6upK5rl0t9v1fZ8HF/eImYlI - TqeqmtnP3BzvqYxdd/daaymF3O57J69HM8v7Y0Ts9/vtdvuq+4W7cXczy9zunF1bjDcAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHgvmYiO0nXaW7Guv1LdbLvxul6P4irqMqjXKn3X - dXppm65IryIW5nM+d7TEXA0XMXEJy2xvCxMRVyfrBcDLoKr3JUuK6G4AAIBXrOu66+vrjAqutWYy - oru/6n7hbkopIlJrzfB1ERmGIYNmcY9ExOXlZTuIGRKc8c+vtF+4m8ztNrPtdnt1dRURwzC86k7h - zmqt+SIPX16GpZS2HvdCxjznQcwA71fdIzyPfKSJiHxGjQhyu+8jM3P3iMgHVxHZbDakdwMAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8N5z9W4TY+ylr3vfX4376ia6KbYvJWIUHzup - OowR7lsv4z4kioSaiLdorBCZ83NdY0rvBoCXICJarNur7ssdEN0NAADwimWG5TiOmaVnZuM4Ek16 - 77TYdRExs1LKMAxmxnG8dzL1uZSSH+1UldTneyfT1s3s6uqq7/s8gjnHvuqu4Q5aVLCIlFIybpZJ - 9d5ZHrK8V+bTDtfj/ZKTaiZ2M6nea9vtdrfbZXq3u7s7ud0AAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAALz3QmQX1+M4bi97L2qldykSJbxErRHRRWe9qRff7cU2o+9CxdVEJPO5NcTU - XVw065vSu0uIhsV9itYFgJeF6G4AAIDXQkRkOmkmeRNNeh+1AMvMQRSO433Wjl1emLhfltNpS14n - YvbeWV59TKfvD+1ph+vxPsqjxqR63+12OxFRfaN/PubuOQIR4e6llHz9hg8LAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAgBQhpZSIEAlV5Y+Z4yVxlSidd7oPHUTdOo+i2keoiJiqlhj2 - V5uuD4kx5IuP/t9R3Uo/DLWoldLtdjstZn1XYy8iIaKS/3UR0flrAHghVHW/36uKT9lSqqohr3vK - m73qDgAAAAAAAAAA8F7YbDbjOIpIy+0ex5EfdQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAA3lsmYhKdiGkUDSsuxaWr0qmphMYgEmGDl2GvV1999AejDtd1p6Xsh3EcvCubTbeJMTQs - Y7pDXURcX/ckXQB4zxDdDQAAAAAAAAB4I4zj2Pe9iGRut7t3XTcMw6vuFwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAADgDWIh5mVTS1/LptqmSu/Su3fhm3AdxdyLSZUI00H897/25Vq8 - dq6dS1FVLaJaVVxORtOGvue7BACvH6K7AQAAAAAAAABvhMztNjN3F5GIaCsBAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAQERUVVWXX77CzuB9rISruEWoVA03GS1cpYqGqLiJmNQQMQkt - 7z5+98uPv6wbv67XZSOl03Ecx3Hsus5CNKY6XSVUye0G8DJkzMf9QnQ3AAAAAAAAAOCNMAyDiKhq - 13W11lKKu+dKAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFgitBsvk4ftRK+9XIVd - h+2qDWMZRpOdylikFqmdjCKjhvZlH8Nv/M7/7ZvdVbwbOriMaqEiRVTDRExEJUxCRcRVXOz+RewC - eI3FRGS+P96LJG+iuwEAAAAAAAAAb4Su6/JFRGy3291uZ2Z937/aXgEAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAB4TZDWjfeIuoiEuoi7SjWpalWtmniImrhI+FTQJEz9n/yzz13Vd7cP - dJSdx77ripmM49iqDJWYQrsJqwUAEaK7AQAAAAAAAABviHEcSynuLiK11svLy4iIiFfdLwAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAmyRMwiT6kD5iE7Gtsq26jegj1FRjFHPZhHRV - NmP0Ef/8i1/47d/7DbvwsL3oIDqKRFS3EJv+6L62mNoghB7Ai3YfAz6I7gYAAAAAAAAAvBFUtdYq - ImamqhFRSlHlZ4YAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgPeYiZiEiZT2OsRE - TKWEW3jptNMw8ep6/aWr3/3sb/+DK3930Kuw8LFGROlLNa8moeIioR4q3v4Gv7qo394J1+l/AHCL - +5jbLSLdq+4AAAC4YWbuLiKZH7bZbPb7fXsz1+cX5548ssDxu6qqqln51y+79yzt3qmexZfP389l - 4tqZypeFW8mDL1fO7ZbZ6XeP12TNd31cVH3WTc7sneby+Y77Mx7Tk02/z931uwN3HR6++/D+9oZd - Lm8aLl8csVfdATy7F/Oc/OJw8twnL//2/rqdn/db+/zSPihFRH5uyqWZtXfzNQAAAAAAAAAAAAAA - AAAAAAAAAAC8Vtqfemi/GFVKyV+VetVdAwAAAAAAAAAAAAAAAADg/SBCVNXMYqxqoaLioZlMEiIi - oSIiRhINXgAXCY2iIRpSYiyyN9mLRrgU7URkEFdzUYnttVv5lX/6S5/+9J/49Mc+U/9g7PVBhLrK - XsYoERZSvVbppZiGmY5RW0PTH98PE1mndIfe9IYTG8A5ZjYMg5lUz/iP5/kX7KWUWmv+6/daayll - HMeue4n52kR3AwDwesnfjstfkGu53aqazxarjORSSq5sm5wLUW4Fntr68evjmjMIfFlnvjj3K3y3 - 9OpZip3rUvty2ZlVP2+vcNXgufZXwduq05pzidjLZnOr54sGP9+f9cmwGpDlUJz71cqnjvbJdPbj - t9643G4AAPA+RC4yADGzcRzzG/SllAztztevumsAAAAAAAAAAAAAAAAAAAAAAAAAcCAittttRORv - QpVSrq6uLi8vX3W/AAAAAAAAAAAAAAAAAAAA8PxMXMQ1QjR0jtMOFQkL9RCpNg6bd7XYf/e3/tpH - fuyj37z5jhhqJ5ur/b7b9KMOISFFeivFiw5RRy+9xirBTDN7zZYt6xxEZkGEB4CXq9YqcwBiZoK8 - 7GQQorsBAHiNuLuZ5a/GlaLDUEVENR8OapbRmYjUOqxqyPWraOdnD1deFlxttKzZfVyuX/Snnqz2 - lkjvtu1hh89173TU98kdzDzvVT+X/Tne6nzE+PrLiKzkJjB7uY8+Z3q3NucU7dP7dc7xyJiZqtaa - X65HdQ4I18Ps8HO7dTbX/FxE+qKiaZdfy9zul/+5/WXv9Gs4qMD7hz29yAG+Ffgi3XV6O3ujekH1 - vyc4hfDcOHmA95qqdl2Xn3Eyw1tVye0GAAAAAAAAAAAAAAAAAAAAAAAAIOf/bsarYma73U5Vx3Hs - um4YhsvLy3z9qrsGAAAAAAAAAAAAAAAAAACAlyVURov9Zv87X/qnP//3/sa/82/8e1/6rf/vDz34 - xKVtd+MgNbyMYWGixW0bD8xsHPehEeYuIuqRud0aEi4iFiZiGmahGhIqrhJKZAaAs1owoqo+X4xh - 13UZK7nf7/PfwJtZhni+0J4uWnxJ9QIAgK9HRLi3tGbN1/l4EYso5jk9Wluq8hwRfbcnkWfJYF7W - 3NqN2XF/jnv11GqfKnPNn3GPVtWee/3stS23zSe2ZedP1rlaeXKcb/n9zOPCcyj4bY+Gh4fjZPD2 - 2fDyk+0uKzyu/PVL7zaiLgEAAADcVf55mojIxG53V9WX+q15AAAAAAAAAAAAAAAAAAAAAAAAAPfF - 6/anFdqvPnVd5+5937s7ud0AAAAAAAAAAAAAAAAAAADvb64iRR7tHr/zTvePv/C//+X/5j/5yX/r - 33/yla/FaG9dfMBkOxZzdR1FR5MqZkWkExklimVYt4ioS6jNrzXERDRMQ0VCziaqAcCLMY5jBiBu - NhuZwxlfajgI/9QeAIDXSIumjohaRVUipNZQFdEpEFtC1KZo7PDIgOzps8ocmb0sqZIlpzWyDtcW - UYmsYV7T6p9bmeps73pdtHuinnWvVM606yIq02etueeq4meSl/3cG0eWAdv5dLWMGD8ZfX1LCvUq - gDvXRIhqaRnYy21LKUcttnoOPlbeHqGtaufKtEDu5Zjk+dNKPvdvfrahWMV+r1LGX7ffLF0gWu92 - RJvjTXbX8/9u88lrOy2+Nu42/q/feN7pfGCyBYB7ZhiGruvap3Iz2+122+32VfcLAAAAAAAAAAAA - AAAAAAAAAAAAANYiopSSr81sGIa+719tlwAAAAAAAAAAAAAAAAAAAPCyWci4l81Gr+PqsTz+1a8O - /+Xf/Nk//8P/9ke333T1RLq4KLUUKSJmolVirDsp4nNMt4hpmImLiIrP6Sou4mESGQ1O/heA847D - GZ+Dmbm7qo7j6O5d14nIOI754mUguhsAgNdIi2Ge06bl4mIz1n2tIi3AUjM0WkREbVqTId+tTGZv - 5+tM7G7bnlyWTiLmwGy92Wp6dbhmztue2r1593x/Dmo7WXOr7blyOs1MxEXWS/cTz2eqGpG7aiKu - WiKqiOXyZD2tTL5WjQgV8QhZbZXv1lpP1nO8jJi2OvOutDKrd497a5bPo+v+iHj29rhds+7cuC1b - Uc1DlafnunwbjWfZX5bPsHzZiJIFgPeGMeUCwP3S9/0wDCKS350vpby8b8oDAAAAAAAAAAAAAAAA - AAAAAAAAuF++/j8u9mLt9/vNZnN9fX1xcSEiqioi7m7Gn0oEAAAAAAAAAAAAAAAAAAB4P7sotq8h - fS0f1H199Cu/80tfe/SVP/+n/8I3P/i2t4ePdMNW9kUkStdH76OPYkchblPgnMyBWe4qoatIOQA4 - K/99vao+3z+0L6W4+2az6bqu/TP4/FfxLwnpIwAAvCamTyAyBVGLmdQaP/qv/9mPfexjnRX3uhQR - EdH3fUT4/N44jrXWDFjOAqqaKeDu7u7X19cn276+vs5KWnZ4btL3fa4fx3FZefYw61y2m79q2J5d - Yvbkyf5ku6pTXrjOad/Ts9SZMZqyvY8fs2JKuW5jmEudaxaZg8lFdJGBLppR5Ce2XS77YtXnwYna - OmDZxvQbltO7qi3ZPNbvik/v6pSnPoWIRxURkfVy03XVfT4orjqVNxU1Db+pQSVMpbqbikSoSXiI - ZrL4tMvH/QkfT+5vZ8Vj7pv44tOwm4mKxk3MvJtOGeO3j+H7aRl3LK93rh8A3q/esF/1f4nfzAHw - mnnpD3Bv2Px5Z/70Is9gGIb8+Nz3/YMHDx49etT3/QupGQAAAAAAAAAAAAAAAAAAAAAAAABerM1m - M47jxcVFrdXMuq4bx7Hr+HtiAAAAAAAAAAAAAAAAAAAA72caUmyjw+56qJsLeXd8dPG2/ZPrz/7l - v/GFv/Cnf+KTb33Xt1x+28beGndSYxhLHWVvxaes7in4wCwylGz60nUORAgTdXtBf/8fwPtVPF9e - 98IwDGb2ta99TeZMzJf97+H5p/YAALxeSim1VhFRlVL0x3/8x3/4h3/Yx1EOHzUyk3scR53l+pbY - 3VK0lzHb+fpkoz5HRLfaWj2t3dbWccm2zDVtw1WY94qZZeB3VlVr3e/3wzC0BPGVR48erZprlvni - xwnitdZhGMZxnGvWZYHc94h4/PjxyXZz8/1+7+7LNPRSSr4YhmEYhvnA3exsNp0dy1aWg9bavbq6 - Otlu1rxsN8ubWdup/X7f2l3tcmu3Hb5n3N9x1g60zKdWNp3tjmPWdrKO97W7RrGSxQ0AwH0RJuqv - xRLAq1BKEZFhGETk8vLy8ePH2+32VXcKAAAAAAAAAAAAAAAAAAAAAAAAAE7IP3mRf6UkIrquu+Xv - igAAAAAAAAAAAAAAAAAAAOD9YRh2fd9rjBLx4IHs9/7QvnytT/7Kz//sj3z3j/7Id/65jz/4I8U3 - Il30ERFWZQ7tdhETcVcLEZ2+FJEW491ekJoB4LSvP7db5vzK7XabEZYyB3i/PER3AwDwGsn46nwd - IbVG3/fjOGqEqC8Dg0MkQqy0l60KyZzlFuLs4bneipz7PFMzodlay1NVcVPhzVsRU3TxYcM3K2+q - uNn29HOSh7cOh4gVubjcXFxuzgyPfOidD5x7626CXzV8kVr0+7NHsJ/MX5czEeyt2DiOywj2bLcV - c/fb2338+HFLTM81yyD2VbutQPYqa853M8+vFcuE9dz2ZLsZsp6bL1vsuu5MBHu7FGM1INmfVkPu - /pMnT062ey6CPX/zNvu8yHZvuzxdthEHF3ibB576qafrxP0m012XE9fhttnWsqTqut1j+fkoyyxL - mp3oc6u/7VR7a/k63709h35VwzO2e9yW5xQaoiamGhJepzUnl12x6h6+Xq8iotLWq01rbq8tSx7X - 9tSl2vT63Lar/pxcc9elytRuyOl6VvvSyp9t9xlO4CMHl8/q9eKgz3e75/q+gB7caG+roU2JJ7tx - XMzMIuLr/27FsodP7aTM38hYNb2cz+/adNv2+NZwcpM7tXv7YN5S89OFPbWry9ZfyPeVnnqwVqfr - 13NcTtb/1HdbmWdvNwdqdTv7+qs9ubmI5dUjISKmoiImcnZ9TE/M9tSlSokz6+V0bSLiqkXEI7T9 - AOOuS7MuoubrrCfrbGXau7lULarRyq/ebWVObvssy3Ott37e0uKzjINqaTXkvpzbCxHLd5+9/+fL - i4qoaJz51HNwmonKdHO7QzE9X/64KhX1tuHixnHLdaRyN6sm21y9fLI8vrsdX6GrKWtZfjVDLus5 - qX00yG+vn2zuqVR1HMf2TXlVvbi4yGfy/BM2rdps6E6VAwAAAAAAAAAAAAAAAAAAAAAAAMCL1X5F - K/8Ggrz8v1YGAAAAAAAAAAAAAAAAAMAbpes6dy9m1Ye+dLXWM39eHXjvhErtosq+RKejRPVOI0TG - /np8p/+FX/sfP/c7n/szn/lzP/BHf2ijH6i72MqF+ds2logQiypVREJDi9WxmomGu3uRYtaZaEQ4 - ud0AzoiIruuGYWhf3j3zRERkGSO4evGSEN0NAMDrL0TfqI8iL39np/F8nvhDlsdLjwipoioaal3R - 2GhXuuj77cnyH/7Ih1r44jL+MCMbl/GKGbjoLmaSkY3uElFbqGSWV418t9aotXbd6Ufcvu8zZm8V - 3Z1fLiNmW0ygmeXvpraMcJGbKNz2pO7utdbN5nTqfN/3tdbM3s4IwOxDi/FuXcrm8i0JUwsJC6nh - GlJNu1yjFrmmrRd1CVsti/Ueo1cRdZUybRVhZnPu9U0A8KozGRZea21J58sc1vT48eOT+5sx5MtU - 8CyfeYctiTwrj4h2XLI/OZi11t1ud7L+hw8fnsu4zW2z/qw8P6dl4VX0ew71MoI9220f6lbefffd - tjvL9TliJ9vNF8sM9SlOUmLZbm54rt02XG2IVmPVIthD5oxqmZZqEodrRE+sObns+kUEe0v/FUrP - AAAgAElEQVQfP7/tcevHa55laUViziCdErtl2pfj/kfcrJ/Hc97y5LKNyamY8JsOtyDwRQR7O2Qn - Q0CfmgyqqidLrc5DmS7GOBckv+qGqqja4jsJ62KrCNU5dPZsZPq5/TgTz7wKrVeRUDXVqPXEDszT - 5t1aX13v80iuR2y6H/mJ3j716CwmwxM9afuVt4K277mn2W6t55rwCFvUM022tdbW9LPEyp4Lqz6Z - SnvmYB3c755aftnusVW3dXZ8Pz1Zz7k5/BbLOk+Ow7mOnavtTDt5f8zDKrmcLpnpwsmE5jIdx6gS - 2YSpqGqXzwxjHZc1tGUc1txqi1Z/aNYjIqJ5QltEXlai2qmqSIYTL2rQjBO2fFdEVkt3X1xouZsH - l55qyczyrKHWmjeZHC3VIiKqeVOzoxpO7Okty7m3y8vf2n4t6zTrVFW1G8dx0eLTW8nRaK/bMc3a - 8pi2MhkPLVKWW03HVHU5znM/z+21yGGEdgZvT9PufAnHdKsJETHNDsRqw5O1nSsz1RMnCuczpyyu - uKdcm3eMuM7n4WX9Mj88rx5XVpaNrqK+V546maz6U2tV1VKKmZVS8qnsTjvVdkTmG/QyAny32223 - 22XHMs/7rk0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPDcMivD1UuYhpWQUHeV - x/7wwUc/8HtPfuu//sW/8vd+/e/+S9/7I9/5bd8t8pHhOt4qHyylRHWNMmUZ1OhCixQtEaK11jqO - rqrFJOwpPQCA+4bobgAAXrkzHzMOg8ks7hw8dr+9B2nlIaLO8gUsXVR9itD2ahalWJROIkTjeOnj - IOrhehQ4LaquYYvyLuIyRaKGWlioaKiEanitN+2GqkUpJsXORXL6sJfMjl2dBS0IUJerpXpVCQlX - VZuDXVVVVTzDF1sUt5oUq3U82e6Q6yNMVT2yHYuQEJ3SOCVsqtpMa0jk9e4uEiquVkTUvaqHSKiH - ik1xoiIiPuUbHy6jDhLVxCTczCR8GtPR5/2Q6T/ZP/dMpCzF+rKRdVbuPDRzWuE3fOTDJ/e367pM - OsysypYIntGGyxTGVdhqK5yb931/sv4MRl3mRGY9LQq3rcmlu7fE9Na6qrYIdpkTGbPpcxGM56Jz - 2y6sml72rTXkh/1vPcxOnqy5lNLiwJe15ficDNCVRaB4i1FfFmtbxfkI9t1ulzW0bdvxyg6vIthb - RPoyyHyVmrk8ag8fPjzZbktAXx7QbDTmXPlW5njz1ui5KPRHjx7JlE/a8lxVJNzDvY5jHcch288Z - ISPn3aXWYRy91sFdImoGNucyQt3HWqPWYb8f2/rl8uHDx/l6uW0mumbNw7Cf9jgiQs5lk/Z9ca/u - N2HqOV2cLJ/R2tP0piKSieDzlqeWt7QbUW/S3LN+kXbaRrTj6yFic0XZesTU4Wnfzi3lMM9XZdt3 - EbXWRZZ5hIiUw3ZbzHDm6oaL2lRHeEvQPR0a3s6CZd9K0RzamPsc7q2HWZvnmqgne56D2ubYnGDm - 7h9EbrfLVo4z2A92+g7rl5G9beZbFV7Oz+cjrk/3p81j80G/LfNXVc/Vc06L/m1tyTy9HFeeeb1n - +n+nZg+OS2s37wvTSTZfQhEiMZVZrvTTHblNHO5jhLerOw+R5GW1mOxV5zNsueL88Tq5pwd9aI2K - iEi7D+aYzxfvs9a2qDZOFYtSlvt7cx/LdttNZ3W4WysnE+tX7eaJsWwiz8NYXJgtcn4cb57fdGG5 - fq75tv2VOBxVWdxpVkMhqqq+OF6L7uhYR5lnmmVV55ysR0TGo9Mx35rO2KMxPJuJfa7dMwdouf54 - flu1e8v80w706iHknPa8lE8CubLruuPj+FRtYsn8781m4+7Zn/1+nydqm2YBAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAHgvaUiouHmoFzcNK94VkVLK1fXj0vWX3/jg84//r8//rc99 - 6uPf+f2f+lM/+B3/ioYW76RG593WtsU739dttx2H/RhunfSbTjsfYqy1qvdGejeAM1ahaZIhI7em - q7wOiO4GAOAesNf9ieJFew9yu1srLL/uZUhVUbUQEY9RXUVcVUNqRmCvlqUzkSKlRU9nQmRRFZFM - 61TRiJCsoetN5gxaUY+IkFGmjFuZS45SRTVz9W4L0jsXkHyUchqllNXKZSpzy5VcZkmerHkVIbks - vKw/Ew1VdY64zujKKiIR41yViNRFSG226CeXqlN+rKot1k8Ry3N4sEbUiDxkJUOFV7HEx2uyvFl/ - MqJ4GIYsrxqqnWasemSMore2RDzCVCODkHONSFGNUopZRjyeqD+iHPew1TnXo20ZEe7jvP6mZAtg - zn6a5anotcbJdvPduebjdo/7mYdg2ko1RKzTGGrNuHq1kChqYWZW5DDG/mZZs7yYFVGZthJ1ry7q - 4bqoLcuLqKsUK6LalU67rgupxfpWZ26Vy3feeedku13ZeIx1jJDa6lcLlZJrWg0qpfVBpahFuIZU - r5Lt5rarrc7tb7ab2+aarL+1crzXy2Vu6zF2ZXOi/sVVuXyhql3XzSG108Xego1Xl+rqEl7mr7v7 - fH2dmGGWjZ6cLpYZpRkxfmwYhswvb13N9S3ydhiG/X6fxWSOHs8y2cM6O1n/V7/61ZPrs8VVmrss - psdWbZvKcjxzT1ua+ziOcSpG+/HjJ5mqGxm1PU1csb/eR3itXuuY6e0tcD1fu1f3qHVsges1agaW - q1pu616vr3cng7t3u32rWdVUZc6Ij2W77jnxTr1q7c5B73Oqsa6XGbh87lgvxzBfn8uBzTvgcU1m - p9cfRuceVHuy5XNByF1nLVl5GQN/FNkrZmpm43hzXrUqI2KRH99C3E/vaduDVmB5ri5raJXXWpc3 - 9mX9x/ve1p+Up+3UgzmpV0RqXUcUZ2rvKvJ52asz9euywCLSeDwupqrziddWhqqpRq3r8OPT+3Ne - HpTj7XLaO54fcv3ysGd/bj9/jhvNFyfrFznd7nErz9JcnhgnW2+v2xqz6dmmTWjL1vP+HnEzb5w9 - vtO3gE3Ep3vl/Prm2SCfTMQiaq5frpFYPEWceA55usjuHZw2B7vcbkDPcdqsLJ+oj78nfrzezE4W - O9eT1fqndngYhq7r8lZ1cXFxfX39LFsd6/t+GIY8f2qtfd/v9/t8K+eEjPQWkd1u13Vdi7oHAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOA9oCEiUi3jUby4qJuGeUTf917qE30YF1ZK - +Y0/+OwX/vlv/6+/+PPf88nv+8x3f/8nv/Hb37YP7Yeh32+67WYY98VsU4qb7+tuN+yik97Kbelf - AN5sLyr35L1HdDcAAK/Q6QjhQ/fv8eIFiGcZmTfYexNtfkeraNuIOBeS3cIUVyG4xxVOmbg1vxQR - bYGbGZ7XyszJopktevrD+ypqtDnuRqZyrvIFc3eW6d2rnM5niepsaZ2qWmtdBngvIjx9ufsn61l+ - eS6C9+T4q+oi/XFK+J47kLGmIZLZpR4RqiePo0Zk1OiJiGuzbhGvWSOm8O9SepEMVs8w1Pyfl1Lm - tPUQ8QjP1lXLXObgf6oxp7cuV0qrMBttkeSl9O3deb9ExPPkisiYXs9Dmv0/k4aeoeM2B7tOoxdR - MxO9BZ8v2s0U8CkiPTuw6fqIKXhYNTLaV8JFTi/70uWAT2e611x21omIFM2we5EQza1EwjN0Wq2I - qJiJqHuozqHCRef17ZCulxrVwtU0L4h536t7VfEp0LSYav5Ph6GKu6qoh4qpinRzXP00YqEmESGm - J1uclj7aVL9k69PJ6lXCVUUlxPL6MNUYRxd3kZDqqqVImOl0Qs6jcbNsEdrt2tJ57qqD5F1Zc+X0 - v/1+MDMVVdX5Lc3I3pjj2XOAVMNM7Ey6qoqKzrPNdEKKiNRaMwPYbPp2ZhZ554Nvn65HD8Jl2zww - jmMpZRk3bmZmdjw/5Bl/bn4+t37VbtMiS2POu84vMz8158mss9Y6hk/ljyLbM+Y2Q9/berXorZ9D - c6elallcpy1wt7pLldqVTdYj6qadqNcxPMZldP1yWazPiPrsQwueb/W02jI8PuvMKPrlu239Kiq+ - 7/ucV8dxHIZhGIY8czLiPYdrmYmeWbPHnjx5cjz+eR9p2y7HvyXKr9rt+35ZLAtkqvrJdh8+fCjz - XWl5yplZttsqzzJ5MkebWOe+jeN4fMeMiMePH59sd5y1G3eWz1tzVrhMkW8R2vlupshHxDAMJ9t9 - 8uTJyXb3+zlgfr6LnSwmEV6rtKlL8wZ6c3DO/QjhYA6QmxDyrmTU/U39+Z9Wv9xEL3ssHoZyeebp - ZtHuqZRuiaN256ZvHrZu+rKYvA8eRp7S7mIvb5yInI9oOevzeLZnkqndwz4ue3Jcv67SzZfll6OR - bc3R7LGubyrncnPsTvTnqGPTQ4LZFPbt+SAruZzqVBUJFw2dA8HbcvmYcbg8bX2wFiMfEatRUj17 - 5M6N56ry5txz9aoPcnOi+qrA6TPzqNF2bqxPm1OylZwTMoT7KRscGYZhs9ns9/uu63Iiury8vL6+ - bp87cu566623ttvtXSsHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAODroSEluqpi - OlaTsUioi4xd7bb95eOrxzsfu0vtt1q7YeiqyF7qV//uF37z//zCL3zq49/5vZ/6wT/28e/5hgff - tKlvy3VffGPSq/Ui29JtXCKmECgAOO2WtL7XGdHdAAC87vTWtLb3tXUk8Itb3nMZC/payljNfH0u - 0m+ZkH1y22W+tYiM1ZdR2XoqNHK54VMjtFc1ZKzsKg9VDp/vWweOE3NX9RxrvVruV9u7FoPayreQ - 1JN7evxli849bnc1nrmcj0um6k750yJS676VMRPVKQZ4Tj1cR0jOAclytJxynTOdOsur6jDsjnY/ - 5jzsg/Jz9PDp6MqIZQRm2xdxH0RE1bP/IkVVRcp+v9c1kcyWliIiGRwekXtUzkVmuo+LNVMfWlS8 - apjliGW7cn19bWaZjK4amW+t2o3jsNiLZdRxxlTHajm3m3GVWd4jotbleEYLZS9FI2yOUa6tfjOb - k6Zv+i8iEcv9Oh5naWdIrum6TqREhHu4DxEhMojIZrOJaOnO4+osVb05E861uAoozT3K2mS6Rqb9 - ch/bWd11XcTUt4hxPpfO1hxxM0ctr+Ll5bZIofa+7+cL35fp1C2SeUox18ykb5Gra9nm8ey02XTz - dVqX1+y5+SRPjDlZXNtktdl0i4krU5y1VimlrGZUEV1O1CvtfDvR8HSqH6wcht2iZjHTOTR6nPdl - GiUz6UNrzGdgHCythIqFRsQ0k+SQ1jocNj2d57vd1WoiVZUSWqdzUlTUZVRRtVDX6aZ5tPQY3V1u - rlPVIpHJ3BGioaLWaZtPah1lnp3UxLRIEdUc5OP5UK53TzLNWk0227LZFlncUNowtqDrzeZj58b/ - +AY3n/+xzO3OMXHPmXB9Fq1O/ojIlOvNZnPuoJ/8Vtfy/tXibHWeu9v61rG8T62uMjm8Bpcy+j3j - t5fF2vmcNbfyeZ0et5uDfzyG59ot1rdtl2VaZHgGe2cOeiuTr3MkM2783PX16NGj1SNB02LUl7s2 - j7+M4zCOtdaxVo/wdoPI5ONc7173++H4JiISjx8/yb1v284p1FrrOI51HIesOdeX0uXr9q7P89/J - dodhPNnuo0ePs8VW8+LWVtseudflVhGSme/Zq1rH1btt+ejR45Pt7veD2TiOeWdvI9zm4Zthf8b0 - 8fb6uIZV4fbWfDdwiRPR2q2MxPTfw+VN08tNzkVrH/cn4qDwapdL0dXzbZ5xt+zXs6zPu5KI1Bqr - WO58YXYTM9/S2W+REe8yJ3bPN77bsurHcez7Pq9EOf9k/lQ5PrXWt99++9GjR1dXV7L4nNLmumEY - ch5+vlYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHhepiE6/9n/ai4y7nZfu3hw - cdFvduPu+npQFSvist+HbD+sg8Svf/Uf/uov/qMPlo9+57d++o9/4k985o/9C9t4u/MHMnYy9uZd - kaKhLSAGAI6dzDN6/ZEvAgDA/XAmNO19Kk7mAb/A5X2WmaPxGu2IikiItAxjEcno2XNZf3OBmxpy - w9B8plbRfLLOAMPO+sMt5yfv0JjbU7WsVJ4WoZ01zD3XtpRlGqRIRJTSiR5G7YbETRyiLvdARNxP - fxgwmaLBM2lXQlRUQop2EXNG4yLWt3SlNbps/dx+nYtIl8N01abrW2pvy24MEelKizBvCZ3SIleP - LaOdj/tzHIrcb0rbqQj3xcenm+jfeSMzPReBfG5Pu9Ki3w8q32630o7sQSrzupO3f5w7F/W6DItd - Hriu606+lQneeng0VbXW0+N53E+d496XOxVHie+mqmYtvjcj2Ff7EBHlzHm1DOVthSOijuPUDZEp - k1hVRIb9vpVU1VKm6PdxHFdDd24k27vLo6Oq0xlZa4sHtlJyvzKiOG6u6ZvKz10Xqq7tus5ZK6by - U+Xz/7O23W4356+rihSTfDuiTqnUkX2eh1TOzANmsgiebfbDdet5Nm9mInqu/7FoIuJm6ljGJ1tR - K9OhqbVOebBxOM+cua7PJamqZt/XU1Pp5ot3nj3mGTJu0mql5lCJSKenvwURdczxWcQsi4io6c0X - iw50ncnBuZTnjIi7tg7Mu1NM3U9fX6paZL6NRejcvte6vljyuj4Ytzl4Ns6O27a7yeqWedxUxMOn - HZyPu1iJMK+no9Nv5v+DbsW4u85K7CDgPDR336usTrl2nuRFrNJ1Rbpy9nqRg5l5UY3nuxGhIuEh - qiGS81i7eFWk5EGcy8+bHyb6Hqn7ncxPbK27IjIO++x+EZnPcVXV/X63vN+1w6qyDgeer/0z7Q47 - ETFV0ZscYlV1r6ZainZWNp1FTEnhbbI6vArOWs7bR0N6sKbVmXOtiEdoRM3XqkXE87WIuY/uElG7 - brNc35aqJbdtNeTSrFuuVw3Vopr3k6mV1q5qlNIva4io7uI+mnUn2831EdqWy/63NaqRywhtr9te - H9fc+nxLu21MlmOV/a81xnE/jl7rkHua4xCh7mO+W2u4j8setr2IqI8fX51qV3bDUGtdhpFnDLmq - RXiGkWdged64Nptthpovo8rz9amocnn48OHJ8yqn+syrzubzptBt+pgj54epb3Ua5cVjUsbG11p9 - PD1PvvvuuyfP0tFry7NftrvZbLLwOI7DMGQg/Xwp+bLd1u2T7T58+EgXF+vNRHvyISKk67psMVdc - XFxcX1+XUm55vjqp67phGDabzX6/f/TokcxPNdnPzWZTa80x7Pv+aZUBAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAPAihUq1UaJT33QuIh7mob7vXHu58uu4luKyVTM1j1prlM6uR38s - V92ldh/YfKV+8Zff/aW//3/88n//q3/9u7/1e7//O37o2z78qQ91H+6Ht8u+l6pS7P6l8gJ4T6xy - T+4RorsBAHhdxZuW141nEzald7822qPwccrvufKrNVmyRYe2MM7p3aNI7BY9u2zlqcGZushmXmYD - 1zkSWBbxqBl2uOzPTT120FBLzD3ZqLRw05ZDfDhWy+DPXLOKmG1vLSNRj/fi3C6vCkfEOEczrkZs - Vc+qw+eqPdYigVdHZ5wjn+XoELf1bZNbPlmda30ZPbusv4U4Llcul3J4KG/ZtVZ4Vew4vXV5vNbt - Lnbt5Al8bpeXg6OqwzAs96KdusvCOSYZ1Xkc/b667s41KocDlce39aT1PwMs25pzl8+zf2ZejrPO - AeStidbQ8hRdXuPnxvPc+rZfcRi1ninhq6ZXbT1L/a2G1ZoW8d7qP3e8lvUfzxtydPmcuyKWe3En - qxlvWZseRlPLHIW+PGq5yfF8vhqZkxfXsrfL0/54L55lDl+ZOra4ZJYn3qrkqp9PnTo8RlVtyeXT - hqpdb3PMrUfcZFpbOTe/jcddioiyiDCPubr5/GktLvsvGYDrEXkspsE5Mzx+ZtdCpoxltbzBZbWy - 2XaLC9TngzX1th2t1W302BQtPh0X95gC661oa2sauxBVzXbdPTPFo43JYSu37Gkr0E6WeSZoc+BN - TrxOwd6iOiXWu69P0dPj6X6814fXcetwuLfrZrlV3sFrRIh4vmuWJ77Nzw/rpWoeiykNut03IrJM - e1ciap6uqhpRVXVuRUR0HPerOs1EtSxrPlzmXJpjeLBeRLLP80VUI9opWnMOWwzS8X559vDku+O4 - n85rtba/Zl2t+RwSfd93Xahuc5oaxzFfrCbJ5WyzXH92fu6Ku9cxQqpppxYSFlJViqjnA3y4qoVK - UYtx8PY6S4ZrbtvK53oJk8X8tm5XdXnnyh3RfA4xNdEWnp7B6O21Fss2fKzu3p7fjutvZ+Dy7C2l - uIR4uETWbKJiOu4HLVbUQiWq555osaje+pC98rHW8L50uX61zL61Pg91HHb7oY6t/4dL/dpXvlq6 - zTgMXd97rb/62c/+9E//9NXV7uRO3SLPh3zKioiu68bR8yG2lLLf7/u+b+ndt8z/AAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8DKHi6sVNW1CMSphUETXpQkwzFcBF1EzdXTstGnuJ - 67oLk7IRK7Ibn/zKb33pVz//Dz7+4Js//Ye/73u/4zPf8s4n+tiGdxIbEbUwDVMvImYhIiYyJQW0 - dkVkFfTtKharhIWppIiL2OG7+dZBgtIcP3M6pgEAngPR3QAAvBL5TL943J9iAm2OBvQMCdSYPlfc - OWPzXruJpvaXtsQLM8X4LWIy25eny597o4UjLqsVET3aQkVEXKrMYZqReci3ZucdFJi3EhHNxF6J - w/7fFI5l2LKud+BMgOwNl2VV82udWj/o/8lun4+GfWpY4OloYZmjGdsAH355apNVvbc3uujgXD5C - TBcfPY5quElWva3us62fPPxZp5keroyTr+UZxvNksZODfC7asxVenN5PHf7ThbVFFK/KLPuzSCA+ - mTJ9vs2D1N/lIfVT9YtIXUWAL7p6p5Tocwcojto92PFVP5+t/rPrl3nYh6f0iWt51e6ZTrQz/Hj9 - suHcEz2aZw7rObiyVpsvv1j2alFSj7vxVO0SawO93KPjd01NRGKRHi6H5+bK7Vff8bvPchyf8Ype - BpmfC+1+7oZUymKmzy0lQqpHO9y5cq72fD2HJbPOHOHlkb3l/FnOk4u2nzb5n3r38DRYzANjHFR/ - dKoserJeedzbeeR0NXpt2zZ6c7u2LHmijafNES1lfP7yROj7Msj5eOWzeMbzeW68RV8fbHGqF2cn - 9Tniernm9h7KnOR9UP4Z7n53aOVUz1/Mx53jG0KE1FqXbbWxdXczEYmMDz+uZLVSj35gc9PK6CrS - mU0Pi9MMGCI+X6suatPr6p3Z9K57/mBLdP6E2MpnDXkPPzqOc8OiIuVmavc8a4pKRl+HiOVPn0Q8 - Fq/dIyRUikopevYj0nISW54DPormj8WyztAQd+mLuoZGDZGioioW4VGLii9KhkhnYmoW7ssezksN - Ub3pc9/Ztly4ioWcXH74A29Z39X9kMtv+dZ/7e23Lv7iX/x3u40Ow/xjO7NwV+3i5qHlxF4vTm4b - R59++KeRid3DMJhZRqQv07vbi1rruRx0AACA97H2DUwzc/d8WMrlq+4aAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAcGf5pzVt/nP27a9uvup+AeIqLlLNQ/caN2FYGtLP6RIuIhGikgkAKlJq - uIqpuE5hCCpSumFfR9kMX6gPv/Abn/+bn/sfPvbRb/zkH/rUD3/Pn31n+7EHmw/auJF91+vFVi97 - 2Qy70axoeI0IFSlFVT1GF4moqkVVVYtEeBxeLCqhLuKhoeESnYaGiGi4SugYU7bSlPTlIRYm6lNc - g5DhDbwu8p44DIPM90oRjWnCea0R3Q0AwGvN4rV/mniJyO0GAAAAcF+8Rp9fLJ51+bLrfw+WHh5j - uI+9FOtL3/f/4p/6wf/0P/uPf+o//GkR6Xod9iGePx8MUX1KtvxiL/M/EZox5vlDcXeX+WcA+WXG - dZPbDQAA3liqmv9w0OeHrs1ms9/vX3W/AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOB9 - xUJMRdwyyyD/aL8ulskz+C7E5Kaky/yFiIiM4t5JyJNqe+vtSa2Ph6/+/u/93v/2D//OJ77hO77r - k5/+rj/y3X/4I5/4gH1kuLquT+QjDz467oZw6bpOi+zrWGNUjb50ERYhtdbpD/trl3/bX3SKXdCQ - UDHXTAGIRXc1slORb7XEPn3GVAEAeAZEdwMAAAAAAAAA7qWu60TEzGqttdbdbnd5efljP/ZjX/ri - l3/mZ35mP4SIbDfbcRxrvdOP17yld6tq1l9KqbVuNht3z/TuiFDV6+vrzWaTr1/8HgIAALze3D0i - RMTMzGwcx8zwBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8QBrSj137Q/qLJG6PxV/K - n6K71T3MYlOnoi4hMcVpS4hoH6PH6KOZlWJPxuuHV9cf+KaP/Obu87/5a5//27/2P33zB7/lj3/r - 93zvt//Apz7+XV/80hc+ePHRjVwOu33sar8pm76PqPv9TrUUsc6KaRcRtdZxvLa+m1LDRTTE3DQs - VKqObm5hIlLcRMzEJCzjvUXdRUQ9tw3NoG8ArylVjftwkRLdDQAAAAAAAAC4lzIYspQSEaWUTIss - pfzET/zEkydP/vP/4mdDZLfbiYhokbt9z95FpO/LMAy1VhGptfZ9v9/vRcTMMr1bRLbbbYZ2Z7z3 - i95FAACA15qZiUg+iQ3DoKrjOPZ9PwzDq+4aAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOa0v1wAACAA - SURBVAAAAAAAAAAAALx/WIiGS5hkrLWYiM3x3S4iPiVzT9HXolKnYpMQm1KxI1TETEePqG69dJti - vT6uD3XTdRu78vqbj379d/7xb/zyZ//2B7uP/st/8l/9xEe//Zve+dbtxQOrxWVjg+z340W57Epn - Uty9DjtVLZ1uynb592ktTGPupFZRFwkNFRULUzcR0VANCS2m4SKiEkJuN/DaiYi4F2Hdh4juBgAA - AAAAAADcV5kNGRGqenFxsa/jOI5d3//kT/7ku48e/dzP/Vd1FBFR8ZCYfy6YSz9T5c36YfDNZrPf - 77uuG8dxHMfLy8vr6+t8V1VrrZkaHhGZWwkAAPBGUVURqbXWWtuDE7ndAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAPBiuYqJi+7nxG4LEVeTzOTO3G69ye0OcVfPlN0Mz7b5dYRElWKyKRIh - XjMv23q9GPbjPkbvq70tNYYvx5N35Yt/9R99buuXn/jwd3zmUz/0PZ/4kx+++Ph2fHvbv90NGxnq - zndi0W+sFBv2++snw+XmUmNKy3XzalJ1FA0LtxAVFzGR6ur5R/5jzhfP/ZIQFRGR0HOZAgDwrIju - BgAAAAAAAADcS2amqqpqZrvdrtYqxSLC3Tfb7qd+6j/Y7/d/7a/+9WGoqhoRz9FEblVrffvttx89 - enR1dZUrM8w7c7tF5LnrBwAAuNdKKbXWXO73ezMbxzGflF511wAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAADgfcVNQiQTrl1ExEO60OndzOfWEFGRXIq4iWVud4jOkdgXpYy1+iCliKl4iLuI - uOp+U6yqShmq+i7CRTSkv5Tr8dH/8+7jf/b3f+t/+ZX/+Zs++Inv+6M/8OlPfuad7iPb/oGq+hhj - 9RL9pvSXb21l0AwKDxFXr+qhIerZ+xBRdQkTEdeM8Z4iumN+7erZZwCvlfsYzEF0NwAAAAAAAADg - XhqGoeu6iFDVzWbj7kXNil0P4ziOH3z7A//RX/pLX/3yV37+538hwvPngyLSfvD2VF3XDcOw2Wz2 - +/2jR49ERFVLKe4+jqOZXVxcPH78eLPZDMPQ9/1L2k0AAIDXVq01l6oq87+ZcH/Wxy0AAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwLMIFTcJFYlcuohIjCIiYhqi4uaiIhJiIdVETKzeRHpb - iIpYSNcViVozSlvFVKwTE6nD2BX5/9m71xhL0vu+77///6mqc7qn57IXzu6Sy71y7yR3eRUjy7Il - x7Bsy0psw0YQm5Bsibm8kBUH1osEyIsoSBgGsA0IsmRbIhzAiOMXAhQBlhEkjmxdLMokl+SSq+Xy - ssvlZbm75F5npvucqnqe/z8vqrt3SC0p8b6z8/1gUFNdp85TT1X3TM90AfUtxaeMViVTKfLSWbq6 - bq623T7/Qj7//PTFRx/6wK8/sL771W++/TVvvOvGu6/cu9Y3Qx1NtlqXVbUmUyy5bklSSQt5WEgh - uaebTHJPSR6SLFIKq2lKy7DwdIV5+vfgWgN4KZdit1ukuwEAAAAAAAAAl6jMHIbh4OAgInZ2djKz - tVZrXa/X4zhuNpuu6/7+3//7BwcHv/mbv22mzJC+gVtrtVYzm+fZzDKz67pa67JRR03KnZ2dcRz7 - vq+1dh0/cgcAAJcXd5cUEZm5/JPJ3Ul3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMC3 - Xeowtq3jfq6FJMtYmtzLUpKlShzv5ku92yVLl7TdTF3v3VBSrUVUk0lNKp3C1FpkqOtUutLC6hwh - 1axe1J2wtLrfxoNUV/SBJ37ngc99+OQHrrjpVbfdd+vb7rz+jbbSuNn4qvd0C5NUUpIi3TOkIpWj - qbukMKVCUlhIyiU8fnQWAF5uLsV6Nx0RAABeXi5uWmSqlPL19wcAAAAA4LLVdd04jqWUUkqtddlo - Zm0aO1MWa625d//oH/3CT/7kT77vfR+QtFr14zguXcmLl1/rEBe/dHyIZWMppbXm7n3fZ+bxf+GP - G961VndfepYAAACvSBdXupd/I9HtBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwKVrecbm - 8oDxzDTT13+YOfDd5Gkt0kyllKitNpmp702Rh3HulJkyJTeTlyiuIikzD7+MLa24994sIltaZFn6 - 2ZIUKdNRXzvVapPU2dHhQ00hhaR0zSbtTHP3/Eb7zz775Iee+vcnyxV33/DGN9785tuuvXs39la5 - Z3PfqsyKl+K27mbLppY1LVRM3ppajeru8rR0hcxKL1dYrdVfPDaA7z13r7WaKS6pb4ukuwEAAAAA - AAAArygR4e5m1vd9naPrul/+5V/+iZ/4ifd/4CPjOLp7RJgd3mb7pm91t9aOc93r9Xq73Uo6f/78 - yZMn53l29yXgDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAt2ie8uTJ0+O42T8/ - 7QzaWw8takT4cXtbCimljFS2Yhc9jd+UnpJSIVNYpClNSpdCR43slC7OZfvy7gwpwmTHj/ZPT1O1 - eS5NUreybl2erS/8/uee/Mhjv3tlueaeG+6779a3X3fFjSfXV3S2U+dp3N/vt6uTuydXwzDO223b - enEvpbT0rijSzYuXqBlTuGx32BljSovv+GUF8Mf2TQc+vocIhwAAAAAAAAAALlEuSbrobpmFpH4o - rTXPGLfj3t6pc+fOrYbhF3/xF3/yXe964IE/cNcwDNvtJGm1Wo3j+A0f9Sj+3VpbtozjWEqptZ48 - eXIcx9VqtWyfpmkYhm/xJAEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAl7nSd/ub - g8x24kTXmTabqTYNw+Hz+tOVLrlUZCalWms1miRzmUlShBSHr0qHKe4SnSRZmMJTYS+OFQrZ8usw - 423hkit7Ka2rpbPWMuZsXsugqZs287kLeuoLj33i3336N67ZveGu6++756Y333DVbadOnVntlv2D - F9p+K0NfBqt1jjm6rsttZGZEhlpn/eB9Zk7TTHEXwLeOv0gAAAAAAAAAAK8o4zh2XefuwzA8++yz - p06dKqWcucL+6T/+x3/jne/89Kcfq22SVIpN0/RNjB8RwzBM01RKaa31fT/Ps46S3qvVKjNba13X - 0e0GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHzrwqp78SythlK7/Vq9t7lmphdl - U1NrrqhRUxEaBpmrpaTDVrdM6SqSLR/FYZBb2Ukhq1L4EgBP17JbSnb4bqVyCXtbWqqNdbXyobc5 - ooZmyXrFoG1kWW0z8on50ac+8fj7P/471195y81nb3vLne+4Yu/sidXutN332XfWOysNMYZbV0pf - zCXNMc1tUvGu97m27/IVBvB15KHDD83seP3ljHQ3AAAAAAAAAODSZ3G82nVd13UXLpwbhuHs2avP - nTvn7iG7+lVX/vIv/9N3vetdn/70Z2XLz/HjOLwtuSQpXnL4i7n7NE3u3lpbrVbjOEqKiK7rSikR - kZlL1buU8h05WQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAZSNMKqrRBs9OnUZr - o3c5lLbuS29mEdGyNYvSu4rSW23b0GzKVCxP4bcis8OMt6V8WaYsleZNq7D0DFN1VZNs6fKGp3kz - NVOaWqlp0YV2QmWMKIel70jVSSGtBt/OMbex9dGf3O5PX35+89lHvnj///Po/3Xt6RvecOu99976 - putOv7rub1bj7q6f7JpP23GS+nXX+jb6pnkbhpXCS/DAfwDfEtLdAAAAAAAAAIBXFDOrtZ46der8 - +fPnzp3rui4zV0N/4cLBDTdc//M///N/82/+zXPnztcax+Htb+4oksZxHIahtZaZtdZle2ZKKqVk - Zmut6/hRPAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgm+SpcdLpU6vN82Pbzlfv - XFM3drK74i33fd8N19w49OtV3491PHfh3Jef+dITX3r86XNfevb8M1FmdZmlpc3Vpzm2s4X74Zhh - couQXDXMJZckiyX1fbSX0hRS2rIeUkiSyYdunmutyk5pcmlVuq7rpqmuSzFXWB272XptYjo/P73a - 7Q62L3zmgw//fx/417dcedubbn3r3a+99+zOtbn13fWeqdvMBxnhq5KyzWazYye+65cZwNezxDiO - qxyXBHohAAAAAAAAAIBXlFpr13X7+/t7e3uttVJ83M7TZnP69MmD7ebmm2/81V/91R/5kT8vxTiO - XdfVGhe92y9aj68eetkaceLEif39fUl930/TdPhO98zMTHePOBycbjcAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAA4Fth0um17T8znlrtDqvd/sLuD937wz/4pj/bT7urPDHYytJb17RT - /TqT2qjx2f1nHn/uiUe/8MnPPvnoM/tP7ut86TZdP0UZZ6/pNU21kxSeYSmTPCUpTGlSKk1h8gwp - LCXJ07twSzXXC9a08s77IvO55RQWodIGmbuacm7pLuu9FMts4bXpfHey3+T0Bxeef+j9Hz79wNVn - 9657++u//6azt1536gbf9rktu9Puju+utZNxybSBAbxskQwBAAAAAAAAALyiDMNQa12v19M0LS1t - SSfWO/v7+96V1dDfcMP1//Jf/Iu//VM/9fxzF2qtF73Vv9aYFzOz/f19M1tGllRKaa1FxHL0aZqW - evc8z6WUb/sJAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABeCSyk1NGz8j2UZdlw - /KokKTVu8uSwyuf8mlM3/Pk/85ffcNNb+2nX28rr0NtQosvaTOGdNZ/7nHeGq6979W1vufH7q2+f - 2z7zyJMPf/yxBx/78iPPHXypdpu5zLWMzWt4yCJNlgqXUimlFLYcdql3SyZLlQxPWXaZyi6bZa1z - HxqyG7reUhnVzFpEKSpDH8qpVklWFKF0TZpnzbbj3ap/OscXNl/+zPseXrWTt7zq9u+78x13vPoe - 8yvmse98cBVLV5okz5dKCVjoou0mSSH5sszl1a/cR1LY8YdxtP9X8PzqkY/2CQGXseP8x6WFdDcA - AC8vS+XLzJQpyf2P1QwDAAAAAOCydNGtqYtuXLWaprIszZQhd5vnedWXqdbSFZndc9cd/+SXfuld - 73rX+fPb4x/tl2ItQ5LCvJRoL32s5WbAspznWVJrL+66rJvZ0vbOTDOLCHdvrZnZ8p/91hpVbwAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAODlZnnSeJiUKZPsqKNsL+6zVI390muY4mXF - pZSarEpNSsmkcviFdygkhamkVLWy02f8mnf+6f/qptN3lufX8uULsbUcS4SrM5WImE2yUqKU5pqj - s91r7dSrrrv+bdf/yVo2jz/z2MOf/9iDj330SxcePyjnRt+EzzFkVashT3WleLpqmuWqLxm1tZDU - ucwVoVQ1sy7SJbdlFlOVXDJXSDI1SdEk9UfZ62aeL2YFovoszdUPSl+mPPex57/00G+//7Rfdcs1 - t73pjjff8erXn4xXDfOO5Aoz6zt3pStTiiUT0Pedis3z3DL7Ujp5ZGa0VJjSimkpBlhIiqM2esqX - P9XpdUmTK92OJ2YRClkenke60pd0d5qod+OylZmllHmezRSZkiLCzFIv92+EpLsBAAAAAAAAAJeF - cRxXq1WttbW2Xu+8/a1v/of/8B/+9E//9MG2liK3Ms9tuQdpXqK1P2q8l3Zxk3u9Xm+328xcct3u - ftzzptsNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJcxl46eim9HTejDOvXy6kWh - 6HTPodTdv/Sn/9qNZ+5YHZzq6mr2qj6aJh32sqU0pacpzEu4pXss4dqV5girUfZu2jlxy313/pm3 - /4Unnv/Cx7/wsYc++7Ennn78/PaFtp6yCynr3KToi2XGdjt1neSyVEsp5SkzmbJriqVtb9JhBFs6 - TFxLx/3xrwz7Wh6dpkVahGuOcJ+7HZWhn+b9c1/60sNf/shOnHrDa992z41veN2Nt699t+1714Ze - vbei0In1jrsfjNs2T6XvulJaa2ObXWZuZp2kzMyMNJlSkivicAK+zNByucIuyRTLzC1Dkl88Z4uj - U6DbDVx6SHcDAAAAAAAAAC4LJ06cOH/+/M76xNLPnuf5h37oh9797nf/vb/3sxGao5lpGFbjOJbe - 6hxfdQ/vj+TuEWFm7Sj7PY5jKaW1tr+/f+LE4XE3m83u7u48z33ff/tPEgAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAABwSVty0UvP25ZgdrcuJ1979c2vv/2+bjvUMderYWrNZEqXFHYc - 0ZZlkTWpyZpkys7SpdJHiRi67kQ7mPJg59V+6tW33/EDt//ok8888YWnH3n/Q7/97MGT+/Nzuarz - sN3MY+vU7dnBmH3fdbbK1mKqHrWTzFTcLbXkt5sfzTxl6Uq/KJgbUqTHsiLJ05d90hQWXeezYk7N - 3VxKTK2eb/vZvvTkU5/7N5//tas+ePaeG+992y1/4tZX3dHXU/WC7XUnxs22zZJbtx6s6+ZWxzpZ - JzMr6pRm2ZlkJYsycj487jI/SdlZyrOzZUrW0kKHbe9lYodXNS2kJq+SK/tlI3DZykxJS3rjez2X - Py7S3QAAAAAAAACAy0Jrzd2XlnZE9H0/zfOP/diPnTt3/t3vfvfBZs48/EF/LDfFvkERMQzDNE3L - Ifq+n+dZR7cNpmkahiEzd3Z2JNHtBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAF62 - zI47yMB3kYUsD1cu3pzuU/+ON/zJ3Hjd5Nq6Yl22VJrkKSldMsmlULpnk0UqlJ0Unr4MUsLb1IbV - id52xnmM2nZXdub0tTedvPUH7v6BL5373EOPPvDRRz78xPnPr1fbbW4Pzm/WO/041yk2xTV0xa1T - htIveqj/0drSxdbS7V7++ORSuw4LmXzZp4XknlK63MdNzaJ0yRXWrG/Wm5su1HFY6bmcf++Rp3/v - I79z1XDtW297+1tuf/trrrxhGFZ9rjyHFrXNVfL1sBNqkjIs0yIyMxVyCy/FJM+QZIft8LB0y265 - LLIIk0y21MRlln5xlzhMUvil0yoGvhOWnEfmi98bM/Pl/32SdDcAAAAAAAAA4LIwjfXE7snNZmNm - mbFarbzzg4ODH//xH5+m6X/6n/9XSdM07e6uDw6238T47j5Nk7u31lar1TiOOqqALzHv7Xa7Xq+P - N7r7t/P0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHxr7GVfIcUrhuVh5vowYv1i - AjdeaueybifvvP6esr9e+U5fVvPU3D2yhcu/MiptktJejH9byEJLvVuxKt08tYy6KqXYSpPVmLu2 - 1+feTu5c/7rbf/juv/LE+ccfePRDH3vs/i9uPjPFfinnax9lpZZxYZZSXVe8mR+ehUthsTx+3yWl - xbIMq2mSaWljN6mEJJVWQ52nLLUzDFFSHk0tQhFKpUK7TZY251ZlLlcOT5fP/t9f/Py/fvTXrt59 - 1Rtvue++m9963c71u3lmr7tilXveyjxLUrplcXUW7mnKzBrNsnMLz1rUXNWsmYqnpC5MUqfslEe5 - 9Iv+Eggt4fGyDP0tf86BS1teggF70t0AAAAAAAAAgMtCKeW4mW1Wzp8/v97dW6/X4zi+853vPHfh - /M///D/K1MHBdhi6aarf3FHMTNI4jsMwtNYyMyIiwt0jYvlwmcy38dQAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAfNuZ2aVYKsUrh0kppUrr77zhnn4+sesnfewUFhHDzrBfLyx16TSl - HX6tei717xdT00tY++hl61yZRZZtnus4l1J2+53NC5sTO1elfNyON+2cvuEtt/3p+/7s09svvO/B - f/u5Zz79+ec/c3BwoaysdGpuraWkkJbH7lv4crBQpEkWKYWHjkLYJdWWo6dSCpMfJcozs05za0qT - u/oiSR4afBUR4Woe23JQM1tR2VW1C099/Au//+Bv33jF69504/fddf3rr965brD1zrCr6i3VakSz - VJfmZubunjK55MrUch1MzZtSaX5RN70o83Bex+FzdUfR7njJqjqAlzPS3QAAAAAAAACAy0KtVdIw - DPM8r1arvb29cRzNrHRDa+1nfvrvjJvtP/kn75WUUe34xuEfW0ScOHFif39fUt/30zQt27uuWxre - fd9vNpvlw2mahmH49p4gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOBS4YcLt7QX - t9pRSPp4t3TP7p6b7/WDrvOuzepaeOkjq5nZYW06dJTDtpRf1O2WlBbtqEU91QNJ7t71bpJni6xj - ejm13tYac+1K8VraC3bGzp7pz77ubfedm577zNOf+thjH3r48x95+oUvtNXWdmzfts2j5DLl0BIZ - d6Up7Hjm8pSHJDXXcpaWnqbIw9PMiCLrOuu8DF4UGbVONbS2ba0tsxt6l1mrQ9F62Jm2o3V1v9RP - n3/gUx94cP3B3Ztefcvtr737TTe+7XR31e5werATq3mdc6dqnSznJgsp0tSszNYrPS3ks2y2KFKn - 7P2wTxCy46q4PJfgd5EujnkDl6PMzPxGOx7fe6S7AQAAAAAAAACXhWEYImK73e7s7Jw7d269Xu/u - 7h4cHCxd7Vrrz/7szz733HO//uu/Po7tmxjfzPb3983s+G5BKaW1tiTDzazW2nXdsnT3rzsYAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAVy1N/qIL7FQ+xNx3uYOke5czOVbt+2mq3 - HlY2eVObprHfKTUmSbIIi6XY7YqQlK5lBAtJYSkpLXxdMjMUYzZJZpZSKus8dV1XzFptHrnja1OJ - jWKTV6/2Tp89e+d1926+/5knz332Iw//h49+6sNFz9duDIvmc3ptXsNf7I57ylIlZHn4aH5r3XI6 - YVpC2tKLPWzLjDpvYy6poq7v+20dfVXMVOdmaX0ZUu3Chc3QyUpU1chRXrZ5/mPPP/2p5x78f3// - X9169s7X33rf615996t2r1t1J/scspbO3JZLYUuH21KesvBZyxXLMLWQJPM//DlRfFVJHcAlhHQ3 - AAAvX2Yy49/aAAAAAAB8e0SEpL7va607Oyspx3EspbjbPFfvBil/7uf+x3mefu3X/tXyllJMUmu5 - rC8rX8tS7F6W8zxLau0wAX78H3x3X14ys2mahmGQNI7jarVa3tX3/Xfi3AEAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAD8kTLV931EuFvm4bPHge+s9DwK1i0h60y5KUJKKeXpfQ43nr25 - v9BbU4tqsjR5ydaaTCaFVCyOBpCnwqT0JQAeplRISnkLSRbqJHl6piSXovOwFim5d8UUmRZVpmKZ - LbPZrp1Y+87p4TW33/v9/+lbNx9//IMPPPr+hz734Hk9U09sD+J8zewGWUhVJbyzzhShJstSik8u - WZjMUm6hCGstw12RSpM6eSpTkTVMrShVlbLikkWELIfOpQiXp5rFYZVcXnMupy48uHnhofvv3/nA - 3m1n73rbnX/iddfcdbK7qp/XK9uz6FpTRnpR2BxZOysRMoUs3GqmWSjDTMWsZFpm81LdLWxb5yhl - WGrowGXIzNx9miYzxdF3RjPLl6jdv7yQ7gYAAAAAAAAAXNZqravVaqpRSpnn+T3vec/TTz/9u7/7 - +8OqjNvD9vapU3vnzl2Q1HVea3yjh3D31lrXdZm5Xq+fe+65vb29pdu92Wx2dnZ01O2m3g0AAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAr3hhYS9Gbz3kkpYWdV6Uwy3yUvpTJ8549B6l - qEhKy/SQhR0PYJmKkHsePU4/u6UIHuZSSC7JMtKkdJckt8MWtUluWV2hdMkPQ98eUpgpcwmFlxKu - 7FKlq7t3XfW2u26673x75uHHH7z/k7//6Sc/uclzStVaS+/WZURrMZokU2vNzVIui1TLVChlKkVL - BXg532aSSaaUZIcdclcoJbmF+3IiGeGSlKY0SSHFQVRr42ptVeP9T/37Dz/6gdecuvGWa25/813v - uHrnuqv3rl37iaies1mq67pxOw9DV7zUOkVr7t6XlXWlVTNzSa1Fa62plqJhVVp9uSeKAfxhpLsB - AAAAAAAAAJetkLQEszNiWPVlb3eapn/23l9+13/5X//mv/tdmdzVdb50u931TXS7zWzpdtdaJXVd - d+bMmXmezSwzl1B3rbXv+2malp43AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCV - LSQzHfW3l4q2zKRU5tLolsJK6a84fVWJ7rDb7bHEqg9HyaOKtSRFmDwVppRCvrTAJbfDpLeXOD6W - 25LITneFZSc7DHuHKU0hTwstv5Sypsylr50WpduJaedU23vH2ev/xGt+5PnxmYce+8hHHrv/U089 - fGF8dlsObKjel2IetbUWtVTrlK6UIpQpW+aRevF3qXlICldJSfKUSZbhuYTGl5mHR7RlqKNT393p - 53GsobFr1quuN4/ER5947lPv+7e/cWa4+uazd7z+xjff8Zr7rjh9vY2r7f54andv2o4Rc9/3w3ql - pnEc61xL6SRZV0opXbeK8BbjVGvvJIBxWcu8JOv1/LkFAAAAAAAAAFzWxnHc29s7ODi4cOFC3/dL - Y/s9/9u7/5uf+W/f/8EPznPOc7grUxEy0zd6O2C5f1BrXa1W4zjWWksp7p6ZlmasFwAAIABJREFU - EdF1naRlSbcbAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC47edSuTnfPjPCUuTLd - oqT81IkrTC55SLLwjLCU5KnQYfo7JDfZ13icvqekw10946KYt44fwZ9H46d5WKSUS/n78AgyCymW - 3rfXIat11TzVu53tT1x167Vvu/MHntczD37uwx/+9Psfe+bRc+eenTQOq359orswnWuSpeTyouXg - llIup++yw8OFhfIo2h3Hkz9Mj0vKr+qdpyTtnxuHwdN1ftq6a3VSc+jpzYVhR5u48PxTX37o8Y+e - 0jWvvfqOe+9429033nt+PBhWa8m282a7nTvvh9XQ73SWqtEiastQjUyFDV3nav4tfYqBS99X1bsz - 8/gvh5ct0t0AAAAAAAAAgMta35f9/fN930vhrnkeu6679tpr/8E/+Ac/8bf/9ic+8akIDavSWqvz - 1+p2LzfJ4iVfk1RKMbNxHJcPzWxZLvVuM4sId5eUmcsKAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAOCVKm1JTn91iDoizKSUy1MlQ9l0cveUp0ueVmURyjx8i3l6HNdzX0xcLy+GHz5g - Py6KdstSsvZictcUZrMN4cvbw1SXsfxoWE+TXjxQCe81tDTvwl0t2zzPMWZve6dr/4Nnf/QHXvsj - T26/+NDjH/noY/c/+uwj5194dmf39DwdTDmnvVjTTZfnYW4808Nk6WkhRR+Hkw9TyiU1r4fX7aLz - 9fSQu+R9KW7Fs5giVCd1ptVKappSzTbbPs6181/88qMfevbf7v3eFd930w/cc8N9N7zmtrJexbbr - rNaIOlbL7Ly4m8vVlNkV7zrvqsavkyQALgeZ+TWaHS9fpLsBAAAAAAAAAJc7d4+Iruumadrd3T04 - OJijXXfdde9973vf+c53PvLIZ8exSXJX5teqd39NZtZaW9b7vo+IWqukruuW49Zau66LiNZa3/ff - 7pMDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALys5VFeO0Juh0/F9/S0Xs12d/aO - O9ph6YcNac9Mk3t6SLK4aJyQDtPXx53ro4y30mRSHI0neTPlUZfaLloevcUsJZlLUpGkdNXszGrE - rNmLDd3Ko0TNU6sromm7v73W+rO3X/eO1//gY8888unHH/7wR9930M5tY791U9NUbWo5HdbLrSrd - 5C7F0Zw93VJpUi5x8ViK3S92uyVTSCrpljJXnWqESlHXm5m1zIjsuy48WoumjXeSNNn5Ofb/3Sd/ - 430f/+2rz1x3xy1vfP2t9117xWtaW0fR2tYKRZg1K31f1Leq7XbqKAng8nbpVbslke4GAAAAAAAA - AFzmMrOUEhER4UWb7X4/dPJuu91ec83ZX/mVX/lbf+tvffGLX5ymGIZhu52+ifHNbLmLkJlLn7vW - mpmZOQzDwcFBREii2w0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAl4OwSGWR6TBH - fVjSzpS5lFKTwoq5p6/6dchDJnsx8m0hSzd5ymRSlrB23Oe2oxS3p1902E5SSGkKU0qySLkpugiP - F3dbVtMONy0l8eW3MBUr6qyU4lnm2XNulmYulXZueqFfDe7qa6cX/MTqxJXdq+66/vU/dvtf/cyX - H3nosx/75BN/8Pi5R59vX9JQy062JVNuIcVyFZazm/1o+he1unVcFj9Mm0sZllWS5tjtXH1Xa61j - yi09M7Wp1UzFZKY0k9Sa9ut+PTM9d/DU09vHPveJB3/r479+5eqae29565vu+L4r1tes40TX1qo1 - W3pxt3SrFzXQgcvUpVjvJt0NAAAAAAAAALislVI2m816vc7M4sXdI6KOU+mHWqebbrjhve/9lb/6 - l/9K5mY7Tu6K+KPHvNjS7S6lZGatVVJrbWl4L+ur1WpZr7WWUsy45QYAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAr2QlPNTCFUu12qpnuJQmcw9Fy3SlyzPlvvRnl26uSZ6ZJil9KUp7 - Kpac9bIq11G6Oyw8PUyefhTkVshTkeaSQl5SnuEZKV92cCnklsqvfny+mywkt5zbbKmhdFIXWVtr - zXK9u57nOTPX3U5Jb/vNw08MJzfnx9ed3Lv5LXf+qfwzn3vuUw9+9kMPf+5jT537vPXRfA6vabW5 - 0g5PQNIyvcPTPprG8XSWSLlJvjS/O6s1wqbipe+71lqEu2exKJ1LqjVaZGfuxdPrfs7dSbWcz8/P - 9tOF7Xj+yw9+8bc+9G9uvOZ199x07z0333vFybPd3NW66byz0kX0RxH0b6xYcPRp+op3HQWQPb5G - neAowf4N1hEAfCXS3QAAvOy4e0R1WWa6+/d6OgAAAAAAvMK11oZhiKXIndYiJSuluLIpLOfXXn/t - //l//PP/7G/85+em0UwymZSpvu/nGsqUFWX7WuNn5nKU4y1xVP9eqt7LTwDmee66TtI0TcMwSBrH - cbVaSZrnue/77+AlAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC57y8PDzczMXkzr - At8Blm5Nw9Cfr/taR5apH6Ltb8og77uDbR06dx9iM6dS2Q3DyhWuUCrkrjiMVnvmUd3ZJeXheh5t - WIQppbA4/rJ2KQ5j3+GSFGEKO35LHA3yEunoJRG+DJWmuhzUQrKi0ubmcpmyZlWzonBN0ZS+4yfa - ZlWiu2fvqrve9KYL9zz/9MFT93/8P3z6iYeffP6zbXfMnbbfzlfXMKi0IZq31pqadZJZZtZU58qU - SeayUISUsk6zMnpJqmoezcy79MMG+CRJnWkpYkeES71LTUrJPPrYajvmaKvnDsYvP3D/7+x+6NSt - 1955361vu/W6e67cueZEnl5NQxtdytJ1ma3WKqnv+whlZpG5u6UiItUkyUpmWi7pbpOZy9zVMqQI - 85SHPA8v5zLTXD41loqjz9/hy4fl71gGk6Skcojvnszsuq7Waqa8pL49ku4GAAAAAAAAAOAlRNbO - lWrF8o47bvvn//s/e+eP/+S5c5th5dMUkuZ5Xm5XdV1X56+Z7v463L211nVdZq7X6+eee25vb2/p - dm82m52dHR11u6l3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMAriCm9G/qDON+y - ZubQ9VPO2zGGvpjZXMe+79ZlqM1qVCmWcrMf9p2PvURd+6vkRcvjd3kevzf+0KtfZ+Sv3nhYkj7i - X5mUTh1lp1PezLKz3POQhXZ15kR/1U3/0R0X8rlHnvrkBz/xe596/KHMvnVTnec5ogzWlWKZraUr - rRRXmGU0pZQpK9YVy1Aq2tE0DlvXFxfNjz7IZSZ2WPQ+vihhSpvCQqYLbTOcUVE89Nz9f/BbHzvT - X3PH9fe87tV3v/76e0/unO591SZ5+Gq97tVnk2dkZkTUSXIrpbgP7spsbq70TDMzSbXWOk39UNIk - hVIuRbpJacs8Y5m/Xdzttq+44F91tYHvmry0kt1HSHcDAAAAAAAAAPASzMzMIsLM3Pyuu+76pV/6 - pZ/6qZ/abGpxyUtrbRiGzJzncbVej9uDb3T8pdtda5XUdd2ZM2fmeTazzFxC3bXWvu+naVp63gAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAS12afNi5MB1Ud+/W5sM8Z1T1O+s5Riuq - dZKU2V648Ewfp7qVh/3Rie6XM/OWnpKsKcJ89s52TpZh3B+vWJ2695qzd17z5gPbf+rZxz/y8Qc+ - /Mj79ne+vNW5aFlKV0yttphCVkKt67pSrGad5shMd5Vi1orkUvhR43wJdTdJUph0HL02pY6j3V7C - PaV0M6WFS7XpoGzKTrWuPjU+9vQXHv/gF39r7yM7N5y9+d7Xvf2O699wVf/q7SamjffRr+R96Wzo - W2akV9kc3ubZ29gVS3lNyYr1vQ1d661ZWKpkM2slWi95SFL4H7pkkkxKW0LjaVL68RfBS+4OfEdd - ivVu0t0AAAAAAAAAALyE1pqZdV134cKFUyfPmNm9973hF37hF376p//ONE1zbcMwTNMkydzH7fYb - HX+5qVBrXa1W4zjWWksp7p6ZEdF1naRlSbcbAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAF5JUnNRmrnbatyszujaZ/afmaftiZJR0jL6oaxkrdpOObk6P3QnLuUErUWamqLI3T2boqYk - b91O6eumdmVYd6d2cru7uuKmN9/9F//kf/KRJ37no5+5/5FHPrGp22Gni9Jma1ZirNvW6hwhqeus - FM/MqPLsJJM8rC6HWxLdpsPl4USO0sNLCVumtIiLSth7J3YOtpvNKJV53deyLi22B3FuM8X5p7/0 - 8BMf3bOrbr/mjW+96x23nb3Tbe/c/jzYyqO0qoy+dCsrpfe+dM0luavlHGp19q6UzloLKdJkGTIp - PU2W8q8ss+dxrttSUpqFDk9jeUmXXkMZl7bMJN0NAAAAAAAAAMArhJmZ2TzPV1xxxTy1g4ODE3sn - f/iHf/g973nPz/zM35W0dLslZcTXHelrKqWY2TiOx0dclku928wiwt0lZeayAgAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4pJmijedPrFYH4xRTXHni7H/x5/5u2XXrrKWkyKjZJq+1 - L918MO+tr9C0872e9bfEU5kppbt5WULVZio5x+Cdoova1t1wpjtVs233D37wyr/0/df8ufNvfeGR - Jz75wU/8h49/4WMbe3Y43ftqVgnLiFBGZm2espSbQpkW6ZGmMC1LT2lpeqc85CkLpTR2Co+SklRS - cZQkfuGFTem1s+7Ms2ab5lqkYuqKNvNmWNd9H9//xOff99hvXLN3/Y3X3P6n3vLnTg1Xnyln1zrR - R+mqRd3UuZa+rxEW1pfSu2qtalUyz5SWVrg3lWouK5Ya2pLkDklpy3QOQwhpL17Gi9eB75qlo0G6 - GwAAAAAAAACAV4hSSq11Z2fnhRdeWK92SynTNNVaf/RH/+KFCxf+u//+f1huCrh7RHRdV2v9hsY3 - s9bast73fUQsI3Rdt4xZa+26LiJaa33ff7vPDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAADwPRHKWnzopd6GepBn+qtjk9NU12XdWiuuwdOyDl2frvH8lH1/6VVzj6WXzqK2TIumtHRz - SVK4y8wjWkytja3rutLZnu21ONVqrHX69HWvetMtb3tmfOqjn7n/gUfv/8yTn5jLtpWx72TepJBF - ulpOS6t7qV8vPF9csTycidLDZBmypZN9WNKWpNTu7hBNtTZZuGkwmZQpK2ZuY0z709SttN7rno4n - nnni6ff/yw/ccOXr7rnx3jteffdrTl9/cjjVl670g1TqGFFrn6UvXfG0lEU0paSUQia5VFKdpGbh - KZlJGeZph2cR9uLpHHe77RL+UsAljHQ3AAAAAAAAAACvEEs/+/nnnz916tQ8tb7v3budYXXhYPPX - //pf22w2P/dz/0sp1loUV/sGu92SMtPMllsLmbn0uWutmZmZwzAcHBxEhCS63QAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwSlJWq808Rlrf96W1Ok9mtloKzy6LTPeW3f6F7apfd6vd - GvP3esrfmlbcSmQsD+FvXiWlmhdvrZlrODm42TzPU5vT1LKsVqsdrcuBdLBzZn3lzTff9h+/9i88 - O375oc9/9IFHPvS55z59zp6f+20OoVWdo4bJU0pZqqRbuCwOS94mSSk1V0qSe8qbTC/Wuxe1zp6l - V6eUt2YKt0zLajm2dNdqZ0h1F7az2rbztnNF+8LBRx9/4MF//9GT15587S3X33nPrffecs1dmxe2 - e8Op1XrVWptqLTI3a9mKDuviRZIi5coIU/v/2bvXWMvO+77vv///WWuvfS4zHF4sS5SsiylRCiVK - jCzrFteRA8NNCtRp3gStA1VtZNlOnaQwjAaIWyAv2iY2DMOx4dqya7tOUSCF39hI0tRB47qOb5Il - kSIlSpR4F0lTFCnOcOacs/de63n+/75Y5wyHIilxRkPNDPn9YLC5zjprr9tZcyjp0X6+rnZOnPvp - SvfhP11PF7vdU+cWyoFvgSux2y3S3QAAAAAAAAAAPKfNZrO9vb1er1trc2PbzFar1dbW9nq9/tCH - PnT69Ol//s9/qRRrLUux2s5vnGDeZyklM2utklprc8N7Xh6GYV6utZZSzOwb7BEAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcNmbs9EpK31fa82UQv2ib621abO9vb3ebKZp6pdDb55m - Yza/1Of8TWrTVEpxd0lpke5SRKpl814yG9vYWvNU6YqVohox1WJlx7atejvdOhsW/XK3nPj2N77m - vW/53kcPHrrjodtuv/e2vzj1yHpzpl+u0kelJLec75YrPSVZKBUWMqUUFp7RhVv6XMgOOwx4y+Tm - ako1hWWaqcuMUI2ixVIZvt5MSvX9oiw86jT5WsPUL33M9qVx/8Ev3PPxe//4qv669974vTde/5ZX - veI1XS7iwHotFra0WhQmqaSk+egRCpc3m3Pdc5B7zo2HpDwqdh91u+VXZEAZLwVXYr2bdDcAAAAA - AAAAAM+hlDKOo1sXTVJKqrV2XVfH9dCXqU5//+//+Hq9+uhHf8NMrWXXdXNjOzMj5gEt9X0/TdNz - 7n8eVJjj3LP5XXPSOyLmXHdmdl13doN5KHF+13wskt4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADANy8zzVRKmZcz09yvxFIprgSeMSg9WkilKIr1WcPli6K6WbvJOqt1TFNKMoX8im42 - z3+zIiItwpRKKeVuUkqZkslLb6lIV42iMKVFRoaZrJv/Ysprt7Bdtf413dZr3/qmH3jb33z4sYe+ - +MjnP3Hvn5zce3TV9hdbyq7uT2fCa7dcTi0j5wn/QxlmtUgl5TU6782GljG1lhYys06ZTa5IqUjp - IVl6mocrW1iqlGIpa1NKJqlJViaLyVYxrDRoX08+GV966M47F7dvXX/NG/7yG9/z1te+87rhVW3S - YFtug6YwWb/oWo7rtknLbtGVzDZfq5ksMtOylFIiMiMyo5iblfk3kpnxmwnfYmZ2bl/jSnkISXcD - AAAAAAAAAHAehmForc0x75/4iZ948sknf+d3fmeaVGuV1FqbW9rL5XKapufrdr8QrbVSytlcd2a2 - 1tx9LojrcPCebjcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXEnClAqZTOEZTTLJ - 02WS4pwNfd78kpzkxXV4DfY815Ju6Zbz1PxmclmVIsxdyqffFfKuKIdmrs4PbLv341dd97pjN7z/ - 5u959Kkv3Xn37Z+957YnTj92bGurLqYzp8/4UEyRnvJmGZkyyaXlVl/HOk5TlbpuYd41tdqmYpJJ - pjS1PDxuSvPpSbKUrIZpLqk3kxRx9BZJaao51mHcbM7cc+b0w5+6/w9v/f3XHL/hlhu++6bXveP4 - 4qphuWXVDsZw92F7COVmtTazRenMS2tNMvcSkdNmWiwWKTMvZpmpzCbJzF+snxPw0kK6GwAAAAAA - AACAFygk7e/vD8Ow6HwcN33f/8w/+5/3Tp/6t7/3B8PQj2M1s4hYLpfr9frCutqZKcndSymttcVi - MY5ja63rur7vW2vzBpLMjHo3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFxpopVV - elqGpyyLZZdzHHquMqdbys2VkmpYeF7iM/4m5dG0+mGSwlKaM9iSJE9ZHr5aKs3SunnLozZ2nd8w - z9IviyJvY4tVLaVcvXX1cds5vn3ijd9183/6/h968PEHP37nn37+4ds7f3KKp2KxybKaImvITa5i - rlPjVIrKoE4lM2utluq8WLTD85TCDiPrlupSJTwtwpQWaapHrW5LuWQpC3m6ZSeFSs1O0W1GPfV4 - 23v8zEOf+dSfLj6x88br3/T2N37Xzd/5zuPl2tgrB7UuYqvY1rLrFZlTWnjnXWedzFtpMYU8zZSe - qSaLsJSnNerduATySvtFRLobAAAAAAAAAIDzsFwuN5tNKWVnZ+fg4CAifvmXf/nDH/nR3//9/5BS - 13URsV6v3T0iLmD/ZlZKqbVKmvdQSplz3bXWrutKKfPyvOVFvTgAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAwIuupDJkCkkml0KHr6HD0HWnLJLCL2Te+8tN2HOsnOvTlvIMS1mGJJeH - pHQdviXCIi3TQlJmZoS792WxKItaWzbFWuNku9vXtinPHBy8fucvfedfe/NXDx598Im7Pn33n9/z - F3d8de/L21f13VY5sz7YjK3b8n6hllo3KZtnU8rNilzyo9NQSjKFySXPkB3+IHJOetvhRbkUqZKS - 3LLz6KWoalmyZW5yY0W+Y2P6pu1/5uTJz/zhp47/8TU3vupt73jju2949U0nhuu6abE+sz9osVgM - nfVtis3qwLPvuk5pmZmeqZClmeZ4uVkoqXfjWycz53DG/ARe6tN5oUh3AwAAAAAAAADwXJ5nnKm1 - aWdnaxzHcVyXYltbW3t7e7/wiz//937sH/7Zx/58miZJ29vbBwcHkkoprbXzO2zm3O02s77vIyIi - jka/DscGN5vNMAzf1NUBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC6FEl5isJRs - k96UliYpwqpsSlOoU3qqSJ4qJoXJ86XQ8NZRsVtKy/nLkEk6nNg/bN7E5s1CcmXMRXOplBJmERpb - 67z3fqFiLVSWQ0srHlf1ZRpX7Ynx+u5V3/GKV7/nlf/RQdn74sOf/6M7/uCeL392a1gsl5v9umeS - uRbFzaxmyyop3ZUx/1Mx3/f5bC1akUlK5dwal5QqknRYGLeUp6c85Gky9e5pKjWn1loLuWXaZAtZ - mU63xz/9lT/69F/86fHltW963Zvf8uqb3/m6741xZ9M2Vq3rh77rOxWX5SSlR9bMw263mSLi6LAA - vh7S3QAAAAAAAAAAnIc5re3u4zjOr6WU48ev+qVf+qW/++GP3Hbbba211Wo1bxxxIYOXpRRJrbWz - 2e9SirtP01Rr7bpuGIZ5ISLcnzsxDgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4 - PJVwU6QpUinXnHyWyxQWykgLpaQwNVn4FZ/tfvYFzPHpjLnl7eF5tKmFp8c5dWrPoxsQ1vkiFNPU - Nrkp3ptZhFKmzKrWmY4tdkvn0zStnlyXxXKrLG/+tve9+T+55avjI/c8+rk77/30/Y/dd7BZrepq - 1Dr7VoZMC0kt2nwqh/VuyTI0/yBMKclkKaXPr7KQnj7NsJDqHPTObDlmjclM3aLvOo+IMaZUlal0 - q9qVLvuvtr0n73v41i/+2b9q//rtN7zr7W9++/XXfsduOZ65PY0l9mOr3y3hyjSZlGbumUp7OoAO - vPjMLDMz8xtvepkh3Q0AAAAAAAAAwHno+17Ser1eLBaLxWJ/f3+xWDz55JPHj1/9K7/yKx/60Ic+ - 97nPzVua2dfd03Pb3t4+ODiQtLW1tV6vM7OUEhGZeeLEiZMnT7bWMrPrutbaHPkGAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFwp0qJ2KynCpzQpSqgou1SRZNkklZDUTNVzEwppyCu5 - 1uwp2VG9Ow8vpJnmBHVKsshzJvi3OZItP3zv4Q1RrVVFfem74pLMzMxUlJnFF5I2m82Z/U0ppZTS - LbfSs6iLaepj2LLj13/7je+99m/sxZnb7vvEfY/fdf9X7j61eazpIIdxbZupqS9SRqYsPS08Iw4L - 44evnj6flaXPK9MifG57hyzS6nzexb1TiYgaUxvlUueKUHGZFK2NqeLF3cZ+78m45/cfuPs/3Pc7 - rzz26re+9u1ve/0tr736hquuvvrgzHrwnYUtFRbNlCbJrDxXCh14EX1NutvMroiQN+luAAAAAAAA - AADOQ2ttmqbd3d3NZnPmzJnd3d31en1se2eq44kTx/+33/z1H/o7f+f+++5Pyd1ba+e7/7nbvVwu - V6uVpMViMY7jXAHf29tbLpfTNJlZZrpfwSOjAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAPAyZdEspaMstOnpBm66FH64unqGWbgUuhIyuV+HfW1qOuxwvv2U0lxSyGWhdFn40eWapKNm - uaeW/TLVMsLNpciIyMMowBiTmXXdou+HiAilMiJSkmXnU/bq3budtF0fv/+mV+7bX3l8/8v3fvlz - n3ng1vu+/AXZUzu7Nk3T3N4OC0lhUs697DklrjB5huRzPNvTQyoRaWqus/XxTLWIDJmpc5M0Z48X - i1KnNqWKZJ4tWlNaCW3F1GXE6omc/vCuh//k9j/4jhOvf9P1N73/5u/d7a/e9mOlDVG9tM6979IU - 7lLYfHlxzs19voqBe+oo+D1vc/gmOyqpy+LsBlf404aLLK+ITPdzId0NAMBlJ875z65dx7+sAQAA - AAC47PR9v9lsdNTVdvfWJkvzrlx3zVX/+7/4zf/ib//nD/3FY8pmksyeHkUwSer6Uqf29Uc21+v1 - vDCOo6TMNLNSSq3V3WutZjb3vCVFxJzxnkvhpZR5+xfj2gEAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAICXqkzN8357ytyzxdOTfqekwy4vVVt8s9JlIRXPIkmpsCbl3E72fLppHebSQqk0 - u7Lj3fm1SWnPw2Zf2FzFDpc0r8w4Z7OjHZhCigxZ6Oxk/CZZOVx0SWqGCcZJAAAgAElEQVRZz3n7 - nAD3wy2VmU1SF+rGrUV+27Huuje84W3fe+MPPnrq4dvv/djnH7z10f1722K1KXujRXbyrq/Z12ge - Gy/z74dsLbNFkXXeq8mbmbt3VrNNEeFyl1KW8zGlzMPjS21qJpkpTak5NNCapE2Zm+37WpWl27C6 - ZzrzwL1f/P++8O9eedVr3vaGW26+4buvv+q1ZVrGerOM5TJ6b5YudZElzSKUGaZI905Sq5mmUizd - IlRaH5Jsc/SzmO9WWLqnK4ssLKusSZ6KMH7P4RlKKXM+YxYRZpaX/W8laqAAAAAAAAAAAFwEpVid - Rsmvf+Urfv03/tcPfehDX/7KyVKstpTU931EtGiS6tRkOt8RhMycu92SlsvlwcFB3/etNXefX2ut - XdfpqPN98a8QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHBRPDNl7RlSPHsS+5Sk - cnbpJclT0hzbPvf1XIdr8oVOw//sPTxrV2P2vt2rjGOTlq/td65/2/Xfd8tf+8rBA7fe/fHPPPCp - p8aTo+JgPcrK1nZXLaWWGa2lS94pI8c6Fit9V8x8M21CUqcyxwjyBf3IwubzcU8Pc6k2j+ZVpjHX - Hme06aZ68BefefD3PvZ/vfr467/7be+7+U23XHfslVoPXfQKTa1ac3fPtKjRd11GSirdUTe8Nk+3 - w2ufD3d4H03hh03zXoosKUXI8/x7CsDliXQ3AAAAAAAAAAAXQarVNu7uHG8t3/rWt/7qr/7qf/3h - H37yydNdMTObpqmUcji85Bc4zjS3uiPC3ReLRURk5tn187IkM6PeDQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAADAs4VpjOyLuctlvcxzYXHNbt29eudVN7z7L//H7zz5pSfuu+PeT3/h - gc8/uf/VKVbTzta67mVa58WLMlMWZWmZsWotQqWXu0nWWmRTKedxPp4yqWSkKTLSlXb4p+zU05uv - dotuZ3v30enz/+rWz/37zxy7+tgrbv5L77vxtTd9x3Wvs72+O9Mf01VbZWuqtW5aes1OLsuUwjxL - 8X6KqXmVtTQpmuRSVaapSSEraTm5wnzy4uldRPk6AXS8/JwtYlxZSHcDAAAAAAAAAHARRMSc0N7f - 3++6xTve8Y5f+7Vf+8hHPnLy5Bkp3b21Nm9ppgsYU3D3WqukUkprzd3dfR6cqLV2XVdKmZfNrJzX - QBwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8bi2Wf2WobFdl7KfJY5ziZvO+G - xfFuuHH3qhvf+7bx/fv3P3L3nQ9+5pN3/9npGNJat201NvubM8017KhGymTlsLTt7p13WSJbPZ/T - Cam6FCnZ06vkqqkyqGXdy1MKadCBPfnU+iv3f/KB8vHtN1x3w3tv+itvu/6WjGl/VRY2bO8cU+ta - a21smVasM3mL0d1SkiJy3ndITRbNpOyUrZmHWbOS6kKmw82Ap31NvTszzz6uly3S3QAAAAAAAAAA - XATTNJ04ceKpU2e2trYiFFHf/vabf/Znf/Ynf/K/O336TERImtve0WLOb5/X/uc9SJrfmJmlFDPL - TLPD4YjNZjMMw0W9LAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXjpcEdFMUVym - TGWoeF+2+23JM1tXrVdnbfR+65prj998zc0/eMvffuiJL33qix+748FbT8VjV5/oa78+szmQNGy7 - Wdk/mNZT+qKW3iNiYbL8hidyDgulZJoD3inJpFSk+sEz4mAj77S1o8jYO9jfGbxOm88//rG7/uAT - Vw3X3HD9m9/1ne9+yytvXuzvXlWu2fZjHgvJrPdJtdbW56KEm1wWypYWYbV5hlkoUlKa5aKEdbmw - DLck3Y2XANLdAAAAAAAAAABcBFtbWydPnlwO233f1xqr1Wr3+Inv+74P/OzP/syP/Mh/464ITdPU - 972O8tvnq+/7aZq6rqu1dl0378Tdp2ma1wzDMC9EhLtf5CsEAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAODKZ626u5mlW6a11mqE1ErpXTaUZWab1tb2Nv1i9/jWMLbYOXb1De970w/+ - 1f/srq989mN3/tG9j91dyipKXT21H5m7O7u+tHXbTFkXXVE9nySBSVLYOStSni6pdGW9P0VoWMpd - 61GShqU200HLZls2DFunxi//yX0P3X7fJ16xuP6W1777za98642vuunY7om6jtai67rF1pbWYdHJ - QvI0ham5Uia55JIss2SU9NKqpPBIe44zxctWZmaeV47+skC6GwAAAAAAAACAi6DWOgwLLzr11JPb - W7tXXXVsb+9MKf0HPvCB3/iNX/3wh390a7lYr8c21VQW85ZxXvs/t9st6exCZp44ceLkyZOttcyc - k96llBflIgEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuJJZ+rLfbVOdWrTM7NxL - KX2XFuM0uWysraQWi62tYTdrHjy1Sk1X7Wy3Nuyd3nrP1d//nr/+A4+ceuSuRz53272ffOTUAyfX - j7lPGkaNo0m+kD0zxf31hclTMikVJg+FuaVLnhtb2lJFOaaZDcqI2IyTl9ZvFwvbXx0U645ds62s - j6zvffThh37v3t+9bvltb3vdO971lve+4ZobPLZXp9c7ukYqzbrmSql5SMUlb96lW8pVTc0y5JFy - WZmT3sBZc7rbzK6ghjfpbgAAAAAAAAAALoLM7Pt+mur29nZXuqeeemp7ezczo+UHPvCBf/pP/6ef - +qn/QVIqi5cW7Xz3P03TXO+WNAzDer3WUc97b29vuVxO0zQPUbgzggUAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAwHNIabOZzKz0g5taZotobZJbMZdk1llqalmjuswXgxWrrUndlnZj - 7XXUK8t3XvuG17znzd/z5PTlux6641Nf+JMHH7/Lu/BljLmZ7Pzaxoed76Pat6ckWSozLIunhZln - hqyTWdeF1RrNZWVhmXG6njaTbanpwJaq7eDkl77y8S/88XX9K25+wztufv0t33ndW71tSZ2yKDvP - Ytkp5emW4YrDbrfVo9MoF+124yXHzK6UeDfpbgAALmtm9o03AgAAAAAAlwF3r7XN/12+1joMQ2uT - 5MVc0f7W3/qb07T5J//kfzRTRJgsdTiSMAzDZrPR0f8OkM8/wjB3uyXN289r5ne11tw9M82s1tp1 - 3byylDI3v+dvvYjXDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFzhzOTukjKTqb2B - l6owqfOUTFUpT3WSTEpzlRaRiiqZh7o0M3nUOvngZhlNmdXT+/Bu1ff1mu3Wv+o7rv2rb3n/Q6t7 - PnnPn9123ycePf2wdSGLF3pCqbO/cQ6T3xaWIZsD2pNJbrI8fC0pkzLVPNMUnue+3aWwzeht2l6t - 2+lHHrjv/7nv33zb9itvufE9t9zw7m/bur5b7Qxte6ljrpI5lcHHacw2bS932ugecnmjboBncvc5 - mRExP9hXxhNCuhsAAAAAAAAAgBdPdF23Wm2G5dYHP/jBxx//6i/90i9LKanrulqrzglyD8OwXq/P - 9wBnU9/DMJjZZrNZLBZzqLuUoqMiuJnt7+/v7OxcrAsDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAOBKFIdd7bCUTJbuKSlqja4srCtym2Jq2ULKlHX9NNa07LrOLSOiSH1faluXLqPL - k3tP3v/I/Q88/MDJvVN5Tkv7hXGlnz21sHApTTZXxs+V5xSTba6NSzZfgjxleRj/bl7DavarNFlq - bWceuO2ef/exf/26Ezd89xvff/Pr3/mKnVdbXbRsCxtskKvfX08lSqeiPJsQB65spLsBAAAAAAAA - ALgY5qGseYBtfk2XNE3TYtG1aey67sd/7Een9eq3fuu3xpa11r7vjzZYtNYuoNstycwy0903m42k - nZ2daZrMLCIys7W2WCwkRcTOzk5EuPs32iUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAC9VYcq0ULpMSqW1OeW9GNTaQR1bunnpF15qqI25sMVOv5uZm4N1qPXLrvabkzo5LvZuf/CT - t979sQdP3b3vp8Z+tRnGJnVxfvFrS5dcOuwdHBa7TZrj3Hm0IIXkkqc8JZOnPCTJU8rD/aQ8TKnI - eY8We7FZHrewgwdWt993263/96evfuMrb37jq256503vH+L4oO3BjjUrpd9uiqi16PCtwFmZV17Q - nXQ3AAAAAAAAAAAXT/pht/vsisxSyjiuzGx7Z+snf/In1+v1v/g//uXWcrFaj5LcfRzHuajt7hHn - N/7k7nOfe5qmiKi1ZuYc85ZUStlsNsMwXLwrBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAADgSjUnsi39sIadLiktpBxzKr31fWmpVmtEui26rttsNpMmKxHbVcN0qp6865HPff6hz95+ - 3ydW3d7KT298f/IxJbm6Im0u9OSOegdpR63uswFvSVJImbK54T2XvueecvrRxR3txMIkT4WpW2hd - c6x7i4WVPk+OX77jib27v3rX7/35v/3OV73llje+6zUn3vjqEzdmbsUYbi61C70AvDRdid1uke4G - AAAAAAAAAOAimcfXzo5IHQ5HZWZm29oaNptpsdg5ffr0T/33//ipvTO/+7v/RpKZzQMMEbFcLtfr - 9fketbUm6ewb+75397nebWa11mEYWmullGma+r6/KJcKAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAMAVKb2ES36UvfawSKWsTtNUPIulefGhU5aIHOPAT2jte2faUw+duvfTd3z8s/d9 - 6tT01cWuVtsHaS1N7rbUdkrTpo11MyyO+gUvSLhC85+MMOlrKsmHX3rMZe50hTxkz9xJWoRVSfM3 - SkqSpVJS16WntT7CirdYtP1utddW/Yn+1qce+sInP3ZNXv9f/sA/fONVbx/ado5pg6e98PPHy8KV - WO8m3Q0AAAAAAAAAwMXyHKNfXdetVqvd3d3WplOnnrz22mtPnjz50z/902fO7P/73/+DUkqttZTS - WruAbvdZW1tbq9VK0jRN7m5mETEfXVIpRVLf93PP+8KvDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAACAK9vc7ZaUkkkhpaVSvhi21WWobeqqtdGKvFPrxwdP3X/7fbfecc8nn1g/Ftv7 - dblZDftPpUonM3lIzTOilG7R9cMwjNPeeZxNylR1dEKeCvPDL+SeIfkcQiiSHwa5XU8HvkNSmGTK - OUdgR/s6+qpuWrFe0WW2MUfvFL0krbOVTl7z8SdjrTNj29vutnaG3TPjeZw/Xm7M7EqpeJPuBgAA - AAAAAADgIpoH2M4JeFsshm61Wu3s7GTa3t7pYehLv/i5n/u5D//wj3zqU58ys9ba4bZmeUEjDLUe - DqTNFXBJ7r5er4dhmL+c6910uwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAL2/R - XFK42ty8LpKyZHYR3Wp/M/rGt6Pstif3H/7sFz9xx/23fvHxu3Incxljtx5zk5lWrMsspWSLMFkn - qTW1qUVWlXI+p2NPZ7bPLlu4SYcFBIsuYv6mSyE1U/N58TDXbeeGDlKSmklSujzUhXmEVLO06NVM - U1NrWvbeJvPYKr5t6rPTanNmXB10w/JC7y1emjLzwmoalxbpbgAAAAAAAAAAXkRmVmsdhq39/f2+ - H4ZhmKapTdPx47u/9msf/bEf/dFPfPJT+iai3XOre5qmvu9rra01d5eUmcvlMjPNzN0jYl4PAAAA - AAAAAAAAAC9VloefJAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALjMnZ0j4fknqfdzY8xp8aKez2Xu - 6Skl0nUUq44XfE/8WXfZc05iN1lt1tIizZupuucxnVk9cee9n77j7j996IkvbHSqbW/Gqw7WGS1U - +sN69twCaC0lM5OZhVqEzNUVy3b+9YFzAt6emq/N53+mbL4Kk6Xc1OxrH4m0Z9a79fREHGkyT9Wm - LO7RUpEqrkXnGV20SO/6xfY01UVZLIaueBeKw2o4cCUj3Q0AwGUqlZLM7ILDXQAAAAAA4FvrWcNy - 6ZKiqXhfa10sFlLWOppJSkW7+sSx/+UXf+G/+vDf/dznvmhKk5msyeYatyRZSDL3jHi+IdPDLaVp - mg7PIw7PpO97M5u/PDqBw7eUUuba9zyed/FuAgAAAAAAAAAAAF62nm/U6emBrnObyvZ1/++xz/4u - PWY8k+m5nhPPPDtwOz8z8yvPGwAAAAAAAAAAAAAAAAAAAAAAAAAAAADghSulZGZxj6jOPN54cdg5 - Mek4ajCHXEfrLV3yo2VLy7jC6912mMoOHc1I8nzhbZeUHibJPUOSKTznkLmnXFJIzZRSWoRJCmvh - 7pqn63crpUhqrXXeT9NUrOu9ZLaMcJdnp1hIGqXqUyymthzXtrefe/d++Z7P3HP7XfffeSZO+7LW - nTFszC5CoaIyn2IcTViRaUfzq2TKpDJPeXGe3e6QfP5lY5ov+Gz+4OgehaeaSSaZwlRdaSox39uv - 3eG5c25YKk2tKDIX1Sw6zXtqssioMfS9FDWrq/foYlRn/vzzyeBlyt2naTJTpjLnYr3l84U0Lhuk - uwEAAAAAAAAA+NaLcZwWi8W1113z2//nv/yBv/43HnvsKxEZmWaH3W53j4zFMIybjebxh/M9RsQw - DO4eEYvFIjMz093nYcK52G1m+/v7Ozs7F/0KAQAAAAAAAAAAgIvCU8HHuPCN+DMHVC+4vc3zBgAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAALomzFesweSpNlhHmnspnl5mvTH7OZZ51bmT6WeLs3QiFzxHe - tDjMZR/OMOEKSV5KRJhZ13UtYxxHt27RL1prXde5bGqTpxaLhUmr9Tq7ml1qUaMfT08n73v0rk/f - 88kvPnLnXpxc2UFdjuojLcZW01SKKfSiRoqfK2P+jHXPmBMjD6Pdz+eZ99OlGGv2rq7rzCTf1FBJ - RWbfl6i5GQ+0WcxHTLfQBeQR8BKXV+YzQbobAAAAAAAAAIBLYGdna29vb7ncLqX89m//9gc/+MH7 - 739ouVys1qO7RygivCvjZmPuZhbRzmv/Xde11jabjSR3z0wzM7OIyMzW2mKxkBQROzs7EeHuL8p1 - AgAAAAAAAAAA4OXiG3+u5oV/BvDcD9TRUcazPfsDpc/5WcIXGPDmeQMAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAN9iKYUdzpdwzgwJMS/H/GKSNE9S7/lSmEz+WRNEuI7mfPBzJpOwp6cyCUnNn7H2cHul - SyVCClOmKVxmnmlRrVPX+xBRY1WHoVuPK7l5V+rUNgdT6Ra2k6eHRzfd6Ycf+9Jtd37ii1/6/Jnp - yVo2a+1HGW0w62JqrYbM1BWZPffsFpdKSXXVJQ9TM2+uNIWFZ5jCju7n3DgPk0xbC1m1NtWqNhZZ - L+/N0vcPNlt92dndGbIst0qNjWxw9S+VXjwupq+pd2emLvvZWkh3AwAAAAAAAABwCezt7V111VX7 - +6vt7aWVa3/xF3/xR37kxx599LE5s933i2maJA3L5Wa9voBhqVqrpFJKREiao92tta7r5vWbzWYY - hot7UQAAAAAAAAAAAABwyc2fQX2B3W4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIBL5LDGbamUXAqb - u9TnJr0PJ6pv1kqUS3GSl4ZJnh7m893Iw8z5OfnslM1/JE+FaYwaJo9SrCsqlirqzJUtlstltfGg - 7duOFjv96dUTDz559x0P//HnH77j0ccf8cG7pVb9fs1p2C2rqanLTIWpuEpRhMYxu8vy9lu6yS1M - lu76mjy65/y1S6o1irK4ubzo8KJiatvbytpWB3t50E3TVCN79Wou2t14SSDdDQAAAAAAAADAJbC1 - PazW+5JqrV3XvfWtN/36r//aD/3QD516al/SNG2OHTu2t3ewqaPk5p5ZL+Aoc6v73Ix3ZppZrXUY - htZaKWWapr7vL+7VAQAAAAAAAAAA4OXmfD9p9UKyyqSX8fXNT8j87D37aXm+9V9/bwAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAN8Cc5paktLPrpzb0GGSIk2hOApXvyQiyhZfs8LPufbDTVKaQ9PpoVIk - WaS1sLne3STJmmSHO1AoFWZpuRyWY6tddouy0CY367F4v9jZ2l/vr9tax6Y4Pn7p9L133nHrnQ98 - +uGT90++av0YJ7LmGGrZK02rSZnyplLMiymyTioqQ8k2H/3y0EytDyk8Okv1USSL8HRvHmFH83KE - PLvS+uY60Krruq3SebSuyaRSpKJoalWWWg67bkPR1uDHSvOam7QLSSTgpSoPXerzOE+kuwEAAAAA - AAAAuAQiYrPZ7Gwfy8y91UFXFjfddNNHP/rRH/t7P37q1Om+78+cOVNK31rzUi5s/GGxWIzjOHe7 - h2HYbDZmFhGSuq6TVEqR1Pf93PO+qNcHAAAAAAAAAAAAAJeeJU1uAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAABw2XnW7PN2Ns/tkuQh+VHG+1t5YpcPT4W5FJbzPUkpwubgdyqLpDD3o5u5Xq2tlDE26/VB - 3w/LaxZjTo+vH19cNzxx5pHP3/OZT93zZ/c88blNdzq36jSsQq1mlk79ojflaoxM9b31fV+nlhER - ymbZQsUWfd/q5vL5WaSpuSQV1RIeHp7uish4ZufdpcMier+1rDXWdVpkdiYLeZU3L77M2i199/qd - 12/b8YUNRbbZrMrwtWF14EpEuhsAAAAAAAAAgEsgM7e3t8dprfTd3d1xHDPjXe/6rn/+8z/3wz/8 - kVanvvPaqpTRLvAQ4zhKKqW4+2az2d3d3dvbc/f1ej0MQ2tNR/Vuut0AAAAAAAAAAAD4ZtnzfK4s - n/4I1tcvKM8f+jp3m3OX7VkfN8TLmeXTz9szHqt8xkf+5kfoOR8enjcAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAHBpNQs9PXOCz43lS3c6L7qc5yc5nB3i6TkinnueB4swSU0KWZTDuSa8hFl6mpRdmNLU - ju6Zyfq+T6urOGjDen956isHDz+0vvsT/++fPPzEvU+sHstl8xNdszbG1Forqe1hIWm1NzZpudWb - 2Wasm7G2FmbqutL3fXYZEZvNxsuLd28uhKdCSlMtYXPgPGWpksr0uf6eHtVrLTVMUrGQFXcrnXrb - aLHZ2cqrT/SvuPlNt9zy1nd9286rT/ir1yentZ/ud0q0y6VTjstH5uFfVzPLK2SGFtLdAAAAAAAA - AABcApkZEZJKZ+v1wTBsrQ7Wy+Xye77ne37zN3/jwx/+SK2hb66o7e7uXmttrXVdt7e3J8nMlstl - ZpqZu0eEu3/DXQEAAAAAAAAAAAAXLE2Wh13keRm4KL7heOrZBw8AAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAOCyEhZ5OA1HszTJM91SR03rSJMU0tOTJ4TJXzYTd4Qkq0eLeTjNRNrRi8/d7rCYb0l6zUV9 - Kk9FV9d+cO9X7v7kF/70i49+Zi++2ob9ddn3E7JedVJrKkWDe6cybWpmDouF3MaxZmbXFUmlFEtF - RM21mZmbuSnaJbkVz2l+dtwOJ+BIKU05p7ubm3z+blNImu9VblqX/VZudWNX1nHd1nU3v/ndb3vD - O1//ihsXWpZadOC1tT5K33lrzcyPOuvAFYx0NwAAAAAAAAAAl4CZZaa7Z2bvJaaxX5QWk1l53/ve - +wu/8PP/4B/8t2aKUGZIMvc59S2plBIR89vPrny2iDj73VrnkUVl5nz0cRzntve59e5pmvq+n7eZ - N3txrh4AAAAAAAAAAAAvNWfHmLqua61JkpXMNLdUmplMmVkjPM3du75sNpthGCKijpOZDf3i4OBg - sVhIz8gtx9EHCoGzTMpMS6m45vHQ9PlxysyW0XVdZo7j2JfeirdWrXittes6RUqah0rnTyHyvAEA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAzspUKUXS4UTiLZivGy+S6lOodV2XTSWLSr86WHVdv+j6/f39 - YRhKKdGqm0XkS+w5tHMa5BEys1JMUqpFRM00s5IpSzNrqarMeSoTs5ZRikmtxuRuXeeRdb+dip3N - 3Y/f9dnP3H73X3zuKwdfXvvpcTG2rjZNaTLJq7pUb/I2Hza8uGQRVaFikimyzd1wSUdT+B/Oq2Im - XYp0+vyjzzyc38XdJMlSTe5KHf5pWXwubVummrvM5akakqk3lei6zfK4rr3x29/27je9+6bX3Lyt - qzf7bdj0kkzVrXN58UXZlKKopaU9bwoBL0PuPo6jmTLn6YbM3SMv94eEdDcAAAAAAAAAAJeR1pq7 - f//3f//P/Mw/+0f/6B9nqu9LqxmZX7ONpK/T7f465vH+Y8eO7e3tzfVuSbXWUkrf95LMbM4kHM5c - DwAAAAAAAAAAAHwjZlZrXS6X6/V6GIba2nq12trZbq21+bNwXmQ2D06l2WqzWS4WETFN03IYMjMz - l8vlhQ2B4eXGIodhqNO0HsfFYtH3/bipB+tVKaXrOjWtx03XdaXra2vFFMqh60optVZlHj6H+VL7 - VCoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALiC9N2w2hzIrdZJUmutlLJY9HWsOzs7ZjaOo+Y+rtyt - 00t0Xo5hGGodp2lKNXf3zooXSeN6XUpxM0nuxd1rqMZU+q7aVHPMRf3/2bvbYNuusk70/+cZY8y5 - 1t77vCQQTMQACgEEIu8odt2SW80t/dL3dvX90FV9fWlfrnLravjxZb4AACAASURBVKl0a9tqf7nX - 8toqik00IYQEBZtGbFrRwrcS34UAQhKSQEwIkEhCkpOcc/bZa6815xjjee6HsfY6+5y8wDl5OXuf - /H91amWtudaca8wx55571xwZz99Tno0nHjh6zz3H7/rLm/70eH1wlk8sfFa6saZaAxxAAICwu/Mc - AFxgYrvDuE0UMAhOKUjRXp6L0O7GzEUgIiItwLuFJiNFaEAuyBlwpD6FEMyK1awAintBkv5AXBdP - vsCLLr3sG5/zTS/5+tc9s78knkjYTILpwW5SS4ZUQYCrugICKKDqXhndTfsf8zaIiIiIiIiIiIiI - iM41MQDqACAiAusmk+/4jm9/8MEHf/mXfznn2t5QVRFpmQdmpqruZzNG15K/h2FIKbl729Qqontr - a2t9fb3v+5xzS/ImIiIiIiIiIiIiIiIi+opCCO4+5hxTGnMNIRw8fGg2n3dd71bNLLsDcBeDK6zr - u1yqmVmtMcbZbObV+r5/+Jb1Kd8X2vtEZHs2c5W+7wWhuqW+c0GX+iNHHzp48OBajFtbWyGklPqK - WrLVxUJEgkhQLaUopOu6UsppW+b5RkRERERERERERERERERERERERERERERERERERERERERPAXUd - ZyWhX5+szcd5lCgiqp7nYy2WJNaxqEvfrbV68l7cVf18jFJejHNVDSkC6qjZild3IK2lXAxmCTFa - 8BFJPaV+Nm5hrZZudu/23Z+568Zb7vrUF+7/3LHFkdCbhxq6oEF7CS5SpbjDKoCd7G1H9a5KMHEL - C5NlRkAjfrJ7W3q3+nJFOyXN+ynlDpFTXgIQYKyoIxToU1TVWoehuBlShAK9ToP13fbBr9l43mte - +M9e9eLXXtgdVIt1IdgOvac0mbi1KhxRTaMlQIsEB0oogAPn4clGj9PZBWScW4zuJiIiIiIiIiIi - IiLaQ0KQnGsd5wcOHPie7/nunPNb3vJrANx9NQ4hIu1lCKHWekbbbxHdrQB93/dtU2YmIovFYjKZ - bGxslFJijPtx2IOIiIiIiIiIiIiIiIjOFXcXETNLKY3jXGPItUrQn/lPPzvkPI5jdXMoABMEyEUX - XvDv3/zjBw4csFJn821VTanLOauejE4Wh5+7SWu0l0kMXkvf9zGl2XxRaw0pVbPZMGxuzx7aPH7i - xImU+vX19dlspqpd1EOHDh44cMCq9V1S1TLmxWLRxk+X2+T5RkRERERERERERERERERERERERERE - RERERERERERERERPFXFdD2tmjoXEkoqXEAWqZjad9q0gfYxJYHksIlq97q7LcT5RVcDcUVEhrlFE - FCJj8RhTVC0l5zr3VCRYDnnoZzd/4aaP/eNHPn/kthP6YJ7MyzT7ejGHGCrMDGY7EdeCEICd7G1D - hKhDXayKmliryL8M6m7Pd0K+Tz4C6ucsvTsEOTWqACIiEgJCF0KAmFkdSi0+CWHSTevCsQgXH7j0 - NZd/6yuf/y2XbDyvHw+k3OlxhJAsoripVRSvMHdxVXhY7qm6iambYFkrhugRiYj7/kjyZnQ3ERER - EREREREREdG54LuGmsR2PQKwAIGVaZ9+8Ae+f3Zi86qrrwOQUso5A1DVlrd9prnd2AntBhBCGIZB - VVt6N4DpdJpzTim18vRd17WXj3NHiYiIiIiIiIiIiIiI6OmgZDOzydp0sRhCigZsHd+858v3XnPt - u3ItBb4aDBMRhcP9jf/LP3/Vy1+xNpnWWmPq3D3GaGbYFaLMNGV6ROaCELP5bLZdrG5sbCzG/IW7 - 77766mve+9/+22wxByAS3N1gAQDwH3/i3/3oD//IpOu3t7eDaIoRAM83IiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIjpXgkfkOg55stZHaEU1lG4SRxvNLHQBWheLuUSZ9mtqKGdemn7P2l3h - QRXuki0bLASBIpecR5+Eg+q6ja1RT+ihsgjHbr3r0zfc/vGbv3DTINtZF7LmFmr1UqurQwvEBVhG - UUMFQIXXZbiwAq38SQEKxOyUBrVHFZi4AlAHADslvtqAU1Z6aqhqrdUMqi0v2c08wOpQo6aqUmsN - SAfDwd4PhuOT17/0DS+65Buf/6wXrfu6Dx6OaURFWXRywKvWUtWRpAsh5CgZkh2uZgJFLVoENXgR - oCIxvZt2c98dIr9vMLqbiIiIiIiIiIiIiGgPqbXGpCXX+Xx+8ODhros/+qM/emK2/du//b6cc4yx - 1mpmIo+rYLyItNjvth3b0XVdrTWEUGtVVeZ2ExERERERERERERER0VdJRGKXzGwsuZtMVPXwhRf8 - x//0s4uaHTDAAQcMDncBpoq3X331Ne94x2IcYkpQmc8Xk64/uUGmKdOjW4zD+oED29vbGsMFBw9/ - 9Prrf+Wtb/2DD/1RmyoZu5hzcV9ONw1BvVqIsZtOSi4uElI08zLmGJdTq3i+ERERERERERERERER - EREREREREREREREREREREREREdFTrJTST/s6lO28jc5cStVaMdZQq5ZJv1ZrxTT0fT/LJ8ZcprKm - fh5GKQ/DELoQUoC7oQIIXdAeQ9mMU8k6+/z9n/3YJ//m5i9+4mg54hu5HiwZCyg0wB1eER1RginM - RbwaXBxuy82p6skIatmJ3xYEV7i2iG4BABM3AOotn3uvdHULSxaBqgIwczOo41B3QV1Ut5BCv54O - f+2Fz3nlC775m77+1XG+fgCH+nHiI1Q8peAoQx3mw5C6Seg7MfehjEMpDou6s59uYqZ1ufuuYAkO - Oi8wupuIiIiIiIiIiIiI6Jxog1B22lJ3B7C+MZVtz3mota6tTX/mZ376yJGHPvwXfzWOo4h4Gx8D - YoyllDP94r7vh2FY5XPXWmOMZsuWhBDMTFUfZzo4ERERERERERERERERPa1I0BjjfD6PMQJS3e+5 - 58vv/+8fwM5kNVGFAG4wcdho+NCH/uihhx56xuEL3D3GiL6vpaqqOuzUEGXxc7hntOe4IPX91vYs - 9t31H/vYL73lLR/+q7+GSAE0ailWcwEAgagGTUMe1pKaWc5ZzFW1lCKOruvMjOcbERERERERERER - ERERERERERERERERERERERERERERET31XGzbtyyUupZPDMcPHlp/aHYkTNRjlYBFXuS0GMexj9M5 - cGK+dclFzynHcpf7c93wJ57E0Crwm5l5BVCszuPmF8pnPvGJv7/1jpu38nHvy6JbjP089DrWQTSo - uFWX4uIQRw21Ji2AV4ipQsQQRDqIWwXMxVqJCRc4II7OIK47xVEMq/yA9rGd5atCFOeqJEXLEWjR - AWbmjhhlTTfseFivFz73Wc9/9Te+/iWXXn44XqiLFDdTQlezObLGUF2GnBFiWjsUJxjruLBBHNMY - Y6dB3WUUcwWiwQWmALQiiYAVOOjhWkwGABHxfXKKMLqbiIiIiIiIiIiIiGgPiTHmnM0shOC2HHtI - IVxxxX/5nn/7fX/3dx+JUXOuLXj7LHK7RWQYBgC1VgA5ZwAtqNvdSymqqqrte92dAd5ERERERERE - RERERET01fBqQx1CisUsxnhivv3+//67uRYDHDC4WwUAARSqoZaagWuve9e//3dvjtDt7W2Yb6yt - j+O4muTGBGXCzpngu8YtDeIif3f99b9+5W/86Yf/UgBRyeYOWDGNYtUBhBhrKaVmERmzAYgx1jGr - alS1Utu8RNu15dO+iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoySLWr/WLvJ36GLpw - dHzot37/2ruOfG6MAzovZey6Li+GSb+RB5uEtf/9jf/6NRd/6zlpJ1xXj+K6qyJIi7qGCdTtkdcG - XJZvnVJLZFnkwaqaBh9lKDKis6rj/Q/dd/Ntn77l7k9+Ybhl3m9ZqEVHBCCKS13UUUUBuAsMgIcg - 6l4VQzUEhBA0BK0Ocz+ZM2wC7MR0Q9o/hy4X2DKn+tS6E8uqFILVu2oPe3fZD3bq4+nUWy+dUuli - 2ROy7Bx1bZttPbYqgqEQLYiWpATNqhbWJgcu6J75+td/2wsveenFhy/VRS9bYRIO957KoqgiaqxS - i5lr0NhVw/Z86NQhhgCFWPXqtVgVhbZGiUIgLi4wUV2Gmj/yYSXaRxjdTUREtIe0iCwRAQTuIlgF - ZRERERERERHReWfXOJPryaUVQROANrwYYyxlVNXqdtWVV/zQD73pIx/5eN/pOFZtdeM1+slRPxOR - Fv79aN/6iLcaaq2r9G4AOeeUkpmpLhvWlpwcW2SeNxEREREREREREREREe0iDqu5n06qocBGq9rF - t17xNg9aqmFn9tmSu9UKxWi45l2/+eM//uN9DF592vfDMIh6+7wLVtPzGKi87/ipediPaPdyFxOR - UkrXdWPJquouMcZa65BzHzuoAjCznPPHP3XD//MLP//JGz41H3MIUs3dXFS9RXEXBwBBzQWC1dxI - EbXqIiruXneGa8UcWJ1pq1bxfCMiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInp6asW6VVVV - vRYsM8WInhxmnXQyhhT6ErdnODI7dP88blYtGrBVLa7FoR7R1OeyUbp51QJ51Chl2VXxvlW/90f/ - 8CNvoa3amrazrsIhJu6AwV3dTOACuEpIpVSzChWoth8WMVeF1SriMUZUm4+jBkwmk7KoqgoIoA43 - WBWzWCzlLTl23+yeO+6+9dN3furz9922wEzXkKcLk9ISvgGYA25hVU3Clztq7gaYoxO4QbwCDgcE - Lq04/3JflrndvtxPF6uP2AXLHlh1LNrWgu3K3nbd1d36sMeH9a1Ddx695RAECSFUt1LNHSmEYKmU - YmLaRQuWzQwIgpCxFtbTdoyLyaUbz3vFZa992Tdc/oz1izs7IJZ0MwYLolEM2Ss6qUCFA9riyaUU - gUZVgcFDSx9XhwMBAW5AcKAuE8RVfBXEztxuOl2tFYCImNmjne17DaO7iYiIiIiIiIiIiIj2PhPV - Q4cP/PqvX/EDP/ADn/zkpwE4PIaYd2rKi4iItlL1KaXHSO9+5C8ww67/IWAcx67rAJRSQggppfbu - MAx935dSYuQQAxEREREREREREREREZ3U972VOuSS1qdDrb/3Bx88tnm8uJ2e2uyAALJ88uDRhz70 - oT/+X7/jO9bX1xfb231MFXW1igvEHzX4mc4n7m5eVEMIwfMIoOu6re3tvu9T34XUDcMw5HzDDTe8 - 5S2/+uG//evY9dtjVlXRYDUDCKpt0HNni7selxRtMuRyouCuT+1aQkRERERERERERERERERERERE - RERERERERERERERERET01GiZ0MGTygBYCcOIrXm/6QJVeIUBEhDLuiOVUL76KGU9q9TlKqsg3lVu - NwCB607BBjMB4NJyr/OgIcXYmZl58R0JoiG4lcVikTQcWNswr/PZvEt9tmrIHiEdSshb5fjxfOzW - O2648/7b77zvtmP5AZsMdiCPPhsNMTxKp51qd8b2zrv28HeXdq1++lun8dNfuiy364Aso81119cZ - dkWe76ZurbrF8tHRrSUzm82KOdbWVGMYFzlqSCmoS87Zs/YhiUcdVYbuovWvffmLX/26F37LpQee - FxZdHNNaOVTHZUb7ozUZgD6sQx62X7p7sZ58l7nddJ5grgYRERERERERERER0T6giq2tzQufcfia - a675N//m//jHf7zDHaWWlJK7l2Lu7m7T6XQ+n59pbjeAGKOI5JxbencIoQ1triK6t7a21tfX+75v - 0eBP9P4RERERERERERERERHRvjfWkvpuHMc0mVx33XW51NUErDZP7dQYZYVZNb/uuuv+xbd/+ziO - KSU3x64pbYxS3r8e+9g9/N1J389ms67Tzc3N2PUhpNl83vd9da/u8+359ddf///+3M996qYbBTBg - Pg5txbMYGyUiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi2gtc4IABJstQ50dySjbzaVHN - j+bscruLBgDBXd2CQx3i6qIVwURdDHBBUVRBEZhoCOoKdTOp0JhExQAEzPMCXvu+E9f5PKuH9f6C - jGK6GFMpaXHUH7jjgc/e9PlP3PHl27bK0Xk9kW0ReiSNKOi9i4JqpepeCZB2QdmdEb5MubZWRsMF - 8OVxdCiWueC7E9CXK0KgCbNZBjCdIsZUSqljjgHbwxgCNmJcD+t2QrBIlxz4uuc+88Wve9n/dPHB - 5x6Mz7QB4Wha66cSMNsaJql7SruACHD3018+6rVrr2B0NxERERERERERERHRPmBmXdeN43jw0MZ1 - 11373d/9Pbff/vnJpJsvRgCAdl03jotxHFXV7IwHEUsp7cnGxkZL7wagqu6+WCwmk8nGxkYpJcZ4 - 2nAIERERERERERERERERkQsWeQwhaAg1j5+99ZaPXv9xCYL6KENLDsBF1ap99Prrb/3sZ15y2Ysm - a2uLxUJ3NkhPK3msKaUYuulUU9/NhwHAkLMBf/4XH37Lr7z1UzfdWHcy4CuQui7n3AZG27DmasST - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiGhfWRboMFm+knNReUMAWVahP1no3gVwhShc - AQXMxaqYQBUIQbyWWiEuUYOjuKsLcs79JKn2w7AYzEIfxO2EbeY4r3354pE7P3bz3916z03b4fg8 - HD+ej3UH4YqoUEgdM7I4UgyxYG9VkzCBA9KiuB0mUD9ZJsV050CKAYCsctatHdnVh2tFP0UQtWzj - PCsQBOq63q/5KPWY9XLgxc9+2Wte9K3Pf9aLN/wC317bKId7rFeHiVlGRdXQvmOvRJsT7VmM7iYi - IiIiIiIiIiIi2gdUAUBEc64XX3zxNde847u+67vvuuueFFVExlzdK6C1uoiEkGrNZ7T9EEKMcRiG - ra2tGOMqvVtEptNpzjmlFGME0HVde/kk7CURERERERERERERERHtW66pmxzbOjE9uHHVVVeZA+4a - pD5qerenrst1yFZ/893vftuvvnUxn7vAoU9tu+lJIW1en59+NNsEwodHs1utIYQTs1lKqc4HF2iM - f/Qnf/Zz/9/P/+Pn7ijuBjgQYyy1ABjHsa2oqiGEnDOAEEKt9UndLyIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiKiJ4oByxoMYqdnMO+kQC9feQUMaPnZACC7Snos6zns3oKccaJzcEtWAJi4 - C6qiLitEmJooIAYXVAkV6gKBdcVQsqpqFyCW82gVqtqJSq4jFqNnmaJMy6xsPzTc+7c3/8ln777x - /ge/HCcIU5uXzaL5mRetbY/b1ZALrO1VcpESxeCn7OY5F2zZ1eIKwF1bnQtxQMxh7XC1I6StWIZH - wFxPOb4iyCOyWRQJCOoqhlTXJicOXHr4Gy5/zate+vxXXDS5BNvazacb6WBKcZiPo51IfeeiZRyh - oZ/0nsenugvo6c3dW4bF/sLobiIiIiIiIiIiIiKifcDdF4tFCGljY2OxGC+++OJrr732O7/zO7/8 - 5SMxKoCccwip1uruZmc8GlprrbWKiKq6e9/3Lb27lGJmXdfVWlule1VlbjcRERERERERERERERGd - JnZpMQ4ppfvuu+8P//AP28JHze0GAOScRWCOD/7hH/z0T/3UhQcPxhD24+QcegKomsja+nqFb23P - PvCBD/z6lW+/7c47RHWV260xlFogojHamNt6ZrY6Z3jyEBERERERERERERERERERERERERERERER - EREREREREdH+YoDIacsEcEDgDihcTBBaaO7pn3yCqUMdVVEBCFy8BU6bQr0CCoG4KKQK4FrhGiJU - AVgFXFMnsQvb43zEaH0p3XDP5j033nLjzbffdPexO+vBrZrmftjHlkSeKhwnNrcN0IQUISrZUdxN - qsNi9b0T3S0OCMRXqeqr/7Y8bxXHKp9bHVjmp5uLmZvLKvYbUTsfarJuLaxjjFL02c989gsuefHr - nv/PLlq7dKM/aAuVrdjLNEos29VRU0qGOpYBGtfWJtVlGOZJw1PZA0TYnwVeGN1NRERERERERERE - RLQPWCkXHj48m83yYiilqupznnPpO95x9fd93/c/8MDRvoulFLPSStafxYhF2ElBaKXta62r9O7V - B8xMVeVh47dEREREREREREREREREAIac1zY2fvuq9x49MQMA19V0sofTEKzWLnYlj0eOHX/v+973 - w296E0TcHG2u2o4ne9IgPYnk5Ang0JPPTz2mBsSUNk/MUkq/+z8+8F+ueNtdd901z6NDzCx1/WIc - oBJDN9YBDsu1jW+aGQB31zaH0x71fCMiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi2j8U - EBE/mQ796EU8Tl0Ltqz2YADU9TE/fypX92iAmAUpAFzcpLpUh1aBtIRqV3Wkoi5WglVVL+IDovch - SbZhc76VLsR9J7540x2f+NQdH737oTtrmoep6MV1jrFY8Yqg0klQRFgN7rFLY83jAiauCSmgCLzs - odzuRk89HMuuXi5UdUX7B4grxBTFpJjCBVVWG9GykL4eXhs3LuovedlzX/7yy177nAuf09n6FAfq - 3GxmXQgArNbBi3bqIqNlQMOkAzCUAdXUHWBZFjpnRGS/pHgzupuIiIiIiIiIiIiIaB+IMc5mMxEx - L12XQkh5rC960Yve9ra3velNbzp2bAuAw0UkhFhKOdPt11pV1d3dvYVzD8MQQmjp3aUUVW0fALD6 - DBEREREREREREREREVGTa+n7fjafv+u3fhOABCnVVPXR0pTdHY4xj0kDrL7v/b/zph/8QRc5kwl/ - tG/snmbVcrvtlCVybHv7Ax/8vV/8xV+8574vt7dE1N0NWIxD+9g4DKHv6jBCpNYKQERCCLVWhnYT - ERERERERERERERERERERERERERERERERERERERER0f5iDwte1ofl4Lq0Qg3VxU38qwvwPksuqApd - 5kGruhkkuLqYibV6EeoqqMFEYAaMlj3FilpQUhKPft/xf/rikTv+/s/+6oHF3Ufrl2u/bQcXg+Ti - gMEFqhARmBerMA8iqmGxyBLRJzVYrnBDUKicWrFi7znleHk7NLp8RwRAVVt+zBFN1Tutfaj9ARx+ - yXNf/uoXfsvzLrzsAA6nPAmLLlhng3dpogG5jiZZgwBSalXR1v/VDeYAQoCIOutt0FPL90tY96kY - 3U1ERLSHtNQr9/YHM9wRQjjXjSIiIiIiIiKiPcHdVXdyCqrVOkBUBN/8za9761t/9Qd/8IfG0QQI - ilrGNpKoqiGEnHOL2W5beIya9au3VmMeLc+73a8AkHNOKZnZyZYQ0dNbKSXG2B7PdVseS7sMAlhd - wR77evhoVpfH1YXxvLkktiv87h08t+3Z19pZ0W74Y+fX6/lxnjx9rMZrwB8HIiIiIiIiIqJH0u6Z - tHGoWmvXdQCqm0Mh8kd/8qdf+Kd7fGdmoJntvsOye0KcQiqgotWqADd/9ra//Ou//p+/7dvcParC - PcaYh1FEqnvXdbWWp3ZH6XFJKQ3D4O7T6XR7PrTzZMijqkIUEFFpN1RPnDjx7vf+11/61bdubs+H - nZRuAHATyM4Jo23qaB1GANi5oe3upfDEICIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIioifM - 7oqU7i0tF6tYMaInhwEGMcgy6dkR4KilxBQdRVXMy3xxQhUVtSVGp26yWCz6NMk5t/K3vuss9V1V - G77aRogh+FirOJIGRSe1AhZD0qjDMJjVlFRFSsni0CQqUiTX6eJYfuBz9992y+c/ece9tz00u0+S - Wcy1G6vW6rUqXCFArBBXAC4CgUUpAOBIip3w6wTAgdregO2pH70Ycq4whIik6u5e3R2qqAYXC8EQ - tFRzBxQxwQpS7bo8lVk8YBe++NmXv/R5r3jlZa8NZaI2CUMUj3CpghpKmOiAEWII1q5BAIIqPEgL - BfedGhxwd39Y+DvRk0hEVHUcR5ws2C4i4tjred57uoY+ERERERERERERERE9tlLK61//+iuuuOLH - fuzHxrHUagBUFCq11hYXuopiPYuc2rZKS69sYyGtqj4Rkbu3xO4QQq01hLBYLCaTyblu1yNoDVul - U7cGn8V2+r4fhqGllWMnufyJbuxTrZQSQkgpARCRYRj6vj8/du2cWOV2r54ztHs/Wv3PkarK8HUi - IiIiIiIioodT1RbaHUKIMZpZKQUqEiNUr3nnOxWoilIdQIyxnpqsLG1SmKDWKiLmJjvL3v3b73nD - G97QxZiHAeYiEmNUVS8l56zKqWL7yTiO7Y56LgXAfFh0XRe7zsyqm5nDtdZ69TXv+JVf+ZXZ9qLG - ONTc5gOqLG/N7f15WUREREREREREREREREREREREREREREREREREREREREREZ0dd/VHitVe1bb0R - Q7CxzE0dMInBspUyikgL0wVs12ZhZ57b3eRSYowqUqu55SDijpxzmdt0OpXOhzwvGOKaINS5zzHF - Z+/6zCdv/9jt937myHDvQjfrJMthq15MsVM3QuEqrgILbgJzwIAqcFEADm2tNUChLcFbXSFW5YxL - 6z+pavUYoyrcfZFrrYiK1Gk1kyBqbgYrFgQi0Bxl6H2hU1v7+osue+0rX/+y577qmfFrbKb9bEMt - KYILqsC1VAGkOgzYOXYugOrJ47irK/ZYtxDtcay3TkRERERERERERES030gbMgQAV+m79IY3fNt/ - /s+/8CM/8ub2vsPcRFVb8GRLqF1lzZ6RGKOI5JxbencIoQ3RMsCSiFZXmBBCCMHMJpPJHox8LqVM - JhMza+nU7UrYrmlntJ2U0jAMq9jvvu/Pjyvh6nhtbW2tr6/3fd8yzs9tq/avWmv7/5nab+H2A9Ie - z3XT6Mys/tpZXS74o0FEREREREREtLK6D+buZtYSuLu+3x7HW279zN9e/1ERwJcz+to9VQDtjurJ - WV8OAVLoxjK03G4Af/Qnf/yFu7749ZdeGlRTimXM1dF1JN3mCQAAIABJREFUXYxxGAZV3mfbT8wF - hsl0fXPrxGQySSFsbc0ma9MCmMjWfP4bV1555ZVXLoaFAS7IZVyu2eYMCgCIqlcDILtnD6LNuiQi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOg+sCh0b4K0KfSuIKiIVFXDAXOrm9nFDNlgM - 0avv1ACpq3LL4nB5HO1w7byzgorRMYqahyAWo8UUu2K2vb3I3RgO+4lw7B+/dPOtX/yHm+/82FY5 - lmvxaDigqjZqruJZ4QJxqCNWDRaDJUH2sKgKP1mExOBRoN46Qawua06g6h5Mp1YpMK9FHApEib1U - +FgtKtw8mkQPnWu0EF0n+eCl05dd/k2ve8kLXnJ4eqGU0Fkfx6lq9CKu4m4mBgFQBVCDuqqLWAQU - HtDyCKRCiolBqgOAmmD57jntDnoaakWHTluCx3HZeWrsrbr5RERERERERERERER0RtzrMNSU0hvf - +MZf+qWf/4mf+OnJJC4WxXH6uMXZWaV9b2xsrKIrz4+0WiJ6nNx9HMe+782sZdy6+17L7QYQYzQz - EWmxuymlVU7MGck5tyTmGGMpZRiG8+Ni6O6LxWIymWxsbLTk9Sfk18fTVkqpdeMwDH3ft8TuEAJ7 - 9bHtwf5ZJa+365uZMbebiIiIiIiIiGil1tr3fa3VzACISLsHG7vJO6+9FkBxQDyEUK0+5oQ+bVtw - IASx6kP19773vT/9kz+5ugHbthxSjDEyrHl/CSEsxkGCaozFTAQHDh2cD8PR48euvOrqd77zncdn - WxBAUBzuODkFa9cELRHhUSciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKi85g6pD36Musa - cIi7BFFxc1e4eJV65KEHTMxQqxcoIFDRUke4iiwLN7T0bnXYGYbpujvgKaVsFRJEOkdZlBHIIelQ - NtOBztN477HP3/CpT9z8xU/eN7t7CMcHecinCAGA5mrZ4EDodjYqgANigAEFy3BymAAOR6tLYgBM - rHUF0KKsbQ+WnFBHlCAqJpZRzN3MDXAHPMYS+jrpa5dyf+H0gsue98KXPPsVL77otdNySDVgEBQo - grg4VER0ZwcFDtcAE0eqIq4A4HCx9jawPJot4Nwg8J2wc+zBgHOiPWfPlc4nIiIiIiIiIiIiIqJH - 4LsCYpdDZQYAZmv92vawmEwn/9u//Bebm5s/93O/ACClYIYWT9tSZluS6CqK+6sUQmj5o1tbWzHG - VXo3EZG7931fSlHVlk88jmOMca8FWrt7KaXruha7O47jZDJZLBZnuh0RiTHmnNuFNKXUEq+f+BY/ - tURkOp22XPOWvN51XXt5rpu2L7Wuaz8dOef209GCi8510/a0dg3ZO0TEzHb/2dOuJO1nhIiIiIiI - iIiIVNXM2t2wEEK7azrkfPTY8Q/8/u+JKNxU1eBwhchO5PbpE70EUq0C0Bgg7tVDwLt/+z0/+n// - 8OH1A9vz+bSfdH2/PZvlnGOMHKXaXxzSTaa51pCSu+dq99x/z29ceeU7rn2nhLg9346pG/IIYG1t - Y3t7S1TdHct/OxuptrO13ThpkIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjOB3qyqELL - 7m4p19BWhwHm4qKAWPX8wIP3GUw7NSsiKhIAM7MQpIVgP04mNqvH4CquIkG1C13KYb4djx8ZvvTp - 2//hxjs/du/Wl+Y2GzBmZHMPk7746OaiJhEiiAIFtADLKG6YmIWxRogj1ChVVayquZgJXAxiBihg - LY+6RZi3Jj3+vXoimUC8mMEhiBGtzorn0PlU593B+KwXfe3LXvn8b3nBJd94MB0OOcnoyOJACkmj - egVEk4ZSSssmD64QUwcQxBEsisO1mBSIL7sIagjwzrH7KPue6x56etiPVYBYV5qIiIiIiIiIiIiI - aB+LMW7NNvvpes5Drf79P/C999xzz7vf/Z5hrCLS9/0wDC1Y18xakvcZqbXWWkWkjdH2fc/0biJq - RGSVUgxgGIa+7891ox6BiHRdNwxD13Xt+VnkdgNw95zzZDIZx3H1/Alv7VOvlGJmXdfVWkMItVZV - ZW73WUsptYDnUkoIoSXZt9/C57ppe9pe+9PC3XcftRCCmTG3m4iIiIiIiIhopd0waU9KKTnnjY2N - 0HdXXP2OzdnMAA2hZXIDike/+bMadbI2hqWowH0PHv2zP//zf/0v/9V0OrVS8ziqqsZQSlF9AqYI - 0lPDBQZ3dxcsxvG+++676uq3v+s33z3PowGO7MCQR0Ah2N7eFo1eSzvADijEpa2/6/xpKfCrs2Bv - 3VYkIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjobAigggOyqpaCqpVYREYEIKszFNmeb - Dx49cvDARWU0RRWRYu5iqsnqKRv0loT9VbehVXhwqUgFwauhokqP44uHbr7jkzd8/mN3Hrl1kY4v - wlaNo0VAkDSF2M1zcahLxU5NCK8wRzAAUIcJFKgKl9YqBYJB4QWAwkwAh8pOP7Tc7r1aViKjxiid - JHG1AdjS6NMJ1i579ksvv+zVL3veaw6GZ9hW1Hkft6fBJWjVCHcxs1KquopYriYSVttsOysOdzcB - xFzMtQIt2hwG3V1nQxxAS3kneqrttVLSXyWWliYiIiIiIiIiIiIi2gfEFYA/bBislHLgwIHNra0Q - wqFDh44fP/7TP/NTqnrdu96dcy2ltI+FENz9LFK324oAzMzda61M7yaiRkRawLOItItDzjnGKLLn - olPGcWzNaw1W1dbmM91OjHGxWKwyado1dr+n+a7a3/ardc65bdJ+106P1rEtV4a53fvRKnpqda1o - 8fbntFFERERERERERHtFu5fYRpFyzm3k6MiDR37zt36rS3GRi6pW+8r3YEXk5KwwARwOBMG11177 - 7f/8jc86fGEuNgxDCCGEkHeGvWi/EJFhHI4+dPxtv/Hr73rXu8aaKwBIinEoWUOqtUJFUvSxunub - PwnA2uTPnXNj+V/Z9bhawhFLIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiItrPxNVPFlCw - Frfdaiq4O1TRwpsrJKBYvvW2W5/zystEABcA7t4qpuquTawozoyjZpmFaT2WH/zMF278+O0f/fyR - 2+c44VMMB0ZXNzgsqlWFIOfFPGuSqBpC18rwmlW0FGoBgKwnNy0Oh1Y1wFrstHp7xOqxfRJQQOFq - 0j65V6r7VgUU1STk0OfpIXvGcy94watf8M0ve94rDsrhCdZsM5axBo2TycTd87BAgYq6irinoJDg - 7tmqiKzKaLSS/w43NQ/FxABVVyC0IHNA1QGUZVcIdopuyJkfZKLHa5VSISL7JbBif9eRJyIiIiIi - IiIiIiJ6muu67sSJE5Pp1MyOHz/eknTf/OY3Hzt2/H/83gdzrl3XjeNYaz27sO1aq6q25NEW5toC - Ep7o/SCifamUklJS1RbToqplT0anxBjdvdYaY2wtPIt06tW6q2Dmvu/Pj0hmdy+ltCPYflmsrvl0 - pty967rWgYxC37/aZQ0714p2KM/uTykiIiIiIiIiovOSmbXRolprSHE6nW5ubn7k+uu/+KV/KoCo - 5pwh0BCseLvZIo80B65adqiqukAVtRhEqvnff+ITd3/pnwLk0MGDky7O59sYkWI4P27Jnt9854ao - Abff+bl3XnftO669zoEomgGFGqyU7IB7lQA39zFLjJ6LQHbNKHQHZDlTkLfmiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiOj856ItidkFgC3DmUXNzIEuiqf8mS/e8PqXf+tGOqCljy7BQ0Tn - xVwNMBNbFX+AKwCI2XKjKq47XwTATKuJmeaqxSS7+Bi2b77zEzd/8cbb7755S47a2riYzOZSPcAF - 7giuIUQ18VqCYi1pNnOrtRoAhagGtBRqaV/UqoVoa48AWC4xcUCWid2yq7aEn8ztbq1dxnub7HrU - U5bslKbY+fzJJUv6sNoVpssvO2VFwOWUxqjrTnK2iis8qE9i6b7m0Ne94mWvesVzX3Xx9NJJPpCG - aV87HzWprsdoUoatbRNPKalLrRUGTdHdSx3gGlLcqaOiAEzg7i2jvaq1/aoQcQ2u2Mk4F9gy5Vts - p6McYnCmdxN9BYzuJiIi2rtEziY/hoiIiIiIiIjOSzuDi+3FyTGwkq1LEy8ukC5EAHkxj0l/9md/ - RkR+53c+kMdxOukWi7GLKZcsEkTE/ZQkbxE8RhjlKgVhtUqttSWSikhL9VZV7ARbPoF7TUR7XIwR - OxcHM9uzubarQPHVk7No6mnr7s2Q8rPWDiV2gop5a/qs7e5A/k7cv1Z/5JRS2hPw54KIiIiIiIiI - npbaTLw2nUx2R297NZOU0lgyNJyYz6Xvr7j6KgMc8Da05LBSsTPS9Ij3ZJez+BxwVAMctS5n//3y - FVe88+1XbS+GCHRd7EOcz+caO+dNmnOnupnZtJ+4ex7Gvu/HcVRVVS1WQwi5Fkjop5OPXH/9te+6 - 7j2/8/523A3IbgAqTp4MyyHINs0yj215BdqT5bmEUyZWLidGyq4lRERERERERERERERERERERERE - RERERERERERERERERE+0VosypWRmQbUF+rIuJT1JVsUZHHAER2iR1eYWFXD3olATwOAlzj43u/GG - L//N5Ze++mB4Vszrknu1CNgoC0/VYnUxQNWT1uDVdCI5L6qFSZiopZINQOxDxlDCWLth7GdH8313 - 3PuZW2674Y57b5/5ZgnZ10rV6lKqVFW4r+o8mFupgKpXoJoBMFkWKHH3ZYGSnR+XnRjs3XVLdj/H - rmTvJWsF+XfK8mv7AQS0PfojPAKtdL8CMN2paLETy60OcahqqQVACBARuJtAFDYiBIWqqBvczNpX - K8Syi6PTiZRgg03j2oXxktc+5w0vfvbLn/Ps5yXEMvc063qdoh2hDhVWMcKhqRPAWu2MEAFUAyAq - EQJU29nlXb0BGERq2HnVUsNtlTvu0J1uCSfXYW43PbVEZBzHlm3h7oCIiO/5QjCM7iYiIiIiIiIi - IiIiOt+o2wUXXPAf/sNP3nfffR/96EcXizFGbSmzLWm7DRi2kf7lgjMXQqi1YieatNYaQvhKKxER - EREREREREREREdF+1aZyxb6vZrP5dur76iYp3nLLLR+5/uP2lVY/xWkzUk9OEoMBf/4XH773yP0X - bBxQaADysIgazmz79EQzswOHD28ePVrGfMEFF2xvzfq+d/dhGFLfucCAG2668cq3X/X7f/DB0U7m - cD+WZTD86Z985LX8q9kiEREREREREREREREREREREREREREREREREREREREREdF+4oAAJoKW5Oxq - AnG4QKq6QCxCCoAahll3/Pc/8v61jY3LLz50YrF5uHtmWdS+7zu1HFBRx1qkhiDaeRcgW7PZZK0P - moZxrDYPE0XEzLdtUr509Auf/dwtt3/p03cfu/N4ecji6FMbMDex09p3apmQnbjuh3nEhaet+Mhv - nL7i6Z90AfyUyhOnVKFwmJg6Tm85tCVbu1iuJXWqilKsVBeBAl4x7fvt7aEYYg+Nwc3MJIUYPXUh - xRzDPF3QP/P5L3jh5S98+Qu+5qWHtp89rRtYoJXoDyFYQc5ZYsTJb9dVHLk/+l4/nPpXiuJmUDfR - WWF0NxERERERERERERHReWg+nx8+fPjtb7/qu77ru//hHz5VigFIMY0lrz6ziu4+u69w9+l0OpvN - QghmFkIopcTIoQciIiIiIiIiIiIiIqLz2TiOEJlOp9W9lpK69Pa3v6PYE5ms/dDRY+973/t+5E3/ - V69x3J51Gs56SIueKF3XbR49Op1Oa9cNeZSg1X3MOXadh/CR6z/6G1dd9aE//pMCX50KX+Ux46El - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIvqKqpr35Wjd/N2/eH/5Nr384lffe2z7osMX - P3D8aIxRIQix06QaoqdQtFo9OHlG8TLk+Ri35VA9geOf+/Ktn7nrpls+f9NCtxY+W8iixlpTqWal - lJj2Vjh0FW3R5jvB2C2NW8XC8hNSIcXVDNYSvuEQX34MQNXiYuiwVc0zkiJ1GizAAtwWwzBdEwlx - PuYy1hRTCp2PEoY4kUPfcNFlr7nsW77x677pwvSsmCeyGVKYlFJqraqqqrVWuHZdZ6eUXtlpKtH5 - yB37rhQQ8zOIiIiIiIiIiIiIiM5D1fIkTnLOV1/99u/93u+78cabRZBLVlV3b9kGq2E8VbUzTFNI - KdVah2Ho+z7nZRw4c7uJiIiIiIiIiIiIiIjObyYQCERyzlWgMd5///2//8EPphBqrWewoeWsuEcQ - gnj197znPf/nv/0+CRXuIYRSyhPSfjprXs3M2oHIOU/X1+fzRb8+/Zu/+Ztf/bVf+/O//v/Zu/co - 2c6zvvO/53n33tXVfc6RhCxZlixLtmTLNkaRZXzDwWFYJEwWWVkzBKyEZAJMwhAH7EBmwCsTMjNm - BSfDhITBGNuYi2MrxpcMiSEXVgIhiR0MhJtvJAKMbRlfYmxZOjrdXVX7fZ9n/thVfbqPjgwSRzoX - fT/rrDpVu/bl3btq76rut9/n9x8luczdS/HFWC+2AVYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAX - tDSN3ahu+ZnFp370nT/4p577VX/yeV/5qcX+sWuuWC73zIrSs0VJuUpn2fpceTu5Ovnp+z750U// - 1oc+9V9/774Pn2r3jMN+61eL3BtTKZWiUkqGeRbpAqoYkeYpD5NnbAqVxDoS+6Buia1TvdMkyVI6 - lNudFimFKaq8yF0RWo5hkS7rTMW1WmTReKw7luF50k8MVzz++LXPe/6X3PSEpz3xihvLchb398M4 - 79pstRpXtpJn3/ellIgYx9GkUsoDGw9ckvIBqd2Z+WB1hC4cRGgAAAAAAAAAAHAJOnZs+9Sp+2ez - mfvOj/zIG77+67/hgx+8y0wZIcnMzOwgrtvsIXdoTHHdpZTWWtd1BzX6+74/p/sBAAAAAAAAAACA - C0C6pLCQFE3znfnJU/fPdrbT/M4771wsFquHPe7uAcu5e2vtox/96H9897u+/I9/6VY/LOvYdd2m - awvnR9/3fd/vLxfDMIRUo/3ir/znV/39v/crv/rry2hav5KpyINeyIc2quoCGrkJAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAABwwQlTWGtlb6XF0G/9zHvf+u9/7Z3PePJtNz7xqU+4+vqtYWcYtky5WJ26 - 73P3fO6zn75n77Mf+v0PfW75uWXdV59RYtnttqH5oLFVL5qVIrmNntVcbsXG3D/fe3lYSPJch3Ov - WZWURZqCurUpcJGylMc6tDuspiktLFVCVrVlfZhWbbSibmcYM04txmNu2zbTftef2r7+8pue84zn - P+tJt121fe1WzNu+aa/0/VzmY4uVLWc7vae5lJmtNU2h3em11rOV+qdUCi5NDwjvvggQ3Q0AAAAA - AAAAwCXo/vvvn8/ntbZhNlx22WWvf/3rvv7rv+EjH7m7tZSUmWbm7lPd/HjoQQd934/jmJnT4u6e - meR2AwAAAAAAAAAAXPLSNLZa+j4zF8vFm+5883hOU5fHsUlajvH617/+z/zpP201VuOqnMMN4GHZ - 391z9+3t+aKOP/0v/8UP/NBrfvW9709TpNKUMnfvum5crqTs+n6s4/luMgAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAwCVlKNpfNZU2zCytjRG/dd97f+3uX5p1x90GyTJDtjKvsnH05WqrLefLCJnJTOol - KaTSKVNRm5TFpLSWGatqF1KleTsI7T5c2sSO3qYslZKlK91SUqRJCsvTS2z1ZVyOmZr1s6i2uGdM - 8+PlsmGvPOH4tc98xq233fScJ172lPl4fFhuz8ZtLWwYtlrL3VP7UerW8Xm47e/vDvJiNkV3m1nX - dWb+MOr8AxepPBTcbWYXS4w30d0AAAAAAAAAAFyCduaz5XJ/a7Y9Lhfe9VddddWP/uiPfN3X/cXP - fOaecaxKKVI+9St6pvQQAxXGcey6rtYqqZTSWuv7fn9/v+voegAAAAAAAAAAALhEpUva2up39/dC - tor4uZ//95/8xH+TVLxEtIe94qnXKje32/PZcn/58+/6Tx/44Aef+bRbrHSMUDvvZlu9me3u7v7k - T73zpd/2bU0KqUp9X8bapGzR2jIl67p+HOuRF/Xzs0e25QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AJcAT9nYl3GUtJ8r67Qc9+5d7p248sSpxX1mJVOhGr60rjZXmlYhN3mnknKpmHtkRHauWlWr5GFD - WK8ItaZisgsni9dU8nR70iQpdKhURUqSpyI7z15S2tIUJpVcPx+mNK3UstPMui3b0u7s+H5/zeVP - vOnxt7zolhdftX3Nse3jURX3e6deKnvjcmu2daqekjQ73pv5crlqrfVeXFZK5+7NW0RES6lJMqN8 - Bh4rLpa47sP8fDcAAAAAAAAAAACce621ra2t3d3druvGceyH8oQnPOHOO9+8szMfhl5SKmMTcfAw - +vPM7CC3e1pPrXUYhnO6EwAAAAAAAAAAALiwpGl3d7efzYat2Xw+/6HXvnYZre+HMdq5GlPj7nv7 - S+9c0lvf+rZlHeUuZ3za+dai1lpK+eqv/urHX31VSCqSNLYmk9xVyjTjuhcyT+d229n+6WAgZP7h - Er4BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAewyxlVce2tj2tVYVJM+uP+cnVyVaW0a1yGDWsclaj - V+tVXX1Rn94379JUVRdRV+lSrXLXsKUyqKYWVU0qF1iZeQ9ZylOektYZ3tNDD2lzq3TPKZk3JaWd - rmNhqRLqmoaxO952tnZ35icvv+2q5/2VP/UtL/+z33HHC77xKdu3XlGv709ePiyu2G5XdHncc6sr - s6b03kux1sZxXBXLWdcPpTOzcRwXi0VrzczcndBu4MLXne8GAACA08wsM8+Ycr4aAwAAAAAALna1 - 1tlW32Ls3bOOXvz666+/8843v+Qld4yj3L3WKbo7XN4e4soPfonRWjs80cwiYvotR2a6u6SImO4A - AAAAAAAAAADgYtd13TAM991//+985MPv/qVflGwxrs5J8vL0V7NT11Kt0Zne+KZ//IpXvMIsrXRm - 6xF0OC8y04ubfKzjt33bt73iu/72MtZPWV9ybAqVbmi1RoRvXqtSrLV0qbi5+1ibpOLWIkMaiteM - CMmUqWlE4jQ0cepqXKeAAwAAAAAAAAAAAAAAAAAAAAAAAAAAAADwaJnqbx/ODntgshhwXnjKrMWY - blaKRUaGSeq98zSL5umSldalhXtYamhdCQ+LtEjLKEpTNWVRNUkKSSaXK5WjTBdUqQcv3sdYm1rp - zEyhzFBaUbrkKWVmyyYbM1eS+q6Mo0LqOnNZrtS12Y6OzZbbN139tNu+6Pabr33m4+bX9Lnjtc90 - qQ8vklua0r1KkivUUlbDwpQySZmqmZLKQcn9g8sCUYN47MhMMx18JJrZRfHxSHQ3AAAAAAAAAACP - GRZPecpT3vzmN/3lv/z1p04tJM2G2XK1jDyX/aCllCnPe+o7bK2VUs7h+gEAAAAAAAAAAHAehXJ/ - f//EiRM//MM/0nm3jJpS13W11nOy/oPRaDV1am/xT37iLd/8V75pXC46MUrtfDKzlMZx3Jpv3XHH - Hd/zvX//M/fe3818jMzWJJOy1ToMQ9SWMdqUxd6ylyQd2975wqc/47rrrrv22mtba5/+7Gfe9773 - 3fXbvy3JpWnUcmZO/YwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4Q5iKQop05RS8bVHSZWEZLnm6 - JE+leQk3qWvuCks1V5qn1CzyaEC3p5tkF17+bpgWy7GUUrpiljVrC0XKQ2bWxpDM3dwslUNnXdft - 7tXet0rpbHQt7Fi5/Porbrjh8htedOuXnbDLL+++YEs7WfusluFhSlOaLJUm5fp+rGucTBHdF1SW - OYCHg+huAAAAAAAAAAAudRaSPCWpdPbsZz/71a/+gZe+9K8vFnW5WrrLzGo7Zz2imTmfz3d3d0sp - EVFKqbV2HV0SAAAAAAAAAAAAF5MHDqibxuzNhq1Ty/3PfOJT7/ypnxqjSnLvaj0nw8xcUmaYmcyU - 2SLuvPPOb/jLX28pkrvPs/RxVefb8zHjxPFjL/vrf/1V3/u9i1UoJVPXd4pstbbVwqTOSst2bGfr - S57/gi//8i//7/7El918883ZYj6f33PPPVdcccXeYl/Sb/3Ob3/nd37nL/7yr8gs5DWbJHePCEmZ - F96YTgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgPPF1EzpCul0/HaGTOlSrivSS7J0mUtqXptFSs28 - mYerWRcmz5BUMkxRIkpOgd+qdhBcfUEoW0NmLtsYCnOVTr27mVobh84zLVt4ys005u7euDU/FvvD - ULevmT/xGTc+61nX3/a0xz/9C3aujL3MpljGsmVkSG6ebmYpU6SFpOYuHRzD0Lr6inu6pXtamKSW - 9uBVVh74VPojcViA8yLXTj+0C+ly8XmQkwEAAAAAAAAAwGOIuy+We1/6pV/6Az/w/37Lt3zrOGam - Is5Z1fu+71try+VyNpuN4zhNJLcbAAAAAAAAAADg0pCmiNjZ2XnNa19/cveUpJRKKVPW8jneluTF - P/Cb//U9v/SLL3r+C/UIbAJ/eE05m8329vayeHb+TX/lr37/q1+t/f0xsuu61Wp0ad4Nta62yuwF - L3zeS17yNV/2ZV/2hMdfExGrxaItV8e2d07dd/KK4ydyrDnWYRhuuemp//Tt73jd6173d//+/93U - zEybxO4pwNvMCPAGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACYHOR2S/KUNvfXcdNFnpsM73RZpEXY - lPMdYQpzKaYFbZNOfcFm76apxeju6oulXJGpcYzhezbFAAAgAElEQVRo2hrUlk2j+uJbPrcsaprH - MJw6ccsNf+z2pz7vpqueeoVdPVtul/2unuoslSZ5eKfSuczCZJHeqqVS01GNNEkZpumIerplRHYu - C3XKSM/NwQYei6ZSMGa6uErCEJUBAAAAAAAAAMClKP30fYuD26H0ddm63l/84hf/o3/0D1/2sm/P - VClW27np35jiuksprbWu62qt08S+78/J+gEAAAAAAAAAAHB+LZdLy/amO98cknmxVB1Hc88/UrS2 - H76f8rSmVERk6s1vfvOXvvBFRHefX621rfk8F/uzvm+m4cSJl/7V/+Uffv/3WypWdcvUUlccP/Z1 - f/4v/NVv/J+vu+46Fe0v9rJVi7z82DFJ933u3uPHj7dax9VqezaUUrK1+fb2d3z7t+/t7X3fq189 - Zh70ME7R3e7eWjvfuw4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHD+pRRTBHVoE97tUkyZ09XXYd4b - 1TYPTUqTZZQ8s3xHmqq55aayvcWFFE0dLZTeei+ZyuaunBUrneeyzpq2+u2+ba3us5I7tzz5C297 - 2nNvu+7587aT4bnsuuw6n1lfUnJ3kzIjotWsVS09LbWdXQlPW0cRh0W4PBVTILqFy2XRzC1D6wop - fiEdIuBRlZmZMjvf7XiIiO4GAAAAAAAAAOAx5NSpU8ePH79/b29nZ+crvuIrXvWq7/6u7/o/2jnK - 7ZbU9/04jpkpaSqmn5nkdgMAAAAAAAAAAFwyutnwb37239798d+TFKFUeikR5yZfOSUzywgrVrpS - a3XpZ/7tv/m9T3z8iVc/vpyTbeBhKaWslsv5fJ6m1WIht5d/68te85rXaDUWt6fc+OSXvvSld3zN - HbNhaK0Nfdnb273yxOWLxSKi7d5/Kmo7cex4tLAas37Ill6sjeNotlwuv/N/+45/9+53//Kv/Xoc - DWifuh0BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgSSnpdNL2OsDblRZKNdNmorTOmd4slYemp6R1 - OvVU2SHMtVnWLqRiD1uD14hx2VzqrCvhFqnqc9/Jvey1/aSrn3rb7c9/+o23Htu60ve6YyePzXOe - xVtmywwLM0tPNzOzInNzk3XmaekyX4UUlu5SyF1TKneYnT54stCUmC7pAdnnwGOcmaUupKvG2RDd - DQAAAAAAAADAJWjdf/eA6cdP7Jw6dXKYzVerlbu/5CUvOXXq1Pd8z/eeq+2O49h1Xa1VUimltdb3 - /f7+ftfRJQEAAAAAAAAAAHApWC6Xr3/DG4qsKadhM6X0f5TobtuM9DtjaNpBbPPJ+/fe/va3/81v - fdnD3gT+6Lqua62tlss0bW9vL8fVlvvLvvVb3vOe93zzN3/zn/5TX9l5WSyWRVmKn7rv5PbO/N57 - 7hm6vpgPw6zf7leLhaSulBZRx7G1dvmxE7v7e5efOLE7jt/4jd/46+//wDiOZpaZU4b3GUneAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAj3GW8pRlHNTryFCYm2mq1WEKTZXqU2muTRq3T7HTtn5YUmkK - kzJkcbDyC4dNe9HUpWal85xptK7OtvLY47afcPsLXnjrTc+5rLvSx9l2Huv3Z1rFYGHWPGUyN5cr - TXKtlntmVkwuLymFKSzUold6WEpyD1d6t8noTg8pwiItQjEdySkx/UFqpQCXvoOKQAcPzc5XWx4C - cjIAAAAAAAAAAHgM2d/fP378+O7+UpJ71/X+l/7SXzp58uSrX/26c9IZamYHud1TJf1a6zAMVNUH - AAAAAAAAAAC4SB0ZU5d29+997Off/W6ZZaofutWqjquFuWc+9P6gzZoPLzklN2dES5ViyszQG//x - m7/9ZS+fksIP2mOpvBiG7lwaaq0R0XWdu9fVqiultfYd3/Y3y/9aWmt96cbl0lOSMuLE8eM16ny2 - ZWadl3Ec1aLv+9VqVVuTNJ/PV63u7e3NtmbL/YV35Y6vfcnf+T9f+dl7Ptt13TiOEeHudDICAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACcwXLKjg5JLo+Ur8t3uCzWQd2SUiGlSemyWAdOH60Q4qkw2UEV - kNP31s8fnflI+HfameHVrgdIxYOXB0k7MyzcN9nYU5x2GdWldzl0q76sZlfMr37m05/9zBuedeM1 - t/hq1rftfpx3rdjSrXlXVMpYa4uoZsWtRFhmy8xZ30c0RabC0t28lBLmSxvD5FM9EwuLTdL55ji4 - PBRuitPH7Sx7CeBCRnQ3AAAXkMzUuuBguCwzSynnu1EAAAAAAOCidKSfMU/34RX35WLsbN05WpeL - ofOX/41vPXVq901vurO1dFekZabkXddNOdxrdqgD9EGCvqffb0hqrR2eOFXYn4K9u66TNFXb/yPu - JgAAAAAAAAAAAB4hta62t7f39/ZmXZ9hYZJ5Tf3g617fzYbd5cqKt3FVSonW9DAilvPw/6cXX6c1 - pyS1lpJM+ugnP/EvfuZff9VX/veKnHV9jGPXdcv93X5rlpsOqjQdHttmD9KfhYfJzb1o6uaTZUQx - k5kyO/c2jsW8dMpoksZxNS2UmWOrcovUqlWVKd1bq1bT5F2ptbp7Zto4/tmv/Mo3/cRb6ji6e2RE - huygrzMkTSMxeWEBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAI80MzMzZepQ5W3gwhBpaoceSut4bNPp - ZO5NpYZYB1ErDiK0PQ8tqXUpB5Nk66diHVztByXup/8s5QrLTQmIVHU1Wz/0PMgUP7J+T0k+bT3t - SImStNOzluKeHi0tisstOqs28+2y6C7rH/f0J33RFz/tRddfedM8j/lYyn3FVWx9oio90tqYGVlV - TN4pTdmV5mVq3SrdXIq0SNNobVRLk2Qe/XQMwkLljAoqISnlOrJfD15lJSm8j0uZma1Wq+mz0WzK - s1Dm5opwASO6GwAAAAAAAACAx7jw9Fe84jv39vbe8Y7/L0KpLKW0lkdyu/9oWmtm1lo7yO02s3Ec - +74/V5sAAAAAAAAAAADAuRN93+/tnyrelVJWtVpX9vaXi2z//F/89N5yJTuSoDz0w2pcPUIjTVMK - 6Yff8KN/7s/9ub2T969Wq85stb/YOXFiubencnrQ2nrA3iPTDBz2UJPR8/OOsHJp1vfPuf22O3/i - LXZkdCgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADWPBWmeNAyDg+WKn1k+oMu/qDVJDwkKdwUh5K/ - 86Alhxc8fN82i69zuzcT8/TDNFkqU+MYXUZng+QW3VBmO/3xm654+h97yu3PeNJtJ7oru+WOL7e6 - NgzRmSIzmlY1x1C4eymdOldzSZZ2OHf8oLXTvqdNh8Ml+Xqe0ANixR+wR6fnBHBxIbobAAAAAAAA - AABoGIZXvvL/uu+++372Z3+2VmU0SV3X1XqoC3DqGvy8VfU/DzPLzMyMCEnuTm43AAAAAAAAAADA - BcvM3L3v+mUdvevSNNue//iPvOHekycldaWrrUpqrZm0GlePdHve9Yvv+cAHfvOG664dZltqzfsu - xvGMeQjtvnhFbc/74udKylRkyKRS1EjxBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeNSZUjEVpT8I - 445NAK7lZoJCijSXFOE6SMg+tKa0TSq2haUsNwXvD4V8z7a6OjarMst+6K+84qpnPf3W22983jWL - G46PV+aqxJ6kLKb02iyjts5Vur6zTlLLyDDVLFFMSk0tieaRUljI8mBrluVQsPfhOvw+NfJBjgih - 3cDFiqpEAAAAAAAAAAAgWoyZ+X3f9w9e/OIXmylT7l5rPVcbGIZBm/TuUsp05xyuHwAAAAAAAAAA - AOeUt9b6vpc0jmMpZblc1Vpf+/rXFSt9108dPaVbD6grXvLzre3c+KHXvnZre1tSZvZ9v1gs3BkX - c4motd5www3l0LBKXlwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwPliZme9D1zi8lBStyRFWsiqrIZF - WDRTM1X3aqVZd3jeNKVpmiHNp4fTP2kdib2++4AyJctlrS1DOUbbW+x/7r57PvnpT979iY9YZ9Zn - P9gwdF1XImpEDQvvrJnGtlqOq9WqtjHaGFnTcp3JnaawaBbNW3iGRVpMCeIpV7pn8SnDG8CljvMc - AAAAAAAAAAColGKe8+3Z933fP3jBC54rSQozSSHFZi6XXJtOx4dktVpJcvf5fL5cLt3dzLpNlgMA - AAAAAAAAAAAuNGbWaq7Gsev7sdXSdf/u53/+Y5/4eMs21lGSlxK1SSpeHoVRpu72kz/5k/fee2+N - VqO11vpu5nbQ3+SMkbmo9X0/n8+vf+ITfTPMMiL+gGUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHjE - kNiNx6AwD/N1erdJJtvckYWsmq1kVarhLa2aZCnLsFwnfMtqek1fpU93qqmawvJIYvcZ6d1dZ12n - rvO+L2Uo+8v99//mb/zkv3773/2xv/1Pf/lNd538wEn7zFj2+6H0pbOwCNWMlin3ru/nw3ynn291 - W+GqJZpH82gWp4PD1xt1SyvpJa2EH2rS561bYnH6H/AYlpmSMv/AGS84lCUCAAAAAAAAAADa39+f - z+er1erY8e0f/MFXP/vZXxR5jns+3F3SarWaz+eSMjMvxq4VAAAAAAAAAACAx4zWmqRumLXIYb71 - hh/70dRmbJ3ZQXePmdVWH/HGRJ7c33vLW982n8/dihVvyrZuzpFhcrgYmVmt9cYbb1y/kikR3Q0A - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAHCeTMnWJnnKU+X0vyiqJWvJkGIT7D0tc0ZU9pn/fPNPR9O7 - a83WNNZYrMbWRuvMO1/YYnls79/f9XPf/7bv/YF3/D8/8953fujUf7lv+P39+X3jziLnY85qlHHM - 1WJcLJbL5WrVTM28mYc81Sk7yzL98yiW5umWIaWsEsUNPFQXabpEd74bAAAAAAAAAAAAzr9jx7b3 - 9k51XWdmO8fmP/7jP/Y1X/O1v/u7H2nrTsPpP3/Y63f3iCilDMOwWq3cvdY6hXkDAAAAAAAAAADg - wlRKkZeISLdf/pX//O5f+E+S3DwyMlMtJfV9L0W2R7YlKYW01Xc//sY3/k9/8euOz7fNvLVmZpLr - aG63XZQDfB7rWmvZ2jXXXDN1TE7Z8DLToVeTFxYAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8CizoyPf - gUvbQfC2pWTylMUmlvvoiZBT7frN/LFJ7zadnnmK/Z4qgXgq7MgmJLdUWkgyV9eby2oN1YhYmfU+ - +Gf3f3+Yzfrtrd9rv/3R3/ydn37/O258/E3PfNKzbr/luTt+4pif6Nosopr6YRi89KtoabJ0Syvp - mx2JdfESi82tmkvpZ6m9ny6JVG/gUkJ0NwAAAAAAAAAA0HK5HIah1jqb9ZmlH8rb3vbWr/mar/3d - j3zsnPxNwJTb3VrTJsZ7Pp+fOnWq7/tzsHYAAAAAAAAAAACca+7eWjNpbM1Kectb37qoo6TI0CZZ - 2d3HcZTkrnjkR5ytxvq7H/7d97//g1/yvOfu7u3tbM/rapTbH7wkLnhFZsNw2WWXTQ/dvUVz9whG - MAMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADw6ThcQ8TjzjqWnyXIdvx0WqXUg99oZVSJyPcUPpXdb - Kg8lea9nTK1WaZlmKj5NiDGr73Sjjcu2CM/uWLeKU3fde8+HP/eBn/vVn7r5CU9/9s3Pv/naZ1xx - 4uq+zndXo9eu897idEqvHdq6LEJThvcmPtxCqbOkdwO4tBDdDQDAhWWqY3jw0J1v5AAAAAAA4NFg - 2VxWSlkul6WU+Xwu6S1v+Sd33PHn7777EzJJyoyu62p9mKkLU273dCtptVoNwxARZiYpM6d47+n+ - NBEAAAAAAAAAAADnS6a1lKWslN//3L3/9J/982nwWayfTUmxyet+FHK715v27tWv+cEXvfDNwzC0 - 1s7I7TZSni9a0x9RX3nFF7hk5jVCUrR2ML7RHjA8EwAAAAAAAAAAAAAAAAAAAAAAAAAAAACAR0jX - dZIy082iNYpm4zFi/UZfl3iYzgIPya0bx9El77pSfIxxOjvGbF5UR2WqH7qUtZbravMtlDJXZqsZ - ks6ayGcpmdZn2JQILilTallUrUpRXJ3kWd1qzLTU7jL1Gyc/8773/NIsL3/q42/94lteeNMTnnG8 - v3x7uTNLl6JmWOlL10XU5biUZde5p8ZxzLSu69261lop3lqbquW7+8GZnumSZI98RRXgorJarSSZ - XWR1YIjuBgAAAAAAAAAAmroDTTbVxJdiNptddrm99rU/9LKXvfxDH7576ipsrUk2DMNqtTgn2x2G - YX9/v+u6g9zuWuv0FwkAAAAAAAAAAAA4v/q+D1lVvv0d77jn/pPupWUqz8+gslJKay2U/+E/vvtj - d3/8msdfNev6VldTHxMuaptRlHbixIlpypQNL1+P02IEMwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - wCPNU5rCs+XTrcwlLcbl9va2me3v7+7XNp91bra/an1fXG6dSVJ6RigispVSUtEyFO7FrHhmKpVK - e2Dkbz6gtIQdxIfHwRRLhUtSmsIUbW/Y6WT+vk//0vvvfu+1l19/09W3vPDm51134voTxy5rq9jf - izL2Mx9KV4q65WJpZvP5tnvZ3V9GrIZhaLV1XWdmsXGQ3g3gkkH0BQAAAAAAAAAAUIaFZEpPZUSm - rPjW1tYX3fqFr3rV9/y1v/bSe+89VVwRWYqP4/JcbbfW2vd9Zk6ZChHRdd04jn3fn6tNAAAAAAAA - AAAA4GGotc22tvaX++H2xje/KaUxmmw9/G0aZLYe43bkwSMl0iQfo8Vq/8ff9I9f+Xe+Sy3Mz5Lb - PTUxGQd3UclMS7v66qt1kNstmZ1luCUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADOOc91ERGXwiSL - lNJCkm3lyeX9Ms3m6l2rWjPVzaRVP9aS2dxlXvte3aAWNaKWXkPx2tpqVITc5G5FcjujSokf5HPn - 4RomJin6OD3fQS0RCw0+W9XaQst+mbPF6Pf81uoTH/vU+3/hI+983NbVtzzpC5998xc/+XG3DHG5 - Fl5q8WrHu+MttLx/lV3rtvpm2l8tupRaZGZEmMoU491aWwd4p5/evB1qCvBYNZWFydxUHLpIEN0N - AAAAAAAAAACUma01d3f3iIiIVLrn/v743Oc95w1v+OFv+IZv3N1dSoqIg1r5f3R934/j6O61Vnef - 1kxuNwAAAAAAAAAAwHnn7uM4SnrXu9712x/6UD/rF8tR566f6KExZa4HsG1vbb/lLW/59pf/jZ3t - rYtqCA/+ABHxuMc9brrvsnik0+ABAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABw2BRWPeVWW6RFSDKl - aZhLodpURnlR5/Jqs7zSYuh6pVaL5X05rnxIz2auVtXGCFffm7xkWq21nL2YhCtDU6T3oWIilnLp - cNJ32NTCro05zLYzc9WW3sXWTkmt9hcn84Q+Fac+/aGP/dJvvuva4zfe9uQv/qIbb7/2shuGtmW1 - syjW95K1iDDNullR2jqKOJU+ZXhn5jq6G8AlgehuAAAAAAAAAACgUsoUm21mpZTWWkqZaZallOc8 - 5zmvfvUPfNM3fXMpvlpFV6y2c1Mof8p7MLOu68ZxLKVERGuN9G4AAAAAAAAAAIDzq+u61TiWfvaD - r3ltSsvVKDdJitP9RIfHtj3i3GWWVbuLvdVi71/9q3/1dX/hjuXevvXlUWsCHlERcdmx4yaZZGbK - zDw6pBIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACPFJdckhRSrKeZZCquGGVN267BOhsVtflyZ9jf - ftzxa2++8YbLr9j+2Cc/9Nsf/sD+3v39zla1mqWtfKw1Vy3lLdw8lXawXklSuuz0BJOUZylmMk2X - 5OnNunSFq/koRVqLMXJsfWruSml3tRyGle34hxf/5a73fvBn/utPX/cFN/yxm2+/5YnPvP7KG3O3 - 5Klh249vxXyxWGYZ5eq6rpQSkXUcTaXv+3akDn+smwo8tk1hFmcws7OdtRcWorsBAAAAAAAAAIDc - vbWWma01d3f3gxr4y+V+hF784hf/0A+95uUvf7mZ2jnK7ZbUdV2tVVJmzmaz3d3d2WzmTu8jAAAA - AAAAAADAeVZrnc1m77vrrv/wn94d0/i1TJk9unndh2Qq1mPtXOWNb3zjX/jzLxmGIbKdj9bgETGb - zbQeRGmSRHQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAoyJsE9xtSlOaZHLJQr1ZtOxjmMdOO5W5 - tOuvuu5pN37hrTe+6Lqrbtje3trdv7c95UX5J9rvfPg3f+HXf+Hjn7l79KW6pQ+tdLWq1mzmR5N/ - zwjDPlJkwpWeJmWkZIeWsow0eW+ruozMzjQbrE/zGplqqdlcTTpZT9rMh+3ZyfbZz933md/91bvm - v7zz5Mfd/Pynv+gZT7y1t8i62toaFENdrsZxbK25l1KKqTySxxjAeUB0NwAAAAAAAAAAmNK4XWqZ - GRFm5paZad5l5jD0mfEn/+RXfPd3v/Jv/a3vynMXxlBrLaW01iS11ubz+XTfjBL8AAAAAAAAAAAA - 51NEjOP4xje+ceoaKl3XatWhjiKX4lFtUUouy1L6Wsdf/o1ffc973vPHX/glUZs2Q+ymW08FfU0X - GzfrzPu+nx5GhnSeQuIBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAei2IK7ZZiyu2W5CEP5X63Vefd - 6thx/4KnXnfrbU97zpOfcPNOd2w7+1xkO5VXdE/00q8W9barn3z7//hnPrf3mf9y9/t+40P/+WP3 - 3HX/6rOt3+37UK96RkK3pHTZpoTJVGjC/OCpJpdkFlK4JIUrJLWl5oPLu3EcVzWbpRdlqlNZLVtT - lt4j89RivxSf78wWq/tH3/3A73/2rk/+xonhyhuvecqznnrr059w65Xtuu1yohRfF+d3j6bValVK - f6iJhyPGH91qK8AFJs9hTMWjiOhuAAAuVJbKTQVBvmo/xhz6sTCk6QdRSVK6pNM/JW4m2lkqEx6u - h+lHnwpJYfJ8wKoOnp5WvH77KafZLDaFLGPTpAf9Bmynnzn8Q657uEfx9Acu8oD2H2mUpefZWvt5 - nLXspm8aNj05PWplbGXZvKZ82rSlXKF0yS36ofaWnRSyeGjf+jdttujSpibF1AaT1kcy3XK93Wkf - Y7PUVDzUL8ofNB6CaR8P356Fhf7gt81m3rNNPLzWsDiyrnTT+vx6KG+zh1N11hQpD5sWP7yz67PM - UpJP773pl1CPsY8A1/oUCEmeXqKT1LxOz1oeXBIv3MNy9B14+IJpcXB1y+lSc5YTf8OPnAvTs3/o - s+D05tcX8MzNSg5vLg9drA83YLNp3zxvPr0zN22eGlOiPPiWTzd1WkmawuqhZw9Ogc118vSxWq9/ - s/w0w5HDcWiG6T0zNfvCfVdcGA5elDjjC0CcOcPhyX7GR+eB9ZfUzVRPn9Y8vc/j4F23/gV3pEXz - tLTSBstyyX+6nelBz+IH+zSZ5gxNR36z4JFr4OmeA9+cVusph87os6388DVBssPdEpsN2WZL09e2 - 099Gz757D3zzPHBPz7zWuTYfwRd/kW3bnC9T+fc48lEVOtjZQ1e2PL3skQvatMgZH3ZHro7ry3ge - nfNgfj96e6iR01bSDjdmmu/o95/1l+GDpuqMGQ52ZNoLi6PXkNPfcDaH5aJ/fR9rIqKU4l4yMzPN - TMrMVOY4jrPZfH9/X6p33HHHOI6vfOV3j+O56Rgxsymr292nTZdSIvhwBwAAAAAAAAAAePQcdBQe - dESmadief/wTn/qJt79Nksxa3fz5x2bmM3t0zvbnjOdSqgxdW67GOvZuLfId/+wnb7/99lKKKw/v - BbndFyl3d/cia/Q2AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHLXO7jF5KMw9PSRXhMXhYIM8uog2 - SVibSafnsc29g0yxKVfCUiVk6SW6rvVdnfd1/owbbn32017w5Mc97Xi5amjb3mZDdS335v0Q6XVp - XmYl1WVV5JW+/bybrrztac/59O7H7vroez/woV/9b5/72ML32jDWchCusYlgmJptOhROdGATxmGy - 2KSJp4prXEVkeCfrlKmWKkWttb7vOrcao5nPtizDFuO+Se4a5rPMdk9b3vPJT37w0792XF/wxdd9 - 6TOfePuN1z955lt1P3sNQ7fl5hZHA7POklz2h8vzPpotsknpivXt4TkfejIRcB4dyfBOnT037oJB - dDcAABc0swv7qwTOiUNRjpsIvU3On6WkOF0Q83RQ3zoPLyWFp4epeD+OYyi7rjMrrY2SSinF+laz - ZTUzFUu1iJoKmaXCU2bmOogHzPRIKTzSIl1pkRZhVa6atWVNb2lmnZpaZstMKTZJfWZmbl0xiza1 - 0COmfbBS+lnMhsW8xCApIqZsNivu7hlmZjGFCW5+TC9mlmotXOZdl2qttbQopdQId5eUmZ7TGqK1 - VkpJKSzSlHb6R1KXLNcZhpbylKWaK4vv6f579ZHYqvvhmf1sGNpiNVh01i327Mr5tbPF47VrXpSe - 8ocUTZeyaumeQ1MJb2HqIkqqM0Vmzebe51KzbqtGS8/mTZsTf/otwIOHScdmzy4CZ6TuHVzczEpm - NmWmcnqzm5lZRD08s/mUGJiZxcymxS1aZspieg9HxFS3s8giItPMzHLKm1TYQcpspGUciqS16Vc/ - 6TqdkPmAlMpp2UOZlEqXPbSoYFO4MhSpLs0tLaQyvaHcUmO21nedtYxm8qFGWNcOfqY8mgN6lmN8 - um0XjIcYzeuSx6HFPKyPQdKoap2VKC5rmaHpAhOdXVj7exCDurlUR3qGIi2kCHmaYp2wG57uWSSF - 1Hy6tEaYPFxyRZMVT5kiMzNCUtd5ph3+pUXVvhEAACAASURBVMN0P9dv9/WpsT5XpLAISymyNLnC - anqtFvJWrYZaWE2TLNJMrsgqRaZZqqi4vKi4isI8iqWrebZUeud971ttX0X9tNGD366aWUSYikut - hpsVdZLGWGWf2YdH12pmS3eXzNfZruuzQ5vfx26S7KVMWT18Plp20wmb6/eMZbqmo0d694Nyyylq - PWWRyoMA75iSfNMPMoA3V5OpnnWE3NMtNx+grogIZZrCLZTNwtM9zL1EyYhlrc16ixKrthq6oXS5 - zN3RV7llal1///G5tuOMfPpLm62jzLV+kx8Kntehb2Gnb6dXxFxhkqcsXCopqVPLFlHDwt3cPSKy - tr4MGSUtzHLaWFqYZ81wd7MpYTkjwqS02vpMq5k5dTzIzVJmpVhXa2QNk/fWF+uUUlNnXdQWat4V - eUbEdKabmXunsNaamXVdF8pxXJYyfbO1TXZ7pimspuUU5S65wk3h676BB/YxXDRMMX2apxTyZh7T - JcwkVVdOX0FLqoRLRYp0jbE0s1J6TzcrytJatpZd52bZ1MzkxUItaht8K1u0rKEmV5Qmz/Smopa1 - ZkhhZu6WqczInL5OWmaqhVlx7zrvrXk2tdEsS5/r63xTi95rLi3dVNy76euVLCKiH3r3WLVoMbq7 - rCijRCeZTM1rW7/fFAqpU7qnSSqZLllWSe2xdLpfAtyV2Q6+bmSm0kyljXVna77YPbU1m43j6Iqv - /h/+7DiOr3zlq0zqStdam/pjp0vTkZUeSX8/+3YPvuEcLDt9w4mI6Xb66W96droPAAAAAAAAAACA - h8UkpZ3uxZ7uDn2/2l9IGoZhVcO74u7747i/XL7tp955arlsknL9510HnTtn7/xxW/8h2PSolNba - meNqct2Uh9aZmJKiLRdd19UWzRTSnW9/+yv+1v9++c7xoe/HxXI2m+3t7XZd1w1lHEc3hsxcTFJa - tXr11Vc3pZkrw8xSOb1R6HoGAAAAAAAAAOCwqbRCrbXrumkMDvVJAAAAAAAAAAAAAAAAAAA4t6aa - 28Wsteb8nR4uGOsYi3TPwdJ8ig7QanoupDyd07RO6VKTTJ27LMcptMQVkpncZGnWXGklurRQWUXT - lnWz3M7dbtZ2bn78Lc940q3PftrzZjo25E6nbV92Fm7RyayUnRohubkym0u9W0Z6lFkebxqO2Ylb - nv6sr7rlaz/+3z5+1yfe94t3/9ynl59YjHvdVsku9lZ71Vo3L8tR3knyzDR5KcVSUVeyaiaXMlRS - m0IUClM37fKU520yk1JuyqzZVKYY8iqTF3VukqllNhu9jCo+5nI37/+Xn/jkz3z0nVfuXP2sG257 - zlOe+6TLblK7rOz3W+VYXUyBQV1aHVsNq6Xrspm0CXewKcNF5pbZpJgKs0wJW1NgR2aTRUzBwek5 - JcVoHeERRwLWpuAmSvHjwpVui3FlpsxNfSHzllW60KvDUIcIAIDzwtd5dQ+awIrHuM17Y52mqCn6 - UOlh8lCsk8/WaYtTYOpisZjN5u6l1jGydaWk2mq5nxq70rv7GDVW4X16b25l2fb/f/buJFiW677z - ++/3Pyczq+7wJgAPMzESJEGBFAESpKix3e2Nw9GyI9x2u61eKNxttaSwN7Y3doS8615Yi150uyX2 - wm0N0Qq7JbVkWwpZ4giCFCnOwjwQM/CAN9+hqjLz/P9/L07WfQ8gQOlRkPAe+f8E4/Lei3r31q3M - yso8mXW+TCDNpLir1iM3Kejysl/sLXZ39nf2V3u7i73FarcfV6fOnxp06Muy12HUYbChlEFVVS8k - lushn7iQ3Og2mqbbmG3O5/ON2dbm5ubGfGszbd+4fdNWs725sT1vu5QaV1gBFAmtGVEgyEk6QaLB - 1JIw52wGLQW0lLOIOJRlfRC8Tj5nZmnErIAO1EjlhaTbRTVSADCKAE5bYfnHf/oHn3vydxbtObSH - ClL2pP1qM4uubNZd/Xc+/NN/+47/eKvdMqoTlxTuBgDodAe8DkxMYU4dDXQkiBApmZm7D6WXJvnr - jyEu/lJ8GtGYfiJgl/kBx5qI+Js9du5KABROozH1m56ZsQ741bk3AbgLCSOnOu9084T62ELo4sYC - 1JBxEtjUpZ0iqcZp2+sQvn4LTJ9a6X8pF0YoLm1LXtu3LjCgRnTFCbgdNJjVtBgcSA2mWW7fMNHt - Wy1x/67/9Z1xic8Xh4NT9dpBc0edxtezgnRPqmYJSBBSJFnxy6oF6usQcr1XF9Yol7qhZu12O8WF - LgfLS2rHtYZ5a6+XKU2ZXQCeMlNKq2VPCpkA1GIuhYAxQV0VvSVzUYgbtGDMbdOX5WK12NvfPb93 - 9vze2bN7Z/b6nf3VzuDDUPrlsN+XfjUMow5mZlCK04VkYm6kSWiyp8PbR2bNfHO+dWjjyKGtI4e3 - jxzeOjxvt48fuRYjoYAzsaULlF5k1szKOLhKIylJY27QdYNzdLVC5iY3IqKqphABASUO2vOGWmWv - X+q6c/y6pDFc6gAvWIOgl9OqcFlyvH4S7AsVVaELnE7hFE6vY+nTzZ1mF3ZeZVQjmSUzQeGjm1BS - aoRSMC51j/OS5/nVcyce/fbDr54+YTqmDONKpRSxmR167+H7PnDzfRnNwRL/ASYA1nHr73xB8bo5 - MQBidcOi6oAlZkJV1azknPNGU5ZKOqgDikmRxlV08CWSKUZ1HUq/Ghd7+zs7OzuLfjH4atRhHMdi - CiDn3DRNSk2WZt5tHN48cnj7yFa73aRGXERJlc35Fpn61ZKa2nYmnsa+CGUsfaakJrn6MKxSSvP5 - fBgGAEACre69cP3SW9cy1ify98k6IJj2TOCEE1gXymvwnhe/LtSnokiTurp3NPTFfUypgTA3yV0N - cOhgo+nIZLnlju55qyTRANkGDKtxMZT+lROvDKVfjathGMbSj+M4jmMppes6EWmapmmatpltzufz - 2fasnR3bviqhbTdm2bNq8iJQh9GNKSVxmhVRiAgzYZ671I8r1TElEckOuLkwe90HI7DeO8W0fKdw - 87T74ohj3u8nbduamaqSTCmtVotjx478g7//n58/v/PP//m/KFoApJRV9U3S3X8FIlJKSSnVuYRq - tLt+/nb9ihBCCCGEEEIIIYQQQgghhABgXPXuPp9vLJcLya2qrlarvDHvzf6P3/yNvoxd2/VDT0BA - ++6XBbk3TTOOIwChmOqb3Obg0shLJIQ7SikglA5ir1/9xm/92//un/zCMJQmZx3G2WyWc16s9iHx - rtcrjLuTlPWFn2+83CWEEEIIIYQQQgghhBBCCBdJKZlZHVcXkXEcm6apJe93+q6FEEIIIYQQQggh - hBBCCCGEEEIIIYQQQgghhL9ODk5ZnKKcki4HkzVMhQCuixdASsnFae7ubi6AETA0TZ3HA67euLep - IbKu9PDWNf2y55CvOXzzffd+9IO333ftxg1pNZO+a7zLnImLO93deFAtOGhP1Pg04MjMVJqLWZcs - 5Zy3j1x70+Fb77/v/ufOP/XQ49985OmHzy3OHN0+pHnYWZ3fnLeLcWluXZfdOax6GtosJAA3gxlc - kDMIKWbrIALEzV4/mcnUhjiofZnVqoJNjZtaFLN6S8N+mqVBd1995LkHv/apm7Zv+fBdH7vn1g8d - ba7a2jwk3q4Gh6OdzRppx3FMSRKzOcdSAOTUgF50EIFgKmrRBQ43gKDAvc6mYcDFCRiYc0r0THf6 - +yPhEX6wuB884S5rcZ19CCGEEMI7zOrxGwCIUzCVauuXBogRcJkasC7werDnLgVTHg90aZoMdag3 - Luaj20ixWSsFLhmAiXmiSOZoZTHupg0ZZNn7/l45e3Zx6uT5V187+8rO6tzzLz016moc++JK0sUU - ql5Sk4oX9QLU3w5v1DNTym4XZkckKTUoa6SR+/Q9d0vunpASk47WSNM1syObR645ct3N17zrxqvf - ddXm8as2r8mly2VOcyuEpeSZAktuUHcguUhy92EYSildN68VZBAw93qThsYRRLIMJNF8YeZGqhH1 - eFMpgBQmld43fJHO2eaC86HHucVqbHOezdJoutSVJls2Z7CxWu76OC5FpE3zi7LNf4nlS164Ne1g - dCA3jVpR6GhKF0DzRkrmruXg0fzOJjFrA7J+MaUvr4waIjGNlLwh4M06WAAA4u7uXj+x6WkgJGsN - UxykuSh8VK3HWyKSvWaMKeCUsXc3s0JCBTKl0w0uNhV/a9vdxG1drjWjTbN9Ui4s3/rJmz7CtEta - DdYEbtO0s2LwC2l5VQXY5EYIwJRmeTAFYQerwZs0qt/kvl1e68OlTZy6fkjXGXVzistohKVRGjEn - ICIwqnoxoyBdPmNGRnM6ADqVIrXSjfW423o8jl7H7Gh0lVIXIh2NJaABps63qo70lKRpM8XLMO73 - q9nmDKhrbnInAHV38cH7kvqhWfZ5b7ecPbH7ykunnj9z/rUTL7/SD8vFar8vA1CY4MmcVqw3ukFN - DOLoAMHBc60uNSIJUgLJ9PJK0yphV1wBFUASkoCJfuzIVTdfe8tN19x8/NCNxzauPTq/Zqs5vLez - P+s2O+lcdRhHh+csSVIyoZHTgi5W+7ZCozgxbdEdmBrncK/PlmywKYsLiMNRC9P1FUAFgJvxzZ4j - 4QID6yNUH0nCM52ApGldrf+5LgADhCYupjKqWEmjQ2hJHEmaJiUfdLVYuGvXdUyyKqvRqe0oV6eT - w4kH/uxTX3r8wdfGEyUvm0Rxz9AGuQy2NRzbvm3jQ7ffgyLf04b0yuRyMOYOXDxeeOHsxdr00kMM - DjFkIy0pUISjuHUyG5dF2G7Oto26s9hfjEPbmrA0LS2ZYzlgtfCdkzuvntw58cyJJ88uTr16/sTZ - vVO99UgqWUjSae5m5u5GFxGRRBIKGF2ZPM1yd2jr8JHDxw7ND73r+ltvOPaua7ZvbOeHc9maI7fe - IIk0hJp5D5Gcshht0MX+MrfZaOJpev2tf5RLcsAb5/SXKk0JXPRidyVywJmtdu5poBGWHISLg9Op - KTowpmn/JlGsFAAiYCs5gxxHHZws5sWNZJpJk2g+7mJ3dXT31f1XTpw48fLJl06dOXl27+zu4txi - WHlSg7q700Sk7lYBcDcAPi1i0KV22cXS9uzQVYevvubI8WuOXnvNVdcev/raq2bHu72t1ra02DAY - TZumSTQtZTkObe7abg6IGdzocHWU5IARJi50a9wNcLpRvW5kOC13QqLe/X1jGIa2bWvAu8a5d3d3 - u677uZ/7b86fP//rv/6b7q46bszmi1VfS9sX/nFd9b+nOfVJ5pzrQURteNd2+NvxN4UQQgghhBBC - CCGEEEIIIfwgWl+ZU01vvatfNE2jWlJKYympyZubm/vj8NkHHnjs8ccADMMg0/WNb3EG0AFCUjLV - UgqBBKpbklTszerd39v95zobzumOu+PXfu3Xfv6//scJaERUnaq1UdGkbHG68kpTTwgenGl298h3 - hxBCCCGEEEIIIYQQQghvyt3rORFVFZGmaVar1Ww2e6fvVwghhBBCCCGEEEIIIYQQQgghhBBCCCGE - EEL4a+at00yKidm6giEO0SwA3RrYlDyDKDFIUQcVAjSSG0nurqriULfknphBUXWBbjZHr1q96973 - /cg97/3AVVvHm7HrvGuHuZRMZWIm6O4OBdfdcE9vVSUwMxAiUkoZhiGldKg72i267eb4PR/++Opj - q8defOzzf/7pJ088MmvY237XNtaUMhYAs0ZEsqApfQGQO5eWvfbne5DWtfACOmgyNaFgdVoVXedv - ploWYQKnmb8xGlLDOGlEpibp85bp7Oxz4/kXHnn0Dx/+v249fuc97/7wPbffd2j7at9vVqM3ZU7L - o/c5W2KDBLgoTByZ2U1JkYvKO7AarEhGA0zgwJjc6OIALTlrOoi2vquAW+1qhXC5ekOB7koR6e4Q - QgghhMvBhXSlAULUBKnVirDDyXXeUpT1ONPgIApgAgMs5UaHoj6ICGjmxkTpMFpfuFAdjQrxgmG/ - 7O/25554+rFXz7/y0unnT+6cWPqepVFFiwzdBgt742gACRJWJ7sUuMMIEiLgVKRFsdEBThNvXvh7 - VEEgAYD4VGhMDtjMSCakc+OJ5048/c2XvpyHtrHZ8c3rb7jq5jtuvOtdx289ND82SxsNmsy59qDn - nIVkGQcrlnO7vb09DIM7FcgiSHQzc1VTFQEgZDIRr+MCApoKBDiIjNeddxXf152z/ckF9he6o03G - hlgalloGU26IptWZxSs747ktOdZutE1qx9UlhUYJn9KkThM3Wy9sVXWSuSFFIMuy6H00L53MOFVU - zSiAydT7lIOqez2Gr4+5XWmzUvp67KHWJW0s9XOSIhkE6XShkEgA3FmPtQgCLFpEmHJKKYHJ3Uux - 0bTW+9zrj5p+uOroyemAJ9Ss73re2SkMDIDrQRM6QF7yYd2ljlNM+dI6/gGX+rRJkooTgtFGQ9Fk - PZds2Fr2ugpNNzZ6jT5fIRXMqcp+sE37bh/Bae1wqksxKqjFaPQiK7g05skbNxJIJJEut9XfaaDB - MqZIrwAE5KDbXSvysh4YG5Oun+Oky3q1ZCKlESdUx2EoQk/Is5bLoddUSEoWJCrHwfvBVy+dfv7l - cy88e+LJ508/d2b5Wo9VyQVpTFnUesvmjVFAukLNtNtqHKZwd7eLRjNELsxofOGbBpkREJIwurNW - 50mSvl/Ovvjc0/Y48thu5aPXHbr+2Ma177n17huO3nj88PVN0wlTYi4GH+SQHIFJTcwCam6WCGav - w7XTeOq0VKetpdMIeHImAHRYrXezdtKnRC7g6XWvleFNGAuwDpxPK5vQBZ7Ea2HXAOO0nRZ4gpE0 - uonDaCCMTsP+atVJ3jpyCCj9sDDX5lDyrry2/9IDX/zklx773K6fG7dX/eZuSX3v7iMax1xEnVLa - ZVpqo0mvhI3YXy+7eA8QwPpcgtAhUIOCMBGVglpENl+s9jfaTaic3zvnCe1WTjnv9efybNzF3qnz - p5956eknn3/sxTPP7wxnV1xYVwYuB/a+qWgAQTFYgQAkUpqi9+5QhTvgSERmhnGhONOf4KvMzF/6 - dsqlOzS75uar77rj+rtvPnb79YduOnT48HIxtE3OzGXQYRyTS5vnm03XjysAgILu1NqJFxd4qn+5 - o272xWmAGK/serfSMO2V1S281+18Pb/hPDglU7w2yz1TckrJMZZxKF6YUDAW7dMso0Hvfa+Ls7un - n33hqadfefzp3cd3fWcY+sF7oyHBslrW1GazUuvdANy9fiIilGnWFTe6O13IJJb2cOqVs8/ypNDT - rJltbWwfSkfvOvJDt1971y0337599IiP0q+8YW7bpu3m2uvYr+iS00xESBjMMRotTdsTwFk/d3GD - O2GYHhB540oermCytlqtmqaZz+fDMIzjmHP+pV/6pd3d3X/37/69CJarJQB7+45Scs7jOLp7naDf - zEjWfPjb9StCCCGEEEIIIYQQQgghhBB+AL0+4A0ATdO4+zAMXdcVKySHMgL4lV/5FaGISNHi7kkS - vsvpXYd7vfCgvjHNBXBTAn7x9YV/BWZwgATWDW8RPP3MMw8++ODf+vGfKKXMum5/f8/M2q6d7lO4 - opBMSdqmHcfxnb4vIYQQQgghhBBCCCGEEMJlrb6hfhiGtq2nRTCbzVSn992HEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhO9nLjVc5bzQtSKnVheB5HBIrZQo0DWS2qRjsVIGM0EiE5TZUpau9ZkPvtke - eu8d7/3AbR9+9/a9m3YsM8sqcUxiZBEqmtwBBnenc6pQeb2o9U2ZmZlJQs4551xKMbMyoLFDG+3R - 5XIouvPD13/svrs+dmL/hadffeSbT375mdeeeO30S+3cc9f042LZDy7DfGNrKGVc9T6AGU1b62mi - xWptBbVFRUwdED/IX1hNSGAd854mXeE0I4kRybG9sTEsVn1fVrnkFt6hjDhXzuzt7X7rc9/Y+Nxv - 33X9PR9+z8fvuvHuQ/kYe3RsytiP4yCShdkVItJIslIfk6lSUVtYFCrUIQTgoFsN9NSYRQ1b1B4V - pmoQYxb+cJlbp1GuMJHuDiGEEEJ4xwlcpnwlzWvOkGYXKsMCOGgAHFAKIMmRzbILYQI1mtkqz7MS - fSlIuWk3SrG94ZzP9rVZ9bo4cfrFx5975KkXHz21++qYVpbG3vreVuiY2oaSEwDH0pZGS1kOamuZ - UutoDmedWNNgUyAQbACuk7v1CJOgo6mFYtLNhe5GoNSStQHqZbCBzsycupSt2Vudev7Fx77ywqez - dEc2j9x43bvuvO3Om6+689rutg09YjV/S+RGXMe91ShMNWRdapcwwWBOKjsAWYWAAAInRwfqkfB6 - sKC2z0045LmsvC/Je4dLSY2MsH7AdofsVrzfHfakyclac1kuSpbmEpdvNqyHCGhycHjsNHdTOhUJ - ucUed5pZKgtNlg/inYDZhc8nF+elnVdevRs4eETQdATgqu5UVwACgYuqkymtu+91HIdk08zcXVWL - FnAgQXrbuPvg7jQHRJgzaxeTtR9Jh3j9OKVCgWlVdBebHkPBtM5g/WjbdDugPgHrsIqxDlN8L3/4 - heXFKYNbf2d9powKc5UWnscV97r5bFhIsvwW6e4rxl823e0CFAAqajI41b2OWFmeYxzHlGYzdjoa - R2duID6ue/aXCcLWk/2aQzCVpKeFNT2NaQYXwKRc/G+dxSkAjFAzd9atRUZq2YihV282G03aY7Gv - 517be+HpE489/uJDL55+fm84p6JMEJE0bwTMKsV8WRZMKlmmFxd3d2Pioh8BpDqLsYiQJMXNhvVr - Uf1YQ7MCcyfVHSbTdl4BoqFlNwddNtzZn/cTO/0J7uOrJz7Zend0dvUt193+ntvef9sNd25vHe6G - 7XFvlqwzMbYJOQFeR0nFRKZXOTh9/fK3jn5OBXQcjGzWOK6wbtFNoPXRNU+X1fpwuREHaAebuxrn - Fgg47YQIauC3EOaQIgnIcCSVZAXU6RlKabpmNDu5OidZpStqe7t66o+/9IdfefaLpxcn2kOp135v - MfgcKUFM3J2Apc5mLNLuzbArw7bMk75zD8ffuBpsvng+cALTCw1wUXX+wkYDVMhS6I4EF1oHl9zQ - KGgM2YsMy3R+aef22pOf+vofvHD62y+/+vJqWKZZQsuxHUbtpUsGVZgTtDos79LQ1QEUdVOg7rwR - JHLOMC/m7k5QRBJpME9F0/I09s6ceumrL3xSdH7L1e++8/r33f++H722u3E7HVUVOpq2c/fzi/02 - t+IAFCwAHBkuhgRkwAAFDainBA7+9iu15m40cJpkXpzJQE8A6tmNuqlymNEAJ0e4EGIG+ijJ20zm - 1iijWrM537VzL55++tHnv/nY89965eyLg6/QYaUrS54yPdfnajEvBlPvQVhddqwEsFIUhK+D4lbP - WRnanMyEoINQ9GV/d+fMSbz88s63P/UENtvtd9/4vg/eef9t1773sFw9DLmzDGOizbrWrPSrJdk0 - s8Z9lNpchwhoEKnJeQXrMQtNxQxQUYDJrqT9lvBWUkrL5bJpmq7rzKyUIiJdN1/242q1/Gf/7J/u - 7u7+yZ98cn1zW2/y5MJHP/hPl6DWwUXkoEzf933XdW/H3xRCCCGEEEIIIYQQQgghhBAuqOeAmtyt - htLOumJ69tzOqXNnH3jgAXM3tSRJTdW0adthGN7q53B6Axk//rGPLfb2H338sWEchKL+dp4UFqHa - dLUiIQ79lU/86k/9+E+4O5OkJtezS+M4isRbZq48JJumQaS7QwghhBBCCCGEEEIIIYS/yEG3u+97 - EWmaJrrdIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCN/3XNaBGQMIEHARGFmAgy61TPPF0zrSRhvV - jEgtchIzG3tuNVvDDjs5es/NH/rIXT9657Xv3U6H09B2Oi+DuSIzCwRqibnp8jiOTne6U90VB201 - Gt4sGJNSImleSikppZyzuxdDSVwVhXPGQ2mv+K7dkN5145Gb7v/oT+7o2cdfeejPHv/80689xiZ1 - W2PP4bztzbZnjW+My1VSzFIHxWqxTFkcUCkCwIWOZNkAE5mK3S4ExGsiav3o1XrUut7txM7eIjNL - Kyq2MisGaZE3mp3+fHOog+pDpz7/0PNfODY/+t7b3/eBWz/0nqt/eN4cabpOHDTzIq46WslZMLXN - 3V1dSCbSzRVO8axIhMBrQUbBAo50k5qS8ZQsY11kD+Hyd9AtvCLEPEQhhBBCCO8suRBrpPnBx1pY - fF3brN5sqpvSp8M3cdTjT4MPNpRUVk2xhMJzPYY9nHni29945qVHnn3h6b3hHGbqzVja5QoDEtBB - BAAGHWyKJlPFmKa+tBncfTQlEh1AzQFCkECQdJrZYAetY2J9l+DTweX6gzhdHOoOCCRRMgB3G4v2 - 6pLaNBphdMe5/tXnn3vqS89/riubdxz+wN0333PXbe85unGsS/MZN5J2ttKc56Li7upqoAiNVHO6 - 0FnT2CWZuNHh4nBaTTgTUwgZMPrO6vyZnbOSUzdLA7QvRqKdYSxQBb1f9CsRsRHZk4ByKbv6BnEY - KE4ATgem6DIhyd3NoeaGcWHnv/niV2RDWdIbqswX8saQ7/zt9VCZfsV8hPDgY80FJzCl1OSubbuu - mTVNJ8yzzTkpgIjDdHpAsosNkpglS12KoCVQQDLRAIWrO5Qg6vfr2uly8aPqrP1a8wtjDTLVoy9p - 8V4in1YJAOWiTKsBMFtvCoSe7bX9E4+9+jA6NmgAuTjWftGdFLy+4z79lstgKX+P6wYIz04zUWdx - qjjbsQOw5Iol3X7oPbccu0NcbGQSKaaQy2igSADY1N5eb/r8dYODhEEFBpjR1oNcYgSo7pi2EiDF - m5wMGHXs0Q/JpOHYjK+Nrz376rcffeZb33750TOLl/tmx7ve50W3TAEtgAKKzDanmSRJyS2Zmaqa - GehICSLSUtxdHO50dzczp7tlkWlxqD8PlgAAIABJREFUkLWczRqdNTfCa/m1vjw4gJEQkkjmdBOF - GwTIaIBem5Xvnzxx4ivP/GnD+U3X3XLXDXf/yO3/wfbGsbaZuycdnJYSmlaSwAhIfS64CGFeN5sF - gECM9Sls65fCOrprvh7JJK6cYZh3mrxu4+VWk+kEYA4nCqACMzjcjEgmoItnGkBxFoOjKZ7csBzy - 4tTeS19/+Et/+sinT6WX5cigzXiuYKPDZodlAXrQSCQApdgwagMrYgM1Ftnrd/Dw+s/r7lbdMoBu - DoFngyh0r+x5HmVDd/Tk488/9NVHH3z8xMN6dLHAvm1qPpwHrIaiAPKG9GUUAUk4zByju4P0JmV3 - N7rUudEdrPuEqwKARBJJIqSberHSZow091HSSpoE1xdWj7769PNf+OZnbzh8y4fuvP/e995/1ZHj - 5/cWrtjaOuS91DsNhxEApb6CTcXuUvfEpv3YK1+NZItJMoiJeH05EGfNV083IyAGOigZGS5efDXo - Qr1osqXsf+ErDz7x0sPPnH5sSHvc0vHQasQA8cQ2G4qreimqToiACXJwOsehWk/AAJi+7wdF9gYk - CA6DCjQlppToGVBxMV+c1XPddqNcfO2lU195/EtH8vF7br337tt++M7r3721sdl4s79aCvLG5pZ4 - MwxDprhYXYMN01ZYCLokA+pLIQziCtRNjfj3w4L+Aefuqto0TdM04zjW3Ytx3MndrOu6cRz/5b/8 - F//oH/3jz3zm8ylR377NfP11AEgenFV9u354CCGEEEIIIYQQQgghhBDCD6Z6fcgbjKY5JRHxUt/7 - 5Vddc/U//eVfLl6vzaCa5pSLllLKd/nhbpYluenP/9w/2dvb+8X/9hcJwOslfcDB7/1eTyiJQA2q - DgKkgGqawE9++lPPvvD8nbfesr9YtE0GMI5v2RcPly2S7ibCnPMV9L6sEEIIIYQQQgghhBBCCOGd - 0ratqqaUuq4D0Pd927bkZfT+6xBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYTw14KA5+TikASA5aBO - PfUR3Iy1pGDmyIImZbGsPWyUGWczbN5+9V0/9OH77rn13iPpOHeavDvrbE5LxceUmJkvxGFgQ+kd - Dnen1S4CSTpfP6XI67h7Sonu4zjWeeZJFsPg1jRtK21yZ2nE3QazVdnqNltuHrrp2AfvuO9U/9Jj - Lz300FNfe/K1p7wd+53BXWcyp/i4GswMqT4M9oYQwlTPZu09GSDrXMK61uJTuqX2IwBpus7dFUrJ - Ipaho3opYyMYuDRZ5S7lRk7q+bPPPv+Np75wqFz7wTs/+sG777v60HWNz1qZN5yJCtDWuFuNaLkb - 6EIBjBCDAQkQAbQGHYhazRIYPPPgL+AlV7FC+Js0lVEOvrxCZomJykUIIYQQwmWEMLgdxHgFABS1 - zsuxfjOvO7AuKJACwpMxObvCURsOsv/i7jMPPffVh5/9xsvnnmM39r7yzmVOkgYlmaTtyygKNzrN - HZZBmGRxdTvYu13nAGt9luTUefVysPtLkoqDz9fFwFp+RYEffL8mqHOCEW6u05ye6wNWmE2JwSTM - iVJ86Jvhm/0D33rkwfbPZ9dv3fhDN//wPbfee/OROzY2Dq/29md5K0kDVTVT1h6pd45krmIqRUWd - RkC8xhRFPMGl5pOVNKSmbVf9YsCodDSS6u3BVgiIlWYcFCVhTJlNm/JgS7+0o9ODw3Nbd3kBQFU9 - Sc6ZIkWXZ/ZO/eHnf++snOjzYKIX//uapCXg4Bs6zXUG1SnsfUV9dKF4zUnWARTUcihdAAGEyqZp - 5/PNzfnGxvzQfD6fz+dbsnnToZu32yNHto9uzg61aUZLLAmaMLCTrmXDJFNhGA73xggXpzjECCWN - 02LwOvqAKR1a66FvWe+uvcka5nzDNy9tZZB147KuaaAnJ5xMTXZXcx91+dQLT/zRV/6fvebcPvdH - 0Yt/zUXrAPG6kvfkcli+3/NHuIDmdKCYaLLUDXNArMGGb/+d9/1HG1dtbLbbInnWdn0/JnSXTwqU - DvFEuINWI7k0QLBOFE/DkXCgOI2exRoAJmpiBqWTnpOlGWfDchgx+Kb5dv/q8Mq3nv36w89/88lX - n1xhv2gPjrI1UkaFeoErQDQCNPAM9aH4aPAygo42owYurcAMakYHCa2DgIAIAdITjA6BmzuMyc2B - KTFeP5KQRAhIAdxKn5IIqcXG3t3RppSbTOa+75e6ahpDg3E8d3Ln5GP7j/7fX/u9u278oQ/e/pE7 - j7//+tnNh7gpY+MrTVRQwWIEPIMUb+Go3ySyQFCHPGvpHABgqEOHBAQuBy8n4a0Q01ZL1lVdZ12y - 04uOsQBKFNDpbEpKlutyUQjY0pJTTZduvXX7J5fPfOGhz/7pow++tjrVHhGTYSwjBDljGJATN5s8 - DNayg9NhpjAzmmd4I+mdeyTeKRfWT17UT6/l7GkDePDf6/6Xp6RdogNmhKKYoId2x+cn+5Nf/PM/ - /vJjnzy9/4q3Xg7pwvqBBjAZKOLJAaijvsy6O4w0kGxSEpEyjCIuJKZNlrvCHbOOZjBzmplPO1EU - 9IqUEiFWHC4QW8ruws51R+cv8NwLjz78qUd/94O33f+jH/hbNx69bXdnb0O2kjYGAUxs2tWDqHME - jFAA4kJYMnPCaFfGSOpbcQFAJ13EhYASJjaKTVstmpg0atkauKhjZcU7zVsJjb507okv/vln/uyx - L5R2tWf744anWXZaX1agNkl0WKV6UkXQUbQuVYPaFEWfplJh/ZwUuHvxaf9Kp2n3PQlYA8ymsEIm - IBmLNL4sw9KHppk1V41n9NkHXnnmi8//3o1H3vXRu3/svrs+fmTjurKji54zm6diOSUni2CUeibK - 6kt5A8CQHOLiDl50XBO+D5CczWaqWkoRkbZtRWQYBrUiWRwK8pd/+X/9hV/4xa9//eumB6dH6hbv - e3+NHsexHk42TbOxsbG3t9c0zdvw94QQQgghhBBCCCGEEEIIIfzAe2PA2yW13TiOqcljUQhfPXn6 - 3/7WbyVJQpIcy8gkgqSqb/kzp4sB9d233/63f+onx3G8+brrXzjxyhvfXfNXOJFoDhGYgaCbG1zA - enb013/zN/6X//l/GrSkLIkCY84Hl1uGK4m7i8SFQCGEEEIIIYQQQgghhBDCX2Acx5SSmYkISTPr - uu4Nk6CFEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhO8zXqNHjmQCJNYode2PEEb4wW1gUwdDYcZm - aNp+44geuuXYuz/yvo998Pb78tjOm0Npvx2XRpWNbkOadhz7lB0YRx9VVUREBKAVTTm5OyCkA2nK - IUwt7DdRr3dNKTVZUDNnZE7I0/wh4zAWd+YsuRVCVmOf22amm9xNN6WtW951909d/9On+9NfeurB - J0889typbw+yq7PlSno21rRSRqMjKYAa6oazEGisBWCsj4OZWMFUcwAgBnFpDGIiLkYsrfcMkjTS - LVMaN5JwmBvMFcUTcptGs/1x2R9b/r8vPPVHT/3OTcduu//OH73ntnuvm93IYTb0pfGZSCOgg0o3 - gMbsQofTjCM4KgRTxqjGuxvCBSAoiGh3CH9dIt0dQgghhHB5oNV8tWCaprIezq0PKw1+IfTogNFU - YHQVKKFi1tjJnRMPP/mNbz715efOPzE0533WjxurgYYGCVRzOt2dRlPvZm0ppai5ICWKsJgNg0kD - 9ynjmQAR0uFuVpuAVApd1/ll8uKG97rFDYe6C2gwQXIHWJvIDrPpmG/6iNoehAmQQcBNi9toPVyQ - zDM8YfS9l7x/5dEXP/uNT9985I47jt9173s+eixfs9UccREU5tSSFCBrrZOawUEDzQGDEOJIBqkN - Sqt3k3p295X98XyzKd4IoCDdOY6WyISsqsvl0t26JI3LuOrRXvrCdbEaaQYAiJMuqZXB3NTMCrO0 - m3nfzy3ac8NGP+ahBoynoY2/KHS3Dntf1h+nGrx7/c6Udl9/myS8DmFILTG7Q5jPg75P7Fpd2ZLn - dtlmbQRNRrPRHb768PHrjt1wdOvqG6668fD86OHNo13ukhFGuiQXaEvLdcrWOiACF6eBBji8ri0U - GKZBiktZsrRLr3cDEGLd0qxz1RIO9doQF+au1Vx29fROe3rYHEoa1sFvAeziUvWb3tl3fFm/brlf - OqMJYHQAyaDdipYKvfQ65D7PM1ZiBgPd0mVWahapS5UmgIoJ3KZSO4D6uNQYtmE9BAYI3MTECcDA - oqmcG3ZnR2YDdh99+eEvffGBJ157+IydKk0/NCvDiAaEJTh4odVKwAxWm/QCwBOZ6cUxFLgjASQS - QaGtC7l18zh9Wn+au3D9RCANCTSIwN3d6rMYCoOCSERRg0OIppla8ma2KoumaaTFSlcuTF0eoMvh - 9MaR+UNnvvz4yw8dTde9//oP3nvnR2+75o7tjS1RitUXCQJGb6a1Z70aJQfcjPUpANDqY2eAEOZJ - sF7twlurWw+jEVa3KaBhXYxe14XrC7YBAEdClVTCISrm2Uz6PB+fOfnol7/6ma8+9eCr9nI6mni4 - nO371pAzKDBHdoyjaxmlSebmqoCllHJC457cqIU/cAO+9bn21n8162zh9WZ1YFzoAM1ldJaS+z4P - +1j80Rc/88XHPnOmvOTzxbC9X6hssptmEbiYF1OtpxygcGedQp2sL4BUdR1LSuJQGKfNFCGECXRw - IxLqygAAdUcvSWMQcZEEwIzqMqJBz/391X7X0rH6/OP/39ef+MpH3v/jP/Gh/xDQbthM2iTL8ARA - qHBTKmheX9Qc4qAbHXZlx9wFLsT6lY/mqKc94Kx78JSDWwJGG9IibaUV9r753De+8Minnzr1rd18 - atxcrLznDA4OxWnSdA0hOoxNzmZmppgWFk1QN78EUl1S9YnrAoeZAUgCypRv17ooHSAkUUijw0xh - dSvQtjCy6Kq3lTRILVrjC+Py2Qee+uxXPvWx9/zkfXf+yLXbN45lyEPrY3thB9VhNKE53GjkwYkx - mXaugO+25ocrxziOs9msTuWTUur7nmQ9VzoMq5xbkldfffW/+lf/28/8zD98/PEn9e1b7CmlegcA - zOfz/f39ruvetp8eQgghhBBCCCGEEEIIIYQQ1oZhaJqmHwc2jZr+9u/+zt5yYaDCASRJwzBg/S44 - szc/IZRJd/+v/v5/ubmxISI//Xf/7q984lfL23cn3ZFzMtOUUikFQNd1Q78SkV/9xCf+x//+f5jN - OjdzuIi4e1xPcsXxekUpgPVlhm9Mv4cQQgghhBBCCCGEEEII75D1++MvIznncRzNrN63UkrOMZ9Y - CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQwvc5B2oDIVktmrkDRihh63o3a6NLkRQdj447vLq95kN3 - f+Rjd/7oLUfu6IYN7qaZbPhS6DITQaaNNmLlNPOiXgQptw2AOsWHtFndpwKZ12trE80VTr753BBt - 27r7wcWuZlZLVZJgxeDSNIlMqroaC+lNbm0YAdlIh6Qk69E45/mq4/fc2N+399K5Z7/x9J89/PxX - X1u+tOKi9Ks2ifGiKVA4pVfA4SARctCi8gsZMEEtO9SOENF2uVBh7m7JSRpr/aw25QgRGrFUJSFz - nCm7PsdsvnpZ7Xf/7Lk/eOD3b7/mrvff8oGP3P3xzjc6mSfM4A2NyRMBehKHwYQGwOq94npRAj7d - EUz1ou+lhxVC+AvEpfYhhBDC5eWgglz/v04dePm9gy+8jQyE0+gGuvhU7AboxbturmNRHdu2Bb0M - veTGXBSeMhWrXpbc0LPl1OMnHv3cNz57cvHK+eGM5SEdNrUBPiakhNYKHAU0uIHw5MwYfIAACQAU - bk5ny2xwSwd1PRdfl8TBg0M1hcg6MAledHj5nX8XKDWTvf6zAJcLN50ag1NTUKbfBNaiMs0dKDDC - YPs4L5t5Md/d8dOPv/TNP3rm94/Pr3//uz70kbvuv/nIbRzbNDTztAl3N6dbY0gOp8CzM5unVSlt - 15VSCJ3N8jis3HdfPPk4ZwtNvVlxARxl8FmTzFwIJju/czJlpRQUNskLTC9pCb/+UPZgEbs7SWEy - GDAOthrblXWjYYQ5oHCsE+o100hctInAG6ZAfccTzX/Rx3p8X4/w6XB47WSv15/1HKmOOqIhhLtZ - /QmpPmJi6MftXdTAtfOsy4l9eXQ3JW1ZmLzbao8cP3LdDdfcdN01N15//IarDt2woUfS0Lg7XZKT - joQkcBilPqpGN4LZnQ6alpyljtqklEREVevCurA0+b13CP2ipLU46DXYDNLdaWZMaVl6y0WbFbvi - GOmOi9YE+IUVkJjWioP1gnznl/XrPv4lH5Z11VNkeoLIenPjPgIQl4zUaJdWTV7OZ9jQXd9spNjo - f4XF8bZzZMKcdTV2o9U/RJDcoaoiktt2NJY6fTA9i6Q+u0rbJEvjkgvfHE+3r3z5iS986fEvvLL3 - XOkG64p7cWhTB+UIZzKaEcYLY3w8GN6z+jCyDj1mXFgWdmELPG2aLsrVG5KBo71pw37aNF/4CoAh - C7Cu8NbBUQW0yTAMMCRm92yF9NyyMVOXBbf6c2nxuRPf/uLzf3jHte+5++YP/sjdP7mNo924yT41 - aDJac3M4kIFEA4wkGwoA9aJ0qalpJ1ym/9V7dDmtD5czugsBhwE5ST8WAjln92TmcAVUOSTS0RnE - smtX9uz0qeHFP/qT333uzBMnFy/bxsiZLG1/7NEliM5cYT4ANhLeQgWFaq4QCKFFUwKHIWtpDp7m - PzDqqnnxPkF9BFJKZlZKEZGUs7ubeWISzFQ1z9Ki3ylWuGGLfO5rT3/hD77wf+435/bTctWOJtRM - F4P3rYPrmc6dgDvc7eBrd3cB6BQAIrB6UoAyPZ1rbXp9F7/jiSRJE0mlO4tLMUIAcSRFlyDmve5y - 1g8c/viZ33/giU/9J/f/vQ/f9vFDvKbseSo41G4W208Zgxcj3XIpmiRJkzH07g7I6x+eK4l4Vst0 - cQ5OK6KoO94ADZSsxV2ypCyZYz+sZF+PD4+f+NaX//zBh779tR0/k7ZlbPz8qk+z7F5ATwKhsfRi - raBVL6Axwaat+HoHnQCg02vNhQeQ67Msvt6brN90wgF1NzgE9YcREAUMpEOADCdGQMledH4knRxe - /Pdf+40/ffRPPvaBH7v37o9eM78xnz181ez6ceiHftXNZwkYh37eJbXeBI4EZLiQWbQxmnAE3sap - +MM7I6U0jmPdK1bVmtNG3RSAgAEcS3/V1Uf/zb/533/2Z3/2kUeeBCApqWr956pK0i9x+0+ylFJ/ - r4iQnM1m7l7vQ90PJunu7i5ypW5JQgghhBBCCCGEEEIIIYQQ/gZ95wkbAeCu8/l8sVo5mHO7XC4+ - 8a//tQG6riiX9XVzvr5cqW3bWvsu4wiga7tx6N19ez77hz/zD3QcivPnf/7nf/UTn6jXXdV/n3K2 - ou4+Xft0qVVmYiyK9Zv6AKz6FYDRzIb+t3/3d/6Lv/efZWDWNH1ZiUhUn68sqpqbrIaUEsHpwri4 - iDqEEEIIIYQQQgghhBBCeAvTNIhS3zkol2FcPIQQQgghhBBCCCGEEEIIIYQQQgghhBBCuKIdTHxN - 8sK74EN4p5EsxboG3q/c2LazvtCRkay4KdAIslJW0ups268+6jfef8+P3fu+Dx/dOJb63CznjbXm - 5s6pEUWtpaEEKhMsQTq4lVKjCxmAwQkoQYdwqg1xHdV6U1NX66LkFknQFCME4mLuVBDSeYI7DIkC - wLRmyAUkvJ31bPrm3Xnz3T/8np++9z99/uzTX3viyw+98I3Tq5NDuxqkL+xJppTcbFRHA4jR4Q44 - BBSIm9ME6yiHJXX3kmr2fB17qCWoi+ZnoQDI7qDlBoATw//P3p0GS3aXd57/Pc//f87JzHtvbZJA - C2gthLBACIyEZYOxWbyE3WP3NC/AdrTdpjvc3THucL+ZnomYfjMRE+GJ9rwaz9ADNjG2J7DDdmNo - vIFBgAQSArTvC1qrtJRU210yzzn///M88+J/Mm/eKhW4WFpV8HxCkbqVN2/ec/IseZa85yvMfXlB - NnGM1mK7unl3PvrAw3f99cP/5bJXve6t+6+76qI37avOD20d02jEk5wlhMgwkQRoiAQgSzam0qwS - MzDHKmZFzrmmH7qkizuLEFFKCaWBcla9LXq62znnnHPulaSEoWNXut1QBsOILMaqSV0CYgyxzz2R - hboWSDKVYMZdbroj7bO33XHLNx7+ykvpOVnVTVrvmy5UiETIxkoM5tLoIy45bZSgY9ljpSG1CoCt - JByZoLSjNq2Yt123Y66ljVpu7eQ6mg4jZwB0eeuYDDCmRWMVPATFsXR1xR0Xy2RSZoJSVkambJSB - lnQDIUqYvfToc7fc/fnX7rrkrVddd/Vlbzp3dH4VR0FqUiMFCzFVsKjgqm4MAQBXFICu3TDpqlU9 - cOixjjaF+0wwAgXECDFiogxT1sx9J1OhLjJApN/NH6waLcqUoiogZmMARBwg3At3w0yxM4E8NDjL - wQqAADWYmsHK4PDwap59t2XsyqwyzA/z2QCUYUv3gIU1BwgPBXQGWMGKYNzESddtzPKxw8cPPHTs - bnooBIoNre1/9RuuuOiq1136un1r59TWRImWGckqrjWDEWOsKURViDIzVxUZxJSZOeecUgohVFW1 - uNLrd08XN8NRFzYgqxARIxIxM1OAxizcsSnsZZLYw16nmRK4lO5p+/nPhCnLL1N+PSXm4TiamJEN - R3+UwQaQwqwE3QPFiDpSHaQRTSoEPq3f8/1lYCMIcUl3G2sZNjImBRs3VS2GbpY4xirEru9DCCKp - GQdVmWJLmv7xFx/58te+9MChu4/x4Wk4rnt6ZclZWVETSEHGBEhZkxAMcXv8CcEUptuvofFJKyxe - znLvDJ8P3euX6XaXpy/r0O0pvLT+J5SR1cUbggEEskzGrKF8t4nca55ajuhsFdNu/Z7jRx87+vAX - 777xR/f/xE++8d0X7r04r6coTRMbGQrdgcBMICURARECk6nR9huFEZe3t1MNuStOWFQICuNgaGdb - Tb1CFDRb5NhLVqV61MC2LFhCm0Lu6/6bhx6+9b4v3vvMHTJpt+h4P2mtykRQQiAEosWx4/laHUZQ - ghBYYTSspsjAxkF/+KbWsNW0fBcD2nVdqKuqqtRMNZuZGQnQddO13buOt8d0nG1Fntt6/JOf/f+e - PHZfN36pC9MWyAylCKLhjcAQbN5h1rIxgTBkvFUX235QNl66pLVi2PIY2NLtfMhBpvPVhYLy4vHl - 15UJjSiKDpQtzCR0n/7qn95z3+3/3bs+cPm+14+7erp+vAk825xxEw1GFTdNrdlmbcsqVX3WH59k - iwBAQSgrKw/rf6zUk61Zv2uy1uVultY3OE12N0flxb++6S8eOnTP80eeRpNR6YZMs2FlbdSmvvxg - YYDOX/nhZX+5w868vTU+38YuW1CLjfz5DEA2bE0UyuXda1h/lg2zsrHBxgBnTS0Js433Nkfkhb/6 - 2p997Ylb3/Gm9/74pT/bbuRRtTJpVtOst2yjqjYRIlIyQBRgDWXTl41B6Xv5crszjJnFGAWWUiIK - s9nsggsu+PCHP/ybv/mhb37zKVUpwYYyE4cQcpZv+5wnPH/5gplVtZxhLa3uruuapsFSHGK5Ke6c - c84555xzzjnnnHPOOedOS9M00+k0q44nk82trRtv+uITTz9F9PKnKTH8CV8GIDKcAOr7vpyc/Ke/ - 9Mvn7jsnpTSqmr2797z7p3/6SzfdNJNMQIxVkjz86R2R2vfskz8xxCz5o3/wB7/6wV+x1HepZ2YR - oXDy5xvdWWDx18vOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzbgeGEfrU - T5pKe522U6oqCqHtcz1GEISEUTeupqML1y5782uv+8lrfm6V9tTUYB3QUHPDCDa0JxS0HaBhYwII - FBTzNo0alaLNjguRDAktGr4+7RFYPA3lUqBahJww5E60hM8IYKlqakA5b6aIZn8zfs1brviZ6//J - M0ef+PqjX7vn8bs2ZZ0a6VMHltEIW9Kh5NoEJiCzACFwjGxmAjHTjCEjxgAUrPOxWiQ8aBiYeUV7 - iBCBwMpKKgxjGLJSBtqgvN4d2Tx27JGb7x2n1TdccM3b3/TOS191Rcyj1dW9fQ8oxRgDUU5KaoEp - MHd94sB1U2fR6ebMmMbjFSTvO7gz19mV61521qdxnHPOOefOcjqv880z2EZkERZygloFY42mhD63 - yIlHlqu+r2bPHX3qtjtvvuPx2zbpKEbduh0jIYNFBhuZQQFhC5AoJWiqSjCG8DzOV5LPBlDZ99Oo - PWGoPxaLDKrSy/yzYD0h9Y3Fzq3NY66DYfdZd9xp2yVCGXY7GfOeOBkHC6RgijAlzmVEGKhXaHN2 - pKqrtdXVp2T90XvvWHlo9dy1C9525Ttff+EbX7vv0tg2uhHG1Ix4ImJbm1vVpBKZgiQEg2Vm7kwf - f/bRrpppsDSvaoeqSr0ZMxEypKduvd9Yo3MiB7YIs6W992+DrezG82LPnmzelw2AqpnBiIVUVaHZ - lIbJwTBd3CoUAmOQAQwyEM376TS8tKXffNbdAqzbhxiG+QuLqORyV5iG9nxJWRugBiVm5gyepRlg - IVKMkclUlaFT1Hcee/quQ58P32j2TS648oIfufrSN19y7uW7x3uRpeZGM7VdgmkIVSBS1ZS6jBRD - FWOMFQOsgrZtY1zadSozPH0n141VsvKDPMwgQctBFtb5rE8kUEG2rKoUEBQlwGk75orhpSivJJYy - nK/4NN05fV9eqS0C2N6VJiNGKPVZBQiCYY0hnJMhoU/ohURYM7JANBJ9767e+91TgjJsUbElZoMC - wQI0mJIkMqaaRwpTaF3FmsNWv3FUj3b15uNHH7zl9psffva+Ka9v8aZwoqAkhoTKwEBkAmwx4wVj - KNM8xT2s0kuWlVShbAil0bvibFxIAAAgAElEQVRzMNUAUjtp4DE/lLkUxZ6vjnbcv32kcliEaX7I - kpYOhhLIwECwngxkDLB0OTCIoEAI0FUkSUfToU2sv/j4ga898cW3Xnr9u65+72tXL+vb1DSr06RZ - rQaN4ygoW59Ue6aKOQkpYEY4aQXiTmlIL5OWSUNaNjl4PN7TtTnGaOC27aoYQ910bZs4Zm770dHH - jt5z0/2fefj5+6aY9ePUplxVVaxrVdbcBwIC1MxCC2xPehhMQYQAQDG/lncDa6CNov7Hv5n+oNDt - UTYGle0fRojMEVARMTMwM5OZjnc3L01f0Il2KxtfuPvTn7v9U/34SNscR4QYxABF5AyQ5kAGg8ri - jYl2luyp/OLhuzQvOmO+1PM85nyqVaoxchiS0mSI2+9CyAwwzAAjVmIDSzadHsEsz9o/+swL7772 - Z2644l0rkz2WxivjXaLoc1IzqxQwrmIIYwJZ7r8Hr/Erh5DBJJyMRVnZwBqDhW5Tax6lWY+RtrrR - vCp89bFbPvmVPz9cP3skvYhax+MmBuIOUYG+rQ1KMDCMlTQHNc5kiIKT1udLv/3EdPdwBmsxVRfb - 1iUBvnO1WX4RlABjtsjCwRSUCbmKwYxAoVPZtMy7wpPy9LO3/ek9jz7ycz/6i5ftfn27sbkrnjsK - Tb+V6qbJNEuUlUVJmYQ0BeXSC3c/2MwMqhAdjRsz25puXHLpaz/ykf/n13/9Nw4ceN40EwCT0WjS - tu138PxEVLIKIQQRqetaVcv2ZN/3pdXNzET0bZ/KOeecc84555xzzjnnnHPOLVv+lIiqMnMVQi95 - vLrykY9+NJvpqf80johUlYYPABIAg5UP4H3oX/yLyCwATMZN9W9+619/7gs3hsVZaR263d/9H+Es - nx/qJTNw9wP3337nHde+8WpTUtXRaNSls/t89A+b+YxBzGyw78l84pxzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOfeDJGVb2xU2jsr6NK2MRwQoW5auGbH2GhOPusmF9aVvf/M7 - rrv8neevvqayRhObgDmGEFS1NzUyHdIFRAaA2VhBrMykWCqUGS2SFvOcdbkf5V9DDGXHIJ46rwCL - QZsS7TYSYQESACOGBYDLzxIUNNTMemOhiplJI+toJJMVMEz21K+95rp3bF2/+diLD9768M33PnXn - MXnJSEcjbtMMhlFdEVnbZgDjSWy7frg8CkEIAihAhobmoW4rbYfFwJfXQRdjx2VEjWE1yxDrCVRy - bFqNqcvHdTLNuv6VQwdv/cznLnrVRVdccPWbL/uxC3dfund8bt9W1sZxHI1oxFnztF2ta1Vr16dg - 3jNeAVVt1zPxqfshzrnvkKe7nXPOOefOCKXGt2OXkQlUWno5c691Uk5az548+shNd3zu4QP3pbDZ - 8kaKM6qUA5QsMExZFaoKJiJSm6c6C2PSoX8c5vfREGctqV2mebrbCCWLa1QS1KUIW4Z2u/xNOGXD - mLCzHDncpYt95u0E+FLwdf4Fl0T3kHGm+fADDAXQ54SAzOk4HQVBG0zD8Wlaf/YbB0dp12Wv2n/9 - 1TdcddGbMrr1WaxRVytjZhVV0zzVbjwJaunux29/YeOQriJR6UFDFcSspgQWqAYT1s12SxoIwdSM - 6PTzg4vE5aKnqBQIUBKzEqBGMCbixYtSKppmRICREQeYGQhqQz2caKl/vPTSnV2321dkpe35CidG - JbeV+7e/O8TgUTWsqgbJJGaQ8mC0FbVMqKw+bO2tjx/8+iNfPn/ttRfuu+QtV1130Z6Lz9n1Ku4r - 9ByJWaPkPjYxUgCQUg+xpmmqKorIyw/Nd8MYRgAbsZJSYKiRQUXBRACIKJJKGRFTo+W5IgYawu8Y - XjEzmA0p9zNhyp7uUmIGW7zMSqVyr4AABkEkExG2TDlT5pASpzpEzXpGZZu1rJ5Iy0FDBbMSwCZo - QmNkAkOAsPToNMiGbtGu/NDz999y/40PvXTvi/1zXd3WK8yBWA3JyFBRDEwmmnvharE2UVhZGTKU - Fx1Wmx+tK03rSnFC3dzm69UT1s9sUN4Z7d7+erGqLu9TOgwAygqJGaqGUFah2PEMZPPVezlKaOAq - MFGXc9sBFbhCqJFTK2iPS77l0c8++Mjdb7n8urf/yDvO231JqHdVvMKZp9Mp9dRwHUKtlhURlueH - ZKGkwcLpz3Q/dBZ9dwAwgoGM2Xi2OavHo65vk6TJ7hVj3Wo3tJE0SY88f+/N9/79g899fVofnsW2 - NTQr4MzMQ6KViSmYmWZBmG/EkCEoFMzQ8lYFMwZMiYlhkaxiDd/qQPkPrJ31bgCkIQQ1A5kxVI1Y - lLRH6jCTtdkxOvKJz3z8zoM3p8lxrPZbHSIBBBKEEtKGQcsWQglw67AkzGvcWF6G5xt482FY2hKb - 33PiltuSxSbi4pHDfwARSBWkZaYyCK+GnjaeevHFT9x08MjhZ3/u+n/Ks9VzRheQ1k0YZUtqSVQp - RANvdV0Vme3sPfSvxNkIoFzC2womBFiMVaWWpJIpjsmerT+78b989dEvb/DhGa3zhCKFPs36hLpm - gqYEnq+llaAE4aG8bgRdnn/mTpymZQN7vqnN81D3CRN65z9VGcIQQpAy5KTEDIZBRHJGqCqKUZHi - KIDt6Ozog4fveOLTj73n2p95z7U/33ZVnk12TXZ3XY+qtjLwZgYJAIgXA+Z+UJmZmcUYmblt2/F4 - DKBt2/3793/sY3/4wQ/+yuHDx+s69n3+zrrdVVWllMoGuYhUVdX3Q1yh7A2VpDeArutijOVr55xz - zjnnnHPOOeecc845d7q6rmvGI+JwfLr57IEDN33lyyFWktOpHq+qAAIHUTEYgQIHqLzjhhuuvvrq - djqrqqqcwXn3u37qsosvefzppxjIaXjC8rE3Jpbv3cli4mAqH/7P//mP//APWCy1bdd1RPQtToW7 - M5af+HPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555w7lbaVqkGk2OW+7bUZ - 0SiO0BKnapRWrr34bT//o794ydr+sD6a9JNpn5QQKQZEEUkiFCg2lUi5EggDSkMTKhCUzOZZAwVK - FQNKCCfHyOY/fnpDb/OKAWnJXpR/KoFNQIEMgJXwjRFQh6TJkgVQhZqEtYNIWKnGJqqo9k/edNlP - XTWLx+9/6p47H7v9wSfuaapdipSnnUVdqRujPJt1UjohBCMQYah4M2k2MGDblY/yP7ISIRr6OCWl - hACTRe+DqUSlABCUpDf06EZNF0ahz9MnuuPPPvH01x++5ZzxRa+/+Oo3v+5tl+x7HaVJ3241oY41 - 9yaReDxaVUU/Swapqmgmfr0U577nPN3tnHPOOffKYpjO97rmscWyA0r9VuqqtZhD2pCj1S564cjT - N97yd7c/c5OudGnc5dzVDQdYNxUNoABRGKkaAGZENjJCF4ddXDImY1aQ8XL3TzHkvWUeX1xKcbMR - TshsL3ZWARZS+sfvp5WA61JNfDsASdtfL0KzbDBSDduZ2OVGuGQ0DQJxSmqM0YRUbWO6sRJNYn/3 - sefv/MIXdk/2XnXxG996+Q2X7/uRPXbhxjGsjXavTFZe3Hw2x9kLG0//zZ1/PaVWQ8iSKYAMUJiB - wUPKnAhMW+0Mo1B2lk83NTrP3JafikMhnCDamYGIInPkGDQyB2MKHJa6jKrz1x9EMAMZ2VBsVsby - LvvZqxxrWdwCAEFebrzIEHU7IQ9gPnNqOSQBgimIUDERUSAec+imXWe9VAm7ovQvHZNnnzx8/1e/ - 8LkLd118zaXXXXvZ9RdOLuumOXajUd103SxWxMzMEEOfc8VUVZUuHeGhMkWsTIDTUhb2xXNFRVDA - wKaJDAwlIkYIqAJFQggBRkwG3v5BVYKIKg1zSlkGRWHYTuee4UqHvgz59jrEiIjYmIkBNRYmA0gI - SmpBKagFQUiCkLVH0CinvUh+PynZfKIYYKVWyrBY1VFEutxbJdzwzDZnmGItPXbkgZu/fOP937xr - ig1Mcn0OVbGeTjszREaFCkoQM2YmCpX2bCWwTYbSuC1zYzXPspoBxkqARZAK6fbqxJYHFCevOgw7 - 7pyvpneM4PArThrr8kuGNfR8CS1LdKJ5px6IBDFRBZiDkWVDb2pWM2pi2CxXctjav3/smW8cvfXN - +9/+Ez/y8+Nu18gmk9HKqBprzpaHCzcvHXhdPvzqadhTUhrefEtnHcMRYCihWR1N04xXuKlpXV60 - mNvx1sH1J//rTX/x9JHHZjLjEYGbyahZibo+26giVLqsIAIzmyoDNQFSAwD1BJDFAAiwY6KQlap3 - UGbls//t63Rtb1xt3xrETDXFiplZkUr0PFmrk/TQ8/d+8kt/8lI+iN3HE7dtBzDMwMZMYEUAmQoo - G0DGABi8+DU2335bLLV5sUIgwHhpAX/5FelS81ujKAEGFmIjFgYoD+cFDGSIAJWVEqkxlPVY26+d - C8nHv/jI3zx56MkPvPe3Yr1Cm/UkTthSIBKGSCKuicNpv6OeUSgDBlICByVDBTCMhaCcpepmdOzA - 9PG/+oePP3Lsvq3qaL0WqhbUApSZYIZelImojkkEgLICajRfu5aNDsMwFy3luueTe8fab5HrXtx+ - a0ogQzCAslEWYgBsEWDNqRnVSXqk1NRNnnU52a7JSifrVnV/d9cnnnnu8V94+/uv2PuGI5t5PNql - AljDEpi0bLCAZiAA4Ux6v3bfYzHGlFLXdSsrK33fp5SapgohbG6u73/d5X/8x3/0K7/yq8ePb8XI - OZdzq6cnpVTXdd/3Mcacc855PB63bWtmRFTq3VtbWysrK03TfD9G0DnnnHPOOeecc84555xz7ocE - x5BSihXVdf37v//7Cko5VVWV0svXu8spZdVyytEMZmYE/PZv/zZUq8CRSQwA1PJv/at/+R/+43+s - YuiyMLOZlU+A2EmfRDndoV58ZVAwQfEPN37+8SeffM35F0QiEYnR/2TmbEJEagaQTzjnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc865l9VU2NjErl1Rxaat7lmNNVcbL7Zj3feG - 17z1p675hTdedG0za3AEFbjXVE3G2UwFagCHwMFMUkqlYUZQAoIZm5YaDkgwXF1kO4XA4Hl1rDSb - GICCQfptmwhLGIBxV7rXhgiNIAWpkjAUpGyZwaSRLZBGYc2cEYyJApiUzShUITY867vIYRx3Vxil - jdkEaz+578Ifv+Gnpz+xed/j99zxwDeeOfzENB/rdMtq5ZopajKYQgWkCEJsUQlWi5ASlEnJSrQM - WHoJyr8Wr4UGheXtcZon1VQxHoOAroVliRGxolY203g6w+FnHr3/5gf/+jX7rnjL/re/4TXXnDe+ - cI332SxSj1oRjQPXBCOjl7/ai3NnjO/6qkGvDL+cjXPOOefcK67knBWkCmMyRTZCIq12YVMO56bd - oJduvvnzX7/v1jzemq0e7bklRqiRMlQRKsQYUhYQAGYGjBlGpqqmUY0QTAHGUPVWgGmR/aMhfoxF - o5GUbTv9WHYCbbmxWXKPxiC1k9ubtuMHgaG1TPYysdjhUbbj36wYkszA4leQ7XhYU3Pfqpk2DRFR - m9QM1YS3+i2iaag1rNKRNP3KYwfvfPi23XT+ZXvf9ObXv31Ps2fcr+x61fiRgw/c/I3PPD39pk7E - zFRRxwjJEYBK4ErMym45kLvpzHYbwGZ0eqlsWt53jsvZczVTslAit0qaNPWSooFonjhftM0NgKga - gwjEIJpXG0/xkp5FtgvCtD19DSf0uZceb2DDCTNnMKgNM1JpW5rCjADbSF2MoAadmVLCBATNcjTE - +FQ7e/obT9z0tc9fc9n177z23Reft3/a0SjXEEpJQghN05QMYVVVp8qanhadL0rBUKKeAINgJAKL - IABkzMaWLWdNSZhjeSWW5wctD1QDyhOACGCYnqHh08UaYHE71J0VRiBd3G8wBCFSKhVaJRhMCdkg - STQLG5NxMGID6Zm1AJRCatRhlWU0TE0AOec+9yu7m47zi+3BsJte3DzwuRs/e/eB2zbpqE4y15ap - S9lMwIzIxGBSUhMxVaUQAgIrRMu8SND5cbryzxKypiHWy2UApKw8TxrUxcK1/K3FQkc7Z6Md/6Kd - eVgCAFaogedLLob7AIMyjFQIZd2ZFWYgIASOVKsqyCJMUp+ho1GtKuuzjbAWnsOBA3ccvO+B+951 - 7fuuf8MNZjQ93o5opWnGEOiwNA31boaeSTPCWYEBNnB5/5nJlMe2YccStXky++bBh756x5cfePbu - dvU4rUrfa58lUEjrvbGtrY1mqTVDIHBgM8oZAGJkpaV1s8HAbCpl6Z4H4w1qpErZSE85dD/4dOlw - HBMByGWDRyGhihwpY3bLI1/40r1/f6h/sotb02mOKwiEKkRNyggBIIKYAWzD2lAx33ibL+OsJy4a - yyV1XaSUCWrgk9fVi/Az2bDdCOLy/lWW/mGogQCgXIi9rAABgjVjJEYr3WQPHjh+18c++39/4H2/ - efHaftPYrfejUI/qujUhsqpmy2f5wX/KBAQNsGiolGCkidsUt1Kz9Y1Hb/7El/5sOjqmu9pQ69HN - vKcJpGSWjSGMLBADxJRK0123M+unuayUiX7y7bc4WcW2Y7tLSWFQygDHOnA0JvQZnPvRaGyB266l - FWmlH+2yu1/4+nN/+9zPX/9LP371e6abGmwctI5aASBKgIB6MwN5t/sHWc65aZqNjY2U0ng8FpHS - 1V5b2721tXXllVd+7GN/+Ku/+mttm2OIImKnv8VaTr2IyOrq6ubm5mw2A1CKDgBKvRtASonIr+Dv - nHPOOeecc84555xzzjn3HQohiGnOeWNz6xN/9SlmhmiSfKrHM7GaGrY/Uaemr7/88htuuCHGqDmr - atM0Oec+pfe///3/2+/+70e3NssjF397c9onj07xEREDQMg511VY39z8+Mc//r/8T/+zzNrRaJTz - KUfBnclCCFg6G+icc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnClMej5BS - 7mYYN6hQp+O4cHTxj1/1s2+/8qcvGF+RX6QqTHaNG8mzrGlz1hLHwMwIzExEqsFyT0QlcDEvdluJ - idh2XmApNGClts1K83gZwNDTr4/oom9CpX9hbKSBhvwOQ8l4uXDAagACMYOJTDQnTaqIddVLhlgV - YrQ1qHJvFK3B6vUX7nnbpTccy0ceOnjv7Y/c+viLDxtt2EhAvZLWIVRVoMiklC33JkORY6nbvYi7 - lVRWubzJtsUoLCXViDHbAhFGI2DEXas5W91wl3SmbTMCs3xz/d5v3vLQvtEFF+659M2Xv+3y81// - mnMuRc6zqYYcKgQzIva+gztzbV87aOmqMPM80RnNOxbOOeecc68shgWAAYFlQBVmJMJZg+SY+uro - 7Q9/9XO3/82R9tm4WzfSsSo27RbGDapxM512YHAdtmbSVERgKhcrhEDNAKbl3TMFqwLfdn/VCDpk - gYH5Tt8JMVeUHeb5Bu8i1wos7T0Pu4i6SD8Oz/UtNpKXn2fYER1yxIsBsPJd5SoEM0udGBlHDsxi - liurQzBF22oFjFYb0f65rccPrT/7pa/83e7V3RTC1sZxJW0qlklvIZtoBY4gFdQRqplggJEoBZCk - drbBKtEICAo9rW38ktKcv0plvBQAsRGMlKAGKIPrUI+rsWaw8Xwqmc5fxlKgMzNVMRsSmkQkLxu4 - PnvwfH7UEgDezr2fdAjAGKRCENLt/OT8i0AEgGgoFRvMIGpIEdRURKQpabZgTGymppoTNkd7Jh1e - uumpT9z0zU9dfeUb33H1e65ZueHc5oLUS9f1RIGIiMDMOw7zLA/baddnlxfBxcEWISJAoUYEMo5c - N7HWepyTKTGbKs13N0nJECJlVVWFaWm5kxm/7Ot2BiiHkJZvA5HAyKzcY0SByCiIgSgQIkHNQulE - KxBjWEmrY5s0qan7urLaoLw9+5wRCKhFUVahtFjqwQZBmuxpjkxfzOMp7Ws/+/W//YevfSaPZ7O4 - 0azVKUlK/Wgcs+Y2oa7RZ1MTIok1IgdVSylpjxBjAANKNoy8zQ9B2jyqXdYsO9b0Nh+++RyyWJ3y - 4t1hfsfSCPGO75VZ3XR7lUPD8ygAggAg2I446/CjwQBDmYUjQATNuddshhCQA8I4isjRtueIeq1O - vWg/27V77dD6I5++6cAjj33jp97yM1ed/+a0JWnarjS7dkz34cCLnjT8boey6AFgYwMr2BgKaMha - o6umU9p46ODdN9974+Mv3JfjlM/lNk9Tr01TjVZDSn3VWABmbRsZDDDIQGIggoCNKFcZQDCwIqgC - Q++ZQQIBoITEfV91XdX1VRdlHOSVfE3OAARYCMEsAqqqSiDI0SOH7z10+6fu+KPj1YuhrmBh79rK - xsbx3Svj6dasijBSJTLYfKFjELRE7Xdso8zL3Iv3yu0edAnebx/KN9KyMJHB5gtsiX+zQUklAACr - BmMyBpiNAUi5SjapEIznqz4oWtQ11mcY7+JDW93qXj2gj/1f//V3/+XP/ds3nHNt3ezSroqpioJM - W0n6UZic1YuwEcg4SE1WscYcchfbVG9u1C98/u6/+cLdfzddOy51ypbTFHt2N1vHuzqACFlBhhjZ - DCI5WARAFsvGLrCUX5+/xZ+86VdOLJX7g534mBPW/Dgx461Y2n4wgpJi6a1kfQOjEVZ2xelm7mfT - UdMwU9drCNi0zdHu0VE8+/GvfOTBA/d+4L2/MdJ94353yJNolYVgnDJlIzm7t1bdt9P3/Xg8nkwm - OWeQhhBGVc3Ms9lWCCGEcM0113zsYx/70Ic+NJulEEhPc+UfY0wp1XXd9/3m5iYAIgohlMRCXdci - Uq7XX1XV92MEnXPOOeecc84555xzzjnnfuANfycGBI4i9pd/+ZcbWxsZ1jTjruu2v73Tcn67/OUM - E//6r/96ZK5jnHU9iClAVcfjcTUevf/97//oH/2/AHT+SazAQU737NGpxarKfSpP/slPfvLf//a/ - G4eYUho+UebONiXd7ZxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOedOoIk4 - sIiurcSams1D/eW7rvrln/zANa9+e7O1Nt5cZY596o7lDVRqpKOmZgOULatlBXEd47ha7XKahwmC - kYoxMQwsNFxPBEt1paV0AjB0LoCS/f5H9Zv4hC/YMPR3hgpGMzw9mZIKKViVewZWwyil1EuvTAhE - kZmYmVLfxlgxsYkSKAQyka5NgeO+tfPEhFLz9ovO+7H973r2+DOPHnjwa/d/ZVOOHesPt9jsq95i - tjCP6ZQsz1J/eHFplTKoOn9BTkqwbXdAJKOuwRRSFlElItRRBDUoRhazmXTacJjIC/rU8+tP3X/n - bSu8e/95V75l//VXXfSmvfE8TaMoDXWlX+ac+17ydLdzzjn3itClW/eDi5SGZvO8xEwlqcgADKy0 - o7hKxiAIi3Luq1lfd4+8cP/nb//7B565M6wl7EvreYsatKlfmTRqeXOr4wAiiMh4xJJVVQIIREqm - hhARIzQBNnT/bOl2GMb5JTUX9y32/QhgZTJmYzImC6xMFgDwUI5mgJefjoyNsLxDONwOv0aNVDgp - Z8CMFKRl91tPGjCAYUxQGHj+gOXvigiFwMxEJKZmEDElDRXP2hSBpgnBsNl1AMIuQmXdLL0UN00R - 9yIar7c6rtjMTC1yJIMIuIYmqEmIlAlQmGqfZoakEMbpX8fTljOZNLzCpITAqqqZjAPHFdp1YX1Z - npzPsmO/t8xDSqqqOfdt33XdLEtvZggMRqIkIQlnI1HORoBFQAkZKF+XKaJL2XAtGexvfzufKMME - 3HmYg+czM5ZWZ8bbj9mORL7czvy8SVnSpWVkAQzpX6MTfooBkJYRKcuOAlwOWLBBhQAFDEPUHEYw - RmRKKmzMHJkBNQgCGVWmWbdkk2iz2kPM/MCRrz322Qeu2XPXe6/9xcsu2I8QDDFYY5zMDLCXSYqW - 9Pr2oJ4ULV68YkvjMl92SAkwLfNEAJGxwgKo4WZPdc5F9X4dtdLw9gpk/vMGbGwe76VP0qtmIyM2 - gRiJhN5IZOhuloM6zMZl5VOmptL2MJOd3vywGPEdIzUfzflRG1WaB0SVSxF2WBUak8baKEjNwqwx - WIxcxRirqmKO9WSFjYJGggLbYXolhDzZF149wpglAhQQWVnKfP79snjxdXlSLq+OlpaC7QIuWVnW - uQRQU0iJu65enzVHb3/kls994zMvtgerc6jTTURstBshoBpx22YOGFfIGU2FrDBACZ0KBBRQ10FT - GSRmU4DLUA35bCvl7FJdHQYvKMo6vBxkZCMyJovzxYwXxzeXRnlQ1hVWZhg2owyoshjpfAGcHwOk - nc9BO1YCtP1CQWnoAZshMmKEgLJYypmY4ogA9LnnSNF4qz02qmOKcv/ztx34hyfe9rp3vPPa977m - /Cumx9YrHgcN81+xmB68PS1oiL6znXhnmYgnvU+dnWhHcBfzKbJzFuWlr4f/KwGUlSWFPlVdy5t3 - f/P22x646Ykjj/T1uq51vU3FEBquEJOkNiPOG8MhgAATJDUl4YAYoxr1moiHSUxD9HeY0XS+kjeC - sQmLsZwYmP8hsPO49nZsXnOCiRmkklR1x/X4nc987a9v/fPZucfzSEyo4vFssxvXa2nWNSGaZmHA - TBlmMCgRiMq25AnbeIqdy/jwTWPQMLdsbwfa0u3iwba9qBgAKu/Bw9OWhwWQlnMlpGpEZEoIhiaS - JBs3oZ3JaJWmbcp2SMbtxz//0V97z2+9Ye91ptFyYNAoVrzjbW7pa+P57MOv3Ayzc8JRuWo8lTWJ - lgI6GahEzWkomlPqq83p6MhffPFP7jxw69boaFhD22cAKyvV+rFuZRxERI2YzAgpKYAQgPLyYrGl - PWwv6TAYbC9/zml4T5L27gAAACAASURBVB9O5FDZZNp+MU/udi/ds/gtUJRTU8PXANQwmSBnTKe5 - btgMXepC4DpGI0XURG3Wlsbx/sO3/+Hfbv7zn/03MGarg9RsUU3KOaSyXmJoeVdSLLZJ8uK3kzHs - VCPozmij0Whzc7OqqhCCqDKzKaWUYhVVVTSp4vrrr/u93/tPv/M7/z4lI5ycdfhWcs5EVJoKZhZj - zDmXbncIoe/7qqoW9W4z8/SCc84555xzzjnnnHPOOefct7bzQ3rzMDfBgD6nEOsP/8FHyre6rsOp - T74oDECMUfJw4m91NP61D3xw3Iymm1tVVRFR27ZVXQNIXfeh3/zNP/mTP2lVFIghJslielpnjoD5 - 5xpPug9ATqmu69T3DDzyxBNfvOlLP/ee98H05McvPkblzkBEZKYon4VwzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeec++FBWi6kUaoHpWOEkhaaR2qGbDaRqgYC95TW9ZoL3/bL - P/YrV+x7Y318VMvIehhj1KzObJYwrSqmLJItgKvQUAgqIiKqygwFD+0AAAQ2VoIRK8DzQLUCjEXM - Wxf9iNIk+s7GlRcxLGOCEngYdVr8CijUyBTo244RmthQpATJmsyYwTEQoGZMgFoucbCmacyo38pq - thr3kliepkua1Qtfd9m73vS+Jw49ct8Tdz168P4XNg+0sgHOGnOmZKSLODd2XE+flwZ4GHedVz1Y - F68cAAQGG2c1UzBTiFEVKaUq1qnPSkDFYG21tYBqFTlNMzbvPvTS/U/fvq86f/9Fb7z2yh+9/Pwr - J2E1SsUayULQSBpKP25RoDBSBZbTXSe2pXbOVydMpB01nyFzs/2Q4VlK2ap8eRoT1rkzl6e7nXPO - uTMaERGdbtnKvcKUmA2EDDM2KFiIQaiCpS5HUAwsxskggYgUNgvKjDpwpYTOpls0m1Ubn771z+96 - +raX8oFwgW7mFoRmwn2n4KpXCkaRwTbf+REtv2uIthIoQgm9gAgGWMkeEpiHbGoIyHme4SSIghiB - QWARtUTRqprHldbcV9TxJKytNbv3rp2zd3Xv2nj3ynhlMloZVZPV8Z5IMYQQQmAEhYmImW21W6o5 - pa6XbtrNNjaOHz1+5Hh35NmtA1PbSNZRFOFe0CmnKkpvGgOMuO+UzKoqApyzEAVlM2QhJUIIDEWS - zBUMedhpB1D2zwnIUkeQIauIgSoAUDHR1CwS6gKDNhW0VC0ZZpYF3KAVVGAwUlBi6rJNQn184xg3 - yElZjE6r3r0IEBpQGs9DXxokHMqAB2TLu8J5/+Mv/685pEWpEYuRKglGIiVVZNW8Nds8dOjQgecO - PH/8+Qefu2eDj9ikS9XWsb6PNZqqmbVbVQUAphJQi1gTqzRrm6ZKyIpyQdJvf2s0r3aSkoF3XsmU - sF3A5aHvqyccECkX0KRymMJsR0DUoDBjGIGYzMwIZjBSIgpEMJR5KTAHDiaqWWuuACVSCTAaLt6q - ADOTEQNKZoTMQ/Y4qIWhGTsfZsBMzUoYEwAyDCoA+vHmbd2NX//irddf+hO/8Lb//pLmdbJpdWw0 - i6g2k3HOmrs8GY1FpJttra2NU+4UMKpgUREALgdKqFRpzUBqYFCJbjKgPC9hGwlIhrlSwCCKpIAm - /pHzr93/S6/PIZVU587g65DlNpK23zqy/tKhw88efP7AgWefOXj08X71WN9MZ8hhFDLnlDCi2hSs - iQzEdSYL0ZJJm3RlZax9R/aPnR/mQ6I6vPIMMCEDKgmjJkiiSGxBs6pWyD0mWQmIo9jnnBWRqLK6 - 6przxxdfet4VV57/htfuu3jv6jnjaswcDZAyP9iJiVYjBAlVHktiBFMkAGLf1243ACatALUwAyWd - j7ItHTBSMC9F0HvKTV1ThsxSU1XCSjVt0Wa/a+vBF+7+0r1/f+/Td+U4i/viVCVBldlCTZZ71RBB - AAQRQI9IEOKy6jZWACJScYCKqhopM5cjoapgBhExLCuUAYYQWFBpE6UKVkGZJQSpotVs1Xl7Xl3H - ZlKvNs2ojg1zLKnLyCHnvkttn7pZN92crW+1G9O0xQ0StUK9cEIU5axEmSRU1IuZaqQAsIgAGgM4 - IGcQgQkiABC4tG0tAzRf9ETKQcsqEBtg2hM0ACxGJswA8RZ1tiZbmH3myRfve+mud1398z951c+k - IxaxQj3q2PQ5hSpmUOpzjA2gyjOQAGrEqnE4MkgACZDZGARoA5R7ztpGLKmSsQ39+PJOoYAwlFQJ - zJHUcrJAxCBSMwuxqcxkKlOrUh71L3XPHTj85Ke+9BfH9aVNPZJWelQwUtUIiAmAzAAxyOaV+LJZ - ygCXNT+gGeCKABnes8iG46pKMJofUzYitQAERdBAGvlUB21/MHHuZWVlpUutag6sqsoxMAh9rqtq - JpJZDo9f/PRX/vTOB26Wc7suCoyFWDWFisQyhWBgA7OqkgZVKSV1AuzlLio+pJGXBmJ4Fx4O3p+w - TcM7D/0rGMQYLtquQC6zgRIYKjt/nxLIlIkBDQYyZBhC5BxHVOmsb9hQYarrz/fpj2/8yL/+J3vP - H18+aWlM426rb5rVrDBSpQwyQNmG9wK2qEsZ+OFN9r/B3tH2eQWGBQAgAWVQD0ARy/0GgDIohwjJ - WaQfVbUG6eLWsfr5P/z07z+6cXc72swx9RmRACB1qWkgmYAaw/upMivK5jopAUrK85VT2W5U0vk0 - VpziSvqL+20+7RaP3w6iEwTz3Yeln5WlZ9sxzwAmCAQQNCuAyICpiWJ+eswIGOWj+uz02Ob/+cnf - +41f+B8uqpp+Pe+td2mvKn01qaemMKrVgmrQqAiZISzKBspQsEU2Ht7w8J2fYHOviJLTNitvSFGy - AcbMlqWuqpyzmWmWn33fe/6P//S7/+53/gPKhoECQIh1KXOXH9+2PA8Ylr9bot0AiKgUu1NKzFw2 - jZbr3YsvRCSE8P19FZxzzjnnnHPOOeecc845584mJCJNU+c+qWrTNOUTUEksjOrPfP4fHn/mKRn+ - UMzK5+uw3ctmzM/YcvkzPFMDAqwm/uA/+2fnrO22lKuqQjmRVEeDWtYqhKsuv/wnrr/upq9+VQGR - TBRiVaXUw07z/KAtf6mLgWPivu8jB1MB6MMf/YP3vPt9dVVBxSRXVZVTSr2srq62W9PY1GI7Tr+W - k6de9X7FWflEA1vOPQDd/tM/P4/snHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c+6/KaKhHTYkF+z7fo1890OMd0YDAARYBUvzbNA8lEMM407yuGkqC7YeLl994wff+a8uCq8bH18N - CoalBgaDKYgaEKUMqymwGSdk2NBQMhi2gxTbPQueR4mWB65YSvbsvBDEP6o/shSHXhQWhgzT4ooi - svyL2Lh0eCyylKHNJWUR548lwMgEAIiMYCA1BZiYQxmwjECBqa5pnFO3f/Lm/W95Q3rr7LmXnr73 - obsffeLB59afPrb2UttsRYADqdqQxWYIQFCiUEbQmExJYRSCajYgBJgCiopRVTH32QQBCAQzU0kE - VBVEe8TSFxcoqjLmGQQoJNVt5v45bQ89f+D2Q19sbPL2K3/sqovfdPmrX9/IGjaqEVYiJqxkqhwJ - bAmmMAtkFE10zKZZFQpjBCYK8/WVAgqyeQBChyyURLJQSidKJTdWrr2iANS4tMDJhmaWkgcU3TZm - btuWCIt3RSbW73tH7HvA093OOefcmW24CuCJEVN3RtuOzpLSkLWDIXUZoBAr4khigcGhUs2jZpJT - R9CpbPbcYVUfPnjPp27+8/XmhUP5SRklqyEAFKIkSiGA5/MD2XzX1Ba7KMNvp6X9lRi4hM2yIGcw - gxmSEAMiQwVssaLaepLOgvGYR6MwWqlX962cd9E5F19y/uWv3nX+3sm5DUYNjaNVJgSlgECocq+R - mBCYeQg0RxJIWCWFmCkCKMCQskhLUxmnjXTsyMaxFw8/+8LRg88dPnjoyMFjxw6vjqidthZl3ERi - tKkFUTNuuq4zKHGMLFll1iozqopUT9wjo5N20coCRENYdz4tTjbf/S7d0/IoUbVQcrM87TaNc7Yc - Qwx6mtfm3HFoYPtyn4tkadk1DVJNZvuAeaZuUYvcfhpTzUYGtj1s559/2dWvvu7/Z+/Og207yzu/ - /57neddae5/pjpoRQhKSGDQgIQFiNgZM4QHjNG7ctkkZD3FSFaecVKXSqeSv7kqnK66kK9WdjnGa - BuMBg20mQzfITEJCEkJCDALNQvOVru5wpr33Wut9nid/vGufc64G0AUBEjyfUu2699xz1p7WXsO7 - jt7vlCabzepNd3/lyq9d8dDq3aeccMIkT1dXZ4vLC51tpARihnM/mzZpXI2qLnck24/kqd0OHtPt - xpAVNwAO3up2P+ZdmM+cqUIMIPsw1MLMYKJ5SX57ktchEOu9uYgRAwpVc2+FpKqJct45HrT1ehqM - weZwsMHgwBD4LCvGE29CH/NmGucJ5dFuvf6eq+666843XvDWy8973ZLtWlzchQ5tOwVQj5u2mzXV - aP++Ew8dfrgZlYX7Vke0hEUdIHiJEs5ndC0Rbux4f+dPet5rH6a1deY8Tnm84xEeE/A2MvdeajLR - /SunnbVyXj6jm3Yba/rotXd+/uvfvfFQe3TmU0rdqBFt3bIuNGxmcJiZZk01RiPuZ1OZL/Ip387X - ye1BKwOhruFm2ilX1fB8GKmGiCRLG2vt7pVdNuMGCy9+3kWvOv/1py2duay7x7qY+pr6JDOBuROU - v/9Qy48x51nGhkq9NXGZkRhm21P08vwFMYYZrK7rtbXNhXq8snf34cOPNrvSETu02ax94cYrvnrv - lx/c/K7tajubzQx1SuJsTzL18LA9dyaHs5V+LTm6rqsqiCR1UlVnEkkspmpszuAxJdLkGVBhTTKp - 9izsP3HfiSftP+XkfaedtPeUfcsnLDbLNoOgEkpEzJgP8Lm7e/lwkTiRG/Wdd721Bw49sNquPnL4 - wQcO3nPgyMNrk8Oddy66qdOmZoipq7NWFYjYzVyBHiCkmlMt7t6rta2NqgTKmK/YToDbsOsqlc95 - JB4EA4x6TU6CnvLM12art3Rf17vvuftXL/8nxvt3NfuOHjy8b8+JbZdV82g0yjsGYYZ+LRk5zz9d - tLUCz/fOz+aDK2fZsf7o1ksKuFOdJOesZgvjBXbuZp3BR4vN0c3DKl29i9f90E13Xn/1zZ+/7eFv - N/tlIhsdpmAQyorHArH5Z+2xG3YAj90TGjlAZfVhnm91nczBNIy9JiCTOwNDt/tnK92NphlNpzNA - U8PMBIW7ZfUmpUyWx+2aHPzElR++6YGvTBdXUWedX/hwsifbNpI9Lr9drhvM+bHvlQ1HIGUjk+Ds - w3v3mP3+1szmNhxp0rAh2rGcJ/j40I510hhwE4M4sSdFpwIndPX0SD7wgU/96e/+yn/HFdWo6mrU - TXtuqvlGVcueBWU4Hph/cvmJ174fne1692NsXWPwYcPiKfdt4iotVjPdnPHGKj3yb//mTw6l+9t6 - o6u6YXlltaf8PQ5Ii2My6tvvoj1ht/uYJfh8OV4+d2UN2fnnwdbK4Dv++gTP8vtgcjiZMYwNWHtE - 73nvx/7dO17zOxecfOn6+pHEtDzevb65xs3YylMgnh8yxRnuTz8i6rrO3eu6LsmHN7zh9f/m//xX - f/w//HMzJElZc+lwM7Oqfr/lPdbWLygws5mVKftLvbv8teS6o9sdQgghhBBCCCGEEEIIIYTwGCzS - 55y7noiaVLl7ViXhqqkh8m///f9jPlzQc3hiybZ9Kadc5ysX/UyVquS5G4/H/XSqbr//O+/23JcL - oo+5ssmASHrXb/321dde60AlzUz7vs8QgdoP/v9m7biXcv2IiIhY3a697vp7H3zohP17F+okhL7v - hXlxVFufyy/JPKbS7QSOa5ghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - 4SeI5nkgPybTsM0ZwOLiaHN1Nk6Ly9UJb33Fr542PrtZW6y8IXTKcDIlYgM7BEMCBj7PIDyF7g8/ - SU9n7umcpON7P6pSazpmbpKSofkeiwLv7H+RszgBAqQmjZzVuq6n9pzdu85+5Ys2XnL0kfahz91+ - xR2P3vrokQMkmUdobeqcOTHc+uxuymxEZGpElET6nN1BBBHiit0s995Ncy28czqUrfDEzsbHzgSM - O5SU2Lxy9xbAjGmE8Rdv+cxVN125f/mUC5//0svOefmp+56HWduv2cJ4se/NlZg5VZXB1Y3csuZK - Ui1JDX2vWTOYUy1utnN+FXImB1zmr9bQERsKJeTlFeMyics8pxXCT41Id4cQQgghPM0YAFhRgzKj - J/TiDBeWsTl1MNNOta+IU0+eXRNbSlPe0F3twf7Bz137n6+75Yu52dycrXHqK4a24A4kQG6EekIm - WDmzckDBABvBaV6qBsghPk8Be+rNzYwTjyuBwFxVrakwmwKKcaokL3pb17x3T73/vJPOOm3fKWc+ - 56wT9pxQ85iycJbkNToRT2wMMBm5DyHBSshL2tDnWVQidiIlAplnKxlXkQTZhYYmfAKf+rwxdaf1 - ON077ifd+pH28O0P3nLrfd+688At036VllRSbn3W574ewRXWOwASbhp3djcngI3hyYYnbuSlTbh9 - Iv34mHdJPvJTmOvTHXBnZndd31x3hmqu5SdWemUHmA1mbm4GRlPVdWq4r15+xs+98vxX3XLvTZ/4 - 4ofatftP3rV7bfJIaqAZgCbJzuh4VtdVu4mKn+BleTJbOc/hR+bxyKHv6CjzlTplzKdbTV7RVpUc - cPfyzdmMCCRMRE6W3UojOHkSZcCMjNhRBgUAYQiDiJzdDO4wU8xP3t3BxgYGm5OVld8c5IkccBPP - xzzUp/giG4+1glHm2VF+6O9veP+dB7/1Sy975z4/dVe9z9UdvZpSVU2nm7O1bmVl71TXnDJKp5ZQ - CphGxg4nMuchGPzkozbf5yGVFW67QQ6AGcjZs7uzGYxTNa6aOo1GWHn7q8982flvvvnub33lO1c9 - NL0j0yx7blbGG+06MypQhcZz9g7j2ib+QwRQH7cWqbqIpMQZbgZkmGNddVHqldH+9gF6y2W/+OoL - 3rAnnVDnUdpsYNyqd5oZnpKzlG3LDzwR79PPKLu0cCZrxCp2J2SQOpm5OJKhjCVpyamyAy1Wxrso - pYc3DvtuPZoOH9L7/u7Tf3XX0dvW7OgMm8LS1KPsM+uyCBIYxmWjVN4LJQzbc8CQeT5uJZYA47GR - IOfcdyBQlUZO1ve5Sozek49G3YJsjpaw9wWnXfCisy848/Sz69Q01UjA6MmV0qzimSQkGMwM7j4f - 5yIqD4HdncjLR9VMM/LepdPyYvaTnF9Chnxo7dH7H7r/wKMP3X7/rYc2D6zrIzre7Hh90momVAli - ALiixnp0uVe2NGqWlsWmSpacO2XTcrcE8U4U5MyegGRkyvOPjjsUrNJQ4wQXPzC7b/3hIw/8p7t+ - 7XX/7LnN8/edeOrmZCqeYDnnCUGcMJRuy/CvGyOTMzsDtRFAWclMZuU95mdtPZqckyUAmS2LOWAE - MSZgIdWTzQ0W1MKTjaNMaVQvttYf2Lx/+eTxNB/53Lc+++VbvvDw7D4dtX5KfyR3ABgkLmSAGpOS - cHY7rk1o+J4MDPW+qRMRZn2XiCsIhCeKrprMVh759NUf/sat13TV+lS7UZMI2WnYCxvNo83zsfUy - SE2WyMulAjPOTmY0H9YGUHbNjz8WIoYzIcEJxPNrHnn73S5RZ5jRjusTx7V5pqEYrdKx1QCT1ck7 - EKokG5Mj923c9tEvfOCfvv7dlLHAu0ejhd4UMB4u/KSyy5s3nq0cYD6V47cfAdvxIjBQY8ijO6gz - JFgCkvhoNtkc7+pncviwP/jXn/mPR3Dv0fyIVrr1ltHwcwlkzjY07wHMLztxuVABzJ8vDycWDqJ8 - 7Lz2Nr9Itr0R2zrAc4KRbR0EUVnCjtvhLrxMO8/zKPhxHOM68fCClD23lx2Zrc8eds9/9/k/S2/q - ztl7gU5G0qfEuymXl6BVAiTDDV6xg7UCzd9mKkeVMRf+T4+UUt/3AJi5bdu2bffs2fPWt7710JHV - f/Ev/ne1DKAS9q0E907lS99vN0REJfstIqpaGuGl3u3uRDSbzeq6Ln9+up9fCCGEEEIIIYQQQggh - hBDCs5W5pkoEnHPuycmJOaWmmWn/nZu/86WrrqHyi1gEd+iObvfjec4AZtNpBfzcq197ySUvmaxv - POk3u7/lLW953nPOuPv+ezvtCXA3DL9+cxy2fnPt8csfbt0BtLl9z3ve86/+t3/pfVdVKXe9KShR - 3/fMXC4qHe9dhxBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYTw43bsPOsM - aNcupsY3R697+VtedOYltClSieUhIEWAOAiZ4QYGkhMdX3LipwMZhv700NyazWapSU7c9+bi1UK9 - snhCXS2/+2Xnb+jGdx+6/abbrr/lwDdW7dGu2Wxp2rpyAxa4OsxTBZgj5yUBOcyp76BOzjWxJ6Fc - GkZk86TIPDlB+Xv3IOYT7MPMO8/ZOxJr27se/s49n//2x/ctnnTJuZddcs4r9sipo3657seuiVoI - Q6DuRgxVVYVAGm6QkkF1R3SGTMSZLbGJk2XpVXr2oc9dWQl+bccvSsvCaFjCz+C6E76H+Tw/P+nH - cfwi3R1CCCGE8LQr2blS1GaGwUEOYW7N1M0JnLjiqrJEnlVsk9d9V3fzg1/9yNUfvH/9jmof1mar - dY2aSTOs9YoSQ8ydiLa63UOxG1zieYaSVzRxY5+HYB0gSklKWs+ye++AVEje+R4ewRNvVCctn/HC - 8y4578yLTlw6dVkXxl4nrrhly+TqbIkh7gCYvdSUiWlYvJs6mAH1EohkdjgBBGZhJidzd1MDnI2o - p2TJKQnGIuLCi3TCkpx80hlnvOb8N677oVse+MaNt1x9x0PfYWxWY8w2JsTOnFzYRbP1bnAvc3Yy - wOxkcCc42VMKET/RUfvOGuLWn93AIgAm0w0S+E8095ZzZmYWJiLzbDl3fadOy+O9vXb94fWLT778 - uW9/7hduuOLqb3+5SWOMuqmj6yEjbRpkd7fO03Gfy85bvsNfbV6EB2x+vjxURRkgh2pPnmgLM5cW - owHMZRX1HYE+swwiIhIhgMrcqQkwxTCeQ2AuUVmYDbVM8iESaaXyCXNwKYyyMxsAFrPyBJ56elaM - 0VlVVTz2yfTIaM/CTQ9fd89H7n3zpb9y+XmvqerxQrVoM3Oz0WhshJwNRPBkIMBKQr68PluPtLx+ - 5Ayw01Zo84dCjlE9Bnv2rJ7czLMDnDTlqZ25fMHJLz771Re//rM3ffwfr/9UvcsfOfJQvQQwurZr - UlML9dmVUTHgP+SjGT50ZgBDRCCsGUQgB3uq6jFPqmU/8bfe+e4X77+4WV/kjXqxXspumdQkewUi - InNTspwrPu7ZeH+EyJ0yiMkaOJOX1VwBMFiJ2Ut2tUR1QQ6G9Np1PNGVfi0dvvHuqz/+pb+ZpqMT - W13cvch5NJ1OXaiR1Fk2RUVmwzgdHDCGD8lVOA3rOWBbeelsyBlMaMaJUPWtabaKxiMf24buSSdc - eMYll537qrP2nTvOK3li3omopDYR4Ap2EkqJkpmRg8hLIZWIQG4Au7j7PH0NAO6u7gzKbnnW66Yn - wSmy95TTz8nP7d5w8eZad/D2B7/x9Tuvu/vRWypZ99qyOlPqoeyJKzFmUN/ltp3aMo/IKXsNz44M - mqdkCezGDkcCmcMUGYSKYQbq2eDMCUl72jxqk8lk/c8++X+/7ZW/cfFzLl+u9zY6qlNKzLnPhvK+ - lFesbARsXsMVdhgD1IE6AKD6WT28N+zniQGzIXbL7Nhc31gcj4HsYvWu8Wa7cUTXmr21YuMTN378 - 2m9+8VB3oF/Y3KhXOwMDLGBHciYn9qEh7K5PaZcanrLeWqmFE3VdZ+ZUsfWk5H2j06Xpp776kS/d - 8hkZm3azpV2LG7PNVIF8+9jD5hcyhnd66DRvlZsZzqCSUi5rvcGZYOUIsNxufR2AeAbm0Wg/9lrL - /K/s24cN84r2cSi7RaPMntiFvAYsI49XaupnN9x11Un7TnnzS94mOdVSo7d5VXrnjrKUoflJ7+NH - ZPhAeTlu2f66M1wIAmj5HgbgDZBG9bizdkKrm/XBv/yH99y1evOk2UCdnZzm6W6eL8QAgj2mUf34 - MLmV4wpnp+0dTdk7OPGO2+1o9/DwHUxmQNnMssMIJeo+bCGdsZXfBgCm4z0cGJYwdM1p/vhHS7C8 - /tDktg9c8ae//Qt/cPaeC30tLWAlKZyzsiirQ8nLlpnYBOASnleysu4e3yMJz2Dl/MXMzCyllHPu - uo6I3vWudx08+Oh73vP/AciaATgUx/9Jr6qq73tVBaCqVVV1XQdgZ2ihaZoS7S5576f3CYYQQggh - hBBCCCGEEEIIITxL5ZybpmEb/h8YEoZT3/dSyfve974k6Mqv5wmpPvF14vJVYnazlJLmDOCP/uiP - VldXm1TBnvj6o6ouLy+/853v/Jd/8q8BF66yKfL3SoN/f8de72bm0hpnQKT687/4wP/0z//HlYVx - l7MIa5/b3DORiNgT/a8/j7/8GkIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh - hBDCjwXvaELY4/6wjRzeeuPjs088/5Xn/7zMxv1Elxao10xEJRQh7oCSG4gcgp+pCsBWb2KYl8S2 - Xtu6HhGcJKWUOu/7duZuNa2MJuOR7Vo5Yf+LT3vJYTt464Gbb7z92lvuvzlVE7OeKnXSrFM1iKCp - 0W4iMUDOICciZgcMinnDa96VAPlTLVVRiWQ7DJoWnCx3yDPPZL4+O3rg6w987mufO++k888/4+Lz - T79493gvZoQOBDK+GwAAIABJREFUFTGxECVOgtLr1p4ol9qFMEoFgJzZBc6O5MggA/I8/Qby8jiH - yoiX6MlWwW0e5Aphiz8bw92R7g4hhBBCeNoRrBwYOgFeGYRKEM9bIhNmkpQzul6BWa66vKQzPvr5 - Gz/92Zs+eTQd8N3TdbVqEW0Hy84qFSpJKZNm7Zw1zRuLRuU/cwBkZdZI8eEPBmbA2HrqDSBnMmZl - MampGfNCe6Tbv+uMC59/6QVnv/Tk5VMkp+SyiEX2xnuYmRkxp5KLdneWyt0V6uQON2SFk3lCJc4E - UKkDgsvz7fueGZLEWdydTUt3cLSQLJu7JoM7aWsJvCJL6mNd78eyeMIpJ7/2rDesTg9969avf+uO - m+44couO21m9utGv9YqqgnBlZm6kBEEWY3FWQhYzshKzPLY3+tiTt9IYLInBIUVJQxCx/LuDmZEd - UHPYtNtU9KkUpH+Mp4I7ZwJlToCRERiJKyEhIrjolEdYHPO4O7y+v+ZfuuwdJ+x77iev/fD6rB01 - M0mAEldiqq0iCaDHnd7kMpKwo/WIUhcusWo65qFyDfaMcibvcIc7UOZydXcbPh7MSIlFaNZpSYOq - wRRkRC5EVNe1man2blqWQAQS9IARKgOjhOrhxkYl7m1ANgYBsASvAYC643nLrG4S0K2tdwsrqUc+ - ig0b9x/52nvvOPCNd/z8b0s+Dblaquvcdc2ombYTTmzA/C62Rl6GJicT4EO3GzgmwvoUkWNIgR/b - M8xd56WNTsnZidiBCl6l0ZEHjyys7DWnN73on5x35kUf/NxfTqR1WYd005nZbLo04rr2XufjQj9Q - nXcr4VoWwDyM3eS+V0JKIK9FF3mjPn3l7He99XfPGD2/OryQJqOVek8/6Z2zce6rkqsmNqm8ktRk - 659Boy1O5b3jEmIngxtAcAESQCAFZUDhDAjArU5tpJN67Qge+eS1H73mliu7hUnP07qRI9OjDcvC - uGrbXhlNQ2rOeSiqbv9X7hkgG9K85DAyHrZbEAaAts8MrTFappVRXjp79wsvu+QVFzzvpcvYbVNU - bZNQJzGpaneHuRmkvNXOClczkBHc4SW87qZOIE/DEycSCHMCiMFEVBEIlcA1Z1MQeSXcJKqJ9p/x - xled98aH1x/65p03fv2u6x9cvbevZp1PutSDsrIS6SgZGMgdPAEMT2TsXPrZmN+Wquy8QwwzRSJC - Ijic1EkVOQM2Ujf/qyvfe8fZd/ziy99+Qn1SykuzDa14LA5lMMye4ONmBHDZCBk7gY/3A/lM4oQs - Dic4ibGg7MgygRdHi1Anqmf9+kwPpX12cHrfDTd/+XNf+0ybZl3qZjIztlQ3iwKYQrWMhBrUCZag - 7EDMRv20InOYiHQ5q3vTNALpVfumz8vTq2674jM3/UMerbFlqWFOIuRlK7tVfZ6vrVtrLcHAhrLR - dLAnM2ZnM5RbgIcS9vx261+JOqFhS172MU7lllFS9w6GUbktj4JKSfo4zA8SMgxkSVwA7ikr95ve - Le5buOrmK07cffLlZ77p6NEjC7IoxgCzlR26lbtzYF6mH47cynHvj/rSztYu28iBDIA9ASCv2OdJ - 75LHdgZ4NpukkR/yA3/72ffdtvq1zdFarmEMOMgh5UgG2YeRWJ5vkXbeJeCmw/UIm9/78I/Jypsy - XGh5/C0es6gdLXBysM+P0h1c1hsAsJ1bweP9yDuZkZUj6rK3AtDDXGY+mj2S7/rg5/7jP/v53z9v - 98u61W4BNRkrs5EZObuzZvbEJhhOarKTArCyz/2ZunT306sUu9297/uqqsqfAYjIH/3Rf9t17Xvf - +wEAzFADM2xIOPD27fzs7AmX3/d9Xddd15UueM55PB7PZrPyr0Skqu4uIu7OHCtVCCGEEEIIIYQQ - QgghhBDCoFxJMXURYWYnwNH23fra9O8/9jEHuxuhXLZ0Ycm2Xdc2bF/TcctElHNuJJ3zvOe9/OUv - N81PfI8+3K/1+bd+8zf/9Z/8H3WqJrnF4652Hsez2LqaNP/1J05iWQkgUJVSn/te+w99+MP/1e/9 - 7mxjo6mqlBLMk0jO2QhET3AV/Nn8uyQhhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC - CCGEEEII4VlpHonYrgzs+Lf5LBvzxhZbGtF4NGt+4WVvG3X763apSsksO2WgArEYyIfSjSLB8QyK - Af1YbLfJaF56AwBm0HTWZs9101R1AyczExEjiEjjI+3q/RjvOvHUi095XZ/ab93xtZtu/+q377up - k6Pj3Qua1jvvckazwKqe1d2dkhGbqWqfU8W83U0zImNkPPmrP891b7/rzHDS9byeEtjhLsI1RDZ1 - uq5ra48cvP6+z++SPS847cWXnfvqF5x2gdCevOEV1TA3V2JUSYQlGawzMSFngJQ4M1RglEG6VSBz - gkEZ5rSzEcAYYhk7e1s/W6tQ+KkU6e4QQgghhKcTAexmQw2RHQKIwkGZLDM5QfqsqmriXk3bavPe - yb0f++Lf3v7wN7G7VZ+07tUYbQtROIE4EVg993nmgioBPdjRM4xNCUZgH7K+5JCtuCFBAWVkQAQN - Vyk30KrSekX27E773/wrbz1l5fT9o5Orvqm6qpFGYN1mViMnTlxRopJvNHdzZO2snAUxwG4EJyOQ - qzrgzkRljk13sJvXqQIAg5sCBkcJC076qbsz1ZUQg4i4AlKqgKrL1LXabRon2Vef9rozT7787Fff - v3nvld/6wtfuuoaqCo1v9OutWj2qHEZu5Dac4pKhzLv5FE/TniRPyPOTPSJhs9K17ayd5ely2uv9 - T2xyThExI3eHOtyd4HC4k5pQlTyxLUGbpbT7xc/J/Su6j3/5A9m8GVeTfhPkTEg+RH+Py9aIzFY8 - 8vEvQUlCJgVK3BKQ8rNARYlREdg7CCWBwMnNLDuZZ9LxyMEOZ3ciZ0LJxfNkc8rMTVUjWW/T7KqA - AZkZgLKRgXyYBZYAJfA88wnAABoGIo6jtu5k6p0ZVvbUG22XPS/sTRvdmlazbzx83SMffuQ3fu4P - ztr9grWN1eV6eW2yOh4tmgHOjGxkNKxVO6KDQ7SbyytpP+A4wjGvOLkBXFUVAHVyd3dYBgB2Mu1O - XNnvLhXXm5tHz1x84a//3G9fccPHr7/7C7xAizW3nfZmVZUsZ3a2p/qB2XLs95OhrBIOANlNzesG - 4tJP0OTqeYsv+r1f/q+XbXf/iC3x4srinunmNNUVCUiM2BSAumc1ZyYnegZNgMvOZhUAwIytbGkB - dmJDqWpnkAPmgEGcs487X5zd++i3P/Cf/sMBvV93db1MSdBpD8DMIFzV1GefqVe8Xa12wjBmCQbK - ICYIxm7GAFBirsKirQmlMY19yiNfuvjMSy9/0eufu3Lmku1uZkvWEiuDyJjAyTsr42tMwiDiYYVJ - KTlBoe7qVBLWzLQ9dgeQmZlnnsdTzczdSWRcjVmQVbMSqS3Lbm0pt/n08d7TL3nBpS983X1H7/zS - DZ95ZPrQke7RSb+OlJ0MiqxgMkgHrwFhF1gN6lBG4hxgM9j2pMwOMyh5mu/YCBBiqdDDjk7Wxwvd - jQ9e9eAn7v311/3GObvOq+uFZIk8sZPSMBLpROYAgdi2ngs5xBOe5bMtl5yys0uZ3hpDjNfIjDvj - 3KPLS+3R/PA1N3zxutuuPpQf3KzXOskMiFTsbFm1VXLUiWGqUAXAcAEIZmCKevfTid2YpetVUgWk - NmdZSLTcf+XOz17x1b/tR5M+tdT54rhe25iNFivPw9TnZUO982rIsYsFgOFSBwEle0w2/yDZMbc0 - fM/Wjw/FbsCoHLgyiJ1ADnMWlEK20bDwp+qYFYcAygwAFYC6qlc3u2qMTJON9tCnv/qJfUsnv/jk - S/J6y96UUXsyBhhsTva4XviPZTjeeTiUGJ5CuZpigMB5fkzLPj8EdeSONmy0+anP//1N91zX7Z9N - DdLADdzP56AfdpcZqJ/0iRBA8PK+0HCL+SEEbb2R9AS3j9mgDcdA8zsh5/kXGZgfMs1/lmFPdmD8 - ZAiGY9eKEhbPjuxoFtHNNg/M7v7QZ//811/bnH/CJbaqrJWBDQnoAQMRwdjFqLzaDCiobKufzVvn - sEOpZTOzmeWcS5k7pWSeFxcX//iP/3hzc/MjH/lo19nWtx3vXZTjHFVdWlra2NiYTqfliyXmXbrd - AIho5xXHEEIIIYQQQgghhBBCCCGEn3EioqrkaKq67/tec7O4sDwe/Yf3v//IkTUDmKHm5CB8r+ss - RAR3Ic6a/+D3f99dFxcWrM94kt83YOa260477bS3ve1tH/7YR/CDXiTafgDHXp42MxCYxVRzzuWf - PvCBD7z7v3xXMx7lrpdKXM3dzUzqSj3+f7AQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ - QgghhBBCCCGE8EzAgB7zBdoKTDzuW415mi4689JzTr6ADo9GsghTy1MRsmOWUebWSMf+9WeAM8iO - STjsCHiP6sZQG6AdiGoBoDZrp6PRSJz7mVbcLC3szmprm0cve85rLzvn8sP9gW/fc8MNt335nodv - UV7nEc88uxALnLOr9qrMqBuyHYWNY3NTT9pKO+a7CEQE8nn2DWBTs+wdV5Cxt7bOI1+19sZHjn7t - zhv2pBMvPPPSC8+99HmnnN1gLM7WW99JlVOFOgmXdcrJncwJTq4MUCa3UvNhtyHDNDQjDM4+D11s - PRmOeVrCT4VId4cQQgghPO2YfcgfOlgJTOrO5F0F5h6JiOqkC7NHugfvPPqdv/ncX3bN5mTxyGy2 - MVoRYW2nqAiSCCTmnq3rLYMhMtzBVsxv6/xEHEkhDnJ2gpJlQQl7VwT06LseXdojJ5176kWveOHr - LzzzEl31WqWepYbE1fMkm9ecGks9CxHY3b3kCMHMhKHHbEYwV3EAQg4mJqCc7hEJSkWSyM2HiTuJ - mCpiJyIQqTalGqjkBs3ck3vbu7szo6nTgiyRufYK86qqT19sfusXzn3zkV++6utfvP7uL+f8cBpN - ujxLoHLCpmzKAIFtuzP9VAyndNs/st19tnk7lgBi73263q6tyHPMjH9CNUHLDjgTETMRMZXX16QR - 167vwSBoPT0yWWlOevUFb77nwXtuuPNLmWcEQc6SyuoBPd5onW/XheHmDDL2ra/QEIxPWqfcgIzr - PpO6EquQJtJKbJS0Xml2rVQru8d7V8YrC/XSSEYVV56w6utTm81mk8lsczJdW5+ubayvzrrJytKu - 1rpeZ1ZBU+NJnUwZRgqgNNWHiCkNAW+U9b+sBYQsPTmecssdAIwxyRjVWN/oRNDUaNtMFaba2Xjz - vtldf/ap/+vtr/mnl531urX1teXdy/20Ex8zmABGxtA/3bEmbUUyAfuBRqAcDLIym22pt5aluRnA - 5GxwOBFARMQQMnA/25iAaHG0zBv8vOa8t7/inRtHDt919NvVLrZms+3VYR1QUaLhYT/ll2irIYph - 61Aa6aogAtgJEGGbUerrUxfO+L03/+HK4b0n7zt5VnfatUftII/SZl4ld1MjIRFJJBASI2bqnklD - LeRcaQMy4xakSgB4nmO38nazmxGDANK+2txsDl7z7S/852s+Om3Wu9GqimXzxMla3zXeZV3bzWbV - Qs2SJ505oymvHg+bawfImZ2TsjjIzTnDTRlZAId2upiWq9nigu658DmXvPaiN5617/m+SSu+3E1b - Vx01YyduO+scdarQ90xeJkoG3Kyku72MrSp8SM86E5Gxq2UiYsd2VZycyDTnJMLM2brpZMPdUkp1 - qnNbMbHU3DBPJt1sPS9Xz7lg/6nn/9Kl3/ruV264/crbH/7Goc0DfeM+Ast8lNc68pqsAhgE56wo - G10DchnBHHLUFbl7hwyDK0ghlIg5JfCiCuvhtQe76eT9n/7Tt73yHZed+Ure4FTq08MHZegYu2cM - ry3EWSyRG4AsxxurfQYp45IG29oyGFgMIJ1hrR9tHsgPXHfz1dfdcvWh9iA1NuVNrzUbRAHvxcAK - IUpN1eYOAp1vC4SGz3W0c59e7gQwEQlX1pqR5dHk5gPXfeqGv1yj+1icjJqV0dHN6XhZ+lnfgMm5 - jF+X7YMNQXoAIAcc7Fw2Gkamkp1gx6zT9rhbbH3P1uTqZeEY9qrHbIRL2Ht7P3Z8T5iHtDPBGOSZ - y6pKLAki6A0mswOb93z6hr8/+RdP3JVOEZOkiVzIxWkYly95bBqe7FC2/rHgHYeKDMCI2edJdE+A - wQ0EZTWe0dL6F7/5D9988Kuz8WxGndZopxgnIhMGCHnocBNgO96Lck+lAI6yUYbxvIM9f+m3H8aT - fyz9MQc8Xh4zMByZ83xm/K2OO4Z7fWoXaR7HCBCH07AAQwIgyXvtM8AV2n7twOSOD332Pcu//N88 - tz6r6pecanaGCwHkDmQj8ce+p0R+XEf04ZlLVQGICFE5VaQS1Z60MzNbXln8X/7X/3l9ff1Tn7pC - GKZ5/nPDJ+P7Lj+l1Pd9Xddd121sbJS7EJFSCmfm0Wi0ublZ13Xf91VV/YieZgghhBBCCCGEEEII - IYQQwrOOuxMREzmhyz2Y3HHk6Or7/vz9kijn4VfD3J2JzbeunO5kAIREXSuh3St7f+1X314uhjqM - QP5EFzfdDeTT6eYf/v4ffPRjnzDkJNxafoJv/X74Ca9hu5ffrHNA4XVVd3138y3f+eKXrnzLG9+U - u2zqbt7DnON3IkIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBDCM8jW5Oz0 - hO2e7Ygyi1W7aP9rL3yzTEbjtGI9kJ3r+fdthXXIAZDDS4PkZ8vO6UnmfyC0XdvU4ybVveacM4iZ - 2YkWl1Zm7aQ3X1ioiahrN81spR73uaO2SnTaynN3v/z5r5vktW/f/s2v3nrddyd3z3DUvJc6Gc+g - ZgaI0476w3aH4iknIYZZ/RUNk5mbAwJPnblnR+4hgk6RfWYjp6p/oF078MC9Vz/8mUVffvEZF1x8 - 7stO33fWcrMPXa0dWQYlQ+na0JAoqYycHGRlfhgDuFQnvCSZhiiekzmZkTuBHElpu9YRfua5P1uL - IpHuDiGEEEJ42jEAKvM7lswwGcOq1IgTjIy6XM0e7R668vbPfuamf5iMVyfdaj2ScUrtNJuhrmFe - eoCm5C4KRpVAQJ4hMXz7ZBgo0T0fbndOSmkEMW50hA3srveef96ll577mrP2v3ih2+UHq0XUFRKb - au6JpGnGDulNicRMs/XkIBIBERH5MGWnu5BraSWXf2Aid3conN0zwE4lIkxGQ/kbcDcyKJwBIRaH - qWZmpDqBrO97EWGQmedZLyaJG3bWtq2dNh+andCc8fbLf/OS819x3S1fvPHuaw5uPiANTHobztaG - jjcwTP/5wygNSzdiCMOJvEc/add90UrN/Ida+g9KRNwZMDcYFABRqaZ36rmqRswp916nBfb6yJHu - 5y5+y23fvfVQ/0C9WGdSckcPIrAc3+tDzg4bup6E0nos586l3yyGlEd1HjXdolhqp7lpqj0re07c - c+JJe087ed+pJyyfvDzatSBLtdaV1WIJmVhJICbUVblHBzISMspt3lydHN1o1+584I4HD91778P3 - HJkd1dRb5ZkU1LKosYob+TBt686PgzmD5qVPMi8fjad8suZAvYDJDOMFTsSzNjPDHdkBmWil2ncf - +fJfrG+uv+aCN03VjaqGRmIlRcjspSzODABk836wkQ2jDD9QvRslYLkd8C6LdCNPTELkXj6DAKDQ - 3K6Pl5Zzb9rq0sJSpSyc3/LSt33ws4cfXX/YFyWTipAzbfdfj8+OZzEPhRKBeaiQZnXKtHd84sVn - vfSU+jljXd44OKmaiho2t4xpqpmRVJUMrCA3M1OHOoHlB3mJflSYPMEN3DqZgR0EMA1la4NDGU7I - kpXbtjn6sWv/+trbvjDhdRnDXHvDeCTTjdxImm5OKk5SjWezqVc0WkiasxJoK/kOzHcfIFi5hQ/r - MBnEeJSXFybL555ywWsveuMLT7qomizQwbSclrqjs6YZu3jXdhmQpgJS182asvkGOdStVDOFmd2d - iBlCRAozuJvDraIKbkQCczIH3OE+bH/U+sxCS6ORkamq5b5Ji+7QXjv0VWrGC0uq2q5NvKJLTrv8 - BWece/uBb13z7c/f/MA3NzY3qgWdUesEopKd1uFj4skpg8wI7Faee4nXKlwBcSSBlOqxskMm7bRZ - kM1ZHi2AvT+4ev/ffumvDx099KYL3zLqFlnH5BVZNd82mJOXhbszKYtDvCRyTZ/No8OEzICRO1mm - MtbZZ2kP9weu/fqVX779ykf7A7Zg7cIsu6UROveUkBisSBAWMlDbdi5whgvc4OVwogyhP5tfnGcc - Z8DIoOpVAjGnJb4nf/cjV/3lwf7e3Ey1w2i8uDGZSI3sSgmu2xvbYYfrcAJsaz8+H7ae962xM+y9 - 82ePfSvL92Qa6s7Fjlj7MH7vBN3xU8e1syBnRwKMkMtynaHIQFI1EWK4KqhGxuzO1Vu+ePM//sIL - 3i5WbSW6yRnQJzhicQb/IPO2/yCc57s5BoYXbt6UJqdkcCUzatt6/baHrvvHmz5xMB+wZZs5mLEw - bmzaszPNO9nDu0PlaBkAHvM5I2cAouVqhPGOgxw87mIKDRcw5t/jDLLtlYbKFQ4mmDmDjLcvDpnx - 8D3D8fPx17t5/oDK1PtDSp4oq8N7U8DAtc7s6BG590Off+8f/tJ/v0CALrE17IkBRu8MJ7X5sTfh - mHUy/BQo0W6z4Vyvqqqc82w2q+pKVbuuW1xc/JM/+ZONjT/88pevycf/yc45E1Hf91tR8Jxz+SIA - MwMwHo/bti13nVJcCgkhhBBCCCGEEEIIIYQQQhgQkZuraqqrejRaXV//ylevv+Ou7yogiXM2EVFV - cyuXJZ9gCYCZMZCz/vqv/RfjcVOzMLw34yf5/R8zGzejPutLLr7wwovOv/7rN3V9V671PF3Pys2y - G4Akqeu7UTPq2tlff/BDr37lq6okXn5xhhigtm1TXT099xtCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYQQwg+BHzPBxxBK2NEf8O0ahWh1zv7zT19+ft2NODM7qqbp8gxGRAxn - Q8mFAQCjBHd+BjF8u6wEALCmqczybJaJiFmICA4D2tmsSonF+r6HGTOLCNRH0vS9VpBKFrzNI+x+ - 1ZmnveyFr7tz/bZvfvfG79z29cOrBzRtptSptNqb8o73kYaUw2O7FE9iexoWh6qLMNw7dQekAhGp - umXUNREw6VtwK7u49elme3QFi1ff+eD1t15z6u4zLjrr5S8886ITlk5LWokmchYHOcSInAUMY6dk - tKOHMW9jAUMJzmmIKR1/1ir8THiW1rujVxFCCCE8cxGVNPLTNzVh+NFz8KzTleXds3YCU6nMrBWq - zJ1ose2VpGvrzSN44B+u/9ur7riyW26nadMYatr3qIbuMJzgDGXbOunVDHEkhs2rdmRgAlOCu5uB - YOKqw6kWKTVep7ZZXFt+6Vkvv/TFr37uiecs+IpsNLVK4oqykpuDXerM6KBOPZKRMzkLlfwwkwNO - 5CBQifIBsvVsnW0IRhIwrKhD6tXL6RVvn/oREcjZHZ4NSAQDqxoA4soc5kzOxJyZrLTPMW585FZR - 5n6tPR1nn/HSsy4/8/IvfOMzX7n3S51MMnrNqBgg5K1mMkjVm6ZS1ba1uiYzp2NOQbe7gPNzQCs5 - XgAOGIGZc84gJwJVujY5ystMkONuG/4QdvYazbZzdgTBEPLMbpnEOswcSaSCM/W0WC2funzGGSec - c/TRhztCD2fCiAVA737soMD3VuLT7JS3HozYjj6oIXklbXXKrjPOXHnh8098wdknnrMyWh41C4mS - GdxAzjRldgZKLJqH5LyzGBa64Y7KGbgSdnO2RT33hS/tUjvD+kOrD956z8233P3tg6sPdzTNTdv5 - plFmgVHuDCwggfUAc8VVdsueyxf7Huk4a5S5Y07Wu2UzEogBPYQBYOqt7OJH2oc/fuNfHe0Pvumi - X1kZnWyz7NmausptTnWVs+ecq6bOcNBW13Je7z6OBzIw2l5XeXjjhpEtkKv37jz/mLG7gRyJZrYG - 5pQEnTUdo951wSmXfmXv9WtHpxM/4tK1piwgKPx4a+LD97NjZ/NYCCDK2auaNPsIVZ2by89/lfSJ - nOu6VlJDNjZ2NgMZxKn0WZ0MQsbZSsv0GZTtNEcPACQKZHVmSgxxVMR9CxJRpynNaFHvX7vzo1f8 - xe1Hb2hHG8rWKUwgBJtpk8CWKSVzuDOnkVHOOYOgvD29MdvQegdldUtNsj7DiFW0tcXxEm00z/Fz - 3njZWy990UsbG/s6Rkh1GuWur+qROdSMuBIyVwX1KQHGtDXWRfNOrJeO/LBR5GFrD6fSTi1v8Y41 - r3SBAQK5u2V1MgaMuffszAARiaPvu5ahDYMsdWvWyAkXnvSGM096yVdvvfqam79w39odebTWc5sq - dmTzTMzuZO4kbFsDvjvCw2V/xwrKZbtFymawqmbLOmJIRraWRtURf/iT3/y7g4fve9tr3rF34YzZ - Ed0tSxXJdLrBlZm4u6tXiSqQgM20B2x7d/ZsRGaqIkLwDv0E61i2R7r7vvC1K7566zW9THrqc9Mb - zBOMdQYTBzpyF+GKGJrdoOCqsx5OQszipqYZCRBhs+PdPoTvhalO0qBvLWkap4P2yMeuef99s5tz - M3VHzbC2TVJlmHkGwwCGEYHL+LUz3NUMYBGASaE9jMgoAYBmMEPKJ8iGgzIiECAgDHVkHw4yAa8l - q7oigZILOcidHEQEdiMYVEvAmwFD7eDjWiOcCfMGPEEJLgAynMkFnSWHQ72idRz5zI2fvOD0S06r - EpHVVUWd971Wo7ptZ1INwexS9bYyWL/zSO5HxHketC7bimETLQmTjc3de/eubqxRLT33WaZH9IEP - fu59a83uaVvaAAAgAElEQVQhG+epdZyYMkE9KQsxACVsXyMhAMMRfrkLI+ahfc0wEhECaVZVJSLm - BPZMvbKVtrcbEZGUw3M3K9d7CG7l/BFO5F4Opd3ciJzgxEQEM9s6NN95keMpXKnZxsBw2OwgggNK - rAwHA8ZUi3VS9m5Nf9QPzNZmf3fVX7zj9b/D6zLyuvLKe5M69eiUe4fBEwA4cemXb0fTw7NeGcoo - zeycM0rP21xAzsi5q2r59//vv3v37/zeddfdSEBdp7bLzP8/e3cabclV3Qn+v/c+EXHvfUOOSqXm - WUISEjMYmyobMAZsFwbs6i7bgqKN28Ztr+7q+tTdNaxa3av7Q6/60l7dVWWqDGUb7DI2ULbBxoyy - EBZCICSEBBoRaARJmfmmeyPinLN3fzhx73uZEqAnJCGh/Vu5Mu+7L27cGE+ccyLy/FkVzN//SrSz - qyTN07/LmyVMgpmrqjIzEVlMtlgeZmZ+5tQ8nXPOOeecc84555xzzjnnniZkaNt279690+kUxGYg - qf79f3i3lkc1koKQcy4Tz//X3nEPPg3PnAAAAvCOt//TlaWlvm3btq3r2rLi+CffikpCSilnDaF+ - 269e8aUbbxAJfU4nTvc4nHgbafHc4lzMCcCsawn48F/+xb/+V//i9MOnqKrMn3mrqvoJPczlnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc8655wozlAGuVZUYqioiHiPmnmqLUTuU - YAYiMCPnkjgDUuJYveKSf4iNZjwaJUtZNSDU3KSUwJyH6GUM0TOmXIJnfoir9EMwH4K+5CIBZAZA - NQNgmY+nogwwm44hSAoYEymzkRpYCaaKkluVgRwENWD1bHxx9dILL3lBf2l335Fv3njrF265+8tH - pvfHejOFaQqxhC8NqXMEpUePlvIYFgPvm5QMCAWh5LdBGQYBMUARRhoESkiqSpAKMW6lWmITb0/r - X7vp5uarK+ee8rxLz7rs8rNeuMqry7pSxaA5VEpCRMaWa1WADULZUtQINqlEVYkIgGUjkpqFjVNK - PqK/24mIYowAiIYhf3TXCWg/HB7d7Zxzzjn3JFtaXt7Y2mSGBDKNFUEtC4WtdlpPwixsbMiDf/g3 - v3f70VvSUttza5RKHuF8BMmh+Vr+lEplyRkbokwJTCCDZbAxkRkbYNFghKoGEhpe1o4s1ufuvfAX - /sEvnTY568DyKQFjmxkSBZCgRCmygjNDCUolgdtC1kW48jwbUZUAgB81pObwqYIUxqWpp9tZsNtL - vpjj/H1mm4fEGubB2Vw+kklL6KMkCX0VJIxCHbvAW7ho3+UHXnXS84+98KPXfPieR+5cWQ2zfqO3 - rl7hlNQUzJSSqUYRVBVEJKUk3zehlQAD2Txb0QjgQAYgcZqmzWzpmdYMLHnYClVRpQQIKYtynUKK - 9flnXHDDQ59VSplAjNwzQyD9buYPgMsxORwDVvJ7EXssrYS2TUi0f3LSL73+l89sLppMV5rpZNyN - ObKZmZExEZEBUDLaeTgNh5kYk/IiMrJ8UeYcrVteWk20Z2m8eu5l5/305T/zrQe+ddu3br7x9i+u - xSO57kziTNdTlTjQrLXAsKxEPQBTZCAQKgHy7jerDX/REDeurJoFzRgtZqHWqek1X78yd/YzL/mF - PdWpY1nq2ul4MpptzMbNiOsAIlJlQAkle9IAhTKwq1xqJcC4xCxjiEzVEiVqhPnfOpxVw5xJCcYZ - yGQcMsQCYtP34/NPvegrj1yvqlwjG2qA9AlsHQDbadPAcOKURHibFxSVNWcfOHcl7ENkIxjYYEOp - M/9YOXpLAVLKOiOoPfVprI8fKVfaxahKUjd1zbmPKUZT5Kx1HVQsh6Sj7q6Hv/ahK//4ge6O6Wgz - SYIBYC59j/NwVkMCWGno6BIDtjcjk87b5pQAzYJZSjXDkk14aZknWw/G1774ja+/9C375XCYsfUq - FgS1mVWVlGBLXhTZi6MCnBlk87fLWyiRtHmeko1yvO/I7X5MbAQd1kgAMyIlne9VLR11BLCBESQ0 - yrRxdLOuVn/6sjddctplV37lk5+788pZs9ZN17kyY1PTZly1XQSYbX7GlMNlfu0QA9ni8qfKqjS8 - I8psbECWmLlT6a5/8Jqtz0zf8lPvOLTnnOna5kjH46rO1huIAKNgioiksIqNhdWejvzfp4pxCGyU - tYobemQ23vjrqz/8+Tuv3gprNNZECWUgbCajXPZsHUKOmlNuFRVXEAIC2ComQPs+5YyK0dQ1Erqu - r6of9jr+iBE+snbspL0HN7qjR+3IDfd9/sb7r502x4jBBgIYysZsyCXrWmAAG5IZ5xyYhISImmYc - YxdTZIEEycjZgIxlWtKeNWWAA7FIIIPtoFAiokDMrJVuTGcSwMaWE8iCCBlyjqoGIAMJMALVEGGQ - Ie3uTgpBYWAwoEMEOAEGgZbzlwAjjZwS92jqT3zhY+94/W/G9a5PLaeqqkd934vIcWUXgPIzGaC7 - uqTu0lABKPVVGJfqEBkUabTUrK2t8bhuaaYhddXmRz79waPhkU1Z60hVjEECETOBkamRKrFBv3eJ - U8pYrkLfd6pWSVVVlaqaZQLMdF7YgkEwZLVy04IAI6OdY9GbGcHMYJkYLAYg55wTqp09wSXf/Qe4 - RVZKbAWDGQSlzOX2irKYEmCEGNSwfv23rj3z9vNfdtbr8qyBEhOpqrIZDbfoaL6Rn8rd6p4pSix9 - edogZ6uq6t3vfvfb3/72G2+8pe8TM1SVmcsR/oN8yyKuezQatW0LYGNjY2VlJcbIzCXA2znnnHPO - Oeecc84555xz7jkohDCZTI4ePTpemoBlaza95777r/zsVcMDjI/vLg0D5fbez73+jeefe/bG2tp4 - POYQcs78XWbRtq2I1FWVVN/0pjf9H//X//nw2tqTtlbfXVL9gz/6w3/5v/xvxIakOedR3fR9/zjX - 1DnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc89xRFQiPZx7ipQolu0fyz/G - LLmkChBZyQYCMKlXzzhw/iodZDBxBCznKMoBQY2NkIacqQDTYApoSfF+zuDjUg9sSI8C5i+G3ypI - h8ScEpdACShpQzAoG+tihvMXbBCtaoCNE2Izmpz18vN//lVvvevbX7vhzmtv+dYNW92RjjbR5ETW - pj4BEobwrsfJjk98IyuZPsOPQ/6XKQGiIAIbqJbY52RTEmCJ27x100OP3PHQDZ/5wl8979RLXnze - S885eP6+pQOUmhwDWy2ogjTZUspRKqmXmqR927YhBDNjMItYstTlQGiqJlncjqhzbkfS/LOLB1Q4 - 55xzzj3JttqZkU6WR6mf5d4qCdqrjCQsx9noyD3t1/7zX/y7h+3eNT7GVAcTSgGkbCjRhiBkHgIO - dchORsn2Zhvik2GQLKIMJbAZxRyG0NRZi9Uwyus8ald+8kVv+IlLXnMqnbucJ9RZ1gg1lkCo1MiU - QVaytwnK88xwMmaDntBipCHHsbTNF2m7ZEzHBezNW+50XADsdtoisRHbPHiVAdHFb8snVAkl4hEE - GIeqoSzcZ1ZLOXezGCwcqE9/xf6TL3zdZVfe8NdXfe3TOgKNqs3pVETEqAkVRlFViUhVU0qP2kvD - 8p8QobizlWpmQ88XabJuo13PsKchxnTn9pwnm/N8aU9cTjKW3GSWxBmAkRIlUcBUsl183gXyZRin - SLAAYwoGEH/PdN5HMV6ET2MeC8kGBjTl1KPKOt1s9zUnLem+RpcmdcMZqsOEAoYhqcqQPM0oocU0 - dENkAkTLGvGQ/gs242w8o8CV5L0UEerq5IPnXLrvxa97wc996bZrPvfVT91/5O7R3kln3bTtuEIV - QuoTsQZCWQBEmM5z7x/vxgdI2Zi0BgjIgJZDMWeMGsqtJY1WLT1w5Nufu/PjPMo/8/xfJDrEo9Cp - VU0Ak3bGgbnEz28nKKNkbz+xjqhhh5fQzrIntg/WxdGQ2Yg0MCMhKRkYbCxJSJWzXHDehXJzUuuY - YQkBTAoj1d2MQms0BD3v/NA8udOIYAYmEQ3PO+OyJd5j4FjynCFkIrkkrrJi56HIgMIqspJG/0xh - pB3N0AiMouZgBrVg0kggpraf2lLWpdnXH7jujz/1h4/g21u8zqJGkCF/lEEKSzofq9jQ0+IMMsCQ - GYbAGtiIDKCcWVXAAcyYrmNFxk1cqaerb3vjr1x06PKT6VTu65gTmEPdpJxzTIEQyMpeIJSeCVaw - oZSlRoYdx2Fe7DveLvFOODYJ89T7xWk73ybz18ZG5RKkgFL5GQRtMqGLSWqC6SgQrMHDdpqd/9+8 - 9MyzTrv4r7/wwQfjHVRNe56mgK02BgGpwpjLF5YOXAMMIZeFZAMiq/FxJSHAQFDAKBlpH9pHcvvl - B6/d/ET61df+2uHR2d2WjmiZc209glAmZDJDzpTAJiDTZ/HNDQLarX60v7rz2O1/9/W/+fw3rlwP - R8KyJG0BNiVQ2XjZSAmoDO0sVYJ6HEDS55RSIqKKJPeJySbCXHMGpZTMLDQCzT/stfzRoQQibUaj - vu+1Snet3/ihv39vXN7oFY2BhoumBktqyBYUIM5dMgGaphZI6mO2XDdh2s9Mk4hUoSLLbZ8DYUyr - zcaeKi9VLE0zXp4sTSbLk2YUQqiqqs8pxjjrptPpdH1rfWt9a5bW9y1XVicJlDkn7WNKYLPKWCTm - DGAUJBv6PkO1qgS2q+NBQYkXhaGpQpUAglg5QBcVHs2MXrqvfPNLX7/vxktPfknaipNmpClli/Wo - 6lMPgMo12oZLoT2q2Hpq7LxODVVwIuu6brJn71q/kercN+2Nd33+S9+4dnN1s+WkxAwSI9YY1IbS - lBgW5qVNGuK389Ala6xGWopmI6j2NIIIjHOXY0owQ2BYmVm5QcIGQEBElG1RpwUtFhOoAGYYWFVz - mUmF8UhilzHUJHfcAYIqp93VD4elByEAAQZwYlIqd2gMbENzQAl9SEfikQ9/9gOH9519zuTiPtrK - aCVZTv2MmnJjhwCwgox3WU91z0pN0/R9z4Z+1q6urm5sbIzG9X/4vX/3G//9u2666esEjJqq66JB - R6NJidzeFWYuLcGch4Kr6zoRSSmtrKx0Xdc0TXm/7/u6rp/MdXPOOeecc84555xzzjnnnHs26Pte - REaTMRGpWTZ7z3vf2+fyWM98IsJjPFMxf3axKI9lvfMd/92objQlTake1/2sBT36v6goAUujsRKm - 7YyDnHRg3y/94lv+/e+/hxl5l/cId/ushwF/8qd/9j+867f3791npLABDbedn7XPjjjnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+5pRLSbfBfndkkBIjxmipCZiZGZmTEj7F89 - sGd0UpiN+m5mnEWCJVPVSmozHZIgbB6TRHkeW/MMigR6epTRVHhIvAYWY6scH+CdCSpiYAIYiZBL - VNw84MxgmomVYODEICjlmNsUpN4bVlOnqYuXLr/i3Bc+/40v2rz74Tuuv/3am79147F0bLwnoNFW - N0070KMD1L4PMhbdznIaMt2Gn5gMokPwR4tEUnJ/IASu1fLWVrc1w3eO3Xf3F+/+zB4+6eLTXvyy - 5/342Sdd2MhkkrmPPbGJSMxxurHFzJNmHGOmrFDArJZKmsqyxth74rH70eAHsnPOOefck0kJzMQh - tN1WbGeT0VItVZ/anmdpefrlu6/+08++ZzY5erQ7ElaFsllGBYCggBErqRHyPBt1SOyGsoIxbxsT - 1JhNBEwEcM4EAjRCBMvNaPYdvfjgJb/w8//tuXsuHsfV0axBEuVEEArCxGohqS76c8gUgBjBAuax - 0NttZdIyNqYNYd7b0d1K4Hn4386NYARAS8IrPWpky+MjqLWsHeZJ4TafXZ7PRyMHZgOlFKWqxhxy - tDTLTbVyAPWbX/or555x0X+99s/uPHbryp5xpK7vpq31SZUFRKRqRKhrWSS3nbDHYGHx7cMylAxs - ZTIzSyA1yZvdplkuUd7PqEhBMiFjWA+y0jgmqJhWORzYe3B1vDK1I8RqBBMz490ONcoYDoDtgVkN - AEYNa9amwTKtohXqRDsa8ZJqNjODAQxmBczIjJR3hP4OMxyOIgBMSlY6aYYFbJqmbVszq6uKErez - nkiWx/vGNP6pi1/34otf8sVv/P0nvvg392/cs7R/JSPGvk0RBkMAA4EZFqIpmyrtbn8RQEZD5CVp - OSuJ0LUGBZMp9aO9sqFHr7z5YyGN3/iKt/TrdnB8cpxmSyShytloiAz/gQ4VNjxq4YdeG9jO9O7F - NMJANlYqwdBVhoQSlw46uG/vuKkqQswQgNXYJNEPupBFCQonVjNDhuTqnMPncV8N8dDG25n0NJQh - 82hzJgNbEOMhKP0Zc34pkC2FiiyL9ikTBxE2i0ggyB7a4KNfuuOaP/u7P1wfrfXjjgSaQWAFD/Gp - BiOGqTJKgPdQqhukJLcaE3he1FvppzRDjMg9DkxWmtnyHjr8tre+85w9F4+75bSJcQhMdZeTdgYS - ZhBMYUwGGyJmyYiNlRalMbCjNLbhmgMAO0q/Rbfg9nm6I7ebhyuDLcpwAoxtmJWBFAwwSACQUAic - Y8uKJoxTn3LEUj25/JSXH3zjSZ/8/J/fdO+1uelU83iErkVFJWseOs8eNwLAdWIAmZFZh6RwDMn1 - ZYEyQUlBCoIRZJX7rr/jkZve8xf/7zt//rdP33POkUe6vc1BjRkACRhJWUvYbTZlhGdvx7ABy/uW - Hmm/85lrP3HTw1+Oy62G1PIsAsGILLAxkWUDzMqFa7liS9q3KVOyAA4gmJmOmmDJtC/dnyAuY2h7 - bveTjS1L7Cyt6cN/88UPHsF9nc6aMTADELT0kpOKIVlgQIwJEUDOMSNmmBpiTE0YcRLuxWZMudlf - Hdy7cuCkpcOXXf6K/ZNDB/cdXFlZCVyV0tjMcs5EREGYobC+b7faWRvXb7/3prsfuP2bD9y93h1F - FaiOGnrl1MWsADNUMylVBgaLch7ysh/36pbUZqutvJQEzO8EzIv6RZ1QOfbN1iev+5sL3vw8ojAO - SzmiGY/63JXJ2UoBRQykR1cunwJsO29KaYmUBqCqEHTayoQ3bP2R7r6/ve6jcdx2kiIjgNiMLJc4 - 9lJt1HkByyhroMD89gapGqxMRgCBK6hiFmFZRTCqAHDutOHAymYELSPIgyBEJKTl0mulxs5WBtBn - iKoSrAo8qjlZihmzaQ5hx7DzxuWSYcTHr+/3UUpjNXDJ7QYRrNS4uFRPCAbAYFzWFnkcj8UjH/r0 - H//2W/55qG0r6qiZMNU21A6309h1SEz3CO8fZW3bhhBEhIiOHj26urpqLAcOHPi93/u9K6644q67 - 7um6CICJn0BuNwBVreu6BE7knKuqijFiHundNE0pG0MIntvtnHPOOeecc84555xzzrnnphBC27bj - pcnWbBbqenNz8wMf+IAwZT3+buyO9G47/n2b/3zJBRe96ideubm2vrK63HVdSgnCJ9zrIxueO+v7 - vq5rMjRNs7G19a53vevd73lvUnvMlPAniwFGuPeBBz5z5ZVv/YU3E8AiXdeJiEd2O+ecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrlnhhMH5y8jY1jJojGAmQgMFgoH9x2EkiU1 - ZMC4YmNFZjMDFKRkDGI2wjz/C5Cne4WeQRhWAnoMx8cnAQBMCSW/iQEDxKCAGOYh6AQw0c4YKeUK - lsksWw/WahJGIKp1eWV0cHzK/vPOff4b5MjX7r/h2luuvvPBr2dLvJvNTwYyZuOdqXBKaqTGO48T - JmO2oCXcPYgQx9SlZBI0BIyWAEE37bVOU5Hr7v3sF277/Kn7z7nw9ItffN5LT9l72spoeTZLBpmM - JhWqOOsq1ESVBAaQNKc4gzDXpOYRNm6b2bN15B6P7nbOOeece3JpqCpFTLGVWpJqrzEsh2N09Itf - /+SHP/9HR0ffSdLTBG3MdaZgwqQGZSph1ZwJpc0jCsxHrmQbckmVAAumktXAKpQBJQNFjJmkH6dj - 8trLf+Yf/dgvrcRD1ebymEahjlS1WSvNjaqQytD2owwolzBCY1IuccU2BOtuNxQJAKkRAdAdgdxU - wlaNF6nO88bZiVmoQzyzgYdkWbApAWJKMB4SfKEEIzaCEhshEwVDil09GidB12cCVVRVwiNi7bJZ - qGX/S0999Z7XHP7EVz7yxW9dE6mdjEbZYiA1zQoNQVRzzhk78gh3Vt6HUNv5cuv8ByIyM8uqpgjV - rN981Go9NeZRjos3doY383aobWmlD010MmYFwGUjqyGgQken7j/tyNH7OiQwjM2ARRL3bpzwkQBD - SqnvERpMU7tkK5XUDde5VSMjImUqiZJmZgww6zw/eJgbaQnqLgs8z3WmPETKm2pGU8FyRGJB1XDO - qe2mNVV1XN5Tj19zyVsvOuvFn7nxb794299t2EPNmCseRnJNykxBKDCn3ec+MowFsbwuJ0km1FVo - t1IDjEJIaYvYtKk2dPPTX/mYVPLTr3jjsY2HR7zK1Wruc1M10XpASwZ42UdDSOeul2dIKwaw3X0z - /Kjzn4d/yUqJwaycSWCVIpTocWMy1X3Lq8dm0vcpBJiK/SC5mCcsDBjGRApjKE2qpZNXDtNUiAmA - qAAgK8ULZ06ZtOTIk2qwQCaSA0gzZ9tl1PpTioRzzkgIxLUwEaKlDj1PaBqOfvGuT3/o794fVzb6 - ejrNmUkEAQZQgqFkZitKmVZCXIfYaQLIgoFFS/GoIFVoOfElI1BVyZiOTc49+flXvO6d+/WUZmsJ - UaRhDbBklFEphxBUJeaIgEyqzICWIHAxFuV83F7S7b+JAJDZcQXOUJhvH288vx4tPgYsegaZoWJZ - iTMFZSQuAymbGGpQ2uoqCoHq2MamqTDB0Y2jy0srZ9UX/ONX/9N9X9p39c2fIGxk9JNKU8oom6h8 - M7EBrEwWyBA5ZdIsAMAKVkiGUcnz7ksSORlgmLY6aXJe2rp367b3/NX/809e/47zD71wc3OzwSQY - k/WwBDJijmDLyvT0FOtPCeU81a161V74khff/LGvxE1pgy0dmsTZFGTCMeRAymLojVSMAJ5VlVFV - xUg5AxkgAhPaaVqqQ1WPY4xqqRYYoYtg8eDcJ5G2sacmb9L0s1/5+N0bt8xk1jTUz6wCZ1KAQUOp - LqbQIG1cqutEcdppFjRjGCPOkBUh1eO899SVsy494/JLz7z81L1njHkJPQvVgSRv5hzVzGquq6rK - OaslJRAZmADbQ6ohnXXOJXIJbeZjdz54y5fv+MLX7r/x6PSBPIrCaCYwoG9BaqNaKht1sWfZRbRz - GcCdACvXBRBrGG7SAEAJq1YFAyyqbKrj+PUHb77j/luft++F083pKCxVlaytzUajBgDABMDm94dI - yZ62WzulcOZSYKqiqkfH2jWrky7NPvWZjz7YfiuOWwQECmLDtRgELYnWpRqsOlThsKg1L0a53z7P - DDADM8YNsYlGyq2xcaO1dBxQM4uAYFwGwh/6o7nUtYyEs2bVZGZCUE2JsmW1lEEsQasRpWRD1fcH - 6co2ZAIBxuUCYow0NElQCuUyHcMgCgMyRW2mD7bf+Ojn//wfv+pX+k2aHpsd2H942k2HpbGhxvO0 - JLO7HzJmZubNzc26rg8ePLi2tkZBzOzkwye99z///q+/8zduv/1uABJIY66ruov9buff9z0z55yb - pum6DoCqlrxwVTWzkuot8ly+Seycc84555xzzjnnnHPOuecuVa3rOiet6wYkf/bBDx1d34hmePw3 - hed+452/PmlGs6yaclXJtGvrut45Adn2LdGsMSs1TRO7vqnqc88+56f+wT/8zNVXqe7yNuHO5Xwc - Hy1r9r73ve/nfvZnG5IQqj5ORSTpo/9LWFnaZ/HzJM4555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHtyURl03rmnks2PMsOJwRBEMB3CYkrK2OrqqlKkgIAAgFUUkikDiXiI3ppn - WpU8q8c1RsePEN05Psl2egKUt99nEO2YPhpBTRnIIDIxHrZ2CaoomWtiSQlGOZMl0ZpEjS3FqAaI - GjRzUstio9XmwP49h05afWiLj252tptxXdhQZQAwhEyIREZW4jJ4Hl5WFtsIkQBgRJXlbJYCxIKa - WerMAAECEQjraY0mgiW5DQ/fdc+NV975V6csn3bpOc+/7LwXnbnnnL7Peauucl0Fadu+V63GVa60 - y22WVIWGo4j6kCzuWc+ju51zzjnnnkxs6PuWGU2zJCIbm5uyRG21de3XPvOXX/gT3d/N+j5mNDUQ - wcE0dWWkR6XtfGsMid0l5G+RlwolGEAkRpolgpAJpOAMyU3VT/aEk9742re84OyX1RvLdZ6MddJI - mPVbxlQCDk0JRMzEzNCEIXt7EZw8fJ3tDM0roXw2tBxl528goPJZLZnfbKwEMnyP9h7bccGNAPJ8 - sMsSc1tek1EJUgu1ZMq9JgQ2pdhmtczSVKOGc2infYz5vH0X7n3Vrx6++dAnb/jokemD2mA0mqTU - t7EVIWZKyWQ3NV+dD8BZMhGN0la7WRb/iUcdPwWMNPNiazOZwKCUYKGiYDM+68BZt337hhptJLCp - oifaXSYiQXmxygYYwwKAuqqUZhKEMknFZlmIBWRSQnUBqJZESZCI5GGk0yG3GxjSGdmGzh2b90eU - KWJKTVMzSdfPUt9XVVVV1aQJopySSpR+PZ0k57zlx6648MwLP33dRx/cuHPGW1ZnZTJYNlMk257r - 7lZ5CKIEYx5v2Xeprkkyx5yNTAHNsRmHrf7Ix7/4kYMnH7ro5MtG1apFxGmqQoMhTLMkrzMDOwZ1 - 3cUhVObABiVm29mbg5KIOY8UPX4VhhOW2dgoGYEgucOhPYfv2bhLkEjISlb0bhbGCEbH9aYpIDYv - tU05YhsAACAASURBVACGlO/at2f/ctgTUtCKAQUxlTRmY+VMxkyqBiMFsZEaPZGN8zQIXHVd11BT - V02MMWpPI1RL9FC8/7o7PvtXV/9xXtnqm2lvkQkwBQSksFKQYrHTy75b9F7ZfCsSwFDl7Z3ICtHR - yFbDbOlF5/7YW1/zT5bbvWE2rvKorur1fi3mFExEQuCQUkqaIQQg02IGJPOI2Xk0+E5DkT7/sYR2 - y/CrHYnsJxTjPCw4gHKKK5VTuGSTA2RmVAJbVRMhE0SoChbzxnRKNU2WV0Wr/li3unroza/61eWV - fZ+87iNTPTLtN6mB0bAKOt8ymIf7ghS0fXGEzY9Dml8fywYlVIKtaVwZaRC76+Fb/uhv/9M7fu53 - Tl++MPQssaFsnACQEQlEn0kh8U/MdKutKj7/zPPPPeP8zW+v8QRHjn2nngAGVRAnZIaRKJQhGjgH - sYqsYkSFEhFApjThul/ve6AajVCnqG1WSIA9t7rOn2Jko4o3sXHP7I5P3PTR6fJGNULfGTPUMM9A - LsUIxJSsZ7a+70iwVEvKudtAQLU3rHJXvfC8l7/ioleduef85byv6SejbilYFbUDIKCahIkMhGTa - 67gaq+acc0Yuv6mY2GCZLavw6JKTli85+7Jvd/de9/Wrr73lczNsbKyvZfRNI9JQSinnLRLZbfm8 - qGwoKYFhCLo9fnoe7s0owHWGkvbYbMa45stXXfT6S0kUZlvtrGmaE+b2tNkuPMlACmSyCmCYKGG8 - XB3D0Vvvvf76267OK7HnDlBW0DypmggaYARVABAksmAExXzIeUqw7VolAVJeRtLOkMOoWg6pyZEn - YbJ36cDZp581rpaWl1dWJkvj8VJT1SJSkoljTlvt1sbWxrH1ow8fffjo0Uc2Z+uZux6zYFFDTJYz - Wsua1BaVESNVTmw8XBd2o9QHrNyi48QAIYkCBCMoITFQIsyNRVUJM81LS9XW1tHP3/qZl1/28lPC - 2YcOnbt1tK2lVlLjpJ7e/VxCRDnnvXv3rq+vr62thRCU0DTN5ub0zDPP/N3f/d0rrrhibW09xjxq - Rm3XPuFvAdB1XV3XOWczSymV90sTT0TMLOccgt8icc4555xzzjnnnHPOOefcc0vOua7rPiapqz6m - 9773vboI2F7cKn0ct+3279n75je/ueu6qgqqmlKsJZgZvksG+GQy6fveiFJKRNROp7/zO7/zyav+ - 7slYp++DgCuv/uytt976gosvVdVQVznnJxBV7pxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOefcU0MXI/UbYRGqIsymBjCpEbEljEajbJ1xJBVNpsxEIMnGpkgAuCSB25AgAMyz - XZ5DdEdQFLZf22NFjxHEYFDMsxKMWI1BMBMQhhAolLwtU0CTaUYUDVXKkhPPqBarbGabx9rv3Hrb - V790+99/4+FbelnnSRcE2XYX+GFDclYyYiM2ViVlKOYRUZinvJcZK7LmTEQhBHCtqiAKxID2fa+k - 9Sio6Cz1EaiqaUtrfVy796Y7Pn39356159wXn/+KF5z9klMOnL6x1TZ7lwKqvu+yWTWuCBy72EB2 - uf3djzh7dmYaeS6Fc84559yTrJaQs6UpadB6WTb5oS/c8em/vv7Ds8nG+uyoBTREiDYe8WwrTybo - 06JpyqRMpKIK0u1gVUIe2rNBCWIRokaIBDOwYUKry7T/QHXGL776ly88+PxRO1mulmNqU24TV8QT - YmEIEZGa5R6qZEaLJjFZpgRwSfNT2pnmy9hOE19k0JYXRAYjA6mWyMlh+u1m5AlzKGszhCITFJxL - Xu+OsFiQckkTH0LEEYPNtCPjhsciAqiZJbJZt2VBZcmgbbcRDy0f+Nnz3nTGyunvu/7dd09vNzMR - ghqyklBVURlg9PvW2RlDKKyZCZiJYJaRp+2WqhLR09CP8Kg2+iIG+Lj3h8kkK2BEisAIChgyoJaq - kew5vHRa040St8R9hpaw9sePUKbfGf7LAMF41ncKxJxHpDn3bbeVLYYKuXy7GREZYGZswgoyXWy6 - +SGE+ZHDRsNvF/0+TTVKfQIwkmUesSbLsxwtJ7RN03Dm3PLq6CSpTqpPWjn9dRd+5Oo/uevozd/e - +mYeJTQwg2Ho79jVKss8dHnRuUAGAVJCGEmmnJIFBhNRNNVZWBlrnP3xR//gN976P4elFU3V0tJq - 17ZBSq6wAsrGMCajxX7czT5QBgjMNsQkY8dcCDb0ycy3mxFAClIxJksEELIBlOua95y0fBb3X6lr - 6vMMkocEzyd+SOuiZ42MDWyWQCLgg3sOBgsBISGXME4GD0nPmUQ5C+ftxG5VSinoMM9nDAYkUZ15 - FCpK3LamDWuYPdzdc81dn/zY9X8RD0w38no7w8oyr9TN+vqM6gQMWa2l3GMkHfYdyvpaOSxE2ZSM - ydREc9nNBlau4gjHxm945Vte+6Kfk7XxhFcsUxd7AMujJVKL2RQ2zbNeEzMm40kXI2DlGGMLBs6l - tKLE87GVlR5zbw99AloOLX70XkjDv2Rs818Ns6JE5ePKpgHlrCMyMIXJ3j3TrltrZ81kVI3rtp9x - Yop5f7M66+L0aPXqS9+0Mj7415//QEr3RtvMkkoEOBvIlIxB2oceAJmG+RLZfAMOYd4GZRhQLpk1 - Sd3YrM095eWTJ/et3fX/fejf/sZb/8fTwtl76cCYJkI1ZwI4MzLyYuM8G7HKwclpR449ND5p5ccu - f+X1H7ymGtHeyXgjzriCCsBgUijYaJwrsYpDk2NTp/FJS4dOP3D2KXtPHdWTpDFxf9eDt3/j27cf - 6x+JaKNwEmUCeYDukyqnmEezD171B9PlI5t9GwKPRJKpUrZ5WWoIBK1MQcASuhbBsGSj0IXxTA4v - nX7O/ote92M/u8L7J7Kn6SYSa9EKOSRLVS1RY8rZlAJXgZnAzKwpGUFEiMhIQWblIpnipBqPZUV6 - 7rv6tNHSwYvPetXzfv5jn/vond++9YGNbwK9ct/rZhaMRjn3uzkehtsJUCQjJuVyVWTAGApkgnEJ - utagMEJXKY3z7fd8/d77v3npSYfyZuxTWlpZ7vtuuAIawVhtqJfw91mCJxMhgQAwgxNYiBLFzjY+ - ftV/xdJsPa01ewL1KgrOIGKAlSyRGQ2FFQGMRIYMpFKjY2XTUsESAylYa9ZqjCXqq2Xec+rk7AtO - ufCcw+edvPe0SbOsWQIFkUqIzUhTLlHE0gjYaMXoMBAoW+pz39rWLfdf/82H7vjGfd94eOPbbd6C - cJZeKUakISl+KNJLqb7rykAmAENuNyvYwAazYc8mBojJWEwlKwFNw1tdi6qtJ/zhT//pb/7CP+/a - lnIdICBKSGDkUpmx3VeW3LNNiYLY3NxcXl5OuReRvkvddLa6ujybdWefffYHPvCBN7zhjYC1XRsC - x7S767WqLi0tbW1tAaiqqu/78j4zm5mZMbOqdl0XQvDcbuecc84555xzzjnnnHPOPQc1TRNj7LoO - KX7+2uu++c1vKoxFspYbh4/37swv//Iv79u7FylqSlKFHHNdh6jf9e5jjNHMDLa0tDTt+6qqXvUT - r7zg3HNvveuuJ74yi/9J9t2xiGZl2Pvf//5L/s3/bqBRU6UcQSfemyw3K/1hCeecc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc84555xzzhHRszSa1D0bKcEAAUBqxw9+QUQwIjMyZgiyVZUk - 7iNa1hFrzaiVkopy0KyRjEUDmyrEgEwEcMnNee4oCTvzwK3FqrOCQTunGV6QDpOVzOzyQTs+sssA - AgPKKssYK1mnXRtnvASd9N86cvctd375pru+/PD0/vX2Ya0jr1qwlHSetv24UxiU0QkMQ3wbDASI - Hb9I84UXS0qqDNQwWK9RY0QGMyOEnGM1lpzz1lYiwmgiY5Ku65sx990mjUjH7W3djbd/6asfv/kv - Dy2f9pKLX37u4QtP3XMWU9CZjGaTEY+0hIM79yjPuoukR1M455xzz2y0u8EQ3VOhRFaXKN+yLxhK - BjZWnPArBSAQEUmssWpjs/aFW6/6q2v/dE0eatHyJIQsKcaq4tRrqNEmbKf6mTKYlId4VAIIut3S - YYDZoJaNYQEAKKKK9bLtP6Bn/OY/+mf7cXhfPqCdzbp2NA4ywiymECaqSJoERGRU4k63W4aqw6CT - 2y+Gth5AVlqDzAajnaNSzpODS7qecdlQRmDjRfry96DbkcFsRIs50jCr0mbVTEiawVyh1phzisIV - ArJpGFfZYrZORIKJrmOiq5cfeunbXp3//HPvu/uhb/ASjyrJnJJGwIiA+SrsrLTTzvPLhml4CMAm - MJvmbNr2nVqiRcbhU+kxv+AxhwQ1gqK0sIXnn8vEDCTN4/FkJeyTvuGmEooKs11GMxpgdNyYpDQE - bEPVmqVR27dERETj8Tj03G/2FJBNy5tCpAaznHMWyKPXhUuXREm0HDostoPLmZmUcjZNCiCg4lAR - c9e1gWhlPOk669p+NNp7ymj0tjf89ie++OHPfe1jR/oHtIo9WW8AtB66P3S7A8IwP3+2E6/nxyJo - O7d7e2nZuGp41iapEBrKveVojRATIP3adLZvpXn/x977W2/5Z1JVjY44BEMuZwfv3HfGoLyLHbDj - syXUeDjj6FGxlsZlXYaz1xgAw0CpBJBDMamW9zQH0VfVqOlsSgJNu+qZOW55ikUvkolpzqoaqJJU - L9d7NRl4keytCshx3U+lBNAS7A1oKTcWse5PJSYrXY07u3ZCiYYtgetDhjTARpzFYMkiKjT76P7+ - /mvu+NRHrv9AXNpY71MYY7mW1OZZOxtX6MoKWzk3+YQDbwgxL91YlMxQ5+24+vLlVRqPu71vec3b - X3TGj690BxHFkoUgoRmTaWwjM6sZmKSWEUnOsYstABqCwYcNWHJtCVAyNlpcv8ryDEHdi87B+WWO - 5qW/0VD+A8dXRWhHtrcFJQWYoQwjKBsALgfn0fU1qUIzGfUpgk2qcY59UCDaqBpbZlsLLz3zJ5sw - /tBn3/dQujvzWg7D1iODKIw0MZQgCpofbOXcnF+PmAysUBpS5Ps21zXXNcww1SkaauXof/yL3/2t - N/9PIAtyWqM1EmVVsBolHTo+WYnnx54C85LBjt9KixV/ZiBj7TAJq7N27dxTL7j8ohd8+d5rjLQK - Q88UGSTXIdaV1o3VbKNLnveSMw+ff8Hh5x0Yn1J1DffMIA40yxuvftHr71u/56obPn39Xdet54dp - 0iedkY9F/T2VgHkj1XnZtX2igUWHXnUlZWOjlEbtVV/95H1bd22GR6pJZciaDFAIL9LoF/UxELoZ - mpqbfqxr1R4ceP5ZL37lJT95yZmX6VRCrKmrWCsoITODQhX61JJQVdcwNlXNixoLE5kBatlsXiAT - 1XXoZq3CqrquuIqtiiyP6/1ve81v3XLPTX9/85W33PulY90Doz2jVLezHhWXC2gpKgGUs4+HM4XS - YsvwPLd7/vdwhi4qKsaPURlhoNNprKdfuO2aCw49P4RxHerZbCoi83oClDNIjQhP320dBjKbATAi - Na7req19pK2PfeWu6450D2zxxmi5mrWx3r6aqjFlNjVkQxgqEov9O1QzxKDDPRgOuZFchzyq4+jC - 0y657KUvuvj0y1Zkb+ibJVoJWsepGsl8eco2IKFAZDlCNSVLAEgQGA20DksvOvMnXnDBy2JuH3j4 - vq/ecdPN3/jKQxv39dUWNW2WPg9F9XCxw/z+yvyyPlTmF3WNUuSW10Z6Qh1ECWQnVCdYH7WTjAFG - l6a3PXzz1bdc+drz3rpn6ZB2ysP0uqimPrqa437E1HUdY6yqqm1bQzYzVW2aZmtrS0SqWs4888z/ - 8l/+5J3vfOexY1s57/riS0RbW1s7H2gQkZyzqpZv7/u+pHfHGEXke87MOeecc84555xzzjnnnHPu - R1DbtqPRKFT1LPXv/v3/FE2ZOOa8/eDIXLnd8ujbd+WJo1//tXe2W5vL40lizTEtT5Y2p1v1ePTd - Hq+ImkejUYo6nU6rujHh2MZfe8fb/9d//W92PCT5/ez+ZmLOmQBmet/73/+v/sW/bIRrCxxEy/OS - i8efhkfXnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555x7OrEomxmkpBop - yMSMoTmXHBg1ZQIIAojwKIRAPRFRCIGZu2Q5Z4hhCKsBADbLP5rD/p8wQsh22Pb3nniIhLPj3t+R - orUdY7SIyNk5JopSSbSxTH1P08SdNbQRj910x43X33HdPcfu2rSjKWxGafMkgsGAMJhK9PYu8l8M - WOy4sju3B0iZR2xhO0hOGSjHTQkaEhGuWDOiRha0MQXG8pKYIbXZTJtK+pSVwGQsU62rXHOf7ju6 - +fCdf3/rsqyef/jCl1/0Dy898DLJde4RiJWGUHPnAJgZHiNijJ9RuU6PyaO7nXPOuWcgJSKYmSGE - oKr0o9mGeXYgG8IXeyElUwIjiWYxVAh9glGgSjJl5cxImrOg4oam+UjbHLvh7mv+7HN/1I2O9tUM - gqwRBBGoqs3zR4cvKs1WYxiXCG3lVFqypuAsDCEgE2YZoQo5pypjkqtma+XiA8+/4vW/uS8dDmms - SQGliXXoTJklmCYCy7wtZye2FdlIMQT9ltorL4L8+LhWmy5C/rYXGgDpCW27Ez514jadfxeGJuVx - w2mW90sQZokeDsRQwBKYuSJDMoAIlhQEpnoRR2tETVx6UfWKQ6889IdXvee29a/nsUQyQzSBGRgI - CjOAEQIU6FrUQQFWCjAoJWy3NqMyMkEkVJmPHTsWAqjfXe7yE/Dodub3CzPmITuelCyCKJNmKIC+ - 7046eKpp0xMlBtmumuEAoMSJh30lJUwRCWCjTA3avhUiUhJI6lPKVldBzZgJgBkyDPO05uPWame8 - 5Y5vO+7IyTqkuA8xoVBTNUaqWYJS1+omAldEqrW0S+jxppdcccqeQx/41B90Yd2qjQ5ZGtJeWEEM - MzVGCIBW3QxBRkAkahe9EzvCpIHjEyiVVJNWAjNYbwyQQM0M4GwcsFE9MsPsT6/6j1f89LuqNN7X - nBxnW00dzLjtphVzFeouGfMudwCGpOf5dtuxFUvhMF/AE6ZhFQBKqaydkTIhzbo9y3vZKGsvzSLK - cBd2dsSAlIzJFAQl9JQhQEZIYZL3nnHgvDbHwAkgNsDYgMzHLacBMGboY7WlnzpMxjxkyebMSRmw - AGOYVKZkMM4ZqsxmJJmralxV442tR2hvPobvfPJrH/7UVz86mxyNgqpEsWepSQhJswoNod2LzPvt - I6l855CHzQoWKJFphNSwDE1YkX3V5vLPvuytP37eq0fdqsyEjMHKmmECMIkMMdwwS1kJND9Cdhxc - ikVZCoBId/5y6ELbnnLnx9hUCTwEyjKOm0wAwI6Ltxx6FcEgNcgwO2JAQy2AWY5STqycmGBiKkjW - IdkK79Hp6iVLL9vzur3v/vj//XCcJerD/8/evQdJdtV3gv/+fuece29mVlVXt15IrRcwIFmAQAjx - EAgboTFgG2FL2AYkA8bYjF/zx8bOxDpiY2Nj12Z3dsIzjl3C2A7bGNt4sBe/iFljMDKSQcgCYUu8 - DHpghJCE1FJ3V3VVZt57zu/32z9uZna1WhIq0Wp1S79P3Ci1qioz7/Pc87h1vg3KBlIIgbAobfsw - Vz0YAwwjQKEWQcymjDILO45Q6cssVYZVtt/un1ST9/35f/nlN//Hleok7iIjUeDM08JtUlKLQDRL - Oosfzv0tZ3a2GwNhFl1O/W8cQ708RiqwKgzXpvsvfOHLv3r3P03yelNBAc0I06ZuV0+gU5518nMv - OPfCc846j2kQpI6lDmsxKIMUKKZWYRfWcUYZvOXCs5532gs/9Infn4Q9k9j1sdOP/OkP/c7Tqrra - 1w+NkVmMFDIL6jYyM0shYIIQQossKoN6sKHju8vt13ztYxu0HxGGHCNZq2aUO60HCYCULKIUYTU0 - Y0hhOF3FgXTW6nMuffEPPf/0C6qyEtZGLETz6iJzn3IsqkZMUIha3ytOiyvYbF616JsUfUqtiilV - IJBRVjCDKmlsgjK15+18yVmXPOvGrz/rkzf/5f7NeyxPqwpIyB1IkUJgFVUwE4zVklF/99E+o7q/ - ZmSe6AwA/b11tj4wna0KGZRQGEBfgtCBtO+Wu2/80XhlQzsiLYUQAZpdfBBlFT46dw0lIjMyIxIm - DgAKIpikm9RLtLfZ8/Gb/3ojbmok6ayJjaqq9YnVpW8ygBENyUizkVGIUZAzUAjGCIR2EwNOA1vh - cfWM0RkvO/+SFzz7xbuqE1Opo1QhJ9YIDQVMCDS7fcxrvTCxAtM+mj1RmP1MFQhNHobCcRrN6ITq - rPMvePXa8/fcdPsNN9567R0HvixLkymNMxAj2CAdkQRjqyJrlwMxhzTOrTDiAEVBQCxgY1hUUuOu - jx6nLRUJIxSaHV8yRFXr87xNhaCErMqGqElgZbTxqa9+7MXPeUXk2IRhFZpOgoJArKU0CKZFmTy9 - +ylMRJjZzJgZYFOEEEopw7qZTCYpEYf4/PPO/c33/d/vfve7x9ODg4nMUEV/efbZ2wAOvzX31dz+ - a865/8Stnw6AiPps7x4zi0gIQVWZPY/BOeecc84555xzzjnnnHPHGTrsKaBHH24LIcQqPbB3330P - PvCxa/5OAA5Amed2b303mj32g36EJUBVyYyBH/2hN5x52qnBTHNmAMw5S1U1Kv0jJou16h8cAYAQ - Q5fFzGKMBNOco+o73nrVr/3q/7HRtamqp10LzJ6G68eDtj53dshWbvPBJwOymmr3wQ998Jd/8ZdE - tEzbFOJDdp0PUzrnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc67Xz3TdZ4cl - ZtHMRGb2NEtmcEdDMIRMnJrNbl0jDNJE0o22SigBbZY6BSh1m90wNpGGTVqFNNAEoKCFZgRNSCpK - FNhgRDqfsoOMAf1u6VfHOjpk/ft8EKCfl2QWZKN9mtIsdM1i/xLqQ3wMfWJbP0F9ABEBFoqC1AQW - KlITVZ0HQyAAEUFhZiamAqFgnMxMNtPa/vqur9/31S9/4Uvf/Pa/7pvutaBWG2LpMJ3FRQFmKLPZ - XLY3VQodTAACFiWObfn3lvn5+/lZaBZkwYAqTDWDmGBm4AAYShE2RAbB1AQEDqgFKOgCWWAi6XRS - YjvV/et77/rqJ27+pct+7ZxdJ8SognJ0s6XcsS7GuDkZg+cxXDhuTo/jZkWdc865p5ntR6q6JwzN - v2gfKU2zBMS2bauqArgUjTGW0k0m7WA4nOh4XfaXweRfvnPzn/7dH+nyVJouMyQABJ4n8S6mfWQD - L9LvSI0gDCHMkl8NAWCEvh0LKsNRA0HKaEqz3J10wRkv+8nXvn2HnJTyIEpiKKjMY7ZpnsB9SJ6Z - Hbpsbdv0K8PG/T8elpEesnwP+5YNbLp1Afplvm7zVQIAzD5x8TtszBr6BRYMHCQNpztOr579tsve - eebycyodInMISRUpIDKYwQAEOUMFTcPzY8tGPIsVV1Cfecl9Cq8JTFVz6QyKR84xfVKw8SIJnaEM - AWCkRMaMyHUMDZiMoMDjSGvWvo1tABAUDGAW5jpv+vQR9LPcawZmCfSzZc4eYZkfzUfZq7NfMOrP - 6vlJChgXwIIhCg1lKayn857xkp/6kfdgrUnT0YASCyKHEAIzE0EVXYdSSlU1AB/Sm0kAGGAlfpQC - mGy2AFCCAaoqBm50GtbueOAr193yt7xD9k4fRKK2zZLzoBrUVdOWXCRT/N7bX/pwy5YfkyrposNo - 6xXKRClUPJsd1/qN3m6Hbr/rldAHPLNxn8etDAoAECyFXA3iiBA0YOtp8PDlxjHSt2IEY53lbStI - yQBwyUrMa9P9tCIb1d7P3HrNNf/8N3mwUcKs72ne18YHp+49NMp08X9kD50o2QgIRBGSgcxDWQ4b - o1e/4AcvPvcH6jysSh2U2R7aaN/6HouL4bDiesu5YY9Ulj/09/s7Bc+S7Ld+JD/icujvHLw2t6wD - m/ZFPQAlCMRIyRA01jrYGU/aPXjmld//1l30jNAyWjRNaIvEyCJbz08+2Ak4DwM2goGU+r7OLSHp - xv0l0N9Pu7TZDTc/8Je/dd/0znE6IHUrnI3t8MOxOIh02E7QY3Lso5QSKWrmBsvPPfX5z3nG+Tvs - FOwN9WaTDgx3V895/YVX/Pyb/8PbX/9LLz7tVcONE5bHu0aT1WG7MmiXqlJHScGYjZJWcVIvdbuW - u5POPfEFV/zAT8RpjZboMV+eT8+pq6lPzZ73vy++b2ylFGMCKHFKKU1lswym13zxb/fIPUKlz6XP - 2QRaVXFlebi+lk11MBgyIzKY0ADVdFkfqC569qvf9kPvPv/0lzbt6khXbRKC1LCAWaW0CBclOTxk - /eCtltRw2J3NWGlRs0VfXATlKGkgo2FZWi6rlzz/0p983U+t0sk7+IRGqGxiUEEEIYQY0XWIMc4S - qhcVgPluOXxeeGB279B5aPfid2bfFyIiid0G7b/jnlstSt+nf0j4N8ksFfuJ789UVTMBwAiLupaR - WTSt2s9/9fp95TttnFoEqVHpN0eVdH4rQVCwoWutrgKYxtMsSjEwGZKg7MdJ1YlL+cRT4lk//gPv - +Pk3/4+vfO6/PQVnLk12DaarTbeU8iBIDcRH3tiHhhbP6/AcNFSlrvNg0I6q8SisNbts9yXn/ODP - Xv7v3/qanzmVz0oHmp00tAnaCepqwHVAkE6yGUrR3HV1ik0TcgYAWN8k2dJe2FIjIgNsS6VkfnxZ - QfOSXxkAmipaMTWzSvZM77nhy5/S0VRi16koDGBT6uOciehYq2+7o2MymVRVpapdN62qeNFFF/7G - b/yXVIEMIVCM3Ed1m1mfsf34PqWP6CYiAE3TEFGf1d3Hh/f/zjnb42g4Oeecc84555xzzjnnyX1U - uwAAIABJREFUnHPOPXkey9MhC6o6Ho93nrDrN3/r/cRQoBTlfkjw0Oe6+oHCGCoCV1WlIlCDgYCf - e/fP8sEh3ce0AnYoBqUQ65SuvuoqAnLXBmIighmI5rndh46Y0nwlt6MfG0opGON3fu93963tz1pS - XffPCG198Ia2Pj7inHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84559wTr5/n - v2hGFZUVzF0pwRgaSFNAKBlmNhgMUkhSjJRmcV2zMKCyNckLFvqomj7kq08xeLI38XtlpFgsWzMa - ZhsImn8NNoutISMywLjP7caW1LNi2uY8za1aoUT1ME3ztJgyM1FgjnWsA7FqARVJXa7GbbPW7Tiw - v/7OTfd9+k+v/8B//tNf+5Prfv+me66/n++SlYksj7u4viEbwqXPKrJ5gkM/r8l2U28ekgfxXadb - 6YMq+klU5unjugi/61dDCcKHhIawzuZdUTKjYtxKmJQ0buvNrlqbbQuJknh+hFtQQh8bMz8tj6fi - JT7ZK+Ccc845d2wjNZrlETJUTRmqYCHmOmUVRIKW3E6alCikzc0JRjKh/bfd+5UP/fUf0YqMy0aL - EgYoMg8pNDBYSclm0XczfUOFy7yxB9Y+9o9hUFIJRQmlmzQUQzcYdasvOOMVV77mbTvKKbUsGUFY - GApYUO6jmrHNeTmPd8KwIBzq3enZ73rDv3vff//1YtOuaEWgKZhhDApIRkWsGPgxVN37qUKLlXE7 - XoXQLPn4mEYGJgTmSLGqKjL04XNE25669BhTjGfntaKGKRtAhYCUQsk0Sjueu/uCn3j9uz5yzR8P - h8MHN79jaWoBQAJRClYKVI1DR2D06alzCgD8qCHiD0MYsYa0CjUJ7T9+6bqzd73gxWe8qpukmqMh - C0Q1cEghSC6TsO2w7CPB2EyYuaqqPo9QFXREV8QUFAgwIjKz0WjEzFaOxblsjVQxy9lliyykiDCA - ipAICgMwjpqAECvuqOsGG3m4cdMd1/7VtX8Sd9mBrqMGQWCIsCishrLoJNLFl3nxPptHeJFoTQxC - MADYLFol1AhlTKth1zmnXvDDF1+x1K1yB1DRvszquxhIsDXy/DjFZkTQCEaLCRnAshRGLzzlpXJR - /rNPf/AAP5hTSxU2prmpUme579OEMYNhBX0ErM3TwedlWp9FzwagGHH/LVIwAcBGtz8Y/e5Hf+Pd - P/YLJ6bTY9tYl0bNaumm/W8q5fkKYtGnM8szti3B4HZsdQXWqSoqKddDXrGxvOo5b7j76/fuXj5l - pVm+4KUvO/+5F42wEwciTweD0KCiUgob+l2jNOuo7c8xKRjWzUSnw+Hq+ee+5IZbr/vGvq61DXnk - T18EqAMPn9P8lGeAUZ/eDQUYCMpK4MjZcgowURKAROrutvu/8s+33yihjaE2DYi5UzUgcpcn3a7V - tDnNbTceDmsrgrUS29EOPv1H3/STzz/rhTSualsaxKUykRiCzXqlC8hslmc/uzNuc/1jfzfYUjNQ - EJHmPG1jE0oOz3nGeT/3E7/8gb/8nfGmriyXjfUDO5brjc0WwPJq3FjLTVMVzZh1inJfTD2+ol9g - gQ2ASP7qrV9+3itfDIOVQ8+tRaX8iacwIiLqLwKGgagYwSra1+696cs3dDphDkYENlAXoEboryyz - SBIJytCqKlkkVlwPB9KSTktDWutglU5u1lcuvvCSV1zw6iqPME6r1S7KEQXBGP3lSQWAzm5Y29lu - UibLmFBMCKQgLRKs2VWd/orTlp936gWfueWa67/yKY77ymCyPh2jgiWwoa4bKihtBy2s4HkpCrCS - MgpIWWdn/jZWpx/RIStmKSYiUSs33/G5i869+PR0DuVCHAhQK4G5lJLCcVDZdk+EwWCwubk5HA5F - xMxU9bLLLnvve3/1P/6H/1nUYMaMlOq2bZlZZHGPeqwVeGZWVSJavLZt2xBC13XM3Gd49+HxMUY6 - snVl55xzzjnnnHPOOeecc865J9jir7Mey4htqurN6WTf/fd/5C/+XBQAiKDzIZfDny8r0hFQSiEQ - YE2KzzvnuRdddNHjWM/FKEw/LsPMKfBVV131wQ/98aTr1B7mkabv/VmN/sG5nCUE+tZd99xyyy2v - eNnLWpWKw2F/FGYPs/3OOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzj1h - jHQSJsqKOuSEwsyhIR6xxFykaqKUKYoRmZQcONZVeHqlhJACMt9iBglA/R5Y5DhEnf8UMGIYwVhZ - AemT4JQMRtmkD+dGVALU8lSn1lpdD1RBikBkBZ1mIrLKNsuBuMxdNb7jwa/d9LnPfvnOf15rH0Bt - XZ4qKxERw0zMLIRQRyr6KDEvT6jD52hhm+0NAPzQ7HArff4PDMHA0KgaTEEw619pjEzIoA4AzCMk - 3FOBR3c755xzzj0am+e8MmB9gwFsgBDFlCaTSYwxRrRdJkaKg42yhmF35/23/f5f/HbcaW1sO2vr - pbg5KbMQunksKNusyUIADGxQYmM1UiEQwH0Lxpg0GsGoKMEYMSNKXJETzjvtJT96yVt32KmxDDY3 - p3VVBVWFsvVxo+CjFG54DFGgQLvNdjhcOiXu/uk3/twffvy371y7fbA0FG2JNKuJIUUOgKiJHBKE - aDTPVTYQQw0gqJnCAIynmxiayjG6Ww/tE2EzJaIQQlVVs+8pnpTY6COJFBCA1CoYAQEQoIBE2hLA - iXdqG17y7Feure3/2A3/766du9axT9i0KDiEwClKziLaBoqzrNPvoS+p71YIIUgniWNs+MDkwU98 - 7i/OOu2Zp6RnEjUknYgKSggpMHW5CxyxrfTNx49nxcA8zpCI6rpeZM8TwEd6qlnr45RVh8OlYzjv - UIWVATIiYzbuE5+FzbgoSTAEDUEZQIk6TeNuOL7l2zd85Lo/zsPNTKWuYxEIMcDGaijWnwu0iJQ+ - aGtXHRljdjwUfYEToIJE9RItnTl69tWv/+lmvKRtjBYBM1KleTcfFQBH6+R5YpD2kyETBQoApsUy - FQSjQbv6imddtrax/tef+1PbIVoXjSgk/XzIbAxjMgYBKNynoxOAWZa5koLAizBpqBL6AG9WsIFr - ybZx18atf/CJ97/r8l9YSSfXZad1IK0AgApDMcvoZoBhEdC+iDAuALYbinw0kJqplhJDQq6zDC88 - +xV3P+fuM5+1++yzz45hkNphLFWQKiqXVk1LSAHU39BsvoEMIITYaYFFkyATgqVXvejSuz75r51N - nuyNPIaRYl7bIqiRKRA0BkORjpmBIgTrVELZCPuv/eInJ7YeGoKAOSgEpMToBGwgtUAc61pakwM4 - tTr9tNWz3/iaq04enVm1o8rqkNN0MmWlwahqSwuSxSkKYyOmbcdl8+z6oALoIog9qEnphsMqo1Op - 86Q+eXDme978P3zoo39w1/odhc0mbZMwyZi2pR4GyZmJFFj0uSvQh1lvFzMEBoYG+9dv/2umNtES - MZvNbi7YMtf8UcAMolmxokpmRlBl6WJ3yx3/dN++u+OKZdIiEon6Y7FYO9JIFtgSIYPEzDpTa6eY - pgaDZR7W7fDi57z2Fc9/9TN2nZ43tLalIFXeWwYxzo4F98dXlWdXLKDbugWEKklps05DCEwRYjQl - TMPOwakDLP3Yi992zu7z/vvn/+KOfV/ZscoHdLMDOgHzNGjiAACS0UQqEvqTy7ho3woyhrGSbmuG - /GgorSQmiiSd1U3Y237ns1+97ooXnc2xYTOASCXGkC2Lz4T/dFVKAUBEqsrMKaVSyhVXXLF/3/p/ - /vVfb1tRhUgGIJKJyBalw2NL71bVqqq6rgshiEhKKecMoGma6XQaQmBmzJMbnHPOOeecc84555xz - zjnnnsLMbDAa/v4ffnD/+kY/NPLQx10A2/JdBhGRiDCTmXW5vOc972FmbBlYeSzjuf3TRP1Az2JQ - honOfe45L73wJZ++4QaFmfXh2UZEW9//exzCSSmVnEWsjuF97//NS175KlaFWj/M/TjGuJ1zzjnn - nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecO1LqFAqoU0XLKHH30rNTaDJ32TTV - 0bSY5CDGJUQaDvNK0KdXBG0/twkb5mFMZgQyApRmkUAHY7wBKJlBGNpPYa+AIYAQQlTVopmAECjG - YIAJJpNJSnVgmlpbuEUqVqtW+d71e2/+wudvuv1ze6b3lMG4i5uTeqKGYVUFSgAUlLUTUSUwg56s - hGsCGYxms/b3/57vCizyfQD0GStsYAMZDCBTI54FoPRvZqDZbDIKsM/N4p4ynl7lpnPOOefc4yA0 - y0wNBjUYkRGMMM6bHMlMYNxUg8l0mvPa6KTqn/d84Y8+9nu20o7DuAubGjDuCsfF/JG8yEdEHxM6 - a3dEQPugVhBsFnIKnjV0FVANIMNSGIUD1fedduFbXvvOpe7kNB2yJcRsJMIIGvqPCAZhfRq2XIir - EBldNcDKs0fnvPXV7/ijT/zW3vG9XCWwQnOrNs3CkUNIZgZ0AOZhbw8T+UZERGak4+kmlvqT4Ghu - 0OOkqqYUYqxiMjke1vixUSoAwzBP0jXA2ABDHeo8qSpa7trymvNfv75nz+fuuLbemTLnzFwKslKI - KUYTUVDpXwVAGei7DIy3lQpqBDMqWYYxkcnaeLy8Orz7wO3X3PzRKy58d40BZ6RQEYU2tzFSjLyd - mMsjjIiaqmYiMvRJqHQEo7sNqkYEUwDcNI21RsdeCdRHjfYhu2whaGQNRBAWUBEWJUA5GEclI9u0 - jW4w+dI9N/7Zp/6wXd7f0kYpqKuKjWEkLIairMA8N5rmAdAHQ7sBMFmEMUOVQKYMACqE1ETZVB3z - 7qVnXn3ZzyyNd6bpMpdIXIxUiQ3a53yDjPsbw/Gc3q0EUyMY9eGYZmwIVo2wc3PfxqXnvfGBvQ9e - f+fH0HTTqpu2UoVZVx2M2ai/UfY7pE9Ap0WaOUENyQBAuL/D6iya3TRTp7GLu5p/Wb/lv/39B99+ - 2XuWbDWvtyk2s3DcPgh5ltvNBgLCPBp5lg9+7KV3a9ZxqIJKhmKlWimb3Rtf/qbB0tAM3bRI5khV - osQAsXIKIgUwPnxbjJlD7iymquGltozPO+uCIXaOde27rgRtvcqPYqzyscAISmAjme/PoAFAIUop - 5pwTBWLwiP75zs9/6Z6bdUk7y5ASq1C0ECFVaFuEiOm0NMNaWhnY0iAunVmd87bX/fSJo7PyutVo - Kks60VEapiocOLAWmgCUebf17AKw7Z+fffZ4H1Rv1Kd3Kwh1FbTrmkHdTdpRs2piyMOrf/AXPvyJ - D9y65+bCbbNaF7RiiGBmtfk79TXYvm9dH1uM7lYUqBQjAkfs3X//vvGDdbX6sL2WhKMR7Gxs1gdy - qxGIiJgoc7uOPZ/9yrWlbjWompaCKlEuiPMhENIIgGdjN2RWGXXt1IbJlupG9oczdp37ukt+9MVn - vLTsUzzAI6ohMXE1SJWK9IdjsRaz3UiAbWeMxbhrBRxTYiU1zYFjk1JEIxvZyhDDwQWnXbxy6erf - f/Fjn//mDWPk4U7NqUiLnPOo4hBiGReCBbAAxmXx3kEZYLA+9iR1AvpJ+zWYmBJgKBth7423Xfvq - c193WrVMXSAFGQKhI5XHlf7ungJKKYPBYHNzs6oqMwsh1HU96fK73vUuML33vf9JFUW0aarptI/f - 3loSLorBRyx8mLnrOmYWkbqu27YFoKoAqqoSkZzzIsC7jw9/QrfXOeecc84555xzzjnnnHPuSLHt - jNcboc2dKf/BH35QgZCCiagiRpay5Q+o5s9VASCKasowKBLTytLym954Odm2R/X6uG6aPY03T+82 - VFV19dVXf/qGz85HmsmgfYT3EcGgknOcpXfLJz/5qW984xtnnn5GxdwPxD720U/nnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845546sYOAJ6ljxuIwGOymtXvniq9FYqKOIKYxM - Kw6kEizlqe5aOrEbP3kBSEef8aGx3LNtZ7ASeB4G189XTwbjYtwKKywagiIaACMjgygzEcNELZsU - ImMVDNPIok5pow0bNpzuK/d/4dYbbvzKP96z9m1qlCoqqVPLRjbiGkDOAs7MbKQhRCIlMiObz5+/ - Vb/mT/jxWkxBM0/v7jN35h9PW37NQPPcbiUoQ0iJ2bSPs1E2glWKAAtkfFwktbmjbDF70PHFo7ud - c8455x4V9W0CIwNAwaL0zQEqRsKhShZzJ0Y2WBlMbf83Hrjtr6778AHek+tpx+PMnTKKoQ4wOZje - vcjh6wNCYWwE2/J9sr6VEmdRsFSIQYZYal2rXnjaRW+65CeWul2jsqwdG0nTpFamRgCSIgTrmzHQ - YzA794nFTAFGMTW5k5gHLzjxwssvvvKv/uHDeyf3SpRQpTrqWIpCE7OIsB0y+aZRfwzYVA0gCgZT - gkA3p5tKx0Fq7mKL+gZKjJUV6pu7RDgeGy2HYqAPMO7/V/tEyBRrKaRdCXW1XO3oWrr8kh+/d89d - Xx/fkqWjZDGGkk2AkGaX3kEGo/66Vjwkifa7rQxxIBVDETEN6GjKI/n8rde94PSXPe/Ei5broWRT - U2b0MYF8dON/F9syPxkiACI64uvQh3YHRDNjUAqxP05H+nOOEFKz/lAQwGTKUEBmP7UAsJFJaHk1 - f3PtXz7y93+yEfa2cVyAuontZlfTAGTzaGeAgMUpSQ/Z7FkaNEDzLFvt83HJUCZlgJUlPeGK17z1 - Gc0ZtK9OVjEHNZODnVY6+4TjPMpUAWI2mIlBi0EZCAiMCIm1jtDa5S9984MH7v7ins/rqiHBbHZ5 - 0qxYo8UNlAxMB/tD5zuG0XeSziaQnpfZAVMDwrTZkW6+66YTbnjG2172zsFwp5b54Zsdl3kM+MHU - 4Xlubp/kfSyldxuBExRiMAbLtAA2DEvYtNzlYTPkqi5ZVBSB+nm34zyDVEmNVDHbtznnlGouVARB - 41JanXT6b049Z+8Ddz3m1WEAsKdR17wtuuONCbrogyYDG5hIJFcVI+qmrf/9zR/PzaZyLkU5gFOQ - CThCQUymhqaJm2vtzmo1bjbfd9qL3vZv371UduY9umO407JRwagZttPpZLyxvGNpUib954IAizCC - 9Z17293/Ni+l+6pt/3Jl5q4rk72T0Y7ljfGk4lq6NBjt+JGX/9hffXrjm+ON8YENrkOs4saBdjgA - K9igPL8Yafb1ccxsrooQUDi31N55350nnX5WhAKsNDtXjx5Sg8Fmd89A4L6k4HLn/ttu2/PVeCLE - IIQUQQyi2YbzvOQgM0Csr64oThg2OiaM06Uvet2lF1y5qieXfVTJIFJFFsyINCo0lxJT3+pQQI1m - lbrtVz9ZCkJiNiXLqoW0lAzNNkxLqarH7UT269k7z7384h27djzjui/93X1r34oNxSpMbVqgkYMZ - TJgZBshioMUeZ3S6CapEXbZOuqXUlC5Pg1B+4NZ7v3rimacNaEcwUgPUjGGkCj6ub3nu8amqCn1N - lZmI1tfXh8PhsK7aUq666qr9+/e/732/TYRp29V1atv8CG/Dj14eEhGAtm37uG4z69O7+3wIVTUz - Eemrzc4555xzzjnnnHPOOeecc09J9aD5/z7+t7d/418BlCJqYEYpDx3mnad3Q00BhBBUiih++l3v - GI1GZdqCaP6s3SF/u/VIVLUfrMF81Aaz5zvKG17/+tNOPuWePfc9EQ87xhhzyTnnGGMpJQB/+Md/ - 9L/9L/8rSulX++ATVsfqs07OOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - 7imMmSOnYMJdkFJOiqeawVpIIVWNIUSClC5xMuX2wS5UyehpFBEyS/8B5tkxilkcNdssfGgWmdKH - Rwirkc5jrZQsGIGMJGuqKHBQgmohpsSkQSb2YAntvu6Br3/ryzfdesOt939lEg9Uq2G6c0NIzMBA - UIZSEQMQUyxWihQxVQLRbO34SYq1eUikEQMwoE+7ADDPJulzu2Ho95uSKkEIwoBB+ig9pYTQzz1j - bFxA5rOyuEMcl6ndAI6p3CnnnHPOuWMUCagwwMZAYE19fl6VSKUNCEShlVLq/O3pbX/z+Y98c+3r - G7bPhllSN8lIDWJAKbNWx6xtclhzQrfEHAbtFwZYWEvo+u/HkgbtytnD86+85GdOCGfwpKbCdSCi - VmWTUAhQsCEKRUPU2Rs/rXA3LsujlY3pZteWHXxivX/0wpNf+toLfnhHdbIULpliaJgJCqOi1gEH - m466pXbMtjUkUhWy0W4IuuOl4t9Pb0pEIQQ2EBEd57m/AGDMFtgCUEAdqAMVgGGpZGoneWk0Gla1 - jEtTBnW7802vufrE5kwqEaXUARyIACLSxWVhW+MnFSjbWh0yMEIKUdQEGAzTVLXldoMf/MwX//bB - 6bctomRAc4ohxloET1YTjNRILYQA9OtAZEeyeGCGCJjZzPq0xWOzkfyQS0AJRn1nWSEoGbMmNlZC - ie20XvvW9Gt/du0H1+mBnLqpqAEcKgpJyUAFXOhgfxPDIubpqv33+2KEjI3I5p9lfbA0EJTrMkzj - 0ZWv/alnrj5PHsSu+gTtMkwVrAiwQIa+k8oAm4V/H8cUBiawQZQzhRxNICJTnXCKcTI4hc5+04vf - 8gw6O2rT9ycqQakYqZEZmc1y0B8Wq1WKedAm9XfcwtCYYjaUgAnnbjC96bbrP/FPH92sHihxbJSN - DGAgwhZvriBTwmKZ1wGOLQXWWhY2qsjQLS0NJE8D2dJwULrJdHIgRI2JJt1kUqaproG+/jHrQbb5 - Ta6fGjvGKCLaGeeISTz3zO8L+uibzACzLZYnenOPOUKQWXWOyZiN+ws8UNQsVagK5Wk4cONt139r - 3x0YqZKGAE7QkI0QAmk2IihQip4y2hnW+OVnXHzVpe8clNWQm5V6JRYLRS2X3E1TCk3TjKcTAEAE - IiyRJVgFS2Rhm/cXBemWW+CsgqqEjfGBpeXhjh07xuvj1dFO2bAhVqvp8LzdL3rDxW/aWe+mtko0 - mE7b4ZC7bvZ6mueAK0Hp8VwsqkYBFNCVqVXlzvu+YSw0qzMrjvoM6USkZqYMC0RmpGLayvQLt17f - NmsSrQDMiBGqGgIEkP6FKIQCKhI65WJBhqlu7y8n2e63/MC7X3fBjy+Vk2tdIYRm2FDizrImK6F0 - 1PGQShDhIqzzK7S/vuiRi76H1zQjRpSpydSChIpSqmIY4IBsTNDGUR246vbTLtl92XMvf/v3/8Lp - fI49mHTTAiELWikcQ0w1oIQyqzH0NzsAtL36EgxmMJmNGIkqJdIEHernbv/smu5Vy6xMxqoGov5k - 3N5HuKeEnLOINE0jIiGElZWVUkrbtiGEwPj3v/yL7/6Zq6Egg0omgOaDfFs82pWiqqPRSEQApJS6 - rhORPrd7OBwCGI/HfW3Wc7udc84555xzzjnnnHPOOXd8MTq4bEWHPP920LTrfvf3PqAAM6kBhKqp - Fz/lwwZdAtOgqVUKgB1LS2+/6up2Mk2zx5C2oX+e7fBnikRk546Vt7zlLQxigGB8RP8KTfs/cCPq - h4oM+MhHPrIx3jSa7bLDd51zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c0eHECaUN2laapSEAhOFZp1sdqWTihNES84iMs1TipoG4emW203G1Gf/zGJr2GaBMlpYS9AuaBe1 - i5qDFoYhwWogAAhWAnJUiWp1rAJSUeuklJBz2txP994rX79p79994LO//n995Fc+fMPv3D7+0mS0 - Pq2ma2UDVdUpSgYsEgWIElA3KWs20pC4GsTBIKWaifDkJjUtEnb6NC4yJiApkiAJoiAu/q2ARUVV - KGZmIS6MLmhhZIYSFH1ERgdqQS2jPOwkNs4dd4656CnnnHPOuWMNH4yOY57HWFKfPyfati1Hqnfw - Xeu3/8Otn/ynb11vS51WeSqbAhstIU8hGSnOYh1hbPNow8VXkBpBSdHPfakICrJogHARhhGChrpb - GrUnvPMNP7c8PXkZJ6QwyKUFS53IVIKBjAFSsIGF+4xYw9OpqcyGuq4PrK2nKiwtrbQHcmgHJ2D3 - K5/72nN3X7BSnVQyVEFKUBDk0MC3w3fUPJaXoMhdmWQrx/z+nJ+ifVj3bM5TIiPWJ7mJfmRYhDHD - QBmUATOwWgo8qOpR13W5HQ9SFVEPbcczT/q+8866aFdzWihgK8EKoMyRFpfe1slmqc8x3d7xNUHO - OSWEhGkrnCoLQJO/ctdN39r39QP5AFVcpWBdyZ2kODiaTbDDk3SZo5ktzg1ga3L596TvAGJmBkII - RAHGBz/lWMKYdQ8pwQBhERZlYUOUEJQBVs5tdWDc7Pvz6z509/j2Ca8XagODgX17x6muhItRAYH6 - BHfDPEY3PmTWYyPYLBDXlFS4KM9Ov6BVNRm+8tzXPP/0CzFuRmlnO+mapgKb0cFQ5KBg04fJpjz+ - cM7FzAIjEEdL0VJEAhNVVDRX2vBm86ydz3/Tq94SNweh8GxPEiQUYRVWJd06XTLbfL8YG6JR3wHY - vwjA7FhMJmVpKWZBFsSluE/3fOZr19z87Rvbar3EVrgIwRBgaXaFLpLtZ72uYbuhuUeBgkWpqkdF - pG3bZjhYO7BeDZpOSs45pRQjd3maNQ+HTV3XXVfYiGyWWL/1raoUpOvAEgl1TJxtiZd2NDvJPLj0 - EfW70UgXlyoAJRO2yCy51HUq3O2nvf/w5U/yik7LhiFzgCjaqREhhrpkEBEUlTXd/fbKZ1965SVX - n0Cn2iQGqlAEKoFQxcAMVTVCSmk2dbgFMoYxG5E9SqT9I2JTUKHFXc+4j9xe3rGyf33/tB2vrCwd - WFtvYlNpQktlv567+/yXn/eaJZxoHTWxLp0O6/mthg7edIygDzOX+6MxghlipBi5E7EQz+sYAAAg - AElEQVS67Nl/H5HN5m3fetX3k8s/3D3uCNJ5PYooEJExCaTVbqwb/3TbjXEnWhQhGEEVbQtCNLAd - rFEUoz7uWisNZb8+/7SX/MQPvOOlz7x0VU5r8pJOJMV4YLoxyWMkK5QzsiUplIWLsuii+AM9viKo - lA6ikdMwDSpUWixLyWQ8DG0om3mixA0PaSMtT3aef9KFb/v+dz5r6dymHQzDEhMUpkytdsrF+GBT - aMuZv736UkToWovMIfD6ZodIiNiUjVvv/cq6PaDIBIZFVRxSS3FPM30AQ9d1VVWtr6+r6vLysoiU - UoiImX/lV37lyisvjxGl4FHDGh7+Z0S0ubm59QQL8zyJ8XgcQhiNRougiFK2GVHvnHPOOeecc845 - 55xzzjl3nFDgrrvvuea6awUoZv3gyXTSPsqTKaIymU6YOBK95vsvOeuMMwOhj8HeFmZ+2PTuyGGy - uflzP/uz/UAwEVSP5BN6ogKAiMyMGUa45/4HPvrRj2L2+JAPUDrnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc865J40SLIUOihiyFSWoaozVIFYVhxSiiZpZ0zQhhKxZ7Gk3jzob - 2AwwMmXM4xJIQarzqBolVVJhFYYQSx+cYX0AEBgKKopJi41cbZblAxuDPV9bu+WvPv/h//rn/+fv - ffL/+dy91+1r7j1QP7hGD5S6DQMAOt2c1JwGgyHAqsopWrLNdqLBBJq1dF1pcxZRZqT0pE1jsgjr - mf+HAfTb3i9sYJ0tMAB9sJ0azYJLgiEYknKUKkodS0OzmIzgecfuKcNPZeecc+7YcnhQmUeXPfmM - ybYmdypByTihZk1EJmEySXv/4aufuPbrn+h2TjuaGIvCiKAFDCSGZcUhwYqKLTGEhoM5mmRIgiiR - lASGOkwVRMA07cgnvPW1bz+teubOcJJMDABVNEWXRQI4aAwaABipcBEWPdZDpp8IqtalioJy6YTr - YAi8OVjqTr7i+3/q5OasFIeT3KVQBQWx2sGQ3cP3FQcQ1ACoAoEOTA8gqB0ne5WIrAgbVzExc84a - QrDjY90fBZOFPh2ZsegKYYA7NSMYibGAxIqwVdyOLnv5jy3ZSQMM0PUZqCLFtpSrDPCW/oNtC6Yp - hCwoAHOEgBQC4ZXp31z/p1hqLeWc2zolE4KFI7QftoeAEKKZkVqTGulyJCbQ44hyV4IeEngPMmZF - KWgamk6nRBRjAqCqjCdnex8FGZMxG7ERAGEpoZSQxUpKiYUqS2YiVV4Le/7ys3/2L3tu3kz7kTID - QWOQajCoupI1FAkAwIbQdy1J6N+87zniLQHeSmqBhHJGiRVPWqTEphRLc/rwWa89/w07cFKtoxBS - q0WitDrtS+/F2pIFMjwF2u+JG9IIUUZ/ekSzoEBXJhaKkVZohrrzBWdedN7JLxpMlrlQjNQVKNSi - Ilkrhev+Trf1NJyFBAtB5hGzrCCbRQingG5SaqAitHmMJd3D9/y3a//g7s3bcnWgo7YwEw/U4vwi - VSx6Wi0sehKP8u76bpipKS0HbpibaSchNUXAoVLmMosdZwClqBWOFOclXh++e/CNzDQm5DImFtOc - iG2Sd594GoMhYONI0QxEZAQ9mKN8MLuXnsgQ5WOV2nyzyULQQMbCRbhT1TrWbTcpof3CnZ+9p7tz - M+8nNhBKQQrBCiWuu05jQ11nCWjK4ILdr7j8ZVftLLuxPhhVSwohFrOsVPpapTKEkA2wCMyOJgME - MApDt3cUSEHCELa+WgsAMDbwpGurpqZAbZ6mJqoV0UnF1GhV5+bVL/rBf3PqC0e0nDfaxCyiB++h - i5PqcUXdE0HVStFUU4vpAxv3GdvW6drt6DaJRAQgKRZCUqNOu7TEN37p+lK3LdqCQgFmMEOVoKoq - WlVVJ1ADBSKGGhpKqa2ftfN5V7zqHS8567K4tnPQ7Wwssapq4WgcTVGMBKEoiqIYWT+0oyAF94eb - LG6zCFJQJi6MokVMmFAZqoIwgeQKuUIhMaMKoSlVM67O2/nCn379v9ulp1btKKAqilCHDFns9q03 - Nd32sWAzqkJUUVMdjHgq2QyInIeTT3/xmjAgAKUIIaVUSX7aDfW5rUIIOeemacxsOp2mlBITpLAp - m/7ae//3N17+Q+gbaAAziKy/H/U53I/Sf9IXKf3XnDNwME+CiPr4hxBC/wsxxq7r+p+2bdv/o3+V - c84555xzzjnnnHPOOefcMeghD4WRgaz/G7xYShERClxUKLCq/ub731/VlQJqMIC+22gkgRgwUzL7 - 5Z//RRSJHEIfwk2LpwgOfu4j6QdiiGjrmA4ZTEqd0q7VHZe/8YfrGOcjQfw9PNL1UDHGWRw4BTNU - Mf727/yuUSilMLPMHhFUnj8u6JxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - ObdgjyPfxbntMDAoqCqxMTMzSy4MBJLSjSODmXMWAxv48UVCHM/U0II64kxcQFktm2YyMxGTbFqY - LDCYjEwBBRcjMYISwxIsCkwwKWl/O7jvtrUbP3z9+3/1w//Tf/3b93787r+5o/rG+tJ4XE8m1URT - QRCSEnOplIYcgxi6jkwl2DTkSZTcQFn7BBgK6GdSMYPqIsTkyYlxMcCIlVjBRmyISsm4AldqjWit - 1qg1YkGphEq4UlNwp4OiQ8UwY1SqYVfH9er0Hc+MZYBSmwxFB4b4JGyPO1YRUc6ZaHbyMx83JdJx - s6LOOeecc08WMmbrMztJWQjCpmzcjXUw2iGcu7Rxy7du+My/fLxdGo/rSQkFBJq3gvqFjbekdkPp - 4LSV1ufgkgLKBlKQRTIuWYbD4XgsgwFXGKY8euV5lz7vlBc17bAqiYxt8T6IsIo0cd8wpmKcjWbh - f08rSmoki8lIhc0ILIO6XRlOdv3QK69Y5l1L9UppS0qxa1EloN/9h74PG6BbAp4ZCpl0G8LHS5Tg - LD+YiJgjgIObcpz35rHNNoG2dAMZaZ9Yr6TzqWCZjYPWsV1+/cU/FtrIGU0Ck7ZtF1M9i/gzxuwC - f9wro2SqgPWXvDIswjin6X6577Nf+pSm1khVTFWPXl/qloD5LX0xTHNH4iMO+45xPydvJGYDIxyj - fcd9urYxACMVFuNSD+uNjY0UQi6dxk4G7Re++Y83ffP6cbORY+nToIMyzfanzpKPDTCQIWhkgGwe - Gr1luxVsBGM1Nk5Q09EAeaxRmyqPfvgVV54czuBxZA2llIIiDKqCUenPUDYmi2zMxmTH9/XLxmQc - jIP1+0pnlyG4aequm4JNrLRTHWH1zZe89QQ5dWg72k0bDiIR2q4YSRrQpM2zW9vs9trfZxmAcbE+ - 9by/Jq3vqgTAwTgJB2WQ5tBuNhsHBvs++pk/2yffaatJWkprk02jQIGt70WdXUR91vU89PpY6kJh - Q9BAFsi474kCWBG3LMzzYoqhi1z5+athRP0ONIihGLJyNggDNTeDUA/ToC8uzIznZesRKT+eMowM - YJ6fgcqibKpFtXTW6SB/5mvX7cX91VKAoQjqGsgULZGGKtTTia0M62o6ODnuvvr1P7OcT6i65aiN - ZjEtAJSg1GfS96HprGDtB0Ks/1wFBNQv+t3W9xAEJShI2bSvPmJesRSCsAqbUlHOfch3Qghd1cjO - 173sR6puuPL/s3fnwZZc9Z3gv7/f7+Ry71tq0QKSEEJIFtpXwBJiMxbrADY97mbGQ9st462ne5YI - e5aYP2amJ2Ji2h0Od7i7I7DB023TY5vGtGdsMA43NsZgIcAIBAIkIQmtIKkkVdWrt9ybec75/eaP - k/e+V4uEXqmkqlL9PnFVenXrvrx5M0+ePCdP3vOtd3ImpgAEpXlzd6imthuzTYZZYUMmizTdyGsx - dTaE6h46y/zzjY1hVEldCaWUsqk1sq/f8+2HvpEkZoYetLUZQFNVG+tTqVjaatKbiIwR8n46f8dl - P/fuf3Lhrqunj3GTxzrJkqihIMqsXA7MI46alG2oYBjZEU66P5SCtKxnWZRRGSmBAfN/KisfcrXQ - LZ/FL/9H7/nlZrJYxdZ6pNzXrZRCSFuWWTov2+5ilEKrwNBcH6bY72Tj/ie/u9I9aZzb0UJKOcZ4 - El1Ydy+MnPNoNCIiZo4x/tqv/dob3nA9gKoi1eFmhYWFhZLDTURHUWcws5mFEJi5bdu1tTUAdV0D - mEwmTdMAiDFWVeXp3c4555xzzjnnnHPOOeecO4nUdb2xsdE0jYiICBHlnKd9/8lP/9lG17MMw37l - hhc6bJzONn+wpq4CcPmll1x+6aWBBapDEvaxwCAyq0RuvvnmPiUG2ro5hssHMF9aSQTvUrrne/fd - fvvtLNWk76qqQrk7gtnHK51zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOefc - 8aCgBGQgzWJT0pBGUUKWSigJ8amWRwZSYyiXaJUsxG1V1xIo27gdV1SRMZQ0WT+NKWkIYRpTZiSJ - U1qb1CuTxZXV0WPfp3v/5PaP/ZtP/vMPffLXb33ws/vaxzYW9621+3QxxjBNrMrIm1OPDJkvQ5wE - 6Ww1oCfe9CRkm6k6BjbWEjuSKE/SdCP1mZXqoEIqJFUQwXSS4xrGRLtltDAdjfYvLq+fuXPtJa97 - xY//wnv++w++759eceF1tbQxqwTP7XYHOUEjyZ4FL8rOOeecc8/IGFAYwwRA6aASCMZSjacpx3by - 6OSeT9360fX28XXqEtAQpAQN0pYJLGeU9PBsxaFDSyAtYYEM42ZcHzhwoKrAfSVde+k5177junfv - 7HfXGYTIUCXNJGSVGENpeC/OxknJABUr63+KITXwPENXSQggq8Zx51Uvec2PXfXgX3ztjyWEPk2b - APQgmmW70SxHtqROGgnELINVBNG6A5MDupl0eKIzsxLDKSIMIiIze1FErg4XJGABUICVEgBQBsDl - qRKvS0pKi7b7ipe/5iunv+r+/bf3OYFQiaSkdHAELxkwD2PeBgUpgxMYhpKyyhoATdR3Ye3Ld37u - ule9Zmc4IyeqJWTo8YpenndZiYiIASZNvP101WFph6fdA2ZGRARh5mOTDv68YWMlnUWQqkJjUhGh - QIYYZe2hvXf/2Vf+5Ek8EUOfGUFRclPFNLPO64pygYw1AMiUy/UnzDfOls2kpKoaGJqADkvN8sZT - ev2VP3bVude3q8uWchUoZ7WalSnGLCWHGkwmQAUoKHIp3SczNhriz8kSJwMrMcCc0EidqE+SuaYY - 6eIdV779snd/4uv/z8LOxY31NW7ADIOW05oB5Rge0oKHiE7NkgCIMiyU1xkNycQl57vEzSpr5ARs - fOex2//TVz/1E2/5wBP795y26+y4GhtpU1Rhtfn+MwYIBoId3fHyPCEDm4pBSWHlWGYYzQqJgpQA - RgZAqgCTsREbba3nmIZ6ScsGAxHAgbit2oV2QVIAoJYBmBHIytnkkJU5obbMC6ZcHz8kdFlJIcHI - VPpvP/SN+/ffozt6y8oABZjBem3DKCKvr68vLbTTffmC9qIPvvufjqe7doTTNJIE5GR10yjmidrD - kYLhrwCMMc+Yt1lIrWyzilAAZGykMGWUVGUoGFAGz9qrppwBUNaK61GqX3Haq66/+IbP3fHnMqpT - jsO6kW5WfUeFIWbJADAi99O80fc90RFSrV+oMymX8xqQFUSVPbzvvof332u7SqsDsNkDIEPuYx2Q - THOv40Xp9uflvOPyl17zEzf+7Ln1FRuP5cVqaed44cD+vZIrgI0Yw7HDilI7lZjtI3QTju4jK8CE - zFsWSJByJhnywjUTIGBj7sJLFs+pdjTvufG/+H+//AckOefptM+VDIWKSmj3PBJ5m6s0/xRsyAQY - l5ZYlu6RfQ8+uvr95YWXttxoBwhROPqC5F6UiGgymajqeDxeHI2n0+lvf/hDv/Dzv3TLLV8B0DSV - Ga2vrzNzCKHv+6NYfs45hJBSAhBC2LlzZ4yxnPJKTkNKqaqqvu9LnrdzzjnnnHPOOeecc84559yJ - 5oijxTFGESmDINPpNNS1qv7Bxz72+BNPzH4pE7OZwcye8baIvo8M/ONf+uXlxSXLKZoFFkUGUEY/ - sWUYfbt3ETBzTpmrcMP1P3r15Zfeced3ur6b38Z1TAYP57c6JM1EpGbr0+lH/u/f+e0P/RZBRaTv - piEEZu77XkSOxXs655xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOfesEJJR - Ce1GyWA2DNk6RoAFBQxiAIjJoHTcIpCODyZhMaUYY9RepCIIlNeeXF9YWOQq9H1vZEvjMaAb0+nS - eOe6riaZ5MWNPesP3nHfV79+71cefOr+apFX44E4TlILM2LMRAgZW+O4FQAhl5Bfmj+nBAQdnikT - rRy+Bw4O2GDMY+meXwwLwyqVJCZSsBqhqpgSzDSjT9YrAYZgkIwlwZir0I/jSrNMuy592bWXXXDN - Jedf2co495BptbEaRTMog3ieU+Hc0yGiEz/R++TO/XLOOeece0GwgpWgZEAuKYlG0IBVWz0Q9v7p - rR9/ZP0eWe4zm6HEfDIAGAgggGb52fPU55IQXHospYNEgGh5JRtBWcGm0VqMqul4h5353ht/agdO - tzUmAJRKUDEZswqsUtSwAABIQGYkLj0Wo1MtvZuMCUNcKwDASphrk8e2v7rpyndesPtS6kMlVSCx - fGjo5kGLIgJgVhIydW1jleSEb+BvUdZfRIhIQNATO075WdFZejqXgwYghoJ6UAKl8k8KUhBgYhjr - uJ6Mb7zqrXVe5hQYIDazDJtdY9qSkVnimY9irWABVmPL0mIGtXhs/eHvPvadVKfMOVTE+jxfR3jG - XPkhWvsYlYIjXP0BCMJEIlIiwo/JGx1rDMxTTJXMyADwxsZ0ccfyynQvFrtVefIP/uzfrsl+XUil - fi6hquXq0uwS2WxpVg8VPiVQf+guMJQqPaVeBJTBikopTJqXL//Im697p2yMaSqt1ETIlEItaqRq - JZVZSY2G08qJuTW3i2aZu0bIbJnVADZGTxVXoARW4xy4Xv3B9MeufOcFZ17K07a1MSUaVTBFSgjh - iFM8H3QsszFMjEip7G4lKANiYIMhZ7Y+9LozfeXeL37xO1/QdtLTOjW2Pl2rKgGGRPBhaTg47fqE - QWaEzFBGIiSy4YprOQ/OcrsTIYGMMFxSVxoizGHMRjAmEiICm7GZWTY1I1JZGC2SEhtDiYhKVv3h - ud2nMjIqdYKRlmLJBrBNdD2P0+dv/yzGyeqcs4kRM1ICgwhKOY+krvrx6XLuT97402c1r1zAzm49 - 9qk3RlO1ZAITgGAlt7vUQjCyzQq45HaTKiWdrcB2DO3VWUNoPhTARqXdOzxf2lREBGWZBqzIG6+6 - aWc4bYF3pDh7gYFt1pQlnVWY21gZU4ISGYhgQXt0OedAgqM7Mz9nAtGYTJMIkfAU3Z2PfDO360q2 - tenIQwY2LCOEAENdoT+Qd4XTTuOX/+Qb/uEFy1dOHrOlaue4Gq2s7BMhERIiURYNrIGziMrwV2NR - JgPNugmlZjuq0ZThfJcJRppJlZRM2TQogpZRNRghMTKhojqtER9obnjVm9726neN03Kd25DBGmAB - xvNyd7QVog79oNkvizJbSWqfPvDUfane6OIkhBBCDQWfYv0X98xyzgsLCyGE6XRqZqPRiJl/41/+ - +rXXXsGMrot93wNqZkeR241ZTkNKqWma8gMAZgagqiEEAOVPz+12zjnnnHPOOeecc84559zJxczG - 4zEzGwHM2TSbfvh3PhKCVKHKOYMAZpQ7AbbcD6DzkT0CgBAYwJmn737f+963trZqZnykW4OMnulO - vGdaz6wMgiqy/vIv/lLOYIAPHzM82tHjsiQpg++qRpAQmPiTn/rUQw89JFJ1XccszBxjPMr3cM45 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPuqLCBjMmkpI3wkH1GBjZCyY2e - hefgkHyWU4JxzhqTqppI3YRRzW0IdV03Szt3JMuTbl2rrBIPdPtW4n5d6J7A9x+3B7/y4N985JO/ - +Rsf+z//9O/+6MH+u3H32h7aqzuSnIbc5CS5WQhVxRsbEcbzxywcZB66oQwteRxS/jzxNj/blogH - UsyCaWJUM1hG7kEJLXHLQfow7herlcWwcuZ546v//htu/tWf/t9+9m2/fP15P7arP2u8trtd27GY - dzVoFsbjqpIuT0+5Iud+GLOTMr8oHO8VcM4555w70RkCCECmzZ4nZU4bNsHO6V/93Z997aEv82k4 - 0KsqxuPGclSa90aGQMdhWYSD/rql+VimrWSDAirJCKnvFxfaNi/Uk8V33fj3zlt+Vf94Xg6LalEJ - ALOCgaHbBmSGsQ3xnKYAkwpbKOtwiuCSLEiqZKAsCljZ4IxMYx6Puurdr3nfI39x3wb2dtO1qg6q - aeu0nvMpRIkIYDJWy2XR69NVsB0ps/gEVSZIDcQAE5GpAXyyd2WNU/kfwGQwAJQZ0OFYYzIGwFAy - CIDOGmsufdmrX7br8/etfbMeTQ9sxHY5aAQZQAoDoGzQsmO3tXtpOLpIBQSCggDqSynpoSrrt933 - 5Utedi3FplZhVWM+LgVolgPKbMxE0BLkfSz7sKpKFACIVEPn2E648rY12pYBBUIWQJp2vO/ACi3q - ftvz51/6xKP9/RvjAyppfsRnKhXLEI5broKVel7LZUpSJcASZhP+gqBDUDVyxriVNMk1sBR2d0/w - Te/8id38MumrRloKcRonasRmmrQNLeUI0iHotMx0bEwnUe1zJGRgMzIYIxOUVIklg4wqqWPXUUug - uLEx2b3j9Ml+1Epvf9177/vEPUtnjJ+aPoqQYFYHpIRA5dw3L12K4WJxuaBc3q/MI63GqiVQ2XQe - VMwZgMbQ5bD/r/7uU+e85GXtYsM6GoURuEJmABjSu7eW4ROpPJMaKyGXosJlZY2VlA2AgTIoAWYg - QGFy8PE4+4wGWLYSmMxkIChUyVTaZmwZFMpJBGYZANlwHM0uyQ+b/VTDxjorD0p5yO1WAnFCjqF7 - cOWe7+2/t2u7yQQLQpaQMkIQrizGDeawFHbpgfbdr/+pK8++Pu+RhV079q7ubcZtr5PUc9M0Znlr - kZudcIYahqCY7QvQ0BTcFoOUVk9Z2tZ9SAZgnpldGpYcqqrrIjOWqiWRs1578Zs+d+9n2EQ5lrYT - KWDMxJl0dnrdBlIiqkA9CBBkiyklkBHPP/R8UwAHr/DzgRmmlDWZmTGvxtVvPHhbbCegNC/wWyed - l1CbmSikxzLvqtd2/sI/+NWzm4vsQGhJdiyM19fXc85N23bTaV1VUAJKCPa8wlEFg5SNQbrlcD2a - z8rGOut3qA3rOtSQs8ozE5cc7kycg2lEY2Ndy2++5K1PPf7Y337nL3efecZaXFMxQOct5KPL7TbW - We48kwEEMi1jNlLL3T+4441X3WTr64uyKxsUntvtDlJJPVmfVlWdkUUkxtiE6pyXnvWbv/mbN998 - 8z33PQhDXdcppaNu2ooIEXVdV/5aulFEZGaqSkSqWsK8zYyPEBPhnHPOOeecc84555xzzjl3/A33 - vG0dxxSZTqehrrpp347Hk276t7d+8d4HHsyAIgNgEU0JwOzuFMPh90YQUlIBfv7nPtjWdVKFmjBS - 6knKnTPlzoGjHEMhg2mq67rLKkFuuummnTsW1zem05gOWY3nQk2ZOISQcoJZSomAtW76sY//h//5 - V/6HjfW1pcXFnHOMcTQapZR++BKdc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnDs2WHIDKCiAFBZmc21k8DyowmAlwLskWQhOpVn9SZqUEilVVcUiOee+7zNy1QQeaaeTTjeq - BZGW9+1/6nuP3v3F7/31g099b+/KE1JzvSjRcj/tckyjFmmKbDADBfRIYPAIGUPKEpuWcBCizTQW - GsLUN2M4mKBHnhDlOO4U3RrrXnK7SMFABa6kpiyYABnSL52347rLLnr1VT9y+RnLZ7Q5hD7QBqOz - irJIGFUtCx2Yrk2VFGA+mkgUdyo46dK7vRw755xzzj0zLp1OpWSU2ZiNDZw50+LkGw/f+pmvfwq7 - 8jqUGEHBcfi1EuZq82xLhpIaqW32T5hsyB42AlvJgmVjjaJJlAMEkvfbNa/40Tdd9jbsrUa8aEaR - OZfsWEOlqFTFFEjGUSkZKRnEWDSIhlnP7dTBZAEWABipUTZOoFyymZfqxfhUetVpl77lyrfHvRBu - o+k8yvewRFYu8cNmMAMEXer63Ntzmwb0BTNkJ6sxlw/CJav5eK/Xc0M6Ox4BcAkzLrikeBsAsA0B - 3qKoFKPc1HnX9Ve8NW0wMkKFuDm/6uYlA9Zt9/OVYIRyPM7nvVVWZQ11mMbUSX/Po3c/Nnks1V3K - U+HjH3BbykApHsewPBANneF5MZNS5I7VGxxTSqUImSiJBtHaErWLbS8b33jg77589+fyeCPVMamx - ISiMkBi9aGIMCbWAaNnpapSMtBQGEDAsHECJl2YYj+rQd1kUIVPcn654xbXXvPIGWqsDN8o67XuF - VVVlZhpTg8AmrGKEzClzNMooBfu4bbNjgZSgoJRZEw/p2EVJwbSslGkhtHGjb9uFNKVXvuSSGy5/ - 0/qe6cjashkqZlJQ2bDzs9sRcrsTkJWScV8SZ3V4aMmwFwOZTWgyrdb3xsf+4pY/mdJ+afqqpb6f - YjiP8LAoSiA94XLoASWdhaCrUgJllNxuKlnyOrssypkkMxsNTZGyhLIpADaFGWUM9YKCYQGQhdEC - GZGB1Bil6niGNdLnOE32SYfLzOOkRqqUlJJoYBVFrneEz379swewjyoLAZaMSNiIDcqmbI00609s - 3HjxG2+88M20Up+xdPYTe/aNlhYVUFUho6xkVBqKbMpI2HzorM3CMFGIWqWQ7ZVHhSUAACAASURB - VJ3ChtpJbHYclUOErUS/l+MrwJiMYAJQSipSjaWVjmTavOayN9C0ausFI1UGW3kMLdujYEYCYoUZ - lKDIKcWcSxA9sNlOe4HOo6aJGYE45wzJ+9afeHzj+52sb43unq+XEajB+npsmBZ0KT8ZPvCunzuz - OafqmhGPapL9+/bBdGFpUc0y21RjEk1syqasRqqs83NHGYnhYRhGGcqbO/3ZUx4iutmIYcJGZMRG - ohAFG8Q264Fpis3iSDtrU7vQ7Xjbq9990ZmXp/3KGtjU+LlVgCWmnFByu4deDyUyhSJb+t6ee9dp - hSuFakpKJM/p7dyLTs7ZzGKMRDSZTOq67rpufX39/PPP/+hHP3rhha8Aoe97VRURkW2XHyLKOZcA - hqqqRKT8HEJgZmbOOYuImaWUPLfbOeecc84555xzzjnnnHMnEVVNmvsUOYQu9vWo/dBv/Vb5p4OG - PYlEZHa32dbnN39cHI/f//73xxjLcAwRmRkd/At0tMO5VVWllEQksOzYseP9739/H58mPJuOJsOb - iQGoac4ZW26XYvDH/vDjXddJ3aiqmYmI6ol1f4hzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeece7HjEvcwpGCASmyKQQxiJaGppIfMoiWO9wq/0ARUSx1CMLMuTrs4tZDCAq3q - UwfkqbxjfbK096s/+MJHPv0vf/2P/tnvfvbf3P7YFw7UD4cz+q5dPZD35tDXC3XT1DCphEYVmgqc - kXogownCBsYst5u2THCydbYT25Jsdbzzpw6ms2ydVEKTSrkJGXXiumua6XK9vqNd2flSPf8tF737 - v37vr/7jn/iVt1z67jP4fD6wQGtNiG1L7UI7Fqk05dj3qY8hcF1XbV0HCsf7A7oTy+EzFZ0svCg7 - 55xzzj0TJRjIKDMiAGgFBBCM48Mrd/7ZFz82Ga2kagoRpLyjrqcbHTWsBIIqZn0nAgAbIuvKJJUM - Y54lfGOWApsJBiSBEloGpnbW0jnvvOHdtNIuYgdI+5RSIwA1ipBZTA3InMGaORspDbnFwhagFQBl - PUHjc58nJgRiVWXN3BMguWYDE8eub7jp19ObLn7rt+6+4wG6a8PWm6fZOGxAVhYmQA1E1PfTvu9f - 2A/zbA27+AixnUQ0zyA/WTstczp8UqOSRjkcUFQ+Pg8ffxbFbUSGCtwnpunCxa987RlfPfcH3Xfb - Jaz2IFEGlxljabigwWybh+SzlBkwCDLACjYawmvNCCRZaF+38q0Hvnn2ZWdjIwTUPXQzb/h4o3ng - 9rFgBjMr0d0nbGFTAEN0saIEzWYBOAutTFf2V0/++a1/GhdWu3q9y9Y0CB0AZEFkKDOZBoVgCD1V - SiUT2gDM/gRApSAN24DIEESmkyQCjrwky2+9/p0L/a4aS1BLGhNMqoqIoNpyyF1mVEam3BtHI5iG - kJvZKeSkvgCajJDJMgNgGImBzXrtq6riSILQ1PXeAwesCtxUukE//qNv+85dt63rk6rTJDEnDYGQ - S67wkJgLDFuelTGE3SbwlrBYG4LV2RjEoirKmTUyrI6K7p5HvvHl2z/71mveM1lda3kZIBjP4rrn - qcEnypE7lykM2dykbNAh3HdYTwMrBZR8XmsICNQBuYSoAyivJAVIkqWsMCaBgMgoEKrRaIGIth7R - 9DRnTD25i+VRotk5V0mVMxmLihFHmT6++tg3Hvha105DCALEjVRXFKTqcm81CECuzjvt3Ldf847l - fnFc7dy/d6UajZWryfq0rmRpsV1bWauqppRDhRqMZ+cmgg0jJUMpH44CpTyLan42WCEAGLl8CIbB - VAkGZuOyUAIDygCgMVsdqGLdWE9ttXD64jnnnn3BXQf2by7SAlkAKSgpQbZzHmCDqTGHDFZVECAg - IgE9zXTszzNSzVkITMycM/r7Hr47SkxBWSEGswCAkai8mJGsrxqEuLOd7n79NTddcda1YSLar02R - R9VCyBWBphudBW2X2vWNjSwgywCVTgEN22GodmYz2uuQhr7dljwpKAJgC2oCC6VaM6jCeNioJamd - S+OqDzrJHZHVuZJ+4ez2Fe+44b2/++ePZn0q0iw43BgA0awNtt1T/azmEYVyKv0gzqKKA3nfI3vv - P03ORMwwgwlg208rdy9aIsLMJSMhhNB1XdM0zLy+sXrmmWd++Ld+++abb/7Bo4/HmEPgruu2u/yt - zVczyzmXcIjSsq3remNjo7x7VVXH/NM555xzzjnnnHPOOeecc849d8MdUFuG8MpNUMw8bptJNx2N - x6vra/feddctt9yiQJAAUM5Zk4HAIeQ0jM3akaKxifCOd77tnLPObkPVra+HwCCUDO9jQli6ybSq - 6q7vjenmm2/+6L///fXp9Fjdf0RkZXyzDAkRMxlDTZHvf/j+v/zLv3znO96RYk9EVVX1fX8MP5pz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeeccz9MAiugyhGAWaPEsAAEIANg - MFmACZuCM0qE03Fe5xdUjF0IQYSzRgSrWssSO55gvPG9Pd/92m1fvfORb+zt9mjTpx2xS+uVUDdR - ItSNGDCNEzPUdZViZjAZmWnLLCKmud/IDXMJXikZNzoLmyt5HhBgNrsLz7Y7b+6AQ+NsdMsELkaK - g2eGOfbmYVvzaDyDaBCtWixhQ5bk9IvPufzai157wUsvGodldFSlmgwkzAwkzblLJgSuuEItwULU - REJ93AjUmAHk87G4p3XC5pQd7oSLnnLOOeecO9HM0/PIAIRM3Iduo135T1/75MPr98gyelVNVqGO - fQ4hbPnFIyztkIkyefZXJRghs5ZuGBsQwZP6va//qdPorDq3MaopoRIjALzZkCMFklEuWZxkDAhb - GFKcT8k0TVjZPmw0XCYgAwExxlaasSyM49J/9rr3YrVqMQZgBDIVG7qOJYtXSTMyoEQlm5l6i12a - nGg5ggevjW7tpZNB5xF0ZmYgPmk6Kk+jFPshwHj+YcgOii3fcuRxn6dVVY1lYZyXrr7g+jYtaUTd - gKEEnb+UjpB6/sNZeRCUE6hnmx3ahm4aq9CwKKT/zgNf68Kaiunz3VG0kodcHFQ0iLbkGz9N7bR9 - emgBJB06w8aAnYj1z2yVyJiMyFiQQTGFSR53/9/f/sfVav+6bURYO0KKoEOvXjEOu55lswtnpQIB - hsTo+cYGrJt04xZMkDy6+vzrX7n7EluzSgMLuGLhCsZd15NZ0zSqWqLnZ++gJ+KWPCpGUDIjIiVS - EmNAlRRCfY4cKjKerk/H7UKoOPZplBd20hlvue5ttBHMJASkCCEWg5iibO/ZJUsC2MDDue/I755h - ZuWyMkqGbdWEFLpYTz//rb+68/t3WEhcDWfPYWWhZEPO+wu1nZ4VJS5rZeBy1rMhzlwBHWKXUaql - cMjFn/JrhRFIApEAxDaLDzYDMA6LQRsiyshGMDM2Jnq6/O5T1LxBB9DQfhD75rfugNK4GncHYlpP - dS1q0RBhEGOJNa+PfuptH9gpZ9C0TR1G7SKH0KfYNCMA6+tr7aj6ocf+rCZnHNUpbIbn6fRP94Ly - Z9OMUtSu63YsL/frmWN1/WWv1wNgBVAaTvOL/rzd5kZpBhORKHNGMIgwS+BQk/EQaE1pVrDx3D7y - D0eGwKyqFpgqmtiBO+//ZuI+EzA7rsqWMUKmYV+0Ukm3cOb4lW+/4e/llWqpWmqruqnI0JupqtZt - QyRr65OqbZSQWY3MSEFqpIBuGUEZziIlGvy5jKOwYQgIx7DOCt46VMMAmQoja1dVFSAUa5qMXnXG - Va+7+M11akJmKcnd4OfYftDZttocTwIRmbX5oafu76ljhohAXyRnPXesMPNkMsEsYzuEoKpd14mI - Wjr//Fd85CMfHrX1aFz128/tBkoptBIQnlICkHMuGd7l55IUXv71JBr4cc4555xzzjnnnHPOOefc - qcZoeGD29S0z6/vezCbdlIJ89Pd/f71PBvQ5laEQYgZgOcOsCtWwnEO+mGdgwy/e/EEG+r6v61pV - zewYjptkzSSsqpVIzXLR+Re89tWvYcNBI6hHXrlnJaqJEM8Cuc1MVQ253AT0O//u3yaNEkIZ2GU+ - se4Pcc4555xzzjnnnHPOOeecc84555xzzrljpdyBlef3YdlBN2XZke4fO+xZffrHob+x9fcOWkaZ - 5+0Um0TeOeecc84555xzzjnnnHPOvaj5zOruOSPNHLN0RqkkFwAKSsYJwCxihYxYwTA5DvmzpJsP - AENEBc8ftCWr55DHLGdt62O21PIwpsPfpQSxAVLCKSp0VbdGKwdkf7+wemD05J1P3fbpr338X3z0 - f/3wJ3/z83d++tH+exvNUyth3wqt5cYiNFSggJyzWpYAZvQpVlUFwJSIWBX9NGrUJjCZliSXw7Mh - bBaMXaZ2KdkcR6JKwwMYEihstrnm08JgFhmz9bH1NcMrD9mCmy/mWTTPlocNG1MUVeamb8fTHePp - 7oWNM39k6ap/8KYP/o8f+Gc//ZZfvGT3qxe7lzRrO+vJYphyiOAupWkP1VrqqqrAFDVHzYk0aRQR - ghCRz8fiXjTCD3+Jc845515AWyc0JAIREZGHQx1bWzsvtiUTcfbTIW19VdUqsHVUh6ZLSG0/WVr9 - m7s/89U9X5ouTzimBggJRqkXVi59li0ZewQYQIeEdisIOovoDm3ocuIKGUoCm2IszahfuvIl1115 - +o8u96fFbKhyAqWcAgkZDEiCkl2sxICSyrD2xiX/u3RW7cWS+foslc+rBAPBahgMYsQACVeqMaXE - Ipeed/m1p7/223tvfyI81O5CXkMFSNVOYqLKEpmQkUERmYAMJgs179vY97LFg+YCBQ7qD5fU2K29 - 6Bdg+xuYkMu6zJ5TgpgRkSjBSiJrQFZ9mt77yYENCsaWxMeSVH7Iy2b7hY01M5JNOKOZ0hsufMuX - b7tlbTSNeb0xENQICoiWXNuk29xZZAwLgBonNYhCFAkAmJnVYhPQT1Ye3ffdfZNHd8qZtdQ67Knn - y5BbXFLJLQClHlCFEYesDKpAZJaJLB3F2WWeFItZDHaJLzUlApERmekQEXoCJvz2/cbi4nJKGrtY - ScNQtTW0+UDYuO0HX/36419doScXx4uTuJZN6wopDpnclQ27rhzdBuTZTMdb63Y2BqkyrDxPCQBB - 64C+x0I94rz8rte+P+xbrKUlnZS1YgIMzBUZJmmKihNiWZpqRWQwMlI9yetzK0clKetBF9WGD0XI - 5fjmYGbIqSahtCDZXn/pW/7my5+NIU65N1LNuVEASFT2AsN0nlivs0uWpazSlu/okAFkJYK6HIcL - jDxNRJQX7fHpnj/+8h//d++8pMGOqpY4jRXntq421tbrZpyo6dUCpRNn2INt9mGNGPPps3kz7NmY - oQomKCyC1KzEk5aLzsOFXQXUFERCAUMeukLUzMbVjmBthxWtkDkpiLOxWh4292Y9MM+sP6UYaQmQ - ZiubDpFNOWWynUunX7j70nueumNcZ4RpwiQzuLLcYzGOx3n36694x8uXL5Nup9koIYDYshLBKAop - CXKOSrNJw4dQ9tlfCbDZjiYwsg7XyrdVOPWgwxAMUh3OrptH0CzVW2EMNWZOpLGf1m2duv7S0694 - KZ37SL+Sq04ZGamigAxKqEJIlrazMWEVupzGqCmlnICk0i4liOQklo2iEjIFQIIGNjzfVaLFWLfN - qqW+yk/1Tzx64CFZQDIERuxRj8N00htBGRoAIPQY2Xhky+97109zWhxXi9PVvpFKNRkMwoaApESh - 5hE6DbMdOm/GzNo2DFI7pG2z3U9qjFm1AICRgbxln5am8vwtFECVkxEpl/IWKFu7uvyua9/7rfu/ - /LhOJojEljkZIfaoqm3XhWSzhACAOKG0nw1Js1TIOT6+/1E9B6pI69NmtJBzfI4x4e7FJGmsmqCz - YqyqAEQImklVgr3yFS//w9//6H/5gf+qB0iQ8lCJSQg5JgDM/AzpEeX5kk5R6Cw/vqR6mxkzxxhD - CJglUgDouq5pGgAxDuOszjnnnHPOOeecc84555xzxwUZGQGw8kWvctcKAWZGIBFJZquTjT/8o0/E - zfveFCj3WTAyCBxjFqlyzsRsqlUlMUYhZujrrrvumssup5xDCN1kWtf1/F4Mmo1uDnfUbH+YzwgJ - ZBJCqGKMyCnU1T/5hV/62y98wQCuJKbNcRwmng/lbOMtgJTLfLNlytfhPwUM+MwX/uaBRx5++dnn - hGG7KZls/u5hn+jwZw7/xp1zzjnnnHPOOeecc84555xzzjnnnHPOnYB0ltsdyjwmW2bDm99SRQCD - CbO0bQX6KdoaBN06kfiW6Wa23kKVDEyshtms6jDNRDSfi51smDG8TIwmp96UNc4555xzzjnnnHPO - Oeecc+6kU1UVEalmItmcot0OmukdAGbhBc4dBSXraRqCSCYkqqtqGpOZCZP206ZpYrJMJkFS1oar - 2EeW53tqdAZKuhoAxWyadyPMsi3YiBUEsAy512nLCDIboeQ3xWwKY2abT/wuwqaaM0NEhIyTRkUm - sYwMKDMHCpRMk7EyWqw2k2k9iTR5avXRu75zx7fuve0HKw/1vGpVTE3MnIwUBAZqG0bH8ywzTmfp - VkTQFMt6DROvyCy0ZX74bknmnkfekOHwhAYCYOVjaokZ3zotycHzkczCKAiiICjbkGgHQAkMZBqy - SJTA0BLKXWaIIQKBYGxmRJKzAghVAJCiKqEOIac+AJWNQxxV/fispfOuu+TGay56zQLtktyEaSVa - sxEZkxkxCAEGAioGAFUAxpAy3G+WQ+Cclbkasm2c24KIuq4rqUhzTxcGcULx6G7nnHPOuR+CKUMN - 2RJU6mpa9w8duOcL3/6rSb2eQgqKKoNLn4tVCWJ60GyMtvnnwbM0DgnTzGTJQDAGETSjldCk8UK3 - 6z03/v0F3aUT4orA6PuubdsclQ/OjgVKQufB73kyJ7w+JzQLmgTmd2UrWEA5ZxFm44rrjfX+jVfd - 9PBnHpourqxOVtqAimTSxVA3nU3AZsYgJYAMZIBaRL8RN0DKhmcXgM0vSMjr4e+iODTOmq3cu37y - 92T5WXeyhi8DCIghSUdW7ZBdF5x5yRPTx0KzvvVlmxHXdOili2fxNjxsVRr2BGlQZiJS603Bookm - Dz5+37m7L88Es+e7VDzz8odLK4AdTYj7lgrn2dUweniw+vFE2o6arpsQmrYZWUyTfmN5R7VPn9qr - j3361j9awb5mZzPZ6EKoiafTCXh2pZEP/ribW+/Qup3Lhat5RimgMJihCaFfxRuvfFOddy6HXX3u - iUzLlcItpe6Q2ptnXzUpEyuf7AyHnq1mNuOf58RgnS20i6bpdde85U/u/gPUQqRSSpXBACPG1u0/ - z+3esrjDN9ss5xipR9XwtI+RENpqT/foF7/9+Xde9ZPdgW7naNdkssIxN82ojxkVEUFpG1XQC2AW - 1fz0R9mwtbWUwy3PFAdd4dzy0YZtWFlDKuVrTomUCGQEAg+XmDeju8tyTrWpqBUAKRsDEOWSgw5S - oerai6+76JJX3vnI7X/7rb++77E7QiXW5r6bLFatrVSnL57z49e9vZq0wVpAtmxJpVn8tpaBhPkm - PfIw2/Dioy2WB9drtnUxB/2TASCYGRFnAjGRUIVqjKWzd563Z3JfQpcIxsjITIEhmpSZdTttUSVl - IlIIEauN6kWuKu0llGYYzdp1xi9Aa4YNDDGjaJpD/MGeByNWS/veFCJI3TQr2rae5j5mVMAStbJa - 3XjNG3e3L5U80j60IOTINKSMqwFUst7LGM0zHbnH4DMctJAj7IitpYagZMoQBRvEzFhrNmrj4o1X - vumTt33cFvO+yUa1QCycOKsezdm9nBkJauWbmgYjBpMiG/LK6r7MWsbMoOnU7ci47SiJ2jlHQC++ - +KJ//7v/7md/9mdX1lLTVF0fAeSUyitFJMZ4FG/BzDnnEIKZtW27b9++xcXFkts9mUxGoxFmud2e - 3u2cc84555xzzjnnnHPOuRPEcG8DqQEEFhEoROTj/+ETe57aCwlAhhpmo/xb7zTKOQPgKuSujzE2 - VZ1iT8DN//BnlkbjlBLU6ro+JDz7ud8toLBQVxtr66PRSFPWPt705h877+yXff+Jx9djBIFCgKqp - mupR3N41DDIf9mtGRgRT/PZHPvwb//zX0rQjtsok+XClc84555xzzjnnnHPOOeecc84555xz7kXK - DvnJtNxhRVAGDppPotx5xUDb5thLVZGV6djIUqQQZvN/MLbch0ZmOUcJVVZjAxEJy2x5Wia+Ange - Fi7P54d1zjnnnHPOOeecc84555xz7hjYnBefNwfcDp9M3mdWd88ZkVhSjaHhhoxJTUSFmWsmJGIm - SJf7nHoSCiIvTDyIHRYOUUIH2KCkMGYyhQ5xNOAhW4cMyDBSgip0ll7EQCYlAptpzsxMRiklAMwI - EgA1tdDUqmm9WzOydqFV7tew9gT2fPu+O7713du//9QDq+mpFNZ11FsdE9RoCEwhBYxZAwDlBOgh - a0+HxbIcObzp4I37dJOrzDfOERey5bnNEHQyKDHbLASJNlNjCEMQT0n11gRmsJCZaS5xIcrMWVOo - KyjFPgqqUTUCQlxPi1istD5t6axLL7zi2h+5/tzTXln3i/0KxrzIuebhPQxQpQQcORTjkDibYxOc - 4dyJxKO7nXPOOXeKGjo1W5r4R2rss5IyQVNcHC3H3G/Q6kT2feG2v3x030O8bKIAoITEpcegfBST - UQoUKkDuwYFICYm5C2++4sdfsetH0pNp1LaWCClUgpxPsWDMbdMtibnzpzC7YEAwgSmpUq4uueCq - C7972ROP/UAqUrYccgICJzKCWYk2HnqOBDPKyGuTtcMvd5Wsy1k3eGuQql8aO+4Y5QpMBhG1dXvR - hRfdevvfkAkhl1cc+/xPUgBEbGrZjNgMetc9d9/wuqykZH4IH09mmZg0GQkp0sLyaO90f16Wz33p - U4/uu7s9o+n6LqODgBXM257rt1ztY4UyUMKMtWRvK+VqGbtvuOINEqukBkD1BcifPbmZGSCU29dc - 9YbPP/iZyfpK1VQZMVOJfa1hMO5BmF2J3cYmVYIawGKixMq9df3arXf/9QXnX3jB6EpjIq6SIdRi - pkAvHE61Sj2EALAp0exLTkRHMwP2i5LS0EJQqJQQZmUB1IL2qcmt0tIVL3n1pS+//L7v33XrbV+4 - 66E7qoWuaRrK7U/8+H8+wsJCvdTvm9aBGaQEIIMUVuoPAZ1wl6FVMxGVMsAgglRcvfycl99xr5CB - DWaAGRGRWI7KvO0Kjsgi+jpQVuwYndaExrqciUEggI1BfNCo5PNGiZlZjQ1qHB/4/p09rQGAIRlq - QR9hBnCNlANyQ8wb9fmnX3T9Za/fWe+QtUoygoScIgmDeMveVECNMuxE+/IgG8iUUFaXzEDEzXWX - 3XjbfX/34PQ7zEAOCgsBQ8T8sdgPzGzIpvbkvieT5QylQHp02eDu1ENEJVq7/HzppZd+6EMf+kcf - /IWuiyLCzCVO28xijG3bTqfT7S6/5HaXIdsQws6dO2OMRGRmJag7pVRVVd/3Jc/bOeecc84555xz - zjnnnHPuuOMtA3mqKiIxRuL6937v98pTh9zdqAfd7QYAGhMxm2of+7aqX7p793ve8x4zM7MyOEJ0 - jO93Kespwjmnpmm62I/H45//+Z//X/6P/502p4dVYkZWAtkxGjU2gxoY+Ph//MT/9Ku/esbO3bGP - QsOmML+rxznnnHPOOeecc84555xzzjnnnHPOOffiwlvyuAGAZo8yPziwObM7IQOZsA5tiKuqFoCM - YAwGiZTs7TJvOAFMyAABgQAiAFLuNDPAEFOugsAwvEjUiMlzu51zzjnnnHPOOeecc84555xzboZV - WgsVBUtKkNwrAcbWxw0mJaKMyhiM0LSVaAzgmMu0Gc+XeRw1GwgM6OasJgZQFoMil1lAjChTUGYA - oFxCGQAY8SwBoYwzgzWBMiACNiBzUgaV3LecNVktdZrmSCk3yEv9E/mxbz1wx7e/9/X7HvhGxoaK - WsgYRYSoFPM8WWwIUKjZmEyMFKazZ5+f7cMAwKpKGOKx7LDAHAPAMGbofBQ9k/Ycyr4jAyMRlOaT - xlhJ+GZGsEyqqsiJFAKIMWeN6GNsRRbaBZui3xcbqc8ZveKq82686JxLLzj3ooWwmNYznhCydpFa - SVJWQMkMCkqzyWf0RItEcSedkzGEzaO7nXPOOeeeCRtEqDNTo8ja1Qduv/9Lt3/vS9WCZqTSbzEg - E5RAJbd7m7mWRgYFkVjMMG7DqJuks3ecd9NVb9t4dLLctgpIDKSCQF2cVOz3G/8QBGWoYnOWTiOo - mUjFTNmCxVzVizrNr7/mzbd/8lZq4iTvmxKqsUy6vqloSIEtHXcFEYxgpBvTdZCqz8t5ElFiJksJ - QkzV+eddOPpmk5IwMuhY5fAetJhyUcZImczMjKBID3z//ohppsRE7Jcejp+UtGmaLlufowh6il2b - 73nirlu+/dfLLwmrSLGbygjTbA0gLGZ5W8e7saqxmLIiMRQQMMAEsS5cfd6Pnr3wcjnQbHSThTCK - MbJ4DPLTMqCuQxeTcdi9fNbVF7xmzzceQZM7jXmITObNo8+YjI0U2zmsqeZomSuwWU6xrfNj3QOf - +9ZfnPv6V65tVFWoAFmPsamr2PWBkU+x7O4SSmpmRDAjMyMiHb41BaNDZvM+lQ1fPysJ1m29tNFN - FutdyEvrq+tXnn7Dq9561Q9WHv70l/5kz5OPX3HR1ReceXHaZyY6qprAnHJmqAIGBbhcOp8v9oRC - RAaoKlg0G4Kc85Jz7W62BGIQYEYgEJGR6TbLB6kRQ8mMCVM5bfeZgasMYwIZy5ZRHyMo1J7PcQ4Y - gySbskCte/ix7yaZGMHAWdUqCIEDxaRmVAWqYt30i2+6+q276zOlq6oonIgLZQAAIABJREFUAUJq - XAY4rCSOk5ECppR5aFyeSO0BJh0ubVs5NWUhQ70jnPWaS9744K13Ly63k2RZc2hYSZG3s/BZvXEY - BZEaE9PK6gFVzZYqrlX16X7Bua3MrKQ4rK6u7tixQ0Suvvrqf/2v/9V/+9/8Sh9zjLFpmq7rADDz - dnO7y/IBpJTKclJKJRG8vG8IAUD503O7nXPOOeecc84555xzzjl3Ijg8apqZp10E8S233HLXPd9t - 6mYa4+brtwzLDeO7RGUMhoiEGKYx9j/zMz+zuLjYT6ciAiDnzMzMnFI6hhneqlpVVYwxhKqqqj17 - 9nzgAx/4v/7Fr62lLmYlMwMYlI/1UKIB/z97dxpt2XnWB/7/f953733OnWrWUJKsoTTLaLDlQQ42 - Q2PWggBOT3Q3xkAMdMKQFeisdK+VXtBpmgSSDuDV8cKGLw2ElZhOWA1JOo2NwTZt4VGDZ0tCstFs - SaW60xn23u/7PP3hPefWVWm8pVulK9fz+yCduvfcc/bZ09nvsJ9/qMKJ1Y0/+r//+Kd+8icnk2mI - e2kk1znnnHPOOeecc84555xzzjnnnHPOOed2VZiVwMCssAkBaJlCNpudNa8XIkQCIiUBtSB3CElR - ST9N1TDaM59MAzkvDpIVCVAiEBFGxCpASzUPBRWUDC1P9+oSzjnnnHPOOeecc84555xzzjkHgCba - KesgUgGwmI0aFtF2E5FEUln1imyBdTNZ32AOw2rlDAfezPIglBATmpR/S/mVKWGhPKaaxZ4wiHEW - o835c0mWmialtDzYAwoaBoOUspkx0NTUUoghDqXVcY79hq7e9417PvmJ27/00Bc2ba1ZNi5sUFJG - VmiaB4upgmE2eE2Tebh4Sb3QMxr4MYu/lq2hcxGbBW+D4CwzTYD5cPnJUi+ytWJLnjmBUNJKDFsZ - 3iGEnM3MTBhiZWJmGdkCYi01W9E27q8OXXXlNTdd9/qrLnht3Di0xAMyDuh1AWEQmqAhpVRmCBgN - UELztoV37uWwZwV3k9z7Yd4e3e2cc865c84s6m9bWt58xvBzVV0kCMQY18brsk+fSo998LN/1A/W - W64DFhRWYqIhhIb5xd9Lb3oZYUDOaIRNqE0ZUr0P+952w3es2BHNWBgsrT69scT9AtGUmtioptP9 - 6N/8CAgUhnzqjGw1DZQAMyJYDlUMo43RZUeuev2x2z770Ef7MErSWTRtATUownzrlK1pSg3YbDe3 - rvBPudSX7f8+o3GS7iUTA8jIqKK0DOXhfYfPW7mwnW5mqnF2KJVzgvJ0kmgJbGXEz7f6rLNIRNQy - iExbH5/Y6NaXwiFa7T0QrxiTGKq+SxQwVFQ5MT3RH5r+Px/6o35hkkO/uZ6H++pWUspYCJX2SspL - P6OXMwZY+sagVCvxxkrRsC8evu3abx+2+wwRYpAgYjD108ULCCHQcrDaWnvT1W+76yuffqp/kBUy - AZudhZUQK32gMbN76S8++/5VpSBlrcRUuulwfNdDn7zxwdffcvRbQzqAHFrrAlQ1W+5IMZxDBZqr - qhJD6dkyO1ni+xl59s+byPtNTqyEx0Nmp4gSGy9i0o3aheHCZNR2CSsrR9Cj6iaXLx1499+89KHH - Hrz8/Mvqcb04WNaJIWdlR6HRtgWiC07uZnvn/KBGGmd9/ZYhJlSct/+8qJFJWCvIDGTTEj6+o/2C - NrupLwsUKt3wwpWLJRNQJQSECgFaudo642dOI1TFCBFsTI4/OXrY6lbZmAUGTRkiADnNSSSGZNiQ - Gy56/WsvvjWOh9KFmENQUXRkMMBINZkfQgqoUqUMJO0NBlGoQcqIjliGmAFEk9fzjZe9+WNf/H8f - TQ+JQdBrmnLn3cliZW8WGEDVMjhGZFWBhRgnG5O2n2ZLlVSm5+Z5xZ2mlNLBgwdTStPpdGlp6e1v - f/s//ae//D/8g/8JQMntJmF2mieNEALJ8jqYDe6WUR9TVZKqKiIAzKw8cM4555xzzjnnnHPOOeec - O/ueHdpdZkKGWHf9pBrU7/3N31Sg71qEiG1DJ6eO+ZtJCKq9GSihqQddO/2xH/uxyWSyUNclXTvP - buoyM9ut6O7toeBd14UqHty30gve8Y53/Ks/+EAI1Jxhs8Ea3b2pVxKDptz3uSZ/5/d+913vfGdd - RYromb3zzjnnnHPOOeecc84555xzzjnnnHPOOedeGQRCKf9QorsJABlSCnMLECmz3xpoaAQRaFNi - jFLDagEQq9jnFEOcvWKZ0mUIBhB96qsY0Et5sx7IQARimW4WYJB+NntNpVQIO8trwTnnnHPOOeec - c84555xzzjnn9h4jQl31yJpbVOhi99Dq1wK6FMbCnFMPqQ0hZS6gTml6+ZEr8kYX8vBMLtRWVLco - ZB5AIWqzWC4xAxNAmpTEMCNpAoug0BQQQANCeb6ZGnqKkcyio7QJVhWrqAwiJCYYjfTEvU9+6e6v - 3fGVhz+3qScwMF1Okvveeo0diBJzIAKJVDXkrdxuAAp2BASiZz7PYlbvxWZB3aXYyzz0SsWg8yF1 - AxRiJcjIhIZaoUxGWAluIBJBgxhklpSmySZZZh8WVtZcXWsd+nqIxWPnXXPrtW+65ugNSzyANlTr - CytyGL1oyrQsoGSz3JklCcGoYBme19nS83ly+pzbia2g7mfHeO9ZHt3tnHPOOfcicjIQYUHaevSx - T//po+MH7ECbNAcCmDXJSnQotk0kfukkoO9hZoPYTCe9juzY4WvecOw2bHKpXm4nXRVrS2YwCUJa - aVju+sf8JsMyI5sKE6MqBMzBAAQyRKlyn1eafRubT7/1pu/4/D2f5lJF6aa91g0kUYwms5VsBgqN - 0JA3pusl5vlVc71/zqOWYyaoqfWMGFx+4dWP3PN1VJKe3QnwsrfrvHNEzQwhWs4QGJOG/PjTj553 - 3sWREdhDaZ3nGpHYdeNmUBtyC20ONR/5yn+896kvhsOcpCwBYJ8U9UC6SV9LlZF39gZUMzEKALFZ - HV8xwbS66pLrrzx0bT1ZmOTc1MPcZzxn6WS3TZv6GOqcIV118fKV1x296eMPPiQRSQAIZ2HJxel0 - 6mVYDEFTzj2WhrHtJn2wia1/5HMfPHbRtZUsS4pVM+hTO4hCsx3uDa9uNNSxKo9nK7dUwX6e8yTP - ve/FcuE3GyCgATCoAvWw7rs0qIdNvTgZTWOMC/W+jfH6goTrLjio0xwSU7ZhbDKziGTkfHLUATCZ - nRr22PlBBKopCMVgJhVrWL9/8cAwLmyqWFZUTKZgBrjz+FqlBcAsQBMWbPGSg1dYVhLK2T14JRld - ga2g9N3+iNtJIo2QYE8++fA0behA1TJMJErXawVINFADK0x1ifvfdsvbm26l1qWAQVCBmcFEymWB - AITJPHFcwV0sJr87MgFIMJIqZgoFhBpjXjq4cOG3XPmGh+54sFqsEW2S0ITTXHzas7/4zEgTo9i0 - m2iFDKX4F6R7SUIIOefhcLi2tjYcDkMIfd93XXrHO94xnrS/8Au/WMZoRJizhcCcd7bnksx59uVf - VZWqppQAxBhFpPwzxqiqOeeqqnb78znnnHPOOeecc84555xzzr1cfd8PFxe+ct99H/nYR2c/UgVf - aIajqkoImjJU23b6zh/8b84/cjiNxyLStu1wODSzMj4SQtjFe2Zyn6qq0pTLoM9wYWE83vzxd7/7 - 9//gAzmbASKy67foqKqImGo2+9JX77nz7rvf8sY35px9tNI555xzzjnnnHPOOeecc84555xzzjn3 - TUpnod3YKmwi8+htUSADYau2OEogty2EmLSHBABdN2mqurKM3lDKrNvJJ4OogiIDfYtQQwKJuPVW - nJVM9+LfzjnnnHPOOeecc84555xzzjn3LJrF+r6v6hAXw2Y+8R9u/8OvPf2VsT3dDGTcjkNs6moh - Ja00Llj1jrf+l2+47O3hTGaonJKOpoRBAJDllzAoGAEldJ7dYShjxPNYaDGx2biymRkZREBDthwa - aJ1ySp22ivTU5jfuvOdTd9z3qRP2jUlc7+ImhkmpVEMAic4Qa0iEJrQdtDcRiBAgTWfLRMCgomUI - +0xTImyrhkJDifU4WdmFavMVaRBAZjFaUDEt2d6zVTlfWivJEYQKSNSIkhrrQujr5bCyrzr0hlve - fP2lN1xy+DLblLzJpfpgw2FOar1CLQRUoQJzzr1JDqSxnyc/0AgxUZ6L+TJu123VAiLp0d3OOeec - c68Os6aBCebTeU/NxDaBIscky/nLj9z1ia98JOzTtdTGASwBpXlHlBA+KmQWfbczMcLM+pwF1XI8 - 8Narv/Ognl/JMCVtR2llaV+vKaMPoer7KcSLQ74QmgAqgBn0ZJ1RhUjW0rpkgMAQLYZeLt13+fWX - 3PSJJ562epKhdY1IASwrhLO2PBGTkeg32zWVZ1/sP3vf0Wf91r0yzEqUKqiUELXjNZdc+8kvf6yP - Y5b+iXnE++m9/qwvA8Cz0ihVEwER9JqqGl9/7P7rL7i5ZvMyP5F7OXJijIOg2lnbRxyfPP7hO/89 - 9o9H/SQ0GFYYTQw1Qgw5q9SSdecdjZwFytJKdq3SZCnsf8PVbxn0K1U/6DAlmVRFjR7j/oJUQTHC - qhxkuu8NV77tC49//LiOICETEd3sefbcX+AvSgSqGgkTZMl9MBAc6P0nvvSp+//iu664oOHAGKAM - gTidveHVLYSKJtCS2G0AQd32Fah4oWre3/x48otDFYCUr5K8OVkdDpZ7JoVVC7HLqe3bwWAwHVus - qkghILTptF9cHI7Hm6GenQiIk+tzD65aE2pOAYGkZYsxpqxRqpWlfSfaus9JAmkwmJGnEaw9C4YP - gGJJDr7myOVMANUIMzEalfOgdDUYcPrf3S9qdgFJzWwf/caDneTMWXq9lfEMQJNJTLS6zks3H7vt - 2HnXyVoTGBupOusDQUKRlfKMlUHF1m2Le4YSRqGByKHkrxMEqKHBcDxtbz725k9+/v9bs1VaioKc - d+/qVmCqOSsDR9MRGlPNZBlS8kto9yJIqura2trS0lLf9yEEEVlcbEaT9r/7b3+wnY5/+Zd/NQTk - bCLYaW43ADPbGukxs5LPnVIyMzOr63o8HqsqAM/tds4555xzzjnnnHPOOefcXjC/J0owm9CIPvVi - 1e/83u91agaEGFNOLzQaTQXKzDuQJuDP/szPTEajhbruug5AzllVSWJX75mxrCRJGhGq0Pbt5mij - GTQ33fQt3/6tb/nIx/+yzAgxMxAUsbzjKSJbtheV3boBqNzh97v/6vduuemmQKmCvMANZn7vmXPO - Oeecc84555xzzjnnnHPOOeecc+5VrFQnp5TZVAYhsL0GUgaE4FbpEzPQTBMF0NwIsLaOEJAUZjCd - TTybVR6PkAp9h4OLKm1mFJMwL3psVNleot0L6TnnnHPOOeecc84555xzzjnn3JxSq7rqNE3Y5txP - B6Mn7dGNxeNcnG6y7VJPjCfcTK3GFNoU5TCydC/+ui/PPFVaQRjEmGHM81yNksiD+fAvTUuOtW6N - IwMqBkAMZkZjsBgsWlbVccaoryZPTh//3AN3fvbeTz2y+mCu23opdmkiNSuTlGBmDIAgE5AwTYbe - RKRUhqeVUCoTpFl+ylZ8DWdx2Wff1hoxlrxzLT+LKgCUakQqw+YGAiGfHEnPMvutETFGnaCfVMvY - f9HiZddd8trXH3vjNRdemzc0aB0eF1XAhJ101qrqYFinlLKpCkgas1kmaZT5epkN2svpRvw4t92z - Sw+R3PsR3h7d7Zxzzjn3IoSRVX88P/GRu/9kFFZ7blZDdD0CAci8IaGlJuOs3bWTOcGpQ91Qk/XT - fpnLF61cdts1b8XT0kuOsVkMg+m0Iykifd8ZZ40794K22njlgRlVoSRhGSBhTVVtrK3tO3BgMl39 - 1pu+864Pf3aio6aepoQB2accwvYWtJhpYj/tx0Zf/68mgREGUiVUUSApXnLo8kEeqm6kbU8rfROn - 7blKspoZYGBEympRv3Hi0Syd+v7zShIzC6FSnWYkLOQ/vf0/rtnjbRyZoUtoAkJADJK6PBgMuumU - 8XRyQ5VJTIKKAioQk8PN+Te85mY+GcWqZoBe+7pqrNO9F867t5SAzBiQlTKpr7v45guXL1rbeLKL - Bs6P4FkHX7mNZ2fHF8l2osMmWLDNiVZDMGOaxoMlfOSuP3nLVd9dxYU8DQ2rnKeyB7OUzzARmefQ - zy49SvXtZ6Ph5ZxCX6VO6U42CAglhstLXUpm/TyLmiFa1r4JQ/SmZmKQUFVRptMuVLUiAyIGZXlZ - JcIe3NtE0JlVQhpVIRDLhmyLw+Wqr/pEoBwlp5lxH8hkoIGKJdl3/tJRbpaeVQKiUKGw3PB3FlAh - liUltE+uPqlEBswyA3vNVUAAuh4EYsIiDr7+mrfapBqEhXach0uSc18NGmXus5JQiM1TqGkQyB68 - e7BElQeSqiZa9kQxEgHTcPGRyy86cMXa6h29TZslmbQquxSrTVJpOUFEutSXvHOBnEb6uzsHtW27 - uLjYtm3Jz1ZVERmNRgtLK6PR+F3vetfq6up73/v+GNEnhMCdpneXtIkQgpmllADknEuGd3ncNE15 - nFIKITzft6RzzjnnnHPOOeecc84559wrQoGqaZ5aO/GB/+sPytB2SunFBytLLDcAwxtvvfWqY1fU - MQZg2naDwaBEd9d1bWZ934cQXuzlXtqiqi4uLq6urpbRnxBC1dRdShD5wf/qv/74x/9Shb2qAeBz - 3LRz2iQEzTkEwswUH/h3f/i//i//+NCBA7v1+s4555xzzjnnnHPOOeecc84555xzzjm3t5jAFBAI - MqSUygsAbFY3r9SYUZSMbYUa+gzVioZugpSR88d/4z2DnIbGSjWYAlqq74khM3SI3cLi6/7eT8qw - ESogYAaAOszeeLYk80Xy2/Sdc84555xzzjnnnHPOOeeccw4QYDoZhYCqqTdsUg2hddvaRqvriVoN - QcZ+OpZBNQhL/UY3zaMzHRFCK8ndkFl6d8ks2Rrn1a2oDnlmbFOwbcExRghVTKGK1IfOqH2ajHXt - U1/+i688/PkHnrx3Uo1tX8qHJ1PrJoIYAYUoJKASIZktmwIiJCgMFFNaVgBBQAMoJ5NDDGct2k1s - vgLKuiJQRt0JAEoYt+WObK3A7UoahwlNYBI0GEQRxYJNcMG+i66/4cYbr3jdFfuvXMGBatTweFzi - 0DoFEKqIgN56M2Vgl3sEA5Cw9UacJ84IKGKzgPCysc7BiBnn4NHdzjnn3B5UAqKEMIOI7GKpQVeU - 1tFsAq/J1mNTVlU1bsfD4bBLLQAzExEGGdn47q9/4t4nPsd91gN1CX8GQDUIoMHAktoI7Cial4Yq - oJ1YvSjoRVfD937H99l6NZSlNnQJmTlGhixZ0e/JpL89x/iMBvm2rNVECudbPvfdwrDRaV/HwcX7 - Lr3ywmvvfnIVTRp3ScViFKDU+0SM6PokIVZVWF1/WgTJUik8akYzo2qMcd4loDjZvCyz0c/Kx3bP - w8wAhhDafpqBploYcPG8lYsebJ8SgwpQjtnSO0Bip6fc5zneScBggi5DIjWnR48/Ehqg9R3iFaME - RVJKgzgUmT42uufOBz5qC70KkCFEUghhvUYgW3caud0hSN8rBJq0kah9jnVgh29987djLS7Wy+1m - z6hB0PXTQTXIuT8Tn/SbRk5mZjEQiRWGk421N732rffffk9E16Vu0CBnWG9SxdRO62bn3Z+qgxqW - DECsoBmiEolctcfHD//Znf/hB17/w3W/EnKQGJN1Z+Iz7mVNrKmoQj3R1gwxxpySCDKe8eXqABip - gBFGdFlBmtCgYAYVpqAwgYygAMimIMmoKNcaitKxbiXaOQOY/2qvSCnFKqgqjZXUmjUwCMLiYDE9 - lUOsckoiATSz0xmJ6Pt+OKz63EtX3XDl63TCCgw0K5Hmz8xxVm6NQ5wpWbsQFXV65KmHEJuURzAT - ZqH0KVeCQYXNCaLIVRfceMmh62I71IyqqSfdVBrp0BoyQ9kxFFCYzHO7hbZXDyDtBZYIBaLRQLVu - YbAw3Yivu+rNX/74ZwaH4sYoLSwh7dIZ0cxIhEid6rQdmxlj0C4FVLvzBu6bWoyxbVsAqlpis3PO - dR0n01Fd16r6cz/397uu/e3f/j+FgFmMkpKKgGTOVjpeqqrq++e+HitdMSWcuygZ4SRDCFth3uWf - ZQFKfPjWX5XYb4/0ds4555xzzjnnnHPOOefcmSZCVc05xxjL0ImZGaAi//rffGBtfdMABUgyiG4b - /thGAcDQ1HXXtgEQ4Kd/6u/UMWrKCVZV1dYIyNaD3Vr+EOJ02pZo8DLaUsZiIvBf/Od/65d+6Zee - ePqEALmEbeuu3BwnADRniuSsVRRVJfA7v/e7/+Dv/5yZBQlbi4H5h/WpvM4555xzzjnnnHPOOeec - 24NijJjf1aKqqlp+4pxzzjnnnHPPjQIiAWV2lABBZ5XDkZWNBNGkPUVgGX3CuEXbItv9/8d7F0BM - 2xvqmNo20GgaDLNb7FWVYszIvU37R3/5N8ZAWli69qf/DhYb1ARil7SODSCY1bUASp0u55xzzjnn - nHPOOeecc8455/Y2kqTHM7gzi4YhG1PVllWs2mQ0CwSpIaLr0DRQaBSklKJVZrtW9+N5mQAAFVQB - FEaoUSsR1ZSzARARkYgS4EVAInOHlCpILREmbcpsqgnartZ2MH6ye/irj3zuc3/1mQe/cW+SqUqv - K2rMWZMhRYGVI02QBWqgqWTQJFBMTQjAoAqoyDzgA4DBKDDMoi1mB+sZzO/eSkYrkduz96NivvyY - x5dAoArLkAgaggJGU5YK9krtDJHaSFXpQDdj6AaHFo5etO/St73x2y86eMmBlUO5M9uQEKrAOgdt - 0bGBGDIUKrAQEVWRQm+zjxxm2Xz2zIyPrcyPnSTrOfecSHZdB0AEqrPch12qC3Rm+VR755xzzrmT - MjKAPndmFitJKWexzX6S97V/fuefpMVxjq1mTKeoKpQalqVdwRK4CFEIkHbU+hINgxqjNi8iXnX+ - NZcfujqs18IKlkAYVWeJ4JgnO+44TfZcY4Si5COq0kqGMkClCpU2j3ssaZktl5r9t15325cf+6Km - PlqqqqqbThEAwAwEyACDaurTJFkq6WslE3rbY7cXkTQ1IyEkpUK1iJUjS+c/NNnei6QgANkKCN3h - e8yP9+fZCxSWmFudjNOo4f7TeAe3SzSlPBwOp6NpXkl/ccefbvCJqY3nvxUDaBoAGvLOdwUaNKkq - pEIg0aFBlTrd3xy85oLrVuJBbUGSIgZT6zy3+0UZcgjMOWvOSLq8vHzJwav3h8NdfqwKgMEMZKAB - EVbO1y9Z6T8tf6GQeRFqAbTLeXEx3/FXt7/p+m+9yK5ZGOxbH6/XdTScUwMickpYsjuFzk/+Bpk/ - OrnGxAAqDDQFjaagGpQmxu1nGFECEDGUaz05kz34L998DGDrA0iUcEoqrdnphLtXVZW6XFPYDi4/ - 79hAlpGhmsWkrE6dLYDa2bjpTiVYb9Oxro3zKBNEBUmEmulgUHWbfROwfwF4Gre86c1N3ketyx9m - weyWRMKo873CCBUYgDJqtNeOLyWCKZFBKGFgUAG00yzGpXDwNYeuWK4W19NGJJ67kv/LUC6ky/iQ - mYns8ePA7XVN06iqZTOzn//5nz9+/Pgf/dG/zxmmCkDno5Z1XaeUni+3+wWYWUqp3D88GAzG43FJ - qhCR8t+UUqlw5LndzjnnnHPOOeecc84555w7O1JKJIfD4Wg0GgwGqtpOp8PlpTbnf/uH/26qGYIg - MaVkOVPEnv8ul67rRADFRUcv+Pa3fZumHEC8ElPjBMh9PwjVj//td//Kr/1akGAwzRkioO3W/A0R - yVmzIlYh9/kDf/Bvf/anf2ZlYWG8vjEYDKqqatt2cXGxbVsf+nHOOeecc84555xzzjnnHPZeSYG+ - 70MIfd9vxXXHGH1cwznnnHPOOfeituaQCTAvfA5EQe4BRAKbG6gatN0v/43bfvJ7v3/ReMFkOsgm - auwl5T6EUFofzArQjImZZLBso0lS1ssHnj6xfs8/+RU7//C1P/XfI9uwCXk6xmBhklMtNQS5R9xb - 5Tecc84555xzzjnnnHPOOeecc+6VImJGpVoIEoMyWIkPQBSkDFXdmsdaSaykOtMLtD0VgmahRDAY - NHUCRIkx1gBSNlWDMucMsbqSajjQ1I/SmAFcDCNdPZ5O3PfQPXfc/+n7nvriOp/Kg3FanJIGlijr - knQtoqrz0OsSHxUAFYgq5tlwxPzxVmbG7H+zFzEKTEDlDvPjdoolo3sro6TkdlNBiCAbcoYCISBE - gNAEGDRDYASCCRlqxsCAnjaRgey7+ugNN1/9xiuPXnswHIlrzYIuhY2QDFr2DapmYzQQGRBTmICg - SklCma8ByFZ4+Gz1lF1HlSoG41Y6j3PnHI/uds4559w56NRL/9JOMioUIYScM8VMJFk2MS7nj9z5 - wcc2v9btH01TihUBajYEOzn/2EBD3nkIn5jAaKZVpE3kbW/4z5bsQIxVl3JpAGZRmoqJGHSWOK3e - enmpbJbGSszTJq0EewOzWeNkZpXq6y+56ejypV/fWG8qKans9szsXiWgbZ8mfd9BoFCQAr7gzat+ - U+srjyRg2dSEAJBDExeOHrz4ridKnB7AeXePGsCtVNKX5tROlpPR3wYqKFAiA4q+1/H6ZPUALnyZ - n8i9LGKdtTbUvz7+wJ333d7V6yrb+7MEpsESgEzYTncHAIbZ+UBoWWsZ2DRfdfk1FyxeFMZ1m3oJ - pBlMSZoYTyss/txB0kzVQImGliYX7b/iqvNvPPHYY/UQzLCMQEAzAtSgO0wLLmu/nOqpNYhZXyFg - kh6b3P/Je//8h266erK2zipmwel8zb+aCUiTvVZIYu8wzLq/dbZfCE3mqcwoffhEAkSgShhMmWSe - 1X3yWu4ZF3V7O6/YCJg8c4+IdSVycj8xMxLceXQ3RLTNVVg4OLiuE+LIAAAgAElEQVTw8qNX1VZ1 - 2m2NyCghAO3srR8RSdqutk+t9+saKazMkqqqgWQ2WEaD5vDya66/5KaqXRBTpQJbyeIGbi2tElrG - J2gQROWe29Bbm1UBQ4BFGgBDzFkt5Orogdect+/oaHK8im3XI+zS15cZQhDLSnJ2LGQVNrtWaN+d - k9rxqGmapgpd11V1/Gf//Fc2NjY+9KGPDAex65IBqmiapm3bEr99GkpWt6qKSF3XqlpOg+XnW6fE - kkbvpY6cc84555xzzjnnnHPOOXemGRFD6LouUHKfJYblpZVJ393+iU/e9YUvKWAKWhYRVbWs2ye1 - bR+ZK6MbIQbV/Ld/9McO7NsXzPq2OzvjHfPBVsV8op+AMYQf/qEf+vX3/EabDTBQANnx2LoBzzmT - zyQnYxAY+j5Xwvsf/Os//bMPv+N7vne4uJBzNs2xrqZdG0T0+fPOnXPOOeecc84555xzzjnnXilV - VWF2Wx8BiEjbtnVdv9LL5ZxzzjnnnNvbDIGz8iih/IRAAKh931UUjKdAxPr0rl/9Z3/vB/5WWFur - DE1TazdiiABiLdCemjWV+VliEAkhBAE6Sl9XddceH4T6YjTpkaeO/2+/sbE8vOznfjoMAfTDUHV9 - goa68pvxnXPOOeecc84555xzzjnnnHMOKMOuJjCjSVAGFTHSRAzUIJqRg4BiAiVNNJ/x6OUSqVAS - 2crCAEKDSGNm1IBOUm+qJox1E2OFpO2on2z0fVyONtDHNh/6+jfuvePe2x9Z//pTm4+lpsey9ZYy - koQwL+UhoIgBEJpKhlLJRMIIA0ygAkBFZ+ndAMCSRAaxraAohZXyImcpVUZKyNGsVEpJqVAjQkCf - AKBpQEjXaZogEE0VqabMZghENJHUyKSOk+Glh6+85fo3vPbKmw8tHcmtapsJrAwXtUXqejLEEDUr - ITUbUy0fORNGVQICgUZVWqmyIidrt2Db+oGA8816dtaROwe86hKNPLrbOeecc+4kRQ5VyDkZDZaM - mkL3RPfIn935n+IhjCT1HWItMdbddEoRoQI6i4QmYGqUnc4FNjPNXJDFC/ddduNlt+jTZhWNShOY - mCQAMGDvhfztQVaa7qV3wKQ0kjH74VYMr25vA1ZV03d5Ia+8/tibHvnMfaHJXTfZukw2hQlIEAYz - tX7SbZZtYUqQpGxPrJz/mfj22iNIy9QSAGlGSwihOrJyHhVms+jul01nOxZPrQxLzMJLldZZN5ps - 6ILvGK+kWMl6uzY8EG//yz/f5DoakDAD511aAGizWsAll3dn6d2GOrJVg6kQYrKsw9cdu1XaOrcg - gSiqvamGECjRNO/6Z/zmojlnxBCrKqu17aQZLL7uqjfd/fDHun6UIwlUlF77EJB0h717BimBurNv - DaGhfOdGIGmq9tWf+epffPd13z9MB1eWDvbTPpxj/Ycl0LR8jZaqDbYVTr/9aVayq/XcTNp95jmd - Mt+XABEkWgRBkLQkwHyAwQhs2/Fmfzwb21DMor733P4mJ/9fvtpgRAgB5NbWL1dEO74ahpgZLMRu - eP2lN+9rDuYRzBjERAEgE5mIW+vbeEaHgsQA0JCfHj050Q0ANKHBAAG6NoUKtdb9Kt7w5rcscCWk - QADMW7XkjdzauISJlSZDGTuRPXhhz21ff7BYtraJhoguZyRr6ubSI1c8+MA9Uhms2823NpRAdAEB - aoZU9Ohu93IMh8PpdCoSFxYWRtOJqr7vfe/78R//8Y9+9HYAVR27LpXcbjOTnacsiEhKCUAIIecs - crI9mFKKMYYQymOS5bFzzjnnnHPOOeecc84559wZFWM0oG+7pmlyVpIpJSPf9/7323x8pIyMxBjL - SMdzYplGk3X/ysqPvutH1lfX9i0uns0PcooYo2W96MKj3/1db//jD37QAMbKnn/5T4dZCCH1PQCJ - NXP/vvf/9vd/z/ci5zIGFEIYjUaDujmNcSXnnHPOOeecc84555xzzrmzoOu6ktVd7pdpmuaVXiLn - nHPOOefcq0DYXtSBAKFIQqsqQdci2+d/833Vo09d1TRYOzFQREG/vlatLGNjHRqwPkas0PfS91CF - CUJEVaEOyD0WauS2VtRN1OlYWkBRp+6r/+RXrv3F/xGhCzWHVQ0tRdZ3pQKYc84555xzzjnnnHPO - Oeecc859E9CScWAU2zaQaqolFCuEANOkvSJ33W7mBTzHosyDqIF5GvQsw1ugMEWCgSZVqCSa2RiT - lDa17mVJJti87/F77rjn0/c9/qU1fSo34z5stktdUgRDjAiZfZtCJTAxgqYl76lko4iJItIAUeM8 - 33v2q/kIs21fzpIZBxUYFEhG2VnS0Gma52Vsy+0GkBIICKEdrFcoGtZ1XaNP0/GUxMJgCVNiGi85 - fOV1V974xmvecrA5f0mWcwusWlM1TRNosR1nKilCETNLChGEKqbUnYxJ4WwBYCA0mMEUEFg0ElCF - BINRcTLDGzgluc25nTs1qu/Vw6O7nXPOOedmGJCzhkgJzJYVkIYpTj78qT+eLh5fm65igXVtqdec - 2xiCmpaIO55sXSixs/qMSpAYyIKs1t/21u8a5MU6Dvuc6mpoPQAkSUZTyWIICgDm84xfkAEsaYg2 - y87EyfaeKgCKoLRZIZAQAhLCuLn5ilv/8gsfOt4/nMIUItm0FCMt6c6kiYK08XjTllQQ1IxC1ZIJ - bTJrjwpYfuTp3XuCIhvVDCICg2ZIioeWD5f4zJIWWVJVy7bDjqMiT9nKUk4CYoCVuxIIGAMUedxt - YmGXPpg7HWqGMEj3r371roc/xWV2CmM5jQsAIgnUOMujPQ1UVKGadl0i6kib2OH6wuuOvDZ2A5HI - KoJmnZGBEEue2/2COPtqjXHQJ5MKSEgju/KCaw8PLhhP17IIqQJSrWxHs53diVPeQAU0DVlL5y+A - nNAEgbQn2sc+9vn/9D2v+8FpakXjuXafzyyuWykmBnvOzmWZ3/50Vrqe9xYlAJGTH1wBEIYyYIBy - YlFaLGncNAi3yngrUFadAiJ2su9+e+f+nrLV8Y95aLcRSk2WMrOVNG2bRV6fxuJn04hB1S3dcuUb - 0QYxCkgyGBSYZV6biiETZ74nX6iBITyx8XhfTcFINZKqFkSy6qCKbOthXrn5ittCK6GcfLjtz0to - tylm40iZpc1gAsC4FdO+J4jNRkeyQDTQAkxMemUCLAhSttTZFedf84mv/gWqxBpmu3PUn3wRY1U1 - AuqrtpPd7R19agfDumtT204ipVkYrq5vvuc97/m7f/enPv3pz3ZdArCwsDAej0GAO/5234pkyDkD - KIENJM2M81dr29brHDnnnHPOOeecc84555xz7qwxs5TSYDDIOdd1nU3XR+Pja6sf/rMPhyp0fSrD - IjlnERERtecerFRVAlntB/7m9513+LCoTjfHg8EgP8/zd0uZhPnMHykA05SyhVj9xLvf/aE/+/PN - 1O/y+4qYMPUZJiJouzaAn/rsZx544IELDh/ev38/ybZth8Nh6p77rcuIp8/ndM4555xzzjnnnHPO - OefcK6iu65LevXUzS845hPDKLpVzzjnnnHNuj9qa7PTM6G6jEqbdWAzo8h3//NcPrI0vYY2nTiCG - 0DR97qpGMJpg3OGJp/DEE6uPPIyuT6lTZAAkpYoxxn0HzsMlF+O8g1ga6OqTOhjK/sVpO16uFg+1 - /dd/7V9e9rM/hf0BVSgFOZxzzjnnnHPOOeecc84555xzzgFQaibUzKhZNIsqzahKwAwCiBGWVAM1 - R53myRlOxdJ5kAABAQQmJQI6m1aDGkGnuU3opJJMHXNjOlx7dOOvv/zFuz9//x1Pbj6kg16HeZon - 0y4PQl1XywPN1nfMGmGNIWcotHzGUrsjA+WNSqQUNBIQ0dnyWMIpKRjcWtatEGsAStPTCcw4TbOt - sFV+RIgggl6tw6BaXGyWUqebqxsS+0ML++q8r+n3X3X0xjdc/zcuPXxl6EKFepCb0CP1PSGVRZ3Y - dNLWdcNYicRkpqoZpmJqrcksHY8ADdEMIA3BMmehEwbmsuGEsrWIAsBKhrfHdrtd8CpN7/bobuec - c865GZKg9mpGVWSSsYqPrz702b/6aN4/0klGDlUT2tSZWqzr3KcS0rzVhCN05+0uRQicytHqNbcc - vRUtGYSGnHOwykrCH7NRYRALABTyqrzwPGuoVspizvIRZwnNsxTM8t+yBk0UaPtOKANbODI8/+qL - rvnLBx4JS9XUpsTsaWYoIcwiENhoNLLFDBIsoYXGU5qUW6Hdnt69B5gZqCRNSGPQKLB9yweFkdye - sgmy9PrIrkRpCspeE8SylRcPue2n5rvEK0qta5bDpz//0Y3wVM8uZUgMQYKqEiqmLKf00zrNiiFn - iAkMJBjEDFceueaAHKkwVErWDGgAYggw6XIfgtfufSECiAgRJ9PNhcWqaiptdYnLV1547Tf+6pGs - bQ6zDkE1cOddn7btgVK3n7GNIae+rtNnv3b7m1//bYexXHEAYO9E7Z4FAm6P5jV73lrTYrPA6XOK - WOlSU6DsPEZTUABVCkAxzC4iCJ3dLSYCVSrLz5FpPOV0YyWUek9e6vEZJ0cth0OX+q20WjOjkDvv - IzUCZEB1dN+llx65CiPEUIFmKYsqIe2s/7Kkggechf0tCwfy+NOP5qoX0jQxKkpGr0jSnFpedfTm - g9XRuq+hWWTWClCbDx0BAgUTDeBseMbORu746QgldJ6WKdRaDMreaCl1sW6ChMRw0aErGltZzesQ - Qd6dkyEJqAUQZsO6KQHiNk9rd+70qGqJnej7Psa667oY4/79+9/73vf+xE/8xF13fxHAZDIpTzY9 - nZ25qqq+72OMKaUYY8nwLu9YftI0TXmgqiK+PzvnnHPOOeecc84555xz7szayuROKeWcFXbkyJFf - /Rf/ewJynyGEWYwx9T3UZkObzz/qGoP8yI/+8HQ6WRoM67qmGs9KOvVzBHgDhBL2lre85dixY5+7 - 56uWUhl435V3NJ2tDKkr7VsAGSbA+9///ve+5z1d15lZGe4p4z5lZNw555xzzjnnnHPOOeecc27v - KDf31XVdBoxITqfTwWDwSi+Xc84555xzbu8yYlZarVRjY/mJQjMtYNre+S9+47xxex6laqfQHiFg - fbWKAaO1h77w+e4bTy5P28G0W6KFrKXkjBFmYJ9Nuun6Q3zqxLiWA8culcsvkaUVTDa1zaON9SOH - L2g2Jvf/+r889g9/HkuEtVhY8PoSzjnnnHPOOeecc84555xzzjkHwIg8T0DLFIWUKhxiMKEQoKqq - KURAsWk3OaOJFiW6aVZowwQmRgJi1EztbKO1Njed1TrN48eOP/zg01//xH0ff2LyyLhdZdPaUtta - yoY4wL6VhdH6ZLrRDau6CVFzm9VCLVm1DFtjKz3KAGiJ34bJ/N1PXTbliyWkcCu2bJdXyykLgtkm - e0YhFCGt06BVDFWYxs3Vac36UHVBLfGy/ZfdcMWtxy587ZHmNVVaiG0dEVLXW+5VbFAvmDFNkki1 - f3n/JE3BkFVzziRjjIacUhfDbJxdDCXjXEwANYpBtpWUMeosUkQAJTiL0irPxzkVvuPcFo/uds45 - 59w569QJu6XMYjYFYWIUTqfTOz7/mXE8vt63K4uLo3GvPasqatCMXMILtUw+BgBw56m/RoCaOr3t - 2rcdtPODxK5vB9VKO06lpSMmBjVRJSqtANHgTZfnR1UaoIJAm7f5QGXY3iAu7WdBSUmfVnWFBHbx - xmtuufuhT67bWGeRsSSMVmJhjQaaTiajDAvCWTy32bZm59b2nz/weeGvNEMWkWwmUKAiScXCYKkK - Yet4f1n43KVsSRpMTHLZeYRm1vf9y3sz9/LQSHv8xCNffviutDgZaxeroZauR46AWd9ZJgx6etGq - Iuj7HEmCvfYrob75yluqdqgJCutzplgkzcwUgbFkRu/25/zmUUoGZIVJSCRhdYh9z2859vo7v3bn - FE+adcgqgmxQIuzoxTmv+GwCwCSd7I2tmHKuWCntuD32ifs++n1XXsE2CPKuf8a9jAyAbA9hNgMJ - 497MlT7LBMbZ1QTVYGAqe5SiJDczcXYaMYAmwWRbnfHMcuwTJzO/TYzlEkUAlT22lvmMDvTZudOo - k3acLVkwMwPIUqpcnz/p/fnFWN98w60LXBIEVS2HtAAKxdYxO1stO73i3hmaUAMZHnvqUQ2tkLQU - Kb0qTRgkpT4g3nT1bU1alqSBFCtbVwix+dmoDD8IFKbzLTvb8HvqApGAmCg1MQCx1kCDBSg1WLCs - CBCRfcMjK/WRJ/kN1fGOzrfP+74GkpoRJZpyMBgEEAjQ0/wWdq4Y1I2ZjftJ0zRN3YxGo7oZrK6u - Hjp06Ld+67fe9SM/ds8996FcrhOwHZ9tt+d2A9h6YGb79+8/ceJEztnMSqR3CLtyuDjnnHPOOeec - c84555xzzr0QkiLS90kkdF0XqvjEE0/8/u//fhRJqiW0O+cMvqTx/u/8zu+88cYbg2G0sbmytNhO - JpCzd8tJuTuuKJ+LZF3V73znO7/wj38hGxDk5QwXC+SUv2aMZWoEyUAR2Ac+8IFf/Ef/aGVlJecc - Y+y6rgrxlNxu7rExfeecc84555xzzjnnnHPOnZtIqiqAcg9L3/eDwSClFKOXFHPOOeecc849BwMU - KqXshcm8dF6CZbQZk/TFX/n1C0aTI7AqT4GE2OHEcfTd5O7PPf3IwwcGg8n65MjSoEMv87opFBCi - BhjUWNWD9c1VIq6P7x1/+d4Lrr8O1165sLQAhW2uDcPi/qRf+dX3XPc//0MsNxka5uU4nHPOOeec - c84555xzzjnnnHPuHGeEGoAAE0CogSY0wmKE5awwUBDA3OW1tbUzvDQyK/BhERAlAZjkLF0nE1vo - +7j+6PpDX3ng7q/89RcfP/7Xa2k1VYYqY4iEXgyDWKumdqIbG+PhUIaD2HXd2FAPQ0bemGq1bbrr - M+t4qADgqeVFdJbwUca+xUxmw80m8ycrTbGVA/JK1AahQbMhoZFqgUs5YxiaKy6++qYrbv2Wo7ct - Y38dhzThhEiAtmoyrGrWlfbajZMwNIMVzVjfGNcNwc4yxCjCYGJmsEoUIDFbaSX/IhqRWTKXrMSy - iSlFZVu8B0wBUQInY1OcO+f4PHvnnHPOnYNOztOdhSyWFlTWWElWJRkQErrH08Ofuv/j+UBXDTEe - T0Jo+tTVVVBNOSsos2RoO5ndq6c2vQSAzOL6tr/7LDCYhqj1oi6/9tiNA1nQLACiUAmwtGQkU2AR - UKPXfHyJZptYAWyr5vkMJauViooIyG2upL7u4m85b3h0Y7waF7qkVtLcaGZqFJghG6Y6TUyRwWZb - sDyn7ANqJ5vuW7uZ5/K+okxIipmZmSaDmOZIERFBIBTzngNjhhpfzjF2sjtmVur2/2fvzqNlua7z - sH/fPqequvsOb8IMkOAAggAxkCA1UKQlmaRkydFStLKsWJFFmIkY2Y68LNlWhpV4UNZyZImMHFqM - I0vUxCVb+sOyHCmKxaxkLdESRYmiSNAACXAmQczzm+693V3n7L3zR3X3ve89gMR9eAAf3tu/RV70 - 69u3qquqq7rqnFP7c3dQ3BetN6r1HCxR2GtY4YtAWQFgNPHFIVkcRqgonOLZoWW0c9dnPvr49NFZ - uwNK14yn0756HSoMr46xzsU06Xj2u7ARucmlFMlZPFlfxmnjhqtvTlutk0jIIpkQpZbqrk2TdP9p - kRcRF3VVc0LHbWfoZ7PZwW6kNV932U3rduS4H3dsKZwkzOU53IND7H6PA3BCBVnhwsLy8U//+Xdc - //2SutabZEIHaI49bbU+NK0svusXn0AXP6Mx90VEfAhhXqyX3QrUy/XMPe3XdlG2q56+0Hta5H0Z - v70M80bC6kgFcRgInp7P7dwbV/z8RlOfheXZbgbMpTpBd7rUWs2dQnWHaUIiUd3z0OxOAyDD/XWL - tG/QQRdx0OE0EySTVLpNO/jaV93mPVNq+lnPllkyFADETWl4QVeKieX5Y1y3SxsZlVK62szrHLlz - Qkt/WC6//spbJnJgOt8Zj9tadPdP6ctkdwBicFmmjy8vE86vg78PK9YFjkWUsZgRDmlympWZKTiS - Ft3m6GDj7Y4h7XevdwjEaKDt3Yh0MVcK3dmkEV0SDOZn7GAh7EMppZSyvrE+n8+PHTu2vr4+K2Wy - NjLTgwcP/sovv++v/dDt99/3gAOy6ITe9/SH9G4AXdfNZjMs87y3trZGo1EphaS7y3M5OwkhhBBC - CCGEEEIIIYQQQnjWsqS+77tuVGvNbWPC3/7d3zk+3R56MWspANwWXa4551qfZgjTYrAN8EM/+Neo - LiLdeNzPZnxOo6n2bdGN7kLA3EiqW+nrO/7L2//Jz/zTk/O5l34fPa7DUu15/SmdziSSeFW4t6Ou - n82r6zAa9N/9X7/7jtv/em5butMxm83G47Hqbr9wjOQMIYQQQgghhBBCCCGEEML5QFVTSrVWETGz - IbE7crtDCCGEEEK4EAzDk86svbAatsSneXpR5mRRUEsWz/iqSI4MpXEMSDDQHEIYXDEv2Onv/ql3 - vZTN2NBYwXyGzuEzfOWLx++6q1Ec7r2r0/VxPrk128iL+bjDF2PTCIiA2/OtzXYs6tPZ9qHR2lOf - uqd5+KGNN34T1sdsWinTI93Ip+Wef/be1/zDH0vJIN2qJMtqGfYOztpd0GdaJyGEEEIIIYQQQggh - hBBCCCGEcAFwsWX21ZBWBizCHcyqE7QEAWkke9158uTjQ64ATks+oJ3SCTs891Vmu+f1Z8SOyDBB - l+p0TX1NpaT5jh395GfvuOPzH3nw+Je3cayXLcuFY4CoBjhEaM4y7xPTqGk8a1FTM0kwx6xXF6Ru - 6G5elu/w1fy+1noCAHHsye3GKitEhh5zcRtet1qi05Oql5lxwxTFxQjnbjAcfcibW6yYp52ULauY - 0Bfbiy7JmhZjlNTV0ZWHr7n5NbfddN3Nh9cva+frk+nlTRlh7g51L4kQAYFaqxUVacejNTOfTXsI - J5NJ1SnJnISkKawWQBIFvicbZbWtnU6xYdkpcDMOiSm729L2JLgx0rvDc+Mv2rytGGofQgghnKeG - s4uIenoeCFycu0UYXaqKiiOZiDeiBrWu7U7Yk//vF3/v4fX7nbTiTDAvKbuWfnHlSVOcejUFwOHI - oA0XTWLD8GWTIQ7PIMxWqwhSwrSi8zzZXrvpilsPHjo0L32yrsPIpvMuifrcKIDQBS6AqZi4PbtL - xYuVyxCOCJdVrqrtXrjaaTHexlp8Js2482y9TGabb3j5mx+68wEzL3mnCLIiA8kFChPTzKPTY9r0 - vZMuk7RmSGW7duNR9eo0ozkdIB3ieXmpeX6lb15UXGgKEHRPoFGdatSNtfUne2eCGSQJABGpVtO+ - ps6hDQXKIbs3w+uwuVW9kVSqSdskaim6TtHaMz4M5xCNUMewITKtccJlbrBUkTxlSpHS50I0Ms3W - 9E9NHv3/Pv37KjV7m5L305MpAbK4oDestqmc3a0aM61NJ1JTY63Y+JVXvqbV9eytihM9TNxBbTIb - E1WPKPevhU1KgJuZS63jJtdak7TjrQOvv/abH7j/XpvAE8yRDO77C8Oln/pgcdMRnEB2LZ5zQ6X1 - 7Xa//amH//zWq74pzY6kfuJVc5OMta8ld9kMyQSAytCWajA0lmkyPHOuV8oLp0lULZ6l0hPFzHKi - u4MgkAwYznW4vzV/obBTm5IJJHgC9jZAC09p4rfVKYG4rBK9gcXdbsNr5HxdmQrNzKjClFROOJ3a - ivLo0ady12zblgvaRKgqkVKCNuJmqZfVXkY4MSe6LD5FJ63VXh3NhvgWLptf8a3Xv3Wsa23blXk/ - Hq/BWGtVwmniRoOBAjFk7OmleD44zaXINP33/9lPzbEz9ISQhLgZXJjABu3IDvTT2rZdUXMuNqIP - 74p7dgvSvFn9c3Gmep6dD6iYuGQTwC1t+2JZWdSETUrSl51u1B7ZPFwem6VNQL/2NPcQeAYNrIsj - hi96sxIh0lSDqRw+eAlPEM4sohfncSWcK8Kma/u+JzkajWqtmfCqALqmufLyy37zN/7VD/7VH3jg - 4ceGLzFJSdUBpJy1VgApcW/uwpmG3G4A8/l89QzJVamjWitJcnkVaja07QyTTSm5++q3IYQQQggh - hBBCCCGEEEIIzwUddTZdn0yUnM6nk83NovX/+KVf1FWvpO8ZAENUrVh29Q8RDiLiZgII+NKrrvrL - b/vOUW6Su6upatM0+jz33vlyDAcdq652AKbadM1sNs2jrnX+8F+//V/8wvtI6HCboIi5SUo2dMHk - rGV30YaF3V1wX3VB7umrdUB1+EU/m69+rcDPve8XfvD227NZQ1rVUdfAF2t0OVpAgEjvDiGEEEII - IYQQQgghhBDC11lKCcus7qhMEkIIIYQQwoXBh4FJvhwLtUzdhsMNBKBAhrk5TSh0UXNPBFBn/agT - mANeUgugdQA2lEIZCosvCuxAOMxIK/o55uWBd737VaWi35FSkBNyxrHHZnd8tL//sZF6cghBg0/r - OrAqm8RT3rjDfAKwn4McQebz7XGS9OSxEx/8o823vhmbG6nNdXZyvWTbEezsQCYgnWBaFEauxZHI - tCr+ZLIIIJfFTLDvklAhhBBCCCGEEEIIIYQQQgghPBckRIRcltsnX7x5peE8Jy7uTqi40Rd5W0r3 - IdTCFlFcBbUd+70Pfa7WWQJLKdLklNjXIjLkf9tqggDoizGme6pkLIIzSBogiU4UVXUDU0rJKF6V - jtRIj9nMt31Sp3LiMw9+8lNfuuNTX7ij5GlNc23nlnrAbOjRHSK6CIWDzgy4FysAFhXZDQTy8G6W - ZeD31u7YrQnyTP3CPvxmT9zD02Ry2yqjWhxGccIBo/myFAmXsdx0iC9W0TyZC8TJClQXgJJEZD7v - mxZZWMwdkCRurFVBtJkCQfGkqdU26zj3oyPjq2545W2vu2Ep3W8AACAASURBVP4brznysuxjmzMf - zw3apCKLxXYwg+ZDORRCmhZArz2A1ALwqnMAbnQ43JdFVNxPC7nYE4gjUNnNQR9yT2SVmGMOh9gQ - ovFijtEJ5wmS8/mcxIvuKzGiu0MIIYRwMTqtZqLTDGgkl/l8NGqn/c5ct0/YEx/9wh/346n4MgD6 - 1CsH21PYcfchZajzuFvu0mVx3WZDCUgmaYB5rSCRNHf9+g1X3ywcUbKgoacEd1MuRkkLXAgCAvTG - F90J5wvOT7ut9LRwzd3nh//kpuv72hRpZVR7f81LXvuBj//frDtshvR10DHEqplQE4/vHLekYgA5 - n89bdl03cjXIcJntzijKeV4RoyUX7FZlNdBSaugyZP2SNCc4bOj9bbxlOrvsZrPuztnJ4fllemvc - 83yuLVp5PC3X86JCrog0ntys9qWm2o3avpYq/T0PfuIEn7Q0ROeCbklYbBGb69w9ng+bal/NRU4w - wd211hEmqY5efe3N0NaNTEN7lw3X4HRAbCgjfO5WxgXICLgkuMDgvriRyPKEmy898vL0lUYkV6tu - 6DK1Ornnq3k/hkZDA0AzovbIGfN+3qAb5by9tfWxz334xlfcUPuNFpOcs8CrWUpD2+QqlHhodnQn - aHIh3eOz97C4WsO7YcwX7w1Ne8t5n7IvP8OOfeoR5cW1+9OGzwHRAEoA4kIW7ft+VruiQ4eHgwQJ - CM1wWgy5OIxIQCk2abrZrB9JnkyaR45uX71+aHP70Jtu/LbNfKBMtU2j6c58fXLADJ5grMPptYH2 - AkXFGxNbb5t6+ar7kyR0scsPZ4arXF7fW+YdOHNbO/a+7fOuK2LYr41oDKCpFCPEKS7DybCrppTM - uTaZZOay/01A3+2YwfILF4CrkSkhTyZrYhme0z5TwUN41iylppQ5IFddcfmv/Oovv+Md73jssaMp - oaoCMuR255xrrV89t/uZuPuQ2w1gNBrt7Ow0TaOqIjL8rLUOhZAitzuEEEIIIYQQQgghhBBCCOdW - 17SznSnaduPAgZPz2Yc+8idf+NIXfe+dLauufS7+Ofxq6AB1swQSIPxv/+iP0hxq6uZqIpJS0vpC - 9HLuHXG36F9OKaU0Go2cBPAD3//9v/JLvzTV4YY4mBkIU2XOAmitu5N69h3LZ7zOgQo88MjDf/ih - P/r2N39r1zSpTTtbJ8bra1jmdg936MUowRBCCCGEEEIIIYQQQgghhBBCCCGEEMK5tSigTiSsRjfJ - UN2llNo2GQ40cAOTGFi8aj8bdZPtaR2P82jUepkxEaAMk1rWh1mMHSMEUHXAzX2o+45in3rXz157 - YqstFVkwStiZYuvE0T/5cLd9clwtP9sRZEONCgiHouGeHVDLOjf40Y994tAbvwlrKW+s5ZMo8/5T - 73nvzf/DT2DUMQsAL4YkuaETvVpOZxRmifFaIYQQQgghhBBCCCGEEEIIIYSLAB2ECWzo9TUBhzSc - 4dcuTqvQmucPHX9wY+PydtTNd2aUrp+VzQMHptMp87I+BkxcVsk4BiV9CNNwd3eQcEHf9064IOcM - 8eq9mdVUKudpxLlvf+nRz3/8o392z/13HS+PYU3L2o5KUamrnJIhluLMIAk7LSLan3WyydfuIP4a - Pdmr9G4MJU2Ivd3octorYcYhHB0kcs65EZpb1VL6zfVu2s9r9dTAHH1vBMajznuzHRW0Y4xl3q7z - wM2vfN3rX/3NVx16RaeTRidpq2u8S2zphCmW23S5jLvvYjdO6yyXepHB9DSWc/E9P0M4V16MMYoR - 3R1CCCGEi40JTo/2TCaAVZTRuC2zno3Zxuwjf/7h41tPsvXnWGDxtL92d6RkFWrIHaW0G92h11z3 - umSdoKM11IRE1Z7ZnTSYL/K/La5gngdCFbGUvCWg1Y8cuPqKS1+2tX3SdEuhNJhTYE5UeoJN+3mS - xqp10qoqMkSk31P6cw97xvjO8PVAhwAKwKXJHZHgQhpJqAOQs9/H7LTNTQEW0Z5GOkl3Tyk954UI - pxpaeVzoEDeTRTuREcVN3FNqMkjAmsKx/sc/+4+zMpU03E7iAITJrC5C1U/Z/rbn57NCx9645lFa - u/5lN+q2IQmgdPHlb5/r98pFZTfgnKtEdZLXXPPS8Z2TKdoKNXMRqUOc8FnPx2VoDxVHGmorC1Lr - KFWTf+G+ex479tBaulxRWhnB1cxym9T3bNc9fB9FoEN4MXEa3KU2xqqpHp89Na072hSmxf7pDiMI - hVQF6GIwWfblZEVu0rRXTqolM8lb2+XASOoJve3mN155yUt3ThTRPB6vn9jerlVFkp0R5Cz7Pz6f - zZK6AxgieFcJu6u03UX9+qFP6UJP4U0UVU1Z3PzAxmZOyZ99t9ZXQQNg8ATQ5fDGod3fMMHPu4Dz - cAEYorXX1zdV9aabbvrFX/zFd77znU88dTIlukNrD6DWHkBK6ezSu4esbjMTkbZtzWw4SgzP+7L7 - aLg0uICPGyGEEEIIIYQQQgghhBBCeKEJJadq5rW2bfu+971P7Vnd2OK+GPSQUlKtB9bWf+A//6uL - YU4iZp4k1Vqf7yFwe0decHUzHmBm8/ncCSfV7ZZbbnnTm970wQ99WAGSIuKEqXqtthj6cy66MgEA - 02n5tV/7tbd9+1vMTNXa8ejs+o9CCCGEEEIIIYQQQgghhBBCCCGEEEII4dkzWB3qaTAv0rsdTiiQ - 2lyhRi2uo9Q5mECwkY6zurM+mkANCWyylV6aNgHLWlcyVMZSwgEaMgEIxZQV8+mf/+P/5VXFR0ia - NTWO6QlsTY//2UfmTx5nqe2+R2WJOQQOgoQ7zM36+fTJo6Mvfnl8y8316FOJ6xsHjjTbW9iew5Ot - ZQrRCoBS0SRkcFWoa1E1nVjUi4rb9EMIIYQQQgghhBBCCCGEEEIIASgsH/vSHVe+8VVN6Zmla9qD - ByYnj56cbK4XLcrqVCfclU4ATvPs1YopBLnJbWZrZqbatJ0IlLXatOrMpDKZdbMvPPXJOz95xz2f - v/vY7Kh2VZu+76aWimVflQqhD13biyiZr9vqeDo6JE24iS/qmcgimUqMcELFjGYCpyXDyBILimlF - sQQkUCANdvq5O2DwCia0GQb4TA/jYNm2kRy47iU333bDm19x1Y3rPIA517EuEJq6oWFNrmZQVabs - kWIWwtdbRHeHEEII4SJk4kN5RwOEbnSCYl4hZlYr+yf90Q/f/cH1A6OTOtvXZQv9lLBOA4SLK0MS - FFhVM3dABFla1nTt5ddfunG1bWdqQzTuDhdyEVW4iP+kyTLn69ysgwAAEIfCu9x4dTfNkrzYG266 - 7Yt/fFfTtOQ0udAFFNBIkOynfZNSLSZZctu4eqk9ZbXlT8trPb/aBS5O4tiTqy1Dk80QxunuFNAB - 2pCf58+qbu3X5oDCXMSHaHDAHU3uIs393DICkOQQB6gA6OZ0g7ppZtu2jWI+m85lwsd2Hv7yg59X - 6cnsGLK+4UPa9umb3c5uS3EI8M4ZlZduXnZwfMi33JPDRFZh4HRZZL1HtvPXNGyIvQdSAqLVD6wf - uvTIlce2Hm+a1jlfvGY/35BnJm4PraUEmhbzOboMMdupJzbWNvv59ic+/dFrX3eLshafiwvNE7PW - QnK1JXe/AHYTx0O4UNABkFQFJcO8z9OHTzykrKRngCTVzeEOg0MgDizOo6ovOk5EaupEa9Xcsi9z - FGyMD106uerNr/+2neMKbRqOa+9dO6rFciPDPYQAAANFFonOz/v+tfd8YG9Et4g87Wte7Jygn/Ld - tzgkmjMRAJnMsL6+QSTYvi9Izjjk7rk+MofikkOXLVayUHEBrdlwPlHVtm1VdXt7O+f82te+9n3v - e987f+RvHD16ksLVhcBZXxGISK0Vy+RvERGRYVK11pxzSml4THJ4HEIIIYQQQgghhBBCCCGEcE4M - nREObs2mDz766Ac/+MGcpNenG7e2pxtkKIpKUICqlcD3/5W/cuDAAbp6UVJEhPRea5KvzxAIkkIx - uJo1OddS/9bf/Jsf/NCHAcBdVSWnYTFIQgSnLbKf8eDZzhdw/MEf/MG999577dVXN5TcNKXvQdKf - ZsBJCCGEEEIIIYQQQgghhBBCCCGEEEIIIZwTAiQMlcINlGHs0zAuSmECAuiYtc7b3Jkzwc3mYxHs - bEMyRuKk5wzIYqATl0XSlyUlkgDuNi+SPNUdbE9v2Nhcf+KowVKbMT2O2fb2nZ/YeeihjaZtEqD2 - 7KuoOaGrAh2+ehMuxmZn/uhnv/CyG27MqYHY9omnLlkbf+x/+7lv+J9/UoRGm6s1qZGM0nuTiWV9 - kb0F3RjDt0IIIYQQQgghhBBCCCGEEEIIAQCgqXzsS3/ypm/5ts7HR8aXlvnM5nljsqFzTUwAVMy5 - 7G51ATCdTdMod92IhjKzWd1OIpK5VbbZVG96zbO5n3z06IOf/uzdn/rKHQ/7g9O8paK2YchmSTUZ - BHUZtjMkYdMXOVBgPc9SugyLNwlxWQRnAMCQH2Rc9KQv3nOLLJmd5EqtVqvDABckgShgYJVOx1k6 - 6x3T/JLDr/6Gv/DGm69//XpzWGddU9daH6Ga7pQkTEx0da3VPKXU5qZEAkMI54GI7g4hhBDCRYew - Rf1EusPowwUS2OD41vHDawemPP6nn/3Do3zUreQMPZtZ7Aba2fLf7iDhYgqjIDlQyHl7y6ten/pO - autVGmnVqmdSssNtkUVqEfD6fKG22c1OqpmACeiS3/CyV4z/KHthtUSATsCNDkeqPLZ9zArI5E4R - gUJVm9zq8pNCB2N7nTeWGZ8Qh+1mAHMI5gMEe3ZxgZ/F/g5gmdxuWIZTGkHAaQ4HDUIYx+3oOSxK - eFoCJ1zA5dFyuGFEhttExI00cRQb+cc+8eHtcpwTN1QXB6mAmcnTJyeeTYseCVR3qiuuu/LVPhPJ - rRYHMh2Ag+a04di+/NiEr25PlKxzyFp3eGb7iqtf+dm77spNQ85V/ZyUiRaDCaCLD1TxCkHJ05bt - 3V+887tfe7Jr1+sULRuRTIqZ5bz4AJ1yNHm6aPAQXtTE4VQTgyL7mMRMjj1w7D6ICh0C+PKgJnDA - BO7IXsXFuIjxFhfMMeq6qc+dXqmbkwN+bPTWt/ynG81lvtVurh2Y79STWzuba5tOc1enLY7sy44N - Anj+i6Fzz+16qxBfnnoPH8nVMxdAjLcTdsryCVzcTVwSM+GumHQTGuk8i5Ndg5y51UgCgoLLD14G - A+n0ZGrPfzh7uBjVWg8cOHD8+PHxuDODmd16663vfve7f+In/rvtnZ1aPSWaeUqs1XLOQw73s2e2 - OHtUVQDunlIagsBXx4r5fN513bldrhBCCCGEEEIIIYQQQgghXOScANOsr+1kNMmTX/3VX1V1dc9Z - St0z+sX3jGgEsIruHnoy3Ne70Tvf+c6+7yejtsxLrU6HCHLO/oLcFre3P3HVISkigPd9yW3rWt/2 - F99yzRWX3//oo5KkVDNVpIRh/I/Issv6ud7Gl5JotVm1f/2bv/GT/+AfjJp2++RW0zS+GFm2nFMI - IYQQQgghhBBCCCGEEEIIIYQQQgghnFN05KH0gxg4VAtfEQAtMooBGaYURZ0lI/o5ekFy0HQ0BvOs - apNFVlnXDjiSY1WjiaOMMseOfe6n//klW9suUFqazTCv/pnPnbz33sNZvNdEkX0OIBtusccyb5sE - gQysO3XWb3/y7rXbXg8vLbiWmpNqmPcYdw54wgzWQnLm8IYXY8EEthwBJ6ePgwshhBBCCCGEEEII - IYQQQgghhIuUSjmOB/+fj/6bH/jOH+pPHNdj03U9uL5+4OTOVmqEMHpWMXGhS7LkwFo7qrWWmbq7 - JLSdMKulOproU/PHvvjY5+75yp2fe+ieJ7Ye7mVqnc5Tj8bdtegiMMIdtaIRYBVW4ALIuaj2ca4R - afkALooWSODQb65DyrgQMCQIPBM2L/MhOcEFTiCBAiRMp8jAhN2oTNqdyWXjq7/hhje+/tXfcnjt - JSxt3SlQ75CblJOZljruRl4d7oAgwUkApoBEj3e4oLxII1oiujuEEEIIFxka3OkQDhdtDpAuGAbm - ZvbSb+P4R+7543zItna2JVFgto+Llz0ho6s5Lv9cFZLEzERASz6Vg93h66650ae58c5NRJJRTYHM - IZVwMf54MYFIsTv3ihVWG3VrAHam25ODGwfS4Zuvvu2eB+9Sma8yBo1ecu36zbZ2Xr1NnVVUrYmt - 5DTEq4uLwXy4kt51nrUOXHwI21MvdTH8vtbq7hSKw410pOWg/33YEx1K2JBOesqs6eZmRAPCpW1H - 8NiLzxmDOGxxT4XDWYdmOQPMPTW0Cq2V4HitexKP3PH5j3hbkczcHXCQhLrxLDb9M0hgUYdbg/bV - L7mB85ya3M9L4604PKkBRhMYF+Hx4asb7vZZ/VOG7+skApXrrr6++cTIy5YAZkgJ1XFWcei2qKq8 - ODGAFjQN3FEVkwln06myHJs+cf8TX9k8cokLCRcRd562EbnMFTZAaIu7lUK4ULg7BGTKaCv7mWzd - /9S9VXpg98NOgoQL4cv9gQbf7TVJKdUyZws3tAmzJ2evv/Ytt7zkm8sJ2WjWtReYjNuxmcHdaM5h - 11rMgL4I8Laz293PylCpflmv/pTZvkibg5/Z0xy13F1EzKu759TCAJe9G2VfzkjvdkFK1l1+6Coa - 3R2ExdlSeH6MRqNjx451Xde2bSk6nU43Nze/461vede7fvpv/Td/JyWqOoBaLaW039zuQdM0pZQh - 9jvnPGR4i0gpZXim67rhwZAecY6XMIQQQgghhBBCCCGEEEIIFyvJyeB9rcd3tn77//x36jDA6hk9 - esv0bu7t0XV3uABvftObXnPDjVZq7UvO2U0BqKo0eT+DJ88lEZnNZqnJTcplPm+aRlXf+cP/1U/+ - 058xtZSSqmJI73Y31dXblFWf8hmB5c9GrUagSek3f/M3/9u/+/c4QW4bt9N7h+lw7qaMhxBCCCGE - EEIIIYQQQgghhBBCCCGEEMJzJotaDmKAOcyAtKi0IVr6LHnxdF9ZdpATpvXP3vO/j6elufzSG//u - 33Cramhyg2UtvN0hVG6Aad+nrrU6T339zM/8s2sVXZdsvt3mjKq4777HP3X3AULUsrRV635HYImI - u9OVDgeGMk9Cy4q1lI595YG1W29F1zaC6cMPH7j8SkCwM01r4wQWqEFEAB3e7aK2yFDhIp2L9RtC - CCGEEEIIIYQQQgghhBBCCBcGl14n04985j9c97KXv/aKN1xxyUvH03z02BOjdk2hXKQpiRECKOFE - 1VJtDjHp4J1u29ZTO48enT720T/60/uP3fv41sN9My1NP213NJXcirqVChC5QRYxmDs6wgwcomGW - kQJ23iazLIIthrDxIf5GwQoucqyI3dCLdpQUZuaAEJIU1gMmh9t1maUjzSU3v/J133DdG19xyfXj - ulG2xJ5ag6dRQtckobpWd22b3E97AIltSomkJTezIbHj67IOQng+vHiDWiK6O4QQQggXKToEtEXp - RAHgtY7H45N+7DOP3v3I9MH55lYaQdX2m6g1THKRKDskDg654IK+R25g5iRd0Vl73UtuOJAPp1mT - mNTM3VNi8SLAouTlcOUWAXbPD0MqJWUZpbRh1aWAOxjn+m2v/t6XX3qLs9KFLuJQgUoVa5utCV1g - ENLMhJ5zrtpj+UHZW47zjHjC8ELjbq6kDf93wiF9UXOShO9ezvlZVGv1xWwGAjMHCCfUAbracOsD - E1LXTJ7zAoVTOAQETQhzcdDoMqx/H2K5hayWOrnvic8/Nr1fJ71RSRrcDamhO92dHPbf04Ki90cc - dGa6e9roDlx18KWtrhndhFDQha6gATa8yyjd+zXQFsfTU1aUAHAnLF195KUH20PHfEah+zlrlhGH - L4s4Q+DillCt9rnc+fmPv/LIDetNhtGLe1WSbuTwpQ8IRIeUYkbybLjQyOKU1BROp1k9qcfue+rL - lQWrkx/CCIO4ASDdCICLWwAdogQzam8AGqAtaXN0+Xd+4/d2/ZERJmK5n87adjTqutlspig5icIc - wAte7nzvMWUV2j08OPMFq9e8mA13XJ5OJKt6otCQmZucCSQOR7r9TJ0G2Gll8ekwQ2IaYe2KA1eI - ibuTw8qM78jwnJ3xRVxr37ZZRI4dOzYejw8c2Dh58kRKzVvf+tZ/+fPv/dEf/bG2oaqbQVVXSd7P - 3t7cbgCrB+5+8ODBo0ePqqq7D5HeKcV9wSGEEEIIIYQQQgghhBBCOGfMrOnaaSn/5rf+7aNPPAVg - PBrvzKbDb4c+ujN7PoZuueFWu7XR+Pbbb6+1z0nKvDZt50PytUKrQ573/tCn7bDMOfd9LyJN08z6 - Od1h9o633/6ef/HzT544QZgIzJEFVSEpedWnmfRZ9T12XdPPy2NPHf33H/jA937P9xzc3JxPp8P4 - otM6r2N8YAghhBBCCCGEEEIIIYQQQgghhBBCCOFcooAA4UOJDwBuBDKRs2A2hwNbOyj2yC+8b/vx - x9c21m/MLfv+kYceRi1NmxtpStHcDHVCxAnIUG3c4J661ubzlID57HImPvUE1yS5YV5w9NgTH//E - hgIOSUC1jATso8A6HRhK7pEO51CJSwBHArJ6M5vhscdwzVVIMm7aWirmBeNNnc05GmU0hqFyz3Km - MUArhBBCCCGEEEIIIYQQQgghhHDRI5+meIYRO2VnY33827//W/lt3eTagzu1H082Zz6Di4qp2JDY - DRjdnJWpT632Mn1k+5Ev3PvpTz9w5xcf+9wTO4+0m3nLTtZRSW0ikxaItUkbEQgUDlZ3dzclvWka - VV0EstAAuFQsynGcTwHeDqUM5UwIgAU0cTutDosKAIP3TvQKCiQlaGJN2SabtrHmm6+5/JabX/a6 - G6+5aV02dMfSscZSk9nkZiQi5qWWuVnJAhHpqzbdyJ3qUs1Mq0FzlqZNpX+6uighhBdWRHeHEEII - 4SIlTltGcy4Yp/OpHu4/+qE/KU2/0+u4pZzFhR1tOYvhEmsYBo0u5WIVgAHmnjVN5MD1V9/oMzTs - aIQ7rJckXk6pRTlUezRKslOmH86JpumSNDvbc6u+vn5oa358vb3k1Zff9sorbwA9GekCFydUDED2 - 1KCdzfpuNDIxsyo512IRvnZeoy9CgIfdh1ZrHTI43QDZbwDlKYzwIVL0mfdNcaGnzFYizfecO2WV - Gghx8SRFXeCTtpvXk7P58c88eNes2SroFciZXl0do5xL0dPSWE8LFt0XVWtTKzI6MDq4ljdbG5Ve - G8lSh98TgNMJA+KQ8eydVp+Ztaq06dDo0kOjI9vliSpirvb0sbPPiHurJ7sZh1RwAaxp06zX3ILE - zgxdBzfO+uk99979nd94bJIn1rdmIJMwK3xvw8qqQLNF8Gy4kLiQDjeFO6xA5+i37NjjW4/42LHc - +YZvQXd388xWUPeeRw9fl/M6XVtvyqx0Lvnk+G3f8p+8ZPO6dmejk8bnddR17jab71AgABKG5G4A - DgEIyP529bO1+l5Y5XYDMLPTnr/giUgpJZMKk0aSNGe94P50gQCmyGzGPjk8OpLmyd2Nw8eN51fv - WrggmFnXdaXUyWSSUjp+/Ph4PHZ3Qt72trf9o3/0P/7UT/20GUi4Y7+53QBKKUN6N4Cu62azGZZ5 - 3ltbW6PRqJRC0t1F4ooghBBCCCGEEEIIIYQQQgjnUtFqQoj8+q//upDVfZXb/dVlwh0JOHL48Pf8 - 5e+GGcwzRVUJJ5lzLqXw61T9dDabtW3rwHQ6TU2ez+cbGxsGfMdb3vo7//735lUpwKo/V/W0d8mz - HblBcj4vQ4/Or//rf/V93/d929OdLAkeI0FCCCGEEEIIIYQQQgghhBBCCCGEEEIIzyeuihKJAYsC - NnSooZ/Biaofes/PHZhOLyt+4MT0Csk7Tx1PKXVqzeGNT/7S+2955w9j3DUpATZMyQHl7oRL3zcp - Y+vEp37+F645eWI0amAVkjGdPn7Hx7vZtHNIizKHet+y2++wKfehvISQuncIl8PaJGlecfQ4Lr8U - bmg6N/3Dn33Pt//j/ylNOgAVVqBjNBAuxmvtKUAvEeQdQgghhBBCCCGEEEIIIYQQQrhYnZkP4IR0 - 3Ckn+6S/80e/ffSWY2+66dsL562NYeKAijldBU4DYCz3P/zZ+x/98ufu+/QDT33lpB8vo2lt5/PN - 6TFDMwGJvhi1dO1YPNW+kMgiAEyd4Chlc7e5JhFwFSRgDhveD8+vshwCF0DoBtoQZmRP1+vsBAg6 - GmaxVkqDebOeDr7yihvecN233HD1zRu22dmknXWiAoBM5nCo+VxVAaTEnFsAZg6R4mJmgDKnnKQa - qtXS18Qs59cqCuFiFNHdIYQQwnlnqCQ45EVFpNPzwQk66VkAX+QIGgAqm432c8e/dM8jn5I1zwTE - VcH9F3EU3638OPwkUEpNAhIkSsFaM7Yt3viym5rSshqANtNR1SwlcdchBpi+N0U2LacXUXbnihnU - taa2aXLqy04jorO+ldxwTEdyWUZ3U+lOMzEDRnlUiyUSIn2tOeehLUBOSxEOX29DKnPOua+qWqRt - qisEW9OtPEkFvQvcjKSZU/ZdsNUoyw1tdPE97SzqyBkc7n0oNkprlxy4FDvnbtkCQJc9q3zvHkcA - bdtO+53cASO947N/7l0FFIJqBiIllFIAWX3V0pdb86ybq5yqTJaue9Wrc2lt7uP1tdnJWbf3xg+a - L2YRX/FngQBSSqWnzNJrrr3lvk9/oahNNprZrDzHSS8/QFKLJoEpCKSMqqB7bnhi+6n7nvjSwUsP - Cxrxxt2RxWsFzCjiMEBWbcR80X8FkDwzo9efQ7Z9eFFz95TSrJ+PJ2s+K9r0d33hExyrndHATzKT - op4l175PLZJgXoyZJiaj9NTJcvlI2pPjl2/e+q2v+q6JbljvoGYBvBIAzQjS1CoA0DCcX7ks/reY - 0wuxl/memwgvkrjuvUiKiJvnnIsZAFU9q/VwysZa4xLInAAAIABJREFU9ZzlnGzqL7nk2knagDGl - BJFSijC+IsO5l1KqtZJw11q16xozA0Ah4G9/+w8B/k/+yc/kzFKcBAgzrBIpVrHcX8XqBfP5fPXM - 8IfDUdTM9kZ3q2pKaXjNcNnyvC19CCGEEEIIIYQQQgghhBAuBKt+fFUdOhpyzuombPpaP/Kxj9/9 - 2c8pkBKrOp65e3/oBTVDTgJzd//xH/87qppBwphIdwDuXl2ZXojOu9PmMQzBSim5uwMpJZh3TdvP - 5imlH/+xH/u3v/s7gqE355Re3XPC3cfj8Ww6BfChP/voXfd86rZbb1WzRIEZHIkCobuf81mHEEII - IYQQQgghhBBCCCGEEEIIIYQQLmZDzHZf+ya1mQKIQxU1ecVOvfNd/+uG6U0CnNw6mFsmt7I9mYzs - xEk0DbdP+oM9VNAXjLpVmQcCECjgRAKatsXxk6hYO34iQ5Hpc6VXfPZz6eixZJBW6swcaKU10/3e - A0/Q4TYUVxpu2wdACADXFoITW8jJoUzuGevtCHNFh5QAaofGgCSELaK7h+VY5HavyvmFEEIIIYQQ - QgghhBBCCCGE8IIYssNyzmYmJBB1BsLXgTueNiSkuEpDptkT84d+787f+ti9f3Lrq1534ytec3j9 - SNc01ezE9tFHHn/4gUcfuP+hex958oFtHLfUK2ETNzEVM8AhrcCqEcguJFyLeZFkoA2ZAovSIy4J - SAKDwYdklqFD+OwTfs4Jd5AQEZJmpuok2kStNSUB0RdzQc4CYa06VDUZwqQcSACJ1tvUrzX9+kuO - vOIb3/DmW17y+s18SZ6P2vmIBvqQhr6cnwtoJjugwbNZA2uAbMNWcrgQrM6yWEfCvWnnIVwARGQ2 - m4ks0hyGnIWz/oocyvgMCQ6llLZtz+mbPUVEd4cQQgjhIuMCGiBwoZMypAMaANLmmH70M3+6nbeH - GGZ3SIbrWc9MHDDacOkkoC3O9NAkoKRrL3nFGBvJKMvoX9AN8EVCoQC2Gi4sLg4wRg0/H2iL4NXh - qt5ydjiFDnHSBRAnCKgYXZ1GF3psixcFMVMzurtIgribzcrMWB3mrqudigQJsWGvO0urthV3DJF8 - wx0AnbQb3YZYQ48oyheEeYKYVU/ap/n9T3x5qx6t7dy+9kW64Dm0V5GkM2t3xaFrMhrxrMWSNMtJ - +u7Eh/TZF3+68wtpb0BwYk6lvfrQNcmSpFz6KoJnsX1Px+UNOYvc7WU88NBsuZqeAypW8vSzD9x9 - wxU3j7jeTbp+Wmv11IjX3RhaAIKnb7kO4UXNDKSNRu3R448fPnxknrY/+fk7vKluu8cxB5yLXdW1 - 5twxd25arLohJ1bYdKYH1liPp0vtsh/6Sz/SbR9wYNS0qOXUw69zsSMtc7tBQJY300Wv5AtkCDY2 - QtwBqJVFk/f+j3F0OME9fyoOurjmqw69tKujZMvS9qQRZ4TCh/A8cvf19fW3v/3tjzzy6Pvf/35g - GAyRzNTdh0DuoZ+m7/v9TnyV5z3MZWtrazqdjsdjDCETwBCwQXJ7e3ttbe3cLVYIIYQQQgghhBBC - CCGEEC5AtVYAOeemaVS1lGJwadvJeP3n3vve4TWqDkBE9vbn7iUiIqK1VrUEbE7G3/2XvqtrGi8F - OGUIxHlIgFe98hXfdNvrP/qJO7qcZlVdnfJcBnk+jel0SqDtRv189r5f+eVf/cVf6nd2zCyDBFQV - CiZ5LrcMhRBCCCGEEEIIIYQQQgghhBBCCCGEEMKZeq85twTm8zJqG05nyIJjW19477+8YmvnUIZv - n+wmI8xPzLd3usNH6hOP5s11eEWvl3RjzCtGndZZajNMhhIPyQFCAQJJHdLc/Z6fvdqd1ns1rq3h - 4UdO3Hc/t3YEgBqBDIHQ7SxrcTl3q4MMddrUYaXkZuJVWZWtqGihj5oWzQilIuc2NQXm4KLSHuF7 - 07pt9SiEEEIIIYQQQgghhBBCCCGEEAKaJFW15zxPGrjdV77w8F1f+eCdH0BBy4ZktaLsrdGCvk9T - ttBlQJoT8EV/LlzEhQDdxAEsE6gJlWUdEof4qozJImpHHEMk29e3IzfnpKqlGAkRpESSoMNgbhC0 - nSi8qrlDEhOzzjVZ7qQTTSgY5cnh0ZFvft23vvKKG6459NJUJpyOJ7O1Bl2Za24a0I3mNMeQcQYC - voi7olEAGdamE3QHK2BDmrEABol4jRCeyWg0IqmqIjL8fF5nF9HdIYQQQrj4uMDFSQ41Kh0qFXBv - bIdbd37pE9YUcxPShkuefU5+ca3osrqSNCA5xCGOYZo5t76NG69/7Rgb9AzAqEOAtC9SCSEOwyJM - GsOlKVJcSZ1z9ARgWOdGDMGQjgw3pxlkGekK43Cdy1Nyu3kWWbHhhSMiql7NIUOmtoL15PaJYqV6 - b0MAKEEnMexo+9ueuwHuhODU/FICtgjzTmwOrV8qlr/eTUYXJheD74ZjA4Qxpayq3tQ67u/+zF3b - dUuhwCJbfQ8DcGak+llHatM4tvVrL3l5ZkvSiubUQM1hQ7S0AnBZBtA+l1ldnFycCk9MTWleevnL - OxnNJJdScivm+1uZq2LTq5uEdpPbXbBs7lw8QS95/pn77/7u27YLN5eNNbbnj0QAc3GeEvsdwoUh - 5dz3fZNttJaO6eOPzh94+Oh9erg3QCBwMw670mLfSeJaejXJuQUbSFWb98XHIzQ2aqZr3/UX/osr - x9ev10NbJ3fSxBVme4/F3NP1Aixzuxfn1dj7/RvOjadvgDarw4PqamKlVpDVTNK+Jm6C1cdj0ZEG - DGHvOWl33dXXN7XLSObVTUQEUd0+PBdnnNc9MwOQBdV1++TxyWTy9//+3yulf//7f2M0yrNZzYmS - Ut/XnDPJvq9k8v3HPuSczUxEtre3AQy53Wbm7qratu3wz7W1teFl+51+CCGEEEIIIYQQQgghhBAu - EmaWUnL3oaPB3UUk57TT9/c+8OAf/OF/SE0upTqQc661PlO3qpmZmSzrot5+++1XX3ll7fu0GFH5 - QnummT5Tv/BkNPqR//qHP/a376hVCZAwhchi9NCys3lvn8v+xpMkZnNzWCnFgN//wAcefPihQxsH - WpEkiY5h5S8nvRwnGkIIIYQQQgghhBBCCCGEEEIIIYQQQgjPDYEx86z0aHLTJaDADU+WL//UP78s - +yQhlx4N4FvOvttssfVkXm/BArDbONBubd/57ne/9qf/IboOANJQ2AE05ASFCBTbOyjabG/bbHuc - GsJw/MTOl780e+qpdWAkQw12IbPRK6zhMw7x+iqGYj5DaQr6Ism7uLMRF9IM0iqlwo9tnwQASajK - 1BBCQGFJgD1jv+Im/BBCCCGEEEIIIYQQQgghhBBC2IuOBmN3ragFtUpBwtTnydKkG1WrrlZRjOpi - nquTNCZd1MhwmskyVWXIovY9eUq+yO32PV3GqweyimbiMnRgT+bLC8/MMKTFLKlaVayvQXvMKrQ3 - F4jACLprKROOGh3nrcmR8TU3veINt173DdceubbbxnqzjlnuS3X8/+zdebBk53ke9ud93++c0913 - mTsLZgaAAJAACJAQCBKUCFm7RKlix7YoOZKcqGJFO2MlZVmybLn0h5iqxCnHJUu2aNEgI1GxbCdi - QmezVBVJllO2qCUSJZm7KHABQYDEYJnlrt3nnO97n/xxuu/cGQyBuYO5mBng/dWtO33v7ek+fbrP - 8i3nfaSqjV48dxAFYA6KujgFlExAy0QvKInfA3C4ym5+kggVTENlEkWJfKIQLmJmZ86ccfeUUt/3 - VVUB2L1xECK6O4QQQgivXBQopQgAFOt6nX329KfWZ2d8uXj2KlUdOxK2/9bdkC54UaKXcKgPSSHU - E3p7za331H0jbi6AOMXnbaRFmLRyaKAS4iAWU5HDVaPU3VYpZbdTAPOp2gQXzXvK/I9KVcIlWrM3 - BpXk4qRDAHgmqTi3eYboKT7vxCCgQ+Kov/jenOFSAZH558cApaLnkeVj5pXuI0owvAAlXKCED2/g - vFNOARgqcXF4ltyn7U888dEe7XNTu68uF5iIeDrcHDk6PoZWAairnt+5+J5AZ40c9xfA85HYF/0B - oMFSqQ4vH11uljdRo3QvNuZVMGQPL/I+db4tExQXwsW7anp656mnN08dWjo+badqtSZkzyb7DLEN - 4QYkYpKs79t6Na3nZ/7wo++vxuiZhy3UBRRQ5rndQq+Szmbu4iYjEaM7nGNDQ+uf5Te//i8/eNfX - +kZVBCvjybTdMTMADugi2tkFSnXB/Mya6vPRlwh1Pjh60YDNUOt/CNIWkVm7A90brH75dvfnqj6c - lUGo4jqqlm+76U7LlVAVkkkRiXGj8FJq23Y8Hs9ms9lsVlXVT/7kT5bi/+Jf/ErTVG3b55JVkXMe - ErXNLOf9RXeras55uFFVlYiUUoYfhwds27YZrnwOIYQQQgghhBBCCCGEEEJ4Xu5eVZW7t21rZikl - kqUUq5r3/NIvERiGIQDweWdRiAgAIZuUcs7f+198TzebNVUFvzFGY9vp7D/+83/h1hMnH3/qFICU - UtdlVS1+4XDni3gKFS307MUUm1vTX/mVX/lbP/Kj3nXurpAk6goHn389hxBCCCGEEEIIIYQQQggh - hBBCCCGEEML+EEKMU024sEM7Q1s+9/f/4fHZTNGnkaLfQWlRRHKPc2fOffYxNM3avff2ZujrQ9V4 - E4B3AhANAMhQdgtwmELoIP/0595xU7KlupbcI1U4fe7Mn316hT6pFQR7B7SQLC5XoxiXCpwQTQTb - UpZWV6AKiIg55OSrXgVTqEDVFvO+6AKbl54YFiHqNIUQQgghhBBCCCGEEEIIIYQQwkVK25mYJnXN - Pd0FqfHKqp2tndqqypKImcFNsmR3UFTmaSwuXCRwyyUqdFDggiKgnB+uHf6nEEXnMd57g2SG5KBr - ohSaiZm6uzsBioCGrR2khKpCBQFNUWlWdJJKc6hau+fWL/2y13z1a07e3/hh7NT1ph3Spt/Y6ZhT - XVHRda1YWp5MujYPiRkyf5nz2AX1ashKohTAh4C5RdaGAgLqbvzZ+eTzEF4ueDVK75jZaDQabldV - VUoxs4PL7UZEd4cQQgjhFUkpGKKwlSKEgEX7ab3+gT/7/WI9vIhQBJ5haf9FHBetSiF2Y4CFgIuJ - FRaglN4P1cvHV2/WtlKmRYvSAThUqUASYje1jkKIz5f5aqyCsEsXK9XFL1i7i/eO4hRgiJOkKlWI - RV/C0I/gCoAqmGe+Unz3rxHVfG25u8AgJEghWaA8u34W6j7ktitAoQ/NObmi3gqF+EU7ChFxJwBT - aJbS8+jqUWOS+DxcVUNYN8QdThFAHaLURPXiWsHRns1PP7H+mNTKRXD2BVdizH/Y24m39z3aX+ce - VQA7ufolVZmQ4mAtyTNVSfH5HoND6qnER+EyXLiSxEEHVIQgEyrL6eja8VNnn0hWF++gF4fOPp/z - e/LhJ8yvNJJhp+5Devf8Gh6CmrPmmW1/8nOPvO7BB9vtdrlpyqLa9cU7DnGP/X94WdFZl5tRlUve - 7s7uNOc+8MjvsOkV7lCHKtxl2BBU6UoUuiaIIbPzUhlTYh5b1T3rD5x48zc88NZJOT5ulnxWiuek - cJmfhzl12HKUGHbpLrq7iflw0gVEtPNVJ4QSECxCiR0CAakUEEoot2fbLn4lrREZ3lxQAKhwePsS - 3Y4fPrHWHNGNZKJFBOKXHKIL4ap5Tva8e1HFeNxsbm6ujscbGztvf/tPnT175td+7TdEIDJkV9Dd - R+Ol2XS63yd0dxEREXd3dwB1Xc9ms+GXOeemaYZxoL7vD3QoKIQQQgghhBBCCCGEEEIINzozK6W4 - +5Dbrap933e538mz9773vaap9axmxUspNKt8nuR98fgISTNDKar6jV/7Nbfddtu4abrZLOn5OqvD - rIph1txBX/y19/H5QgVWhViZLKXcfftf+baff9e7CPRdBjBcPIfFVKAXs8g+H9BUKCFw8Jd++Z/9 - V3/9hxvR3GchKjWIkBSRlJLn8gKPGEIIIYQQQgghhBBCCCGEEEIIIYQQQgiXqQACsYLc4dzmIz// - 8KpvLx1q0JV242wzrtGs4OOfOPeRj83OnpbKfHVl7a67qiOHp9vtuJpU7Qxdr5UiNR0AoBKIOBxJ - FT0wa5szz1rxuk6b0/VVMTzxxLGuhxcHUeCAQogi7pUpy4ur78Hz6d1I1Zbw6LEjSOaQRAPtrm/9 - FlQCEFqBMEEpMBsmgqlGdZEQQgghhBBCCCGEEEIIIYQQQrgUJVQz2ReHAVA4wJ5du5NSEnrPjqRn - 9+IOz0JLcHFzCGFUcxVA6LoI2KKgCIoCQ4wLE3g+kntRjAMAIC703ZQv4QsXDDk4wxBzKWXIIlcV - VTWhJu7sMBFLNtZZ1a/j2OjWO47d9eYv/erbj951fPUWtKmss0mjUTWSmlvtZlrSRiZKY1aDSwan - kqCU7ALKkHAm8CFXLgGq6BxDmA4hDipg50O7gUWqtzMS58LLyFVI7QYAdF0nIruPpqoAcs4pHVTE - dmyHIYQQQniFomSKDxmqSlD7DT37p098SIRCqWopkkEk1Sut47hoOu5pHCphEKUx+4ljJye2orkG - DbQhQ2wRhaegALL3bM2FFD8f/hqukiGV28UpTs3UDHGI65BfCBdi+DJCCZmnd19wIu0XhgFfuw6B - cBEthQBIzuN1xaHl9JmnhkR2Csh5c65QSNl3E+nCT4Lu6f7QIX2SEGpCfeLISWNE8V1lQgh8eDdB - dRgFLipUOE2kWP/ppz+5xXWrdPH+6mW9y9QLO7MuC0mD3XLsdumMJECFaeGwk9lTFviyFyNAL9rK - hl00ycoTO9xy8lY6VO0K+mXEFYQuDqrczXEXB6BwhSsgi67Mop6tf+TRR2jFKnWyL1mS7fYCz4Nv - Q3jZcYGY9tlFmBr540f+YN2fdbbz0QHokNu996S3FEgCFH3ptGI1Mp95Pu13r9731j/3Hcf0ZMpL - uffUpL7tUkoOpahDKeIYjtAynHEtzruGXYEvvsLVtHgrz+PF76mLcGtr05nFZH+jX3K+1P48e50K - qlIV6Y7b76y8TrmCI6koeLX62UO4TKPRaGtrK+esqjs7O4cOHWrb9qd/+qff8pavIyEipXBI1L6C - 3O7BkNutqmY23B6Px8OfhoEfMwNQVVV8/kMIIYQQQgghhBBCCCGE8DxEpO97Eanr2t27rkspLa2s - /B//1//59Nkzvee9d/YLxx0uGuIrpQCYdd2P/diPJdVSivCGmfPm7rnrv/u7v7tpqmGZBfA9w8gv - fsSFgIgMQ0WqeOzxL/zW//tvU0oppWHER5zwGNwMIYQQQgghhBBCCCGEEEIIIYQQQgghXG0K7Gyi - 7bCdP/6P37m6NV2rZePMU2i3mjqh9PlDHz71ux9Y2mhPVsuHszRbMzz2BM6cq9Tg5Wg9+uP/4Wcx - cxRXgECBQx3icCD7J37mH95c6VhYpu3qZBmz9uynH9F+J4HucEKgqjAAALnv+h4EXQD4RUV4vBSK - ptUVHFqGKqGSkSBYXYYSppBFzveeCW+y+HrODyGEEEIIIYQQQgghhBBCCCGE8IrmAhipIECHFlRA - k6SuzT13nPZoi/awbBXqOo1G9TyZS5w6jz8jQFEHCN2btUJACHPYEPJNtSFZYM9A8DytgIsyH9eu - AoeIuNMdqjATkn1f+qn35/SwHDnsJ5uNw3cuvf67v+mv//h3/dR/+Zd+7Ctue8sJ3qFnxkv92lo6 - oq3sbG5tb29DpfMy67pZl0W0rkdmVd/3mEc2nH+FQhVC6coMELtBctRhtczzsATcXecx2B1evl5M - BZ6UUs6ZCzlnLOIbDsgBPnQIIYQQwvVJCApcHPDEGhiSX/MjT358PZ/RirVULVsHVYF8hed2wovn - +CqVLiRVVcRuu+VV6mZSAcnFASUSxBfZhApC4YC6lHlC4dDWinqPV9mQrgyIg/Np20rIELVOuAzr - fB4x+PyPpREmeZ2hAGpkX0A1EWEp/ZNPfcEXPRdDJqUSKoIrass9Z1uHUOk0U4e7w8Qmo/HxYycv - EUIcXiRxLDY63Zs56mKScukklY9/5qN96gEXF5HhvfJLbqznf6ZS9MIU2stS6Crp5qM3V2gAiCm7 - YmJEBynDYspiL+4S2d0v6DlrSBzEvAIyJTGdPHkrPqa5EJqAfKkHeZ4HH76ccMred2S359N3F6IM - PZupPHP61Nn1s8dtBT7cyQFZHEEAANTzfaMhvFxUVd11U/e8ubPxex96vy65s5CAgFCIcvGxH06i - aKCCDhfYqEcuVqoTk9v/wpu+/Z5D91s78j7TbLPbPjRpcs6URKjALzzRHU6JBQIhKYDAhw0zjqcv - FQocRVQKuD3dyaWI7L85csEBVQEoAUpK9d133lt6JK2z70Rd+3BQnve43LXTleWl6axrmoaU6XS6 - vLzctu3P/uzP/uAP/uAH/uiDqhhGRnFF7QURSSl1XefuKaXhcz4khc9ms6ZphmCMIb17cbIaQggh - hBBCCCGEEEIIIYRwacPQA4C+74cbGxsb73nPeyqzrhQApRQxZQF9Pu/luVNkhktWANxz990PPfSQ - mfWz2crSctdOX+KXs0s5n6YjBHYvz/siZtPpqK5fe8893/j13/Brv/lvUtKcfXckhy96Po5AVJMz - 0x0CNQPLe97znm/+mq+b1E2TqlKKu6sqBTlnjVqwIYQQQgghhBBCCCGEEEIIIYQQQgghhKtCHNZj - pNgsn/yZhw9v+iqEeba6NEZuMZvhwx/feOTRZcJUd9rNGqNRZvvk083r7k+pwazttqd3HT+OMy2O - LaXJ/FEpFJOhTM5Ecl1mRJUKsdPikUeL7/S1N1mMIFREiCxKCnoiyXxa1+WgwAEKLsrtFqpCCBy7 - 41ZM6mJCGDpaYyj9LNWVmgKeYQLVPUX25pOzHIiJWiGEEEIIIYQQQgghhBBCCCGEcB4FWz2sQp1M - XdxRCt2dLFYLhUN4BAm6s4A9Ujo/Glt0KO5xviTJMDQsBM4ndmUsYnu4e0MuWAYHgN1I72uT0uLu - JERgZiRLoSqWdG28c/SI33zvHfe96UvffMexO7VNPlVrK8mcVCNT7bvtotJM6lqarusqmMOpIiLT - 0pV+aibVxLL3u8lUwiF2SgF36/YmVlEEgAsVxYd8IsqeWJwhhC6EcIGc8xDfICIkq6pydxE5uMiG - yLkJIYQQwivO0PajEEJqpmTAXctHPvknfTXLkmE+benAqLJS9h8gtygfSXEllGoOJajMUgqYWI3y - 5EvWbldPCWnx3xQQoS5amQ7AL5izHKnQB2p33c7b+7uTv4c3US9V1HM3NnJ3svfedyhOta8Hqqqq - AgMgANV3uPXUxpMUDpvaPMhPHFcWlScu5992h7i5iitJM3OgZIjI0mjpyNKROlvM/7+6lA44BRR1 - 8d1NkCxi7NEx+WdPfVKb4sz7DAS9gv2tlkyTam1ypJGRuSmkeK8VXEDM9yLKoc/RY5d++Radsdxd - acMqNW1OTG6uc1NylrTvVTrsBHYT2i+85mfxaBdutFZjSzaeOPfZjh2GlM0CQIbc7iH/OzbzcIOY - 99FDfP51ib/qbspy6fu60U63P/zon5yZPbOdN3rQEhQucOH5C+182JgEJESkUvPWu3P9sXTia+7/ - jx647c1pOmFbmlolUQwFLBxGGhZPTXUooPNlEiqGh9zH5Xxhn1zpQoirQwgUURcFHF5IFhS3dqff - dGaRfZ/knn/fhoO1ZBcXprGv3n74LmlTpZULC/qhW/yqvrQQXkBd19PpVFVLKaog2efWkqysLL/7 - 3e9+wwP3uUOHhsMVPT7JrusAqOow/DPcFpHRaARARMzMPc4MQwghhBBCCCHcyLgYIt+9LQ5xDn2M - 89/s9hIpBS/B1/ml2+3/HG5IcklYzP9+8cmOIYQQQggvmeGqEnfv+15Mx0uT7enOf/jQhz72Z5/o - ShE1Lu4GwMyeO59tODcrOStEgLe97W0kHUh1szObuugwv4WLc7ZhLPklOHkbrujzPd+/6BekbhoX - bO3Mvvd7vx8AyvxawavIvScpqlDtc8nEv/+d9596+unt6U7BcKUizSxGeUIIIYQQQgghhBBCCCGE - EEIIIYQQQgiXg3sLL8xLD2UgXzDDi4AXdFO0sz/9Bz99eGPrMNH0/ViBdobpNP/xf3j2wx9d7TrJ - M8/dxJYVnvq8+dQzOLfOzS1U9aHVVT1z9jM/9w4Uh0MAB4aK4MgZuU2zHXOWrsAqdN3WE48pMwF3 - 0hXQwlKcJFSBocjP5V9pz935+ecn6lOQVbq6njXW3HY7rC6SiqVWdSspJiPRusAdMEPxvWvqEqsx - hBBCCCGEEEIIIYQQQgghhBDCoBlDFG1XZn0uhSoppaSVOuhAJjIBgRiqGqNGBTDOa60P0SouKIKy - uLFbwE2pQzCEzEO7MGRQ4xJpLJdfxk33lDW5KB3m+SyWSnef6zkV5zSxanxSt8vV5tLy9uHb0j1v - OPaVP/QX/+bf+Ct/969+7ffcvfx6XV8azVZX5fCIk5FNxOHuaiIiXde1bUtK3xNIIlZAGKpJkiRt - bodwBWDvmPh8QYa6LAA4j9gAKIsEHKcQcA7/kRo5ZiE81xDfMJRLyjkDOOi8kvTCdwkhhBDCtRO5 - ZQdBFV3xpOruHTtLdCk7/fYjjz5SlrqihdLVIwDoSlHdXxCoUoUKeFEvClDNtS5Z6CWVFq5q2qWl - 6dp9x9+QvCrSQVThoDuGGzq0uSgFgAMUAUwJX6QnhquIUMCV5uezupXnw1z3NlzPfxZ2K7zrnoYx - gQuSL6nR6r3mslOTFhrgkovr7NT0iQ3ZyIrdSvxZFhECF3dzvDBzxyK7uyiEbiWpoDfMur5KCdBZ - zq++8y7ZlnEedXEBwFUkDhQKiApQQYYQMABuPSv08CfXT53ZeaofbysqRQKyC14o4Nn39Pftr7pu - hXqcJjcdudWnZm5VSjm1vXb0IYY2KyiEwYvRPHNOAAAgAElEQVQUAg6PXfoX4wKKC1UBcRQFxJXm - glIoyXojYDen227KJ2ajM51kyL5ifX23y/Ki/zX86BedghEKzEpbrU3/6PHff+MtX8HN7J7rqkEB - oEUce0I9Y/8frm8qXkGc4gD3fNrVIG3brq6uTXdmqkmExWdkTlp1vr1Vn/u3H/r1XE+zMlVwIklO - NDoyhCJiQoPD6KUUjMe1oZ6e7k7Ut3zVXW/5+vv+fNOOEhUJXmYUmKFzQnU4liqHAQVgOAfeu8QA - 6Ls3w1XlEApL8kQBRbMCTAbUEEWeAqjQV7Mnnn1UU8o+jI5d9iGSyI66Tt5mVXhBqpGzj7R56Pav - X9o8OpHV3GeZyCy3k9HSbJot3uRwdT3vSX6BiylRRFG8V1XQQZB+4qYjv/Dud/3V/+y7nvrCU7M+ - C91UswMqIC0luJRSLny8L7ppDLENw6jPcLuqqiFdY/h9XdfzRSrFzPq+r6oq8uxDCCGEa4uLxCcR - GW67u0anbwghhHARAnQICkCoDX31UgC00ASkTLiLFxhKgYkeeLahOAAFhPOp4cNs72QoINhk1RoO - eEYqxOgSE8FDCCGEcNXknFNKQ+93ztnMntO7Hi42jJkOsxdkz+hD7ntRNTMH4bKxs1MvL//jf/rz - ZRhg9fmK9VwAlCHQ+jkPPoz2CXhkbe07/5Nvr+tR13VJDWaZ1IsnSwiE+WBe5i7dM01H5YVGIoVe - SkpJTb/hG7/pvtfe97FPfHzv+CV3b8r5X10+AQRFgDKMUQ+Xzgnagv/xl/6n//btP5Xd1dTpLJ2o - WpxKhhBCCCGEEEIIIYQQQgghhBBCCCGEEJ4X5+HZsMXEMNDhU5iCFaV2hwlAR9dhffvT73jnia47 - pGi3z1oj6KcoPvud/w/PnFlywvPYrJS2Q1ur1QCn0/ZzjzUPvBFdh9qbukvaYpg+D3dkhaBvMcPv - /fd/734qSkFqMGuxs761fXbco3Y4lFb3pRVIjQZw9ex0S9L2rAVOpArMKERKmE9Y270CD8LhBxKE - mxhEAAicMjU93fD2B+/H8hJ0XFr66uT0mtzxd34cqgnQoZaIwKqLVt4FV/PFdK0QQgghhBBCCCGE - EEIIIYTwEiMBQVShDNcnzwBgAggIFvTDr4eB6Xk8LeffCh1DdY5FerddqhzHIqvFlcgXfvB365jI - c359Pu3i4lofe3PWdDcXRhdPAbngnsMDmlnPMmx9wxMI1Kzyrk8pZSm50A1VBQBlhrHU0ldpNjqa - Ttxz4rUP3PmmO2+598j4GGaVsNJNBbSmCAE6h9H0+YIKoTKkHIFQIQuAIarOM5RIkvbWLdkTTOYQ - AQxyvgz+IsJsuIM5oFQXX7y2A6/AF8JLZoi9JyECzieMXEn+lrunNN9dVVUFwMyu3mJeQkR3hxBC - COEVxwUk5+0WQxFH6h9/8rNFu6LFNbtC+WIm6SoAV6cAhBBCFaKju0LFlenE5NYlriQqxHebRkos - moJ7IqKxp4l15YsUnhcVz1m9lz6dl2jH3mAq09L1oiqiViPL9FNP/unMtoo6qErHolj/i6GED3X/ - dzt6VHN2qJDS6Pjmo7et1qsyUzUvcQXA1eQQAOoCBXVefNepyN57zadPncrSuUK4u1VfzlZ8JVu6 - Ug223CwbTN2MKk6KOwhJoEIUcJ1nz/qeTsDwPBxMgF8QEmtKoDCDOvHlI/WRz8PmwRv7ffCFy8n8 - ViI7u7TzhY0nOm5XmDSpKu7GNCR/AK7PqWIdwvWJez6p84PXcCyDNuOlrZ0dMyNz1/WV6WiStrvN - tt76rT/6jWfyU61NJQEVJA9jBkXFTIRCsjgJYd1o2fEyy5VPjsjh1x1/0zd/2Vvr9pDmJfEKoAoW - TysQtcVgzfklnP8bp14vDQeGholiPr4lRipcAFXtvN3xzc3+HBLcz5/wXC4qhpFlgVXw4eg903tv - uX8FR+EoKCRBKaWo7icXPISrbtHio2Nzc/2WW07+7+/73771rd/2zDOnc/Hipa7rvmSSJWdQq6rq - +z6lNGRy74u7N02jqu5e1zVJkqo6jAkNid0isr29vbS0dHVfZQghhBAuU9M0bdvuHuuHpLFrvVAh - hBDCdUkAcUL9/DzseXg2AJig70ejuj2DBIgInfNU7YP6Dszrfc3neRdAiVmPUVVjmMDMUrxTS9Gt - H0IIIRw0VS2lDO3r4fa1XqIb0jBCV4/HO9Npl/su59FkMu27Tz/6mX/327/j+5yBooKkVlXV29/+ - 9lJKVVXubmbujkvPonJeP2dNVBEbOmoyvW1bAeq66bquXLQaeJUKtQpAEHjvv3rf3/nxv31sZaXr - 2qXJ0s7OJlBMqyu6biiEEEIIIYQQQgghhBBCCCGEEEIIIYTwirB3epFjEdEthKVuOq3HtbAYDbMW - AGb95//JL0yePj2qUu67SS3wguls64//BE89YztTIVRcgSIUwNUl+yTZ9udPNff2UELVcj9CRjfD - aKQCEZGhzNF057a6rqabzGzqCtpNH3t0TFpGVaH3NCW9qa0ZbUxn4/FSzjtmsjmdTZYm2YRdzrO2 - MdGhjpNwKNMnIkNINwA61FKhC0QEXkoHuqKd1Kuvuc1uPYnJKnqK20ZbvrCSbl9KUD3YisshhBBC - CCGEEEIIIYQQQgghhPAypb4nM/vC2v4vGL/ygnfwKyjZIRfeJnQI51lkse1WLynD43+Rp/AhP1sx - DEYLkYu3fTsZjft2WoCmSgDKFhPTqi77lr72S+5/02u+4rUn7z/e3DLxVWlrP+eil06w2fPSL7rD - BevweeMYHDgfJ/ecJ7ggZk558SOHEK6hKC0dQgghhFcgBSCkOJNVPUqf8sc++1HXMjTS5hOCCQxh - ofsvrUjxReXHofHjFJCQYR4zefOJm2urhMbhtyGEA+FCETgIMXTSd9o98ugHXTcgvQAOVbr6PMHU - cUVRkQQAXfQcFc2AMlNVnAQx6ldedexuMPXMLvsPFw7PR0FAXKmLHFoHVEQKHSl/5vFPEUUE9PIS - 7G1N0trqYdUkIgLhnA4xFYscSJn3ADKiSa+QiDiHxA2YVUeOHEvnkrwk61IA0p959tT2bGssa5Ya - b8ue638Ui92IP6efNYTrh4tDWkCVKq6KDKHDlXBN2b1IqSp0O1vj0VLyZmN7oxxpP/70h3//k7+7 - bTtdKjTQ4WWI4VGKQwgBhyEMxazz0QjYkNRWb3r1Q9/2td+VupWxHoUb3AAVuIm7kPMT5tgfXlvK - eZi6C2EOkEIXKikKJXlu+9x2t42a7r7vNCOVQh9Sk7QS71h7fdP4+J233Ku57tGLqXqViFKoJoy8 - hvBSGoYwn3MmMRqNdnZ2ZrPZysrK+973vu/8zu985pnTmd51naqSMDOzqus6EbmC3O6UUimlbVsA - qkpSRETE3UmWUuq6BuDuS0tL7q5fZIg3hBBCCAenqqq2bc1sSBRrmiaOyCGEEMKlCQAQKthTMIuA - QIA2I4mj0mnX1grV2nO2YST+4L4vlouLCmBKCDEa1dubOxCpHPBiluAoDokZlCGEEMKBGfKVAQz5 - 0H3fA6jruuu6a71oN6TZbFZVFUXNjCSAf/7P/+VsnyuTQCa8lNNnz/zy//I/AxfPl3q+q8quMyZW - VRWBtmtfgqd79syZ3/g3v/kdb32rqmzvbIuIaTKznK/nlRRCCCGEEEIIIYQQQgghhBBCCCGEEEK4 - ZriYgpXmP2aCQ2nxjLGOxwDQzdBvoQi2u0d/9h1Lm2cPT8ZigPSY9ejL2d/6XdvcYDsVQVI4REgl - XODuJqhVN06dwVPncMtJ9CWZ1jl/8B3veOOP/101q5dGgoztGXJJO1MMYdtdi1m/9egTa11Rgbu4 - 6HrpRjcdr24/cdPxEzi0ChFQVzDBTovTZ6Znz/mZ0+3GpvXtbLqzRAezgyBBEnABhara90UAFTMx - UfrqRG9aO3r/6zBZwrRDWh5ptby29ud+4m2oCiK4O4QQQgghhBBCCCGEEEIIIYQQXkb2Jl4PteAA - dwEBCoqcDwW33XsSCsdQRk5QCmyobuckoYLaEgzTbirAWJqmn3BHRmXljqN33n3zvQ/d/zWr6fBE - V6Wvy6Z0biYiliQys0M4SEP5I+7d5EX2/nh9isKTIYQQQnjFITkEuwIQUYLb2PrMqU+65t0mkzmE - KPtP4nCZN+fm9gSAqRrUpVAybj5+q7Jxd+F8SUIIV50ALO2oGW9PW0nY4faZ7tnPn30UdQfpAIAJ - yEoHr7C/xEXn/T50nN8DeMmoUpOZjc2J0a03T25rN8pSZfOg73CVOBTzSAjfjfEGnKgIFOufOPUY - lBSAFFGXA1z/QoXb0bXjMiwGFcOVKiLPeds1Yp33SYELclxFBIRQFXrsyAmcETgO+miqECrd885s - +8zm6cPLN6OUC/4Ox9Cvy0skgIZwPfHF9+d0DLpnlqoyZ0+lWum7KVP7he7Tv/mhf32aT+pSoTgE - KGCGaUWn04uSAgF2d3up18bX7rvlDd/2df/pGo6rj/spKzOKClWpDle4oxz41hsujy9CjIwgMgSl - h1lyd0329NOnesxEigj3dT5DgSrc56dbAopb1Y3f+KVftlId6rd6UVLEvK6ROi9xhAzXie3t7aWl - pa7LdZ2OHD38C7/wC9///d9/6pkzpiAwhIuUwvF4PJ1Or+Dxh7RvM3N3AENodyllyC8xs7Ztm6a5 - ui8qhBBCCPvS972IDAfonHPbthHdHUIIIVwSF+M1tvhx9w8iaIY+SBVSioNeFDZMnz7ARRJgiA6X - +TCSAAKi76QaA0UAoIcoUJnFIT6EEEI4QCmlvu9J1nXd931KiWTkdl8ZF6goyeKFgmnbdrn/lfe+ - 90qGWwUQtLmIwEydLIUA5tMYL3Wm5tfNqK4SxLAqPNXVtJ0BMLXsfiAnmXse0kQefvjhb//Wtyat - iuSkAmBnZ6euR1f/eUMIIYQQQgghhBBCCCGEEEIIIYQQQgg3PgEUu0U1MtADAJRoyjAJ3wESfftz - f/FbvuPLv/6kY9w08K6fbVfuWN9c/70/kmfXJ6ZZTI0AmN0dMvx3QhTI/cgTHn0Ct96M3KKprO1u - 2p5he4a1Q7PtWVOJ1uNP/oN/dHPx5EBVYX2K06d1OjW6VrbVlX5kN997n957O44uox4BikL0Ahlh - eYRDh8f0MYhnnsHWJp98cvPJJ9G36GbODFANqhBNJas2Y6NPvbQs6fChtdfehdfcickoF0tWIaWz - hZ84/dRX1oZRjag5EkIIIYQQQgghhBBCCCGEEEIILyO7eQdYVIQbcE9oNwVDdpBeWClkuH9VgQUA - koAEijC7uB2dHM/bJbXVTcs33//aB+6/4w2vOnrPWjpSttWmFYsQqTGT2pzMpRtC6UIIB4HXf0b3 - FxHR3SGEEEJ4pVG6zNOynSwoFZ7eeuLZ9lQZ9xR3gQLmAECiyH4n9vr57/NTRKfM630LlblIkZvW - TqKkyO0O4YC5Acy9wXotPu4/8Wcf2+a5Us1cQSigjmTeDds5Zb9lZhVUX4QwK+HixQDCbHgklU4f - vOuhFdzkLqiF/Y3adLwecZ6ULHRAlQoAUjBck2LYbs+d3XoKS0oOabLEFUa0X7Yexw4dExd3N6CA - qmnPp8p9t8xxuAJUH9I8SIgozKXA5ejho+KqONCYDwAgqSrFKUm+cObzr1q9h1mrNEa+5N0j6iNc - vxafTgoyZNgzCqACKPKoslJKpjfNeHO2VScry+f+/Yf+7488+du+5KVCyRwGKlRMtC4smZ1AISSJ - LMpqKY14zl53y5d/1zd938rsWFOWa6l7KTqPwxEASnUZ0rsj6v7ac8gwHGUE6EUgBEVVarCH4fFn - HvO6L5Ih3P/+1h0cYt1LRsq2lI+88dUPyUw9F62NFM5gloBy4/azhxsbLz5w17X1fU9iNpuNRs0D - b3j9u9798Pf9wA+ePbtJuLuPx8102k6n2yKiqkOgxX7tRoFiEeNNUkRyzk3TlFLMrO/7qqquwmsM - IYQQwj6JyJAuNhysq6qazWajUeQwhRBCCJd2vpdeQMznQ7tTVbwUnc7GkxU7OytOE3NeumP9quH8 - GznM0uawPDsFk9UVzDapE6gApcPUZGSwGL0JIYQQDs5uL7eq5pxV1cxKKdd2qW4kVAAuDkBgfc7N - eNR5afv2V3/1V585c/oKRltJUEBAVfrsAFLSnJ2L86gL7nzBP9eeAwrJdIPstLPhl8mMXvDcxbyq - i53JD33sox/88IcffP0DTZVyzkklxnFCCCGEEEIIIYQQQgghhBBCCCGEEEIIXxQhBAQQL6DCAS0w - BeoCITDbgrfv/8mf/J6v+qq1NqPrS962hGprC5rOvv8PcPrsshj6TlCEIFG4mwhuZAEgjhVJG6ee - Wt3awNoIlLrn0fXth7/xLT/8gT8aL48gjq3tpa5bbjNE4AUm088/lpKWHqVzr6rlO+/Qhx7ESGDS - b/bVaIk9BAI6EuCd51aSycll6BG5/fhq/lJMpzi90Z09s76+vr293U9b9l1jql7GdTU5dvzoq2+R - W2/CeAlUTD0trc1m3dZIvnBk/JU/8aOoUtTnCSGEEEIIIYQQQgghhBBCCCGEl409RT60yJ7C7+KA - C2G79xOAsIIhRXeonUL1RQKRFKcSoqJupUilacWO1U+v3X/Hg2947YOvOn7HWnNs5GNuS7fZT+ol - swoqBblnVzKpGaJgjEqHEC4W0d0hhBBCeAWSJAnei4gXeJ0/deqRadp06yEOqFCFEPiVlQh18aEi - +eLZMFSMnEeG51JpfWTlmDIpVVQZ8XQhHAwlmlTt7Eyr8epM223Z+JNP/0FJ3W7JWqqLQ6mgFwXE - wf3Vs6VAqA7XPb+BQERKV1TS2JffeNeb07SBpbZkEdXY4K8mxbDyCaFiEaBOEoanz31h5ltQOgEZ - rl05yEWhWqmOHTohFCFo82RTnedBDNm4BOfpDwLEh+GyiF9USXmItBQRpbrL0bWjLGq0ggMs7S2A - EwKSJTX62Scf/Yq7v1aKJ9UCDB9FQPf0vUYUcbh+CVXpgLiQAnA+TiGA0VkEPevRKLMtdcGh8v4P - /ubvf/zfpVXugO5ggSaIq0kiWUAaYQIYMqVXKyPdXL735Ou//ev/2mGeGJVDqa/FkEwc/eKpFKIK - FPhi8wnXig7nP0WggFDN3a1AVKUiDFb60j3x1OdKyoUZPjRwLpcQwxFRDCbqrTeluX3tNbesvMpa - mKloygXibiJmVpgjJylcD7qua5qG9CFRe3397IMPvuHdD//TH/iht21sTpummk7bqqr6vgdQSrmC - /Vhd113XDVGgTdO0bSsi7kM8RgJgZgCqqhpOfq7yKwwhhBDCCyHZ9/1oNOq6bvf2tV6oEEII4frl - gGExGRoYWspDe1ZUsbz8+HR2uK6kmBogAuQ9XetX9/t8ARygoIhAmAoU3oyXTnWz+5bHnXeU3kSS - NNdRCmUIIYTwclRKGcb3SQ594O6uGoODVyh7sSpld5J1Xf/cP3kHABX4Ps9oUm25L/WoKqUM/7nQ - ofMzo0vMZbzOhil8GDoRhZembrquc3dTy1c43/MC3Dvhgxe/doM8/PC7/9kv/eJ0Z6cyI9k0Tdfl - F/+8IYQQQgghhBBCCCGEEEIIIYQQQgghhJenYUaWDLU1EqCKJMNEpbag+Kd+8Rfvacbp9GmMl9BP - bVJjOkWbN//wA3ZmfQkipSvo07z0DUyGeU1CZxmSwAGwsJ3mxz+XjtyHUhpLcP7n3/KXUVoUAx2q - YwFKBzPMprDmzKnPL7N3xbRw7Utuw+tfj1R517bA+PDx2U7fN3WqK+ZtQRFP9fKoMJvodH19Mp6A - xGSClcP1bbffRNxEwAkv6LdhhmEhR8CkoVUoJtZwynayvLG6/MBP/A3UCjUwQWJKYQghhBBCCCGE - EEIIIYQQQggh3PCGHJ55Gs98oBwAhABVAKVDILulPDhkRcEFKu7n63toaX1so9rG2mo/xVq1eudt - d99z8vVfeedblnm4slo6+DnmIo1MRpPE4qBA4OKEQApMDTKPxQghhD0iujuEEEIIr0QCHcqAFvds - +RNPfLyzadEOwFDRW4hFde8refSLyle6AFRSkhiES/Xk0PhIymnxdNdZbcsQXj6UhIhRpejskVMf - +dzZT7PRDIAKAaSjqvQ14JjvAfZHCMAh7oASyvleo9AFmrr61UfvunnlVmyZJss5V3GpwFVFKAhB - 2bMvdQBOupYnnn0sWztkNIigHHD0glASqyMrR9RtKDxd3BVCzrO7segrlMiAuFzzjA0KHELhBauO - hFMUaytHkydFRdLlwAOz1eC5f/zpz/Y2q+ul0pUhjiSEG4t6AgDNvXIYilDCCHVl503VELrJzdlo - +6Of/5Nf/9C/Xu83lpoJdraSQADLiUUgzMiuvSeqUrKr60ibVR7+ksOv+2vf+AOH9aY0HY8wEpWu - byUV0Z5CijgV0OFwrADEwThEXksUpcCJRECocBAqqSuutW7ONp86d6pUvUjZd3ywAAIBXKBERVmS - la+49+vGedXIVNFdxRVWCoqCPo+WD+Els3fnc/6z1zRNKWU0atbX1w8fPizCtp2++c1f9s53/vz3 - fd8PdG2vgtz3ApA0s1L2HQXRdR0AM1PVtm2Xl5e3trZUdTabDc+ORXp35HaHEEII10pKaTabmdkQ - LZZzHn55rZcrhBBCuO6cDzTcOyUaUAEBFzPF+k1HP6XrBhWRzH7eJXgQ3+fztpUCB4qIgsndCMBP - LS3BKpU6iQgcMBaV6OYPIYQQDszQ0Y1FX/fwfWhoh/2hAqgqo+Ds+kYaNR/88Ece+dRnRKxw34MU - wzvQtT2GMQgzlgJVDON01/+4hCDVVd92AGZdC8BLfgnGUwgp4P/zG7/+2OOP33L8uFVV6ft2Z1qn - 6qCfOoQQQgghhBBCCCGEEEIIIYQQQgghhHCjmidt06CAgYoht7tv0W1/+L/5725xP1KZri779pZW - wPYGzm2cef8f4uz6qtbivQgrVQx1zAEqimguroAABDTJNLcQ23zi8cN3vxp1xZH2ZZp1jHYKAGLv - /3t//6525/BSgy5DFM88hekmipdk9bHDuOcurK6ib3VlTdt+s2B7eeUMBeOaRPJuyVL7zOlbmiWl - kg1sjLaFOBoAQAaKwwEqllehCihEoAZB6dl3Pq5GOypPVvbav/UjSAl1BRdYmtcBCyGEEEIIIYQQ - QgghhBBCCCGE8LIhPs9yAgRQAlBhUodQAQiV4pBMyVS4YDe6W4uO00rZIrrJq4685s33f/X9r37w - 6PiktVU1q9CDpKqqKis4y0yKmJRSshcRMTPVERwknxMfF0K4akiSN+QmFnWlQwghhPCKI2KgkxTR - IrlY/7lnH5vplDqvCrqb2+2y/4xVAYfpzIBwPk16tz65iCVgXC2N6on2FRxOl6gFHsLBcEGXkUbj - mcxa2/noZz84022trPRQqEimABwinw1YbKj7b9lxSAvmPJCAguxYbSbNdOW+k6+3nBQqhKpGz8xV - pUPUoqKA8CGSAQC8qBf1Z9Y/X6wtKPP78kre3H2gVmhWqtXUJQzPRtIVhAIuDpl3GxBQnu/7C/uh - AGRezJswqKelpYmiUrciujdx86ozAxwi2nr71NaTM52uJOm3+0rPH8efEzAewvVIqUoF4EiguwJw - pSohLpYqmJ2dnfEj7ee3Hv2Xv/XL63J6tLq8sb6NpIZKxFGoIoCLzvetLJBeq9wc0sPH0y0/9Jfe - NmrXVnSVLu5l2OUlq3oSyNg9dC6W6FqshnAxF9iiFSN0CgB0ubexb07PbrTn+qpDEiH3e0gd9s7F - QUdTRmty+IG7v0zbRtmL5q7LtY5SLbl0Iqo3Zid7ePkhKSJ9349Go7Ztc84pJff85W9+07vf/fAP - /dAPA0hJc3ZcacTIMLibcy6lpJS2trYAiMhoNBqeXVXdXTV2kiGEEMK1kVIasrqHY31KqWmaiBYL - IYQQLkH2DLERQBJ4BXcgawUQ4keLPwScBAToF4M2B8cXj7975K4BAwR4Gs/+16vL56zS5N4WgQqM - KDzI8YUQQgjhlUxEhuud3H24bWal7DtqOgCgoOs6rauVQ6sOPPyudzkAuu//5MpLsbouXQcRkBhG - 6G6gcTqRvut2z0KHj9ZLcDGPiBSy6/v/9X3/6sf/5o9Mp9PlyeSgnzSEEEIIIYQQQgghhBBCCCGE - EEIIIYRwA9udbz/8Q4UDBcgZ7fZHfuan71Ac6nM/bTW5GsCCM6cf/+3fW5v5RJIyF/Qm4k4Fdos9 - uDgBg6hpKQVqjiw+49nTWD+HozeRUjdVu73xh+9850M/+rfRzY6YjEdpZ3NjIjWapn38cw2ogl7l - 0J2349aT6DpUE/7/7N15sGTZXR/47+93zrk3M99WVd2qXrQ02httrRbICAkhjMAwYMZje2LCnmFk - ZGZs/2HCVuDBoxgIwhETYgCNBxmbYIQUYxBbwBiPgdEIjMUiJLV2yQKp1WqpJXWr1Uttb8vMe8/5 - /X7zx7mZ772qbqmr9F73q67fJyqyMl9uN/Pm3c459/edSz+a3Jf4G3/sn94YWiSGdoAil4+95V8j - a5ulve66LvejJrEKYAGWooEjoCA2pqLGYsxsxlmoS0kmzbbFr+T+tp/45xg3GCVwAEWYVxxxzjnn - nHPOOeecc84555xzzrkngxrVtIxqqV3lbMvrCrASGAzAQCAoa31iUE4WSVOQNkp7w9qNt7/s5S99 - 9stvmDy96VZSXmm2x8jKpCEQmLJK0QJmo6KqFIKxBY5ExAgqMDMoAtOR5tc4565GHt3tnHPOHVO1 - kGAIHup8BKSAmYjEisR838NfnOq2jks9Vts3jpdhiiuLViWQ8l4kIcGghEaLQvmpp5/KEqD1ra6e - kpfOHWNEQ5iviNRcvRAClMRY2Uo7PzO/7+Of/ZCNtSuANXuLNsEAMr6yhV1E0igasYqCQISgEIYa - gobRbPKtt74qKBNDREJIV1OV26uBDsbqVSMAACAASURBVCttJTCjRiexkYYYd6178ML9EjojZaaS - LcWj/frJeBzXx3FNdrVlVisUg+SSQrP3xqTQNCSO+2/hMTIGcHAJVTMEDmakCtbm5qc87a7t80hH - OyFkKAKmEpqQw/zLZ+49ceLGURqZp3a5qxMRESLB2HKNpTEOHMdZ+qzbtNHdc/4Tv/4n/9f95b7m - RJQsMbZGYlIIIFOGKqkamgbTORKwEU5iMz77aS983Xf/0MnuZOpHHJRSKrmIEoeUhYAAUqUCEgAg - sAUymJ9L9wS75PsnAyCwNIk7evauL346rTYZFgmEyz6CIQURQGCNbb/yV170qiaPxzwu2ptKEyIb - OpuDBRbI2K7sEMy5K/TI2/Ga7lAjtA0SIhkE4NFo9G2vfuXP/MybfvzHf7zrNEaUAixCR+pzQwiq - +jXTR1R1+ZQaC7p8R2bOOQNg5vqXQ/zAzh265a90eem5ts65J4f9G+j9N51zzjn3qAgAyDTU9kYA - amAC8Tnox4ExkJcB30em1jEDwMtx2wADCUjADnABLShpnwE2xBpT6UOrnXPOuSNSD6trm2G97rnd - X48QAoewtbPz0Lmz7/yDdxkQYiwlX/YLGaTvYYDq3uVXG1Vz3Ppz9+28EQy2jPE+fDa8CwhiGoiz - 6Vt/6Zf+yY/849S2Wzs766urpb/8WeCcc84555xzzjnnnHPOOeecc84555y7BiyHqqtxJCYCDNjd - guq9b/7fn7613ZqIWUoBFLCzjbNnvvKe92/0Gk2VQGoBgFp9HgjKwwsGAKSq4JhmfU4Ro6jb0x29 - +zN8081Qg+p606zO58gzWBmTpiINJRgguvvwQyscZoZ4Yg3PfRaCgRo0o6nYAyF+40/+M4xb0BjG - kBYAxuX2H/9f0M/A/Kf/25s3Eq+Zjrt8SkB9LiZxNOovnG/Gq0SjXPrJ6urmdLeEVMbttumFEX/z - j/3o6TYgJoRGKAiYCUR7I/+dc84555xzzjnnnHPOOeecO1xEVEpp27bruhhjzpmI7IoCS4Y0HDMz - 81rRzj0aKjADE2JkAKpqBmYKMeRSDMUYhUpRGIEDAsEyWm1aWU3zlevC6Rc/8/bbnvWyW04/O5Y2 - aMPbCRbJQoGgUaMMKgDADGNAyJg5wJhq7JQyGQWAQCAYvMqNc0eFmefzORHMUDevV7yRfZx5dLdz - zjnnrkXEgIZMWVP+yua9mfuDGapqNJSbpK9zj84AghLYYCZEbULcmJyIFtlYtTB7eKtzh6PG54QQ - RqORqpZSzIibcc/TKZ9794f+v46mnRYOTWrGUmbLJ2ptQbErKMmvTZuK5KKoud2ptsCYUYRO7fZn - /ZUNOsHGRsocTDT4mQKHR2k4OyUqyHTfupQFMtfpTn+hp5mAUJvOjnhty8arzUayEeuyYLEShdqM - zoZCahi2CO6xuGQTTBfdSxTImI3bOGGLanyES5jVFh8AKOhz6i/MzxqpqhLCgcc5d+wpIASRwrEh - Vsl9SrFt2vm8n3Zbo7XYN9v3bP3lL//+L5xPD7fXtRdmmys8IjNQUSq8eBEAIWC6g/X1JnQjuYDv - ePF3fu83/41RdypNxythZVbyvMxDMw6pQWYyNiOygoBFHA7BGMYgz8U5BqzO2wIARiD01hsZjfPd - X/n0TrfNKzCDCMJlrmxNkBqoIZS4Tk+5/TmvWIlrMi0IDIBhgAopD6nwUF+ZumOLdHd3+8SJUz/w - Az8wnU5/8if/11IQAgGQfXujIsLMuNL0kaZpuq6bTCabm5tt2xJR13Vt2x7Wh3Du0NVf6TKx28zG - 4/FsNvuaT3TOOeecc849SdjQ1Df8MwWgyzRFY5ga42EFA5lhOOL4bkKNliSrCZPDhESgAXoAgWGo - w6wBBtQbo5xzzjl31WDa3t4+eerUm3/uLVlEMex9XQm75NJ9FVbHhpGYNkwPnzv7e//vO//L7/sv - 1tc3dre32tQ80dPnnHPOOeecc84555xzzjnnnHPOOeecO6YM2J71a+NGFaH0UIHlu372Z27e3F3N - WZvATcD2LqTg7IV733PHyV5bESUoKTHCYoyYEZSG8fFsixI7xqWObzJYlhGjO392vL3Lq6tgDkU3 - csF0DurbvtNcqAaAT2dlczvnvozSyVtuwajV2LA12/M829h47o/9U7B2kVQxiolqWR0NYMMoQcpr - /vk/AwizDoY7f/bn1iYTzX3f9yee/rT5rJ/N8sZNN37hzJn2uhNdCi/4R//ghiZhFDGOiMEQBUHA - ABQHSvY455xzzjnnnHPOOeecc845d4jMTERijF3XAcg5A0gp9X3/RE+ac09ObIgxDVEHqkVFBAgI - sOmsxIgYGQSYNZEABI2ptNzFVT717NPPe/lzX/WCp9+2jpOyhdHuOGgAWMFGEIKRKqmxgoYUGzYA - DBAZ2PZnzFm9V0nJYJ4Q5dzRuyoSu5c8uts555xz1x4yZi4KI5U0/9z9nxHs5WkRAIKQLit6XwkD - oLTIJASgpKYciBjx1MZpMmZQLxJCuLp2H507nmSxNC2jwpgZMc0153H+3JlPfuKe9+lEiYMKAAGV - ev6BAcblikvyk4oKKCAEkELUWEgLmjRew8lXvOCVa3yiCBlpsGhK4K/9mu6xs9ouRgpjwGwIhQBg - 0/nO5vy8sIqBiZlAanq07WJ0cvW6iJYAMlWuNXvJjPjiJjmu2whvp3sMljWmLw7PMDMiBAS2uDZZ - D+dSsaNdwEjBDBUIVGL5yuYDdDOgtliuhz2HRSLJ8qZzx44RNHGBBMwiB06hmM26vrfcnm7O5gfu - evBD//cf/8pW+/C57hzLODZJNBOGhWwI7VYAIMX6Stw936/R6rff/l3f9sLvPpVuGvfrI5qYsAUy - prkWKJI10QIrK0eYgLim+cDSYro8vfsJpGRc11k2bEtZAQSR0G3Jw198+LM5ZY5BigS+zNr9BmZI - RlCkrn3pM1/xtI1ny1ZO3AgCG5OpEYwgQDQDiZ9f6R5XpMAyuv5rWx1PZjvbbWp/8L/9O2fOnPnF - X/zFnA0AEZgBCsycc1ZVZr6Cg/0agRxC6Pt+ZWVFREopbdt6u4E7zlS1/kpjjKWUlNJsNqvXn+hJ - c84555xzzj0eCEiAGGTR62GAYNljoxAGYkFZZHvXI/Eja0K3vakiwMAKFaCHSr1TMlAiLACACCCe - 3u2cc865Y+bSIYt1hAmBQ0oPnTn3a7/+6/UhIlYHVFze6z+Gx+yfBDpOnbmPvOd2qPtz9cXowE2G - wUiJKKsx8Etve9sPfP/3qSqTd24655xzzjnnnHPOOeecc84555xzzjnnHpUBK+Nm3slqE8AZ3c4X - fvanT5/bXOUWADOjz1DB57/w4Ec/cl1fEpSgbKiFkmp5JSMID7VsyGoJcgBQghiIicwgGEWcu3Bh - /PADWHmmgijE68XOvulNkHJqnIiZI2FecP4cz3th1VOn6JnPQIzKsZsX3Tj5uaCnJy3GoaGGQoIt - K+gQlACy7SmtriP32BjD9NaffCNigAIg5AwGmGD2FB7OKECTan0oUAshCkzgOuiK6sd5/GeJc845 - 55xzzjnnnHPOOeecuwbUSrm1qvPq6upsNhMRz+127iixFSuqvaoGhCbwhIppX3S0Fk1UCgchLghK - 0cK4rD//1De/9AWveOFzX7QxOmVdiH0TJDEZwMIABJAhY6hmPWmCDb3RZIwhwHtRp4WUhwIpuuhW - 95Igzh2Vqzc3waO7nXPOOXetUYAANSNhm4fdex/+XA2rM6pDgwFAhkS6y65ruSwFXo/ChJZHaCAy - KKGEU6tPYeNABGMQ4ardlXTu+DCzEIKq1qC72gyarSsj28RD7/2L/5RH04J5jKn0KiWHqGRDoVVh - JVMG2C670KyIEiEGUIAoWEFIwZh24vNueeEt1z8ralM0E8GMmC9/leK+Fqvnk0CVFMQwhplY2Z5t - 7uZtrMIMZsZEVqO9jwwZn1g5yRJokchtBKawWMcPWc5G+7Ke3VfziAvLsBDVjSwbG5iUTqycJDvy - dk8zBJDCxIqk8uCFB4wumkiP63ZXByWICDcBVOZl2wwcgqWGGpzD/e+96w//+BP/YZMe3JGdUzev - PXBuezQewYotysEDw3l9ZOg6NJEDEJibNqrmndm25WgUyViiWQhmGkBcULq+CZFNDSr1hYxxyEXU - 3ZWr52caQQnDlopVUn/nfZ+Y0lZoYy9QRWogl5nEqkAER2036NQrX/JdstPIPI9WxlYMYFAB2MAg - BQkMi1MsnTuOmFlVzczM3vCGN6jqv/k3b2WGKsxgEJHFGm4RY3xZr1+fJSIhBBGpSd4AiPykY3d8 - 1eUCQCmFiHLOzOy53c4555xzzl07aqmsAIjWQMNFbjcxDIBFKEACtuG+Shdt/od/ua91aWiBrG1O - hQADWWEgLGLEnXPOOeeuFkZQkfX19V/9xbc+dPZMDLG73H67JwUCzB7Xcq379xs5BsmlSc0HPviB - T3/6M9/43OeM2lavyRnhnHPOOeecc84555xzzjnnnHPOOeec+5qoFvw1NCmg65DnH/2pn3rWzvaJ - UcC8Q4jYncPMPnv3/R/5yMlskxBUtMZk1+o6SgDBaCjEU+tC1KJ2RrUMiBIQCAJAwZ1Mv3zf5Oan - URNB0sj8Oo5gSN9LZDKgFH3ozIg5s63cdDPWN5CJI4Um7ST61v/5f0IM0EgcYDADBWTRFAIACGjt - 5HAWAYeun8f19Vo2goeKJAVWkDs0DcAw7UWRGgZHYyjDEGhvRBY9voPBnHPOOeecc84555xzzjnn - 3LWj1suNMQLY2dkBEGNU1VpE1zl3FOpCNw6cUTorlmEMJpaeOYdGRiMdTWj1phM3vvh5L77tG17+ - FP6GtqxD2C6YCRECUyQiM8G+IJghS86ILdbE7sqGSDgFUB+vB+NjvMqcc+5SHt3tnHPOuWuQmkkx - 1WBb/Znzs4doBEigAEDZoARlhTEb2FgvDuZ8TOp4YNJoXONaQWxa1Aqvr2xAjYjAdCXp4M65S4QQ - Sik1wDvGSEQiMtNd2dBP3H3HJ+/9qKzNct9zschENTUUIGMDCxUmsAwpkXo5o/ktIDLBIJ2JgkOI - aIKMxrbxiue/OtJEFWSREKwIp+hNsYdLgYCa/KpAASLARiroL+ycLVQ4wTLMjC3IIprhiLDx2mgN - PUgJBKJ6kgtIbe83RQr1LNIrYftisYkIZgyYGRmgtLF6EgKOJF/jZb6+aVCACTBjE5Izmw8LhHk4 - 0enAQ69oz8G5xxMRqRXRKajntu2p38HWzLb/44d+5/13/lHXXCjNnAJt7+6sr4y7rgMxCDBdnt1X - 16krK3E679OYwP27P/DOD9/x/lc871WvevF3nz7xvG5KMXKMjUyLlhwDtaNg0gFK0GCmqKcAMpuf - SHcssAEgISgRgwG1IBJmH7/rA9bOFSZizJDLX9UqEDCa5PWXPOObbtr4BrrQTCaTvi/MAYCRKRRo - YArIcvPu3OPIhm237d9Pq9cv3qb3eT5ZGfVdiTH2/fxH3/CG+bx7+9t/GUBKoc8CIISgqgYrl5/T - sEz7FpF6vWkaM/Pobnec1QPwev3KQuudc84555xzTwJUEw11cQ0AFIYARDADAgYQAYFmqNRHH81l - jQhXw3BRJ2lx3G8CGKR2PRBgPrTaOeecc1cNM9ve3v6VX32HouZXM2oPwiHt0FxN+0VHOq37wroZ - vNddZCxZwTTPfQD+7a/88s/9zM+UPrN34zjnnHPOOeecc84555xzzjnnnHPOOecekYGkwAwKzLs7 - /8VPPUfKhEjLXEBJgaz2kY+f+/xnThMb5iJkbMCisgegBKGh4DgfzO1WAqARQdUoIhBEMUq085X7 - J1sXaP1kG1qYAgXBAlEAYd5BafuBh9oQCvPazU+DRRRmBVreNcUoYTxGYQiy9Nw2AiCyAGTo+zwa - pTzr0rjNIs1osrO7M1lZNUAIucshkhK37QoUUEbJTTsG0KsUZgoIBtgw8O2qGrLmnHPOOeecc845 - 55xzzjnnrj7MDICImJmZRcTDYpw7SmpMxYqJFoABCmANQRrOqe0np8dPe9Ett9/+rG95+qlntTIq - u5LiRIoBSKHlFLUYACKu1ePqayZFLSFHxkGZjIys5sopdNF1jhp2QcZAzY9ZhsH5Uu+cO8Cju51z - zjl37SEtVgwMtgcu3NvblNQCBSUDKwEgCAH7hi9ftr0nMmyI8CQiMwsIK+NVmpmZMbPAswqdOwRE - lHOOMaaUSimqmlJaWRl98syH//Rj75ynnb7M2xF3fc8RzAwBG9TYmIVhVjOfWS4rcJdg9UyGbFCk - ls0wm/fj2fjWm17yjU99qW6jKNgC9MpXJ+7RGGC0b3VLNdw5whiw81tnKUBpOFVDVYmIzC4rmv1y - rYzWqTAZiAmAGcEW1XzJAFViJpDRvqY699hdnHpONmR6r62dJDvyTPRlqWVjFZKt2VZfuktOA1rM - VvKsD3d8sSmAru/WTqz1PDs/vRBPxPsevuvf/dFv3De9s2u3pO0LoUmh70u3O1sZN5pL/XEzQPVE - PoswTHdLMw5ZZTrfWhm3M+L33P2f3vsX73/ZC17z6pd/93Urp7fP9dePrh+PVrrtKcWRDWfXmRqY - oFBQjQP3VeITSEHGpvX8TCUYQa1urHR7fu5LD99d2tk8d6FtUupyb5dbej42sbuQb7CT337bd9ks - Bh4noplmJgYgLEaABqobS1KDn2PpHi+kl/trizFOp9O2Ge/u7k4mq6XkN77xjdvb27/1W7+Ts8QY - RUREmNnsSn7HpZTRaFRKKaWICBERUQhhmYvs3DHUNE3f923bdl23/GNtBHsCp8o555xzzjn3uHnU - Q1YDDdHYKoAh1gfv69k52ktb3lxMD3jv7Qvtu9cPX5xzzjl3lYht86d//O5PfepTDOS908wOZ2/G - 94kOoAPfCO3fvTQDEcx+7/d+7yff+Ma1ycqijqxzzjnnnHPOOeecc84555xzzjnnnHPOXUQBQc7I - 5WM//ebnZF1TFBFjSoEwnZcPfGz6+XvWkYMJpdBlCfVptEjpXoxOYts3BH5xjQCCBgAKYhRBihE7 - W3jgPjzlZuuFmgApEEVgm8+obbAz39ndIpXmxAZOXm9GFBPM+oDn/ZN/DI6doO0ViVPbZEiX0aag - hgC0k2RAGrcgcCQAayurBhQDE0KTmGBANqSsAIEbFaNIgYNcWjkIACmM4SOwnHPOOeecc84555xz - zjnn3BHIOYcQSilmlnOG18t17igpgdkMRBZbYyiHrhnZZIyVlzznm174zJc+/6aXjG1Dt0PcHo15 - NcaYyw4CAFZFESEiA0SklvGowXH1xckYxgCMpCYpYC/fW42GhxmBbOhw90gg546a2VW5UfXobuec - c85dY0jrjhsRGduXz9xnqahqCAGme8dVBEBhTKY83HzM72DMNuT0CtUjt/p3JaKURm0zsamJ5BCi - H6U5dyjq0VgIAYs2UACbO2fe+7E//NK5u5qncJ+x3qAIzKCiQK2oygAMUaEY/l0eMUQiUwpEFLmb - Z9ZwcuX6V77g2ye6JgwxSdqwMUcUK0eeLXyNUQJBazq2kiqIlQA2ts2t80paFEQggxkxsxmOsHXM - eDKamBFRAKBmohoAGOOSSHi6CpsPnmgXt2zW75AoBITVyRohHPUUENiMABBRsTzvZ33f77tfF023 - vm13xx1BI1saN2fObmNith7/4IO//x8/9jv96IKuzxR9J2hH1HWFGZNE2vcBIEAWHRVsTBoBpDbO - 85xahBF2+66zbtxMwsrOe+7/9+/7jXd9z+3f/9du//5+Z9Pm/YmVU920IyKASREAIwWbUh4mynwj - +QSr5eaNascSw0zQ3/3Fu+ay2WNKFEOIsEx0efnBRgADhV/87G+65dRzsBkByj1SXIFlIzWCgkMN - BCc28lgA9/hbbMTrPtulqyPbuzd33drKyqzrVlZWAAohTOfzN73pTZub2+9+97v7XIgIgKqCEGKU - XC5rUkII8/l8eFuzlFLNAv+6Pp9zR6zv+xhj13UhBFUtpcQYS7m8H79zzjnnnHPuKkYQZqCGeA99 - cGRDi7kB/dBGpENTv+nRtv8YyBbBirU30HgYgK0MSLDlAcuiEYCOeJKcc8455w7JfD7/5Xe8Q0z3 - jUvguh92BR55D+iRxkket5NkHm0s5+MxmUxQhBBQypnz5377t//dP/jhv2/qXTnOOeecc84555xz - zjnnnHPOOeecc865R2KKvkPOd/7Um2+Z9xOY9BKbVeQ5ut0z73lPeuChtSA5CwhgpRZaAICHYuLM - iloBjxcFbYyGgng8DJkyJqgCIUpQVRsLZvd+cfys59P1p/vdnSYlKOlsyhsr2N7EbGcK4aA3PfVG - NG0PNJFnZBesXw0EoaZljABD389TE9cSA2qmasYhFM2Rg6gEDn03a9qWgETc5b5Jo1nfETejSEgM - oBSjSAVQoF0WXKJa509rsXUQHjHU2znnnHPOOeecc84555xzzrmvU0qplBJC2J9l46WenTs6woBQ - yqnVlRN0+pmnnvfNz/mWF95yW+zbMVbpfGNFOTZN2+Tcb+6emzRtKCQQMoocQ2BjUi2qe+lRQgCY - DYAWKgcrrgxXyPZn2RRg2avundHOHZWrMrUbgEd3O+ecc+7axIAxC+tDWw9KI5IzE6OORaZ9NRzp - SqJ8ly4K/FYYGY9pdcwrZFAtSEEVRMsx0NeQ+t3IIhqQrX5bCgx/Gb4S0hqTRjXf8aIE3ANHuUwG - uyQf94imfG8SDty3mMIaPQighgqjxh/Wia/xhPUQfZh+suWAeD2OPwZj8EVfLANmJKi/czKQPsbl - RWk4MeDAy9mBb++SJ/H+nGOrWXiki+tMxpE4EKCUNVu0tBHPbD/03k//6cfv+WBaKx2VkDDvNEaI - LCv1o6b0LcMDHgXve18ASlYjfAFDIDAFITEYCSyHjfb6W5962wuf8dL+nE0mo5wLmZBx4CBZ/DSB - w0QaDGww4trCPcQmU7GUt/sLRloyYgRA9cepj1a791Amx2gcJ9EiIShARjAjhsHMYCCAWZn2Ap7d - V8PGdTFfzrXF6pEBAZkRyAAmgCdplDR2R930yWQmAIgMmrNOO5tlztFq5HBtsQXVqth2lL82dw14 - tPXV8mdWLTePdXlZbLEeYVmgxV0MCMsOndeA5sb2zvs+864//A+fP/dJO7Hb09ZULI4QGaWYGbSg - HafpTh/S8O66XBhJDVxKado0lSwFozH6HrP5dLWlYraxsfYHH/2dT37qo9/1sr/+Tc/51m0lZWpo - FLR2YAAAmYDUCIJAABuWK0lbfA+P9pHdoVKA6872Yu6wUc6Y3vWlT3bNbEYSQmLlPJemRVHGYpds - mHEGG3YLASwikQAjJWPb4uvbm77tZd/eb8l6SJRJVQIHWO3x2v9zJ5i3V7vHX/3ZP6Ztd0rNbDZX - GCfe3d0djSZra6s7Ozs///Nvef3rf/jP3/v+GGPOmZlV9XJzu1EzvwFmrtdzzjFG1SM/znXu6yQi - RCQiIQQiKqXUpeCJni7nnHPOOefc44NBixY/wDC0Ve7vDgbv63m3RbjksmfwcC+XrYh1CpQBCoCC - zbDXArDsqzU/eHHOOefc1UFBD5x5+Hff+U4BDAiRS1Eiq7szdNFeULWvs/XSXuRLd4MMj9wje9wG - QHyV0SHLD3Xg27iCbmaDLccb7X8BNU6p9DkRzPD2X/63P/T610cQeVe2c84555xzzjnnnHPOOeec - c84555xzh2s5JOerFPyir/K3x4VhGGm0rHu2KDIGMRRF7pCnf/mWt5zaObdhEUUCEeYduv7hP3tP - 3Dwbu54JLSEDosiKVEsXHfwCwuJGLVMmNBTmIIMZEFmKEoxS7Pt+JeD8Qw+Puy5vbTYrE8sdifF4 - bLMZBca5szFYaRKe+lSoKVMPm8X47//8z36EAmKQDCZwQBNGKpnItPTctAGaS5diUhhzMNWmaRaT - JW1qujxvmxEDIogMAKGhrhhH4uM3Ds0555xzzjnnnHPOOeecc849uYkIM6eUcs77//gETpJzh075 - kv7yxc2D0VdDko8SFhFay4fxRQVIdH9Rj4MvYqR04PWZbMjeImPSFKQd0cozrnvmbc992Yueetv1 - 7c2jbiXM2jGtyFzNKMYoUua7UwSsrq1QUSvKAMVoQM5ZICGEGuxVk7zqOy4DqoyMFtXkaJGGwMOV - RbrNXsDVIwZgOeeuaR6F4pxzzh1HRICB6uEKkXlZv0NkHJmLmKJwQ/edvb+PXdE+MpOZ1erhw8Eh - GMqoeXKX8w4EXRyQGpUDUdNiN23cgp3ASLFN2cygdI0NKhZIw4EKi2kJihiiRe37BiCYclEwGyvY - uCy+zhoVaYDiwPKwSMBdZKYqvt7A9a+F9x9y15aFPbacwkUCN5lCYVxTKo3U2ISKEYC2m8v6eL3v - ey19mrS9iqrtyy0/FpbpuXuMQWwwmIIA1DxyUSogoUsm3pap7IubekmmraI2XuhwOYQ9MgH7AnGp - vrsFRVCB9CrEkSlIb21qSlYYUhv7MH+w3PcXmx/73b/87dnKdh+zSKEajq4ADXEAGPImNSloMStr - M1CN5a5fgIIBtppnWT8HaTAQAEPLbTfraUQiar1upOtOlhv++rf8LZpOxrxC8zICEDIgWtpAEaaP - Q8D8NYIM0dQImRJDgyrqEsi5ay98ZfseBIahNqapWgh2uekLvK8dbVgQhk0ziMgUqgaAmdgYSuvt - RtAmpFBKiZyMRLWEEEQVYNK0qIasNiw77qthQMHCysaLdk8GFERiQmRMKGow3kgb1AcaHW27Z1YJ - gcgsmAVGDPrlzXtvuPEZPAvBeLHnUOert8C6r4sSFhuLvd9S7Y1QgG25NzJsUpUKRVMtohQpciAy - lKIi0jRNKcXMmhADRRUjRW7LzondL3df+PCHP/ihT96xmR9sN6jEea/GDCkAQMYNKRFy16e0fCNg - 2GoroyiBARVta2DzHA1ACSZG4wogjgAAIABJREFUinnI8brymZ2Pf+6Oz770gT//qy/8vluvfzHP - Q6OrMs2RuFcJzAArUQYYCKbBjEwNEGYhGFgJQfe+iMVehK9CD1OMcT6fxmCaeYRJnxUJc2xt65nP - PPipndG0D5iwUt+3FNArcyME4cLQoAgGFjZCCaoAM2CcZzRamWz126uUTnY3vPwbXnWiuX5sLbou - cVAhElMCGcjAAKiDMayp+5ZP9FfirhnDmc+L6wdc8ju0YcVLHAOQc26aRrVor6MmFtVf+IWf/4f/ - 8B/dcceHmxRylkAspgBijKUMGd5ERERfJc/YzLAI8K6Wz3XuOLNFW81yBJLndjvnnHPOOXdtsYtG - Vy87cQEsOs714OMBgpodwSUBiIseeMWih9CQaZkbTgimZFpb9eWKwhydc845546OQpqYVDX3fQwN - BTaDEP3C//lWC5xFQYCU2KTSZx7GmS77Uvd2xFIKJefEBLUAECB7b/GkGtmw/7MIQIRiMAJCgghI - L2+Hz/b/f3Fzt2YNIRSRwOHT93z+j/7sT1777a9ORCZKRDEQABFZjBdaDpOrQ1+IDHbpADvnnHPO - Oeecc84555xzzjnnnHPOOeeuHZfkbF8c0m37Luso8K9Wr4mXw9VriPbRp0QrAKsjgpZDslhRK2+Y - AQbrkQu2Z2/5zu/8m6991TMSxVDm0+0JRXDEQxemH/7I6NzZpvSBMJySSzCjJgT0hRhsMINCCWAi - AGbDJxWCUr2LA5QAKxqBYiIqIcIKtyF1d93dvux2aC6BQuCSu6YZY7aLC5vroK1mhOtOg4AsbbN6 - DuFH/uDdWBkjcmCQKQwwZk4AuGnrKK0UWyzHa/G+cVsEgNs0qrMghr0/t/Hg3CAAfPBPT6axbM45 - 55xzzjnnnHPOOeecc+64CCEAyDkT0aU1n6/g1cwMZsxsWoiurZApd5wts58WUTgHbsJ4mf0kBGPd - e7wxG8iYAQaJiAIUEQCpZUkYWmp8HoHrk41gAGIg6y0atTRCZukwaVZPj5/+mud+37NP33r6+ptY - yeYUSxPRQNGjR2QAPQSLxVOzACAOWCyezGAEGADWIafsQAa5gsn2IjzYcGlVkANh5J7b7dyRIaK+ - 7wEQDVmCdpVEbHp0t3POOeeuOaREBJB1pdvJOx06CkBQFAJ4SCM2JujXUyNxCBomNVK24WA1hLAS - VpKNAFJVJRDxtVYIvE1Ji1kxioZG+9AbZZAEVVARLkIMG8MW0d1GvNi3Vl4e9O4P7cZizHwlOEoH - Dsvr9NRp2De+n5XrNBmpsMI4ipHBOBsVCVkRgIiC3dk0GsUYJfdKFlK0ko90+q8M2RDYeVGs+MUJ - 3LSoBbs/8PgRXm/vZz/kZS9bNPa/IqnV9G6oErMZQClyX8pcekSjhomIxdpmNN+ara+szrrdadmW - E/3dD/zFr/3x2y7EBzn1wgU4WEt171Mo2d65FkqXnNlhYFpGwkNJGUMt1xrvraocY58zGU42J+1c - 853f8j0ben2QloyDMUiM956i3oh6eNgAUjU2sIID+kX2pxTMOpkKlAlmMDNi2OHlgJphcbbOgelJ - nAKCKdVFgA1EZiY2PGB/8qy7DEoaoGwMg9KirZNUASMiooDUUMNH3fTJMBpObQoGQ5mV3Uw5UjPc - DQXABiEYedCHu3J8yQrLCFTXPDW1emj0ZyNVghFKsUCRmaRI7jIRjdJo0k52dnaaJiKwWO4xR2tK - 5Xw49yd/+Yd/fucfP3jmS6P1wNxtyZwEsqjpTrpsMFQsA8Jx4Fetiz2fGr18kfF4PM/z7blN1jmw - fOS+Ox46e+aVz/urr771tTu7W6c3bpxvzsbtpMu9KoSMAy8/KTDsOrPxvu1m3RDD/KS7w8ZAKSWl - RExaSulz4JhVrJVPfekT2zirCRqgkpli5KAaHnFvm4zJVA1EYKKaVTxuOM7blf7Ua1783evNibIt - Sa2U3MS2FEVgAGQK0mGjqgEI8HR293g6hP0HBRBT3Bit/at/9ZYf/uH/4ZOf/DQAsxoMbqWU2hMs - ImZmZsvhGs4555xzzjnn3JOC1tZx1BbEekBc79jfWn7JobAd2SVIgXpcDsKQz02LdvzaGynAohqY - c84559xxozHGnen2+sp6IWLmXEoBT0v///ze73aiHIOKACg507J7db9h+BZyzhEEtVuf89z/7u/+ - ndL3zBRCmOV8lVc7XRbaHdRPHDh2Xaegn/0//iXDuGlyX5Aicn84b2tgZjMTEQBiWsx+7bd+83u+ - 67VWSlFZX13b3rqQODTj0XQ6jSld9AKe2e2cc84555xzzjnnnHPOOeecc84559z+gj0XDai5uJrP - oz19/9NqiQMAi5JkR1ve6aKY8b0B8wzWIiWGCBX0Gbu783f85uu+7TUnlLA104DQTlAED5y58Gd/ - 3s66pnSsyjXImphgpVhGGXMgq8XKGYCqqtVBYgc+GQ2nye+VM6JFvSwyJEX34EPt1iaaDTQxF22b - sc5nrJCtLZnNrnv+s8ABZk1Ks3kpJ1aQWjTJuI67l2EMvg0Fzw++16O69MunR71xVY9hc84555xz - zjnnnHPOOeecc86542J/T+wyVarWfyNSXdSFqx3Piwfw/trsWaRpGAFZVATGYIIJRjH2fVFYiglA - 3xc1jGNbprKaVjgnTOn06s233f6yFz/3JTdObknnTq3SibATVFVVQwhEBIKZ7Y/0Gtiict0jYdu7 - PPjHx9DX7IndzrlH59HdzjnnnLvmmBkFU+h0vrO7u60tIgH7I4ONqWZzEvTwqiWagZlHo1FN7VJV - Y+KaK3styXNl4xg4rvA0bG3J+ZQoNtyJgbQPYgjQORCVAGgwZVMdRoUroIs5tVfzHcDy8JiP+uuk - sjyg5wPj12v0IIDhOJwBrdHdQJCWDaCs3APGFubnL9y08QzsaFQOAbO+A5OK0HEbU36w/aKO0DdS - QEFGw8xgMmaLsFDIlADwckYsRvlT/XLI9gKMyYb0ZTIY2TIe25ZvSiqL6wIE43k3CymmNCqsRGK5 - R2ekcrJd77teY2+r/aceuOM3/uhtO+mB0TpmfXnsn9UWgaAKDcNvSdXApEIYQiUNVGNKNRq4BDNk - VqxgxOfji27+ple+8DvS7iQYQMVIFAxrhtaq/R/NHaVSStd1mpQSzMwMh7uuHV7KDECo2fZmAJqm - AbAMYiTyqPbDpUPyx755Wb9kImrb9vGcFDOo6nw+V/WF2h0+ApKC9lVYHxJu6r1mSgLASJRggCE0 - vKqFTOccYjtmNpRednZ3xqOJkkzzbJ52Za3b1DMf/ewHP/SpO+479yUaS7sWeswMfUgwRpvQZ2CR - FF77TqT2o1zmB5j2sybgRDuxOWZdx9Q80H/p9z/+m3d++T//3e/5e9ZL26ywtW07nk53RuNRkfqJ - IIDSkNsNIGjd/soiUIe0ntV3be0+HzkpSE0QU0SSIjGGkCCp/9Ddd+zQZgAnUSPrKDehMSsgBmuw - xd44AGIAMI6kYlATRGOhmFOYNt/8wlc848ZndVulsbZJKyIqYlZzkpZ57fVXRkz2qP1kzh1nzLy7 - u3v99de//e1v+8Ef/O8/97nPq5oZUko1sVtVY4wASime2+2cc84555xz7smEDAwFVACAQcCiWJdB - a9kvAEYMYtSuk0Psg7+UKax2ZS6mEEiAgm0vXBwIEEBqExX0chtBnXPOOeeOlIi0bZtzTimVXGKK - MTa/+iu/ef8DDxDR0NFABLMYYpFHGhxlQ8505KAlv+ENb/hb/9XfgCpUOQYifjLs/ezLwa49yKXI - aDSa9+W973vfe+54P9RCiJIvY/DY12RmdRYsBwW9613vuueLX3j6DTdOJpP5fB5jJOZ6AuFy6g5O - rHPOOeecc84555xzzjnnnHPOOeecc9cuWw41r9W5wPvHcnMtRkWXhngfLMm1VyluuKx/CMtnHWnJ - nzq9AUIItdACAWACg6yHNDbHrP/sm//15NyFm9IqzYHShNLBCu5/cOuO96/kUvJcGYjEwqKipgwa - c0SMlnsxCBBhYfFJiEhRi7AhGIIOlTds+LRDSZKw+C7ZNJ/fxNnzOH3CtEQwOJgSOO5O58Zh/bqT - EEFIoRll403rn34g9pyGswOcc84555xzzjnnnHPOOeecc84dS2RDjzsbdF+nuuy7bsBFBdbYQBox - BDMBXAopEnZUVREZMTCUSQLMREtKQVRm054ZozayhLLLa3RqrT9561Nf8ooXfNuzTz8/dYnn1E4n - 7cpq7kVEiCjGWAuze2qPc+5Y8ehu55xzzl2LiAiQ7Z3zfd9xC2aoGGBKvBfgfXBU92G9b0qJ6Jhl - Mz+umIiYSC2f2T77/i/9yQfvft9UdomFlY1QgigCtIXFYRZQGQ7nh8xjHcIah5hn1NlUZxwZsx7l - 10uqpDaU0qxZ1MM76qPOVgUQNAEAZUAZyjJ+9qkX/MB3/NdrvG6ZGw2BEJrY55wIF58q8ERj7Eum - HYrXA6QwgIwNbMQWYBEWQcaLHMca2r0sPMq2HI2v9SaMh6zGGn4MHuay8WL+2uJ+ABAos4UmCTR3 - JbKNuWlSCEq78y1pspzo7jzz0V951y9diF/h1bLTd4FwOfGPXOcjGwxaI0uHxO56PsHyIxgbWAlF - 83jSls1uhVZP0A1/89X/zWi+1pRRUAa0xp3Cgp+K8DjLOXclWzRmFlEM9YoP/7yaeqpPTQcH0DQN - gWqWc/3TXsVkd3iGCshmMCYigCPFtm2POlZj2ai7nKXT6VT35u+Toa61Oz7I9i6NuG40Fz+z4Ww6 - YDjbsG57A8g4mpR57hkaY2zW4k7Z1lR0o2zamY/ec8f7P/Wn956/B03JTVkZj7L2fZmnRAD6vGgl - NEbdszIedrQub2MKAMwoBSkQUwhkqWVu89bO1l2bH/uXv3Hf337N615687fYlEoZtePGikRjIwip - EISNjaAIygyF1eRyVcLQB2THa0/pqmecmKVIQWmakYE6nYYUvvjw5+8599nS9GyIgAUUoJAoVFlB - IKu7c9GGfXKoIqRYrCgQWAMF3k03Tp7+6pe/dr6lnJtIEykUQ9v3fQgMmJICZgRWMJSG3Uhfo7qr - T865bVtVPXny5Nve9rbXve51X/jCfeNxO511AJgZQM3wfqKn1DnnnHPOOeecO3w1k1ABo1pAbVmy - K2Bxo9TcbFr0Bh799AhqCTJYvb64HAyTwZ7b7Zxzzrnjh0vp11YnuzuzRMTM865PFN761rcyh9ik - eTcHwMwqootRXfpInbqmmlWf9pTT3/vXvieFEGIqJRORiD4pxjHZ/msMJHDuehP7+3/vh+6444N9 - zgLmEFQOZ3+vjgLaPyIoxjjv+ne84x3/4id+ou96LTIeNWbW933TNEXka76mc84555xzzjnnnHPO - Oeecc84555xz1x4FQHjE2gK1qPhejZf946IuqvDCy5Dvep8tAr+PvCIFg7ROf9lXc4yAyIR+hpw/ - 9dM/+5Tdfi0l5DkkggOU8eDZzTs+MJ7Nun4amSzGLCIqAWi5BcNK7vvcUmCwkaoazIgAgtEwSGwo - gWUXfTcAwIZgdfrUQK1of9/9zfO/IagEjuj6kBK6+YXtrbWVMZ721FpUbN53W7F50Y/+GMIwV3j5 - eQAjL5rlnHPOOeecc84555xzzjnnnHPHlQ09vKy4KKqrdigrDWFetS846BAjBagRA2oEELIgRiSG - COa9QjQyR4IU5YI2xUnTlqnadrh+9aZn3PTMl936rc++8dYbJk/T7aDneBTWWkuz7dk8ZcWQ2w2g - lIJaIEW9zptzT1pXXfCCR3c755xz7ppDRAY1Lhe2z4GUAxghqzDjqKtym1kIwcwCiIjqX66xockK - ZoqEGIlsM5z50uzOHTrPCQFJoQXFAKJIFoBopMJiiwLuZENtdxoCLMGKOteW9UTZ+PDjeZfMlHQv - XhpYDjW3vaYHtUWgOAFROCizJQVAGaRBQ9Ovla+U7w3fbzYxiwbmwFYjfo/tD8JgqPmgtZa9Lcbx - MymTRtIUNDCEl4GaByrv1xjuA4uYMQRxsQzoIzzLCAdmJ3Mz6lVF+taslZQkUqG5dnzCLvCZ/3z/ - +3/nvb9xobl/xlMtUEEIl/cp2YYLJfAie1vrKQQ2XC4SRYsRENHNutPNdfZQ/KG//T+extPG81Uq - iQhGRYeYc9734u4I1ZNMlNDnuZkQDWtaAARSNVzm72Hh4k1DfdXhxY2WNXlTSsiLYOnhkaSqRMd2 - wb7K1LZdIxBRXefW75aZJ+0Es6N+fzYbkuDrHJ1185rE6dzhMqCE+r/CmIwBYuXFqqReMyUKACwa - lWy7zIjUBI5AVGjH8y7Nyvr0/ukXP/aXH/jAZ953/4Uv8MRoYvM8P3Fy4/zWQ0ZoWnTFOKJtMZsh - NfsmY9+q63LXYonBzLP5bqRmtNLO83y+m1fW0878/FRmv/Ynb//Kbfe/9sXfL7tjKquhxIaSkmVm - YRGCkDWmAFgZgAYdFv+6O0Ge3n24mDlCS6aSLTOlHPopZh+48z27fKE0hWU4PVUDMoQCsO98TkGE - EUi47pOrKoEizMBKG3rq1S947cn2hnyOT6xc109L7gqnGGOjkH2/MQUxrrUDI/dko8wcQpjNZjfe - eMPb3vZLr3/96++994HRqOm6rKqj0Wg+nwNI6f9n796DZcvu+rB/f7+11t7dfR73OQ+N5iGNxIxG - QgJGlAQIBEKRgiBxQiiEkBEPgRBg4hSxQ8BxTOxUYrsI5dixBEY4MpVSgkQKCNgJDg9BIRB6AZIQ - ih4jaTRPzeO+zunuvfdav98vf6zdfc69M4Pmjs6ZuXfu71O3+vbp7tPdp/dr9V5r/b4p5/xUv1vn - nHPOOeecc+7g8V5aNgHM4BpUSNAIjYDBzERNBXp4nWY0BnaPPxgg9abaBakIpmowrec9lR5RsMw5 - 55xz7ikXOXTLIYSQS5nNNnfP9X/6nvd86tOfFtOhExBS0+RhAKCmgYPo/ojovVEuAUTAm37whzYm - Uy1iWgwKoniZD3UgIwBGdv6NiCnlnGOM3/Ytr7n+umd+/r571OQAW3s1rrter9MCSykN0zve8Y6f - /q9+sl8ujx892i27yIGIxHO7nXPOOeecc84555xzzjnnnHPOOeecewRCLf9zQTGfvRJewHkVu5lA - xqsiM7UMx6rMFwAgPMkjwsdocAYKYAIAHAE2CAGLHkv9xD/5n65ezk82jYnmfqeZJOzs4p777nrf - +44MJeecYkAKy2GIoaEJa5alDFERGS2gqhwiURARoJYtsqxmAQDCmNs9xpfXqmu1qhWtorsBBLUJ - 29n77ztx+lQ4cQxSUAipwe4SIYTJFEOPjW3E1si6aQIrwoXFsWrprcOs5uacc84555xzzjnnnHPO - Oeecc+5LojR2GfOqr32d4T1W/bex05cMUZiMhdUIRqU+jAxJ0aAxMcsZhLTZCuuyy1tNSCVhniZy - 7LlXPf/Ft3zdrc94/nY41kqjZ4geDjE0IbRqvADRbGo2RBAAEakFOjzMxbmnKzOzyy61G4BHdzvn - nHPuCqQEJQjKmZ1TFFejgm0MBK1qEN04Jtu+pLHD+0tEmlIIodZtDODypT3zZUrEsmaoDJPSh+Ui - 7Q5pYclIWAnKqoyg4+dvhBL2vurX2wlgffRPbhWeDYABPYxLXi+11ZKto/fX648wUCOECUHRMIJC - wUZQVjYEZVNqN5t2M/JOiDFFSllKyULEikvqzIGSwWj/VsAgXVexJxuzrvetyrYve3udub6O5d7/ - rUlBbNC6fPX8JcqrX66lVusvAxigMpQ2hEkzsc6yiEXNG/25+IU/u+dP3/kH/3q3OWNTzQIIjmzN - FovF4/9ryXRdy99olS6AcZUDQBrHqQpUjFUJpEgy6U7Z617+XTcfu63dnSVtVRhBhBiAkY7Bq/tO - WrkDZ+fNotEai0jMq1BtmNoq6/kQXt2MiJg5xmiDmRkz19MEHtp9GNafMJvBGAqi0DTN4Ud315cH - xvhw6/qFsRmp7W3g6/3ek/Jm3NOXrvszCKRgY4BrXrVRUdLx+GgEghJiS4Muisw5RSR0tjjdP/Tg - /L4//KPf/czDn7h/fk/YKO3JkK3LKu0snFmcbjdCiOizSEEgMKNpAFvvKhk1PmfVm3JRRICgsSGR - oS8FjBTQ9RkJxv3p/v7f+ehv3Xf/vd/1itdPJ01A0GzjIZ9gpGTrphcAhkVYLbyuoELGIHh69wHS - ohxj5GbIfeAcp7hz8bmP3fdha4QYmoEAMoQA3ddU3Wv8EYNEDTFx1qKEJpD1xst009HnvPSWl+lO - 2EjbMoCEp5PJcrls21ZMV2vy3lPV51Z4WpK7/NTQbqJhY2OjWw433HDD29/+9te//m9+4YFTMcZS - Std1zKyqntvtnHPOOeecc+5pxoC8/wcGyPZ1DkJMw9ibqgAYY5x27YU88EsGwuol9k4z8V6gd631 - Vla1yZxzzjnnLkFEpKpElNrJkMv29vYvvO0X1ZTG2rNjgDQTq2nN7V737QJ7rSCDbbaT7/iO7yCA - 1IgohCgitSv4Mja268zovNvmO7uxSTHEwPza7/zOn/3nPzdJTZeHg3rZulDWs4ZSSjnnonjg1Onf - +M3ffP3rXpeHQUSamGBsZvBRQ84555xzzjnnnHPOOeecc84555xzzl1IH7OaAI1joPYKT63vsr3H - 7L9tLIFFj3Lv4dk/bImgAAcDFLFkZPvk//Cz15e8wWS7Z0opTSTsnsEDDz745x8+HkM/DH1iS5zN - tk9eNZnOKMU89P18d7FchpyDElSjlERMZkQgItk3VupRq1cp1YH84wh5IwAaVGyxXH7+7uk1VyMP - iAkG2dlVxGY2w2wDHHRnd9jY5ONH0AaEdaA6178NXvnBOeecc84555xzzjnnnHPOOecuVTUVq6at - 7e9K5lV291imhBQGAoIxGRgKU1k9Q71smpi7wQQpTmCUzwooHomb9HC54fizX/RVX/2iZ7/kmumN - qZu1eTrDlnWSUhuaILBeSzYTCAwtM5mamaoycw1oG4YhRo/Kdc5dKnx/5JxzzrkrjpkpBCGf2z3F - kYogEjHRo47pNlyYKPw41ThFWo+0NtRh0Bd8ISQEQJ7IC1yuuElNMRUUcKuchFhjLMiRWiModyAj - BhmCjuHQWA0KD1pT1QngvULqpFgN8haG0Op3gAO/JCNeJ7uP2YJYh1sbKWB1ca6TvJlgAYAqQxgG - mKjEkmXo+xy7YVpaC4FAahJTa+USC3KrH2/9c2xvVD3On6pQHyCsSsVIVkuHgLFE/vizEfYmAAQF - kzGf/5hKx9et0wYU45ZoTBGZGEkzDbmnDcubyy/onb/1oV95/6ffM2z185I5p0m7adLvnl1wurg/ - N5jCVCgaWFjrjI6wyu1mbQEoiQTVADKkwkfs5Fc85yUve8G3pDMz7kI7jYs8WCQFY6z4r4AaIjy9 - +6DVNUdo38+mAObLJUhrOCIAIgKMmeSi54Os173z5pOM+/VVMjcRBeIYwro+b72yrtXrDsUqtdfM - yJBCc9gvSETrRUoEIyz7fv8DlBAMANieYOPBuaq2IoxI60GToAARM6CkxlLCoCT1oEoaAM3aUyMl - Lc7mB+469an/756PfOruj9979h6NJqzpKCiEflgOgxCDICFBWZYdAmFzk7rOlnPMZlBloP6D0dgM - uGgGSnx2ru3ENrZCXogJJqnJUkS0qLabw5mde/7yC0P+nfl/+rLvvqF99oZR0FSTdQJApgQGFUMD - ECzBAlkGKUF4L9XbHQxTUrEQUiABSmm6D338vaf1PpCRwSIUYEFDpGZYNWbWrWQjJcBYlY0YDJTe - ZqU5nq556a0vPxavlq5pQlruLKbtNKXUdV22AWxjSPcTW82ce8qsI+ZXSAHknI8c2drdXQxDp6Yx - xhtvuv5tb/vFN3zvD5w9u1OTG5qm6boOq7biU/LunXPOOeecc865g0ew2gFe6jkjBWkwAFwIYDWg - 39+/gvHU0qH05u5/HWMQCLxXpUywOtGvMD8r5ZxzzrlLl5mllIYiTQg73eKuz97x+3/w7gKklDRn - NZNcYIgp5jw8Vp8DAQR862tec+Mzr5+0abk7jyEQoAa+zCOlGVRHZawHZ9axaltbm30eculjij/w - A9/31l94y07fHexLP3JckMGI6Bd/6W3f8e3fLrk0KYHJMhGxwduczjnnnHPOOeecc84555xzzjnn - nHPOPYZaN6bmb2P/dRAQaN8N+4ZI0SrYez2AvI7R4TpeCgqADr8ehQK16FEDAAUEFMGZ4TM/+3PX - 5Uw7p3F0kpc7zdYJdD3uuPOBD/x5O1CHYFed3Lj26tkzrsXJEyAGKdhiwNSAc/PuzvvP3XtP0y1l - d1dymYIYwUyVQGGVzL0qZweAoGwsvHfLfgRrA+/ce+9091akBmzINt+Zg0KcbWI2lfkyHDkpJnfP - d26eRPCqnBoA8HpxOOecc84555xzzjnnnHPOOeecuzQZxo5dBdjGgCRexbgEsJ4XsqOMglVilBmE - x/zvnopFtDHMeBbmbegm1x254earbvmmV7/qWHO8iRPNwDw0oaUQF8NyOpku8nLoBjNqmiamNpiV - UlTUapoXc1jF93gxdufcJcWju51zzjl3xTEzQIXzouwwkwgsGDMrZC9m20BQJeYDqdltIIJhFd1t - bEZmBiIiuqKy6sigw0BMHBCJyUS1EBtqoUpjMyIzGNjACgP2clJtjOQ22CodV1f1L4GacwmYHWKx - 9xoJzgARAGFDHbe/7/4xsZVXhUHr+xeGGhRQIAICymJGoW0nQZIZiFhV+FI+ZWD8KDF1Y7yoCkuh - nCyPS3JVEZ8MRnU5ARjP2tSgZd4XBL56fJ0Zwat7VQEyNQqAhfGpBrAhpWxl4D5vLD7+0J//+7/4 - vz72wAd1lpelaydT0pSXA7E1TSxWHv+fyOsgdtIxuNTGYHgFmMYs3prUXtPl227zpmPP/fZXfHdc - bjTYbJvpfL7bzKa9KUARgAXaAAAgAElEQVQwZigBRiak66RhdwhUV/NmjDDkru5gVbGuNkxEOLhN - rO4O1k/OzMyMVYneesVPAn7pHisDm4gYMAUx6tbK/GRsX7Y6j2yAmZVSDKIEHY/lvo27g8EGBe9N - mQMABYqyCucSl31altgbmQJBA4AHz37hrns+8/HPf/jzD33ytNzfh90S+zJVNSAAYGRlxsaMAe0V - COgyUkQgzOcWGVtbsWRZ1w43UoLu5dzQo8zTeyxGWGbd2A5a5Nw5mQSEgNIXMJlhttEslkOaQnT+ - 0Xs+MP+9+fe95s3XTW5shy0DsxFpIFiw2sujimDgeo1JQfkg9+YOAJDa2HVDQyGGuMD8XHnwQ3f8 - cZd2ocqFqVUViKCJLCKox1aCoe6ilWoGkmEo1rYgoNvBZjpx09FbX/y8r9d5aqwNxm1qmGln9yw3 - AWxKq2Y7AGM2IuO9xtIV9R3JPS0w82KxSCmpakophFBKue22297ylre88Y0/NAwDgJrbPZvNFovF - U/1+nXPOOeecc865g7MulLbuQxxPbOpekjadX7RLDv1N1a5kENv6Z2WYBhADuq7s5mGKzjnnnLsk - MXPOOcS07PvYpF9517u6PAAY8sAcVKVOTss52/mDsvYYGAjAm9/0wwTdPXsuxVhKiXGc2PYk/0UH - az0+0FYd2QaQYT6ft9OJGszsquMnXv3qV//6b/1bZsoqB/IHM7OqAqg9QaWUGKOUImYf/IsPf/DP - /uxrX/pSG0rOOYVYSqFwEK/qnHPOOeecc84555xzzjnnnHPOOefc0wqvKjvV6+fXslgPQR+jqfeh - vf8vqIFlqyHq9XnDkxI5TWCuEd6ikIIuf+6f/bPtM6cnjcVZi/m8mc6wuyx/+ZcPfOJjG800TCZH - bn4unvtszKYIhBiQBZEQFaYA46rNyZFrJrc+r/urD/f33icPnjEjEIpKAZo01mCgOmKKVtf3PszV - p7EqxUawhml++hzufxDPuh5dD9CwWBZDvPEmnDkbZhu6XNKRrZf/3Z9AjHVqgJfOcc4555xzzjnn - nHPOOeecc865y4jRmLKkq0xuJV2nd/NejzwDin2hS7rqgI4KLjFpE7pmE8dvu+4rvua2l9181S0b - ehSLSVpOicxoTCQAkFJbq6BMJpMYo4gM/ZzY2qaRgnWPfSnFzJh5MpmIHH7hOeece3w8uts555y7 - hKyrIpo9GWN/r1jEUWxpnM/OTw0yTKaEHgAsrR4A0Gpgttba3Rf1/Lb/y+e+24lyzm3bqggzQ8di - jldWhUbSQBKYBdLJMqI0KCYFDIiKKRmYEIAIhFXWtY5RySgGMzAjJBIRZVj9Sr/6bl/TlOnQApKN - kIMqjYuYUGMHQYZIq5x343HovwFgWDQiUyiL2gBAldSYqQG4ZJ2l1O8uOQaOMJNLddtff6RaT4eY - wgrSJNFAy9JZo92w28ZJGChYwHoeBBuMa6itEXSMMzZjhHGnV8YPSmtyO5FxABMR1MaK/moAmNmi - Wco7unOmLNMRemC4648/+nt/8unffSDfIzM10hgmmsHIIUKYs9U058e7CY+PptUZImEjJhQAsUl9 - nwODmcswNCHCJCybL9t+4Wu/8fuO27W0mIDTsgw85RIHFTJwMJAxAwohEvP07sNhq0WmgJECulwu - Y4y7ZeAJTKBqZHgCdYcfNTQaGBO72WBiHIKq5ixNmgA1MZxUlYhCCCJCdKlu1pc8skcmBY+bs4jw - WBOZUgrn+jydbhz2zClVZSaIEUEEKaVlN39yIsPdlYaMG2EAwlp3awDAWThjVh5efH43nHrw3H13 - P3jX7nJx+gun77n/rrk8JKEXtsIqTREyo6ikXI/ZUAQAKKoAiKCGxCCFApHBBumVQGTMdaKjQevr - XvyGZQSOyEWiYhbABhhbVCXAMPTDhADBkJdhs70nf/pf/Po/ffN/+Lefs30bDxNb8izMoArKCggX - BVgjjINFJgEFkOqj7B/cE9flvm0n6DWEUNrlh+/60OnyhYG7iTXQ2JeBGEzQIoEjG9gKDJmhDLIC - cDAoIQSIggxH42Sy2P7Wb3ltk7eSTNggeYgMaOFEoGJYLUNvHbmnEVVNiYdhiJGHYWjbdrnof/u3 - f7u2BuvX/xjjYrGorcSn+v0655xz7otbpzHVvpunQa6Vc845dyiMa/coGcZePUOpHakMVcDAgdQM - AZDanQOAaTUu4mAvAVUgo1ZcU5hCAWGCNOCNNJvnBcGI2VQjIoCC4sd455xz7pCsv02nlHLOzExE - fpL8cWDApCg3cXfR/W//+/8hgNWeYxUA9ZTF+kxFbFLJebyeQskSA0Hs6176NS/68udrLpO2HYah - TQ2IxrF4Fzkk8lLCq7deO7PNVp3aHEMphYiJGWxvetMP/uZv/dagCiDGWErBalXEvpXz8asfO4D6 - VPUKrRbNr7zrV7/yK7+y4UAc+jyklB7t+b3h6ZxzzjnnnHPOOeecc84555xzzjnnrmgGKNjW1Xvq - FVvdVy8NIEifQ4oIBIYBalpKaVNTH2OGwOsnPO/ySajnxuP4+aiyYDOcW3zkZ3/2qsXi6HbsF2eZ - iNMEZ3YWH/vEg3ff08eNq19wW7zpJjQJsyk4yNCHECAC0XEw+7TFoGinEJ28+PbJyc92f/mp7sGH - k5QITANrGcsgjcncGD+9v4aYBITZUJZ3fGZ6/TWYNJjL8uy5pp2gnaJJxoFSMxc7FiMC19xuwmoR - AEyX8Tgz55xzzjnnnHPOOeecc8455w5QCMHMArOZ1DgSr0zpLhWrIv9GtXwq2CDQEFikAIghqarI - EGM0oKZ3q0EB0tDodCpTnje3Xvu821/00udd9/yT7bWpTLEMKJHCRI0AYjAMXDuuxQIakKqi5N4I - MZmSZh2Ywvr91C0FgNeZce5piZm7rlvlcV1OdZs9uts555xzVxwzMyalsux3QVobbfUL2zqSGQDb - Y4a2XgxdP1VNK2YEZoawGe2VjbyShBil5IJBRFQESqzIgoYDAyUUAyBQhSpEgUgWiAjEHJhrRO6y - l6ZZjSOvud0Yx3yT8aNGpx8IIQAMg5KyjYP1mQBgPmibEEKwYqrKzAwSM2Y2cH1zbExkgWLkWppT - 1YpBUooUoYGGnBNf0mHuVCPJCTHCDKUU03Bks9GUlbvF/PQmH4FwfaSamYDIQKw6nlFhIuXVvSqo - i9eUCFQrypqpCRQiwsxN01DAMAzDkIEy19PT420nO+//9Af/5BO/94kHPrKbTjdbLKYAByoAlBTG - RqszMo9/fbC6iEHQmroNsKER1p15bmckpahha2Pz7IPzI5Pj105uev0r3/Ss7efZ2aYJbeS2505Z - ci4cIsCkkY2pJpOb1GjwLzbrwT0RRufVtt0/yQQAEaiuXge0033kl/31iT93wEgBBmp08YXbDhmY - IMZEIRBfxMZ+AG8MpqaqChkPQXQxexvnvhiy2uaAAICCFGbGuded//e9//aDd/3Jsjk7pKVY4RIp - IU/nUuuTG5QYxnWTWfeRXNCsXa2uTDa2fmnsyWCCwgDoOInu4ldsMhAYYNKxeaakwuO+mgGux3y2 - TF0Jg1B5x7//pR/+T/7zY/aME9vXyhmVvhy7+siDpx6I02SAUggW2UAWwLH25jyxD9Y9kpIawVBI - w6D9Ipx7/yf++PTyofZYwBJ1JVmvBrUlQxaN1AgKDVCqEfCmxRAJWCJ27Tff/h/dcOQW2mlIA5Hw - eFhWEIygdF5uNxnBeN3pdkV+SXKXvZrGLSJN0wAcQuj7/q1vfes73/nOnGWd1V1jIbyr2DnnnLss - EFE9zauqZsbs5/Scc865R2egVeegMVBW1wwwAdWz/IUQ6q266pkHGYP0wC8NpjCiVW8OgQjBlEAK - 2c3nGGxQU8Qm6FDfL/kZR+ecc+7whBBUNedcv2s/1W/n8kBEYEpN25X8a7/x6/c99GCMqS/5sR5f - cgbRZDLpuq5kYYKJEfA9r//u6XRqQwHQxKSqqkZET6Qb+BJj6xGDtHcLM6eU+iHDTE1vv/325z73 - uXd8/nN9FpGxv6bmdjPzQU31qQMII+FX3vnOn/6vf+rY1ubmdNabMrN3CTnnnHPOOeecc84555xz - zjnnnHPOOfdItq+IzBhBPaZSg2g1IsgQJgmiqkUDK4gJMTVZSqDItBf4TTQWpuFHrU1zOIhgvVEk - jg0Wi796689fm2U7Ur84Hdsky8zzfOqjnzj7wP3UpFu+8ZswbXD8SMlDESWmnOJk0iIl6XPbNjDs - dMu2abgf4mSKsoPrnzEp9PC5D6WcAqzPizYFy1Lrguwfh6e0d8NY+mY9Np5R8jCNaXn69HSxi3aK - EvN8HkJECJjOpJgYSmRwqJnne7ndVsfZg7GqmOWcc84555xzzjnnnHPOOeecc+4SUzOVQHu9xiAI - oAqwSu1VR84FZkhs0Dh0JYZ2e7JdloZlvOnEs2+7/oUve+E3bNn2ph1tZUJdChqYG57ERV4aKxmr - MYP2pV0oqCbI1Nod7DFMzrnLhUd3O+ecc+5KxExKZWdx2qIWQTQmHguJAwB0lf3MB5vESUTMfCXH - uxq4IBUQxxRTzzxLcZMigYbSSyErJGAggEMgZSMYMpEZMBQxE2bEhhvmnAuNQd3MYz4lAQIaDq+0 - elCQTmABUJABalxXG93YiMNQ8iCRERKbWSlaDDF2CoNFqICUDcGUVWLSJsJYRXuCmlKIMQa6tCuj - KqAMwCA9iFDUmBSt3n36znQ0bhybdA/vTGgzxliDHlVMDVAjCjVS20CMULcCI2EuIIERFERGRkRG - gJlNUhTTnf6smbTTFGJY2rwL5/704+9//yf+5FMPfmwRTscjvBnTICUaG6mxrrIeFRrJxtTRx8mI - hRhAoyWYsjKMjQjg6VQtaFEkQ7fTH+Nrrmtu/f7XvPmG5mY83OSutNNJL6WHtimiFFAmYxBgCZZA - AGV+8uZ3XLm0BoJqMRxc9dt1td+11Q9mIAMjKPRK3rcfttVBedyCxirSRgDDmIBIHGN6Mt+SAQJR - 6FjK2VO73UGrhzMh1VVvBxMMsGidLXf1zBDP2bQYmeWCwEtSYQRD0BpCM4ZuK7ERCo0nAMnGtZWw - l5q8sn9io4KUbHXTRe7eyLiRCAQABstcjHX9UqQwgJFAZiQCWdqp+zP9q1/7n/+L1/29U/N4JJ2c - pa0zZ85NNzYG64yUDCAhC2SAtiAFe03/g6SUOTVULHP/kbs/dMdDH0cAzISVjdmiagEBBFKwAcY6 - Nlp1NfG1AGDAFtjG9nUbz3nlV73GzjRNmCo0GsjUaP/eUrEOjK/7c+cuG4/Y/xgDKEUnk1nXDSEE - mOU8vOtd73rLW35BFMy8zmbo+x6rnO8n/Z0755xz7uKYWdM0wzAQkZnVaLGa5P1UvzXnnHPu0kKw - AAMgMAGCQAlKgCEQw3gjbsxLJ2CIggNEzYCarG108JcIBmVDU6BQGU/pw8jAoAR0mgJYUbK0DQ2D - GezS7qJ1zjnnLm9mZmb1+3WMsZTi36+/KBEl4qFkhb393/wbA/K+noX9/bfjDDZiVXTL5fqmSRNO - HD32H3/bt0GNYFokhKiqxES06rN9uliPxyKinLOaRA6l2Gw6/Vs/9iM//l/+HQMZbN1fU9fGg4ru - Ri1US2E+9O/6P3/1x3/kzTs7O4EphFDv9RElzjnnnHPOOeecc84555xzzjnnnHPOrREQgLBvznYd - 760AeMyMlpxT4EAkQZhIYAYTaETgEBkwAa3HQBkICLRXIOiwCwCpSAiBGgIAZRTTc7spD1rmkzYO - qm3bljs+f//d91/3ZTccfcHzwBGJ0fcxhkA4lwfd2r6/9Hk26aeJOXIpm+32MeNtlLLYiRsTCOG5 - N59oJqf+8I8sy4RTyXl/Ia1aiqeWfah/by0MUiuDATAGEaBgVdk5h/vvw/U3oOtlOb/+1lsQIkoW - iqWJfWoghlDr9uyrjmW4+HIjzjnnnHPOOeecc84555xzzjnnniRkYHDNDQAVo1UXLyEkdBlNAhGG - AWlCMaRhWSBhI55ohtnmcOwF19/+she8/NknvywMiQdmCQBEyRSDqUmPvIypJmYEEMuYdqGgAhJC - qV30rA1pCjWWguRRSrg759ylxKO7nXPOOXelYTMCk1GZd7u0NY4NJoPVwFcgGDAmdh9kzu4YlEhE - CERkRqjJvsAV9dVxUFMKkyYYxdLzsOQiJMxtbCPyYCoqhEQIBoKZoQDGTCGZCIpiyMqscZWqzqgB - 3gQwyIRYSdmghAO/BDgo8ZhWKCBV07rm9LkQowkEQEUhYOZpCFokgUwZYEhhoiAx5TAWRa3539BS - JCUOxJfauvCotUPJEOrnjQjEU+ceete/e8cxPnbV9Jrrjz3nxMZVJ4+fOHbsxMZkO7WRLLKGQJEs - sgVTIo0GYhATivUwha5eh4kIFqxoDomEtE8dJ9qV4a57P/fJu/7qL+543wPLu/pmNx5VZu2KooAI - zKYE4THrlAwBhS5++zWMkxTI6hJXtVAr+e/OsTFBk6kpm9fPbv6br3rzDZPn0RlKklLTxBi7oYDJ - wKrKYRU1TGBjGNedjDtMe2mgqqqEw8vSPr94L5spEXl09yFZ5XaPOR8XMKvVlWOMh35+g8bizaiL - 2szU1MyM1B5jb+ncE2YEJdt/XFMjAItuGZqQtpouWm9CDIbKALR1DqKiHnrGZ6mXDOKaLGtUj3E6 - 3g6srxhQ47qNdD1frx68akPo8WPjqAHGhSEsxqq8KpVuMIMqjMwYNM7VszKbP7S455d+9S0/9p1/ - t1vOrViBBSIYkylIQDAGNIwHeQPoEmszXcY0Mg+l48Q7OPWej747N4sJh1yESK22tMG67wOvrd/a - JzeuLQQYGgokcZKPvuaV3566GYaJJjCzmqyT4Y2w+u6zl9tdm9lYraJX1Jcj93QSQjAzZobxu9/9 - 7n/4D/9HAMwAkHMmoqZpanT3AUZBOOecc+7wxBiHYWBmACEEVZ1Op/P5vN7inHPOuTUyTsYGKJuR - BkUACgFgVQbCvHQMJilNarq8UBjhEA+oCgBMQIQSUICacikGC7ACRAwKAiKjzwYCE55O0ZXOOefc - JUVV62iKem68lFJvfIrf1uVARIz4/R94/5999COpTV2f8dglZdefKDGbqBn6QX7ojT+4tbVVuiGG - mpUuRMQhlFKeHm2f2t2yf2UyM1WNHBgw0Z2zZ1/32u/6b3/mHzy0M8dq9TuM5HgiKiqJ8L++/e1v - /N43bG1u5r7z9dw555xzzjnnnHPOOeecc84555xzzrlHVYuGBQCA1PxrjKHdNYA7psgwRWECYFpy - ig2Bhn45bWcmMDPicOHzjuXdDj2+O3AQMQQyQVRDbL78J/7OA//0H09jgzy0bdOf2W2fdePzp1Ns - zxACAMSmWyyUaZdJj594SPHlP/lTaBJCBAxm6LpPvPXnT/Vnrr3q5GJxZgpOucd11xx//m2nPvqx - ACbjMd98VRzPCAYGdB3jDdQCI1pL9AjQNFQGaSmd+eydR5/xTJw5E8yyoUkJakvNvN3umKBtrA6r - r6Oyzqvws686hHPOOeecc84555xzzjnnnHPOuUsMG5T0gornOSNFWEbpwCAODSNtyNYUx288cvPt - t7zkRc9+8VXhGeU06KG0EWcqMIiSUQAaApESoIVLrh3ICgWVGmahJIwy5i8ZA2QEUgYAkif/E3DO - PVVsld90ecUueHS3c8455644UiuBkhTNFAgGomB2XqlE+pJadF+86uKjxY9eEZSAYCJSVEtfgrTb - 4figE9UFZZNAKUIYhGRGopaRp9NpnxfZLLWUGqujxkNAzjVcWc00oKgxW1SCgg1QYyM98EsAgQQQ - kIKKhJqsCQAiaBKgVnqQoE2TxEkHiQMFC8EmjEBkpEbGTZ4emR0tc4VSYEZiKzCDiAQ8YlbAU4y1 - JiwSyEB7IfQQQVGZtHFyNJybP7QcTj3QffYj596rZAExUdpoNo5tnrxq6+ojs2NXbV9zZHL0xNY1 - 29MjkzBhCZoNyk2YMgI1TGTCIlYKl8y9RZmX3VPLh+87de8dd3/ys3d/ZmdxVuNQwm7Z7qjRjk0E - k9QkTl0/N4IxjKAAG1gRDGRquLi0UcKY+y4MQMlqlCmk06Mpccdx2d5y7EWv/Q9+6Cp6Np1Jm9yG - wJ10fd8jxMhRpbBxMMMYg2pKCgLAZLBDndjhSOvUmWJqpgeQ6loTmh/rTgqA1FMAbIeYFO72e8QW - TWbGoMTpsF+aDPUU8Dq62+rFePf+9W2dUevcE2EEIVNSITU2GAVjAgNh0m5ICd2yyCaDIAWscRZa - ySJclGCkSigMGNiYLJJxqMdyEoMaFwNYwVYP8fvWVFKGKoP37fhq0+uiWq5kCAolKIuEQXic/seK - oDCrf6CAiUERZozdstSW7uk+/Su/9/bvedUPy26ONMtZiAKIATUSBcBgC0pjork7EAyElM7OHw5H - 6KN3fuhzD326bA6qwgmCosZRIjBO4zQqQrWRBDIOBtDYcAoCLpOm3/yKG7/2hc98KZ9L02baazaG - rdLf64JTGkO7ecyP35ulWVdVvjK/KbnLXNNMzpw5N51smOIDH/jAj//4T6REIiaK9Y625nbHGGsy - hHPOOecucaWUyWTSdR1WiWLz+TyEIOJDwZxzzrkLDWM8dqn10giIAgECp6xFJkn6/rhJyLkFeuBQ - z58LMGAcRB5WJ7asXg4oAZIAhQ1gCzCLk7b0S5if0nfOOecOBRHVr9L1OzUzE5GqXl7TLZ58McbS - 96md/su3/LwBXZ+ZWWGmY08pn9fPCxhijGYiIkxoY9RcfuD7vn/oujYlEw0hqBoRmZmahadhh6sC - UNUmJUIYck5Ek+lUQT/wfd//c//yLRRjKaWufvUXDvI8DxPUiuHTd37uj9/73ld/8ytTSmXIzF6v - 1jnnnHPOOeecc84555xzzjnnnHPOufPZvphuINBYzUKBPg+z1BgKpMQQoAIGRCMzVEGYcoQpMZvA - yMZSP7YqiLE//fsw37/mPjTtAAiDiThETNt3/P673/SKb54I7T68u3nySN6d0zVbcbqFRYcYs4FP - nrhn6OdXHX/R3/rb1w7A7AgowoAWyAXtxq0/+ZMo3Xv+yT++dWu7mc/JOA4ZX35b89BD5eHTuuin - YIaS7RUAGeuBAKiR3sYAYRwgZiTKKQClIdu9/wGcOoszp1OgjWuvBtSMms3Zg3331T/907WC8iMq - +qwXmHPOOeecc84555xzzjnnnHPOuUsRrQuRAMAqYMKgHdrJzHq0NNtujyzPDie2r/qGr37lV177 - kqPt1YkmeVeGgmnYiNMmD6WNjRoAVRMpIqRKCrWZNUHZSInUIEqqpAwoIlCDMEiICcqcAXg+i3NX - jsu3fpRHdzvnnHPuikNEBSZSlGoCYB2CPEbQhX3tOqMxtfeik7wfO+DQVoiIxyLmVxQNFNRUszWp - ed4zXti2U+XekHW5KzR0tOw19x12F8tFt1iWxc6ZUwlbCGpSBuqLLS2YtQj7AlyVAajpADDAMDbC - YVyS1cBCBe29OhvIEAyYI9pkg2ZR29S1xzZPHLvq2LUnT0RLDWaMEAkgNTMqzZHwjM1whIdYYAEU - QypmZnRZJDuzgWME8aBlMSybECebIUEHWXSxWAAUJjg14J6HP9s81Ca0SROXGLQJGtow3d7YOrp9 - bHtyjLRNHCkGZlayQbtO+mzdvQ/dfbY7vVt2NYk2ebBemsyhcEAIKIbSQwUENQzLAe1sDAql1RIZ - XVRut2lYncqRMU1SASVjGmgjHgmL5tZrX/jaV37/Mb2uHWYNTcrQC1uWooFSDCJCUjYm05wHAEIw - KDExlCwwWH06wqHZv/WoCmoMw6FtUnXyzgVx3Rd9sHBfDNm4HOvR+YLa0UQEQz0h81RFp9ujpIk7 - 96VTMgaNuxWy8ehmxpJVVSlwSFFjlFLqjocMQZkIwvqIKutKxkbKUKFVWCxhFUXDoPWvqBBAkFXr - d93FclE7UyNVFoOACkhrMXJSwMAUDaSw2gpWGCmUkRnS5nPDwx/87B9d/5FnvuIrv7XpJuiJNcEU - VJQEZLBixh7cfeBsKHFCp9NDf/Cx30WjqsUYxBADSMk02LgyKMOgtVUcFePXGYIRlLjs0A2zG7/l - pf+ZnW02my3JCmjtMjMwoFobWoZVXDdjdfQ0go6roirY07vdZUdVp9Np27Tve9/73vCG740ROVsI - lFKsZwDW2Q8hBI/uds455y4XXdfFGGuumJmpqud2O+ecc49G9x8gBQgAgQmctSAxdDEx3AacAHYB - Bg71i7EAA2BABMJqcGSN7mbgbsGdjMxggioRNaVXGPtob+ecc+6Q1CkWzCwiNSm5pkc/1e/rUldK - mUwmd3zuc7/zu78TUpAiRoAaAAPC6mHrz5GZSym1ScNMQy5veN1rjx07psMQiJd9N5lMAFOYiYQQ - Vp3Fl7c6QnMdjk02Dh2RIQPWNo3CumX3vd/zhv/lX71tmYf1wJJ6qufAzvOsagdP2knuu7e97V+/ - 4hte3gSfpOOcc84555xzzjnnnHPOOeecc84559xjo32XAAEJaFIDqC46bltkhQF5AEdAIQVMiAmL - DtMJB5ZVIQwmEK3KYjwpY9M4JTNZSp7ECROjm6Od/sS7//CT/+i/v2njyEaTUMqSdTadoMtANG7m - oPtyvu3v/xSg2JhhNq0j76VAEizFBiAxGH/9T/+9T/6DfzRRmmxuY7mL+WLz+bc89Md/GoD2/OJ4 - F3yQ63sUrADXynZFGLBcJgbc+Xl0hclggphA0ovKrAEbQhIxZq7PZavCSj7M3jnnnHPOOeecc845 - 55xzzjnnLmlUe3WVsNdtHKTZbjfQBV62W+HYrdc8/6Vf/zU3XP2suGw3+qOTYcMIrCAiUsqSTaU3 - ZWZmBGYmsK16i21ME2CDQhhc48EIBPD+dB6lAoCN4Zy7wqxLSV0udaW8KpBzzjnnrjhELCKZM9hU - Cwj2yDzC9Y81WwdFkJYAACAASURBVPCA1HiPy6KZeEjYwDK0hlh4FrZuu+orbjp5a+QQYSwDkUos - FhnWilpBL1YAO7tz6s4HPvuZez5175nPn+ofPDecWuRdSpJDNi5YxabaGL9+mCGqtEpbX+d2K1hj - lDTjLVvwifaaFzz7q77i5tuvO3bjLGwxwrJ0bJxsygjEGZDCGRatTzM+YWRaAGhogqhwZFW7dKMK - CaxjwdNuWUJsmnYqsCEvEVDU+gEGkILBTEQTgrFADcMgXT11YgYzu9uMzjLOMMBMITIzRTNS1VKK - qqYUrFFtRGww0pCISMUgBDWYUIqBGxaIkMymzTAUEk5jYX01UuWLnlDBQFAoIAQl5ABAgyEKZmEj - npu8/LZvffWL/8Y2XROHZtjt24YoijKltgEFVTXVoIZsQZMQG+n4DxolAutTV+6g8L4avLAx+BM1 - H7GW3DWrqyNU7aByvIlAROtYcDMDAlH463/LHSAzI2IAUCODGT050d1moHEFAOq8rQtfV/evk849 - MQRERahZxwQY2BAMakqWU2MhWae7ywJmhKZkreneY8I3C1DbQ6bAAIKE8XlrGvjY0qAxwNvo/Czs - 9eRGqyt0XaUv4vilrD0P9Xpc/Z6BYVwoMJihZDBVAZVgSphMw3KRKWbewu/9xW+ePH7iy09+3Xa4 - hkpiYwm90QDKIFYd2LeyA0XGKCVO6YOfe98nH/54u2GJU0k5a+3/gpEqxjmcxhCCEYIiGMi4hnkL - gQjbk+Pf8OJXXTN7VjPfWs67po2ldKGZKAIbgcYAJCMl49p2X/WrrVcwWf3oS9ldZkopKaWPfexj - P/qjP2YGVQAQMWhZp5Iws6r2ff8Uv1fnnHPOPT41TqyUMVq0ntjPOaeUnto35pxzzl2CVt1x40ke - AterhdEjA8uN+fLXb/66ryo2xJ1lv9ukGQCAVyeCDvLSSAHNQQuDjdsC1ghLhtQt7aGbrn3Vn/5a - ObIVZQZLWcAt+BGDBZxzzjl3gFS1FvQkIiIKIay/brvHIiIhxl/+5V9WQAUgMv3remxVlYAUUynF - xAj4sR/50TL0k5hyznVai0KJqC4HM6JLdnza42PnN+BqlzmDrIgUSU1Dan3fzabTZ91446te9arf - /L//Xf0c6np4wAM4FQB3fTdL7e/+we/fd9991z/juhiT6l46+OX+gTvnnHPOOeecc84555xzzjnn - nHPOOXcwCLaqv7QqZAUGyBQKDJkpYWcJDlBDVtSQ7hgQGEXQtMiCSBxCXgV2M0CEgCepSoF0fZim - SWwEAg3Y2LKdXdrYuOW/+5n3/8x/8/wQpoNMQsJQgAYcCrU7bbzt7/8ENls0raHFIlOTAPCkVliQ - bKUJjIUCcWPzKJ07fWZnpzGdBcKN1+W/nEyaIGd21tHddQBVLR4ypncbDFBwvUtBEaRiRlDFlIC7 - 77MmwjKuvgohdEMnk7YkBjOIYwgwBeqTgMnH2DvnnHPOOeecc84555xzzjnn3KXOCErr4m+AcZAm - Squ74Wg8+bLbv/lrX/DyI3a8zdON7qhlSw31fS/ZQowpNgDEREliJJNBVEnMFBEUY0OBe/QDgTUA - TJaCIo6ZUAbSWvBNCUZ7AwCcc+4S59HdzjnnnLvSKHM0ExEhCiKGCCOpxRF5nWV4cYG/56nPsKoO - yWQAlA3KNU2WVFUIzFbLQH6Jf8/lhQArEjkQoAMam7A1QIyERtRQMoYCIjTMDFawSSlHm5PPeNZN - X/3cl0ksD+zc+6G/et8HP/7ec/nM0OzkuMyxr8Px62f+JJS5rNnAdW1JJbZ5Iw2bx5prvvFrX/mS - 275u047ZudB0s8hpGPJ2cxRGQRsyMgxGEikrIaKVuSYkChAprFSKpqYxXFrVaXWM82SyMRO9Vr5v - mjiUbJk4UVazCItoE4oQLJqZ1kKwkLoxcYQqFOA6XJ9ghmIggmI8tcJGjMAtEVFBGHIHWExkhF6M - CCFhUEQiNTLTmEhFcoHYwBYBhtVav1rnAdh5cwAuOFNzQUFbBqDQ+gvrdSlojMrTYXoyPPNbv+k7 - XvLsb07LbSwTLG5vpCI9kZUiREFURWTWpBDj0PUxTPZem3TcoRiPP7oDVbd63bewLyiwSwYl6OHM - CVEIQEpqJl/80e7ijIHB6wOrAnXjHWcNUQDMzNhAyk/KDCpSMiIyNiOQFYLBAhBgqKeG68rI5meH - 3ZeETWEwCmCthw/hoizcsphIKbEJsyC1eyJrZq4HwXFfyLoKmKfzdo/AXlu3qrnd+x8zPsDGyPAL - XFgAfe+O8bcBGNQIoNqUG+9VsBFb7UCpxdD3Pc9yKU1CYATCqTMP/MZ7fvXav3FjDJMZRdIJGQVl - 5WikdcKlb2JfBCkA0ogavE0Yq8UbE/aazTAmi6CCiZ2Rh//gQ/8Pby077UI0KyBGqJMzCSCtkfBk - YILuy9uuDcUgaIat5z/z9pfe+vLhQWymSWlk2c+3j2zvnNtt4wb2tb3IGOfvsm18h95Gcpc8GvfJ - 52MAgcNn7vjcG9/4g6dOnanZGYFDUYEZMxORiKjWSAzEGD2VxDnnnLv0iQhWB25VTSn1fd+27VP9 - vpxzzrlL1PpUoYDXSdhiEokIjH54juQbT50SzFMkKd1hvxlh9AEA2oJgBASgZcw+cNc9UKrn9KH2 - /7N359G2XXWd6L+/35xzrbWbc+65TdqbEJo0QCQJXVBEEIFSAS1sivfQpyngDVGaUVqlRSP6hLIr - xffKejZjaCE+jSlHWY0W+njWMAoDLUAMUCIFAUIXEtLc5px7ztl7rTXn/P3eH3Ptc8+59yZwc5vc - 5P4+4+Zkn7332Xvttddea+415/x9Q0VdQmUjKI0xxpgzqeR2lwBvEbEz5MegnaVUywVXV4fXN26+ - 5Q8EyJLJ8TAShkrf67HKuo0pMuCAb3jWM6++8qpABCDnPB6Pc86SJYSgipxSeVMe0WgxMEy3rcOc - c9M0ZYzbfD6fLE3bvlfCTd/3fe95z3tEoarEnEui9raOz1PnKp+7vo1dAN75rt9561t+0jNULbHb - GGOMMcYYY4wxxhhjjDHGGGOMMcY8CmwNWTp+3JEAWyWVFtdtHzNDw2+kGIpPQYgiIFA4KaUtFAKI - IANdB+c+9Ou/obNu5FzFNJ/P62aaSDuVHMI3vOG1cAwXiVA5BwKIF08tUIA9GOCdi3SiSlPHLCzt - /EW3/lq3boaWjPCmFhEwfKk2kxLtniJGjNyNb/+ZL/3Mz15CDUnk4FdTTOPRf/zzv/rhv/hzjBtU - rkMl0NEoSJcoMBgMUSgT55RcXQNx/2t++K5f+7cjybVTJEbfXvL0p99563v3MSA7KoQwhLYtHlBq - TTAPxXmICFk0OLBSv7EhdUCoIArRUdNsaG6h8IwcyVN5H4nAizpOPFx8xI83M8YYY4wxxhhjjDHG - GGOMMcaYRxKSIeap1PMXqCs91gKw0tBpvEhvgZb4AGGfRnU/rePkudc//1nXPvui6WWy7paw4lIT - 17Rp6r7f5MCuciLadx0A9uS9j7EnUmZycEREypSQcoQvMQeOlTH8Kx3UQy/8VrEU1mMTMYwxj2oM - YFsymJyVuKjTwwpPGmOMMecQVd0e5awK55yeZ9HOZxyJoiMnKQMavNZR++wjMVjBJWWYkIdQUNBJ - 1k9kHSpCZoISSJgUpcqjCIg19Rng7EhUnGSn0PMpx1cBcj4B7FVISeCISqRgIgBEVAegfN8WAQux - uAxlCl6rKvrH8p7LnnT1P7r2Ze/58B9/8LN/NZsc8o3b6Dd8g75DVUHT1jOdkZ+UoA5cBc3CUZrU - hLXmG5/0/G9/7vfWabler4M0PtVKnNAjMGeQEpBJQYCAnFYO5cWqalKAHLKmyrFIOj4j82GkxLr4 - brN1+kOHD0hyDkCWxLXzEInDlkyAggVDZqigzJ1QMMHr0VhGUlRA5m1fnkiprBBFVjgPlABuRWAA - yAICsio7ApAkguCH7zRJCZlYVYalINDRgNJjM32jiHNcCtcKlMDlHFMMIiIsqFTr1vGmv7Da/9jd - T/yeF940Thf4I/u8jphYKc6lYwLA7BmiTGDPOWcBqPJZRQlUpjcoo2zlOL8+72cNKSnxsG4VrKic - B8DMMWLsiYWSCrM76XTtRd3jY69WAJqQyJFCVST4EHMPElqcEdDhTEFWVXbb/7hsikMo9cm+2POK - gklBw6eGyydISUjVgQCvQuSgpKRU+/os7D+ZOeesoB5asThk75TVqwZQBiQTGHAyzGE6l/bo5hEm - l52E+lJ4XThll/vQzniekasUgqzM2425dCGQ+rKjEh1mJFIGnDoiyprdVnhzmQrIAODUAwBJhuhi - fmCJ6yY4z0GSSupBcB45C3jYnrdm8W3/xJGWDb50mXhACP2QfbPVjoIQBGWG33CTlLmLTuEd5V6D - hjb1NK3u6u/8ow/+3qu//fXU+2VilzWLBtcoS6SOmSAnTM81AAASoczKrA7K2UWlpKSkkBRHdRXj - PKZU1RMVR73TMa2NDrzvk7eu9V9paSNMME8IikqciGZGYsmAODhFJUCG+DrGOIsymWhKGqSuZHlF - Lvqeb/wBvzauaRRTCxfrxuXNbsSNqpTGFvTYA9+iU21IFpfFhbO7ysz5bXv7fEc7rZCd91HvqO97 - F/yQ6cA+KzHzwQMHX//6f3bPvffL4r5J8vB1Q44+RTnD8yCpJM65nHP5Wb4xlgc55ddpzBlUttXy - 8+FeFmOMOc2IaOvAHWO03G5jjDHmgWzvSXHbrq2onC9vgAZoCa0Ha5KzcPbHAU358j70GYqiTUhV - swJhkhocymnPyp+gJ8gYY4wxp915fwqRAOgwDHExmApgIgZSSsysyuwdgKgK72/+D//hwOoas8uS - IcrEshgTdfyKFJVQValvS7fwj7729RU7iUmZvPd9igA8O80CgJkA1UdLG2gxtpMVIMddzGDKUF9X - XeyJ4EAveu43P/Gxj7v983cAyCLwDlmhKO/LYk0scrwJJz3agzT3PZhENAK/e8vNb3zzmyX2nh2T - BFd1Xeecc86lPjnnThS/bowxxhhjjDHGGGOMMcYYY4wxxhhjzLliR5o1BFiU61EVdUzb7sQZqsgM - 9il1PoThpqhgBhEcEgEqQYGY0Qk0AptoN0H88d/8LW67KuYRcU2ElEtliysBgJWQVRkIGwmipdjF - /W97O5gycSZQVa33ffZOq+raH3o1Rg0YII9miqaGIEG855yjc4QscCQxcqhQChQpCEhasr/FKWOo - vjHUN+fj8rwFAjATKzGVSekENF5JqAICQ9whCZOke5td69p+cequf/O/+OG3/wxCjUAAqjLinsD1 - MJB9awQ+eQcFqhr79u3/Fz9x9y/+q+U4b7vUVCMsr6zsvzx97vMUfJYcRX0ACTSBFkUbCCAIKwN5 - qOkB8eDGUZ+FPJGo9HFlzz4ooa4hc/StVg4OQAQc4KGAgFgUyIADE5hPVHzJGGOMMcYYY4wxxhhj - jDHGmPMHEZiZiBa/nucFNMwZJCQKJSLQItgJDnDQXLIeQFIyUEQB9c7VMbdR87SBbnA9m1y9csPL - nv3yx648vkqBDjPgRJ1AMEGrHTOXqB2AhwwdRU5aflEgl8gKUiYVIoBIHCsAURbRbSE7ixCZ4fF2 - RlcYYx71too201ANaSuOgY+L4jq3Ku1YdLcxxhhjzj+UFVlVVanEwmUHUrCCtAweHsZPn4ph+DUN - 1SFJAQYR5RyJSElADsNdzq9oz/Ji82L1lu/Yi+t5+7pgXYxQF5/EO+UgtRdCzDXXL77xux575eP+ - 8L2/fXB1s6pZkoxHbt5mf8wzne6foeK2lxwlkA/Cbl5/+9e/7Marnz/qVqo0rbILuSYNQpJcyWl2 - W68RJ0gi3PH14Bw8lVDiOZ0sYrAXgZ0Y0oll69TIMIhfAZJFUdTtMXg4tgr/YtD/9nj0ownhJ/oA - ksIdfRjZvrVsFVHdWrztt0o5i7S18kmqKuQcUxJmePaqKlmFJLZSVa6h2nVUz5pLJ1c8+0nPf/ZT - XuBnS3VedhiRsmpWZAUpk1uEC2y9d4sqroLj3lDL7T5DWIddigIlGNQRs0IIBJAoVFUBPp172+3n - xIWQkTPlRZHlHXcjohOdCCgnC44/ZWC2YyVxCiGBehB06zysMm2lAhdnq4tCCbrI5PYE0gww4IeZ - ZqRlb2hvrTkVCiglgAUMJRADEAi0qnW6r95/MT029nOtBZVmlnk/997nnHPOmkorV0VThrjAWfuI - mCiTVyERZJGsDiklEJwDM0igBGYicIoi2jGzc+QdMZPqzsxY3XGY3trzlYZ0+QSwPtgpUTnuzzUr - gxx54RQ5wqUvrd/x15+49cXXvGLj/rVlv+SkhroudgikJHRcs8JsEQBgIbiStK4gZaWshLqp+64j - 6LhuoqS27Zcn+1b1wL3pK3/10f+2Savj3Vjv0dSQDaiSYwIkMzIBBBaQgoRzDxBPVnw/7z0h5Mqt - 1d/1ra8Y93vqPGVSpV5JSJWUoVA+4R7xBFdaaLc5tymA2Wxz165d65ubo2aiSiklZn/o0KHXvvb1 - n/rUpxXw3qOEczPJcXH1X/05VAE0TbO5uVmykInIe/8gad/GPOxUtaqqvu/LFhtCKBkkOeev/sfG - GGOMMcaYRzWCMEDwGE4Ynr2vCSTbQsQBQIaqaZQAhrpSmQxaTvnbWSljjDHGPDxINOXcVHUX+7qp - 276bz+dLe/dsdP0f/fF/jpIAEKgMeznaUbu99O3wQBRj79mRyGMuufSbvvE5uY9VCCInaIAtxjU8 - Cp3wdRE0ML/6pn/6xp/5KQJCCDFFsMeiG3zbvMBTfHoFQRRrGxu3/OEtr3rlK0kywc3m88oHZs45 - l96f82u0qDHGGGOMMcYYY4wxxhhjjDHGGGOMeaQZikgMZdFkUSWKAWaC5kV1cI+YknOO2ec+UR2G - IU6pB3nkDAUiQpyhCugiUr7t136DN44E9BPHe0fjvQcOL7OfOE4bR8LW0zFALhMLLQpWSccKJmJV - lDI+yiDE1dVLJpMuxrX1I4d+4zdX+3auGpd33fCjP44+IgSvgio4FbADMwAOVRnCIzmzcwCYFnXP - ikWxON4ajLSjYNGQ1s1ALoOOGIAIpFQvd45u+Om33vFLv9r3abOur3/rj2EU4GpwwGKlYuelHdUz - CPAAM3R8pA7jfjZpGrQd6snSNU88/OW7Z/PN4D0kIQNlZewcjETbRkI5QEW2RlWpIngO4wnG043D - R6Z1nkzGGiMUSBnV4s8UUAhlxdF0cmOMMcYYY4wxxhhjjDHGGGOMMWeR7sg2Ul4koWy7jpiUY0oK - mjacNmTcT2943I3fccP/erG/YtpOOTuQCCEyC5UEJRV1J07FWqQDbN04ZIopL7q0BZa4ZIzZpmTi - PMCN53Rek0V3G2OMMeZ8RHq0AUdEgMrR0dOL1tvpqJBYYoyxqHdJpDF2YFVVLJ7dKjE+OCIiMIsD - mEgAOC+e3cTv/rrHPf37pvLH77vlYPuFjfm6EHKGd1/1IU9laZCz1A0d2cjTZpk26eu/7oXP/Lrn - XTy+olsb0t9BAhWCkDLp8Um+jyzCO6ZSMFSOpmQDICknR7bG6Lsd2dmMrdhsDKGPW/cs9xuSHMtn - 5ATrakehfFJ44a1F2v41a/Gw5fGOxntnTrpYgGFqBARAzOIDnCJG5C55j+CoUl5yzLHp12iU9zzz - mm9+3tP+0eW7HivrVHPlSUg3SSBSJiU4QjibGQPmq1DG4lQdMwNwoLI/EAEIqvm0TwVZ5CkOl6F0 - zJZM5ADZFuxus1FOydbaHVZ6ucwKQM58dLeqLLLYy+Qldj5svb1kb685jUgyR6Acvjwrc/KcQ5Uq - J0svue7lL3nad7uae41ZlX3w7CgLIKoqiF3qN+ZrhzcOrsfVrxy668D6vXcf/vKB9fvn3ab4BE/q - +xaz0LDXgOycMJGLOca29w0rZ+dBTnLUeQILVOGHM4gsBKJSchykwtu2fBnCbxJw8g1pBaDJpSQR - ykTu4NqhD/yPD37dxTdeNrmym7e1joUl50REj9pC8qcNlympwh0rsVYQVoKSxJzZe/SOxVGeLe1q - VruD3bT9qw/8xcHZ/W6va/uYBI5Dl+Ok8iLp6HRR5ZLODoIgkpco1Al2Ba+r+uyrn/fUy57VzJcD - eXAUFVVPSgkEsr2jeYQ6cafOdHn5wKFDS9Ndfd8zexFp29mb3vSm2277qHOUsm5lbDOziOAkmygi - UlXV5uYmgJLYXcKPtzd+jDnXMHPf92WLJaKu65qmadv24V4uY4wxxhhjjDHGGGOMOVfsTMveERXt - ve9TdM7FGFV1Mpn0ff/hD3/47277OwUp1DuXctoxU+W4zgdypCkzB5F80003LS0tacqqOzo7huGL - j+yRbA9RSunlL//ef/1//cr9a6sxRjBt5XafHqUisCozqWgW/O7v/T83/eAPasqVDzlnbhqIqioR - RLJ1oBpjjDHGGGOMMcYYY4wxxhhjjDHGmHNaKe8isqjk48voo9zDVyAHR6JABsONtERfNz4DCqR+ - swkesxZJ0EYAyOnjv/L2CcuE9DF927g6tqjIzb9y/95Jw0mI1MOBGUQggQhUXVanCogSYsWRhAFW - IVGnCgUJQhUQW055b1NvrK7uG496RdzY/MLP/nQHajlc/+NvRF/BO8AhuM0+17WHqHfknIikzBCR - iivCYlwWAypQEABCLhU0iCuAAFfuJiAGEzKQAQYzkCER3o0YlJ7wUz/27rf97He85V9iDHh/cvVo - FBKViZ74z//5HT/3syvsEIEUMR77vftmd7crRJUO7wzDCeSBCnwQs4gMceeAKpgIjtF101GDGtL3 - YxHMZljZrT2ovMihwoQQmABn5XSMMcYYY4wxxhhjjDHGGGOMMebs4a85AklASbJMR+NurdvFF1xz - 6fXf/S2v2JMuneapzEp1Ey4JPayL+KjzsuqIMcZsZ9HdxhhjjDnvbC9kOWRwku6M09qRT3xShOC2 - P1TJ7S4XASJq+zk5QRbIkC5s30y/GoYSgVESIpFByqSIzO3o6y5/xup1B/70/X8QltyB+WozYs2n - tbDmcbIgQEeOXO/273r8C575nZN04exQrqgiZaIymUAAkMIJHRM+/cgyJJEPZVvLCylhkFAS3f7K - tqq7LuI8FdgqMrtVfFa3JeDpohrs9p/DUwz3YABKXMrXkgIqDGGIgqHC21LASXl7jme5//aas0rD - aynPo4oY4QiVAzsmgWRB4tDXdZ5ce+l13/TUb73msmfQrOkP0VI15pSBRIgKBZGnSuFpMdHkIa9h - c+pKjOji7R42Hue8KlSp5MueBaqac17kOh89qJSqu9tixRUkUF5sNrbxPDgBIMcdjncWkhYtecVn - 5Z1W1e3vb3BBh11PeSsZau+pOS1USAAwCXTY5kP2EI7t5rRacUQ6lyZplCFT3oNIswDksBSwr7p0 - /74+cZevSMl1Hc8308Z9a/d87q47PvuFz9514Evs1jL3jgiASE6IHHTcVF2aD3vOrAKwgwskWUmG - gzIrRIdmMg+fx2GzZ4Lo1tzLk0MEUUTEzHAQH0Lycs/GPbd+9P/7gRe+qp05ZM/M7AkEUYU+gttX - ZxorhBgAKAIgAYNIPDj1qR83E/QivYA5Ud+PNj513z984BPvnV5Yta7tWvgKXZfIBSUH6kFgxdCE - UpayWbKA0EcdOcqrdOXK1S951sv8RlOjIrBqBEBKgBdXFsm+8ZhHBwK0bduVlZUUJYQwm7XeV295 - yxtvvfV9AOq6TrMWi/MMkr/GHu4dnHMiUi5spYBXVdX3/el7IcacZiJCRGWLFRFmttxuY4wxxhhj - jDHGGGOMOd7OAO9B6RFg5hhjqCuBqupv//ZvA2DmLDnlVC7LA6VNK3TRK7E0mXz/979ifX19eTqJ - XWa2flUAIKI9e/a89KUvfdcf3EylIm0q9WiHDu+ymuhURnIuukTLo378E5+67SMfedp11+ec67oG - kHMG4L2PMbJ3p/yajDHGGGOMMcYYY4wxxhhjjDHGGGOMOWOGklOlAhuXwUms8FW5NYvEpCocoJ4c - hEAZPkcm8QqsrQH09m978f/2bd9Oa0d2ET82tiMCpEtdy/BLYQXgadWgFzhF11HlEHuIoBRrU4E6 - gEBMjqq2Aw+V3AAphdwARk5IqfIVYrsSfOzngbif98uOqvHkSN9/8Rd+brOezCfjp7/+dajriWO0 - HbxDzHDMTASAPW2Vh8PigpY8bNq6WsBcLuui3JQbVhWAknINyBw8aiqk2Xf8wv8BUnBqhWvm4waO - PRgOhMRwPN+168Cdd+8LFWLCrpWlx12xvnYwt20ood0qJTf9gR5cRRRgJgZElQgSc1xbD1CoYNaF - OlRtB3aIRJN6KB5CQ5GxIarcGGOMMcYYY4wxxhhjjDHGGGPMWcVACWJgAMcnMpSe65IQMaq535wv - Yd/lk6te8cJX7koXVH0zn3W1b0pffwksYBWnAEEsIs0Yc96z6G5jjDHGnHdYF4nd22K8VYc4uoeW - 2L3DIqL1hJUvN7oN4axJS5K3boUfmgeg4hhURoATBCoKUeFxNW03MsvoWVd+8+rBr9z6P95TLzeZ - Uz7D0d2+xnyGaRjxEfe853zbHrqcZ6Opn+bU0tZJhpJ0rRAwP8JPPByNotdSp5ShKKP2BaIEEEi3 - wjuRCUpM2wOJt7ZwBSl4OMXDUCYIIW2rgFrutnV5OBM0nPoBAAglEGSY2jGscSEMQ/9VSn6zAgCT - wolnhRCUICRYLHPduJxzjkgZAcJUsTrXVU/c+7RnPfF5T37CUyduX173HEOF4CIgQkgoewhi6JDg - ax/fc8JiBgypkoIUlfOkrKq0yMhmJiWc9hxvVRCBaIju1m1bvqoCTHSWIqUflYRwwl2oKg17AFVl - EBFIRdPZWaqyjTEB4CqMWTwpyFLYzWlGR3tEAEBYQZQAaWqvGmPbC3JVNT44yUxExKpKUJEM6RSk - RFXgypN40xQncwAAIABJREFUyhXymPOFzeOfdNXXy9XSU3fHvZ/56O1/+z+/8JEWq7zUtXSkz33M - qCpKCdIjA2D42oMlZ2UCLQ7QvLhAYB7i6kVouGo4YJ/kns8BAkQArrQ9RGsk39/2+b95xr1Pf8r0 - G/N6ImZHyIoHaGWb7UrWNgvIA1A4RVZ2vkopVRxImCkcmt/fXTD7s/f8UT9ei2nWxXY88Slq7HVp - NGlnm6ViPJWmlaI8ZmRRRswY1fDzalku/NanfffFYT82a+dYVYkcwKSsQGIQkciJ9+fGnKuOO6wv - vuMDlGIeNT7nLqXIvvqld7zj3e9+j3PIGbNZC8B7n1Jyzkl6KM2D0qosD1KuaZrGUpDNOU5VnXO6 - UMJjHixFxhhjjDHGGGOMMcYYY8xCjLGpx/O+81VQwuasPbB6+N3/75/VVT3vOyy6XpmImUWPPe+6 - SJ9W51xO8R9/z/dcdMEFDGrbeeWDDVkpvPd93//TH7zpD265JRH1KZNjlbzoet55OvshrDOiMiRJ - RKFDvdp3vet3v+E3fqNvu6aq8iJbHQDZcDNjjDHGGGOMMcYYY4wxxhhjjDHGGHNOE1BWIBIJPADC - 4n8KUZASc1MBmRCBVvIUDlkQBX2HbvapX/2VaYyvufFpzaF7Amtuew+kJJ5dM13CrEc/Q95EXaGd - Qx26OXpB22JjEzGi79BFtD3aiJQBjcxw7CrHdYW6xqhBU8F7TKdwBALmc0wnnFJd13XjY5bNtdVx - MxlzFTeOtEfWvvL2t8+832zcdT/6BjQVqgoakIm42hovpDxMMmcIUUnnZgc4IQCZEYFQqk1RiTYH - AQ5c7uuYM/FGbsk1mE4acEnVrqB0siUySnD4dDldcFG90WLWYjpFN8dll/EXv9jefacviwlEiDA8 - HqA+T1lSopxFGcyUss5XD+++725cdhky6rrZo/Sp//vXn/gTPznktRMABoExlPw6+kDGGGOMMcYY - Y4wxxhhjjDHGGGPOOAbyV78XAQpWSJKxm0z7Pd/3olctzS4cpV0BFRyrIvOQ/eQUToegAbGEB2PM - ec+iu40xxhhz3lFVB/IcHB39Ukj0kKounvDxt40zpsVjCoEUSljvjmROAVriw0UtuvtBKWNIbxWU - AEAlIVJF6lKIfqyTmOj5T33Jxz750a/oXW1sz/g3fQYzqJULxxfe8Lhn8OZorMtBKtIIygAroeRD - kzI/wsNcebF56o6tlGlIyN5xN5+hQGaAZPuHiY7+UuK6GcplPD4NI/XLhIEtQ5K3kJR4b5Sh/AAo - 6eJEUcn0lWM+PiXPV4erGXDKpCCgFFuVRZ5o6qTbQM1uud6VN4HIT77yKc+5/gVXLz1lxV0g0XWr - qSLfhDFDY996BlGAlGhSBqDIKurs8/uwIwEYJKSsi2ktdT0CACnVcBmqRCR67PZyGqlCREpQHYGJ - CCBVqOoJKu6SwKJnv1aLaU07HV/I+GzWm1YtceHchDGzO9Fd7M01p4rVA4AyqYAIEIWCJObeBxeq - SlWzIPadZHaVS13vgvPsvWdWzllFBEmJXM3MDChpzElFRCKnJ07rJ3/ztev60tvv/Nhtn/nrz937 - ScY6OZ7N5sQ+VEGBlNvYJfJQPXpwL3tSxtBIKwsJAiCkwKLFi5NpWpfeGiIogQjISCkJuPed+O7W - j/75Vc+/flLXotmhZEJbffmvYmiCqoOylih4hSrYu76NjRsJUktS725u/cSf3HHoY24P1jfbUIOI - JYknX6rTDwfWYSKlAJxZlCUDjuB7747UL3r6dz5l/zP0iBu5OiKitIGVSZEJyiQkTk/bVy1jHjaL - 9O7xeLy5uRlCzcw//4u/9Du/87slt9t7zlmYXckqLi0T59z2JIavhYiUrG7nXEnvTil5b/045pzW - 931VVeU0V9nsLbfbGGOMMcYYY4wxxhhjjjF0pO4kBM2Scy6nWLuUdu/d88u/+qtZNfd9uU854zr0 - OJxo4AsBTCw5O+B/f/WrU0oVOc+OmU+2n+LRqu/7ZjK+9tprb7zxxvd/6INlcN9pe3Qd/mNiVUXp - YGf8ybv/60//1Fsv3LsviagIO5aURcQ5J9Z7aowxxhhjjDHGGGOMMcYYY4wxxhhjzlVlaEsGBFyK - QNHiJ0pFiBJKndRpdJ6QEzpBn++4+ebDn739Uu8v7ud1TCOnkiPXYSgkUVUAcO8hVCNUHgfvx3zW - rx7ZPHy4n22266ss4gROxWV1KixD9XCCYx+yaqeSoVKKGDBlT1yFye6VMJ2G3Su4aJ8LFboezah2 - VQ2PvofEEfNyqHS20Qde6+gLv/zLB0N4+o/9M1Q1wgiUAAdH4GGIVwYIqIYXDQCQ4wZu8VDkypVq - DDJUx8mxnzRND/XwvUL7WFeBhwDtr/kNIGQRFxyUb7jplV/+6Z/h2eakaZRAy0tLl1wc77lH0DlV - IWSoYwc58TgxJipz3gVQQVU51YQUcegA9u0DgChoNyt1UKDPqIFFnbHh9VuJJGOMMcYYY4wxxhhj - jDHGGGOMOdsYDxzEsJX/wApSZlHX+hc+49v3T59A99Rjv6zIYOqQFCw8ZEBs+2tjjDk9VPWYlCha - jFQ5x1nkgzHGGGPOO6TMzAwmcgBUh6qYQseOEaYhkvCk04a2grqBHenCqjrrNzMlQErI4dazmxNS - ggBKCkiJcBaQqFOCd7RUj/JaEubJeM+3Pue73/U3v8aBH8L7dRLLA8SEJjjadNc+4dolrEx0l9e6 - 35y7RhSicNuCplHSos/c8pxNi81YWIcJBeW1sYAFIVc+B0D6ME9u6+QLQ5mUd46/l+EflZ8YwpZ3 - PhuGcfzHrr287UvW1ieLF1duxYSXa0jhVADk8kQMBZTAwtq7C8a7q248me267opnPOeG512y64r+ - iE5meyg7Rt7l2AfOscs518FJVijLtiBSRgIBOGFqrzlrZPunjJRZwcpNNfLkgTLhB0QkBJXTfy5w - +7d+EYk5JxUmJSJSAEJERA+UKv0o2Tk87IgVkLNwCmZ7XDgDBA6+YQ2sINXtQcVis47MKVIGCR3d - qHNmDIfO4Ga5T31Uci5UCBXUJ8DVLJrbFCmCFMzee+fJqYhqkpRyziAlosq5wPBUs9BYL9l18dIN - l914YH7vhz/5tx/4xF+HZr6e11KOrhZiUIZXNBW6BOWSBg2Q5GGXyzIEbzOAEmr7EF+xIjhKqjR8 - 1lQogjVMqk/c+ff/cOfHnnbxN1RtrcJK+mhqX50RJEAGGBoUrJRLQ9opcoQnL6ot5a5O983v+su/ - e7fbNd+UWE0QGN28J/WBuW3noSKRo80tAMppaLYRauWwPr7ukmd9y5NfOu72OvheY+bIcEE8Z8eA - sgqSWGvJPGooA8ggZafkbr7l3//Wb72r3MKMmMqM6ry4hnPODyEPwznXti2Azc3NrYdSVSI7c2DO - XVVVxRirqur7PudcLjzcC2WMMcYYY4wxxhhjjDHnomMCvJl8ZmpjH+oqpkzM995/8PdvvjmEqo29 - Y5cl7+gj2DYyYnvPgUIYeMFzn3fDddd76Prq2p7dK13Xlb8dSqk+Aia2nCmiGVBH+qpX3vSBv/2Q - QKMqESkJdg7+KWv1oawqIhEp3TpMIMK8i7f8+z98/Wtfx6ylk5u9kyxMZMndxhhjjDHGGGOMMcYY - Y4wxxhhjjDHmnCXgCAjgwf5obnep8MAC9IADKs0Qxb0HwHT7L/z8JMnFS9OV3I81jVyFHphHpgpR - EBrM5nCCtTV88U6k2N9998b6EdJc+eBiv8SYpEwMEiU6OsCKh8TrnPuOAA94QEFgIiKNiBubtNlu - ZkkgeDeaTicry7jgIlyyH5MpcodRg9TFbiMsTVzs93Bo1tZXmsnn3/4L/fLKNW94A/oWu3eDayBA - 4Qg9RMEOcLooa+EAYi5lExQAMgnKalFgaza5YlRVbZfHwTGDFHABZbptOLn65y5wzInIeXYHGRdc - cGE/W6+ogurk0svnt9+BLuYy613AerT01rGIRNUpGMiAqjJRINz1yU/sf/zjsOei1PfL1TgTY76B - 0S6Ac6kpsvViTyp03BhjjDHGGGOMMcYYY4wxxhhjzGnzoGknCoCd+CqF/ctP+KbrXtDfi4vC3oZG - RzYPUUPq3LZ8gRIw4U+YAGWMMafokZDWvYNFdxtjjDHmfMRwnvz2upZEO2ounnKeNgNCClYBsIjz - 5Axp82Z2PUgcERGpKtnw5Acjw7d3EgU0O4DBBIakNsDXvq6UKxeuuOjqhqdRN+UMf9tXUDenvfXu - x198JbWsnToCeZehIIFCCbItSfoRn82uDBIhKEA6xCQzIDpMIWBByE2ITd1PQELqvet0OI8zFH0V - GvLXhQQkpewpFmVhTzj6X2jxxzsLzSoA9YvpHDve6OMqzAoW8d6k7LJ32ZF4luBzmPKux19w9Q1P - uPGaS5+84i90be3XmomOSJxzLss8dZ1GIQapxiwOQRYfaLAQDeF5qjLklZqHjQBgZSHeynMPrmYN - ZYoLKcqJRYGcxreq7MC3X1bVnLOIsBtSShdHGd42z8Y8BIsgbGUcnc1VrhBAhCCEjCynEBt8UlSV - AFb2XDvyBGEItGx/LBBHIvqAM5uM+RopDTnZQ1I1SbnkOLALQhBlFQdwjH1TAaJE5BwxmEEiqcvZ - ETsQMTvnMOyrVJJW7NNG8ghL4QIlGocL9l5/xTc/4yXv//tb/+GLH/3igU9L1VYjlzHLQL/IXlba - XqpcBMJgGQ76rBDWHUnPXzsROCLKCoA9qwdDBH2HHCG3ffq/P2n/kz32empY6aE9xXmFMLSahAAC - KRhCCgdmdq3GLvRx2v239/3ZWro/u1ju1veomAK7vu8dQ3XbgXOrTUsA4AQhTfbRY15648vHcU+V - pkk6rkhVFAQIww2HYFEiC+82jyoln/g//6f/8lM/9TYARCCCCAAws4gQETPHGLeuOanHzzmX2O+t - pqaqMts3DnOuc871fe+cyzn3fR9CKJ8CY4wxxhhjjDHGGGOMMQ9OVZum6VMk73zw//WP/ujg4UMJ - YGLnXJacc8bOYSrHI4UnuukHfzClntktLS3lnHPO3ttUEQCYTqerR46Mp9OXvvglF19w4V333evZ - JcmncdgmM4tkESEgKSQjOPzezb//mte8pqoqAmLKo7pOEkt30ml7YmOMMcYYY4wxxhhjjDHGGGOM - McYYY043AXPJm1ZABDyUisoKJq4FLII+YWP9U7/+m7vW15+g6lM7u29t79JK3pzFfjNUE1AAObRz - rK3hwIH7P/Np3jgy6iL1bSAsaQ7stZ8rJAMhhBSjW5R926opIQCpNkw0lCwQgBVZsgIYuZDbbsS+ - l0jJp/nBuLox+/yXpfkETye7rroSl16C4MKoRhs9FILlUMX5rAqh3dz43C/+XJ5MrnrD65CnqASB - CcSAgDPYDU8PEPLR3G4pvwJw4KMlcErCuaKp3LCYW7HfONn0a0mSvfMCRvDX/8QbP/Nz/+py73U+ - V1Xet89Pl7Exi3EWCB7EQqqMByi5kwGn6pghknNWRXBUpQ733YvJbu8rbM4a9h/6tX/7rDf9OPwk - g7lU0QF/lULwxhhjjDHGGGOMMcYYY4wxxhhjTjst0TZ8XPrSUeUmUjgJTdx13eOe2eSlpt6NOeZx - fTxuNrVb5GSV/IGS3OSBreQLY4w5f1k9JmOMMeYcVarz0TGB0ubUKTNTF/NoaYQMBiPr9jbRqWcB - nvARlMDqiOjQxgGukTczEaUkLnhVi3R9MEKpjOJndcoM9UKiyCAFMiVhMMewe7R3/wVXfPrIGtCe - yfRWVqkI3knzuEsf7ztXsaY0Y5eVk6DEtDNYuAy1f8RjgKGAyiKfWsqJGKcQBXk4VNyGV/zjV6b7 - +PDBw5+755Pr3eGN2XqfW1cpVSTU9dJH9OJAbkj9zAAYJAgRTjyxEpEAiiGjzuni+RWqUC3rFg41 - 4FQFEGItf5JViI/uK3kxr0EVEjHyPuQx2lB140uWr3jyFdc9/pKrrt7/JJ9rlyuOHn3F4pQ5avJI - lAEW71kIWtYAsSgpGItgeEWGLqZRmIePHk2QLbhsoqNqJKKSFQHMPmdVldN4RCVCSWFkRtliy1G7 - i22oveRyAlKdcxKT9x66LfQUwOJIYdnOXzPZNp+HnSOJ2fsqxkieAFGSPp3xaEBN8J4UpEQxZlJe - nqxoOUbtWEIAdtLZnKphAyJhHN13OQWSOrCWWXzqBB7gioj7roR8k5Y/UAcGMysAVkVePIgSAEdZ - AgUBNJEm1DStaJJTetmTv/+FT3rx33/+w3/98b+8c/3TeRy6an0uwoqcMZpg3pcFQwiQCFUhBQ+t - BI9ygMZJ72+dgySpCEJIeZi3CYJA/Jhuv+fjXzh4+1XV08a8SwhJrPH8oBaNNlAGNEGJ1EM9KRLB - Zal5g9c/ec8H/+5z75cqpwx2EIVnkKhqDA5CogAzxaQhcJ+ECTmDAxARpBm1e77z2T9wUX217+rg - nfrQprnzDiqgKCyQWomCOgU9SA+fMQ8/PfGc4ZxzCCFnrapqPp+HEIgoxuhD/Td//d/f/Oa3qIII - qpDFFl7ah6paIjS2rnkgzMzMKSUA3vtyoTx1SomZSwiHc05VLcLBnONKwPzWNr+1PRtjjDHGGGOM - McYYY4wBcKIO1KGHooyYEgWRm3fx373zneVMq6j0sT/694vc7q3uA8mZQAplwAGXXXLpi17wLcE5 - DxZJXduHEHToed7eG3LsUJvzQd/3K8vLG7NZXdevftWrfv4XfyFKLr2jpWMaOLVVopCUFxeHx0sZ - X/7y3e9973tf+C0v8MxNXccYc0qWp26MMcYYY4wxxhhjjDHGGGOMMcYYY85lDFSl3oMAfQsmKOBc - BJTYQWhzA1E//kvv2DXvLoaMBNp3INShShvr3nnX1Og6HJnjzrs277v/yIH7OLYjxKDRiXguRaQg - kgAQEIg0JwaTc6IiIlA4UJm7qlBIVgwTV5VECEwAoDkyoJI9CCoOkBjHLGnWo99c+9CBnsPyJZfV - V12Jiy6EB2qXJWcWla7u0oXMutrf8/O/fD/8U976k9jlM6OmussRrs4EJ0PlLQCxS6FikCSoIuyo - qkEAQ2mR0F2GEPkdt58U0lK4SMDAuF5tmovm88YHIsFsHp70xI2D93OEZnjnh2IiJxr+pCKhjD1T - JRqqt0tOTrDxpc9Pr7gGShBQ7C6UHqlHHosHI0MEUDAUrA80G98YY4wxxhhjjDHGGGOMMcaY88lW - dWhV1fOsYoM5mxgolTCOoQr20IyUMBpx24onF7Te5S/9+id/i849KQlnV6PNcwpcki6cCkFBInBK - 2NaxbYwxp4qIuq7buqyqW/WRznFW+scYY4wx5xcCSIiVSbmqKiIiYuhpzddUBi3yu6mM/h4INFHa - 7NcbXVbdqtPIj4qM5zNCSJQzIKQsAINBUEBJiBQCAljhhJxW+5Yv/czhT8H1Z/DbvrKAmUbLzb6a - JoEYIqAE1pLbWtKdSVlIHi2hvAxASbDtREqJDNUMRJDwmHc9ZvcT9u7a7x7rw+i71rvV1dWDB1bv - u2/1nnsO3n3v4btW5we50j51XdcnikIKJiUhcshMygAEWcuYfSggRKQkJfu2TJZghpLvu0xERErE - UGKAxGGYwkDMXlUlQ1VZmZTGYbLsVi698IqrLn3yVRdec9H48iZNeO79bOTEEZwSZPGPIUqiLIsP - MBTDx3Qrj29xA8NSKM8tAvUMsAqAuho58kyUAZHhW/ppjO4+ISXtYptdgjoi2n4CHcBiV2+bzckR - gjtunakejUEXgkBV9SykA5ak9pLVzkwAj0ZLOuyCRMFbB3Q9+XlTxmwnBICVhBQCMAlQDjvKSqXJ - QVr2KAKFsgiVeuyMcpgGAB5KugOLo/niN3CmRSF4QVAGQEpKqqkJ8M+56gXXX/O0j37pg39523u+ - dOjzu/dwL5uoZW01TVfQRhChi/DDJi+izMolOxzAQ25aOwEImVlJShsvqyrldRz80P98/7Xf9Mz+ - SKtgIvfQHv88QQCrFwCLVg1pFslZGSJ+Elbj/fNdq//pT2+Z1YeFkyNkBQ8TOLH9i0k5enZRQmBV - aSrXzvKueqk/SN/09Bddc/ENS7q38qHrus7FUAeVRAqhJK4Eh3PIDBqCwI15ZGmapuu6uh7N5/OS - sS0i3le3feQjP/RDr4lRS243gNLwe/CU7hMSERFxzjFzjHE6nW5sbJSmRslsEJHSpLTcbmOMMcYY - Y4wxxhhjjDHmUUlVc85KVFXVRtt98LYP3/7Zz8iDDiUsXQnDTyiBmAgqr3/dj3hmUuQcVdV7H0Lo - U3e2Xso5LcaoquOm6WL8X/7J977jHe/IaRG1fQYM4wiBBPz+zbe8+MUvlpTbtvXMdV2LyCNlopEx - xhhjjDHGGGOMMcYYY4wxxhhjjDkPkQIZrlSTcA7SI2ZQHZjRb0AIfbzj3/zqZbPNcR+DqubeBQ/k - oQLUbIYDh9a/8KW1u74yEvg+LmWpSJxmiIBAvJijzSAlEEFVhRTa5wgQEzMziYqoQgTqts20Fip5 - 0+AyfEoxlOgAA3DIpQhG7mXi3LIP63d+6cAXvtBcfNHKYx/jLrvEjWo3qRECssS2d3CjKk/r0Wd/ - +Veu/Jevc5MGpE1oupzUeXhIhAZkRVX7MrCLQDRU1hgqXgHIiyUoiwYSBWdIBhMQTrIKjXMup955 - DwZUnvmmN977029bVgyFbVZ20coy9euUAFWBnNSDMzAOOHLg/unhw1jZi6pqRs1offUj/+b/fNpb - 3kalPDEN/2XJxFbfwxhjjDHGGGOMMcYYY4wxxhhjHh6lP1iGrnU4QAFVcgqFagqPv+hJU7nA5ZpU - BR05JyIAEYgVBGUVJQE4gwAmyo+WIC1jjHmILLrbGGOMMeclZSIeVSMo77xBtsZFnzpWkJaURCih - PFeiuD5b3Yv9kGHct+X/PhhSQJQE6gkeJfm2hHkTZVUwNIuqOLjL9l3hPtsIz8/wEnGgat/yxSM/ - 8S0R9UpZyymKkjG9eNOHQf2P/PdXwSXfGjiaocsACCpAFLTE8zDh3ZO8lA92U9q3v75S9wOXa6Ic - ERP19x2650i7eujIfQdW7z28fuDwxqGNjSMbeaOtU8+dqpZPApHCgcqnglQkZU0qChIoEaNqhnhR - ZECIMwdUTipECqiD1iM/Xp7uvmjfJfsv3n/BykWXrFxS0zS42ktFybvWeQnOB40JREpJFpHkJXNU - WLdnKwtlaJkRwUBe7DEYBFZ+0Eq55qwpmwdv7btZedSMnQslPbsEIqoqO8IZTg5tu1luMg9TbEg1 - Y2fONEDDVjSE5tom9OB2rB8GSt1kVYWqaiZSVckQIenOfL1pdpA8LADBIevupX0Q5mMbDwwLaTen - x/YdhYKGJG4CoEwoexLCkPPtBXVpdQwVxssUQz2a2E2K8iCZOLKDslfxClZ1KqwQkgypfJhtJubR - 0y973jWXPPVjn/jw+z7yFwfdnTFsrNRVvzEPtSqQgZzBPDQJZPggCCsDEDqJ/ZsQeAiNZlIoGIrS - 9CCwsna88fEvffTA/CsX+Mf4XDsK+aGmg58XlKGOoUIJlMGigKgjpappVucHwsX9n7z35nu7O1q/ - vtI0bZudG94FXbRgy/uRE0JTp75LIk6Rury7Xu7vxzMf89znPvFFe8I+nXNWzQzvPamyMIDMokjK - 8OJ8rEld9Mgns0kYcy6IMTLzfD5vmmY+74Dsvf/c5z73Iz/y2raLPrgYc1VVfd+XBufOnfbXpPx5 - zjnnXNf1xsYGgJSSiFRVlXN2zuWcmdmiu40xxhhjjDHGGGOMMcaYR41jxgrWdd2n1MZ+NJ38u3e+ - c9Z1W50NO+5IO64SGbr1gnM5p+XR+OXf+0+ISFWYSLOwpyzxTL6OR5LpeNSnGGPMOV9x+eUv/rZv - /eM//dMz2n+5ld7957f+xe233/6Y/5+9O4+WJLvrA//9/e6NiNzeUlu3Wr2K1obWthYkYRgEGAaB - sEHMyFjG4oxsQLIsBjCbYI4ZDFggYWOMsM+RdWbGIA+r5hgOMICEhMZaAG0IhDbUrW71oqruWl69 - JTMj4t7fd/6IyPdeVVe3+lXXq67l9zlxql6+lxkRGZEZEZn33t/3hhtXJ5Pctjlnkt7045xzzjnn - nHPOOeecc84555xzzjnnLl2CGJATggIqCBEaYYZ5jTr9xc+98dB0eg1YzOu+wkWhaBMysbGBe+/d - uPOzs5PHguFQidSgEESFGsyAbjy2Sk5EV5wN7OYhgECCBgAmMMtGA1BI0IicMxadp7ZjurMg7KR3 - Y/co72JQSNPmnNnOB4Qy89g9840T/MwnJ7feiptvwKBEURajZaCY1bXkzUMq9/7kzx+L8pyfeD0y - q+GQZGosVqFN0Ig5zFIzjAFmQeP2Snc9hdjXxwBgEABm6KpwdPZY0S7l0M1MiMkYJ9dktNSePhHU - tFAsjcY3XD89cUxzMstclB35InuVu35oEdDgvi9gvIJhYc38oHI2myPVlloEQ9R53RTDsWjIxqDe - 38k555xzzjnnnHPOOeecc8455x5jlhEgKrRMEVGqpPj0W54z5EpkSMyqWQtNdVYJ0pUlEeuSKUyw - O4LAOeeuZh7d7ZxzzrmrkHa5v6PRhBsCVYBn1rq8AIUZdwc29+ndFACUdHLj5BPGiz+dEenqHoQC - gXBXDKoYYBAjYGAWMzGIBdMbjtwkbdBS836uURAGhkNL10YbCAUgNVO6OF5VwoB9zwd+LCxexv2O - aFuIQKNEK4MUmqM0BZpipGMYDUxzM2QVlJKqIE+YHMlLKR+eIxhDS6FZM9f6vvroNG9Np9PNzfWN - jY2N6ca8nqaU2rY2mFlK1lrORqoQiliVIUihRRnLqhiNi/HSYHlUTK5ZvW5YTJZGy8MwiVqKxShF - gRIzLaQKEkhhRs45mSWkKobueXWvLesGP1ABs36oQZ+Q2od2Q0GBRHR3Y3ezS+/2KMrHyFkhoBRZ - DBTQl245AAAgAElEQVQpinJQDBQiIgQE4eKs0Xw+l1WI0pgVAAK647wf6Pdu8cWt7RoltUO61G7p - D00i0rYXpeS0QEChGEDK0uQAGAEqzAiFmoBiHtztHiUlTFTOTKcmIICJKrFr3BzRn8cAqC1SunfN - a/umYftthS5dGyQopBj7cY6mqpZsVC2NqqUmt2Os/r2nXve8p3z5n37q9/70o39IWFkMNzdPJ03L - B8rNraa/4hUjzl7hvepHJIpubwQAUM05aZWn+fSfffK9L33ey22j8rfYIyMAhNaHuUthqlt5K6zi - I5/77x/6zLux2gwKnc7qgABSYYCaWLcDutOnGVSjag1DIRFJZV7dsnTLS5//bY8b3JxO5zKgTm0I - Oii1nk1VAkUNMatBkhLBINTE/AgGezp3yQkhmGE2mx08eHhjY+Po0aOv+mffdfLkmhnM8nBYzWY1 - AJKqans/BDZNAyDGmFKq61pEbDGXtm2LoujWYfdN55xzzjnnnHPOOeecc85dYQzUEOZtfc9dd/7x - n7wTogrYw7a9dl0munKrKScBXvayb1lZWlLAUpIQVVUEKSXRPZZ8vULVdR1CyLRhVdXz+jWvec3/ - 83u/d/adBGBfItdwARqlCYQi5Db/X//lV//NT/3rZCaqbT2P0cfvOOecc84555xzzjnnnHPOOeec - c865SxgBmLCFdqObFdMpcvr4r/xquPu+m+b1IZVYzyVk5AwzzDNabT9/z+k7PisnT46tngAG5DkC - ELQv+qNBobGmtSmVlTAzZ4ihK9rVVSPoSoeLiIhEIAPGbAnnrNtzzgIGXRWaNG9DhASkNkVgUpUg - 681N2ZqunThlt//tyhO+JNzyBKBAYeOg1s7HoThAXUH8qze88Vk//ENYn8mB1VgG0oqo06aOZRFj - KbAgBQguQruxKAaiANAVnDEIFIbz7IbUbTLJKamqhIDJ5O75tAxFxfkwKoy44Qb57N+m9rSSCtlT - 8SslAhHafPyO2w/f+kSE0lITcjo8GWNjc3hgGVBAB8Px6a3pZDwJXirCOeecc84555xzzjnnnHPO - OecuHgPOCOKhGLpKI0YIRGBmKgpqpdUtj7u1SBWYCDNkipECilJMTNllvij7wAIvQuKcu5DIyzI2 - xkv/OOecc+4qQxVTiQVNxuMlrpMkyF09hHd3RN7+JLmXJciiLzihfVYe0F8vmgVb23hAlxEgWYXk - Q/QDdwCggDESAKQP7Qak67xOmghVjAIqDIcmB0sLmfv4aV9gYFbLB0YH0RakUEBBpgijMgoRYFkg - V0qcsxK263sZEwARhIREwggTqGoRyhJFEQfT3FIpIqoiEkRMUBCWmgaqQYZiwoadIPbkeB1jwjJk - hSJCFVF0qXUUI5mRxWhiAaIimoKKADAzkoIQYwwhMhFQMDKDiTQhRREGcZBaa5oMMMZYlWUQIXNO - DQBQlQA0EqBSpFUSBkmAChLEgKzsxkdE0IDYj9KAguZv30sDAcjivS+EUoeDERuKEYvcbpL7vbvq - eqYBkrtXkXY5jttfFgi7IFo1AahnR4+7R0C6AUsiALsN2/0bgqa079Hd3Z7ssjnNTBGG1VCzCvMX - e6hze6bbpdhl+7+QAUBzH2591mHEth+yHeDdDfbb/ZvugUqLBkEmkAU5mnQvbypIQNkwzzKZB4NR - UVTBiq962jc9/dbbfuePfvsL658fFWyLWdpMVQzJFq//7ZUh9jSub7H2AKBm3YW3ACAEYDahSUQu - 6w/d+YEXv/DvDRgKDrqLxL0u5eohIhlmFFICDRJEhMpZmG7YF/7g/b+FyazJtQTkjHEZmVsDsoCi - GdaPCyWKWDTzGkAQBCuHMo6z5b//4n/4hJWnyFapynIo00QRsaYN3WcfEUMBGtACMKHC9v0E7NyF - suu42jY5xliWJYD7779/Op2+6n/5p3fddW8IoiohhNmsVo1FUdR1fR653Z0QQs65C9jIOaeUQgjz - +XwwGABIKcUYm6bpVsM555xzzjnnnHPOOeecc1cYCqZbW0vLK6Mi/Kef/pm6TZkWY2R6uLYHhRgo - XT1aclhVr/7u70mpHZVVY6QkUQEkxpjPuw3jytK2bQihCJpSKkO87VnPevbTn/FXn/ibfIHG/3TN - odx9AwCRWhPg7W9/++t/9IcHsaiKMsYYQjDfL84555xzzjnnnHPOOeecc84555xz7pIlBrZaWkZW - M9mqYfLxN/y7x9XzUT0dlcV8utmmejQYoG5RJ9x55/Hbb+fWNExnA0MQGCCCUkERUlJi25UGEm3L - 2JjUzEFEAqJKwaCUrr4BSEMyZhIBiAEiCArbVVEm7OryI7t+7moa2HZXHkNQiMIMTd1AIQIVm2is - T26cPv3xcM+xlSfcjJtuwmSkQdDOoLmq841pcPRnfr4ZjW76nn+GI0NUZaptVA0ING0TQwkqBALk - RU8h2altpH0vIkKAIOjrnu+13oIgZ4YwAJkgMeXn/qv/7fNv+rnVrXbIDCSsLA2vu+HEyY3lKJJb - 2WM3qAKIGXlzDWvHURyMwwG0ao+f+tgv/PyzX/+jqAa5aXVULo8nZlCv3O6cc84555xzzjnnnHPO - Oeeccxef2K6gqJ0iFSIiBpUglMlovDJYxgyioAoZaAGkMgJZAROw/5t0+Wse7uCcu1Au09xueHS3 - c845565KfezueLQEqMhD9j3WC3eNZ0DRBU5rOrW+JjcISSzyFN1DoirVBJAWyBBVqFFBNWlEgwHM - CiDkcjQcjOJgvr/b1KK0yrQ6PiApigVQKIHUwFIoigwY9574fqmyxdTfCASoBg0aktUZlJyTtSk1 - OaWcUohqyADJbhgFSdKslFK6VzwVAE1Uo0Iwb0V38ncJcJF3TxEAlC6snSIaIZI0UKBCZpKZKS9q - 0VIAKlVUu+EYSrKezUMIVVGISJtzXddEDmCIAkAIE1Wi+7bIQEW3eBUaJKpZF+C3CF0GYBAFBTDs - deCC22fK/gBvGcuTFT2hQJ8EjwASkH3daTabTQEDZPEVgYl0g2vOtdQ+a9y/ndwzZXd0Qf/eByho - 232P7gbAfqEEUJXDKg41K9B2Mbee4O32FxUCsPsqr3vxh+7VKLtyuwEodup8C0CB7p5JN/CP1r2U - sxqlP4eaYFBU7bwGdVBVQtZNW9dNiOUNoyeWNn7VP3jtez76x3/2qfc0snF87Wi5Wohk9rngj+KY - 1pWUF2TFYiykAhE00mIBKjZtehJHP3/6jifiQBRtLXsa9EOhwED0LwlVliAINDrXlfS7f/T2E/P7 - msFWUWE2x3BY5GS6yHrPi62vpkItYrkxnxYDSAZqcit+0wu/9SnXPku2ipCjlGyaJgQNIaT5vCqK - TDOoMhqhUNBSSEI18ZOdu/xMJpPpdJqSVVUF4Pu///s//ZnbyzLWTRLpQ7VVY13X5zf/GGPOOefF - Zx+y+yWA4XAIYHNzczweA/0Fj3POOeecc84555xzzjnnrjwiEooI4PgDJ3/t138zhNAmSzk9zP23 - R62QJKjAl3/5lz/1qU+1pmmaJoTQNdrmnNVrpi6MRiMzSymRzAYR/PNXv+a7Xvfa/s9nJG9fOH3v - EjywdurXfu03vu9fvHZrY7OI8fIdd+Scc84555xzzjnnnHPOOeecc845564OhtzCcmACFcn+8g0/ - e0udVmZTyS3msyI14dBBHD+O4ydPf/zjee10mZrYpoIookCZiYYwDVtNjkUVBpESEIs4Gui4GBRh - PBzBEjKsSblu5tN5mtepaYNQEAOTigkpzGyRgfIRrvdiTHZRCRvmBBHEKBTNzMyIKpbSSMI4hPX7 - j957/OjS0XuXn3QrDh1GEVFoEXCgkHprfe3Uqc+/+Zdv+pHXSU6xGMCyIVfFAAabNzosAeii0MbO - UHDpeg1pV0ILXPxmLwi0zDFEZphJUGA0QluvDcqVuuA8SRGQE275kvozd0KTpTbsZf5CpIxhjGLZ - 7vi0HnkhMlFVB4oipRqzTZRVGI1pIgrLrWqxtyfgnHPOOeecc84555xzzjnnnHPuUbFdJTB2h3aD - XGQzkQAOHDgUIGKZQggE0XIIKDTDhBCjdA3XAmigKc3kAuawOefcZcmju51zzjl31SFFEETC0nis - qqoxI5Hn6uR8gROyBEBiPr2xZpa6z7R96Kh7SFGsCLAca0gSCFgqgtGILIqMDAnCATiLDEuDwRr3 - MRpQASAHNkvjpYgKDICCCihYqEGRu6Tnvht938f+MiZIfchnLxpCgDZtbQoEMAJBNIpCC0JgZtnM - SEGXMxeCSDSzPpybuXvhCw1AEFlES4IUEwhC90BCsYhBNgCmWcRg3Vc7ErRfQdLIGCOymXVfAXUR - oAbmyaDKuU3WQKQIykJJEmbM6J8XSYMoLABmkgVdZnzs8rwBAqYwkz7InALAi9teghSAEEKAXFk5 - wOM0Q1RNKWvRhcrvr635zMwCAmAi0sU8m1kfm7sruJT7GyJ+hSP7xF5VBTNJkvP5/OItnlQNo2oU - QsA5DwfdvqYfKNz5E+quF1J/9NjVmkEAoC0GD9pZOda6fS/q9l+U/V/62YkJLJAgTAAaRafzWQwl - JNTMtGSSNMqgqDbvb49MbpjJ5Bte+C03f8lNv/2Otx1eylNukTlrN7/F9c956RpvcoAQwbojuQJQ - NQEykBWzYuvDn/3gk5/8XJxnTu7Vw0gYsmkWaMhDADVmjW789e1//uHPvg+rzAAaTMZhXrdBQ7cP - syCLAgBhiAEwQxANQpoN4+jJNz39a57/TfloEaUUiFHquh6WVUBSLYCQJREqlMAYrIKkFDYhBhZ+ - SHSXnc3NzcFgECNSSt/3fd/3gQ98SICmSSGETAOZckKyGGNKKYSwHcL9CHWPijHWdW1m6C8xaGYh - BBGZTCYA6rquqqpLCt+X5+mcc84555xzzjnnnHPOucdC12mExHAwatv8tre9bX1rPQODwWg+n5/R - 32xXI2wX3d11wRJQgNFw9B3f8R1t2xaqqWmKMoIUI4Ccs/Tp3QaAoldtTxUzS7kBZTweb23NY1F8 - /dd//aEDB46dOrVfi+zbu4WWo+pb3vKWV77iHw3KyszMzFPVnXPOOeecc84555xzzjnnnHPOOefc - JUwRBrAWs/pDb3jDjeT1J9dWh0OoQgpkCTHiU5+zOz+/cd99zfrpAVAICwlBJGWbJ84VqQypGoxv - OlItr44OHsLyMgZDBIUAuqjHolFFlChI5BY52f3H2vX16YkH5idP6sbmwDAqYhXU6kaI3VU1Hr4r - VF2zhAbVbLlNDGAMimBtogqyZGvyKGAUY3Pf3cfvu/fwU5+Gpz8VdW2DaDmHIq6YyNbm0R97w+nV - 8VNe/y9RtGE4SSBaicM+SVyAgO3acQpBAgAEwU5NOQFlb3U4DKCEFqgEQZFbBGQsjZ71v77uxE// - rBgRBQIcPDJ8/A3NfZ8b7WXm3aoqAAqbZu3ovQdpoDQbWyzCUlO/+Wtf/C8+9NdJAhJiRBGLRUC5 - c84555xzzjnnnHPOOeecc865i+mMoCuSIgBBioiCKtSDqwdobVAkGE0UFXJRSmE5aWAGCDWBUgUI - pBAm3gTsnLvaed6Dc8455646hiyUktUwTlQDlSKBliEwQNlHIOJ8I5cFprSu23QmQAgRACrMTGBb - zWZCyjDAVBTc9zTZy5x2wclnZJxTRUNXjdTAIBCqZozLCeb7+zlfCUUYl5MgEehWSwE1QGSRqSkU - XiGZ7N2gBWE/WqB7ggQkBhEThRhzziklswRYW9eqGlQhIIUkjACjRqORmapRuhzOlHNmCN2CMqhU - SP/2s4ztkGwjRKRfk6BkNoI5i4iIqEBEuqg87UPBISIQgaKuZ6oaVU2YrWUmFdKPIOiHYRhAULtk - biG63QkV69cNIqBCUn9fyE4Gs+dQXhIEACVZv081pmqpXBYUmQhqhqQiYvublk2xadpopBlYVIui - CpE+8V3OHkQjBMS2c+vdOVEMgBiUaoDQIBCiz0InAqQ71mSmOtfc5yLTFMlkoMBQabVUTgK7YWEg - kKW/bNiOHHbu0dpO714QYHHcUMAWf12cm7bvduZbYTvwWxavTJWdq9zuzn29dkJVRSSlhmRZxQBt - 2ybVabVczglb9WY5Ht924wtWvnX1d971m3ee+IxU0sQ6a+pP07sWaqKP4Gq6C4q2QGQFCPYnaIMY - CBG0CYwoBzKt1z/52Y/Js20+n111pcx3ctx3233TsGv7m7QAlBCoWkjaNLo5jSd++09+NRxMm2ke - qti2KTXZDCHk7uOPdtdfUEIJzQJLqQjCeR7Y8Nrhzd/64m/PJ+XA4Aja3DRNKKtBBbHctM2oGNdt - gwD0h8H+9ZYFFBNQr4wrY3fF2/UuK4rYtjnG8gd+8Afe9a7/ToERKppz1hgsZw1BqCklVd1rbndH - VbvcbhFpmqYsSxHpjm9t2xZFQbIsS5Ke2+2cc84555xzzjnnnHPOXWG6BlbL2ch5m976f/4fUaIo - 5vN5iDGnZtddAfRtgRRAQEAoXYvyoQMHv/kl3xhoQURjQRIkBTHElNI5l3sVBnibWQwlxWZb8zIW - KdtkOPqH/9P//Ev/+S0XamOccz7ZsgIictc9d7/nPe/5ppd8I4xXW1u3c84555xzzjnnnHPOOeec - c84555x7zO3qgmTnqIgi3R0WRdcy0BKb9Sfe9Kab57NDuVWrkYG6QTZA8be3r33qk1jfKCyNJEaV - lBtKaILMEdKgHB0+MLrxRhw5hMkSJEAUKgjSr4oIRNH3dFLpa/JE0HTyhAqsmoTNTRx/oL3v6Pr9 - D6T1zXEsimyB1EUJi+2KWOgr0GB3dYsqFJazmQWNAciWciYEMYBdhQ2BZEhKQ0EMcurTn5nfe/d1 - z3+G3nKDNnNKiHGA2dbjdDI+XX/4DW967o//ENomiqGsLGOnCxDP2rj9rSj9b7KAQMAe0rsVSJYr - LbrZhgiTqBQUxRZ0NZZijcYIicvXX3fq7s+OY2DaGereB5xTIba7q9iuLQaRmHIaRLRNzdvvkic+ - tZwsQRKYXv51X4fUSmYougcYmCHw0u3OOeecc84555xzzjnnnHPOOXdxbLcvU0ilae5CChRCUsSo - gsTAYnm4AiCE0OYMQxAl2dVLly7nhaIAoOqxOM45t+CdYJxzzrlLC8muMzGJEEBefYUS9xkFEhUZ - ZT24YfWWpmna3CBLf1XUpdZx+87apTI/8vkrEdh3Qzdo0jJLWWYtMzSkrLUV+a4HPs9BzAWIJGwv - 5NO7AlnWmtqKRbFSqQBMjGJBYm4BqqpkaTRYYcOlclX2NTCVyCa0cnmyktvUopVIzYwQSJu1NVE+ - KEbx8mWCLLF7fwQiEMqkyJCWmkiTzIBQIBQaRCRTUBQWQhJJIllhQUxggsRkYlQhkGjZzEQlFiba - TZDQ/bUfkqCEUoQiVIUIFSbMYBaYCoNChdK/HNiFTHYoZsiGbKTEghoyxCiCoBIDFAZlEAvKoBSl - hi4cXEQp2g9BMGrbT5JNjEC3TqDuTO6xJ0IVwDRnbVMwsBi3K48f3MgUEJFDI0WWlIp9/vxrkh+Y - HbVBEonSFqplstzmhsEAA4xiJhBqMCiS4hwlkt0uxu6QwChd4WKxQFMYAapQpWnSQIpgYJFOzU6Y - 7OMhlwITRZBkKMOgbMrrlh9XkooMwESTwhTBEEwBtUc+asq5c2F3eutPi/1Zjtu/P+uvZ56bFvfv - H9WdiE2Q1brJdh7en2sBAVUJhdBSUMQglrIlBgkqYrmWXA9CWeRlnFh60uB5/+TvvvYF139ttbWk - bQhFyAoojLAMmgBRqYsJ3eXRgy6s+7VVxpi1TBosApoVFgBJkARWRMiCTBYqW9NTd6/d3g7mprkb - q7grrHpn6pYj3Jkeg114AYmZ0IRd25gAu57XziTdJxEzpZmwqKpmZsM4Mm3nYaOenP6v73jrenF0 - nSesSC2BWJrFEEEAAhJRpBJYa2ZgGVrJrdQFdNwODtRHvvPrXnvEbh3lFbQ0s1Co5RZGUDUUtS1y - u2GKrNIyzKitMgjLxcWVcxeHPmh6ELHtKSiKqCklERkMBikZQkyEhKJJ9q/+95/8/T/4IwOMIJBp - ACxlEJZyzi1gZqm72Hv4T39dJncXwq2qItK2Lcnua5+zwrmLogAgCxds2zjnnHPOOeecc84555xz - 7rGgGpqmDaJCiAjJEEQCslCr+Btv/827j30hqzU5qcJyu90OKoBABTttwZBA0BatfP/yda/TZMHA - bABIds2zKRMSzmw57WZJXn3Z3RSFBkLNCGBQlO1s+qrvfGXAolbuokHJoKLFXjcQ+1koFnuqb4oC - YohtzgL8+//wZqOYKCGLHsIkSQFU+qknQNdprp8e9Qa4zAi068PQ7ZhdjWV768r7MEIIZtb90O8H - b5JzzjnnnHPOOeecc84555xzzjnn3BWKQAYywO0B0V2tCgNaWA0jDGYwIufUoM3YrP/mp3/2CW1z - YL4uzTomodk4iUHA+ibe9/61D32wOL22JCxhZE0kLYvTljYG1fLznnPwpd84etGL8OQvxcohxCG0 - QiwAgQQIIEpmIEFyV+7CxLIgC7JqawotECMOH8KtTyxe9MJDL3nJ8gufPzt0+CSQNKhEDZIJBFBB - qCBCIqEtQUEQKMCcBaKAWTbLVIpA0Od2ByAASggAQo0ryOH4iWPvej/f+xGsTcUUbZuthTWDtrml - xUf/9RsxnaOdgVuqLYjUAAAE1sxB61K7u4hug2UkSIL0W35P1XAEGEsRCahRrRa0EEhErKobrl/T - 2FpEURF1uOW6MBllqAFd5xcKEpEIidGwU+aB3RaWrnoJGrYUiwHa5I17jsEimrq2Jrd1WRSoc2ga - EzQK7AoFd84555xzzjnnnHPOOeecc+5qFkIAYGaq6jlibh8xp5SUGkJIbFgwoaFQDYWoEYigZhFK - o5O4IhLbZAEaJRIJITXYZJFNrAt0Uetzu7NIUuE+R/Y4564eqjqbzQCI4PKq3OLHQeecc85dbfo8 - rcLKlXKl0EI1ioickbGpACjo613u0Xa4IgUGBVUIJcxSRmLIbW5OTU9lNZJB/Hrs4ZgYJJkkoAtU - 7jYXAQg1IAAg+w7qUcIgDvc5GlBVy6BVlChCKilUQAhIn8vLnSD4yzu3GwCgBpj0FUaDdeUwzWQn - l04JIboQawq2s7cfasKuf8/5+wex3ZPSlFj8uxPX/VB2z3/xK1Xq9r9nx2/v/OaM5XI7Evhyj/+8 - kiz2Rb8ru2xsANCYi4PjIwGlQc1MFCqwbF/0BfOoVkdsvV7L0tIkSkETQRDB9ptl9xFBujE3+xk1 - fQUwMUp3SlbgjPf79o9CVVid5lla7u/21AwxUQoUGlJ5zeTaKEozcJGODAHUjxHuojjz1f6w56aH - Oh3vPHbX2fCs3G9lf4w15pyzQkY6GOZxNZs88eAzv+XvvuKrnv2SQbvSbloZkTNUUZYlGPdyulQA - wj6OGtQ+flugXKSAqwAQWGvNvSfvbuKc8sgP6Vfsm/JhrnlDCNPpdDxe2pxtpqJJw60//as/vP3k - J+pymkPK/QV1v+UhCBFmiBS2FiGDQZmYUm4HZckpl3nNy77yH6+maydcHcZJSrlb9O4rse3XlRKA - AQlIgIGqFvzyyV3KzCylVFXVdDqdz5vxeNzUKWhB4i1v+c+//hu/ZYYQI4AQy/M4pIhIWZbdgmKM - KaXuZ5Lz+RwAyZyz+hWEc84555xzzjnnnHPOOXflatt2MpmQbNtWRESkzWneNqGI03r+tv/7v0KQ - s2HRiAAA0ud3d00IfdugGUAtClUtVKsQv+Wl3yyW5aF6XTkAgGXmZCIaQhBjznk8Gt14/fXP/zvP - FiAE7VrgJSiBbOdRbfbcDT0EKKIqCfj4J/7mfX/2gaZtQ1lYt4fljAzvLknaAf1wrH3dJma2vfH3 - aRHOOeecc84555xzzjnnnHPOOeecc5cePaujCwktQUGbWwVZz0MmNjZu/3dvenxqhm0Ts0lQnF4r - V1Zwx+fW3vv/ze69N6bZCAxKClgWpwM2VyaHnnfboZe+BE+8Bao4eMgEiBVCgRhRDduiOtWmZrRy - QnVrtLRRVhtltV5W62WxXlQbRbEVq2msMF6aI2A4aRMSBJNlrC4Pn/LkI1/9ldd97f+Qrz10okBd - FC2QDWYg0KJJNAkSozLDDNqX+ll0CxFDVz4LfVkEsTPq/SjRzutrhtURhJN/85m1934Qdx+FxFCW - iDmyWamba2fNR37qDZi32NqCNRDEEk2TAJMyQneWpl0ueH/LFJC9DlDfqQliGbbTu0Xjtf/o2+fL - E5TD+vRUxmOUcuDmGzctZ+ny1yEiQYNAc364TlCqogHWohI0J9Zw4iREhRaGozSrP/QLvwAzEaw3 - LWLw9G7nnHPOOeecc84555xzzjnnnLtoilgN4kio1sDMUm5EGBRRRDMBJIOxK5BRjqqlvv7/TsRS - oiRK2o4M2I4S6H58jJ6Wc85dQvxQ6JxzzrmrDtmnpS4tLVXFQBECwgWswdfFDVpfEbPvqU0BBCRU - NaXm2PFjEPPCf+dp0f+9q5/YIamqVVXt+8JFiqJQVZEgEkgARnoXc+cuISQPHjxYSBEZzKCqqvqw - g0ouABNsTDcSE8So0tV49RTGR0Opsus8aWeG1C4K9WYTzOopse/H4T6hVjJJ5HDNocerxGxmAkB3 - R9gC+5sT79xFRoFUpQWBmbSN5LqZzeqpLcdrvubZ3/z8m7/qsBzhaVQREDTJMsTETMwEi38X01mp - 42LC/lKZiyzq7t20/fbv6pWTMOSM5vbP354knR1efmaMNM5YzuVf35yqFKXsBGCLUbrnaItNbQRM - rIvlTrNmVA6zwEbYqk5//OhH3/Hh39/SdRMYVEyFKkiC1I3bnNUoyqJtTQzDQWymm+2sXhpWsUVR - D7/6md/ynCd89XJciuA81Vn8AOeuKCGW87o1s9XVVVVdW1sbjUaq+ra3ve0Xf/GXmyYBSCktL8kQ - x9cAACAASURBVC/nlGJR7HX+JLu4blXtrl5EJMZoZt2n1xBCCGH7zhf0yTnnnHPOOeecc84555xz - 7pLQZQTnnIuiIJlzVo3DwTjn/N73ve+DH/1LERCIUYHtmO6Hmpda26pqMnvFK15x7bVHyrK8GM/h - ctY103TdC82saRoRWVpa+q7v+i4FLBkIiHQtNY+2tebMyr4ppWwEMGubN//HXx5Oxm1OZ9y9axw3 - 7mqGJUAKedW3zHbR3fvRgtbNWRY73TnnnHPOOeecc84555xzzjnnnHPuCiZAhEWYsKvWrZBFoHSF - mtaaFaESQA3YqD/zxjetrB8f5enWfIrhBDlAh/iLj5x4/5/PT55MqZkMRy3TVmq2Ik8UxfDpz1h5 - 8Vfgy27DyoSDCqvLEDENFmTOnMpik7JRDecHjtzJcOLI4+8ar9wzPnDvaPXe8erd49V7J0tHR0vH - B+PT5XAdMrcwn6UilFEH2JyhyRDBOOCmw+OveUHxnKefGgzbcpgZVWKoCgswpMQWtCgAYQYK2NVk - EMOuEhayq5BFhwITlIW087pu5gMC959c+/OP8GMfx3QTeZpDG5GOEE/Tyad/8o2YE/ManM4llaUi - G1QbZIuk9IVvAlUsggpBhBVfrEfYufcZAGiAFkAJgEAAlqt7kVII1XCMrRlEcM2h6bCwGKTvdcQg - qiCZA2SnagcRiLC4GUHNUEAITtft3jshOWaybsZFNWhqpFraPCkLkvDSSc4555xzzjnnnHPOOeec - c845d1EIdb7ZWqtMIWo5LMcRZSVFpEoWy1YEBAGCZEhrZIgeQeucewxdpmVb4mO9As4555xzF1uX - 92xmw2o0KZdOtQFhJ++z64C9uGEAwEcZ+GcUE0BVxCgiZnbsgS/wWlqTM4N/lD0/JLWPciQWl+MX - pxRpjHGxXEFXw/GcayiPvpanc+6LoT74GE1yabQ0CMPIIltLkuzqIO+vrflWa7WJicDMJHTHqAcf - 5P2wvxfn2IC97oQuwul866HvdSFFAQW5TZGDw8uPAwIAQgEIFQAhBgiM8nBr7txlp84pxKAZSO0g - hlJDXUszxeryDS//6lee/t37bKPeqk+zRJ1TjCUeFNL9kMRAUGCwBx8eZXG5lQEqsua7jt2RZEZZ - sv0/ql9C2H2F229SigE7W6yLPDeYLu5UIOacU0ztcH7v7M7fed9vTsuTNqwzrBtMq+y3vAEgcoIM - ijRvB4PCrE0JK2MJcyvnS8+99Stf/MxvGtar0cicUkYRh8asfpXrrhR1Xa+urq6trYkIoKPRaHNz - 893vfvfP/MzPdHcYj4dbW7P19fXBcDyfzc5jEds5EN3NEMJsMZ+UUowx5xxCaNu22Hs0uHPOOeec - c84555xzzjnnLn0xxtlspqoxxrptQggiUtc1o771rW8FkAzWd0SDqNLsrF5n3S0RpRFATmkQwitf - +crpdDosq4v+hC4zIYSUErMhBBEBkVLCnN/4DS9ZmgxPb80gAAlANWy36Tx6XQuRAAFSFPGP/+Sd - t3/ujmsOHxmFcFYvJlXFZTseaf+klAT70gUzpT5AveuDSu/l6ZxzzjnnnHPOOeecc84555xzzrkr - mfX106iQvjqBLCpCxABCg7VoGszzp97wc9dMtw6WGUI15bSWLFt/8bGtO/52ZO2oCmps5nOtqq2m - jocOPP7LXoClZawuT9s2SJQ4MOi0aXNZsCzngobyB+985/e+/b9hPLmORFEgFuDu/lEGGkiYIadP - /4dfGjRNnG49bjLhZta61fEQ2VBEjEfLy7ctP/Hp+MtPnPj0p8fGuqmL2A/jNusypiUbA7Bdj6Ir - jHDO6mBdbjcAMxoRogyKIte2sba29unPFtONyXOfHZeqdOqEFkOp03VFede//aXNaw88/dX/dFAR - VIjmnIpQCphzCiEoFASIPvGaSWAQ3UOtoZ3+MiqLujaAIQCFfNkPf/8XfuLfjiUgZUTB9deHQwfs - 2HEoAqQ1FmYCEVCkr5onu7YAAYj1m78QNlwpw8nP33H4WU/SUQlTTXagFDQ1BsOIABFTL5PknHPO - Oeecc84555xzzjnnnHMXAwXlqIyI9Xyac05NSrM2J6LUKMHMYhGa3AJBc1GEQRG93ohzzu2Z94Rx - zjnn3FXKUlaEw0tHJClMuuqH2wQQ9tN52HmQWJf/TQFACMwSgh07edRClvConsJVbnepyi5I2zJU - 434v1zJijNsrcM6KmYtYxb10mnfOnYdFNDL7ATMKQAmSQcLycLmwMkDNaMaw34dcscxms96QQCg7 - MCzCTf1o8CjtxAALIBQjEUAxKDdnG1yccPeViHSn8lGcLFWHkBQhmqhBzrpmEPIirI9zF4cJWiYo - q1giAdkiQqnFcnloVK/GUyuv/B+/55BdW7bVoKjaFuWgoFg3aHNn6q+QdddkZ069/t206w3V1Uan - gNFOTo9vpNNZc/ens67DlNiJlO4OCxfl4LDPVLiY+txuAt1mMu48OzVod2NYDVKqp7J+Evf//of+ - 2x1bn+FqnqIxSQCU/Ycd9ANNdTisZnUTikGmzGa2PMJSEDvZPuvIV/yDF75qUK8MOLK5MoUiViJ8 - pLnszj327NwvV+r2FGM5nc4Hg9FsVjfJKOFjH/urH/zBH2oaK4oQgmxtzQCIyHw2k7NSFB4BVSVp - ZqraJXM3TaOq3axijHVdhxDMrCiKCxgF4ZxzzjnnnHPOOeecc865SwfJAOkCvEkWVdmkVLfNZz79 - t3/8znd1rQYqmpKhS3He3Vq6q72DyEAOGhR4zrNve8qTnlyW5XYIsXsoOWcsehhSURQxBCVtMBh8 - 28teBqKMsW+D3XtjELp+LMCDW6aMBqAsSoLztiX0l/7TfywHlaoqVBddTbpJH9TzBACFPL8OrJcz - kt3O2r/X9u6XBDw03TnnnHPOOeecc84555xzzjnnnHNXkwy0faEGClNAFrSwhNOzO974i4en84NV - xHQTW2sFTDLv/9134K77KxKSQ27YtCKynnjgKU85+OKvwcFVrIxR5xGrqliWtpi15Wxy6N7J0jU/ - 9iM3/cTrn/hTP/a97/5/8fhDWBnhwBImA4wrjEcYTTAcYTjCYIzRGEsjHBjiyOApP/kDN//0D13/ - hh//qLanDh2YT5YsKzlMbdHOw3yeEQNue9qhl/39fHiVUG0pCQpYRCsgWehObndHdir/7EzcdR9m - BIUI27Ym0hgy2NxqP3vX0T98B75wLK6Mg6YY0pLayvHTj7v35Pu+90ewNoW1UIuIkoCmDSIhQwgI - ckAS5H575z3vpO0iEjulHQwKKwMGVRqPUA1QVTBDqePHX9uAZmBQAplZtYv/ZhZk7St+7J4zgaBA - CwXKaO36cRz7AqCghMxq4/Ttb34z6nlMWQCR6EWTnHPOOeecc84555xzzjnnnHPuIjCxObemstGG - uQ4QQlgaLg/i0hBLoR1KXYZ5iWnANGhbjMIYLbw91zn3WLl8q7X4cdM555xzVx2Sqgookl1/+IaY - CrEzqy7u/Ljn3CyTvnImBRBTWvdLA8ygAJNRef/a0QZTFMLzqvbocGZydl9Ykwz7ns0LkiEUtJ0a - kfu9ROfcw9o9MGbXR9yExx+8PqQYJALIhISzh9ZcWBSD2qn1BxhyRu6yVEmK6EN+9KZ/JP+izrXP - do1/yjCTvFGvZ7T7ejju6yN3o5vIw8vXDTA2E9VuJ6pSlN3oLO0GqV19xZPdFcxCGZK1zAiINGnr - Zj6rJcO25EA4spyu+favf1WcjbkVVpaGs9nWnhfQD/Y749pbqACUhoyoMIEFq2Xz2Np9thgQeDUR - 7HrKthhsKdRFAff+cETBvJmVE03DrQ988k8+dMf7w2Gcmm/JABQouizz7uEKaBd2nnOWGGZtMxhA - Ezbvtmdd99yX/J1vu37wJNuAJBGJRVEhZZB6lW16d8Vr27Ysy9FoVFXVhz/84Ve/+tXzeaqqIqWc - MwGoavdJ8zzSGswsxthdMLRt230doapmlnNumqaqqgv+jJxzzjnnnHPOOeecc845d2nJFkIQETMr - y7KuaxFZOXjgl375zQYkkgIDIYBIflBc8U7rHAmC2QC8/OUvH40GZrbot+AeUs45qGoMJHPOmda1 - 18D4nd/xTxTIKcninueX3v2QBMly10BLwa/9xq+vr68DkAXuciGXe0VIKV3g3bGwvaO7LqD7sQjn - nHPOOeecc84555xzzjnnnHPOuUvGTlmebdYXHBBsrIe2xXT2qV/49yvr64eLYA/cDwJlhePHjv3h - Hy7P5+OcrKnVULcoJ8VmWRx8ypOL256L4RKCWpNQDFIxOD6drS2N1w4fvP6HfvC2H309BlXWgOEE - Bw5hWKKIqApUVRayiKwUZUTRTSXLsi3KOo6acojhAJPR837ix4+89jX3HTxwz2i8NlrZ0lExOhTC - GMMJigg246978fi2Z8qhQ+vEVgZERYSEEZC+XI2ekdt9rk1DKBEjsiG3CMKgUKQiN0s5DU9vnHzf - B3D7Z6EGzdLOVplXN7aeGYdf+MVfxukZ5hk5wxJCSNMpABAEMpD60jNd16E9V7HrZtXtop29JiVi - 8aljx47npqFhPLaUx7c+CdWwBjKIrvSaKoBs7KvePbh3jABFrMkIRTuvBFv33IW2QcqxGg7rtjy9 - hpQwm8Jg8PISzjnnnHPOOeecc84555xzzjl3cRhAswxAsnIWbz741FtXn/klK7c948iLnn3tl992 - 3Vc+5/qves7jXnTbtS/40iO3LaXVmPc9oss5564w8bFeAeecc865i82Qo6qqspUbr7llYMMtm5M8 - ZzzoeaV3684DxUBQIACBEJBahCAnN45tNOtDXaGA4t2Tz0dXvNJIkirSjRC4CNHdALpSqts98kWE - RoAP7qNvvnOd218PGhhDhRhVzHDjNU/4yF1/pkGpYvlivBUt5AfW7s+HUxTTICBIYlHgtcubpvSj - eoRXW+jsnm1HX1P6WN/dYdgkATMmQ96abSSk/c7KJolsGlBKcf2hmytZYgaAbh93mbo7qeJioH9V - 7a4cIrlp5xWGhQ4lEioZrUoelGVb51F55GnXveArnvYN77vjXSw3zBDC4hC3875ULI6Eu9+sCkDA - fmynCqDcfpSKCEAzFBHMyNJale6+/65nr77wQW/4XVfgPXvQXy9fgm7riQHczu1Gt7kWod0AADNl - o3XDzdtP/PU7Pvi7YTWdbk+jgGzPgUbZHlubAKSmqaqiYW2CMgIbuGX8xG9+7j/+0iPPmh1vh+Xy - tGmKIooq26bUkK+63HR3ednbR3gTaCyn8yaE8OlPfPI1r/nn6+vTopB53XZ3CCGQ7IIBzM6Oyngk - UkpdVvd4PAYwm82Gw2GXohFCSCl12d5bW1vdHZxzzjnnnHPOOeecc845d+XJOatKWZaqWs/bcjD4 - y49+7Lfe/vYQxRIhMKMEFRHLGeh7G+5iEKio0QZlHA9H3/ayl+W2tZxDDN569/CCKgDSDAaSZgAo - KIriWc945s033HDXPfcEDckyYBpjbve6hIdrn8qWAQyHw/lstr41/S+/8iuv++7vLkRVFSIielZu - d9eeTo+TBnLOOzdELuDrvJuzyD73NHLOOeecc84555xzzjnnnHPOOeecu1Rol2ZNmMACoFDAYIo4 - wOn6k2/6+ZXZdGD/P3v3HiTXdd8H/vv7nXPu7cfMYAYkAAKkSIKkSJF60Bb18Cq2RdmxsomSbOI4 - VU45u1updWUlxV4llqxXlEjeUqzIlpd6JFurlb3Z3SptVaocKbKdeGsrlmQrkSxZluWHSIl6kBJF - 4kFggHn0495zzu+3f5zunh5gQBIgAGKA36e6gJme7tu3+3bfvt3nd37fqG3mfg+DNYxGa7//n/cM - W2rbVvNKp4q5rXp0LMYbXnEf7rgbrYIqdCtI2gCPF/vHVvov+vl/tG9xAc4BhLrjwApsjoa9bk8o - BXi0yYUKhAywAwACASC4AKeaiRy0hstwIxzo3fGut6DNX/nAR1bWg2vyAnoYj9BfTBp9J+C+e3jv - UvXwo/nJkxpbDwHlpFsNds+qDpn0hsH2njZtQhWIFCmqQDwTETWx7aobHF9d+5M/2wPC3hXsvQ6O - qRktdfv6+PEj7/vIwbf8E+whVL7l7BYWIAzdCtvOEA8GXVBu93TdBADEQQEmMKA/8Svve+yBB8J6 - Q80oLO7B2ubygQObG5tZwESAQvNZ5WdbD4gShMEKApg5RunU2Dx2vH9yFfsP5FNr/bqTmvSl9773 - Fe/5JbSp9aHjrZjJGGOMMcYYY4wxxhhjjDHGGGMuOVZ23rF6EVBLy67z1+77+1rHqgp5lDSLr1hd - FuTcomo7K/XeOM5Pv1xjjLk0SsMc3W3dW3Z7bo0xxhhjzHkTESJyzlHmA4sHKq1JJ+XW21KWCecI - 8356Z3dOLAt2HiCAcXq8uhnXoo6y5gtIB7/27HDUSkQAVFWVSrIjETH7S3yIywAxOaKdAllJZlvT - umca8xwiIlI+sHTQtRWLc+yJIJd4X6ukidOp4WpGFCQiIoCVSbe/uZhnioGdWuTqZIdfvoUR5ER5 - lIZJ4+V4NxU4QYXOvqUbKuqwTKKIlcC2oc3VTChnR+x8FVVH4xSzKKesjWr0xBRrXeu+9uV/a4X2 - y2ZeqJ9Jf+unesmSAsqKSaNyKJghioSsIR1fP5L52jp+nqWZCyDTg0xWOGWansqZQhBOtJyPpyd+ - 8z99vO1sDNNpJfR7nfFgOn2UICRCkzfGMqPSBxZN/a4bn8ZePvQ3X/Xf373vFWlV+r5mdhyqDG1z - qkN1jT325qrHKuS9V9Vjx469/vWvX11dY0aMCsB7BpBzno79XMg7fQih/EBEm5ubItLtdss5JRJg - tnDL7TbGGGOMMcYYY4wxxhhjrlbMLNO46Ca2nU6nTelDH/lwVLRJlZEFYAIgObPbsSwNAESkDlXb - xtf91391eWlRRELwFzaEcU0pj39KiZlDCN57MKlqOx4H5//qa/+KJ1LJzAwgp3TRbpgIRADYu9Fo - RMw+hA888L+0Mc9GoGjqot3oVWFWGnpJFj63ZHv5GGOMMcYYY4wxxhhjjDHGGGOMMeZaoIQ8aVkg - jMxQQCDAOGGo3/mVD+7bHF7nUUlDTjEeI9ORz3yO1zc6OapEJtG2jRmnyB181avo9sNwjMUFVNU4 - 6qqvj/T7+/7x//Sit74Ze/rohVTXsa4TOCYB0Ov2FGDnBUDw01YTIhCZ9VJQQEHqkD3Up8jaW86h - e0qBfu+lb37L4be//fFuOF67caczGoz80lKjlDjgrrt6P/Ty+tZbBz4MJatDKb8iPTu3e6tvwxnY - I2bNSR3gGSLaZnGMINIT0ZOnHv/8H2IwwGATzYA7jOH6nlAtn97431/7WrQjuJQJDVQZ4ElHiNK7 - TsHA+fZDk9laCpAx23ZwcBBGFR5P4yEoLOzJowhi3HBQOnUCiJwAEMU06HvnG1aM2hR8laFK8AQe - DnDiBHJ2IUCoL7iBGU0L4mC53cYYY4wxxhhjjDHGGGOMMcYYc3mQjJuRaOs8adS0iZWwvxf38trC - Xr1pOR7sDlb6G3sXh3v3pn376ICukxP/XK+0McbsMhbdbYwxxphrjjIpkUQJXB9curGPJUrK7HdO - oXt2lcOkoBKhRyBCSnAOrYzDIn/zsQcjolrO59M75yGrqhKR9z6lxORVye3YuvSicqA6BM3iwKxg - kKqWxp0AlCBkeYbGPIcYygBLI7fsP9zVBRbfjiIH1kv88VdIEjWnh6sRUZ0CIiLee1Wyj97PwnTv - CsgsuVak7P/JkbJywJHVo1wTLvHulxSdUKcGnP3hm+5oB8JwNLdxCSDIJJD4Uj/hjLmMWNkp1Vy1 - IsKOqpCgcCocox+JT0y1zwsLaf/f+pGf9oM6pOAAzSWBGwCYOaWtV6iSaDnGnh5pT+OohRWk8xMA - y74UpV22ABLi0VOPK+ekSVmFRAjKNG2ovXVdpXJSvQpmApIKqZAoqZIAYAUpOThppObgyUmKGZmc - Rj86lr//7z738aPt90e6pix1xc3GuBtcRa4dIwPwEBY4VSUFZ6WcI2VgnPfyoftf9JP33fparPcr - ctA2a8yEzFAqK5LtE4zZjWKMIYTygTHG6L3PORORiDjnT59e+5mf+fuPP35EFaDJROzZjusZtuyf - pXTXdT07J8YIgIhKUDfRbH+FsjLlWpbHYIwxxhhjjDHGGGOMMcZcxUSkruucMzMrMZgf+sbD//5T - vz39KwBAVUUASM7brkzTcVWFZ5diy8Df/amfkpQcEdsowzMhwtOhmaxSyk4ckSOSFP/uT/2kqjp2 - IuKcw7N8POf7+6qWoW7JGQRRiSluDIcf+V//tfNeAWLOKuR4esGzFka4Gsa7z1OJWieilJKoTCoz - L17GtgIlOl1VZ+Wm9joyxhhjjDHGGGOMMcYYY4wxxhhjzNWqNGyZTZsurVEUgpQxyt/4lw8sNW2P - MjXDwILUYjhc/fTv15ujTlUPY8sEX6ER5E61776X45bDqBfBHikOJW8uLh/dc/2d73oXVpbRXUTo - QYJXBCWvEhwI4iBuetuJkT0AcchOxWkiEZK5qhsGCD4EUjB4udMHPDoBi/6uX3rL/v/5LQ91Mbpu - 77hxNS+02Scw9l9XvfzF173q5c3S4mYEC7JAFeSgiggoQN4rIJO+DZC5shwhJEAYSgwAAiKQQyZk - KAGLxAujeOyzn9dvPYLA0FYDQLGu5G/8+KuhETlWYMBFoCGMkpa8bqRIcJJxYX2HlOab7RBKbrcQ - KvdDb3ur6/XGmyPnKrDDDQditwsfUsxV8FnBFYQm+eUsTNt74IggMGctjxMkYYndqYe/iZQQHNqk - Me2BIjeQbJ3UjDHGGGOMMcYYY4wxxhhjjFGFc67EEpW58DY/3VwKQuo6KbpB60a5ii5ojI0Trijk - JrFSgPdgFuZMObaOcanzWYwx5inEGJ2bvCHuondGi5IyxhhjzLVIlQAKWnET9u852K36UlpgXqT+ - fqSCaW73bLGlwaJzRE6Hsvbtow9zR8mLkmXfPRsM0OVOSN1KlHyq437ZNR8KjLkKbPtOkIgq363R - 2xNWKqqIOGe5eB1cz7kOEXF9tCacVNPkS/OnulXbRzwVVpzr7bFkXjKRqgokUdwYr0e0emm/Ghbn - qWlil0NNi4v1YtfXnn2etswWQpnxRJibr2bMVYEAJ+yUhTS5nCcZ0qKUxnkgLgOg7Hu654599/zQ - 3X9J1tkl7wksUAEEqsp81hemZ71Gtp/BQlBWIp1MKASU0Wq7Od7IyJP23NcEERKQzO/lSJmVkdDr - dMfjcZSEoFSlhoaxHv+HL3zyG6tfS2HsOswMJ8SZODtN2u/7qkOiSIqsGQBTVdf1cC3v79V7ZPGH - 7/yJ++/92xh0KywAXsvtUirTMpWkxKUbs+t0u922bYfDITMvLCwMh8Nut6uqnU53dXX1jW9846OP - PqaqRMj5Qo4aS0q39x5A0zSdTgdAye1eWFgYj8ciklIqYeEX964ZY4wxxhhjjDHGGGOMMeZK57hJ - EUzjtnHOtSn9bx/9aCN5rrPqHD1nQUmWHJgP7T/wonvurkNQVQD5jKhv84wxsyO++64X3HrzTaXu - I0u+iBHROxLgY7/x6+PYJpXhcAgi55zSbpqAdKnNntgpJQCXYnCtbdtyKyXA+6Iv3xhjjDHGGGOM - McYYY4wxxhhjjDHmykFAblERVEFwotDYcI7Y3Pjq+95/fdv2c3IxeslQwfr6k5/7A7e+vsA0Hg6Y - ETzGEU3Ni/fcg8O3obeIDAgp0SiE74fqRT//JvQ6WtcIARwAD/EQTJtNCFRIJ726diiXIoAEhMlp - /i8CEoCAiqUmLAQsdH/wn7/zUe9OdrqnsqP+Hq26a21Cr4ebb9r3Iz/My8vjqnKdGp5jggKV9wRO - qS3L1BLafUaDGuXSvkwx7SpWVpjBDM7Sy9rZGJ166OHm699EFiLJ2kLbjrZf+ZfvxzC6nGqgJHb3 - PWlOrLnyYTyMzOE8txjPPxQEUFkrZWQg1HAMz8MM5+soAgV63eXn3TQWuFDFlATIGURgBe9UjcYg - RmkBQgRwBjcJzQgbaxgNEXzodn3bfPmX3zfZZsYYY4wxxhhjjDHGGGOMMcYYYy4LUiYFqzCEFV7g - BE6FoYRMEFI44RLjYmEoxpgrxy7q33LthNwYY4wxxmxRVYIjddT4wzfc7qmSDMxqlOeO5fhCjusm - 5cYEsIJlshjCtK8j58jjR448HHkcEZ/tnbm2yLmruRl6eTK8n/4mpt9Q2MG2MZfazjsEVQ0UutK7 - YeVG1orgoJBL/DldCULxxNrxhFaQy1whyZjfL81SV/ly7KyuAjx7oGQ+sJacKjGziCiJIK5tnEp0 - Gd5PJUfp0dK+/sFeteThHBwJAVBASZWUIayiREqX5y3JmMtCmcWTMCgpJeWkJAArAU4z54wWEI50 - ffeGV9x5/4Ls4zZ4CqTMCmanGc6Rat52LKdzpyma/rUkRgtyuaQIiECMKHGz2UipKUfugkk3bZrM - Cjzb1TFwtPW4kQLg8lHDsW+axleefEq+kU5c1xOf/9pnvvzofzklx6SjgowISuKVPHHbQNQ1jeaM - OsA7CDhnTeNm38JSe8T94IEf/esv+5lqc4ESxOVELrInZKfRKVihBGG16ZVmNyo9/Uui9ubm0Lmg - Sqo6GAx+7uff9OU//lMQFBCF9575vN/EY4whhJRSSe8uKd0AiOj06dMAnHPe+5SSc87Su40xxhhj - jDHGGGOMMcaYaw0R5ZwXlpaa2H7xj770m5/8xLbcbtph8PRsDKjI/T/66oMHDrRtS6pnFjuapzPp - vVt+zllVr9+79zWvvl9EL2Z29rk2pTKAk2unH/jIv1LC0sqyEFLOMUZfndGiV+ZO1xwiijHGGGe/ - Xtzlj0YjTCd9lXqDXTQBzBhjjDHGGGOMMcYYY4wxxhhjjDHm/CgqBmXkjAwmcsQOw+a7rwda0gAA - IABJREFUH//4njhYcJKGm3UVWAUnTmx+8Yu99Q1qhyqxJkBAVA/B1T1345670F+QJsL73LTJd54k - 9wNvextWluD9tlIoAoihHjKdsk1CAANuUirFCpeJM3EiTsR5kqi9fc0JICihhUTHGQGuQqf70re9 - 7cZ3vuPEdcunosYx9oQeqIIPWF7e85rXrC/t2QCNEpQY7HMSAJ6coiSBn1mQM+l1rmUtSUGk7BSk - UAUYSbOHLjqvJ08N/uwhfOMRtJFYlGNf2jsjf+2X3o9BdKOxH2WXQVkCTVpndDq1xqepCjvHRpus - pQdCSe8uKxNzJiBUVHWFA3xAr4MQ3C2Hsw/qvCgIyDqpkqLpTc/qpkjhQCRKok7g1ZEyZUXTtMeO - ohnDcdOMKed9iz1IrEjIKmuMMcYYY4wxxhhjjDHGGGOMMebSc+Kq2Knbns+1T1XIvsocBF7EacsU - eRqCA5ASW3S3MeY5txsbtliOlDHGGGOuOcycc/bOUeJau7cfuiM2qfzlzEs+66M7UhBKy0VmhgpE - NCO7rm60q8dPH00Sla7F7oq73DTlfevXp76wMebSmU2J2dqXiohm9Tncduj5qVUix+wvw5qo143B - 6VEzyshKcKDS3RXnmERjX2ael9mXv6VhLhEJCRzGsVkfrylvi/e+FEQkcNC2vv3GewJcjq3k7JjL - FCMBhECaJhe2nb+5ykxfgcJZSZQUAClXVZ1jQ5zZaY6Sh3TznjvvveUVnDokziEwnCcvoo6e8kWh - AEA6y+2GlJNkLWcKSOEYIIm5HTVDkCgyoDSd53fmN7N6lb0MZxMamRVQT8Ii4qvQyrilhhfyajry - yKmv/+4XP9VUm7yo4nKMiQAmECsgVacLZckIDgTECFWShB66vF6/9Hn3//SP/UM6Hbq5651TRmZo - CQlXOBUAmZEJars4swu1beu9V9WUUr/fZ2ZVjVnf9a53felLXwIgAu89EVJKFzbSU8IDUkrl35L/ - XVK6S5530zTlhwuIBjfGGGOMMcYYY4wxxhhjzO4lIkpwwY/H4yTyoQ99KOa8rVntHJqUpu3wJ+9Y - gb/zd/52bNrUtmW843LcgasUETmijbX1/+Zv/E0GVEGXfhBHASL3sd/49SPHjp1eX3PBZ6gPoYwx - GUyH/pumybOXyMWO7h4Oh/PLJKIyqGeMMcYYY4wxxhhjjDHGGGOMMcYYcxULDjlmgmJjgFEj33nk - UDfE9Sf7HYfhJqJuPvxo88TRbo4dgHKuHDFhI0n/ltu6d78Yi8tN0sxes8TFpUehL/hn/xRM2fuE - SV8CACh1UaXugxnwmPSakFllFAHlRwWXtkF51jaopGvrNGObBEiKTHCSBVTB9dBbQKd6/pv/yfqe - xVOOGwoQRgLYYf/+A6/9iXDg4ND7kau06jQQAVD5svwd8sEVPGnmMKvFotKtQjKIQIBqZsYeeD61 - dvovHsTqGidxmkOM/uSp5yV89V/8KoYRKSEnxFbGIxCpEhTkzntLlYcFgANKiPhs1ZVJfYDkW/7h - 69eSjEVaSVDFdXv7+/aPszI7x8SA5smiZtdEacJBZSOJqpRwcgciwIse/fa30KnRDOtex0F1MEQz - QmqgVlpjjDHGGGOMMcYYY4wxxhhjjDGXnjJJDe1CA+BICcpcxq9JhSSTKJVgBs7EmdjyAowxz6Fd - 2vjI9pvGGGOMueYQOREw+Ry1Q90brr+RxBG5ErB95qUv4BiPAJpE3LECykqsYCWUdn9Zc/aN1umR - 73/bVZbcegFkPqN3Dl+WJFw7hDbminGOqGYiSkk4h8M33S5tmSdyyXcOQsKeRnl04tRxsKpOpgWd - scuwySjPGqsSTWcmMWNjsJ6kYX/Jv5RRILiQNvD8m+5BBkg5qyvvOyRKoiQEJaiU6WH2Dm+uIjpL - jday5yVSJvWVVtIKsQCJnWqre/nAX3rhX14MyzkqhB0CgybX2XnZjOlhM+t8ercoSSaogyewgDJU - QKREOhxtCnK5JBGp6uRfBSlIpzv9qyW9m6d7OJr8TAArEZhGacRdatzwRPPEKTz5b3/v/96sVxs/ - FM5ZIjNCICKK0FZjZm1zCo4YyCN4RafT67p+GHZu7d31937s53rDQ3vcIuKo9q5pR0AGwOKcOFIF - 5UzI5Gz/Zq5oJFunOSGEtm29r0TQti2A4XD4wQ9+8BOf+K0YMwBmbtukiqqqLmCwh5mJiIicc0TE - zDnnspzZ0uq6TinlnC/C3TTGGGOMMcYYY4wxxhhjzO6RJIcQRk1Lzv2nT3/m05/9/a3h07Nyu59C - ztKvO//VK1+JLN1u1zOJiEUOnyeZzElUqUNFCmR5xcteFtjxhc4I0nMVmer8iWfj10nz6vrah/7V - v/ad7nA4RBlFylkJesbzYdZ491pStsJgMMCkDvciz9QiYDAY0NSs3uAi3oQxxhhjjDHGGGOMMcYY - Y4wxxhhjzJVFFQzJWjlC04LcYx/6yL6Y0vGjfSegBqz46kP68Pd6opJzIApEbVLtVIPFfv2SF2Np - pYVDFWLlT5HfvP7657/33egw+rVKFCQgQVD+RwIEYLSEhtCCM6DTPxMSFKRwCgeEElA96c8zLYWi - aXQ3hIAKFFSDVhgKEgMBzOjVL3jbm78V6ChTU/daCtizb7Cxhk6n99IfWDh826D2ayrqa2GStpkF - h/O0gYMSAFaCEJSEIaxKgAJCVPqbM5z3pNDUDhlxkRHW1tc++4f43nEMx9TvVDV3hoN7B3rilx/A - aITxJrxwr1KopEl69vltq+mjwBBCgkopQFJCTPA1x5wRavQ6cWlBg3O+aggIYeHm5w1V1HkCOYAU - Uu5aeURny580wZtklRPAICZ4aBiM8M1vg0mbce3qHuhPP/xhrG/AZscbY4wxxhhjjDHGGGOMMcYY - Y8ylp8SJfaLpiX1kisSJOTqKzIk5MSXyLfuWXctkeQHGmOeQ6la/lvIT0S7YK10lATbGGGOMMc+Q - 0OwojVk5aL3Y2bN35Xrm2XHR3AHSxWjHx5Oui5xTuVXKhFaS+vydx77N4ZzRs2Y7nvt3FyjfUFhD - R2MuuUku4+wEITCzKjlx+1cO9Lt9AJIvR2a2ciaHI8eeIKLSGXn+e4FZd13dBd8VXNFU1RPPek8/ - ufokHJWU30t4qwQiMJzX/oG9NzFc5cq0LyWFTDbrpL+zgpV4F71nGfM0SJSTcgJAyuXglsU5Id9S - De+Js7Tk4FCFduHmlTtuOXQ7stMMzUpCDJAoANAZzeZ3OMAjBSClKTk5EIHARAwQCakqMYajkWpW - zYoM0tlbwJZZaPfVk949+7hCUC5zQQVKFUdupRtH1fD/+u3fWA8nBm6tQSsC0cwEIk0iysheWxm3 - KbKytnCCTqhzm+JQblm88x+87o298bJsuEqrTqjW1k9VlSckgpSNzrMVsZ2b2Z1K5/3yg3NORD76 - 0Y9+9KP/h/MMoKoqEQkhAGjbtvxwXkTEe09EOeeyfBFJKamq9z7nHGME4L13zlnrf2OMMcYYY4wx - xhhjjDHmmhJCSJKdc0nk/e9/fyqdVJl3TOrmM8ZUt4+x3nvvvXWonGdHXEYinHOXePV3vTK6enYG - tqTctu1Cv9/r9e58/vNLRvRlWB/vvAC//n/+mwcfeqjb72eVNifbjjNlUG99fZ2mP1/0wbXNzc2z - b9EYY4wxxhhjjDHGGGOMMcYYY4wx5upEgKfURPYKiYjxKw98KKxtdtrYX+hRII5jfP+xU3/+F3Uz - cKLOEYAsmoAR+xte8mIsL0M0gUbEJ5Q29++//uf+ERZ6stQHwzNtK3maRmTrXPctBUpbCZr+MLns - XIY3AAVr6d9CPDkpA9yOEsFBgcqXUHD0+qgCevWr3/2uW9759u85xOv2RknU7YOB6/d2X3bv0q2H - 1zU3gDC1Cs+TmyOdy7GedgmjybrLfMMw51wbs4o6V/4gzqFKsVrfGH/lqxhHOXqMHSpPtLa6sLb6 - az/+GqQWJIPxMEE5+MmjcZ50EqqdoQoVAJmQgRAwGo6DC3AOHv/P7/3HRBpjRNUBCDcc8P1+FqiA - lKg8nrRtDQTIDHIgVzaEA0QhqmBFPY7jJ47AKcUmjsZLXC1tbEIUYv3xjDHGGGOMMcYYY4wxxhhj - jDHmMhChJJwyp+zaTJOwhhK1IISSUEAKVgKErc25Mea5thvzFixqxRhjjDHXHBEpeVreVZKIxd9x - 2x2qIOVpf8aLdow0XSBBmZlEoExESEBCc/TJJ9Y218T6/l0EdNmycEv9vTHmSkZgR541dF330IFD - jnzOynTJP/+KZg44cuwIeypNY4lIhcpEIJRcWTorX9acA59jf0uizJxzBiDIT5445hyJpku9PkSg - TM87cHihWmbmjMxljhQpSIUgBIZwiZDfscG2MbuTkghHoczqSQJpIPWk7DNTpB51KIuqZoISuRh8 - 6v7APS9d6C46DqoqIsxUZutNnJnezaRcRnxAmN9JEkEVIsIgRgBYtczzjHAMnpu/udVKm8/8Yfen - d2/dN916TwGQIb7i9eZ068a/+/u/fWTzu+t8sqlG3jtHxAoQUkZSiIc69l2uanh2lFBRF9GPRqOD - ew/95I/+Dze45y/6bh2QIoHrbl1XTpxqkLJbY2iAOkymmxqz+7RtW1XVYDAo0d2f/OQnP/zhj1YV - pSSh6rRtAjjGTOSYucRsn69yLWYuNzcLC48xOudmuylVte7/xhhjjDHGGGOMMcYYY8w1xVdhPB5X - VfWxj33sa9/4ugLsvKpCeX4085m0af3RH/4RiUlSTm0kQJEt8vmCpZQAqGpK6f7775+c++zGcWiH - E9P2DZtEQvAKvOMd7xg1YyUqKezlr0qidE2PyRKRqm5sbAAQlTL69iy3yzwFhsPhfBz45CaMMcYY - Y4wxxhhjjDHGGGOMMcYYY65GCkQFdQOQMTqN0Wjv5rBK0ddeUoONDRw/+eTn/ktXmspR1alGUVU1 - ArnT7+47iNtvQx5Dxk7z2NXj/Ydu/oU3oWIERyDJGYAHO/jMSAGpQgpQB4JUkBopIE0Tuz3UQ1nn - W4XJNKoaiEALbsANOIEVDGVkrqsOEsQBAdrFMI5AADNA6Pex0Hn+P3v7w5ROeOmtLErTIrXYu7Jw - z537Dh9GHRLIM85uAyOEzNBJ1PhWixsCWOEEXkmAViAOLkAqtJoEEhDXjh/Z/NOvcXcJRJJHuWr8 - gv70a38MKSKlbqeb4UcQ7HS7T0vKlVQw7aWj0zYcvU7HAWBGt/rF/+93o+SOC2CXAXS7+268Mako - VFWVIYS8/dYzIxMSITPEkYAVCogSnGJv1Tnx7e9gYxPOBfKyNrwJ/OV3vwdyyVv6GGOMMcYYY4wx - xhhjjDHGGGOMASXwELwOtw4eghtCdipOhQVe4EXrLHWWTs7dnING1mu6PYUx5rk1a96yu2IXrMuM - McYYY64tXML/mLMmriAiGnHHobtC7PK0oHtSRa0oMZwXTmc14grAOZczVMAeRMgUT7ZHntj4LigC - EOLMEBLhvG0hJCChaQ32tZbzzYpZfKMQzz70b2WiTyhIn5NQ7dk0gDOiw1mBC6mcN8ach619AsDK - pCxUUpOFiFgJyR1cfl6tPYg6PwuFnbsaAQSdnFjLJJ/ySfkCpr4InMeTw6OpbjNlhgOQkWe7boYC - QorptB3zVIQYJcAbUraREABRVWaIiJJExNXBSbgS5H3hGHNdk7fO27aZSMCZbz14W4WeS14aFWhp - 3stKrCXdVmbLM+ZqooASSMvOduuIS0SYfU4SQs0KSZnJ11q/+OaXXR8O1lwDUCUiNz92Q1omCnpo - OboTJdn5IE4hAhEAzMxEVI6r8zT4dnLYroqt72QFAK7sVuZCXHZxAGjymWP+kwdvPwHlr8o0adwv - wilzzL4dYN1dh//whU/9yaN/NK6H1FP2UFUIkYIIwoADMcUoaZwkg1gJzmlF487zunf8vdf8g9v2 - vihveGrJgYgoRXHOx3HD2DrsFgDgy3+wbcx5Ky+T7UEXADqdznjcLi0tqdLv/M5/fNs73sOMtlUi - im1bev0zc1VVIuK9P9+bJSLvvYiIyHg8ds6paknsLoEZ3vucc865hA1cnDtrjDHGGGOMMcYYY4wx - xphLRp9x3Ui55FNcfjQc9xYWv/vY9977y+8rvVhTTjuOF8i0Fe3c0ie/ExBAd999V6ffExEldLrd - nFTkih4bvRLIVmnQ1pkEeO8XFhY2Njedc6945SsVYGJc+nGcrDKOSYHPf/EPP/HJTzEzOasz2VKG - /sfj8fyZFzddu2lbVT1jAtjZr+AznjNnrqdu/WuMMcYYY4wxxhhjjDHGGGOMMcaY3UXnS3R07nTW - GbOzz7rUjksTbDUeOetC2OHKc4stLRdkhzU4970486fSqksBIAOlEQsBxIhtA2lB/I1f+cDecbO3 - 34vDEbctOr3Vz31hHwUnoirjcdv1QRSurtZcqO95IaCoA5hawpoLd77+Dej38p6lVkt6NkHLzG4o - kIEEZEAmj0Mu9Re8/d5OHiM6+56c63ECPEBlyag73ZhTBqeqaknQ66BX/+A733ay2zvapLy4hG5X - Nzewd9/Cfff5QzesORXnZ31plEr3n8mv5f/tRSBa2s+Ipq5j75ASckZKEEVVMyOvhGrj0e+3X/0a - Vk9zcK7n2tH6Qjt++AMPYJQ4KSEyWJBL+7hyj8tWfqqtqqBtPWt4vqglZ4AA1RxbBAYQl1dOiUSF - COACbroxOydEiYDScGLSvW3bDSZFaQBS/qzTCPN2NNzf7+OhryNFKDreucHoQN1ByhCZf4HMP9W3 - 36NzPP+NMcYYY4wxxhhjjDHGGGOMMcY8PQUEVMbGhZBZyw9gwAlciR5QkAppYpXpkHTBOwUcbLMV - hzC9Fm0LaTnrKiTCWThnF7Nrkh+1YdhUm6N6fdg5PeyuDnonB72Tg96Tg96TG/0zTicH3dVBd3XY - OT2q15tqsw3DNoySb7KLmbNw3kpnKDFD2wIbznkvdkCy7bT1aBhjLqtdkeF93hEUxhhjjLnUpslz - 5URPVT1tLgR7Jc9uyANSrkPtIt913Yv25v0n6PsUIlIWUSLAIQrA5KHn119vVkc8qcsWohYESVoF - 5CySUNUus4z2nPyj73367pe80NHyKEXfDTGudZ137HMUYQcIQ0nhhJUQOSlBwHwtPSnKndWtJN2S - jarTsnxSQDmhVIxf+maISjJryCjbOzbObpkUSrimNpMxl58QlFgUQUHK5YWZWZREUup1u9JIHvF9 - t7zqc1/+TP9QtSGjUHYpc69N4bnpH1KV1zRpZmqhkPN5E2ZFIB63g0eG3zqhR2/0d+hQuaM5iYOT - STa0TL6iUw84lAk2ZidCrGAlBEEmZEJyqCAQMHNKiZk5aOw0j534bkzjqk+az3e3W6LBBSWQePbG - zSB1KsTKICnfHbOCMyjSD9z1UtdUoV2o2ScdtiRlqpIXcaoAMs8W/uzixI25cuhkOp+SAFKe40qS - HJiREeE8sjAcO44yJvGLm/vvv/N1v/mVX2+17YclqBuNU9VDeZk6AZQzeQXA40zleG42qRHTdHAG - 4MFgCBSahIQIgIxGIwBERATJ6lzIOTN7qE73q5MhluKKOioTYoUDIASnictIGARgKITOzBsGScyx - 1+s1mzH4OqFVyvAqnKNPm3zqy9/+z5/5+v+7UT3J3QxlaanqVDm1osgCeGQFstaEKqOqwulB7HXr - wdrooLvtf7z/F5+HO8IweO9UBSAlAZAF5KqtcbPJRF+wQq6wx9OYM3jnYhTvfM6ZvQOQUiKipODg - h+P2i3/05X/8C29WQhKAyjcwOpkiLNI0qVzlXMsvwdslmbskYTCziKhquVbp8l8Gh3LOzrlZkEDJ - 8MYuGToy5pkTkfnADMun36VmUSidTqecc8aWNecr58zM5RUxe2swu473PsYIgJlzzva6MMYYY4wx - xhhjrl5b395Ms3xVAJ6cLdhWk8aTS0x/F4AnhWSiqp2qbtu2HTe9Xk9EwAzvNkbj97z3XwzbxrnA - Klmycy7LXF0BbWVGT4tMyjmTLrUOEOi9997bpiiEuq4Gg0FVVVAlJeyQOzy9Rzv8ZXd/i0uTu3TW - vdipCEfBwhAQQwGQ8mzLZckxRl9XbUovfNHdAFTlWT42O117+1oRAFSdTjMaA/jnv/Sev/zjP379 - 0pL3IcUWosysqmXcturUg43NqurQuROkL//2pfPtrqvn8ZWaEpg5aT5+8jgzSCYvNZn1Tt5hbuR5 - F19957uPsneScifUOaXxeOy9V9XZy2j6FNt6cM/u0TwbPT/j5s+z2tgYY4wxxhhjjDHGGGOMMcYY - Y4wxl5tOqw0c5mKHSSZNFXaqRWCwbPt1UkWQBM5BgDa2neBFomOGiJJPkoObNHpVESKvWYh4chuE - GNVXVEoiStC1A7j0SJg2W9hCs34MMr0XLFu9t2aXk5LJrEACA3BlPbN2gsNo9OUHPny4zUsxQ0ZB - BRw2v/AlHTZtaj2ggg77nLJ3blW0e9ttOHAA3IAZodOE7lHgrm4FzwoEdrnN3jNEwAJiN1fDxNvX - n7Zah81+mXs0J2cjzD34POkBNNcgfO6esvOxlGz5kJEdA3X3Rb/4zi/96gOjZniLNFxnDBN6e5Ze - 9UMn06g9fqIbE7Eb59yp6lHTVFy6U4h30G3tYWYtvIUUmoVo0rHXA1BoK1AEpOWEk3/x4MHlPVjs - Q9pe3W2Go5u6C3/83g/c96531Au97KWVHNi7UgZGEEwqxhyY52pRSpXKZPsq3OSBCrMHqPRZUw8F - cmy9q5ASfPemN/3CQx/4wM3jUaAMx9h30C8tNKdO1BXnrJTZCUBxmojO5Xlc6n9YBFCClK4+IDiF - tu3g8SP9F7wAiwFVJ41STT00DTo1lMU7Ym6lrbhMmKTyPNRphRtUJvHj1gDdGGOMMcYYY4wxxhhj - jDHGXEWIMGtEWRrjW+tdc2kQa4B6IUBpNuyqAJSVRMBzzUVYSWQS5yBQT5PeDoRp3wOZjbZPU7RA - lCRCs2PyTKQgIYZj5jZFUXXBKZOmDMA5Es3kUtbc6Fh9po6O8sbp0anTwxNr49OnNlc3hqdH7bDN - o5RSlNTm5H2oQ+V9FbjTCd1+tdD1Czcs37DU23td77puWOAc0IIROqhcy0gQUnIkDoCqEoCcNDhH - qiJCCmIFwMxaqipIQDIZ3dfSmUJL8DdQBtcZGgAoxwtoSWGMeSaIqG1bAJNqKaJpcMOVXjRi0d3G - GGOMueaoQlXJQZAV4jT00/Lh6+9aO/XkKA88qfdQRvn8FJxDPmdq19MSwqx3pwJExEyaBAIh3dC1 - 765+U1yTJJLzAJxzIqKS5wrRZRZPfcUfW14StJUPyEKCkmoIkIJK6fakCF1Yy+Uuw8MkZ/5yVgdM - 0l3e4tSY3UBRXn1cdpLEUmZAlWhnzdqregfqQyudfUeaE9ydTpcCpj2TAUxnrmjZd5QdSC5fHZ7d - XPWp5Sy+qhseHdl84oDc0gGJZCWR6deRoLJPo+lXlhfhQbiK6TQ6vXw5rJjM1ilNipm51fGmbKzH - 0+pV5fx3upMvUpl0sp1ZIVSaX2uZEwQAkLImgfxyZ2Wp3hOkdjmAmJgyEuBJ2Yk4lAls5VlkX8Ka - q4vu8MRWINPWBWaR3qTUbRbu3P/CDvrdqtu2rUDq2mXJ8yM6UAJp6SF/Rnvruamk0xxrEiGZroDM - EhDnDvxYVWma263Tg3AlvmLbYs8CsMsbxPxqzt4gyg6q2+1ubm4u9lY21zZ7i72MdiyjkW66RXno - e3/yqT/4t7SnbUZN1wdt1bkQUwOAyBEzoIGFRBjIwLCJ3Q7LkA7WN//UD/+3B3Dzdf5gasbAmQ3T - 9RwH1Zbbba5wKUrwdUqpZGmLiPfee785Gi70l774xS/97M/+7LjJzAhV3TTN+S6/ZK/mnAF471NK - Jca7VEvMh7O2bVtV1UW/g8ZcgZg5xli+0QKgqv1+fzAYPNfrZc5P2Y91Op2yNS2c+FmKMYYQAOSc - nXNEJCLOuZzz017XXFHKpowx5pxDCCkle383xhhjjDHGGGOuETL371lflj3VFJG6rk+tnlpZWelU - 9XA4ZGbnnAvh07/3e5/4rU8JkHMsi805n1lyNosNnhZLzP4+q2A4cPAGkey9LzNVYtv2er3YXlvf - O+n2IeanIzLdXqSTK5ZBTxdC07YuBBBWVlaYJqWKl3ySrHPNeAwmEV1fX3/3u9/9wQ/8Kivqqk5t - y8xElDUx86lTp67ft388GF3iFTo/ukOx5MXUNE290HviiSeSQAG5gIqgcyv1CKOmaduWsoyzqshC - 3WmaBm5SXfC0BV2zQfOzR8+tGMwYY4wxxhhjjDHGGGOMMcYYY4zZFc6s/jn3iD+f9cP8xae53akK - VRtbzww4sCOwdy5J1izOETMjCzEjZzCDSRW+otKApkmp8vOLFxCf0bhq228qIN62yqXSaC7Am8Dl - jFJp0/GKGLE+Wl5vlrJAMnKL4PGtR/KTp6hpiTTRJB+aQOOcW+/33XwLFvogL6k92YzXuwuvfuvb - UFdtG7lTk8J7B6RZNQnNlTnt+DDPHjva/uv8b9uXMOnDIKUDW+k6pluVVQIIRCDdqgYESK9461v/ - +Nd+rbM2ul596FRxcz3sWzz8Y6958t//Tp0h47YT6mHTdDp1HDeBwJXLbd5pRbd6a5xdqiQAq3Bu - 9lZLp/78wZU64MZ9iG3dXVo9ceLgygGsjwF1S32wT5odOSjmqtLmbnAukp2nP1IpS5n1f6BJm6Sk - WUlDCMiKNsJ7sNvo9GIzlpQYNUK1cNutq396glU0I5RMemw9PUgJpXE5ZlH1wLToRRVOdby2gdPr - 6Nekjog88LkPffhH3vF2VLWIiGQmEJAlOg6Yf95ZJwpjjDHGGGOMMcYYY4wxxhhjjHk2psPEOwcB - 6FPlA0yHtgnTwdvpsPf8IDWnlOu6Q6yxHcXYOmIHjpIRyfmKHLXSZG21EjhVbsTCPOrxAAAgAElE - QVTHURqc3lw9evLo408+9v0nv3vs9JHTzSp1EGkcdaws5KWsmkCUQGAi0gwROHEBVUCHcwhSdbTT - DYv7FvfdeOCmW248fGB5/0pvhXNwCCSBsldxDM9U1bVr29Yxc2BN6hw70Gg08vW0JazOp5hPgh7K - fZyOjVtYjDFmZxbdbYwxxphrDhFExLtaNKkqEVfUu/vwi//s+Bcy4GufKalCAWYSgZtPeH0WVEEE - IiorwEwx6vGTTx7bOHKTXyH0kH1FtaYWDNDkU135TwlKgou0JsYYc3UgyPRLwLNmRQlIOYMX+4u3 - HLr18eNf9x2oQggAszLA0ASd7Ga5dN/V2XyWCyECV3lJ8thjj7z4eS8nD8lgdrN9NykrIMQ23eQZ - EABCELACpOU7YkKJzJz00s1rg9Vhu4FK5VwZs+emtPUmu+38ycYRoekcJIAUIde3HHz+UmeZR8wg - 1cyOoDKZgEQsqqBJvDHrmdPBjLm2KO9b2X/40B0bR09lHStl7znGTA6YvOiEVQUCPXOHKJibYKlb - L8PZGQCYuaRLEtFT71CvwNxuVpnOlRSAoaLEUCcEECsgvBUEwCSAjkbN8vLy8ePHrlvZp61vY0YV - qqX6z47+wW99/uMjPjHY3Ny7tLw5HAqir0mjMgMeKuojs7Jqbl2WGqTwY1pJ1/3kK/+7l+x9Zd8v - NrJJVN4ZjbkalAhhZg4hjJpxv98fjUZt2y70lx588MHXv/ENvgpoMsBNE5m9SDqv5RORTo8ViMg5 - F2Oc/VW3DiPEcj3NtSOlFEIo+cQ5Z+/9YDCYJXmb3aLswdq2LbuvpmnquhYRy/C+MCW3G4BzbjAY - eO/rurbc7t3Iex9jLFFYMcaqqlJK3luJhTHGGGOMMcYYc1UjxZllKJNKAMxq+YD5WoPSMpUB7/x4 - ONq7d+/m5man0yHH7Ny4aY4dO/aGN7yhV/c2myGAKlRENG6byVjn3ELnzf+xWFlaVNVQVZJyzrmu - 69hq0zTE/lorRDlHQPLWljr7GlsXmg5D62SQVJ13Kysr/X53Yzi6DA8kM0vOUCXQOMZ/94lP/PW/ - 9rrX/ZXXxpQ2B4N+v+e9j20MISwv7Tl14kS329crbOT7/NbmfNKslUDexZyfOHqkNKc+K0m9bN9n - +931kSNHbr/lVsqZlGOMzrlM5StcnnuwtazS9n7JZz7DLK7bGGOMMcYYY4wxxhhjjDHGGGOM2V22 - hvq3Fehs9XTm2dk71y2ULGQFkHJm56vgSVGFCgpVtC28K6ne7IIrYdOirSMPT4BmjSDOmknUwfV8 - 6U/CpW9K6a0yH269rZZhUtzEJc4Z09IFmr8jCigqQAkZcARtRpzztz76b5ZGLXKCE4xbjNP6w9/S - k6cqVWKKpKpgZCVS4usOHnKHDo5WT3X7lUCH3fr2t7wJXY8Yq34NIEX1gUAM5mdfy/G0BHDzTbcB - BwjUgQkYN6OOr8CCwPf9/Bu+/pEPu+FoedBU1+/FeANw++5/zYnPfq4zXg05E8AqBKgSwBk7Rnc/ - 3fpkZebUDGk0jF/7ZrhuGb06UfaderFNj3z4I4f/6VswGrl+L023FyZbbrL+W/dkHs0ldm+nAJGL - aUxM3jG6NbJgbbCWmhFowTtWIEbcdCh+ve40qULOnFlnT5unUgpmmCEpCwSPP4EbrqOYve+w5CVR - bAywRL7bma5eInIAlaBxzJ4BVkhjjDHGGGOMMcYYY4wxxhhjjDGXjTKXGgZlkGDWLoUEEEwiVEQA - 1kl1QUW+HbSgXHc890POOeUMMIt3PmRNIlG6o9QfHm8ff+L0ow8+8uePn3js6PEj49hQIHFZ6ow+ - okQlVVZmBpOqighEAbBmAokXVc1AoqahzdQkp+zJew1PbPKfbzB9k6F84IYbD+696a4bXnL7dS/Y - Vx8McYETMVEzHtfdqk3jJqZu6EBVWlrqLYzzSECAFzgoQBmUSJUhJAwNSpwJQiIuAcrinirw3Bjz - 7JSW5md1hrnSWV9pY4wxxlx7mHJOzKQZQiA4l/n2Q3f1eM8gnyaHJgGCqgJraFMkvjgVwcwkk8+G - EFHnOATEtnnwsa8dvOd2GleU2bFLwpi/RWVMPs0ytrJLjTHGzIjS1lQiBkjLzt01bUPiXnDbPV8+ - +tmYYqasACnL5LMwQ9tyNSoRqvT/s3fn8ZJdV33of2vtvc85Ndx7W63Rki1ZxoNkW7ZiwicYYyBO - +CR8kvDyPoyPFzDGBh48eIEEHBPMFMABjG0MNg7GYIKdEHg8wAOKTcDzgG3JyJYlNHe3Wt3qQeq+ - Qw3nnL33Wu+PU1W3utWSu6WW1K1e30/p6t7qW1VnqFO36uy11w9QAUn3Qit0ar1mlSBQobR7/934 - ClERbbWLcGaI6PJUpy7v2aLsHhYlKGdmgJ0KZDbVR0WIWTLgcPDw/prG5IhOcWcB6Ha0QFi3J/+w - dntFQFACzZO5WbxOi6uvfIFHxcqKDJXFAyog4O1WvV3SsP29NucwArTFP7z6xV/Y9fkwKJRjzpnm - B9oiuJoVuZvF91DHCy0N+WwP83DhvaqKgOdvm7swXTpLJvIx0nxmJYAuqbt7jy9C0G5lAUClC/Bm - rutmsLoyrWuHAXnSHvau73rvJ/70/nhP68a9qshNq23u7Sgnde0ZRCTIEFAqmFhYuumn1HA5Pe9f - fNW3fdVTv6ZKayBtc1NSZS9Z5kmDiHLOIQQRCSFMp9MQAhHt2bPnVa961dGjG9r9pRdxLjyC+1dV - 5xwRpZS60G7vfUqpLMu6rrsszy7v9vSulzFnskVKd865OzqY2SKKz1LOdT0+UJal5RM/GqraJdkD - GAwG3ZUiQmfLG1YzJyIi0v31f6KXxRhjjDHGGGOMMWcu0lk9Q9u2RVFMJpMQggs+Sc4qRa96zQ// - 1MZkC4ACVVlNmloB59ypnkrtTsbOBkHG40ypKoOIyDk22Ddb3a5S6CTWfdbuVo+vvUwpFUUxaRtA - QlUxMz0OhTwKiRFEVVG2dcOERvL//aM/cu1HPnbZpZect3PntJ4gpaIo2rZl5n6/f6bNUFpOqn4s - IsW99+O63r9/PxPYuZTy6W3pK0DJfM8991x+6WWDstQsTVv3ijLr8ccj6ZeJ5V7+18X3Z1jMujHG - GGOMMcYYY4wxxhhjjDHGGGNOZDG+Pw/G7spGjolVXm6DQIvQ7uVfR3BdfYEoCKDxOPWGvgxAArIU - BGjsWuG4nOEIhAgBUUIqyCkJE3UdBUAggs4TkJfLErpWJg+uYqBZt5PZks87GMwbngjIQQgOwqzY - HPOh+y8qBs1oE0GwMsifuz49cH8ZmxJgIqVZM12Baq8fzt+Jybg3HKKZ+B0DtzKEChTolwAkxy65 - OSv4dHVnOyUKJnhQgjpwKHvIGSsDZGDYv+pHfvTud7wD0/tWRpsB4n2JtZ0XvPSl9Sc/uXH40KBw - bRM9nEJzm5hPrf3PrMcRM0RUZIer1vftH9y1J1xztap6hovNquNdv/9fr/yBV6CJruwpZknrtHik - Bz0Dt/O6H3prCsT7CpCYmpAVAHasfuOrf+Ke//xrOYsXQcoY9gYXX5x33+vhM5IQ3FI1C6vKQ9+/ - 975uU8XF+r37dzzvKviCnCLGHSJgB8kQgTIUmci5sNhwvF0zw4qHeQRjjDHGGGOMMcYYY4wxxhhj - jDGn3zyqQbqgFZnn4MxrD0QIrEwKB/T6/Yw8qcdtHX3hyZOqKE+20hFXZqmau4/c/pnPffxL99x4 - NB3ORTOVKQKKgYfjNrVZAQfm2b2rsoqqEimInROARaDKAkgmZGRVlGtOcjvNrWaQgAFPEPD+wwfv - 2Ljz+ttv6Me15150zdc876WXX/AMl4qyV46TVmW/KIvp1jTA9YrBaDL1BYGwPcauPO89wt1YfneN - kghlVszzi4wxZpu9KBhjjDHmXCNEqqokxEoAsXpq/AW9p1xxwTMLGWQleHgPZJCi4OJ0PTARqWIR - MdhlfiDgC7uub4qJOiEll5zXQDSLyZl9kJsF0i5X+BtjjFl2zCQYZpakrM6BpcbTL3tGH6scA5SV - oMQKUjiog3ooqJsChURIXTqs0ClPBBECOW5zqxwPPbCvpSZzJiJSXvRohhKUFawkoHTa1v7JSQBV - EiEoiJSd8qK5LTMrgRz2Hr6bipiRH/HfR13a18v5wUqiNMvthoI09LDjqqe9QGpAunlzqsiY9W1m - JVby8/sRgpza3CxjnkQYgBAinnHxVTvCxaRMRCLSRd11x5mQdK+628e1Hjt5FQLI7Ejk2fG4+McQ - tgN3VVVVl4P0FtNQz9D3zSSEzMiswgoBQ73CCXj2AQWilJXy4oWIvFNy0pJzIVErVbOu+//0w+/a - deQOrEhLULST6WRlUG1HpIu6hELJOaJAUhCz45rKrdVvfP63f/1zvnmgKz0Ezq5A+WXajRtzVom5 - 7Q2qNjUxt92H7jq2e/fve+UrX7l//yEVqGJlZcW5kHN+ZNHCOecuq7v7UVUBbGxsqGoX0drl3QLo - vhrzpEdEOo8NUdUQgp5pKSLmJHT7MaUUY8w5L17TzCNDRN77LsA7xgigbVvLfj4bdcfC8suaHRrG - GGOMMcYYY8yT2jEDlpiHPc8vTMrz4GfpSgcWl1klCrGqeh9APG3amAXev/33f+8DH/xgd9fBh7qp - vfMAtscplh6Wjum8enzhwcZo3LbtaDTOWYqi8N6rEPQMHRd9TC3KMrpOuMdeWOmYbbJdtwOAZF6H - CWIlh+7U6Gg0Go3GAB6f03hlWdZ1LVABh1BsTSf/5hUv35pONqfjqt9PkkVA5CSp5/Dl7+7xxjK/ - dFv7wRdg+XJq2hSrqrr99jtF0c4Pk8UupYfrTnyyssquPbunTdPE2DRNWYUmN/P7F4Isgt5n3xx7 - 88WTbflHY4wxxhhjjDHGGGOMMcYYY4wxxpw1ljobdNHXGchLMdiz72TxawISUF60p8FSdQQlkbYh - 0pzawdADkNEm6in27cPmCEe3MJpi2kIz4iRKLZAMAihDPQeo73K7uyBumvfAwdKCHWO5eEJn6d26 - fUUX/s1Qnt0eIETU9U2/9ZaLvcdos6wCpmPcu+++L9xUxqYCHFhVWeAUqkiMDQKuuAJVAUgiOZrT - u9/7PvQGKEswKzSLuMAg5PQEzSftKoKyBnDOMo1RnYNjFAzK2LH2jB/4wc21lXow8KvnQxjOY221 - uuo5WFuZUI4Ae8fECWAXHlQe8nCEkBjiKAv6HpDGQw7eeicOHg1tCpAyQEfr1X2HMIkAHGQR+Q7t - dg3PfiYct/kUrGA99irMn3cM7iqO4AuUBRwjJzi/QXpgtAkSDHuoiuFlT63n+6VrqjOrbyEBCevx - FTiLIhmIMlAxp80t7DsI5yEtIxWbW3e95beQE2LbLbZzrnum0SI2fvuA4lPansYYY4wxxhhjjDHG - GGOMMcYYYx6xrhfKItNhXt6QQaqkBO0aJnDXMgWiedpMN9pYu7KqBudR6CX4yJHPSxu9fR/d+xdv - fN/PvPm9/+mTu/5qC0ejiyhc6AdX+QhpU1RCCFyFwBks6jJxgosImXsIfSo8nNfgxLvsWQNjFr42 - rnOdkAkagAJSoPGIjodr501zXneb66uHPnX0A7/2wf/4a+9/9V/c9Lt7pje24XCbNmI73bGyGnr9 - UWrdYAB4J54UDAVlJRFwJpcRlLwSQAlIpML6SPpdGGNO3tnbhd5aSxtjjDHmnKOsygQhUlZVIuZM - pQ6f//Rrbzv0pWncoh68QzsBIZZl2T6SMK8TPa4qEVThnANyjEqUI9o9G7vv2bz7mcWa5z5HMEJC - zshdfTPrLP1QAdZTjpI1xpgnPSHlxZQQZQIC+2maFF7LULVodlTnX7p2+Z2jzeyTYBbGTAol0GzG - kXTfCQn0kXd4VaYcIzNv1UcPb+1f7V1AVLASIwsJz+ZoeUCEMwCGnJsNlE+aAJwZpHCynbFNRAow - Y6rtvsN7ko9Zkz6KLrhKEIAF6KYq6WzeUfdP3fwin8pnXHzVBf2nxnXHRLMT0Jq7Z4uABURgJgYE - pPMTssaco0h0WK3WqX3mpc/9zL33Zk2+8IIEAIRMcAKGAFCF4Li5gw92TD96Ui5CReRUtZtbCOAs - ykEkna07iAWAsoKEGJD5Zlh+QWNSJuLpdNrvrSon4fE4HPkfH/jdu47ezKtYn05XV8L6kbi26qJO - p1Op+k5SJoEHmCAuZpGU4XI5nK587bP+2Tf9g28pxqsBhWRhJbA7fkKnMWezEEJd16o6GAxSkqZp - lPCTP/Ef7rhjN+azmre2xszsvWfmtq1P6f67aFvvfdM0ALosTxEhIhFJKYUQMA/1tGhPc+4Qke5Y - yDmHEGKM3bFgzi4iUpYlgJxz97JGRGfRu6wzTdM03fZcPhzO3pKCc1PO2XsvIsycc3bOTSaTfr// - RC+XMcYYY4wxxhhjziyLsU5WJNUihLppheCCV8ef+NtP/+RP/3QGQAyVJkXvfMqpLMturOEk6aw3 - L6677rqXf/f3jDc2qyIwSFIWEXLnbn1CN5Z8MutPx56cE4IqmqYZDAZ1jjffemsWEPB4nMMjauoa - ABE55+rYMvDFW27+qZ/+j7/xxjeNJpNBv5/a1nuvWabTqS/O3FPuJ7/9Tx4ztynuvfdeAKpghiiY - OWf5src9GUQQxec+97kf+v4fHG9sOMcpZ+fcCc/fss4KeekhnhgW2m2MMcYYY4wxxhhjjDHGGGOM - McaclZZ6y+g8pFsw7xi9jABihcwaBCxdDQVEoeDMWN/y3oNaFyNAn//VX750ODx04GDZ67dEI8kv - fvW/R8khKHKLqg9mCEBA26IoEgNdW1idLRMtFWQsRyOfVEuc7WxvCQBSwmQyHI0KDcgtSFAN8mev - v8D7kGtHUCVkOCJlBSF6FBddgOEAkhDV94eZ+TV/9UEUhaaYnXOMEBwgKYkLPgoCP+JWPSe1Nrz8 - wxLPJILC+UjUqmTKAMp+32XCyvCZP/nje9/0m3nf4QtCMc71YDjE0y9fS/V9139uNSBLJCUmn6I+ - ggnhbZv7BZCRVZ3EMBofufnvd37DS9x0mqi5YO389fH49l9/47N/5tVExEWVAbfYj/OnnwICYfBi - zbrnIS9W9kElKzHnwnnAJWTvPZzHZHLNj/+70dv/y/pdt69ppl6JK6/sffG2ydEHnJ9vMGVhQVft - RidodtT1RMope7CkVHm/tWfvyrOuhEPlQwG0G5vICVNg2AcjtvDF7HYEWSynfNneIcYYY4wxxhhj - jDHGGGOMMcYYY04/VupqHyA068xA4NkI7izeGwCYSVUEOYu01EROyTdtsfU3H3vP7Qe+sHfjVi1r - Oo/a2EpmV/gmNsqaVQA4T975nON4Ir2SiIi0S4hQqEgWlZSTKgEQZSIClJhIocW8IEMysgIAEzP7 - 6XSq3kXUmzou+lr0/P54x8E7d3/6Sx+56pLnvfh5L3vWZddM49hp31f9yXRrQD1SMInMgh6gygBn - gkJmQ9hdBFF2QjaGbczjbf7CcEaz6AhjjDHGnHNUlRmUsyfOqgB5+Ny2z7rs+eeVF23qwSajIKBL - c02RIaclMFtVneOURFW7z4iqGhHHtHHDHZ95+jVXExJpAUA1dTnf27edf8PKx4UXGmOMASlUlJgA - KKtI4KCqqhoQCu1d88x/sPf6u6NOAAAJBF30VNVuPlOXpQrMX2T1oZuuPhRVhUOWpkV99/7brnj2 - sz0VLN0sIMkEgKEk1J27FNFw7vZOPgkEmYVqgx0yFAALgZlTbhHcVr1xcH1/9rU8iibAXTj3fPYa - AwDJLJB7fjrVSeGletFVL3aTwmmPiYkzWAWqRN2tFKwQKAl34d8Ki2Y35yxlBljL0FRXXXbNDXs+ - FBUMUgHcbNqqEgigeZNr4PhJkg/X3lophOBAgtmbaoDPylBJJWA7txtQgkBlPoWSu18A0EYpiko0 - TWVjWh7580++6wuHPxVX6kaaYW+4tTlaWQ3Zpa0tLUtozExEUFLAoSWJQADWcP5XXvpPv/Urv3el - XnVS1CLOOWmb0nM+5b94xpy52rYty7Jt27ZtJ01bFMUrX/59n/709cwQQReDMcujhWtTe6r3r6rO - uZRSF9oNYH19nYi6fFaZY+buUZw7wSR9Y55kuqDu7iszLzLszdmliyUWkclkMhwOMTuDap9oHqEu - B30RY1/XdVVVAM7Kd63nMO/9aDTqjoguvbvf7y92qzHGGGOMMcYYY55ctofLHjxytnyNYF68R4t+ - o9z9ggIhhPX19dW1HeO2lqy79+591f/1Q7kbC1TphgFjTgCapmFmEcHJddOdPRLw9re/419/8//W - L8p6WgMI3pdVGWM8YUnhQ92znuao5ccdnbga49h9xw9xPQAsykGj5Mq7Zjr+oz/6I5yg1e1jIrii - 22VdRjUzV1UxmdTv+uM/qfq9N/zq69c3N9f6w5xSWRREpA9RL/oE7t+T2P4Pd/3Dx12Tc+/9s/dO - 26YrB2BmydIdLEtmsyiBU95tzKRZP/qJj9938MDaYBCcryfjXq+XUtpehuXlgUBPsLVn3bDnj760 - Umf58WWMMcYYY4wxxhhjjDHGGGOMMcacC2aNqlnmud3dxP7jQ7IJIGQgwSvAQJ79sjDgKSMnNBmj - 5ra3vs1vjfqAh2o7fZbj6cEDV66tNPXIhaJp8+gNb9kYT0dJiosuvPL7vw9FwKCC1qgKOGnBAByB - ugUigMCEvFw3Mgt75tmizxeV6PjqCe0yxkUAoaxo8u1v+q2nEadchypg/QjidGPv3l6diaEMyQpQ - AGdNWdE67HjaUyERwz4yYastLtwJ79BjQY8AEkKMcOx9kYAEPNazreiEPyjaJhZlUIVjJ2DAZWAi - aegKKhSonvbjP37wF1+vTRxU1NbjYljhmVfuPHw4798fJ20JdeoyTq23HOusTQ05Sq16AomcBz6y - 595889+7Fz6PRaZHDw0H52+2DWKL4Akii118TDWadKnwDouON11696wV0vKac1ei5rqEd02ixOwI - IAfVezZHVw6GJEmhJHF4yaVxPEauCWBhgKDcPe1J0eWDH5cF3z29C4eYY0nlkfsPrxx6AE85nzRW - 5Irp6NO/8voXv/Zn0baxKnxAbDSURAB0+xjqosdtdr0xxhhjjDHGGGOMMcYYY4wxxjxOupyUeVy3 - dIE4syFhImUoY5HhTZCQmUlTShpDz4/p6Cdu/fCHbvyrOmyM85FYjJkzWsm5u12Shsqy8sElySm1 - KWXn3aCHNmZAieBIiUGUoVAgeAagigxRnY0nE6AJBHh2zMxgVZKMrFqWrJQoE4QRNVPOnDGI7bD9 - 2/GnbvjYjc+48Kqvf/4/e8FTX7QGLrVEmxQMpG49RVnBSl3AgxAyQViZ1LkcAM4cFxvHGHPa6Zkf - 030i1hfGGGOMMecWIQhy9wHREQCQsldyyV2y8rTze08ZhoG2yBlVwVXhY8qn7aEFzKyKlLKqOgfn - HHnJ/filPTc22IiYKgmUVVUJPKvq7qr6IST25s0YYxZmU1lIQd1sIQCAMpRTSkVRMFGsI2UXcnX1 - 5S8opeeECZhNVKKsnBddU7uZLUvTc/AIXnKzinNOoJnjPffvakMt6Jq6Ls7H8dLdiiWlPpz5xlGC - EEiXet2qNqnVkDeb9Y1mI5EQP6ItOe/k3J1O7fYOK3cdnmV2JZx4L1WRes+94oXtJhVcOXVERMfs - PupuIt28tIdommzMOYIABxcnqc9rT1l7as8NgitEcMKYwvnb3Ye9O1qeUsgAB1cwHHUzEI8/J3tW - HIAMcPc6I6Sz+bwkDGGoEzhhJ+TEswSW4JxzARPZkMH4I7e8/zO7P9IMNhu3xUGbaVuEctrGcaOD - 1dlUTFaIIjPEqRI8sJMuvLx85rd8zf+5M17sp5XX4ENZt81Kf5Bj+vLLa8zZw3sfYyQiVe33+699 - 7Ws/9anPOYcufbZt2+6fuuRUZn4Eb/lEhIhSSiJS13UX51mWZZfnTURdqjcRWW63OUd0T/UQQs4Z - gPe+bdsneqHMKev2IzMPh8Pu/VWX5P1EL9fZqvtD023Vpmm63O6ztJjgHNf9oe90B4XldhtjjDHG - GGOMMWaRi7yoOVl8k1IaDodNikp038ED3/od377/4EEldGOlzvvFnTyC828KkHM3fummP/zDP0yS - y35vOBwSc9Occ6dkZ0U+x349yRocoVmJkQIueOfc5nh04MCBd77zndT1PT6lRryPSIwRS+fVVXU8 - qbuh3ne887/+h596DZiTCjs3qWtyj2Q86zH1aLb/yWjb9Ntve1t3eOj8zKqevtPVOSsY9+478P73 - v18VTYxF1WtiixMd1AC4qzf7crqNYLVgxhhjjDHGGGOMMcYYY4wxxhhjzNlhqYkBz3O7aTm3GwBD - CQnI81/oftkDhYqPEeMGo+n1v/S6PW94wyVbW5dOx0+ZjC6cji/KeSW3FwXXj/UwNf12utJMepvr - F07r57iwtu/gfa9/0x1v+I0b3vAbiAmTCdqmRHI4vvCAZq0bQBCodAuW5/Hh2F7M7aTk7h6k68rt - BVDEhCaen7V94P7gAGlQFeMbv9gDV4T5jDciOCLPwhkQYj8c4rydUk+hguHKu9/zHvSqFq6Fzpqx - eQ/mGJssKB6H2hbd/qqLLUAoypCT0Kwft3RZ7IGLDMB5VAP0eunSSx4oaJozBz/JGWtr5bXPr6si - OxBDkQOf+nyxjEHft1P1DgIEdiG2g5yO3nEHDh1CaqvgvWpf5YZf+VW0NXIG0MWyH9s9oyPLXTJo - ftVxdSgEaJIwa11Egb2CYhYUJQaDTQbKQmIkLhBKXH75mFQIi348rPNOTUtbdBFa3tW9MEMIDFJJ - oYnYfwCeXYpaj3ZW5U5RNFN4CANAUSz3bJL5PjnDSp2MMcYYY4wxxhhjjDHGGGOMMeZJjruYFQFL - N+CsxEqkzgmzdhcCSAiZJCFvpXEsp3l1/Pm9H3vHe9/wnk+9+wjtuV/urc57a74AACAASURBVMOW - 9kUKosChz75AylL1PCQ303GKU3bwgQGJOfsCLoAcMiMzEiERItCINCJJNetsIJwZzqHw5B1BsqQk - OUOzgwYWaaeaGkjSnEhUVbOT6GUDbbvSyPnNHes3/7e//t3f+8u3Xr/3o5Pe4Wm53oZxdlEpk3bJ - MiCFkAhJZlECQKzshJ3YELYx5gTslcEYY4w5czFzlyn1RC/Ik40StAttldzFqECjB/E0vPial3J0 - JeCURHQ6TUVx2h6XGSkl50AEVSVCzjmztK4+NN6/98jdObTiRJCJfZcr1hVVW0iOMcY8DAWUROcT - QmavnpJEpCx6EA6oLuhdfPn5V5YocuxukJzTnFt2ouhmBS19PFYAXQDzqX1k9t4LlAtK3Pz9PV9K - ZZMpMlMXPr0Iiu4W8FGt87lkOV6dIICo5rJXbLRH9xzeRYUoQ5Dp1HvfHneLxYwjVVWd9WKWBJdD - mavnP+NFlQz73HdKKgKIQohoOf972SMLEzfmyYTUlVpeuvOy1XINEUTUdZ5nPXYioR7/SqvESvMr - CYvjkQkMR8KaMegNY9MSoFkCOxJNKRGRbh+Ms4OQFfygh3jiqZvNiISARCmDEiMF5zQmpwhwmshT - cFSkBCbfYhIH65/d/Tf/8/N/tu4P0RB1BjR6FqgyFcRoIgAQQVTVoWVMEyqilTi8As991T//sR26 - hqhMQZQl5zL4aduwt2hhc1Zi5pyzqnZB2s65lBIzNymHqhdFld0v/9J//pM/fo9zEEFKAkCVVAmA - qmbJDx+J4b338wiN7hN6dwKhi+VmZhHpHrc7b9P98nJWqzHniPkprO1nfnEaz6aZJwLNo2kWO9ec - qm4bdhuwLMvlK81ZanmfGmOMMcYYY4wx5tzRVW+yd3XbKAFMvggiQo4VDGURxKxKjp1LKuRcTJld - 2H/g4Ld+27fdvWdPBkShUBBSSot77jKbT9CF9UEU0HmVScoZwC/+8us+9JGPNbGdtk2GgkhViZxz - gciJgMg58pqhQpIhGSrEwt2lG6JlZlVV1W6AoxtvfWy24umnqp4dRCVlz06zBOcdMYMh6CbwkRIp - zX5kblNSgi+CKCmYXciKJFCwZH35K16xmHDn3GN+Cqjb7zFmgEGzp4cq4CgBv/vOP/j27/w/9u0/ - IAR2DrNCYicCVSJyAEPIc5CMnLQsejnpYv9KfqwXHwAY1F0WedWz1GrR4y6aZ+Nxy+N6jhiimA/5 - za50LsbIzL/5m7950003KZC6Mh7aDlQ/Lc9RH1w3SPizP/9zd+3aRY7BDPZgp+QElGS2qMosIqrK - wkzecWDyBNcdWRAKrpCk3VdHnpSZ/elYRmOMMcYYY4wxxhhjjDHGGGOMMcY8lh6U2+3mFyi0i1Fm - RJWJxK7ip8goMlyGV7gYMZriyGjXL/zqxs//ygtG00snW4O45X2bXA1q4CI0gTPlltFKnsLHmifS - i+rGFY0vTNOnjDYvv//w7l963fU/+3PY2HR1XWqEJkDgAJKUE7Zzu49fg3kItICEILTUwyHFLEAE - MmVIgybf+Ktv7LdxrayaegICjm6s7z/oQapgzCqTulYQCSQKFyrasRNtZFcihANBfvijfw0NRfY9 - UOEAZjArwYdQEryenqKOh7RUQbXIiBagK8ByngGQoiDngQLc7dMmtiAG+8te+W8Onb/SVpUmDUpQ - wspg50v+EaoyCZSokabbxF3DikVm9kPVU5EiMKROAehaxSVS1VRorCaj9uaboULeN/Vk4N35OaNp - kZLTxECTkui8CIa2C8MWq7ncCWc7DXv+r8GzAzzgZ5HtFJwHMbz/6p989VYWoSCZ4Aqs9txFOxFY - BQRiDoCSdmnl3aMvhYXrLNibGW0S57wTKUSP3LMbdc2SqGCvcVg3qBNIdSnze7ZsmB1TfHJFccYY - Y4wxxhhjjDHGGGOMMcacXVThnOu6NACwEDFz5uhCGYTQjYdDCWCoD1RKJE3E4JwzHIFVSJrYFjvC - vrzrv3/6d3/vY2+6efypfN569BvBC4NUNVOOkFZFFY6QpRGuKWTyooiiSUjh0CVzd4PamZAJiaGM - 7JAd0vyb7GbB3hEqUGUoq3ISikKNIgZCEBCEHTSI+NnR1SucNFrXNfWkHY5u3vjcH93w9rf89a/s - klualY2mahppmXxBBZoUVFmyqjKFNkoS9q7IEhXp4badMebRIaKmabr6mkXC5lnxJ9K6SxtjjDHm - XCMAdFY6LPOwQCFFob1nXXrVCs4bugrREZwvofpY1wKLuNS6+u9u+6z2mnEacelSSqQQUqXlcFe2 - qFdjjFnGyqwEQLazsWdZiV32sqpqBkU34LWrr3g+Gho4CgA7qERiqGZdnraymMSirKf+4p+iiEiM - qk5Gef3eB3arz1Hisb8l9jn8pCztAD52chGYkjTopbvuu72VmGd526f6ALPJV5ifTd7+B2ZmOA9H - 0BbDsMbj4tqveFHIJeeChQFRku4m89vNpl/p7DrbyeZcp0oEh8SFlhetXuK1dFhKsVWQzkZxZm/L - H/YllwikkAxkIHMVqsIFZk9ggLsUXqJuIuT8AWb/5zPweFSwEAuczl5/BNTNjdXJaDTsDyBopm2v - 6KvqpJ6W/SBUT2n91kOf/9OPvrsZjFNPtqZNVQEAKHWfEVg9lKFQghCKMqSEiotqsvr04urv+cYf - 3Jku9DkAyCxCgtmmYaEzbhMZczK6oG4R6ff7bduqalmWMcbBYDAej4fD4a//+q+/+93vBpAzHjZp - 4sSfsr33XSY3MxNRl9LNzF0ysXOuaRoRERHv/VkUZWGMMcYYY4wxxhhjjDHGmJOnqkSUc15ZWSnL - MubUxNYXIQnanJRQ9PpFVQq01QzPoqqe/+hP/viff9M33XrXnQJ4zy6cnvE4mV+mTf3K73/Vhz/6 - 0UxwwcOxCz6r1HUNpn6/n3OeNnVRlUTkvQ8hOOeEkDELS04piQiAnHMXluy97645KzBIUmZmR5xz - ZlCMcTqdAujWpRvWIcfOORd8zrmsKgVtjraSZPYuEwTIKtO2+e6Xf8+Xbrm1jrPynpSeoO1AEFEi - RJFPfPYzX/dP/vEfvPu/TWLTikybWqDee3IMQESS5DbF3nCYcx6NRkTkipChRBRCeMyXdFHGIwps - l/TQkm77d19jjAJ1zrF3IhJzyirduoAJTDGnum2a2PaHg//+P/74DW96Y4RW/aqbpSlZoUrMp2tA - LsasQAj+6Obold//qt337E0iSXKTU5KsjouqcsEnyVklVBUHr0wppbZtU0rdunTbuW3bEMJ0Ou31 - enVdA5D0uGSnG2OMMcYYY4wxxhhjjDHGGGOMMebR0fkF89Bu6n5OqqpwUICIPTtApm3T9RRxGagj - jm596c1v2f2fXveUzdHqxkbRTIM2Xhqfpl5apAbTKZoadeQoRcq9LAPJg9RUaYqtI/2ew9bhYZ7u - bCcXTsdX5Hz3m96MSYPNETbWgRxzkxyT9wI9ZkEBLDU00cWaCBbTpTUieEdARAsIGJjWO9rkmhYq - lSdIbu/e4+pWYqJ51xTq2j0oERwxQq+PaggBUhylOAoBZQUlsFveesC8nOPYJXxMLD3EIl1bj022 - JoUDAlAATlH6YlRHlAGrw+f+6A/dNR3FQS+UvTgaozfABRcPvuIq6a9Ep86Rc9TNFmdmx0xdpdZD - N7KhpfZE0i0BiUP20+nWvfuxNcakKUOQ6bTXNre8+c2YTJyqQyq879rd5XyiIqVus25/e+LtQEsX - 6OI5IXWvp71BExUCXHxBefGF4yjOu4zctcPp/mOerQIA1lm/ndkdCgqC5ghNBUCTGvsPANDpGCle - GIq73/47yDFAmumiv/m8Oq7r/6RwZ0EHZmOMMcYYY4wxxhhjjDHGGGOMedIQWWQ9AEoMMCmnlPv9 - QVmWSZKvaJq3UOY6jN3F8qGbP/h7733bJ2/70Lg8kgaTKY+zy6DESKSgblRbl+LAj01ywdIQc/cN - K1iYhaEM9VBWdIkJjPnwdxedkBmZt6O+81I7lu4XFvevGZ68D5yonbrRVnHkAO65feum37vurR/8 - 4l9u+fuxklpqJpNxvyxLLgKYhZwLvWrFOdekJmkkr0JnTSsVY85eZ0Na9zEsmsUYY4wx56juM96s - UpkVgMvu/P5Fz3vqC4pmwBJE4ALHvP3x7DFaDDiIjzftvuFIPJjKJrkoJM65eZU4AAaYZ2807XOd - McYsmcWyMpaynplZJBErSFiZsy+1/9ynv6DSIZLjDM7IAmYIdDtp8VF/nmcQgxTgwGMa33bvLVQk - 5SQkyyf7ACFlmueOm4fGpEyK7m/f/OSsElGilIrJnffdlhlMcAymLxP9e4J7R/f8WZDFc4mIUgQJ - SipQu0sGl1311Of5VLISSJR0tuuUocwKBmgxr42WJlkZc25ShjKR05S9uqdddAWlAuDunfdiLqgA - mQCA9eHe3xIBAlawAkIMXh3s8N4Hdo6IRHV+OvZsis5VD2Uh1llodwYpKaqibKYNEfV6PWXZqseD - Hb2teLT2Rw62u//gL39nVG1s5FECqpJznI0kKSVGYmEnXuEVaBVNE4fBuU1/Ob3w5d/w/1zmLhui - r+DEklwUjqxCyqx2cticrbrA7KqqRqNRCKHLY+j1ehsbG1Vv8M4/+MO3/Zd31q2Qg/Oc5YSTvh/u - xSel5JzLOYsI8ywFIefchQ20bQuguz7OgxyMMcYYY4wxxhhjjDHGGHPWkhMOHOScmTlJrttmPJ0I - oMStZHJM7BNo2rSjuq5TSoAw//n73v9N/+qbf+jf/uh99x+uqh6AnDUvoqCXChuWaxz0QZcHL4/O - lzIEH4GN6eR7XvF9P/pvf+zW2+9sJSeRJFJUZVZd39wAU2/QH03GWSVJjjl1IcQCzdCsUlVVF3Fd - liWApmlEpCiK075ZHzskGtgFdt1IjfdhdXWtW9PtS85ZRQEKRYYqU3+4UvT7dUrjpqEQdu3d+x3f - 9V0f+ujHum2dAQIxPWFDqKpwPghQltX9mxs/9uqf+Jf/+n9/3/+8zhcFmJPkmDOYfBFCWfgibG1u - FFVZ9XsCrduGHPuyGE8nj8OikiiJ8tLwGxEpAUxdeY9ABZpVskrV74O4TSmmzN6HogRx00ZiN6mb - stf3RanE5PzP/vwv/PC/+/Emp6IIk0kNwHkPIgA6j5Y/DbuHAEKGCvClW2/7tu/49g9//GNlr19U - PXI+ZpnG2B3RWTCpp22KWYW96zY7mJLkJraL3PHh6spoNKqqioicc49+AY0xxhhjjDHGGGOMMcYY - Y4wxxhjzmNKl6hzCsYU7jtiREqJkQQJyE8eDghNGwBRbR7G+uev1b9659+AlQOGFVgOKKHGCZkIg - OI9pjXv344ab8InPyv/6aPPBD8cPfQLX34Td+3D/OuWMzaN+Rw8+tjItXOo1k7Wt0W2vez0aQfDQ - lgK3kI12ol0jE1mORp4FjfNx6zOv4iAGFE1sAhwho53c+TtvP18piILg2GG8df+e3QNy3EU+K7qO - DgRS1UyA94PhGpoavsDqaiQSLkAlgm+pK7DpeqrwdnOHU+/3csqIQbx4KD5hsZcCAlIgA5MWgqIK - NSk8o99/0S/9woFeUeccen1tMrjENS8Yra40jqKoqmbVrLroJUwAP3T/ilkDnK7ZzLyPBgMVQyeT - 5u/vQFY0bQ96Ua9H6+uAILakCUgZ0og4z9DuRg9VDrN0/cOWmoEAz+j1dOcFR8FgB8dp/Uhx1bNz - WcAHAUEzddtGl7O2BSTQ7e5HCrAjAQhaOEZTj/fsgYKKkoHm/vvd+gZi42LdL73Sdnc8Y4wxxhhj - jDHGGGOMMcYYY4wxTwih7f4k2o0HKwOkQtNp06YWQUd5s3+BfyDu3ywOvusTv/PB2/5i9/jO7Fvn - KbXaZqhHdgDBCYrkfapICgEnhtAx48KscLm7sM/eZ+9zUcaqTEWZipAKzoGkIAkkBakn8SRdjDeE - ZpfE25fWI7pZhjcrWOAETiApE2dxOXEjPlFPI8cj7f27pndd94W/+OOPvOve+u622KhWua4nsYlB - Cm0RJym1MWtSL+ghuawW3W3MY+ysy+2GRXcbY4wx5tyz+KzIszJsEgGUwMppQ772eS/rtztKKVUp - g9LjkgAoFDfiAzft/jz1dZwmi/59XdprFwJqjDHmOMszeZZTsEWSQoi6GUKOlKXBhSuXPuPSqzEt - WAonIAUxVOe5p5hFaz+aNG3nHBExI1HOob3tnpsT18pJaTuWlqCkYGVW/8gf6RzA8/1LCprtFxFS - BWLOCHpg896jzSFm7zk8gtMxrKDZ+eNF9u38OaAKoG0hCf1iVUb0VVe9pCerTjwBQiokAKSbaTWb - VyazdxTE3flogGCBuOZc1R1NRKSamfSyC6/wyauAaDb9j4VJ59MRl+dhdrMTlefv1YHuRUBACkcu - cHAI562ex3APPsr0uNeCM/cYZAA6b/iu3RpCADA5gmPyCXJ0sr7j4pUDW/v8eWn3+LZ3/NlbdEde - T5vlwIWAeiyBSQi5e8mBMIQUAEN94QJaHybDp1XP+taXftfTV58d4kqzlUlJSEAZlIHZJrL0bnOW - yjkDaNu2KApmds6dd955hx44sjJcu+66637mZ/5TVXkAkvGwgyAPOW5aFEX3EIvH6oK6U0oiIiJd - sDcRee9FbPzVGGOMMcYYY4wxxhhjjHkSKopCoGVZglmAqt8LZdGmnESzQkAUfCZ88ZabX/Pan3nO - 857/ih/+wc9+/gYBvHfjegoiVXX+9NSHKOA81zEVVaHANMU/f997v/brv+67X/69f/Jnf7b/wH3w - jr0rB314V7etLwpXFvCuCxhm79g7YSTJ0+lURHLOdV2r6mAwKIpiMnk8Ip9PCyJS1RhjhlZVFUKY - TCajybgoCh8CO0fMxKxMCRolN207jYmLsslpczRyZXH37l2v/fmf/eqv+ZpPfuZzsx628wEl0Sds - 3Mc5F2Nk4klTe+8ycMNNX/zeH/iBf/SSl7z1bb996MgD5HjSNpO2iTnXbctFgHcCDWVBjtsUmxx9 - ER6fpVXVPJ9i2eV2p5QWOfFKUCJ1TM5N2kaZXFmQd01O07bJjNCv6hyrfm9jPGolf+qzn/m6l/3j - N/72Wxlg5mkbFSjLMqcEVeJjxvv40XR7JnAIANqYlcDMd+2551u+4zu/7wde9aVbb1kfbYZeyd7V - bauEUJWh12fnybFAm9hO2qZNKRO4CErokrw3NjYGK0NVnU6n9NAtm40xxhhjjDHGGGOMMcYYY4wx - xhhzhlqKvgZDCU2KjgkplsBaqHi84bXB9Mhn3/gr+37jDU9p60udK+ME9TiONwDiUGBzNL7+7/a9 - 5/273nfdvo99YnLrLbLrLj2w3x08pHvvGX3xpvUPffTA+/5y+olP4Z57cXQdmxs9QshpGMJO4JK6 - ufv1v/53b38HJmOPBKRB0Vd0WdzHkqXCCeUTJidXITgIQxBzv26KaUPEEEVs6737ZHOz78gR66Ln - A8BQRQaQif3KKnwhMbabm67XOwJCcPBeu4eHzLaYMBQgwePQ53qpK8UiLvvEVRpdmnQo0KSMDGgi - B1+A6Bk/8iPrPoALQQEuUPV2vvQlI8dacpp3GxJVla7zA+Fh60AEyAQhMIR1NmU9JQyd27xnLzZH - YHCOcWPjaSurn3/jm9BGpARtCeQdQ9FO4rytBus8/3t5jTsn01AntS2cu+L7XrlVllxVkOx3rKHw - K5c8ZQoATqHETAQhZN3urTRrandMxwnVWQa8BJH68BFsjqAg0Mra6hrjjne9Gzkhp6X2HvP8cuts - bIwxxhhjjDHGGGOMMcYYY4wxjy9WYD74S5iN/yrgyyIiIWjL46YY7ZvsGZdH3/3B3//IbX+1t71T - VxsMJGvrHAoPt52JxnLsUHkX4jMLUdhuz8E0CziYZb7QPPnFqTrtvsri+uXUic4sFKaLtOB5TIyC - lAE/z3FQQTeED+cQAlOpvKbjavP63Z98x1/81u1Hv7hVHMZKanVKjqqiqnxwzIDAiXrUuXkst70x - 57rlaJjjY2LObBYVZowxxphzjzIAAZyCICBkIoCdgmt65iXPf87F1/7tfR/xpW9z7TxUH0Wnvy+H - FJqRkaVqP3frJ6+5/CWrvihQpKTE0n0uZSGASZFZALF0PWOMAdCdlYNilj+6RFWZWShJJuLgObRt - 8mX1D5/7ktv335ryqCEJLiUgAxAEYlF2ujQdaPbNqc0OIlXJmTxijlzonkN3Hd08vFLulAgFYxY0 - LgBISelMjpU9Ayh328qpsPIiVxuAqpLXW+/6Yg51FlUJKUdi8Cn+tWZlme/ixXQyAESURUoPJ14b - 2lFd+KJnfxUmnrtTthAlEYgSAJpPoOrux6YSGTOjCmYlTqpy/upFnEuVDYTZRFAnADg5QTcJTyEP - cfx2QzUicASHQOo1u9XheaqkqlAFQNRN5JOz65wsgPm8z8ULCCWhwpVCeRzHqPJ6vr+6UP/+/r/7 - fz/y+wf53lE9HuwYjqcj7+AJRNSdh5bZzNLEYFUGuNSilN7O/NTv/KevfNbOqzBVzUURqqzJaQYS - wEKOAQg/HlNhjXkMENEiObtpGlXd3Ny88MILr/vLD7zmNT9FhHqaiMDOpZQe9Nf5yz/t27YNIeSc - VVVVuwQIdCEEOTvnAHRfMXshMsYYY4wxxhhjjDHGGGPM2W556B8AXPCjjY1QlmAC87RpmRlMSfJ9 - Bw995jOfef/73//xj398czwSgMFKLCo+FE1su3GFsiybpplVHz78eObyaIOe+PqUhZmndcsgX7hp - 2wL4Xx/+0F996G/K4J7znOdce+21z73q6he+8IVXX331cDictC3NMUDdyAqIoZoFQK/X6/KGVbUs - S5GzY+gw5+yDV9WUUghFkuyL0B8OJ+NxUlFVcuw4EJFAVZWdKwLfu3/fzbfccuONN173wQ98/sYb - ARRF0DYKSKAQlFW/rmsihh5fiXR6HfNEWKodyjl771NKAJqUgw8556xy2549P/2Lv/Tzr/vla6+9 - 9l/9i3/5spe97OlPf3qv12vqRphjjMxceB9CyCJgp1B6bAfPSWixFgQmUVVFKMqMbmhtdizlbkw/ - FBnICrADOwCt5NQ2d9x55x133PGFL3zhAx/4wB27d6Eb+Afy/HnI88RuZs6n78kpMfuyJzFJjsqz - 2qE/f8/7/r8/f88111zzkpe85Cu/8iuff/VzL3/a08DatrVneO99CKSqOYuIMqtzojqdTge9PpOr - m9Y7V/X7bUp8qgVMxhhjjDHGGGOMMcYYY4wxxhhjjHmCEI6t5GAkaFbx3jHEeQ8RTFuGx5H1e3/7 - rZdsbFVZqWtC7YkiM1e4c8/4rt3NocPcNjtUCeJIOCeVrqsYABSMwnOPfX3v/vV79g8uvHj1yivx - FVciT1EEKmQNLudMWxWiosn90jexpVB0S3U8BRFmzbYVYAF1vbhnYdO5bVlrsEBR33+4dAUkA4LR - eH3X7oFjjhGURZEJTHAEgqhmgBMc+gOocFEUVDzQ5q/+uX+PXkCbywpAVhAxL+Wdi85KnB6zXis0 - fyyafXHHXHGiEG8CSl9Bxrn1rhBWXltDURxZWRkkXSm1OfJAGQi98qlf/dUHP/3JVVBwDEBz1i63 - G8giD7VKJAAjMwB1ApAozfZ1UBrWsfn8jeU3fgNEOQv9/+zdebBk93Uf9u85v9+9t7vfNoNtQBAA - ARICQYoUKYmkSktpiUPJcixXxUpSSqKq/BFXKgoZSYlNl6RKFJmmtZNSRMV0XHGVIlVKilyUpWiz - LZOSKG4ABQIEQAAEAYjEMhtm3ry1u+/9/c45+eN3u1+/GQCcN8AMZgbnU7de9fT0cpfef+d3vtu7 - h4ZLGLeoEKsmQ4EAQz2oAFBfMrPPWfdr5cja820pACA2DURQ1SdiuJZSE2NqtyumwW23n3j2xOEq - SGojBQIRTBUBMAIZ5nU+jL6LugiMgMCSUsWcdyd45gTWrs2TNlYUQsTRExi3GFVUxdKcPTzfJjjn - nHPOOeecc84555xzzjnnnLsUSGdDtntDzUpI2oYB7dimLqUUd5/ZeOL3/u3/e3zyjKzmzlrRFICK - wQYWkECMjTgzjDL68AiFQRkCgBjox9DJStqOztMleKH0YnG8e6/VigGzC9uswqCMWe/vx8KGqGAj - pWAZqVw+GNAhmDJTQjelbjis19n+5R998O9+29//9rvevdLcsLm5WVMTEWKAATlnYg6xVvXkGOcu - oiswHQbw6G7nnHPOvUoZ95mgJAxkCjBW1dXBynhj5113fdf9R+/ewVjEUJ2bCfsyIwMzcjX9m+ee - +PKzj73r9pt1bNYHhiuZAqF8M0UJCr0iP3Y659zLjw1K/Q9rBoAw7zwbAomoAKQxxkqtklTfeuTO - Gw/d9vSZR8kCYjYDEYxgxmUaEhmA8vvZC8XIvtjKoA92ZDGhRnd2Np869sTrbr/DiGFh75JQGKu/ - nH9tzAZGmbSkRjDASGNdjWX6pae+aI1oBxYmBccD79Aya2iRkSqYgJzRjJja2O6kN3391187PFLv - DNjYSEEZ/Y+8swbBAPpHjAKshFB+OvY0XPfqZgRjMUmrw7WGhjvzhuMGNi6TD5UQv9YTJQCiYIaV - eXuCtZU1UjKbD6/0t1xegi/eFl0k/RobwWLgOO06qkNoIi3plpzabjd+/xO/+/jOY7SaNYllbphz - p6MRTzudvbewEoJpeSkKErFF1w1f84Pf88O3HXpjg1GXjAxVU2vOUWHUv26VKwKAeYC3u/KU2OwY - 49bW1vLycs5ZRO655573ve99bddZ/4gmIqrrQdd1C1c9r0c7M6uqqoYQ5gHeZRCImXPOJTghxth1 - XV3XL/sGOuecc84555xzzjnnnHPuFbe+vn7ommu6nDrJx4+f+MKDD9x7771ffOThe+7+6+3xrsym - jwQOZJZMq1BJVkkdgDKU0Lbty7tKoYradQa0XY6RCeiyRsI0y30Pu2EYbQAAIABJREFUPXzfQw/X - kVK2KtKRI0fe8Y3fdOjQoRtvvPHGG288cv0N5cThtUOjGCOHlJKI1HVdRkPK4MjLu7YXSRkaJiIx - DTBRret6fePMaDRqd3fW19dPnjp16tT6c6dPra+vb+/s3H//Aw8//PCJE8eUMD9qCky6BGDQNLmd - hlBNp1NmZuacL3LB6AuoqiqlBKAkvneSQoxkHAOlNonYvV+4/3Ofv+9/ef8/XVkevvGNb3z7W7/h - jjvuuPXWW6+//vrXHLnxmmuuUdXV5RXsGxp7+RFRvxeZQFT6MGdTVXQ5tW27Mx6Px+PxdDKdTruc - ppNufX392LFjp06d2tzcPHHixJNf/crRo0eT5GnbARgOGgFCoCQGoKmblJKYTqYTALGqckrEDDnn - 8fnC/YhfDHPuWhhCrLvcBVAIlEQBfP7BBx/84kOiRsDh5ZVbbnndTTe+5rbbbz18eO3IkSPXX3/9 - ytrqaDQaDAZVVR25/oY6VkboJKeUlgbDlFIVD17A5JxzzjnnnHPOOeecc84555xzzrlXVqlAIBig - oEwSwDl3FQfsjBEH2Nh56lc/fIMkBKqYMZkiZ4QaG2e2H3ksPXeK1jcGkgcgIGvpLE0gBhEiYAZV - aFKkbjnEkIVPnzl1+kzz7PGVN38dbnsdpmOE+nDgdmPj/g/+2tt//H/CatVUFfqO1UwAoPP1nCdY - z/OrDapgBqBmkLqOkArj3bs/+Ct3NDVyBgzEOH3G1jeWI+fcRgMzJZjBGELEZtY33h4NUEekNk9S - PHI9qiiBQ2CY9pnVpV6knCTT0lP7oh6i+SbPtnrv7gj7/713DoGXQg2AKJplCvHN/+gffe5nPvC6 - Vq9fXgGmEMItr6sffaw7eqKBlMRuAkBkqi9aAsKskABAQWCDEABUTdW1qeFw+tjR60+exGuOxGbE - FNeSPPZ//PM7//GPoktcR7EQEmBAA2YoWKFhYRPmJ23hBL1Q3zkCDNZ2NBx82/ved/z9H+i6NtQB - AG64gVaW0+ZWBfSdmYgUpvs7py/s6P4BoRABIlB1efzUM6M3vDEOa5itVNWZ586gVQzFIEAoVUTz - x+e+I+Wcc84555xzzjnnnHPOOeecc+4io1nvBzWdpQ8AMGWb5B1e1hR3H372/t//xO9u6qnxYKfT - FlFiBAgiUEPQUlLAQgBpn1Zg4L1O7jz7qwBsFglU8ljmI+aL67N/FfduRRcuQGWUef/ltc9KUCUz - BRGqkp+gMAUTVFVgMmo3ps+trK393md+++TG6e99xw9cu3YLWml3uzrUVVWJKEAcr5g+Ks65S8mj - u51zzjn3qkPGAMMCDNRHgVYgJpMK0XaqO296y6033/bFjdNa6qkvciu9ACJGDrkL4y88et9bbv6W - Zasis5WgboISKgWAV6YlpHPOXb4IsP2/2RVmbCmlGBpTmBgjsFYjXnvT69/2zGf/hmNn0irAFVQA - YxjDygyQC//5zMyqEDtRikEhHPVLTzz6Lbd+J6EqK0sGkMLQz0TynNQXxcZk/e+nwlAyIwVARBtb - Z06sPyNNxzQg0xAQGC+lc7ISZoG/qqrMUNGgdGjp8Dfc+TZOVbQaAChrWaH+t2cux9T2HUrG4o/J - zr06UTDLxGpIo2q0NFg7g6OqYIANBFj/qqu2MD/Q0E8LnDPrp6QSEdRILXB17aFriYjRf063K3Pe - HpkyqZQBn37HBKPKAmVLVtNme6Zttn/jX/+fT7dP4Ebe7MYjYlaJFgN3RsiGAAAMiwAECsoMbTId - ibf+3Xf94J033TmwZmenWxqsSZvbdhwZZIEsWB+drlfo3nMOQNu2w+GwbduqqkIIXdc9/fTTP/Ij - P7K7OxVFjJyzmlnOGdB5zsGB3qBFpOQihBBKRHc5n4hSSjHGGGNKqa7rlFJVVRdhK51zzjnnnHPO - Oeecc845dyksDppZP5rAAK655ppp1733R3/043/xF8dPnzYgEJIhcsxmjMgxpJxES9tedJLKbYUY - JUsIwUR1f/HhSxqgCyF1HVcVA6qaRcpt5zK0CjBjmg0AiT397PGnn/0TAJHIrK+uiUAVeG04+mf/ - 5P0/9EM/ZGaTyWQ0GsUYy5jIS1m7S6YeNNPplIgocDMYkOSt7e2P/pvf+5/f9z5B3xmYZv2BATBY - y0kjA4hDiNR2OYSgqpN2CkBEAKhCNV/KbVl8POSsAIeK264FE8xEBGZZUGLa26wAmLG9M/ncvfd/ - 7t77MevGHJjN7NDK6t/7gf/kV37hFy/qgTTqd3KhBDUT0xtvvsWw919l588aRBNxX9llZnlW7RU4 - xLramU6ZOYvGuhKRtusD70OMqppTAsDMem509wVugMKYqyBdIkBgIlZXtVqWrEkNQACd2dk+88hD - D3/p0fznGfvr0mYR7xkAARH02U9++k133TVNOZixj8I755xzzjnnnHPOOeecc84555xzVwIG9tVA - EARIkIA4kclKrNFmUIWkX/i//u8jE0OXOSB342Y0ws42nvnK+v0P2JnNJnWVoSKQwYBIhBAkiwkZ - AWxmRgQu1Ss5L9fNdre7VA8np44d/exzN+kU112LWsD5GllDh/t+7V9+44/9GFYqSdkGUYEKoFnx - gtFssvSsSsZmTckUCJEIEbmFAkLLStEyyDSCk+Cpo6ttrtgSQERmTGwl7htB1WYVEk2D6RQrKzE2 - AgKC9JUfKlACSmI1FCAWJAEiLmLh0XwDw7yFtwGm8xRzW5hAzgSAlcAMCIgZqUMIxBEkWF5658/8 - zLMf+AWZ7uZuZzCssdUefte3tf/hY7SzlcwYCLPc7lJ29XxrxEAgaKWiJVZ93pe8FQBKsjwYTp98 - fHDoEBJL0OUqTHanyApquR4RAeW42vOUk+1tI4Fo/gjVPr17/55B2QeiNBwaRxpEG9W2oyFEjKcY - DQ/deuv4oQdrghmpqdDZN7LvBg1gKEEUNRBA0fJ4fWu0O0VdIyJPJzc3K4//0gfv+MBPm4lSmBXV - 9G125rV3XkHjnHPOOeecc84555xzzjnnnHMXGwFsAJn0/wLQB+4kma5dv3KqfeavPv/xP3/oT0/T - sQ2caZbAGQGU1boECxgMGjN0XReQGYh9Zw4oQRgwkCHa3pj8vrs3BfbldgMwBvYHeC+e5vkQOMAG - 1f7yMFif261lO2gv0oKCkQUikBFXrLHS3amOhtjQM2Gp+uRX/2y72/z73/Ffv3b1DcGGmHBlNVHs - dJxTinRl9FFx7kr0AnU1VwD+2hdxzjnnnLuqsBEDZMRGNKv6VUBDxdNpN2pWR+HQW2/75lpGwULY - +5jH/WenUslOe1/qFm/8oJ+vyNgym5hVwFAePfqF47tPdxhTKKmgTMZsAKx8S6Qr9WOnc869/BZe - E2me8QyolLkgxjFGQEWymQWNI1l72+3vGIalum5gwRQwMgMZCDr/MY77aOYDx8GaCTNrJubYCaTq - vnzskY18RjnPYlnLe0S5I492Pl9GamXCESkoT2Tn5Nax7XazzWOq+llq6QJyu0sQuAGzH2qV1EiN - rKmrNEXI8Q3Xfd3t190ZdUAaX/zG5m//bDA/uO5VT0mzZTCZUk3NUhwR0expuvdp+axX2fLP2cuv - BkPMiMYUYExGIAsDGl6zdB2DiQL632TLh3nQ3hxButRT+Uj7ZXbf9AIrQQD1meVKhigcNAYNZf6n - EZSto07qtBs3fuff/dZpfWY62N6cblgER8rSdbnjyLtTjTVm733lOwgHratcD9vV73/nf/r2m951 - KFyXd6ziEYxj5NlLU/8VA0A/+5FwoDDjC6DESmUvCUiwtyZx8QtUv9OMyZiAMjZH2LuKEpebmi8X - 8P3LXTVKGjcRxVhPp93Ro8f/wT/47547uVU+v5lZjAwgxghgltt9AKpa13XJqBCRtm1L8/0S4F1S - w+er4bndzjnnnHPOOeecc84559zVxwg74zGYPn///SdOny7tUjsDEZJmBWdoyskAIuK4N1krVpXk - bGYiwqVp7ctU8kdmAEwkp6QiICpjbAZQADGX/qwGZAMFArEROrMElNHLBLSi6zs74+kkq1DgMhSS - UmK+YsbdytSdwWBARDs7O13XjZaXzmxu6qxRb1l077QSMUACU0BU2i4TUYnrLvuwrmsA1I/xvjK7 - wsxCCJIzAGYGEczADEBMU1YDQKQG6fOzS3/nkIGsls1ObW1ubu1cmuFyImIiImKDmZV4eAHy7K/O - DoEBGZZUypJNQcQhGJBVpl0LJjXlGHJKIYRYVaXbs+RsqhxC3TSS8jwR/CUNbxvDEJpGSyL4rGt0 - l7qUlZmJ2QDp898paQagfW/u/m+rOsnZqK8gFtiZM2fG451BFcsDyTnnnHPOOeecc84555xzzjnn - nHMvmQI6j9a2/Snbhc2ahsG01BPILON57wqGvVqDfddnBRsBrPNLKhAQCLwchph2AGD60L/4Fyu7 - 22shUk41qAkRGxv22JeOffpT8czp1dwtE5rQl2sQYGaSc0BgikQLU+kJgRAJuWtX6pq6yTC1zXjn - 2F/+FTY3wUaG2E6b7e0bJ1PkhOk01PM+J3s1SLq4HaUd2eyuASiZWgYz1NB1q223VFXSTdkEk3bj - maNDg3Q5AiASkwBjgwBiZSVZOaCuMKghGTm1XVfKk6Yp61lFNTRft8uo7qivLWFkAzFgsCxgMwWa - YYoVhs2zwGYMcbiKJBg0WFltbnmNrq6W3kDg2U4O4cVbD5H1jYS4nFAWaB1rMkx3tneePY5JiypW - kRsG7e5+8oO/DA7oWsuCUmPCKIHpAdw3Yeibbizcywvc+76HsykIUwhg2zGk4ahrM+oRKNY335xD - oCqAxAAzEIXn65vX32YMMIUJQhUBDQDlvPPlx0qj9Ajjrj3EwGQ3GNUlevziN6xwzjnnnHPOOeec - c84555xzzrlXsRcclC+5A/PSgdLePygZqdTdyfbpTz38sT9/6E9P27G2HvMSUqk+EAtGdR1CiG2X - p5IQ9gbHeTYaDoPRbGR8YVlcMevrIvYGz8uofRlt73vFP9/I++x2mDSSxr1tpP4PM5gAhWQTUQDC - yCqaMhTDGm2GMNIgbYXn7n32rz76yd98fPOhbjhuuW27jowbruPeHfNC5JyeE5QQUUbt6QICb5xz - +yzkxVy+LqNSJ+ecc86hdNzDvOcem9kV8ZHiijMrRIcSKYGoJZoIRJmEkTt88xu+45b6tsO0bBML - TAoyDSpch7pi5ISKQQAbc4nW7uPBarP6oB+xGh7kFhTQVZOt5vhfPvjHuZ4osiLmLrBW0QKxEGcz - KyGFzjnngJKyrNxnfCpBGQIoU8yCUFUpa/kNjwKihOXx2k3hlrvecJeZqQQYYBYMwTRYBmflPg1c - CHLAt18lcIXxtF1qVrUjCpiEtDE8df+z9ySasEFTJNTJlGrK1NneT3Lu+TGZQYxUCcmYQ0WmoCTN - 9N4v3dMsh2wwbrO2iBX4oK2u1ajktYMMpIBBWXPQDLChMR6lpf/ozX/7cHs9uiCmAGDMRmzEs9Tb - EisOY8w/EkDL+S/z7nDuyqGkRmKkasw2iKk+PDqsqmAYQcuzBmDToCCDUj/cUv6ZGImVgKioJSBX - naIlFQJbXNG12695A0vQ0o6d59Hd8xmz3C+z1bno0/xIlUSpdEdXtvl3BOa9FOp+yKq8ekzyNA4r - yho6NNqwBGZW5MRTazoZptN68g8++9H7T3x2Y3hy2mw3xE2CiliENJiyhgpQsII7q5hEJFoIaTAa - H/n+d/yX7/y671nS62wrDmm1UrYsEDCzEqS80AUolaEsZbvoud39VFMSUAfqynssWSCtSKt5Vjcb - gnJQZgtszBCmjqkldICV21HizKwEZTXq94H/xH11M7MYo4ioaojEASEEM6MY2pxiM5h2eWtn/N// - D+/9m68eB6ACACKWswLIOS+8SjzPo7385FL+lgTuMG/Q33V1XYsIEZV0DQDzbvtN05QTntvtnHPO - Oeecc84555xzzl0ljGFMVoafCEYhxKxmxHmW2quEZJB+lllfp2Bmmm0+Upk7QX8jnNX66Vu2N9/s - rGXvAntjnQvLwvkmmP+FMZQW/0sVZjAjAAbOYmJQQ5n7JiABFFxilVuoMiURCiwiDOJZl9f5FLgX - aTv7ypKU61iltoscYggBpFmISBZyuzOQqT9GCs4GWZgSCLAZ9X+NYdx1HQDDfB4dX8xlz7420YCI - lPXRbP3xnR90MAAzMoOB1aAgA2W1cny1P74X/7CpsmhQC2qWBWaR2Mxs1htaFgbnZmfuf9gbicye - GkplS8uTKHeSkmjpoDzbFd007X/6wPrdeM7z5Xx2vkGmk7LHRWzxUaGKctcGltlsSwHUnmdbkkFA - GTBgMKwjE6mWRHDnnHPOOeecc84555xzzjnnnHPOvTRnTw3WWUHCYiC3Qg2CUjeTAUULtICm2aUN - SB1sLwU8TU1nBSICTKBdGf+fTUEmQJOSMKiGZEi7eurZG3fP6GSjGVSQhJ1de/jhU194YLVrh6IB - MINp37G6FBUQ2KCwTKqsEgxsIIMZAAqAdl0FxC6viF2nOPOXn8L6NiwExZrJod31p/73X0TVASkq - +nRkKEhhfQFDj0s1kEQglN4BoBbJOEDxxAc/dF3XYjoJMSC1OHa0UsuiAFEMohqAYMYEYhhCsACL - mQxVhAjMYIh1VQqSBlUMxgEVg0FAAALACAgRgS/mfP/5vc1SomddumcdwAnMC0u5cEX9LqJRBQIF - FkCJEfCun/7JY8PRdhdgDQKhVnzzN55YGklsqKqyWAgIjJzzrLClNIxYjNEWwGwhUh2AshIj5QTV - 1XoJm1M8+RVAoG3X7qwtDw9JQtvCmANlTVpZRxCgL3uCgnJ/a/vvjgAC0/4yGJotAFDVYlJpRqQ7 - 3/uek8wYrGkXEBqsro2uu26cRRkxIiIGqWBc2iuRgaGMvtsSAZbQAAOCpWyqAYhIu889g90tUNRp - RlSWFmZoFQlBAWSQiLaAzmrvnHPOOeecc84555xzzjnnnLvalCix0hffuYuIFKRltFqJlVjBSjw7 - R5W0XKZcPJO1minGUA+tpQFGlVaiiQ51//aB3/vjR3/nWP3Y7mi9q1pwQKLSS0RgUAmmFSyqslpJ - izBACAYogQxlKHl217N7XyjqIJst6P8GQ7D+uotLsXBTJW58dtL6Yeu9uJnSUIPAfeMNUVIiYwZl - xFQPLYbMRMhNHg/X73vuM7/xsY8ctSftmm7CY7B10zSqRgCUVMGKoCi7MSl3SklJYRW0gTYAE4TQ - eX6Qc+ePiNq2DWGvTqREbb6Cq3SePNfEOeecc69aqmSzQmQhiJoYBTNjDUt6+Dvf9h/LelqpazPj - iKpqAkI76TSjaSBywITQF8CGrp2srAy2d5AIcUUf/Oo9x8dfmYQJmCI3gRik2bLCIvNFjx50zrkr - ST/PataZ9Zzo1oWLkTFNcLi69p1v+lbqYsVVFUNAmUyiIO17u87QQV/lCURghkgysxDAFbax/sVn - 7g+NElnkACUiyqbERge+g1cdMwHU2EBUWkWbkQbZkJNfXf/yTtoJAUSKKF1KYgfuGa2kRiDjoByM - efbrLAIk6SAvv/7QG++84U3YDcM4LCMiwFkPLefcCyAFk2mIVLNQTRUR6exJWp5rbAsz7vYGTvqX - 8X72IpFZme1HmayKzQ0rN1apJo2XdnvOx96ndDKQzl4oaDYFeGEoazQajcdjCjFUVc7ZRCEaKp7Y - ZJe32tHWRz/2m488+/lwSNfbHaqNocGA2cDVfHeFioWMgzYWaFwd0hu++63f/647v7NOSzE3QZqg - gY3n7262f3klWBl0m7+WGrERjPbNnQYwe6Wdzx2eTWk1KptThrnKIJy7uplZ13UhhBhjSqlt25QS - M4/H49XVQ12b27Z973v/x0ceeTxGpoNP5DWzpaWlxbGcEtHNzETUdR0RqWrbtnsfBpxzzjnnnHPO - Oeecc8459yowH9+cxT+XUT+6XBuL8ov/tT5yeCFQ+TLdkK9tXh/ST9Jb/K8yEkoLXXrplR0hfbmc - 7/G9xJnrbGdXWOk5o9L28k9ZWtz2i+WcrSh/GQCotH6G8v424bTXyNg555xzzjnnnHPOOeecc845 - 55xzL8Esn3he6QJgsfyDIP04/qw0hJGyJSQDOJTQbsCAaEhjTVPJGR2qipgAGFt/H+XWwFruVTOG - kZEyFEhyz8///DWpG7TjpUGN6Rgp2xOPn3zkseWsdbYge4UTCwUb5ZT2bRX2N6FevFCE1aJVlkGS - 7rEnkDKmkxBpCG26HezuQHVf4QIAAi3WTJCVPO/5YpCKKwIgskw2hEYmdFMo8sa6dCmAwEExm1m9 - 12mHADYzZQbT7E5LhwQtdwUD9X0gtN9ppCU2+2KXq+xLzab9yznn7bsaYIAs9BNKYNTVW378x9ql - ZWtGZgYCmuqGr79rHDhnCYwsAFPpjHBOKc5iLyPAmBa60JSDEELMXbta1eNjJ7C7DUv1cGDtZC0L - Jh0mUyhiHQSqUJ1fc7HE6ewteXGcVbgcd1PUcXcwyFxzaGCEqh7dcnOOyAAUGZmYF2vgzn18nnVO - MNPNDTx3HCnzYGjtJEL++hc/iDbNVtkADSGa6ng8Pf/1ds4555xzzjnnnHPOOeecc84598IYwP5U - FAX2h+gYG1EzGIrIZDKJMXYyTXWHpe6PP/N79331M891T7d1shpghL7igOdJALPgbcxjs5X6cocy - fH3+zRNodvnnTex+UfuzCfAiUQqqpMr9fiCNrJEURsgBO3z6ufzU//Pv/tUzk8fDNZKrtmrq3d3J - wjrMTy3u0lmBitFsNbxhhHMHc0VkdZ/F0yacc84592pTvugJsFdSzUYAkSIQsTFLCNJ805u/9TVr - r+e2zhlJTbWrQqwQSYk4dAJhCJcaee6/XFIG5YOtDSlHS5qaBipQlZ3uzF89/BfT0Y5AGGSchJMK - wypmNuxl/jnnnDt/RuAqSLI7rnvLXUfeFjIRxJIGCkoQQlAmg5TThnC+P+f1WAFFCEjUKicWVGCl - 6RPPPnJyfLILHYcM7ZiZlMj4Cm6BfGkJsTACq2kmVBLoyee+eGz3SQ3EMcCkCqYHm3QEYBZ/Cw3K - 5dBTHwAPJQQ0zXj129/43cNqiStuu4mndTp3/tgApUAMMyJSGFdMRLz/RXX2NOzNe4gH00pnU1Gj - KBKDyBhQYnvda26P0vCl7DV+HtiYLZL1w05GMFYFhLQsSqqkRiqkIOUEyoxYTSEWpBkF5CS5C6PQ - jsb/5pO/8chzn95OJyfjnbVRkyf9K5wRQ2MUroWDRBi2s/ISpm1bW72WbnjHzd/xve/8O2vVoaDh - Fd4jC0qv9mDlROkTX0K71UiVslJWFiMRNmEVRuoXFgpClSGSRjYKylFRCSrVWlAJaqEo5K3Pr24h - BDMjIjODcRUbioFiaJrh9va2qr7nPe+5++57AKjgAgZomHl3dzeEACClVNd1CIGZVbWM96gqgKZp - mDnng33fd84555xzzjnnnHPOOefcVaCUJMyKBsp0Mb1yl6tjbK0MPBrByIysnD5nQt7MK73PL+LR - PPfMF9gHL+/O173FjKxMv9yb+XhWf+RXei+9tOV5WyFr34F6/1lWCiEur3IG55xzzjnnnHPOOeec - c84555xz7spTspYBhnGp1il50f0UekIHZLCCgQirgNhKS7VFWNWnSgM0BSaYnkaVuaJQRVTlpiVq - JiAqBsq1BWNIgBECMCz3UQN5/LkP/PwbNA45MDN2xzDWxx8/9viT1Hbo8r5W2LMTSjifJs7zYgQz - gxlJXn/iSZw6hZWlSWpbkzrEu3/9Ixh3MMi8GoEYYAbCQtu0/uZmJxgUYUgJWVlsur2bUkIzQM5b - J09Z6ghEgdUMANG+qdlW6j6IUPqrLJRM8Fl3dIVhnhWASdIqVjDFsD7BcjpCY4NYI8R4883LN1y/ - bUqxMSCpIbJSn1nes3Mag+/XV87AFDkG3jx9CidOAoBmS91gKo/82j9HqGBqk0k177BeOoGXw3xB - xSeBK1EhZoSI1P7hxz++q4JYmQkYuOW1sjSSvYvLgVqNs6FO0h09DiRIC3Bt8TqqkRWmxjC1NO2g - TMSj0UC9kblzzjnnnHPOOeecc84555xzzl0o7cshZvo+EmCbpUwbwRhW/oVAlCbTAdUBpEFlOW3U - xz/9lY995pE/e+b0Y2JWcWCLECKVQHIJWlJcRAQjSIBwFlYlhtWkfajQ+uTk4ye/8Ief+u3n0lOT - aks4x6qBRbbIyIzMUDbAAkqYOVAiEmYxczyrT3HOna8rMbcbHt3tnHPOuVchQqa9rMD+KyUbkzGD - owXWQB2P6NB3feP30WRQM4GQu46hVdWYBskgLp0fdVbrzGwglO9UB/mqSaDGJp0MmpqJJu14eLi6 - +0ufeGrrcaEpKHc6MQhxBQtiVmLDnHPOHZyGitNEBtOl73zL36pT3VgVjc1MWY3KlK1oxDaL7uYD - fs0XATMhdBwUCUhaN5hi897H7p6GbaUpQdhgBjaGqkeNvgjqp4qxwtSsvOtyjFN09z7x2a7ZjYPa - lFJKgMbqQvalzvLTqQ+U7X9XZUOdh1+39uZvvO2d3VhiHbqu84Pl3EEFimTMFAXC8WuMNJAxAGGA - EKwMXUAIyibltcA4kHXTdNtNd3CuyeKl2IbzZExGs9zuvh28AtbHdZdO8QYyJVNSA/K0HVVDY+pM - LFJWFQiPbAvHPn7fH9z9xMcmgw2NXayZJDcBZP1gTZ8RrhFgIw4VsiIKNe3wnbd/2w98639WT5Yw - jmSX10++oX+NZSAC0cBGUDIlsX7Jfbo5FqaSGsEiLJJVQCBjMhAMlBliLMaiJMbyYvftrgolVzvn - HEKoqirn3LZtOf8nf/In7777cyJomkpN6ULHNcvoTtM0XdeJiKrGGEteeMnwFhEiivFyevFxzjnn - nHPOOeecc84559zFdG7RCAFXU/HAQatiLh82a1A7T+x2l9h2hzZuAAAgAElEQVRCdPqrMql6f+vt - fcPczjnnnHPOOeecc84555xzzjnnnHuZ2MIpgjIUyCBdaBYCRZ/pXTfc5Z0aVmmCZLQtUoedLah+ - 9Od+AV1C1wFInYANkqEKBQRQVpT56trXoagiJ3TtbSGs7OxO1s+AA1LC8ePHH/2Sbe2sNU0wBPQJ - 12VFlaDn0dbV+sxsIqL5OUF0INI+/iRyVw+apB0kD7sMMZiWzeyzzAGyxUztGQIIZGDAcoYxjLq2 - HayuVlWFlLAzaTe2A6xMnjYzQp/bbYYykX/h1mbrNtvL4dyDcnmbBWz3D5B+1wGjyNoJ6gpsb/uJ - f7gxqFMz6FoBMYhGb7orD0YJFEKcKsC0V2FlgMEIWvrW7TWdA/YfDg7IkhqE1E2DypmvfgVZ0U5W - B8NatO4yUkYSitFgYZ7Z3d/EhZenBQ4iAjMsL//Un/7JttmUypE0DJvRjTe2BoSmQhDtDnbLpqtV - vXnsBLa2oJmW17rt3VEnf/H+96MbwzIxV/UIqlDLScTLuZxzzjnnnHPOOeecc84555xz7iXhc4Jl - +wDvcy+Zkw7qYTdumxh0MN2k419c/+s/uPu3N+gYDfNg0ESqLUfLILOAKzuZet7jQlmt7BALQWrW - CEIYaFreeeDZz/5/n/ndbT7dVlOtyWi2J6lkRzBbnA3XAyR9xhzZPA3dOXcgi+HdV0qStz/VnXPO - OffqwlCCMaQP2DYGQp8JR8QGqEWrah3kM/ZNX/dtdxx5S6XDYQQzum6qMEVIQrGqSiH1vqDuEhR2 - kK+aBmRF1WA67moegG2M3Q0+9alHPtbVW9wkQE0phMqMrpSPmM45d3nqJDfVcJBW77r+rXdcf1ec - 1E1sypwPAxTREG02VSnYgX86LFNuMoEjSIAMitCmu+/xz+7QaQltiGaqUCJEzEJe3QshCiiHRpXV - IrEGPb579NFjD8lKm9BlFQ7IomamB5+6M3tP1ZLbzdZPG6vSoG5Xv/tt37+qN9QYpiTD4dDg0bDO - HQAb2LgftiBViJlxP1OQcVbf6v5MLgMeQREUsKhAx8ihZGMzg1jw2sO3xjy8rKKpqU/UZjaGMUiN - VFiFdaFZuc4WA2lVVUyWc1fVdVYa54Rl3rITH3/ot//9fb/ZDXe2dSJRBQkmFYH76GsFKSwYgpAa - aRWoSnFFjnz9kXf859/1Q2t6eDBdGdkaafja632plInQDGUjlF1U3l9JQQrKoDyfm1omSQezSlEp - Bw1BA0pSO6mxCHc5pC6mLqZp1U3rto1J2KdvXs1EhJnnE9G7rhOxGGtT+qfv/2d/9Ed/LAIipE4M - zLE66O2ral3XqhpCaNu2qioAzJxzFpFypyJS4sOdc84555xzzjnnnHPOOXd16oexgHMieBkg09kQ - F0ov0yt6uTqqVfRAYcmv9D6/iEfz3DMvvrNyuxXnZKjbwomrZunNZrQasD81vt8hF333O+ecc845 - 55xzzjnnnHPOOeecc1e/vr7FgIA+NVoBqMIECmhU1IoKsNKRgEBoh5QrZLKE8RjjyVM/+yunPvCh - p/7Xn3vjmemnf/HD6ATTHEdBSVExIDAFA4QArgGCAALKyC22xw/+4ofq7TM1y3IzwDSjk437H6h2 - x6vE3XhcM1GJ1Z7FORu4r2YhJZzX5Pd5ejerrRFtPPsUiHZ3d5eb0UBtmQlpiixBIUAuLW1KxcJe - gQL1jRpm905mRBFZ//KDH1xuht3OVsotGDh9mrquDhFAUlECc38zpd0KW18fZWaLLXXJDLBzAqX3 - 1R9d/m29Z1HkgIBjAAHDAYbhaLANtTBcARgp47U3Lb/+9p1kxJUBYkIorR64fyxaAPYCvHHOtjND - FQYwE2kexWr3xAls7yCb7O6Gipeq+Mvf83dweheMBAIQZ3ndQpxLCPvBC1Cm7VSBGCJihViBqLrh - ujHUmAABZOkNtyWuVcAxEAzn9xDtN8pAXYfxrq2fRlPb9nawMMrp5mEDVkpT7QRUgQOYqhgqvgIe - Es4555xzzjnnnHPOOeecc845d7naG5Fn036BUp9TwPsXNHWtKTdxaJDT7bOn6Cu/9fEPP1c92Q7H - NiAikqSqOZAxiAxXdjt/68frlWCcjZQBsoo0AshRJ3F3srR571c/+YlH/8N0sLMjG0IKMEHJrIzI - k83TuwEoSEBCNstQcM4dxBUapOhPdeecc8696rApQUHa10DP0uMCCICqRuJBWK5ltILrv+Mb/hbv - VjbhJrCSCYSbqOgjQudhn3sfqy6ocJgIQVnEwiCOMaZhevDJe57Z+nLXTDgGaED/cZNDCP5tzTnn - LpBohdhgMMzL3/WW72umK9049wmPpML9xBhc6FflGGrV/g2iYmIgiXaUju1+5asbX05hDFZVZWOi - wFy/LNt0dTMzIjIIqQG6a1tffuaLW7ohtU26KbHVg0gEywgHfP8ts8763sGk/REnsHLTrbz+0F1f - f+vb0dVklRlVIeoFZIM79+rFREFVicjMhKXNHSm9SOyt0d4r8KxTPBux9M9TJeOg8aZrb16tD0dt - +HKKpgYA8DxN3AAlNVLMJqGWkZjS7r9sJUdK0kE0MCtxXK224smPPfj7n3zoT/Joe6PbCQ2h0TZr - qJDz7D4AQJVFWIyVjHWTV9K1dx16+w9/738bJ80gDwY2CjnyZfd9QWcTRMmIYKz9i7CW+cGz/+XZ - O7CCcj+FupxjbOV8AFDr9zOEIOQzN69yZdp5idYub8dLS0vM/JGPfOS3fut3UjKUN3DTEEKeP2HO - GzN3XVfyuQGklMr55UWsbVsiijEC6LruZdwu55xzzjnnnHPOOeecc85d/ng2PaQf5kPfo/aKXnj/ - Fl1Ap9dX1osndu+N2i7mW7/S+/wiLudunV3qY8r7T9DCIXjl989FWGbNrxWGfnIm9s51zjnnnHPO - Oeecc84555xzzjnn3EtXgoXLXHIAoL0ChYUp/SAFAQZEAJ3SOGO3xXb7+V/99Sd+/pfWNs4sr6/f - 1MnrMo6sb2OcEJBTUlA2mV/faHHYP8MEXXffB3/1+vFkNVDe2kBKMMKDD+n6ejPthmQDQEVBkH5l - +KDdwGxmtlFGOXHX2vETq2traKc7ZzYbM5jAMkxnM/Dn9vU/kcV/q0EMOa8QSTutV1djZHRte/zk - UBHIyp0DJW58VunR72YCQKoQKXUS/c1qHxZuBIXqfIcdfMMvGdq/aXsSABiHcTtBXX3nT/zjzVin - OIBFNEMwDe+6My+vTEvCtfbN5UqHucVmCPYCxSJEUEVkiOaauTbhcYtjJ1BVQZUm7aDT/+Ld3wcQ - VCdpPN99RuUGL3B/DpqBqGVRgBAihsNb/qsflmEjpmCSALzmtdXha3dUbN7z4ryRIXIMSY8++iiy - EvPS8nIjeZQTdrcB4tJKRPtdktsDz/d3zjnnnHPOOeecc84555xzzjkHAOCzx+JJQS8akmIsMARt - adtWdn7jDz48Hp7erScT7hJyNlXVyBoC0V4dxpXMYPNUC+oAYQNASpwNOWAaujyc/Pnn//Rzj38i - HjYJLaBGAIht1hbD5v1kFFCyfiezEV2uhRDOXZ7mBVB0RcWF+PPcOeecc686BC0F50YwkBHP0rvV - kInFzFi4xojG1ZtvetubX/uOOBlBqlBR4tZqySSqGhS894UKSvwCJdtfAzM0o6lqmCQyqwih283r - n3rkz052TxMzMhGUg7Hx5ZdT6JxzV4wQQmo7U+UU3/rab3nTa95JOfDsxTuzZgYZ+EIzmo1JCQyY - gMk4IAty0Lbe+dyXPrGlZ3LIAcQWYFzeQ16+jbsqsSkFBoPMgrBupGOf/5u7dYBOQYTAEBhFIkME - XUDrYSMoq7Eqafl8EKQ+Em7+rje/u7IRUyWdBVQ557OmrjnnXhyDTMAgtaxBJjJWVQYvPE/3Pafm - /5hfgA0wNoYwQgQbqI1vu+Obo46CVpfjT5qz0RSlvlm8kZHRWQsbAeisE9aIYB0s4rnu2Cee+P1/ - /8i/3go7VlXM4GBZZbCC3SkoLtwLaYpdV3XCGiTewDffym/+b9793qX2hpiHmq0OdW7zZTa0o4CC - ytRjhkXtv3+BTGe945kssobyZcc4SWiFRViNSnw7AJBxMI5SR6lYmyDDIMOg9eX4eHAvH2YGoAQE - zgau6jPrm7/z27/7oQ99GEDdVMQspgYu8d4Hvf0SB25mIYRy9aZpRISItre3m6ZJKZVU77quX+Zt - c84555xzzjnnnHPOOefc5WE+JrUQC312ADLPOrryFb6c25f2ikvvPsve1DiAgLCQshyA8Erv8Iu8 - 2NnnXKqjWTLCy4OnPz17gtDV8mR53iUAocziBMKsKzNd+c8j55xzzjnnnHPOOeecc84555xz7rIi - gADBAEAIGazgACJlCINQWsMERQ2gy9jMD/30Lx//J7929Cd+7vZj669t26ZqwyqJTpdyumm3ffqX - fhVdqiIZjKgCcSm8kD69m2GAAJtbSPma7e3rRClP48oAwxGOHT366KOHAwVNnKVqGgEAJsDASmRE - AJXOzhdWQmCmFalt72BjF6DrX3Njt7MLoESMR0MEDKWHtu7v1cClbKE/ixhZkGSYUlTknR1NGU09 - Ob0+JLKcARDBCFlL3UmpJDKYASAiU0XO6Jtca5/WrVdaxxXTecB26Q/XH5YKlkwUzdKqxYi6euOP - //gpMRgjVB0Zrr/28J2vH1cEoDLQrN936RFEAEMJs9oR0rNj1AUxzu6LQDktw3afeRraSWqXQrPS - qU53UBmyrFXDEhyfCQIEcJxd8aB2x7sVhypEMwIFiOLQym4VMwMga4aoq0Ove/0k1J0J+MC3rzkv - V7HeHuPYCbRpd2ujikSTrQc/8hFsbYJYCIkMxACqKj5/sLlzzjnnnHPOOeecc84555xzzrnzsxiF - RgayhVHYvSYTADiJIoaNfGoy3PzDT//us+PHd2msNRLBOAipUSZWkJmZGOECxowvJ6xM2jcYAQHc - KScjUyOuWBShwq5sbOK5P73395/cfCBX2xLactWy7dzXXSzsRsoL5zjnLpzZlVEy4k9155xzzr0a - GcFKZTpx+QsgmwLKEaJtyi1lHmBpJGvf+81/7zp+rU2ZiCaKqU2NUFchGIKWUmdVlCr8A68JAblD - HUM7nsbIbc5ZFRHUpLsf+cSxna90YSokRH0kqdnBa6udc84BAJiM2AANaKru0Le/9d3XDo7IBGRQ - gnA2ymQIBgWkzCM6b0qcVQCqmCBQAxgChBi16b7w+D1btt6GMUViIjOIXWkTky4tAxQMIBAzSMw6 - Shty4m9OPCyE1KEZkBl2JwKioGC5wJ96bSFnNyjqNLp1+Pp33fntOVGCxljnrACI/P3XuQMIIIgC - EIiF3OnEzGZ50mr0PC+Ai5+ldXYBAkxBZMEQps0bb34bp0h24GjeS8C4fCPot26W281kHDTOF9II - IMMskJJmHk/rU5978uN/9LmPbsX1VOskpeGwno6zGtqEegRRKPqdowRlAIjCg275BrvlPT/4D4eT - Q9V0ealeUyETrWP1Cu6H50dipEowsPbd44FZ4/jZziHaiz9XJRViIQixEGkZCoOyKZcMb6WgXFrA - X7Lu8+4VISJmRkTMrKqq+sADD/zUT/1vAEKgtk1mFmM9v/CF3UsIodwRgOl0Wk6srKzknKuqCiHk - nPWKm1XunHPOOeecc84555xzzrmXYJ5JzPtziMOVvxBesE3w5V+cWFabZ6fny3xWHF38HXg5LwTl - i9/7lvZN8gQbgvXPkVd8D1yEXfqCD6p5VDzbvt3inHPOOeecc84555xzzjnnnHPOuZdC9zfxsv4c - BmI/Ub2kJlOGZmozdtMTv/zrt+Vq7fTmTRwPd5NqfCZam7txHWGT3aHqSu7Q7SKniCjKYAJzacYs - fa+DAAFCuPvnfvYatdi1gGE8wc741COPrdZVNx4vxWCSc9tWDFENzIsxy2wly/lrW9w6IiICEUyF - O4ER1LZPn14aDf7i53+2v+ysE44tXp9Qimj2FSxkAQFdO0h5EKsY68CEySTtjitDghFbCACQDcx9 - KxX7/9m792hZrvo+8N/fb+9dVd19Hvcl9JYAISFhDFbAYGPANjbIdkhmEhxn1vIka8aZODEzXjOZ - seNZMyvjZDJ+rPE4MZ4YsuKxEzuJk8z4EYONjY0JIIQBm7dAgF7ocSVd3dd59KOq9t6/3/xR1X36 - 3HslOBeJe47u77NKR3379Kmuqq7urqq99++7NA8SRcqL2XK39c8bZL2ve0nMI7sBnDPyXAVUkHPU - qLTwYMbKyvawajxDhIoBiPyLXhAHRZvVda8tQedFaZbX+oKvdBb4wieBcyQ5kuRCdHrqDMZjVw3Q - ttzWq94hZ+QIyVDQPKj+a7EyHKl0ZS64FcVggMI/NptE57MI+SLViW64XoajpHoRL54AHuqns/zl - h+D9aDTIzXStcMPpBOwQGwHIeQUkxq9tVYwxxhhjjDHGGGOMMcYYY4wxxnRk3glh0cq7KO/f9XcQ - JcmEFBIfk/d/5g8/cf+HYznLgGr3WFKWDFWFKgRgT0/R3H1QMOBZfVcyhdBnDAmloihm2zIqnCTA - ay7qDXr8dz70H5piK7tZJs3w0pcqSedkdSvpopfEJVw3Y8zXjb/UC2CMMcaYXbosKO3K2DGrKjPp - vu6qfPAoGAohVgAK0LwLPEM0C1owu+6BUQsd3rRy26tu+o4/ufc/bqWTPEALrAy52W6HND8Toz4L - vJvR3spXKgpA2+wKbjU6x11YoSDKsP39u377hW98+XpZptQycyJVZLfHZzDGGAMAJKpQzb4oU4xB - h7dc/fIbDr14sjneiqfDKupaHMmAC41AhSx7LqgqAMEhiSNVIAOOOYqCUjnUD3zqT97y6mvWqsNp - I2dPoQg524CTp6ME7720kUURKFftH73v93TYqmrlWFtxQCiRRAJ5FganPaWtE5FCU8JgyNvbsjLk - UVxdiUe//7t+sD4rPpRZMwk55wAlsuMxY/agS9h1ROypdc2JzSeqQbGdJotGB5mPTuzHihIwL2ad - ubuRSFkjQuAsUgquWbnxqsG1vi4uwfp8dZREdud2I6MMVW6jcw5AztkXfpaiK31EdIWO6YmP3v+H - 7/nU75zOZ8LqSk4tuUJiCh7KUCBmgJESRqPBdDoDYTjCdBODduW64qa/++b/sRofGuiqp7KNyq4U - SSAB7fPWHQKUFUyOFbFpR6PReDobDsomJWJWeIUDBYCFukHDKsjS1kVwJAoCk29TLaCiKDTZB/Rz - QQhhNpt574ko5+ycU1VV9WWRUkpJgFwWgzvvvPNHfuStABRIWQGoakqpu/H0T9GNHu8e6b3v/gqA - c46IiEhEVLV79u5X3vtzbhhjjDHGGGOMMcYYY4wx5vJBjHo2++dvf8eJk08WRRFzbtt2MBqm9mD3 - 9yCVm266CYBzLjYtg7quqosHyNJ4MtL9l+ctykxELCkzMzM3df39f/Utt912m+xOH39uRykTUfeq - de1rRDQYDFZHo2f9ebXvcwNVBxIFCFUofuvf/kbuihTTziP5gA/cxHx1Fpnx0v8fYGLGbDI9tLZ2 - 7dXXeOZUpxDCxZQ3NsYYY4wxxhhjjDHGGGOMMcYYY8wSBhQJcABB4QkJmLZpWHiPri0/5xydBzTj - ydm9b//lo03LacYhZa1dGR0JYvQARJzzcLmVCdCABpRB5LrW/aaNvgi1oiAUxIiCWXuVaCUtnCIK - wlA+f386e9bFJhA0Ze56RwgYEBUhpwQod/WyL7qzStdzZzKZjIoCUjNTSunw4cNP9fCl2wSwgF13 - s8v3VgltIxIhCnbY3nYqmmMFSFfejuAdRAAVZoYIEUfNqlR4xnSCK64EWCRSFqhCFLGVYv+WejiX - AoAQS7eBui4fBBcABSlK8gmCUKJMt/3Y3zv50z91OEfPXpqWV4br112TJ9vaRCKQgySo5gR1TM5D - WsBfIL2cFYEhdQoEFSXAdXfmHB95OKytgoOyrjTtA2/7hRf+ox9XFhIBmGgRys7zwut7rhfBxNAu - kt5BgeBe8w/+l9M//TO+DkgoQoX1anDlUXl4M7Wt2+PMPUFSXmW3dfz44bYRD3JCTRrljCZh1HcX - EsCFYH1njDHGGGOMMcYYY4wxxhhjzHMPEbr61dYaZr6eqM9UAwDvfdNExwwgq4TgFLlJmUIxc7P7 - Tn38vXe/c5vPZNLKuyZmZQgSE8ixCDNEvAAZdIH27oOCFFAmMCgxIAwlKAkgbZJB6WUmoet24uM2 - Nu49/dk7P/2e77j1+wbVKtccmzgoHChLSsSDPgedAGSQ7L/SKsbsd0QUY1+C6SsmROwrFjhhjDHG - mMsQo+tkjp2w7a7cpJIIgVWgTAAr+xyG8chrbn3D3cc/PsvjWZ6EAWZbslaya4QUygAB3R/2p2p7 - WRSFUwb6/G/WPpdbCYnbJ7aOf+QLH3jDS97s2tXUiKt8zCJwfJAOOI0xZr/wgSaTxhcFUWibXFTD - 73vN99/7m/esHknHz24evcI3sxSn7aAoJ7EBg/tvia+WEgB2ClJRWnzLCICa6rsf+sQbvvmN5Wzl - yODKGJuY8z4PVr3kco7ee2mkGBVjGX/51H33nbgnVy0DEE9oleaDo4RJWWhvL5iqsiNfYDaT1XWK - 2zqcDb7zZW8aYb2kgRCJCpOqQODsWqkxe9Kk6Aon0irr2emZqO10OuUVnxH7d+6u6tz9AEKWvp0j - E1wWBgcexliTIuTw0htvX3VXSAIzZ82XZsUuRPuBmgISJV1uWxn4SpNqRsq5LEs4NO2sHJTTXLe+ - odHk0w9++F0f/bfbxcbw8Gi7aZzzpAqAFVn7mYMQCl83DTExad7GWq6uLZ7/g9/9Q6tytMKqpwIK - VYaKoBvuKxcxGvNZogSBAFC4bqgvqXbnTRqlKCs4tHUeVSsbW2dXDq1vT7dDcEA/0lS7Uy1NTrUc - lkiR2cemUc8hFABSzrxvVtZ8Lcbj8fr6etu2yznc3vvpbEbk1tfXp9PpZz7zmbe+9b+dTltm5D3W - +O+yuouiaNsWQEqJiLrQ7uWmnZz30ceLMcYYY4wxxhhjjDHGGGMuLSJaX1295ZZbbrrpptFolFWa - pimKAnLAe+8xPDsGJGXPjplFpE0xhIClplzS/Rt9rap9lLLCEw+rgXPu21/3+nOGwu3b5X+miAhR - n7yec3bOhRAkPevR8qRYdGFl7XvvfMfrXi/0XItOX44hB/q1FmJAkuSqqmKMOabRoNIszFwURdM0 - NiTTGGOMMcYYY4wxxhhjjDHGGGOM+dqIg3gAYAhSUhSuLHwGPAOiEHXI2B5Dw33/4p8fHk8GTfTS - hJHDbII4AzM2JvAeR46pJtJYKD75C2+7/X/4n7A6DAFQKKEowizF4MP8aeXBX/6VtbYNLIgJzmMy - PfvgQzwes1PSpZImO5Ws+3v31E1iuWdBX7iW4EMxOnoIk22slKP1tSdn9cv/zo8siqNlWqqBQ0/d - NaEImKZ73/FLV3rv29yt1PSxE05lsYTdJuT+2eczJe2WxKkgJqSUmMuq8gRkgQgcFJn6GRwE2teX - 06UOUUr96jLgwApQIAziqTJQ6w5ndlSg3hzcesvksUcn9cYA4ARSATExiQpnEOGpir+xnlv3hiAs - krbHYTzGkWOxbr1zZS4wm1LV73i8WMJ+vxIQ9radl5aHuv88I7gzoqPVtRRnSoBXd2gtPcq+CNru - rX+RKgjgnH1sm0ceLl/yYuRUBcfq7v2n/+Tmn/xJ1pbJd7uVKuiA7CPGGGOMMcYYY4wxxhhjjDHG - GLN/kWAnCpdizFB2zoEpt/WsbZ2HG/A4bdTl9m///m9Oiq0cMhHSNFdlaCV2dQ8UTPCCpCSgLg7i - ACMIK0gZJF2XDSEo4CBO4IVJWUkyS3RtU0w+9Nn33vr8l16zcpSJq9LH3EqeVVUZk/C8ed4Yc7mx - ji3GGGOMucwok/bZnkIQEpACwlBAhERJMmfhrCSk4rOv4sqNay963cu/288GQyrTBKWHnhsUJrsD - CPeg69eeGUrCCicQQmYIoaHp+z77e0/Kgy3NykHVxBl7XPQTGWPM5U1TaovC50QgX1Q+zuJNh297 - 3a13tJtYG6DdSgVAROIoJXi/x7kTlBgAqbBCqB9yBADsa2lP1o9+5Asf0JU8lrGrWJHt8/wrcBBJ - zlEjU11rPvT5923K2cgtqQZhVsZOhVxmpb1e4kgJhQ/tVEehiK2GXF1fvPD1t3730K06YlIAIqTa - xXarXT8xZm+6+uCCtDE723CdVPgrt0D07+vuaJ0UpIToSnFcFy+76ZWuHZDur9zuHomeV8KelWMT - Y5NWV9eLopg1U6GkXiIiAueq/tijf/zvPvSOjeJsW+h0Ni58mJ8XiACUC5IC3TmLSIwSClei9Fvl - dXrTf/2GH7l55RsqrLIL2Wlyqpr7cbm8D1t6+LyPaGHAETdN9K4EcZPqcqWYpq3BivNMgeAJnsVx - YpfZZ/Ipx2Y2njmUBY0KDIMOOAVpDn65dwNgHq09nU6990VRMHNKqa7rwWAUfDkZzx584KEf+qG/ - 1TSNAsSLA7Xz964LSymFENq2JaKVlRUAqppSijF67wF0kQbM7Jzr4sONMcYYY4wxxhhjjDHGGHOZ - izGmlKoijAaVZ2IgOAcRz3Sgp8KHriEm59xFPgMoy/JSb++vFoO66GgHUpGUEqtWRRGIApGbT2E+ - XfIN/qy9ji449kzBcRl8GTxDc2yf7cBsOqfUL8CqpMqOmC+w/Q/0S7DYqbp/OibHFAiB2CtBxDMX - 3qU2xqbVlOu6fna3vjHGGGOMMcYYY4wxxhhjjDHGGHMZICCASDLqCLAvXAYUmMYYIUgN4gx1i5ru - /sc/vbJxep1SqbMwGmDjNDY3ceLUxns/cPJ9H073PIytKYEgaa1uXnB6himjUWQgQRMytPDBAZwB - zSCKJ0+vAZoiSJBSevhRN9467IOTRXmT3vI/6WuuHhSE5zwAACAASURBVCOKTD4PKhxdS21z9vSZ - YmUEVYChnAgJIMAp+oHVBEUX2My7iq0QAGk3N4dQSEZWqGrTLC8hKVxfD2GnAIRqV+NBvAimU2Qp - qyrNZiT6oZ/7vxAj2Cn0KcZ1H5jiOTJ/4UjhAQLDM8pw29//e2fL4FwJ9ShLrK245x1LQx8J0G5j - qzASScrgCw5tnxelOb/KOUMe+/KXUVbY3CpXR+xVpQUHdMPZCQ5wX+OKLT1rvxzOwRc3//jf3wSp - FlkVwa3edgtXg1mbdI/1eRQgIgUo5zNffhCxhQhnhCxDUUhkychCirppv+aVMcYYY4wxxhhjjDHG - GGOMMcYYc27bswp5X2RFHVsh8SWTk1q2dH32J5/4vQfP3j9xdSuZHLOAYnZdFJt6qIdSF/3QB0Ac - YMJIhMTKUA9l7bpOAOhSvLUL9oYCmdH65lR64l0f/K2Z38QwTfOUC1dVZdu2wDxWQhk7vScOTP8H - Y/aDPhjlANpjFpkxxhhjzHMBQ7tzH8U8Y5VAILBypsWZVfdboIVs06tu+ba7H/rUp058tHTNYKVs - Jg0TCAxIX38Q6E7MLrYzvQBgFQKUkAHnXcztRn7iPR//jz/4bf/dZLqlQUWIrXuyMcZclKxSFoOm - BhHA6sk3p9Ibv/nNn3zkYxt4ZNycdYArija33uMiTvOlG9JEsvgiEeruJnIaDtFdn3n/t9/6vSvE - a3DOOeSDeinh64OZRZKy1m726Pi+Tz/00fJQsS3jAkzKCpb5F66SCO1tXBABziG2bVWE3HLhR2Vc - veM1/9lRdxW3XlWRpRs6RACRI7ACdsHUmK+OsPdtbELBEenk1onsU/BeJM1H8EnXPEMKgFn7t5ZQ - 31KxeD9r0orKAfyx4VXXHHq+TFAVlcREz3at8a8BzdeAtPsc06aZZU1cASUkx4amOaS7H/3zf/PH - v9Ksj3OBNqOsuIlT4kLBpB4KVhaIAqyAUCBPjSvi8Ahf+de+/b+65dDL8pZj54SyECvEkToQk985 - m9k/lJUA7dLbBQSoAHDON010nsCY5bZYcW2ejvN42mwLSSYWhpAAwgovHHKlkUf+sAjnKNImLqiq - qiTxUq+heQYURdGlaDvnptNpWZaDwYDZb09m3vsTJ0787b/9w2fObGEe8n0RT0FEAJxz4/GYiKqq - quu6C/AmorquiUhEiKgL8zbGGGOMMcYYY4wxxhhjzGWuKAoRAboCtg0RBedIIflgdx6o2ykRlaFw - zknKXVuJc7v6XezjJlmASVWFiJlVJOcsInAs0G6UYNdkq/Mxdgd86ODTIIiqqiiICKr9q/Ysr2/X - 1i/znjQ792dZ9J19Lm3/bvn7jmT9KhOA4F2KEQAze+dcKEi0zemgr68xxhhjjDHGGGOMMcYYY4wx - xhizL2QFMYIDQQRdQZHVELwmENDI/b/ya+6RR29MMqBMszGzx4lHENvp5z+3+dADK/A6k7PtQ1e8 - 4nYQ5dnYHT52qKFfveOOH7rrTugIBCYQqJF6oKUnwmSClI6VJZ2dZBLvCHV76ov3jaYzzk1Xmmxe - smyXrsPGPAabv5qyJN2QZ8wr2AoI3tegQ0cOT2az0XC0MihPiSCUIA/iRX+M+d8v9dpQ8LzAQb90 - bXvlkfXmkeNDF+ADmtn2qVMrS908+Kn7BRHUqWI8BTuAHPGoLFZ9BSJIhqNnvWPKM4h2LWu39Xa9 - NgoQEth7D0J9+NDZ41uHRTGo0G5Xt7zo9GOP+igllEFZRVQAZCAwKO+aDfr6Qsx9XW+AdjLCnWLN - F3j8BK65Wsbb2TnK9Ac/87Pf+7/9JIp+yXinv5ZczDae9xrSbj4EEMM7OLcdyhUOcWNcUCZP69c/ - f2P7816T30sPuG4fZ2SHLBtncPI0jh5FEhdQqr77H/3D7/s/fgrsFbGqiqgIB2c3McYYY4wxxhhj - jDHGGGOMMcaY/UpBMg+WZueCEpoYycF5VmqJJfv6wbNffO/H31UcDa1QFHiBcxARMADug9RIQQe7 - TEqPQNplHDDAUIYKKGG5NwPJouaDsORB/OKJz3/yvo+84qbvKItKqZhM25WqTBkCYfRdMhTcJc/t - 51IrxuxDBzS92yIojDHGGHP56vo6E4S6PuXqMuui67NgESgonHmUDr/5Vd//2DsfO5t9O91mhwQA - QsqAOEFmdDGEez2XUhJZnMZ1f65QQhaBT40ff/zBD9967Te94obXhXaIeCAPOo0xZh8g74qUBGCG - TmaT1fXRbOYKuDte8Zd/832/emSNJnEzlTmreE+xVb+3MOhuOIwAEAYrMnXXIqGa2FN29Znm8Q98 - 9r1/6ZX/Rb05HumKHqAhSZeCpsyBam1kGP/oA+/cDqczIlGX2Nt/dZKCFUIChu7xmq9jEJjIafS+ - Gb7i+d/2ihd9KzY8iEWFAM8MAimpEtReLmP2QDVHiY6CK+iB4/dHToCKiLoLVuVmJ6KEzMiL3yoA - ECRQ6WfF7S99dUVrDgUzZ9Z9VyZe+YLNTkRUVWEym6IQV/E4bWQfdRg/+/DHfv0P/p98mBtAiZWl - aaQokLSFemgJBWjGJN0F50AlKWGsq3z0B9/0Iy8+8vK84Yd+pZZWSYgcAY4EQFZPYEU+f2EuGV0M - MF58rQpIoBBoWVVNG1vXFuvFmeZxv5b/6MO/+4F7/jCGWSbOBCVxwj67kAblbOUv3Pytb3zl4Mjw - 6qKokDlLTJKdfUA/J+Sciagsyy4cwns/mUwALqvhmTNnf/iH/85DDx0nmtfExzlHaQLMW0ef4vMh - hNC2LYAu9tt7P5vN+nkx55xTSqqac2be4yGgMcYYY4wxxhhjjDHGGGOeoyTlru2AmQEiEIOy5EXx - 3AOqLEsApMg5E1A4n6FdY82lXrSvlkBZocxMjphVFcyqfeFaNy9B26/PgVmtvely5YmoG8ikqkTE - zN39z6oLpncT0WKb03zq/3GgUf+DdKnNuy+2TeQYQNu2ROSIc87s3SVaUGOMMcYYY4wxxhhjjDHG - GGOMMea5QhnCYIZDTNF7X0Db1HgmtBHT2Wd/7m1HtyZXExNprrcdA7lBPZn92aenjx1fgQaXR8Ph - KWnjffeFm250h9ebyaZQ9V1v/i6UWQtQAhQBcOwdMmY1FJ/5J794xdlN7z18xmSMx0/xmTNBMoMV - KmCmrlgZsEjs3imTvfe1nI+aFqa2KEbPuxpFUZZlIjlTz2Yr6+AA7zLDAYAQuCs/reizuqEMBbnl - Yfzg4McbG0c9ETvUNXKO4ynprjoPi3IN88Rn9DVyJHtC3tp2xHFSh8BnT56urltDHTEoPJYKq+23 - gg/n4L4G+aIbR7fKjqAQEPfLryACmLC28o0/+qOP/MP/c4XUty0lxRXHVm64YfKFB33KlWckEYFz - oAzkfoN3W7Ub5a4EWipGrDvdS8Cq2BrjzAauuYoHpUxma+ura0WAKkQhEBaAnQLaLfFFbtyuxoSb - LwA5j+HwFNNKHa8cjdBsoQi46nn1/Q+UzdTvoSQFMwiAEpDSKLR46Ms4fAzkctsOR6Nb1tfQRFQD - CmEymVWjwcUtvzHGGGOMMcYYY4wxxhhjjDHGXPak7wBwXuSBEiQrEZWVj2imzTQUmsPs3e/7rXaw - NZtFV7nSF1laJYQCyEziu84FRC0gXRTavst32CsCACHReTC5kz4hQgnCosqZIAQnIMVUp9Whlff9 - +e+/+IW3Of+88VQHXEILIAMCJBALPKvMkxSMMc99lkJhjDHGmMsLAaxMygQhJEJiCCuou1NJiaQr - Gzjvb+4KIqCYVTcMb37jy763mAx9KgFODskhM6BMgLuoM0wh5PlTdeeoeX6A1mpKPk61aQeTd3/s - d06n40nbwCWrHcIZY8zeKWfl3AeIShmK2KTSVTrB7de9+vbrv7U5rZ4q1cwOKe45t3tOFqOVSNGV - bHWO2iRtimHVffQLHzzVHudCck7P1Jo9h8XchhV+aOOBP3/gI1jJ42binAMJSJRECawgILNkTrKn - 6G6FRBTON3UsUF0Zrn/j7W/2zcBpwcrd5NWxEIRUVfb7uDFj9pekEsqgJELy4KMPZk4i4r2/4IO7 - 8takyNQfCS9abhwJt7JCh2+/5Vs4+6Ko6rr+eq3E3hDQj6nVfhgnAFVtUwyV8wO/1ZyNRRur9qP3 - 3vUfPvAv9eh0q90shqMUkTMQ5icFlKhvoekH7jphitnN6Chf+QNv+C9vPvayKh0uaDUnJmUPYhVW - AVQJAsqK/XfJd95E12ecSzdeNKVEjhEoO00u+lX+4mN3f/gL798enNwcntwcntgcndgantwcndga - PjmtTo392StfcEV1pIgaJ/UEUOfIHfiGPtPrhpd3EdqDwaAL2B6NRltbW29961vvuefeLrc7+JCz - PtXnydOIMRKRcw6Acy7GWBRFN59FmDcRhRAwzzkwxhhjjDHGGGOMMcYYY8xlzjnnvXfOdendRKRZ - NB/4doQYY9cok9qoKXdtKAcot5scd2WPc84ZKgRlEqgemDV4ZnRNWvNoeQBQ1a9PbrfOu7wK9ZMS - Lrft3/Um6otoMzEzOfZFuNTLZYwxxhhjjDHGGGOMMcYYY4wxxjwndEOAVeEdkQK5yBFtQh3v/+V/ - eeW0uQpEkzG2N5wIVLBx8viH7qQzm8fCoAAqothMSeLZ48dRDnRrsyiKQeUDKTRRnMFBCW3TOAAS - wYJZs7o9XSsGSoAqFJMvfWFFclV4YkJfjoyBXcHKpKD52PnO03ei6LroqOoit5uIsuOx6uqLb0Y5 - iE2rCb6s2kEJUtAigrqvP6Dz55NuSXTX8ggBjgvHxAQIUkaSAgDpopvHBakCRACcoh5PUTehKFBV - Rw4dasZTeA+I6AHpN0U7P7ub8741AhWCZIj2RQ/AgMI3bQKhOXJok9EQMBgBvH7LrW642iipkusq - PxAxIAJaqma+9IrP95D5/ZkAwAlW2cdTp1HXyMmvDOJ4zHWE9K9lX01c+8W9yOoJBAUyFgvDYEIo - v+XHf0zLYjabkQqaKa65hg8fynssR0HMolAFMqqUx48dx2QKLpwLzfa2PvEkplNIBiGE4L7y/Iwx - xhhjjDHGGGOMMcYYY4wxxjyN81rnlVPMrgjsXRtjyu1gZSCc73ngc/c8+hlez4I2xyY4JwRxSICQ - AOJUHFKXbN23dB/wiv5dG312IiRKQgqar1qX/pZYMgNgFpBCCkxocmL80Mfv+RAV2ReuKFfqqQIA - ZVAGBMoCrwRAzw9NN8Y89+y3HBdjjDHGmGcd9f2V5wUDVVnFdRF36qFeiQWsxACUBC6JxEpW3Ebx - 2lu/8xuvvX3gVpNwZLTzubB2PekvRmZkhlM4ReaugzuTMhWYiboBppg93jz43k+8u4k19trx2Rhj - TI9TdFDPTqDtIFRplmJsSqpWm0Pf84q/cmR4LWvBBFWQIPBFlFIVkGQHZRDgVABWMEjYAYA6PZNP - fvCTf5w1WtLoV+Q5ZE2baeODn3jf1E8mNHYFRFJ3UTezKIEUJFCSzHu7jskKR2jqthwUJZd3/IW/ - fF31Qm0IYIZjIiYiwGdHiVVwgEpUG7MfEKn3XkROnTk5rsdKIqQXfB+xghUs4K7BA32MtxArQTVD - 9RtufPlVqzeSspIkiRdoNLqkutBuVl4eSNnxhW/SrJG6lamEFH1z9wOfftcHf/t4fug0PzlYKdI0 - FlqQohwV0zSfG88czQCGghVOpWI/wvAHvvNvvuza19CsQPZuOJjExmnwmQvRoAqQgGW/bZ2Odrnd - hJ1cdhFScqhji8BU8Gbc3JSz7//4e7dlg8ixBoZzgAOY4EgZevTw+o3XXlciBHUlQqVMdcMp075c - aXNxmNk5V9f1bDY7cuTI5ubmT/zE//yxj30CwKAaEvs2ZVXtwrZ3EL6as/Euq4CZc84A2rZNKalq - 0zTdAyaTCYDuMc/0mhljjDHGGGOMMcYYY4wx5uBJKeWcc0yxaSVHaHakoXDoK+Ee1ImZvfdlWRZF - QUQ555zzohzwgUBEi/RuERGRrgFosZK7KyBf+m3+bExE2k3Lt1Xzs7rlu+rPshTgvVxvWi78R5d+ - W31t0xISkAgLSJwj50hVkwg5B6ascm47pjHGGGOMMcYYY4wxxhhjjDHGGGP2TgmJkBwL2BO3GjXO - AGB78qWf+vnyiw89j5zMtrHiUDJSwmMnnvjj961PplzPJLYlESAFk2+b+vjjOHGS/ICSYtrQ2c1P - /cLbETMkwaEsy9gmSESKD/zsz12bERyN6xp1xmTWnj5VUk5NPZEEMCvTYrC87hrd3MV6d7VQunjs - p0nvxlLcNhGBSJ1fu/EGXHEFNrcH1ZDbBMev/NG3YqUCwS1SolUAESD3HRp2ejV0z9b/OydmtONt - iKKqsDVuJzMFMiHThUtwd4tNrCCwoq2nYIZz6eyZyfbW844cRsqYzhzRVzek+9LrKlfQcqVd6ba7 - AJohEQLqa26TohiuYzh60X/zN5ujKw3Nt8ho5cg114kLSTIznMDN89bPebpFd5pz7lSCgAGEjPGp - 0/ABsdGtzSqEK1bW0PQFJvry6NTHbz9lH5yvJC8y3ftF9GAghBoIIQDaFW449oLnZ9rDUHoFkkCZ - ABQEH3OabOPkKagihJUiXD9a/dzb/hlIs2rhfb8cxhhjjDHGGGOMMcYYY4wxxhhjLsb5TcYMgIic - cwDq2GZNoaCt8dm7PnanDrEdt4ZDDkg5tkRAwEwhDFAiagmJFQCcgAV8wNtzBX2vDHFJuQW1TsQJ - ACjQOrSOuwcEYacQRvSJV+P7P/LuOm6Vw8Fk3BZhREKsAkqgrPPeEE/f2cMYcw5VPVjVihYsgsIY - Y4wxl53ls53utFCXzj5JQcrz00VWkjrWRVHkaTpSXhHq0Zu//a+GphrQiMUvZgNwnzu4OCakpWnx - bMTdg3eefXdX+67bdj8DgvdICWBt/PRPP/+BL0++sB1OZ84AhERIugfvPJXumv9B6exuvga7jucX - Izdo+bfKXQf9bmjHxdkZOgKcc7FGCEqqJPOFsVOMS27xEiyKh/J8OMl55UT3ZGf40HkzufCute92 - hhDKGJP3PqvUk+nKcJWZKfNI165ff8F3vuJ7dOJdYhYMBr6OEYtMWQUroxsVwzs1Z1mZ1Xe/IhWC - kALab2UldNu8aTAaImek1LqB3vWZ9z/ePhiHU1AmZQBCkhmZGPCAp268VrfQJBfY4AfTfGWRWYQE - 539PAovdRji3fpoHzf2Pf/6TX/qzMHIxaVlyFhVC5l3z3F0LeNdXYTf3/qt26RuZlAvyQYJryxdd - +ZJvvvk1K3pEczdSDCTd8QFDmRXEuGwTPEl3vUCL4xy94DdO70J7bL8bEyn1DzjgO/b8W/W8777u - PXvedN7HJAMsxE/5t0vovJ8X+JNn2fJbVQhC3E3nvePm60su5nZK2w88eR+FHKUl1pTa7pik23+W - N4rCC5hU3M5oVYYyKVcYftOLX4XaBy1zTN77r0Okbvf6yjkDDi/wAnH3RdGNUyXAiXNKTrsmFtmu - x9X6iEo/lhmtyWce/rPf+uC/OYXj4QhiQJOmyAlA8MXGZuurnbcVK0gKkooUPhd+q3rL6//GbVfe - XtarFQaqWrd1ORx0O6FqX36diMiBmS/mkG951b7SHjU/E5GnObxc7LEEzN/1y1fPWQnknVLK2kZM - tGw+8cWPfP6xT2NFEmVVIlHKgEIVGVmVbzz24qtXbpBp4pSCU9GUUyxDsfe1NfsRM3dB2t57AMPh - yubm9v/6D37yPe/5k6LwAKazqaqWZQmge8yedF/xQJ/M3c2ha9epqqqLAx+NRl289zO5YsYYY4wx - xhhjjDHGGGOMObCIyM91Y9iSiqrSAeecyzHVdZ1SUu56qew0pvA+7PGzW9u23avAzOS4+7mzdkRu - fht8gdV/zmBmIpK5xZ1ftxfinGGH3QI8h7c/mLr3CxGBKMYIwDnHzAyIiKqyd1+37W+MMcYYY4wx - xhhjjDHGGGOMMcY8k3QpUBpYrhqhX2l6qvmd/++dx5/z9+fNpcmaAREhSAElZWxMvvgL//fVdXNd - Ueh0y3ugbjCb4uSZE3d++FArRZ0KxwTNqgBSzAPHR9jJfffPqwK4Y+Xg0KTGeApRhQIIwYMdEgZZ - fdvWs+3VYYWc6y/dz7F1rAkYDYaZ0DpMgtsOYRLcJLitwm0Wbrtwk+BqTy2TEAmhKz/W1UXRp87w - VlAkapyrg58WYfANLwYDa+tx1uZisBkZRQVVMHS51oM+Xc8QB/jUADk3dXHoEEiREqbTYVl2M2D9 - SrXIBAByTDh5ErHxw+FoUEyffAwSUQ1U+kURLBcZ2HfjsnWpvtJS9Z7F75e2wfxmmwXeYX31UYnV - 6hqiwHm4iq+7Pg2HLbR/bFYGM7tz91hSBmh3MWKeZ66zAtp6STi7gaQ0XPWgfOIEJKNLEO+6a+3s - LRfR/4QXafIOmJd3gAYPz+7o0W3n4UoIw4Gvu6plTtzvov1GO6cIHiEvHkAiSMzEDBcgGaVQfeok - mhnaaWxnurl5FIw6um4LzOsG9T9119tfcf5Hzb7bhYwxxhhjjDHGGGOMMcYYY4wx5tKZx41p10mA - FFDiEMqmiSml0WgQnDu9+eS9Z770pTP35NAqYzqryzJkySogdq6bx3nRbKTn5RfQhaa55QiYC047 - z7JrtueVLXmK+QO7Ut6UeNecd/92Z6nO62ZCAMv8L3bnJpCnRpqZTlIx/eg9Hzwze6walUDfJr7U - XN2FYpz7XMaY56R9XljJGGOMubwQ0fn/VLVj82eSzqPmoMxKAClRZk4OmUVJCOJFuI86FiEGOSLP - 7FObQhocC1f99Tf9DT8Ow1yEDFXNqil7aBXgnQDnFSXsz+760zqv86hvApyApc95FQL1J34CSIBD - RMEutdkXflZu/+s//aWH/d2xapIiSuMrpyQiUriCI5wwK0ODIHRBg6zCetFhzebAmO82UJK8uCQA - gijAzB4iDg4M2fPHiSiLEljQ7dtCkC7WUcgRkSiRZhYwKTGplZ68tFh2TvEUFEEZylBP6CKrWRGJ - VBXET5W3/bSWRz7oIsZbiNBFHBIRSFQzdU+zz4ikEEKbwOy4dDHNnLLXICmgLV77Da//xqu/qZqN - VlyYtsmVJAIIeZBThhA5L55rRXJQAis7KXwOTgoGQCAFiScBFLm7KkeJIN6jqeEZOasit+X0Nz7y - K5uHnog6rbhQ5EwSiSIxceVQOmGf2QkTBBSFIoCDfv5Oyk6YlIUluZycCgkrnMAJgvciAufJhTaL - kEhI9XBrozjxzg/+f1LMpG2GBZpWOCCzCJik4FxAuy/znUh1BUM9K/fjigAwBGDP5JAyVBE4aHLU - umE7upZf+Fde+4PcDppaAleOvCJ3l0YFWUjgwJRV0uU22icrefLIEsCqysyS1VE/NiwzhM65YN3F - qIt0u+o8lj6JEpEiM0MymAoAB/3a83zAZPepy10YfLcnAgpk6d+5GcikSqqsQvNdSIgFLPM59PnQ - JKRKyIRIyAT0JZ+1P2ic/5yXgkb3CaxABvRZTe+mnaNK6dZdiBVO4ZQKgidypKzIqiKUgewUWRo9 - 0n7sgbsmspVyCqUnIie+a5hZDDQlBeATGOQQUSicAAkhDHKkIMW1x2649qqbA9YkIpBnyZD8rK7v - Iptc569O9+J2LyWpdhuclEkdSYC6cW6lZHYBUX0MPnvNWZxoRWfbcc2QAf35fX/6mx/4V4/Q59LR - jVoSA3CiXgGIoCggGfCIGQyPXAVd4VQicYjDv/6av/uqY286iuupdp69Y6Q4U7RKKbFkx8JOANUM - zYoI7MSrfxVTd9aj80nmP59yUlLhbnOI7sTY82KnXdpvBRCwJmmU1XnKKlmhzmWiJicO3Oo2FfUj - p77w3o+9K61NN3G64VYQAyEQ5YiyKGaKlPxrXnwHnhiOdBhIotYNNW5Q1TEf9K/Iy44QZKfAvaoq - MkhijKPRaNq0bZZqtJIE//infuZ3f/fdAJo29WNxNTfNDJCU2vlAXNk5Ln2a8f27L62ISEop5z7z - HvPgjeUbxhhjjDHGGGOMMcYYY4wxAEQkqwhUlBQMcqKLlsMDO2UhIuccuXPHrZH2U+dpygdfQsF5 - Uix6wgm0S/KGKKlC+qkj0Eu/wZ/NCeAuL3vpnmcRad9PYnk/6T1Ht/+u1VHqJlVi9hDSLN1aM2je - Y9MYY4wxxhhjjDHGGGOMMcYYY4w5aBQQgQi0H7qrS5PsGtPbTxGIkNwXmzk3zbvLTj537K9CINLN - QAS5mxIgmlL3uBhz9zcDJlYJ3kEFsxaT9rF/9i+uOTtZaWZwUuepaIRzePD4qfffuTad+TZ7guSk - UGUkUUfwgqKebT7xCJotlAonbTNbjy2yIkNVoQmSkDwiKPjECToBt5g2zaMngigSAnxKmEG3C95Y - G8brr1556ctWXvlq3PZSeulL0wtu2jp0aKsabDEl55IKEZEP4nxmFxlCu7K3RZWcEwDeNxxmg+Hp - sjj62m/FkXUERYptGGyNjrzwv/8JSAFXtYQZ0AIKRldPbGdiUD/Ovt/IWdBG1LOCKaecCaCM2Zia - mRcEgdOdPOkOASrCgAMowQGkKABsbCALWNp2fAyTu3/+p5BA8MgMSILEpQJrus/Sl2l3FfC+wBIB - zGAGOIDD4iEEELxjsEcRXv1jP5YUaFvAgxyOPc9fdXUkVoV3AMBESRLQb3RSOJUg4kQZO0VFSOEU - QdDd6RjazHDyDLiSWijpDaRf+Nn/HalNWeY9uFKSWRYl+D3XTyAACEABIAsyunexSALTDX/rhzbX - Dj0pIVerCAWq4tD1V808t0TqGExKaBXwbt4ziAUcGd0OTIrAaFPMQEoovIt1Ozn+MJotuFSWzmUd - zOKDv/prmE6BGKmrB9J/gCzCuQXI3a2dMO+uUMD+2n+MMcYYY4wxxhhjjDHGGGOMOZ8qQgg553My - xYx5pnUBFp6UWZ0Tx8pdU7QqsaKs3GR7o/RBwWTF0gAAIABJREFUh/Lv/+xfj5+3mah1glBglhti - EAFt9tIlfvfNszLvxZF50UK905mBdWfqzB/ACtanCu2ex0wIdu4hR4K+NgvYdSXoGSABKxzgCQ4A - zZOOtM/yZuXu6TJxnj8FY+e3XVt2t4CLuijU58p1OXR9C74XcSqkkkkywRPnjBxQl+0ff/qdefVM - woZyTKyJWOGhjvreFMxKpJZ3YMxXi5nbtu2+GJn7986B+KK097kxxhhjLjs7ffqVu2m5o//yaWF/ - pzoRyZoADVSMcOgFh1/8nS+7I51MR8t1Tuo8lYMiicQ2E9xyHmV36tYfFZJg0elcefHb/oGExWle - J8dMigDvnBOolumJ+OV3fvj/3ZIzEqIfuFm9zQSoSlTHBZSFMO+lbC43hO6SBIBu15qf0qsqwXVJ - dXutzKn9HiX9BYI+IBxKwtpdoeiC8pA0A7Ck+P1gnt4t3TAGAEB3eYlB3ZCGiwrt7nWXpXYPeKDl - 30J1nqi6/64r8fxzXcDdDVZmdd65AiUm4S++/i2H/VVpy3t1OasP5DwAVlURSU0WkRCW5tfrNwhr - dxf3FyJpfj1Puu8GD0CQW64fnT541xff71dp2o7RhVgzQzTnnHOcb1MBFN2lQDrw40y6eNcupreL - dF3w3o83t4qiyJqaNPWFI3JNnNVhfNfd/+lsOkEDUU05IgS0GcICCKtjdaz9d24/Q2XSLqp7Pr6P - kDKKQDGKJlSlZ3ZJxLMfuXXdKv/z1/3AYb6yxFBbsFKX4qkkQv0QuPkn58He/hdBVYVAwAXLCuvS - d8pi46Mb2gf0kepgADsXx0gBfraLRH+9kWBx8NavNYSWRjvOL+wvfUMyz8er9QPXtE/pVuouznuF - 2/kIJekO8OQS74pLn3j9J5JSN1ZPuzro6JtAtNsLJIf86JkHHz79gLoYSkybmUBZuT9+6POee8Re - CAMPaRACM6Od1avFKs+qb3npG4o8ROKCC0nZOff1ueQ6P67u1325xWhxY/HeWF1dnc1ms9mMXWBm - R1y4IDnDIYW2Hmx89vE/+40/+rV2sO1WclTpZ0gAJVAiFSfsFLFBUTI5nwXSNmthpazXv/dVf+2b - rvm2Y/5G11RIrg/KZmbuUrch4O57ZvcXk8xvfMWfc4uPvJ33KT/F5ADCrhMf6feNbuq+apUFXsFR - oi+9SKrr2lNZ+iGik0aZkXwTw3gTJ377P/1GHTYneYsHpJzJu5xFk1YVbW+3pRseW7v+mvXnHyqe - V6EgqJIooGAlZ7XPD5buXSwiIqKqPBdCmE6nq6urIjLenr7jHe/49V//d0Xhn9nXt2u8Ye6P7pbz - vI0xxhhjjDHGGGOMMcYYY8xBd26YtHkWXCC0e+lXl6HLc62NMcYYY4wxxhhjjDHGGGOMMcY81xD3 - U49pXhLAQXYNNdd+tLnDIjn6vJntvl8X9y7/u6+R5KEM75rUZkEILqYMgAis0cUZ2gZNuvfnf7F8 - 8vSoqckpphuD4YDZtZ/81JnPfW415ZCy66pOz4e6d5xKwZzH2/HkSaQE5tFgwLPpvf/0bYjJCSAZ - Spg1d/7i24MKcjuqCmxvY2uSzm55olaRnd+ISY6sH3vJrde96Y1HX/963PoSPP+F66997fpLX3bk - la+85nvuuPIv/cUrXvPq6pab87ErHs9yRnXKbgo0oJYoOVLHSshAJmSi5MI20Vbwm2W49o47cPVV - aGsMRtPAmyE8WQSsriBUS5uqi0hndJnQ59QNWvxDBPX0c7/0dohy8G1O8ISmHnrfbZ+n7/Wx+K1T - 1GfOIiet69GgWiE96oA6QvpSQtpHsC8Hs+8vS2npi8pv3S0GmMC0lNutQO7qtfkSvtysa5QBpBIb - DAajK69MPmQgK3L/Muze/N2mg5yzbc/Z4ATFeIKkRAzRQY5rmgF1ngWocxZEz/AcUrqYoiL9+u1e - BuccNKMKTxK0WlUUOWWQhOuvaRw10CiKLtOcICJLM9s1a1J13dwAzRTgQtPgsUcQa41N4T01rT99 - BnUDTdQXfsc5RS148clAu5/muVWExhhjjDHGGGOMMcYYY4wxxhhjLtpTxD2xEqLEnCMkFyWLbz72 - ubvO4MlZMVZOXXz1IvdhubV6OQxCzo8guMAzAbsb3En5nKjsfuYC7np39GkmyAltqyBSgmjqKtDL - vFVaFTmjbdEkiAAEx8ze9bFxfYy3zON+dhZYASEBRGneUUHPbZFfrOM56w6CpOwZ4miGZoozn/jS - XTSMCbVSlydCi3CHPmbIGHMZ8Jd6AYwxxhhj9rsu3Euozi5ACW3xvJXnv+bmN5089cQnH/7Q6HCo - JU5lrB6OgyqgymCodOdifYguoTtdXU61zH1f/52o7+4clebPm7PknIko5xhCKYK77/vsn5R/8H2v - eksZAwkK//+zd+/Rkt1XfeC/e/9+51FV995+SGq9H5ax/ADZwia2wca2hgEC2BjWGkxgEpgAwyxi - IAwzi5WBZMJksmYSiJMBEiYTFpnFsALEIQ6Yl8EGjF/YyLKMbGPLsoxlqyWr1Y/b996qOuf8fnvv - +eN36vbtVstSS91yt7U/Lpf6vk5Vnfqdc+o89v5SVjOKxiFTr5xAPQDWBohC+WmdU+6Lbe8V/GZG - RApkTauITTp/FQ9nHjIoYbd7y1fcFw3pWd9nIhoTCsmIcL5iCm111Gk30XtPJcbFRgFwiZsnJSOg - HIkzNttZLDYOXhYbvv1lr//NP/7VapLnuimUhcCmTFVVgTSlhACYgYwVAGdCttMCaB/j5RuVrFkz - 40Cb2yfuuPsDLz54+zUbN2kWlhA0G8AkIBIKAMDJAFjDxso9SLhEsF+alDRXA4BKuBZWykZIrGyc - s61t7J/3O7ENgmXkifWhXZt+7IG/eP9H3j3XbeMsbAKMBzBRxvnuIGYyhQEWyYCy7bNTx4JjhGbj - hLaeaA6LfidOpK4rOcrf8NLXPff6L+edJmrkEAJELK1WlWrEeiqv91Kd80+amZTx/FSzto3s9GmY - mV3i2ws26KlPWTx+jwCrlXR3bCrANo4gBUDKBjIwQLa37o4NEA4wlrHYT4mEkYHdbetYnKZlbWsM - YxonHADYhRyiBlYwAKMBJIQUjMkCLKqxEmRVwhqMggaDJu55Kh/58N3b3SYmxJFTr3XNkPEFn74Z - UmAgU0XIkGwKQkBCl59z6G+88MZXNoumNoJmIiMiJbvQna8JGgwEsJUP6mUOqAGKUrZrJamajQHV - nX5GEZNKjEVzMFCOkW1Aoun8gw+8/df+6N/rfmx3m+trazn1mUTLeICyIQAQiLKwdp2iEQ25gdhO - /S23feern/XaA3q1DJQzYmiZoqrCoMJnXz5J2XT8PPBE7oHVBvHRzvZNO7WLM54fo1xOt62eDikB - Fm0MWee6qYahI/CkbmggmWsbJtV0dlKPd7y93Rz9lbf98uflgSPdw+sH2m7omGBmigAow6Coh8mX - 3/LiaTOD2iCqSkAwJhgzs0K+eKn27pwZKdhOe8eMVY2ZVHVnZ2c6Wfv13/yNN73p55kxDOdtl7ZE - huecm6bZ3t5W1fKd8zV955xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnLjlGkFNh - urzb2AOGsVPCWBi8qj03hBLnTavE4DM6SIzNEMZc5DKJ0oAhrL6P8i+FBM3EVtUGZJVYhaQCopoZ - OeHkzgM/928ve2RzX4wcOQ071azByZP2yU+evOdenNxRDlWoTASkZ/a2AETTlNv5Zx7af9V1qLVP - QxvbdkjoBpBhVkEVxJP5Im6erGOEAhrx2cNsMBhFWmSZXHn17LavwPVXw4CccXAd87me3OKNDWiG - DFgs8dzn4Mt01qdZlv4zn00PHcFiPiwXKaWoWpsRmGChrrdzXjC1hy6/6sabws03YraWNzfjZZfN - 58PJ2drJfe0LfuSH0BhqJNXAfGY+emmD8KgK6fEbMS535nWMOqSmaTCfI2UROaeGs2xYHDveqlLN - 8/l8Elv0imDIhjg2GGKsmi5c+rTkdgNhyDD6vfe973tf/YoapmngCvVVh5q1tXSsr8PYO4TOvYWX - GUDY3tpaNysNoEAIxBgGiFrgKgQGJ0l1QOQn2TzEAKLS8nwcJyJDqGqknZf96A9v/p8/b90OtYS2 - xtVX0WzNhm0CAWpGESZaep6P3UtKqxQ2wGAleJuYVAxahyoPsvWZBzZe8NxoBBNGiH2CEhYdTwlU - A6XH06pLu4GAMLYM2u2CxmUVQc+8lj7OOeecc84555xzzjnnnHPOOfeYSA2n4gNKN3cl4QpkVtV8 - tD/8gY+/F8gs537afu/1Bhr5VMqVGukqTG0VmmYclE+/GENL/otqphWwgWBsSjAyRS5P3lZBGgQK - VWVmrGMughFnUVUNgUFKNkZ4YHXOWhhKujfLfG9W9xNnhhjZ1MxEQ7jzox96xU2vbWIkNEGJjHeb - 5evqLPc5P4Zzz1R2vmLPnna+nDvnnHPOPQ4CiIwiG8GMpEdcTq9ubnzdV3/HtfVNNKeKkDK4AaqS - 4sgAyJis/ANA2VUsSoiyKkERFY95ZXtJEUuSmUFkOQ9VU1VTvPujb7vr/vcMsaumTTdfNFVNbNmy - MJTVWECiLEBWYiX/vPeMsuftXtW0lPT3ssdynnIudx9FAZgpkeWcPffuIvCFQiuJTVXHkPXzzQxE - JY53jO4WGNGFTlY9ZzSmOa9KwozLIbacltOmlc5kXr3o2V/zqtu+SU+EqayRAYxMpmbMXIVYGWgA - SySNAJSyMJRK0cppiwaA8l0YQoDAFIYAhXIkBHnoxOE/+9ifLKeLnpIFQx4iNJISi5EKq9Du86Sn - HJ78xWcEYVEyNmZF0LKVZGGAKau0k0ZyBxKqtNflPJ98z13vPLJ80KZ5qXNUhoCUsaowUkIu7yYb - SMvRUmZjRvnuOM/IEBm5RxMrgIchN7El4zS351z5wr/5im/j7SYsIydqApvkcEZNoLHShQ1Fvjgp - rQ7JryLnn/SkeJwCABhBIUpy6R5HG5EyhCEMKwPGqKTRkiFg92ZBQatFmMZoZNLdT4PlZjRWsAnB - iIVYiI1Ux5spmRLKP4TUykONeHW7sAxhfKnQYBqQg0mwHNiI1UiF1AgwJgkAUrXcHI7cfc+d9Sxk - SiJa16X0FnSWiGglS2bSJ2naashQxSy2Vd+85sWvbfqDtbQNhTykEIKImNlj5EyfH2wlW115fI9Q - ZrKBldhoPH1jpEainEAaFJPYQnRIHcWAGMRUoy346Ifu+5P/+Mf/rl8/PufN6cFpTkZSB61Z69XO - gpIpK4KBQXVNyy619STmycue9+pvvPXbDso1uqS+7xmIMZKZqgYis3EunHHPq8WL9hRCP879nt2W - x72n1QmqcOqe2VD2mU4VYlOpUTVAl8t55BApUqZJnO6frJPK1vy4NMstPvrb7/3Njz9y9wl7ZOPK - 6SJ1kYiIUhIOFarQ9diY1M2w8aKbvwrCgwySDVRxjLBoRpf8+uSZpyzFzEyrTYyqqqqZNc2kqSe/ - //u//9M//dNVRXpeA9lFJOccY9za2qqqqjwBPb+P4ZxzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnn3KWmVIafVnZbatmNx/BtNZiAyk2BVU8VAFAbC/MFpb0KMkwBhYH2NOwY/0GrHgkE - BBhx+TNAh6EjaM0WNKFfYtHf+wv/Zu34iQNIAYPkRUWKxTJ/5KOHP3hXs1zsrytTJY76GE1ZCIhi - 888cxlYHI5Bi2e0L1Z/9038CUyyXUMX2/PoQ962voe/QZ4BPPPhQa6g4JCLZvz677Stw7dVQIGW0 - 05QGnU0lVFCzYQAzZlMjQhUxm1nTNs9/wdqrvnbtlV978OVffdltt+173vPaZ9/c3nhjdcN1dvVV - +2/98mtuf9XBV78q3HwTDl5uyz4eurqfDzrbGC7b//wf/SGsV5hW2ZQjB6CCBpTWB9id7Wd/uQaI - Hjp0qOu61PWkBiLNmc6xHp+gw/Y2tneQ8mzfATbCkKECkhK3TKVNwapL+NPT8uKCImCQAbEC8X// - lv90tI7Hl4u4NkPqsLG2fvV1HQcQByrdV869Pt0QQMvtLeQ85tebEhmqSMRsyFkA1KGSlB/j3X2c - 6Z/+31HgCkSY1KjDVuqq6aTvE8Bo2n1XX41YhVApWNXMxj4VRlBWAMEQ9kzWsGocAwuM2qw7volH - jkEFJtO2bnL+8M++CRS4ZH0TU2kBtPusbPxib+a77f0F55xzzjnnnHPOOeecc84555x7ZmM7dT5a - yYwN0HLdBZFxNJEsof/I5z704Pb99SSanDX04TGVfIGSslD+ULGbi8FKDGNWsI03Mj39FLkC449C - CMwMQFUlac6q2SAGMzIwIfDq2hAly5b7nAYp3elLsoARLKKE8pRwg3HKY0S3AqtsoVXozDlfnLAK - URJJCODIDx1/8L4j9+SmB5QsrgIdFFCQltQh59wTZ3ZJ5oRc2tc5Oeecc85dcKQiycxAURGZueJQ - D3Gt37ihft4bbv+BdTkUh6apgYDBBiEt+64AAIbFsQKhTKz8f5XhaMRWdj73/MLutdNDkliBCBSY - K06a+ryUul9OH/ndP//1wzv3bw1z1G1Wo5iMFoDA2BCMAOqVexjDKv/I96WtjKUzD3CUkFA2I90N - 1SbD+Q3X3pvVnfMAMn0SpQXuwhoXf4MSkUCTJmU1xvnYfT2tcoYIYgZAVcexQRfheFDAQEJj7Cuv - Vro6a1rrA3fTDVz56hd987MPPJ8XVdQARqg4cxpyr5Yrpoo4GLPBWJVRbsCq6uysSwGxKgRGgQVJ - kUNFqVq+/7533vHZ98kEsapMB8pLBpmZcm+cDFERgAxKQIRVFzSq9kJbRWtDKRspwGxjwqxGnee5 - SGKENjTLbrs6aO+46/c//uBf5rYf6j6FlKC7w221Mc1A3puqG0z3FHeNB1gJ0AQCuKmWqSOytp6E - YXrV5KbXv+a74sm1DbpiinVOZqKmmbgchx2jlHcz0y/BAz5PlWo5Tn3qmPhT3IgQEaB2iR4/O5vx - cL2ZkQKqZCW7es+iWqoejWCVSWU5mLKpkgpripqi9uMt55AkJOXewhLcowxCGqvOjNTG0G7TsnYl - RYmrN2bj87qFP1M5LSGMsuSyccmZDmaBM3EGJSsJ0BSYGnDATO687y8+v3U4x0GQkoID5TyMs278 - 0LI7K5XZiAwcQmzNEBTUhedf96Jbr30JbTXVUAcNkflp2rKQMoxhJaZ69bkdCl69v2xEgIHUKAMW - Yy0pR0jNJt2QxPI0zCcn7zz89je/5/9ZViekEapl2e9QtLJBIGNDhEUjNoKxKikp1VzPYqj79qtv - ee23vPR7N/LlYcGTUNURgRU6pGFBlmKwQELIjHzGfTmLRGVgPJF74NETYShBz/b9zEhMPVNPSFxO - 2mkkrVlr1jpoDMrBNCAF9ERzoiWxVlzRAOuMxZZpe84n+FA6Gh74vTve8sFPv3+IOxZlmRahQebV - aTEOBs6KqPGGtWffsO+WYJGZQ4iBa0JlRipfMmuUZ5CSlr2b2w2AmZm5S9mY7rjjjh/90R8TsZTO - 81vLzFVVpZR2v5NzLid3nXPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeece8YKQAR2 - 21QJIGPzoj3NsBgG7aF9+YM9XWdo/KPSy1hKJf5unDcJgiEAZDBgAPpVEw8VBECRCULQaVvbzg6S - hJSx1MNv+r83HjxW5QVNaQgd6RKLRbrjL7c+fu8+sVrFNNVEkgejVbel0zEHGfp9neHwEcwXdQxN - jMPm5vUHNtAtUFU4ufh33/ithxb9sH0MtcIMmzvU97CBEXPgy170PNx4JYJCE+oWkocmLCKkitIl - qqawmISonSiHIWVa2weqwBX278ON1+PW5+Olt9Ervgqvfhnf/orqG27HV345brgGB/ZJOxk259Tu - k0779X2b67Of/8+/iVmLSdy2QWOU3c4Mu2/CE+grcezo0SrEtm1TPyBWw2IZ6NzqqYNhSoxjm5hM - 085Ckm600z/75/8HJAGwMXL9tNl8TtO/2JSBHI3AQM24bP+RaaNtK/OFSEJk3HRDP5kkYvCTb60V - iKUb0HcgKr3myAx9D1UGQgh5Tw3+U2IAIAQpzTK6BBFEzhuTZYWmadAnANVNN1lVZ4MCRCBaNfNZ - dTjhPWHeTGQAsoTy9ERroyrl+T2fQlJAuuV2qzIdOgwJ2wuwYmzIwQzW00fI3s7ueunnvjvnnHPO - Oeecc84555xzzjnn3HmnZEYqpErGxmQwJLGU0fVY3PGp982bTbEhnGMTd1YE4SAcdDdtJytrDpoZ - SizEikjGwTiqMlRC7uthqIahGlLMu7dMkknARgEciSsOVYwxkCIqgoIFERRBgTgQV4HryBwAUrUB - NoQoVQ1hlVAyIKBgBSupse6Gu42JMwbWmjWe6ylmM5S4cDB67ZY0v/PeD+S2B2lQ3g0+LzPcyC7K - iCXn3Hnml6o455xzzj0uM1NFVGMzC8RBKA5VnM++8oZXvOZFrwvztSojLQGAWEDZdtMWCUYM8Fn3 - rh59uf/pj4oYIxGGYVBYqFg1L3XR1zub9PCb3/H/HdMHZSJhrTm5s9m0XIL6YHFM7wbYiD3K7kvc - 3pF1xngqg1CTDEp63lMNxwkSzAxsSUoWr7t4jNmxu+sZIqhmkYRV/u55jHK3PcHwSUXJFHLepn4h - lflTxbizPZ/VG61Nq2HtQDz0La/89qvq622nlgXIUFUhwbIaEUEtKAhKplgVhBgeO7cbUFUtS02A - kQ7SKeUcu2P20Ds+9Lsn8udTNcQ6AhwokNppZSYkIGVjWLikd+EZiAo2GEEYJeF4jNa23LbNMGRm - NtK4zh/86/e8++Nv79qtVC17WsYJZ4UIYoQKgLG8h6GnH74s8cljhR4ZxkIgY4rU6yCsIYR0criC - r/m2r/nOmw+8wLbqJk9bTDQZw0Lgkie6Kq1iQG2c8jNOydjeLev6AvVderb1P43v0aP/UPfcLmHl - oxbKaoRUSY0UMJAQhKyMdOWxuDSTlZVGSfg2YROyzKZswgJSkAbTYFqJRtUzwq3H4Vzq3MiUdG/s - 9el54ReEshgZALJAFtkiGUBqJtDBNLMpg4gITDnI8eGROz7xPpvmnbRNAVUNXT3l8QyHgVfB2Eqq - BDXEuhqGgYFgVdPvf9WLvqHqm/WwFrSSAU3TpJRCCE/D59vTksX3jFcjlC0sGdOpX1MxHXKqY9XE - SjTxVE7Gh9597x++9S/+07w+qQ3EoKIGGWxp0cagd7DRWPSYGQaQcToh+9Llt137yte+7LsP0o0y - D1GrSMxkZmKaQ6C6CkSmkkqu+hn3wG4ZJD/hezAMZKfuoWx61ntAlcYEeiWVsYz5VMFvqZwkKEjL - UjCbrC0XixBCM61ODsf6dlsOdX+dPvG7d77lnR/7o6FaVNOQVJlRajtVUdcxWxLTpoLO8ZU3v2w2 - rJESoAZWhaqaGRMxM/kuzyWFmYnIVoiImUOo1tbW7viLO7//+38gBBaxsvUIHB5vek+UqvZ9D6Cu - 65RSzjnGOAzD+Zq+c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzlxwCgiGsUqJ3 - rVJ1I4hR2icjlNRuAYyxJ1Map3VEodX97i+o7naYGEO7y68QCJggNgA063ybQsDOEtvdR//Zm5qH - H7myqmfTyXL7WE2Zte/u+tDWJz/Z9mm9mXCGZItsMKGz9a8wQjZl2Fpo+k/dD1XKGYEPrk3y0aOA - IWcwffc3fSMt5nVTIUYo588fyamnUJ1I/dr1N+CWLzPLIEJdgbDd1oen1dpP/Pjn9+97aN/GQ3X1 - UKy3NjYOZzlet/36mgBKhEAIjBgRo8WgVcxNJW2dIdo0WjVKrHVTHTjQxbA1ax+etNf/8A//y/e+ - F0SKEEJjJR6bFLaaZbvzlnCW8vqx0woT0aydwFCFgJShZqLn1KKBDJUhPfgQuqGazhjB0nAgMHJf - 3jgGAmz3jb60W4eU8S/WxgqEnDpM6tt+5EcwnXHdhCrCBJcfqg9d2RMlBZ5svyYiIhXMl1Bj5tLS - BaHCcglDJEDNQByebHH9qRYkp5ZKzUA7QTNB5Jt/6AdPSkKMaBpQwOVXVOv7OoUYMTPTqT/fu1gr - QQlg4tI3iQiAaibT1jB/+Ah25mCatrGS4QDHj/zCLyJWMAgABQRkY/uJM1ujlQbrq38655xzzjnn - nHPOOeecc84555zbS8fwi/FLMqhlqvOR+eG/PnHP0Cy7vKyq6hynymyRbTcAW0GqlK1kDYBLvJpR - +RGwipU5dSuTMZjBrGQtRLMA4Zw1DxYlsAROTJkxBEoxaIxWWSYTRKMKCAwQVFSzMIENSlCGlFs5 - Tw2QIZQUcEVQJgB0btc/ADADM2LFxNJJp5X81YN3n9RjSlIyGsiYYSBVjzpw7hztDcI776F4F1T8 - Yj8B55xzzrmLHUcSMWI2I1UJJNBMqrO4Pt+cv+bW1z28eeSjx943dA9RhBCMoaYw3Y3OxZ5I17K3 - tcoB1d2qg707eGU/sKogkpWRFSq5qgIRmaGXzHH+mZ2//K0//5Xv/q/eeHJr64p9l6dBAmUDxCqz - xkjJmGBsoo+XEe6+FKnAjE2g2eRU8Or53lVRGqNz1TIAMYs+2C4KjD3J2UYGKJGZiWou6yK1J1e3 - 8Jj1MruFJWa6ely90FGyT1o53keGcuxPLQBIfVqbrZ/Y2Wxn0xdcc+uRF3798mPLw/39hi6sgQ2q - UCIxjZwZKuUl74nPhT26VoQBmBkzjNTMyqMSa9aeJ/SZ43/13o//wStv/rob6luC1AZmkFkca87I - YAoEGDEYdGkEop8VGVeqABIDpEJjWGxQUAwpDevt+nzYnuv2Fh75jT/7f09Oj2DSixqTJS1HQ2FC - oYzocaLlP7pKUFbQqU0eG4KWI60EooWe8QWhAAAgAElEQVTlug7U08H6ytuf8w2vfNZ/PTxI+6eX - D/Mucgglc5hZRQ0BxgCfeitLNPXFOp4vEIVZCVQmqBnTF1ppGOnekjo++8rCsEoEP/9P92lmfOpV - kimZQQABiAxMIAP2vEwGjEhplbpNWvKqS2pyMCbjoCEKs3FQUkKKIqeVKY7J0eNXZKuV+Z4SuAtI - QRkAa2RjUoapcDYys0xAJDIQwQSSYAuav//j7/3c5n26kXKSKoIJqqgjQRQAG5upUXlBakAGlBFo - kMEa5Znu/8pnv/rZV9xq27mCMrOqZoXhaVkVGpf0ay0nhzCubQy7EdGM8umdaFz/BKjQYtFRQD2L - W/T5D3zmrb/zof/88HBkcnCSh3kbw2KZQkSzXm2d7OtQzkupsZYFDcZRuFG+vDr0/AOv/PaXfM8h - uZYWUSyhoi4NpAIgMIcQYBARUnuMd5/HXQxj0BO7f/Qi+1ifGo2UOBMLj/svJby8PBG23ekwMG5e - GUiLxMahto62d+JxOpg/fvTu//LuN3/66D3LuHNgtn+x7KdVBVWogMAGIss6ROIavL++/IXX39p0 - lZkplDSYiTKYI6OEQJ/re+y+mIgNq0+JJcY7qQ3D8vCnHvrxH/+flsvBDDHELBlg0fP27tZ1raoh - BFWtqqpsjOq6Pl/Td84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7tJjChUAGMvX - mcu/VmW+xqzj99Gs2m+UTh+E3bp1BZ1KHTZi0Op3SncEG8v2S/NTA4QQGEgW2EAGFjQRR+bIdPhf - /atr07AeIpC6+dakrXFy8+F3vQefO7YumHKFIXMJt1aNBF1Vvp/RZctICSRpuX0iNSkjS+5OSD25 - am3tU7/wC1/2P/8IKl4O22u6BBgCLKV/6JFOU1ybLqg98Pznoo65G6oYBo5HIl/3kz+xPouo4k3/ - 5B9iMICQFUN/1y//ks13Dsbqr+8//Nwrr8bOyUYEZqZmRBZD6RSQFx1ThcGguWOah+FEYzsbk1v/ - /o+jqREqRLaMJsYsmUMETmtiYxgbCZzqWXaGnKftZPnII7RY1tM17MxDCMZc+jw8QWzWmO2cOFEZ - +uMnqjizIW9YRurQKkqKO0qbnXPtiX1RMgQQsnRsvDYDDJO1h3bma0xtrNAPqCcHn3XTyUce1iGF - J9vfg6ARATvbyMJVNBAZkAWTOndDmNZVHMveVYyfVAOv0sAjEwgIJfG9gjEGUMNAVVndDJppWNZV - ZOONG244fuKkdmK625xslbS9uzSVTjsEJhIzMiWwwRRKoHT8JI4ex8baYntneuj6JqfJzhyLJSYT - 2X0OBiIIAEIgxdirZRw5VJZi55xzzjnnnHPOOeecc84555xze7CR0qm4DzaKHAf0ue4//Ik7tm1L - YmaB2TmfcS1xAkaqrLvBOrR7GYKxkSqgfOqyBFpdcUClq7wxjJnZjKBj6ggRRaJgHDSSUQApYEam - omJmymyqSS0jaAgIjHLOvDIYwQzlQQ1MAEPHuG7bzYNQpeFJBCyRUWACQzRxNOHhWH/k3s9/4op9 - 159t5iif+yx1zl1yPLrbOeecc+5xcZJUM2IgURUYE4UQiDhKta6Xv/5rvmv+zq10PG0vjtHEDCjR - oQZdJTuelmmH1YXONGYBKs52ETozlku0LbUtp0FU1Up2a+RFt9zYhw8/+Od4e/3ffcsbU+ooUQXQ - mMXLsIoVQQ2kp3Zk3ZemL/D+GkjFsl2AMaA0XvheSlayZcAH20WAdFXUQgDDaLfWxthAalDgrKuc - 88CgCpjZRTsYDAxaHfgjNVLVyAAQ2qadL/t+sZzGmLoMa17xFbcf3T6S79cj3aelyWKgAGPSBJCW - YFEDGHG1cv8CueZERKoiMCJQBQpQMbG+nlV/9sG3Xrf/6suuuXYaK+QMUFAQhVVCNcN4leF9ads9 - uCkMGIQ0mBKAXmaTyfZ8K+wjid2v/tYvbzYPL+vNbDkExIrnc53MKhFLyzxpA7LQaiNqgNI4pPVR - R0vLj5JIbGPIoD7W/exvPO8Vf/PF35oewoTWTLiqqjwMk2nddQsKTCEKggEYM3p19TjARZxGfwGo - 2Zn5yLRnDj+BQ9NMJZsZwJ4YayVVspIIfinjMhiMskJtlSVditAI4FXGs42hyKxjrvxqtWxg4/I1 - GQdFUC1/O/65MjFjVTipJeaZTgV4Kxkb6NRJjgv6ehWQslCQBhgrSEmVBMQEBCu1sBjQL7nb4mPv - uuvtQ73sdVlPKatp+fGeoGk6NSTG588BqtrGOszjGl1x+23f3PT7ZrHtt7anawegNB/mk7pSld2i - 3AuJS263ERQKqBGTlVNFTAYYs7GSgtSATlM7a1PfUZ375ti7Pvo7b/vYm7f4kcnB2U7XNaEeumHa - ksA2t4a2hUkm4xLiXlaJBA7aTPPacw7e+t2v+f7L6Nr+WDdt21Rh0BTYjBlqwlCVrEKGqq01i9FY - 9HjqHiCogQlqhCdwr4Tyt4/1vz3TB4TKoj2etDNWsnE1uWe5XsWHgxUwTc1GPJGOdNV80W6+6y// - +E//8g936KTO+vXp5MTmiaaJgA1LoQp1BTJOWcAIkWwer7/s2VfPrpp29cAZRAzOikBjcrmm7OWY - lyIzIyJmBrjvl/Od5fd93/cfPvxwjJyzZskEImI9f59/+r4XEQDMnFKqqgpAzjlGP0XinHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeeeqUqbA1IQj40l7FRvGmHk1W8xAAXoUeX+pcWW - AYTdnO7xz4EzYohLRw8hcHkgFbBBB3QdMn7utd/6t17+tVeo1CaAmKYWjO35Z9/29v1ZphUgUBWm - isEGEzazMQe4dF3gPTHepVU0iTVE83s+NXvRC+L6RlDGMDRzQDJyjpWiCTBFUgx9d/x4Xcct6S97 - 3pfj8ivQ51g3HcL2ZPorf/pHP7X2jzGpu9ASqqolTiV9efKVf/9/ROqgBpE7f/ZnN9ZnjWgQqQ0E - JYNBSKyeXbaTszWkdewm7RbbC37s76Gp0bYAgSOShqq2lJsqnmogBOzOXj1b16BTMzjGYRjMrJ7N - AEKMw7KL9ui/eJzhYDn1W5vYmTf7NlBVbV1V8x3kDBXebRtBT0Pbh6eLARyYMSArYhuqW3/yJx/5 - mX8espFahOGa69B+OC+2I5HKObf3MIANFQzLJSSVDsAMeueb/uVrfvIfxelUDUScZYgc+UnHg68a - cQjAexZSAgMBbfzVd7zt777m9v11O+S+VcF119Gn7pN+yJaigRmPNVJENAQ2MRiYyAxKgOmh6eyR - uz58xfXXTvdtyNZmM51NOnngzW++7gd/oA4BxLvPa++ES5t1BWO1NvjSGUjOOeecc84555xzzjnn - nHPOOfeUrJJQjBkmDAWIwAYyZqZF2P7gJz+QYw8Gx9B1wzm1V9cxNaMETQAAGQLG4AtYyR0YU71L - 4gAJSCMbkzEbkcWggTRCECxW3DRVuzaZra/tW5vN2nqy1q4HiiEEIhJFyn2Xhpz7Zb/YXmxunnxk - uzupNljIRqoQwISQgwprZlXKYwiIxVWWh443Wr2Ec7kIwszMWLLAUDecZLCg9zzw0ZcefJVy3k2U - Y1NhBaCGZ04ajXNPnZ3jVUkXCc+lcM455y4iZ3yeYGaic/zU7847YwGqqjF0pmCOAGcGQ5kH1hy6 - eOXajf/NK3/gV3//F+9f3pV4a4hYCGZrNGR0fZ60037ZhRCiRSCXtFeMeaJ61tzu8gsiqGuomqoQ - w2BGMJgKUGPBy2ojf+TIu97y3ub1L/3efZNDVa5ZyERUE1lVhVplwZ5j96VOCWyKMS6UdxMTtQR8 - suU8MJOZRbCona/YWWbOJgYwQyQTUUr9rD6gKZ+fB3BPCj/WFiNARMyUIyVJAEJAKZY5L49rBjMw - k2YRTTFGVTW7wEmyTwaX+FuQAMIGY6hGGEuSWDEomaVIsFRXtva6l7/h6NGjWZcn+odR90LoNYca - ksEAWalOYTYm5FN1IKWIq9SIncottt3YYyNkBQEBlPKCquXv/PmvH/rmm68KX3Z5eyhtD1GtrmOf - BorBwKpa10jDkujS3oUXSU0zAQJULKqIiOY2NKRB+8Rr9LA88Jb3/4d7du5eTk5SkyEgQx60qVh7 - I3ATItJjRniKoopkZqoggwBgiAERknKLaVxOXnz9q77lq94Qt9oprZtCMQBCDTrpULEiKBgWVu+m - EZTH9G7YMywbVsmyye5qhYhUUTEYKDnVdPr64+wLvLHBoGBGzgiBmHkYhi+Fz7cWgFIANgAAtOR2 - M4VoAQYRIYQQgxFUFQQmBthESHcDa0FEBjFIJpUgRAaAjdlayzAzYiIKRFCQERHJ7tpGSRnA03MM - n5TKKQqLMFbOidlII9e5S2vVLGta6o7Mcmrnb/2T/7jFx3PdaUh5TJJGDFDVcLZnazRukWRAzVWb - 9n39y1931fRZddeaSj0NvfZKHJsoJpUBCGctKD2fLJ7K7SYzgCAMCsxsyINWoTYCqYSq2ekX9bTe - HnbCNHT15jvu/rU/+fBbd+K2VZxTqkJUMHOdpFNCU8EUKmga7TOkzJmMWnQyNLdd85rv+Jq/fQUu - 0xPz/XWb8tKiWUAywJiYDCCChUhAJ0q0+s7eexIyGOUzI70f854CsRFByUihZDQGeQcOCjnj9wFj - pLgbqGwgA9FYam1gM5hJKZUsRdpSDfO4faJ6+O4H73zfve+656GPDrxoJpVK7rqhaqGUYagqkDEG - hBi7YQgTIGtM67fd8tWNRkpClQnBjIhglExhFpn5LDtU7mJmbAZVreu6S1k1x1B/13d9+wMPfB5A - zmWbywbgSeV2E1E5tMLMZlYywlUVQAjjprzkdgPw3G7nnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOfdMJkDPBtAERMZjR4k+YVIlhpS2zQADSa1mgimgBC1NVwBWMQ6kgBqMkBSx9KaQ - 3IRomonZcqZQU4nqDmABEWCGGkaZFkss7f3/4B//7Vff3i6XtWZIQsMEw4PHu7s+ND22mFSwDGJA - yUwJQUkSG4BKzt5yR0WrKiZJlmg48sgsCfo+VUG1XlNge3HXv/iZZ4UwBKlRgTO2NqXbrmsMVM2u - exbiBHlhIS7rtYci/dQ7/xhNbWEKVANghjYAFIGIKqCNsAyVl/zv/ysUsAA1LPsP/tK/Dzt97Ibp - pF1YXsz4ZT/+I6gZFYO4BIxLxQaOxoiAgiiWNg3lrdjt0lD6BOkZPSZsT/KxaB1iGBt8VCCyJ9lr - ymrm9LkHqv1fAbN+OV9v4z0/93899yf/N7IKOSPG3aeXRUO4xDtXE5Az6hgRGYAS2vqROrRkNXEE - 0Ez23XDj8ZMnWjMOMDnn/gYq2tSsOzvcVIAZE4D1qgIRrCxKCFw9+ecPwJRWoyUBIFQGCGpiKNDS - T7z7D+//hz9zkEJGRmA0Vdi/gZ05Ddkgu50OSzOZ0kOGDUogQjYFgwxmUrpvAEwpVUK4/7O4+eYw - mw6534jNkc8+gC7BDLFCCNmsdAgZVyTlIcg7RDjnnHPOOeecc84555xzzrlLDBGRn+hyTws2JoMB - MBggrFC2pNbap4/ee8yODDJwSQA4x+wUI7XKFGYCKCIQCQxSNQKZ5awqjBjJog0CCCbcaAKsarkN - OXKqrli78vrLb7zxqpsvn11+9f5r1ycHGqssMxkzBzUygu5e10BqBECyZQQhzr0ut3dOfP7Ig597 - 6P5Hjj9y+PgjW3JiCMcx7VO96BQZGkKdxJqqEckqKdRGBDEwE879fL2ZMcOAQZQ5tbP4sU9/JL9k - yDSQxqaadt0i1oHo4stWcu7iRkQ5j4tkCYMoqRB7rmS6SHk0hXPOOefcF6IEQwTlYOVacQZYiARs - smjqiGT9SVw1u+HvfPMP/tyv/aMdSNjXc8w721ZNqG2rneXisv0HTp7YDFVFGtkygBLgref+WdEI - WtL6CFmT1pt3fPqdUdtvftkb1nBgyuvIFim0db1c9HUTjRX6ZALP3CWKreyCGJEJREm73GVT0Jgc - /BSP5tnqfpWHBwgENgy9zVR9sF1MdM/uqJkZpE8dVgd2tRwkeirTp1NlS1RSV5nMTFT71LWkRAAU - 5ysu/jxgBY8VR6YgVQIbr4JISx6xgDID0KbOrAv9W9/wPf/mLf9ikNQPR6wRY2QBE9QAICiEAFKA - SXdjdJ8oU2Emm9K2nPgPb/ulN37nPzixxevtvoaa5U5XNROOsRu6bDlqbto4pItqfp4bA9rJtO97 - MaLAIhojV2GS+wEwrVI33fqD9/6XOx/88+32eGgkly2ulfUOwxirFFgay/pg42YaJWE3Ru56ndYI - DDOIoRdMZxWr5W1tu+kLDr3k9S99Ax+frLX7ICTlWC3lMiUlhqGEL8MYlAnKBioJ77Q6SP2MITLG - SBuNq302lOVnVW/1hbBBSmjv6uAYjdsnyJOKYr0IGZX1BsMMVIrEWJJIzjG0VTUD0ZBTghIBYswW - AqpQE5mIWIaMdZFK0YjLGsSMFGrWLSb1WggxZxXVGIIFS5IIplQ+lCqIFQooG1blrhcKGZMxACqB - 1qxKqoTU5fXJer8joWJq0dc7f3HfO+/67Pv6yY7wsLtO3A161zKjoOWT8O5AYubFjl650cpRvOCa - r3r5C76OToSISCQGKGchJggpgEDG4wy/UBggQ1CClQeikg3NpJK6NG1mfZcohljXW4vt6b7pTtrW - Nm3F439y12+995N/MJ+dHCRzbCDKYCVRlDjwTAAbqggZAELZw+AUJ3nf8698yTe97A2X1zfkE7kC - AyaWiIKIBq5BKC/88e9BRAoCAU/snszIDGRMRERspEQlhNtWdbsKsJnAmMwiE1sAYGZKAFRhZjmJ - cCSugpKJ5WxKRIh5R05++JN3vO8T77z3xF8t23lcs8hYDl3kMRF8fCYlHh7o+zSdxkQ59uFgdeXN - h55fWw0VMgaxlQ8YMBC4fN65sOPBnX8xxpxz1w0UQ121r33t6x544IHzNXEzCyGISNk3ISIRKeds - ztdDOOecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84596XBwIKagUFyAwIIw4BJDS5f - mA3LWd1CFGowwAQpcSAQla4rXE9g4FXNeGQA6LqhbWszIeau69p2mkyZGAwQiGBdT5MASpR7qH3i - n73pOfWkXu5MmZB7kCIBR4/OP/DBcOzYfmMedNWtywyKsRPXKboKGz5DpBhM8/Ycn3sIL7wlwCJI - lsvNn/v5a4ljyVFWxrLH4c9Nm6ikV1x9DdY2ULVAzkLHsrzwf/kpNBWqakiJYqyIuDwZBghGkcYv - FGBByJprUWqbr/rhN8ICMpAFdYD1WJ9otExxkDwLdV5154hYpXOXl3O2zh50Rm73mT+m0hJqnElP - FhNRzvNHjuwfEqowmbSqqekHaELfIUYY93kIVUtAfanndqPM/chAthwpomqx3JzPpt3WfH26rvMl - i+CqqxYfjzORNOTmyT2IZEsDsmggEBiIWlrD6eqNfcpdbU7vfqwECAIDsUYwzLf44MFjn3toY1JB - EjbWN577nBMPPrQ0mYFV9Kzl+GzY7RyihFBahZSeDzmzaf+5w80114CpqupKZL1Pd//rX3zhD/0P - 2KCB1KgC0C2XG5MJDCgNZ7zu3znnnHPOOeecc84555xzzjnnHouV4B+QaUkJEUJb10scu/v+D89p - JzbBTJMKRzI1OpdTzX1vIaCJCBVrtjwYmTEDKgDaJoB4vkwKaqqWJfJOXAtr+yb7rj10wy03PveW - 65572exQqxOdo8WssZa6yANrtkCROQpMePdVAICSgZQCFNlM1kK+rL32+hue++Jrc2dDT/mzx/76 - o/e9/xMPfGhzebieyBC1Ww5t02YRNeUYLFjKIoIQzjWqhwlE5fIWAxhq2mvX6c6Dx+9/zuyALsjM - mLnkDXsTe+eeITy62znnnHPuiSEtmZ0KUmKQhFhlHSTlA/v3bffzQ/XV3/9tP/Ybb//lz574RL0f - axHLPiPatKk3tzebtoGUS/0jmZaQ0dWUz8xaLDt7pQyglATs3dWNDUSsWwIZB9br5XzzPff9nsbh - 9S//u2nLLmsv516Xi5N1W82HjphDCBd0xrgvtr3DZ8xWNIKRiWUjXfYLQdIANiMKgJyfR1UNsVSP - qJl03YIDac78Bes73NNu9+1QQBeLhZkwczYDkekTid/9Qkr0NUxLcO34Tctd1+0nMqaLMEnTxujT - EgKtY+rt+GUGZ5DAGMZB4hpXpPK93/r3/u1v/3wnfZ+3LOakUEbQMUmakVeBrAyUGN1TypFKe9SM - IAMbSDGZTLa2lwPtCO75jT/+19/zdT86LOuQInEjVvWLoZnGtqbF1gnVCGqfhll04WwvF3Vd15FE - smaBRlBlTDpJy+nJ377zN95z3x/Np8dQybzDxowlKxlOpZWPseswGlN7x5o6AgxkSKrr622/1aWE - 6b4qki6S9DmFZTggV1xX3fLfvuL7rqQb1qYbwzAYK5UUYRJbhS6PJXFglPcICtKLLIH+6ZPzYDQe - bicCoKcdLzbG2eKiy9h+TGSAlSmf/2f8RbDnVVgEQEZVaNvJxJTn3bLPiZoqtDXDGoP0Q+4HCgwu - qccgJuagkDEYvUxJjQyzjWZYdstFF2PFFEXEslEA0ak5vCorLWvjC/g62VgVbEwGIzFOwqosBopV - myVQoM7mqRke7j771vf82k5zREMvAaVcUPeOit23nsY7IwDcL/TgdB3bdpAPvfaV3xG224PT9W67 - CyEoQdiUEpsCEeBx+F3IUXTa7CzrAYCA1Of9awe2t+ccq1iH+TCvZ/VO2tZGumbrHR96859+7Pe6 - drtDHgT7AkgUUEYGoloDq5iXZIhWwSJzVQeOZroMt1z+1X/n6994QA6luWlGaCcLzQNoUrWgTLms - l7hsxR7//tQq8wndQwlMZAzYajowgokagbH6KXN5w2wwJVICERkgpEZZWZp9k53+xFLmoVauZXPn - 6H2fufe+Bz/94fs+1DedVok2ch1YMECtqYJmGceCgRUYw92ZiETMFDHVz7/htkOTa9PxNOVKDGTj - yCeATb0o81KU1FQUxLGJ3XL4wTf+0D333KurBdqe8t5EyQVnZlUNIczncz/f6ZxzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc8455/5/9u48Wrbrrg/89/fbe59zquoOT+89jZZkWZLlQRaWJ8CAjR1M - gA5pmqRphmQF0p1u3F7QdDchq2nSgZUEkrbBeJmkk+4EFt0rBhYBDDZg4wljPAuMNVgeZA3WPLzh - vlvTOWfv/fv1H/tU3fsGSe8JSUj495FWvTvUrapzzj771Kmq3+9rjDHGGGPOiIAKiElr56GAAiOv - lLrcl3L4GorpCQggir5HCFCgXWJzDO/hAyQiA+SJCJ3CETxGVQUgEgBtmnGSLOxaZGb4rHUSqlmo - 5+UcPW76ubecv+y2g/dNlXePu6bGbI4HHzj22Rub3d0sXU1eFaylw4gIQUuQsPCq8HzoErBuv0AK - RyjNm1ngl93sK/duvORqFiHohmJ5/MTmRrNISZWRgGYz706p66fIW5dfjvGGdD0nyQHxvC2EGhKB - uvYOqrl02yGAkCEAGCXL25VHQsxg1hRpwyH2oNLrJoNr9EvmpnLZkQqEiMLQB2ed4I1cFhDM++OY - FW74+cnbb9/XZc0AgAhUiSirnmP3MQExi7RHj2M2w3ZwRBR1zIIY4T3EwcGHKkNYlPhZ39xM+sSV - Z6jDqi59c/tV/+Ob7v5XP79s29FoBE3IE3/h4fjAkQ1HmuM53T6VYSGau9ZlUR1K6p0Coqc2fyJ5 - 7Hz2M9PhnjJEwBUARSJQBfQCIjiHzQOXvfGH73/L22I7dX0fINjepI0xuo6YkPrVbUBp6OrAp3WV - OUVFPHvg4fr++3HVFZ6AnDcpLR48hiiQXlw1RzvCeHs0kjZRWLU+VtCqvzxbzwhjjDHGGGOMMcYY - Y4wxxhhjjFnhM32nJD2nhS4+/5VbemqdcyrUS8I5RlkTsBWQElILdQB5dYlYOUAFAYhtRsznhUPo - 6zwP5/kLX/G866997rXPu/zqOjS5zRydP15JpBFVpKzKKkxw7AlESgqRVZT48G6wU4I6lNQTJU0M - FuebytOIVRkbh7ZefNF1Grov3nfjR296/+0P3zIZL6dpnkgUIXFAVvauCr1kDB9rOZf1qarlTWoA - qhDJfZp/6Z5br77+RXlJquqZs0aovX1tzLlRfSoDcp5KFt1tjDHGGPO4hkTP1TM+BUQAT9wn2ZpM - Zid2feP9snrB4Zf9rW/4gd/5+K+cWD687OfbB7bn2ibJjlS0d3snuVxuk3Uvc/HsxR5Q1HUNhy5H - V2Oej33iyx9czuV7XvdD81hr1MZBPHnn+5iI3FOa5mj+6pFAwQoZ8i8BgIgEOUnqYichs4NmPPHQ - upKOeaY/z6rMPF8unHMCYcJXZ8btM44ySHQVyKkQZlou58xMpFlBUCJWKD1J84MqVJWIiKhtF1Q9 - 8+cdLjvOKn54nRjJQIkv5TyPk80DFzf8vW/4wd/44/9wNGEWj002fO5Eian8IQkrBI9R93JaFcpq - 3TCjT1HY+cpHmt1078c/8BfP/dbrvlsS19VmcEyKZb+sRMkxs5dn/kp9TBwqZVqmJamM60mMqU0L - t0Gzeud9n/2999/0+3rePEpSwuamW05z4/bmE9aS5ypllhtCdMu6XB+eM0REhDY3x0njid082kKN - ipb1eXzRG7/7Rw90F27ohnfVrFuEcSVZQLra+lxuuewRBGFkkJAqCAKG0l8+TPRZRAld7FFe8Cox - wKe98lVmmL2yvcezPgbFeG5FaM9QJGUFlG8AsDCUs+DE7lTBrvFhXC/RLXQBF5FbGiXnKFGeLqbL - rnXBTZqJY88IDO9yhUyUgqcQPARPANcAACAASURBVB9fHBk3o/F4FHvNKTsXnCKmjglSNgitD/1P - R7q8E1+WWkkyZ2UBQOq8913bskes2h19+Dc/8J9O8MM0aXNWAKTQUi6IIXGZ9QxPJ0h44hteuKYf - v/6V33Hx+LIwn7Szee2bJAwS0sjrZ83K+vSm8CpAYFZAuanqxWIRmjprWsoCtSyxpA0ciw996NN/ - 8Keff0+azJOTPmPzvHo67RpXim2hWC27AkC7jORovmgPbB6UNr3kyut/4A1/j5a6iMdrH/iA70Je - tK3mKH4ZpfdNQMlQJ3n8y9XJxrleOiIBSDUjk2iG+sBCcKCy7TIyCWWoqwMRKUGgGTlrSpQSxTvv - vL2X+c7i2IPH7r3v4bt2pkd66XLV58PS6iKlKEkgqllBIoBzIAX2hbsrCSAuVDkmJwh5fP1Vr/R9 - zeCMPGS3A0LwQk+k4tQ8A4xGo67rRITgfvqnf+bDH/6o96wqT9Y7LCml8gURdV3nnBORnHMI4cm5 - A2OMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY/66cAADlaeh/YYmJCXtGhUsM2JC - zJ9829u2XWh3pxccPjw9sRNC3eXstzbvOX70W37yf0dVQYFQgxxoaKeCpkqpJ18pNEkmdgk5aw7k - vVMQoZ1x5ZBx65t/8ZIubTuS2Y6yOMdYtnjooSOf/jO/O2WVZjzqFssalZYuygSlodeDUyId2uyc - vmgMpKyJE7OvlZe7J3BshzY3wIQ+jQ6fF48/EkbjyteYdeji9MgRpDi55AJc9hw4ilHraoTJ+EU/ - +mOoajRNm3MDRobz5Bgnt5RhYOgnIJodgwB4DwhclXPvHEvJ4nY1lKBw7JaprXzjlNbRy2XRdNUH - 4NTeCgqiU8vs93dxKM1/QARRAMyseqYuD49JJFfOxa7Hgw/hvIPatSQ6Bt381rde9xP/BL6OfeLa - M+CYYozP9jpurjwAAnlyABLEK2G02YVGGnTLee0ymvrQVVdOHziWc8+r9nHndi8ifd+VTPWyQbwI - RPa1FaczDuPHt9f3vKTIC5RLPxRHgGMAGd5xRj9tJ812bEMzQZwj0IHLL9tdLLvFMgxp2qcq3XbW - XfL2N+dhSNOM22U3u+2OjcsuRwWkbgS+xNHtb3vbVT/1P1UBihFDIMzel0x7kKwW0/pFGGOMMcYY - Y4wxxhhjjDHGGGPMSWhf+EpJHFAgc+qweGh6//HlMW0kZ2FPziHLub3tygJW8qoER+ozQcEx5z7B - E1KPDQ4VbWJndMXhF7/q1a9/4cUvusBdUPVeFwohB8fsSziBlAArIiUCkRBUs4oylWi3VfrBkKBB - SqjYg70AiixZNakiisYD4wOSMJ2fuPbQq679zq+58+itN951wye+8PGl6zovbeq7NKMaFJASgjv3 - VSpa3koHQAQWZMgX7//c61/57c55hXhiFRaIqpKldxtz1kqAERGedRHeFt1tjDHGGPNYhqxQBTQA - JcC4J2KnnCIzjZJQUvGk2xtbDzx8/FVXvhY+v+O9v3xevbF77FizHfogWRFFQAJanRkqowQvlrPe - ddxyeTZ52nPK8nslkKJySAk5dU3VtEvOOU223Gx57FN3v6//4Ox7X/c/bG2cz9Vkujslx1U91vgY - gbLmrxta1UoIMki7bikiRMRMmrUksD4pmKGKUgjADrPZLjOexNs3T9SjFmAw83wxJVIwicAx05kr - Jp4IolIJokTEzF3XccOqmXDur109haQkEDPKNMsASFlJgIihSsSzMsAggXIIAYmor645eO1/87p/ - 8Ovv+2XRTH0WSZmFKQ0rnACUIwXv2wX2tgXti2vdo0iK2EdfjxNy1/fnbdMHb/yt8Wj02ud/D9o6 - xTZUkC7FiMlkq237pzes9knHVajbfqlOXKAutxlZa1mE+Qe/8J4/+tzvx41ZK3MCKAEKZqzjtAGR - dWh3OXTSUC9E+wrEqhrzed+ERpSXy35r7CY8nj3QPW909T/49jeepxceqA7JUuY69U0lSgSGCqgc - IpmGHHcQEkNBiZBB5ZDt9AnVTT2rdV2nTFoOHUwqZ4xcHv5VAulJY/6Ms4soAImxe0oe8dNK9n2x - LgljJWbvgvcJ0nPXhy76dibTE3Lsxrs+/ZWHvvyVB+6YL6cUMlhUM1S3mgPbo8OXn3/VNZdcd8X5 - 12yPL3CxWkYdbWORZovUOg3sKhV15KoqZImsglWx5dODlFkZgFKfOQsJVmHeKXc5pM4v42T6m+97 - x+07n0/1UlblduV5AimvKl1FTqsCBcDKTRq7ef2SK1/1jS/+G9xWPrtxHRaL1vkNAG4I8GYoCcm+ - yeEpW2Solrm9PH4AIFYm57vlsqlEvHR5QSNk7nf0yHs/+7sfvflDsZ4Roeu60Th0qeOATHACyo0D - QMtMIsSA1Jt+uewvvMgfe+ToJVuXXnnF5Z+99UMh+ZorpqpPKiAmTxAPSalHcJnPIrR7Hd2troRt - n+UliQiJI8qqDCSREuCtKQuhxHhrykkTMpKm3dmJnFOfur7vF91i2S8WcZEk+iYkyr2mRBmseUOI - KHOcy8w71JVrJEBICZl79UiSy/YtJ0FKkh0A5BybMKElDo8uee7Bq3wOdVO3s54dWJGGmZlX046d - 7DzLxBhTlPF4/NM//TO/9Vu/y4yUBICetB2f+DE3hBBjVNWUEjPnnJ1zzDZIjDHGGGOMMcYYY4wx - xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMOY2CMsDQ3BMTUkLXo023vfXtk75vJJPm56ckOp3U1fzL - dzz/0KGd3d26Gc/ueeDF5Hbf/PajfSdbm1e96Y1oHCqG86h8n5bsK1KRpM47yVo5rolIkyMC9/AO - J7qb/8W/vkh0knvqloEVBMxm+Mr9R2/9fDObc86+4eliuVnVuc8MEnDirAQvcILS/HXIqT4FlcYB - IAERHNTFmO+8x113LbLAe+zshLoOVEknIGB6oq7rqHn0nIuR+na2EzYPKOOB2F85qlGFVsDOQwAH - ZKxyiOEIuu4bJgChgoMAMcMzUkbwTJWCiGnZt01V97F3HJx33tcAozQ8YShJHgqtmQG3v83HXuOa - R6+vJ1JdX0mZqcQ2n/uI0EDUSF7ce8/42pdQjEouqG7N5uh7NPBNJYCkzjsfnkBj7GeaBNEsdYm8 - 9t4zUoOUHl7GQ1VgdSAFappMiJ2jSjWe080LwESqqjmBqGSrk6pThUrZrHpyBPsTQVCUkVduiD3A - CiQg8BJp7Co+dHDG/nBWLFqMPLTCZc9ZfOmLAGpyqjq0U1bwuqvPKr0bgBAyAaULj4JB6PoR8/TI - zsZX7sXVVyF4Tb1Lsw1U6HrWPNqoYgaig3PD4vE6p/5M4fTGGGOMMcYYY4wxxhhjjDHGGPPVihSs - ACCcUOIhACEo575efuGOW5SzA2VIUmVHms85qiJ36pxn57MSRJ0PnjwpagqcXNU2L7jwute+7m9e - efjaqt9q8thH5gwici6QaOpFlb33PjBIsmrWFDUqAFJiIhUhABnKjLx6T5glZSLaixonMBGcV8lx - 2TFkgyqOY52754/Pv/S6V77iBd/14b9472e+/Kfkjk/G4+zaGMWJnmscAcEpAGRVlPfqAzE73Hf8 - nqPdI+f7LaRhzasATJZ3YMzZ05ND8PTZk+Bt0d3GGGOMMY+DlQUsAEhAGYDTDIWKd260WE4PnHf4 - xOxYFxfnb12wmM1eePHLfvA7f/jX3v2rWusjx+6rDzIqZFnlKQ8nWgxASHSVnEtn/QTSafBeZ/Ok - 2vq6RpbEEp1W2+3nHvr0f3z38of+yzf28cDGZAuRU4wO4UlfJ+aZ5wyfvSei3flMSMBKRGWQlYDG - c3Om6xORqEJRigF2d3eF4Cw78ZlKVYl1NpspMhGpQIZU7Sc5jbjMafPlQg88ibf6JBIespkFSqwO - w/InJQAMddAyZwog7Ktl1zZ+7Hu+9sKX/Z1v+oHf/fiv3Xf0LrdNGZJ52JlYUSJpoR4l4ZVOXqun - 7kTlEAA4kKc+LYnVNZh23ajSD/z5uyscfPU1b3C+6mZ5Y7zNyrHPOYt3z+5T+L7vVXXUbGbp5svp - +EB1NB392Oc+/Ec3/d6iOb7kRcoY1y61uW/zeLPp+35fOPpAgf1HUgAswyGWwESCQDuz6bipNv1G - +2B31cYLv+vrv/fq7WvG3WY370MIHq5XYjhSAAIM0a8KIgCqDCEkIJYpTYmhHkpY5fh+lej7fv01 - 0RNJiWYdaq7234gQ+hRP3UeebQhgJKyOeqsiToZSzClTUtfLKEW/e8fRL93whU/eeOdndv2x1s3V - JzovZ06iSgTPODHfObp48MG77r7hCx93bX3h9qXXv/BV1131ksN80HvPrtEMF51qiUNngpBCS+Iy - iMHytBx4SQnQ5DSzKAkpOyUoU4VWF/5wfscf/r+3PvSZOFqKRxZweXKrAJjFC62eqpAIhjd+1pw4 - meqVB6/+rtd+n+s2Gqkr5+ezRdM0Scq8TSR+eM+IRAisT+kQOuXGiRRQL+CuTRubm/M4y9zrKOko - H188+IefeNcn7vxg3moVqc+xrkNKfZ8x3kRuIQRHAoWQgKAkSlimpA4ndtN52342PfH77/ud7dEI - KeacQa6LShzqeiQpa+7qplqm9hwX2Omq9vbsLlVJGE5JIKQkEFUSz6FsbkGGqiBrhpKQU+VMRADA - QIDUokDWpEyZIFAlZCjBwcXgAUHucxRUFEAQQWyzCwBQAt33nw0pKbKir1/ygldshU2ecafqq6Cy - PiIQwKwglac6x9082RjKdV2//e2/9I53vAOACBw7kTPVwz8hMUYAIsNOUwZqjDEEOy82xhhjjDHG - GGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHmNJKRM2nGskcfP/dv/t3m8elFMdWLtiJF43Ps - 3HgE4nEImE4P1dVy98RF9Qgx6c7RcQi7R7s73vwvpxvjeGj7lf/9P4JS1UygKj2qyingHAHo2mVT - B8xnqBymy8//7C++wI91caJmhSYsl6gqPPjg8VtubhZLiXE8qhfLrnJo+65hr6XBiO51y6HT2oDo - uoAdUIVjMEGhOWfpceLe+w++4EXwisZBGMxQIGVUjOV01i77nLcuvAibGw05bdyR+UIvuAiVy6se - Xm1G7UuDktX9Yd+3vAphzgLnoIB6ZJAPgGRwqCYC+MoDnFSYnMToXABJWZiyPA6n1uCXJPJH7f2x - egCqSkRg0iwgcs5lJtGhF/VZIgCSvNDxh46M51OMRkTeZT1P87/5zr/9Ix/52KyNG01wPkAFOcP5 - Z3fHKQ+GUwiDuq51VUMEVBvf+JP/273/9hd9n9F3VVX5Cy4cHzqYHnqY4zkHThMRQVNK5S/L1nBS - 2j2cMobPsX3XKvQ7A4AwlDC00mHiHOEcQPCoMjI7/ZofeVP3c/9nPHokhABH2By5zTF1SaIwVFG6 - qOspj6D0OQGGxmVBQAowLSWNuAaHo1+47dDh83HxBSq9C9TE/ktv/bfX/Pj/gnkf6jG8A4ZeLRnl - ocKVPfUvm1hujDHGGGOMMcYYY4wxxhhjjDF/fZBCWYCsBKcsygwRjnnc3XrPTTlHViBQVoWqO/f0 - bldzzjmlRMTMnpLn7BAd+vr5l1z7zdd/y7WXfk3dboR2NMaGRsBBWUUk9tkzB19DNCeJGolIWcGq - BCKAmUEiqxAKguj6cw+5roMQSDQpVBXKYBKgbiYiiXKEKnrKLXlqxnVzxXj7+15z0dde+4qP3fy+ - v7j9470s681xXUmbOzmXlBNmVqhSHr4XRXasmMXd+3buObz5PI2qCiLnQFnys/mjD8aYs/Xszv0y - xhhjjHnqMdQxOHMGCUEY4pRJSEEq1EwOnJi34JHkXmNfsRvnA9dcdP1//W1/750f+TXe7h9ZPOwd - HIOGcLySA1pueS+RVOn09G7GKtpwnbVMwmmRx+NmMtZectROGDmBHVqJvd+9p7/lF97xf3zvG/7R - iy961YFwWDM/xdGG5q+cCM4Qz66qzDydnlh/++TeK9EQlUhEInJi97hIItYnEu5qniq8roMom2k6 - naaciUre+hMKu3yUPyjji5mJKKU0m81USzWQe+IP/ylQMrZJwQrScjosDBEuL5YxNIh6kDA6cJp3 - EkKgLC7Wfo5XPPfVizR/71+86xG9WzmVnHLW1RRNUBIo75/Mhw2g+y5XsdMC5sr3/UIYTYWUEKqw - WGrfPfz7n/r/mia//JLX1OE8EY6dpJyayYbEvSjlZx0hYc+aOE41E3MdHo4Pf+z2D7znM+/c9ccU - sW31/PO3ThzfdcD2pFkuO/U65GXvU46KUAZ4FQwMJyIEjcws0S1H21xptftg+1x/5Q9883977YXX - u2XoY+tHTU8aY94YbS5nS08ViAApm2QVJ1yO9RnIQgIFQAKHod7uq+iQmlICRHV4KVkVRKQqT6zk - SRVEw1yRUnr2HyukBJGjPKMr9WEASEPF7DTV6Vh336du+fBHbn7/g/Ov+AOsnDwlJYggKAtUVTXT - pKk0ykJ2QuN9TfflB+67+c8+8JnNV1z+2uuf/+rnX/YiYie5G4cNl6mbLZvKY19V29OmRDsLSWYB - 2Il3uQJJ18+xsfiDj//On9/1kfnoWOfnPhCtBg4rQz0pM1DeydhfNLeeP0n5gs2Lvufbvm8kBzfo - AIv23ZK5ItSgjgCXfTmiZZbMSUkEzE/pMCIhgCGiUOJyRgBlYl7Evp40x9ppr7Pp7NgHPvXeG774 - 0XRgnkIbY55MJm03iz22D7j5PJda2uz6vP94AbQJ29tNP+3mUxnVWTkewww1MbMQmBnAUk6kKEmk - qioKpeLwHAy58md36YjKKYqQOrCQlk3WpaWQsJb/UP4VEnFZIVACmErlpQwx3kTiiNgJEQEiIiqg - CCLAI+e8TBmA92FSVV3qAFGSxCCAdCguFUKM+UA4+JKrX+ZT4Ext322OJ1mEFCVKnrNbLah5lsk5 - v+td737rW98OgEDOuZTlSSyo9d4vl8tyR845Zk4pWW63McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhj - jDHGGGOMMcYYY4wxZ6AJEjGfQ/D5/+vfj3amh/t2mx2j9ZuIOQki1RS7KbKQchWqvFyOqkq7mUhy - lfOUNnJuojtvJrOuv/FfvOWlP/4TyAnBMQgCYqhCVZq6gWREYCFfeuu/u0CwOHFk4gUpwTOo1ltu - vefGGzfbXFdOA+8uu61RLW2XASABYGWnJOqEFCSu9Owq+dmnBVurggkgSpIBYqG4O8NDD+PKq2Lu - Q+W65TJUFYcK/fLYkYdT8PWBTWxtQ/OsW7S508PnX/XG/w4c+935aLyFjFT7DvAEVwqkFYAAe018 - hFjBcMwKElA1tHtNMcKRMicowAxolODZuQAWQIY4Z4Cx6l2zSmXeK8UeWl08araz0r5mFEzkmNbN - Ps4aASrqSDl18pV7+IUvBBOLbhG+4+u+FopqFKAKBWJE9Syv4yYAmC/bMPYMTOpqSFBnYFQfcagk - bYWAqHBNfcHhYw89MHGo8uPd7Kn3QgBS6ktAOzGhBM+r7B+1qwbm50gBQGkv9x2igICZA1KEI7Ao - K1AF0Hx3MT9/axMuAT1qd/6VV5w4ekvSVIEA5FVIvCstJWjIFi/d82QV4O0UoNJYSipwOrqb77zH - bY5oY6RoK3UHduZfessvXfMT/wRMINHARAAhARlwZRXrE15mY4wxxhhjjDHGGGOMMcYYY4z564lU - lIfe+6wQQCk9vHzg/p17PEgSayWioAx25/Z5gMyIThLgHRoHjoolJnpgO1zw3d/5A8/ZuupguNBP - g++ZutznRTOqlylS8M6zZk05i8DBkSMWLpTVQZMmSZJVmYMog2QdAVPecm5jpwQGgXnIaBPNoEVU - Zhecc0485VozaSKhdif5MLp24+WXfP3lV1384j/57B/dffw2v01E5/b+sqqWt7YzoUQVOWFSTj7e - +8jdL93WjOwyMfOQsmCMOWtPehDe08aiu40xxhhjHkf5UP5eROIqltURLWNHHJg5C1XViFVjjF5D - yM21l748f1P/7o/+RkQb45RIxUH2QrhLTN768/d7n3onhRBWYYSyLglQgoJBGE0ms+nUbzjn0GWw - g2Y45zOSBFlgR0ne8d7/+G1fe+ybr/tWn5smT1j2zh3LqR6VQF8tIeJDmGK5x0c9F9THPksclkhO - O03dF6zI+69whkxxEiiDRPb/gTKG9S+ryENa/ZJXrwSUv3iMsoJHf9y6flQCnCEIc5XCy6zlwUhZ - KFImhaoqiZKDMpGAkgKkpMOW47KZSxxseVFgGEvD+uST76U8gFPiFIchcfolAFZPEEFW1hIG75QF - QgTlPI/TRH2GgJTOPelzuK5CS1nIME6EwKoEBRHgJKObttOIVDmlXDaGrAMmS8IiwHrS1ikfyZe9 - BX+MbXeG9fYsxTJku8r+IQE81nI92tY/ZSQUpAySTFJmDFJAicBASuim/U5LbeZeAa8uixKdayFI - uRcAKOMhE0oStijYcebU6XLez0WEyJ1dzOjJA6PMA/t+K+s9aLj7fVPHuY4HOnna0WECGO5peA1y - td8B5BhMFXzfRc5hozr08ue9lhv/Gx/+FeUcfXvKDrWu5oKWl/zkpA00HEQwpKoTt8ueK19z6jpM - xtVstx+PGoQ87R74z3/8y/IN+LoXvGG2E4ObNKNJ7Hr36PvvKSNh9XhW0eHDH5551hVazfznsD55 - NYBXObwn/+3+ux7yeglRYqhD6iKN85yO/dENv/vBW94dt+Zc9T3Sga1m59huXVWe0cZ2lYgsZQpZ - zxXD5EoCxRDsrShTdIcUqtB1MbCPO+nK7Rd9/zf90PMPvoRmIfAoIypBNDOjW8xrrlTKUZYJojQc - DsqKkvLSLVhpNZnRaWvvr9p6OAwrZPjmlI3Ip293Wl1tPTD2jx8CWJkgUVu4YfplsGpePXEp1xt2 - k8d5kOVYv3o24zJYOaLPLmXW9f2u6r94vQj7ihL3njCcvgc8Q16NYwA6PFeZSes3+q/sfO6Dn/n9 - G++6oQ3T+jAWsijFkLQ6pjlyBKekmnPWDBZyvQCZwQTU8VP3/MlNd9x81XNe+I0vf/3VF75gt219 - Cge2t3ObmFYrFgoS1uHgspqccVYT5slDev8zjzNMBSQ6/L9O4ybhlDn21fTjt77/A3/+rn7zRKzm - SZBb9by3tcq/pABxuYVyd05KTawHuI6T73jNd10yuSIsNyl6InhPwU0WXev88OgI0HVE+uMUk56B - EAPCp+/OJz8fW62M1aXyev4RAkPgKWmaLU7Uh/l4e+TdH/ntz9x+Q95so+86Sd7jxGxWeWxvuOUi - ex6epw5LrXtT5njijx9rN6tRqHnZz31N6qiLmV2OgtojZ4iimrBjv+yX1bq29uwW91yT3ePwbG0/ - JQJVBCCf9sK3AkIgVSCDwWAqg5BYkJEzVuHqRICAAS2TNoNrUoHk1LbJOSqbZrhRDJsZGRU3z9m6 - 4sKNK7Bwk2oylVknyREDcKrQYd7IT3GGu3nSCeFDH/rjn/zJnwJABFXNOT+5pbR93xMRAGYu6d3e - e1UtPzTGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMeav3v4y6VUec8GnF9+eUlNN - KP1iHIYadn3UhgxCq2r6/dcpd+cg6CNiRNd99pd+abKIh2Iat+1IxeUOiMiJScAebYQPSAnNGIuF - Z4dZS7VzmpGTdF012qhi8in7Po795Ms/++ZZM7n+x38c4woAiAEwGN2ydOD60r96y8EYR9KPJxXa - OVJE36XPf+747Xdtq44DkDIcTzx3y64CQoCmsgBC6piQQUN+8Ekr5uRvCaogUQiCZxEgJdx/Hy67 - JNRV6rp6+1CcdRwTtGVNiXnrvEOoKhCNx03r/YMpXzCaADLanKBL8I6AWNZ8WaUkWPV/EIAhAHqF - J1aCd6vtmrP3dQlXVpACDnCVl6VwzQBy6aX1eHXX63jvfQssQ/9oYrBPIAGDhFQAArOwU02P1p5D - 93V0OQUTxt7v3H33wSuvQkXwHn3cGk8Qu4pBVQARQn3qg8MwIE/aIuvmNMPAe4YhjMdNBpK2nhxo - aBSOurr+jW/ceetbsDuFOuSIq66KX75NFov1n65bxj3GT4afAzlnqJIqr2Owy56x6llE9IQ6a9H6 - onRvWK9uBREFiKAiAjmF0qj+nU9+4h+++usqVe1aCgGXXd7d8LlN32jqZF/0+7o9CJ/c9mctZ6lc - kCxtNx27+sgdt194eJOuvkyzjEabtEw07W9+69uv+7EfxeZoaORTRj5AYAdAT+7+sRo5VH4lAgGy - lsY6cIBjOJyh2chpMyRw2iA0xhhjjDHGGGOMMcYYY4wxxphnOBIMCWIA4CCkzAQlue/YnXPdCQ00 - a1YQQQWa94c1yP43R1fZ3+WmWAlKIiUsw8EruA80dQfDJd/wotd/08u/dZQPNWkrLMfUg0VG9ciT - dHHpKhdzzJk9e2bOOYmKc46ZM3KOWUjAREQOBHKirESkPLwnDmFlkLgQVGUdd0CsBKhy4yolRxpz - ykD2UEbSTGMKKfnlbjowuvi113z7cw5c/pHP/tGNd92AsetDlzmtwrNOend+HTcjQyKGiCSCKgME - kiEPLnMC56PTBzJnJSRIxQ4lW83eYDbmq4BFdxtjjDHPUEQgIiI6LSjNPM3KCVUu8aHlo7uZAOKs - yVUQ9KTwBM2SFM55ynHMY8zo65/3rdvh0Ds/8o77dr5QHYhTWWhABqnAEWtMBDgFlzRfHgIRlUDK - AjgVAAxkgjIyIZWc47x0Yy9IyAg0BBeqJAY57/o+Ey914/hv//mvfGHn09/1mu+/TF5cLcaq2Xli - UIrJw1WuUXU5aVZVxyDKmlTVeSBnWkc37v+Y8io++WRDHrOsPht9+oDdl9XNsu8KJ8Xx0qoCgQSr - Ua8ECAVd1YAwRFVTFmXvOjI25QAAIABJREFUvURJSE1Tx9yCc5beVU7PcYdh5ZIvy0D54LiW4PC9 - xwwApDxcU1lIQUJKThyxJuTEIBISxwpwJwBrDS1Rk6RgqBNkhpAqSBgQXeVjDqfuxDqsPClJt2V9 - 6t5aFTrDJStXmRXShpxZgMiZffKePHm3mxcP794nTd+7SIqGGencohxZhy2eyGdi5Z4AL2ABwWfk - RHBONcTFYne3O1HRAfjgRKFZKbNqTomVqjDOCQLWoXRCQCAkqPIqrlUgq/W9zpoX1hLvXdabCPhZ - nd4tYKEAZdK0f0isIuGHxSdd7xGSiYeqm71LOe0nA6esvbiK+yCJMhSVBJ9r0RZV6nh6185tutUt - NXkP6rhRTqxyroHECic+s2SWzHACJ/A9j6pmGhdZW78xenjxYEyZs1cSRSYFc6kPgpQ9jMqI51Wc - +RBq7ZCgOky3JWlVeaguG8JoSyr8MEbOeTyUSODy9yQYVvU69VMYAHWrsicmxyISNXnPgE9z2aou - eNVz/oa+ht9zw2/fP/uC25AEQOG51qTESkwpJV9VWWIXUdekqqp70cVcKq3AUPI+QFQEgVPf9VWN - JC0YaBDlkXfe8I4TefqN17x+nIV6OO9UKefoyTNz33XMXI+qdtmTd7q344BVQFImrnLH622sq0Dx - 1dyrgOgqBJghj7I+9364OgowSICoJAAL/DpGl5XLNOnZgSlCiDmztLkLIezG3XBIH5h/+T9/6Fe/ - +NBf6IG5+FiqqlLf1h6iqc+yCudlUlZOsqouKznxXUKoASc5AUyeAiVOmsCimjd1wjv1xdWVf+fV - 33/NhV/Di4bQpExMNTLKpO+g0CjMq5DjVT43ZDUyIboKlVZmxHMYZk8LGl78FZQXf8sRZL04AIbY - 3FXcL4kOx3FmLUcfEqgSouRQ+75djkYj6bNXx5k88fHlw9n3pOyyIzCrZBXnhpmnDKu9OiVd1eMp - k0BLIR+EgURgBityh7EbB4QTy6NLWoxJFCwkHuqIckyQXIUmCSkNsfBCUGhZLqdlXz0po1qAslyr - qWwYhADOIlj8L4NBCudFpe/7xteNc+2iw5hzHY/Q/b/+qf/n9qM3ySY66UOoUg9e7UZlHlLI3nsY - DgBEIQQ4ZGCOLm8+NOfZ0aP33fSeT730sle89qVvuOrwi3bbXLkqiCclAjFzEpGcnWOGJyGQSNks - JEpChFzC7Yfj6erBY/+YAVb1jVrimodpi0kUYAYpSapyjNElV/lxjEpeWzfvR7P3/vlvfey2Dxzl - e0MNBRyh9iHGDL8OwE6g8vIjK5gCLeb5wBg6R5CaUgh+8prr3vCKS187WpznckUgURDQpY49KRTg - zMNilexwKW+0nDWh1fNVEl4fPHVvkyjxuqK0RLAnqPdVxWE+n/uAqnLz5dJXgZiTtlzHBxf3/uYf - /+pn771BDqLlJSh5AhQhQIE2ZnqUcsph9HZpUkO1SwoKyKKiWqK+K4ImMOAcJAnQV1iHtZ+tc8n5 - Bk4tPFxRlOzxMz553F/DTCr7bkKGIb3+M4YKg0AQUiApASCQR1YlgOEgrKqKLGWWVtTJXX/5yw/7 - iylWreSqrpJmYWGFV2EVgmaiyJWAvGY+x1VknkTlfGp1lrF3cqeqzrkuZuccwKrqvb/hE5/+0R/9 - sSwAUXmbVIe5h/CEXvvw3qeUvPclpbvrunVENxE559Zf/2WX0xhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDHGmCeHrC9KrHUm5FXi7hBte0rprZ7UZDmBsSr61lWniSFpd1X8W+5jHTIt - gOQUXAWFEFpgIj3mU8yXD/7CL12lRDlT6moSh4S4hGfEhK7F7gzHjsuR4/3uXGIiIjBcU1Wbmzh8 - EBce5M1NxAhi75Bz8mmxWW8sTkxv+7l//vx/9o/hfHQbghD6BQuw6G59y88f6uebkphT6jofHOad - fvbm2e1fHqdYe0gGASzCikDICopD4xYFwJmImODApJpUfWlIsm9t0WrNlH8coCl5gkvpkTtvO/+l - L4Lb8BTQCRKjDujn00ce7DNNLr0CImBo7CU0O2ED1QacAzEqgBAAd1LGNq+DkxkgOAZGqwBmAsCA - As6Bhuvsf5zcrDqEnFTXv7oKrX5Kez+ArnqklE5lZTsrAwwOU2H1XruWmxrTmavqBZGjM0d0Kw0N - rPbWW7kkEs1JwJLoxC6On8CFh0QSe+did9PbfuFr/td/DMfJO0ecs3pHw2jW9SjcSxkv1ehQKCEC - ehYJ5U83AXHJqK4UkSiBPTyAgNEGtNFuQeMRpEdo/MHDsb17FDhHIYI6LyKsSqSl9coqSh1YLTtB - NGUGmD1EHDGnzF57x/AMJgXRKr17+NOzX0F7AxG8HjnD3dMwLPcGFCFUP/zB93/xzf/6ilms61pU - ebJ18OLL2rvucoieQeRAKhFEgEeK8Axe9fwprUTWrZpAkiGByfvczY53t95cb49pcxMjDt3yYBhx - 233yZ3/u63/mp9B4eA9mL0AGiMECzSitOlKGq3LW7JwCAco5o+8RFbN463/4lS7ml/3PP4JtJwC7 - cOZVoatVp6uONACGpHBjjDHGGGOMMcYYY4wxxhhjnjgiMPMT7qFtzNlzwS+6BcMraZRYe9akhHzH - /bfFSbfMS98gRXiHKCBPJCUvrCRTDAHVrIDCe9f32QdOCG3s/chnSUFRRxrFjXq5cWnzvL/1TX/3 - +qtetTwmNU9YAmkmZiaN0mUFyCPDIYBKzIUyl7vLClUSckyE9ac5StzPKu1sX2qAsmRgX7RQ2ZMY - IuhJGCRESmBRlJw2Yi8qXtVFz7u47rxXX/bKqy9yf/jBO96V0iO0xRGtMjTBgVkhJKzDe/SsDE69 - EwBOM5QjWIGgIOLoJLnkke+5/zb6Wgg7CppKHAMrzinQy5ivbjlnopP2dSI619zAvxIW3W2MMcYY - 87iGZ3nrwMjhWR4J9n+MeMX7KvbdeZNDxx458sILXvr3/+b4P73n/75/985qIq6W3b7PQOWkaYK0 - XfCcE5hEFFC/P/CsfBB9fwYdYe90d/jJvnuPUakSZiSKYRQjd5+596MPvPPe/+plP/iSi162PT7Q - znOttfeVdLLoWu8rcoFBogpCFYKoptg5YgXTcEpYkjCH01eGKJhOelAynHzSEKkoJQe3pBHT6rdD - ALac/McnhXav7kJXtwMSYmVydUbO0qkKM1XBMQdWJlepIkmOMYaKWDnGzvtze34rJO6ULahcNsfq - 4+/AELWLVVQtAKUhm5bBWQhECEoEKATlA/TDLZwh6nzvrjBsSlII6epXQrpen1JqXWidXHzSZXkM - TkmVkDk5OCfsyQGaVJX1viP3dljAqRJUxK2301lzikzIxJmhhJKcShAuufOQrEgUE9r7j9534MJL - uc/IjgBHzjkKPuScY8zl9QooSXnphMDKq5D4/SOK1xm6602wijo+Nf392WeVL+tKYisEivI6DtSt - F1oJGHa0stZKTq0AvLoEVoNzPQmwAsp1CBki6LImEtIsSFICXBd5cbw93oZeKjCV2FY6qZrn7JSZ - kBVKojS8dsRwkpQADprQPXTiQa4cq1NlhYIgJQcWwkTMEFElBTIgrB5g2avy2LurYbVpKYlgBgNC - EIB4OAF/gjnu+6dxYH/+t+wfkCUqVUmUmBROfBW9gr/2ea9LffyDT57o8onk2mlMkaNzQXLynkWk - jy17V9ccQoh9B4BPShQVUMKQIg2CB8CahIeyqF7gm7Sbj73zT359d2f3b3/D35XYN7wVJAQOzrmu - bUMIVVUt25a8K/nTQ13VMDDWS6Sr8FRZv9DHq0qnIcpbT1v5qzkcp6Ufr64poOGGh3LAYZt4AN5X - fd+LZlZEzSIZnnwgqXpsdDfd+5n3fPK3Ho53dqMdVIgKB0CH1OeyjZXKQVBKerfTYQ4s8+SkcVGy - ZKigT6q+r1yQlDWj0oZ2qwvCpX//2//hcyZXjnVb4aBuFdMOViEtNy+PVVhTpuS96eYZlwg7RNqD - yxMDAYFUS6EeAGVCKZDbf6g9FSsEEphIRUlj6klUmSI0Sj/rTiTuIGBlaCnIUqH17rN6HGe64f3f - 0HqiYJA6EUTEeT89yKRKOQuQiZ0LHhkxJ7CTcqgBAHVaIq5FCXmVDs6rUr1CCGUUr0b++mX5p2rD - KZCEAVUHF5xTzTEpiXpp/eJdH/ntO6dfWjQdMYSwiB3xXm72+lGfcpuyb0AqQWtdxFmzFaDuz+7+ - 01tu/+wrr/76177sWy4777k5j6n3FJ0DE8CM4FiTkoOISkzkKQSfNXVd58JeyVl5blKepJSAatZV - MS+Gf1IWIsdgZibnVFWzqKoKSKhuxjFG19CSZzv00Ps//Xs33PHho3qfPwD1iEs4AjvHkHKQGYpf - IQpWSoBIltqjbbFVj+QEj3TzxZe+7PXX/Rd1t1XF0f41vH/cnvLtOeV2r9Y2r0pJlRSgMj4IQMnt - luGQuJ4qGcDu7s7mxkZgd3z3eDMeJYpLtLlZ7uCBX333v38o3UUHZI45eXA+eXc49enlSd/tGwuy - f7tDT52WnrHvz5wpGvy03W3fdYbiUt1X4UwAgbkMdyYhAmWocoaiYt9o8/xLX5RmNEbt2Hd5CidK - DoIqg6CgBPhMJGCn+SlaUvOXwcwppfF40nWdiNR1fcMNN7zpTW8S1SdxdKeUAKiqqs5mM4voNsYY - Y4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxzwL7U5lp+K784KSg2XVvB159oQDBnen2 - ir3uIkPS87q9Bnvn27ZtQuOACQRtevu3ftv3vuJVB1FR7EnF1x7tAiqoHR58cPGVe+LxnXhsh9uu - gauJNGmUHgTx1D4UZnfeuRjXowsuOP/S5+KiC9jJqKoBSbvHD9STiZ987J/902/8uX8eQgQpRLHs - bn3zzz9HpJFUI2vb0qjBAw8eu/kWvu+hOmYWkAAKBZX+FsRwpZdGaT+DknGumpCgHhRc0Bxx5ir4 - vdVCEKeAohLg/nvxghciKcAcHCRhPtXYczXBofNR+TibcdPMu/61//THwQHMwwpdZaufjPff1xmq - nemMX570/ak9gB67ZloBQiYo4NeF/QSAty+8KN9zF0RlOuWq5nGjjs9Yjr8eJ+tuAGuiSgTnkEV5 - scQ99+KiQ95pTnG8sTHeOYHpFFXdR6kDk6O96vGTH/YZF+IZVw2uw6UbmtGAoSXyWrMQ+5nSgc2t - PJ+5ugHJ5sUX7t5/dxYhAhElqBJKlnxpLq6rjii8f8vs3d1eqnwmAvFJv35ihfi0vsDpyd+n3h47 - jEdHmvr8qdTkouRa1F98yfyee4IyICqZCJ4BICnY06qnEUhxWq82VShBA2ME5IeOyJ99hr/h/2fv - zYNtu+76zu/v91tr7zPd4Q0aLcmSLcmSNYBkIWNjYxtjxrahCGnTDRhIQlV3UiFpiq4UaeiBhsaQ - dKU7oYvQdDrdhCQFcYDQgGObxtiyQbIlW5Zsy9b4JD29+b47nGkPa/1+/cfa59z7np4sP1vTo9en - VFf3nXPuPnuvae+99vr9Pm/BzlgGK9CqGNevWVu7/1d++Rt/5qfhPNoWvRG61O0Kx5i3YAMRmkaY - JATEBiCE5uHf/teTxw9d3LqDLXwxePh//ifX/+zf5ZGHnStP1bm6v37NqakymUwmk8lkMplMJpPJ - ZDKZTCaTyWQymUzmJccA1eTHAUDJKkQERTy2caSKM3LBCGZgLkQb62xAna/EFqISMhBTXcdeT6oQ - lbQ36CuFdo7S9VwtvWbl5ive8ANv+aH9cml9DOvlgdgawEoLLchyrYnxOZ+6Guny55nosyVui785 - x5bYOm1T96/F63UMMUZm9uJ1rqj5sv4177rt3f2L/R9++t9N59tzVOjBeVQTHZUOursnSqpJTAOQ - waCRCqCziUdWQiBFHWc7852CDnJnWFOyC1mGlcm85JhdEJ7uc5DV3ZlMJpPJZDIvJApArG1VZ7OL - 91+8PT595ejan/yBn/6//vg3H598sbHTZR/RwaJWdV2i0GjGLTonMZMKAFAEBV3GS4BhEEvvdQbf - 9HW2Z9k0M4jIYG0bBJUrfABOTI/820/+b7dd803f+g3fdfX6jXEM1FK4EdjYURsqVWWGtVrPg4gM - er02QEELlSPvdSWqGXdaPmUDyMgAC51xu9sZBbp7TpgupN2LArKkHreFATupvtEdgYGM2JiTKBQc - iaaxIS++dGQBoQ6qHEQVMbAregpqNXoqvZRNW8d4nt4+QpSluxdkTMYplIFN98ZeAGpoACZz6FS+ - 1kmMrZu0YECNec9N+e7vnTKbNDlqCTBW6qyiqVSVUsEyWbcWPBWpJIf3cxAJUWBoGdEZHDwZK2Ik - F0Ebm6eId628Fs8R/PGVsTMX4p+5Fp9NVQlGFrh5/JlHb7riDh+dCwXMYBwbI89CiGhAMVIEWqNk - YmZNxWxK3Wr63YmShbRbALAJFm3QyED6XA7aCwElmkvS2AOUXNZgGBlSe1hMGJEmLykZ74lYYHzl - eABjZQREKDmSAuIAx1CmuYWTW6dnVauejdQMhja5fb/mgyE7K2ZJWWCkSu3JrWMt5g0qspLgkUKe - kiOVYWTKcTGYgBBhLOm93emwJGvvDqybHTQGpBtVACZViueaj3th6Kzq6GbQYFACGRdReLbyjhu+ - 67L1i37rj/73TT66umZjGwdXw+C8CKe2bU2joakBFGdWm3VzjiDjVOPL0tiNh/JSh2rfgbW77v+z - jRMnf/g/+XEEo/laaX4+m/X7fVMa78x7g36wAKiRApGQ5NkEdQBHDnulsHunKUnd4kTCkiYNWZXU - SBVp1NZOcguA2uUfhuUWjMU8lEHdJ3lhx67bxsTgYqsxxujFFSRVmJ/mo5988KMf+8yfzmRr6k7L - ip/ULbtFuJEVMF5Y7RWkkZVNOTqxNHcR0ogdDdUcJdNqrwgSAsVa2hCwT9Zp7C9fufp97/lb+3Hp - ilsPU6Xo3G68TScp7qyxFzLaDe6ENJkL7OnOnAIOaWG87uTJSJZnKOlSwc6AQsnYiURVEpaCK7Rt - ge3pjpkRkQFmRtydC/c6u2k5kX1GT9y9UEkt0CzJosmgwULBMp3t+B47Y0hhFhsCM5lo27Yk6dzK - BDhVUYhZZNSCyEm+rmS8Zzjc41k3ZiMyBpHRi6ryZSKOGozVCSFaYzUVRc3VA4/cff+X7g5rrQFR - 4QpRiiTnN9yKIk5QAkyhpimvSKvh7mMfvu8/fPyGq297883vfP0Vb5DZgKaxz6UDNdWMxUJU51w5 - LGK02WwGkrIcqirAu1cjy6HAJDWEdF1ghKSR9sxmqrCoSMVqMDbptf2icONmOuNpJeNtOvoHd/3b - h47et60ntQcRhAaIkEKMVBFtYZEH2NKzFgpEoBrek5pVdVztr169et173vjey+nVFMoXqGrOARuU - AnUXVKlRioIBWCocMiNV6LKemKSu69HKQGOYTKq1/n52dGp2vBltj2Xj13/vn54MR3biJok5JR8k - 2hnjbeYsFt70Pc+c0tmcyQyGqCAiIjIDSNnH8tWXXnvZgSuwnSJNlXgZ8Zos7ApjEMiML+Arw78i - LO4Xzq6IumpXV1dPb+8Mh0MCDj3x1N/52393a2va9cIzH6d8DU9XRISIQggikm4DvfdZ3Z3JZDKZ - TCaTyWQymUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTeYXTpdlZZE1INugk - ll5kcwAIRtBkqiYQWABJobQRLsm8GZZ8uosNhUXGh5RLBMvEIZRSVHCv7EEVdYN587n3v/+93/z2 - g56trZjAxmhqiMfxExv3f9amE6pbNLWFQICKBWETKKAKp8YaBo3JrMFmPX/6tI5Gw294PS7eh16P - nIFiffLUHZdf8sVf/F9e/w9/Fm2FeX3sn/3aa+YVjbfLskAIFBlPn8bDh/zTxy3UcMINxFiEYQY1 - hUJtoTtGSpQTAWZ4xxo0wIgiCF/Z270XUWw+9fS+11wLErNI4tBGjKcUdbBvFaSI0e8/WI/H5eoQ - qil/xyuL1Dye9Qocf8N/9kNHfvEXiIjW1rC5jaJsDb3z2bYBkVQEpmCDg20ePrzv1ushfSl9Xbfr - wyEgiM2g34vRWFJWGpZklDfAzp3gigwFfY1y6heRxd5IOnZwBKU0N+QcPNvBfTtHjq0O+9XJk73R - sLj0EhXXhtgjt4yRJ7aUVu2cLPJvdDH1ZnvybO227mVurxffN636LX/vpzZ+8b/HbCbs0e/jsoO2 - MojT2kUjNSawQBWIcM4p2nNuRohSEmZVIAQRhIDNI8fXHviSu+12jDexNpK2HU3jdeS3f+H9E+Yt - 4Zv+65+GBTgHX2KzQn8IAOMtrI6gATHe/49/9SCZr8f7qb201VXpAYJZ04YCdQWn6Dk7s4VRKrq9 - iZWytDuTyWQymUwmk8lkMplMJpPJZDKZTCaTyVyAqCozmxrIRCSasVij1YkTJ5TUM2tQIhAZLZcN - kIIC0D0zXdh8xLnQmkWD8zE0LRn2+ZFu2npxye033vmuO793nS4a0bq2HJrI5JbPvLWTnbycD129 - 98ycVCki0rZtCGG1t++Nr33LwYP7f+P3f+2Sy0YnqyPKNhhwjDHZnYw0cuc+F0VSikVKogqkD6Sj - VEXdzje3NvYPrwI5U8CMmS9QD3Emkzkvsro7k8lkMplM5oWFp7P52tpaM6+2T28XrgftHRD3n3/n - T/7xpz/w+ROf2q4OW2Hi0RhEfNM0VAAEjsrQ5Co2QHfvcgEoGXdGZ1IDn1MNSIQQohMpGBqDqrI4 - 6utGdfRTh+968vhTd1zzrW+96Tv2r14+2dnuu1ETGhFhATR4L8NeqY3OxnPpDUC7X7Fck0yLe0QD - KCkY0VlDk6eNDEZL2S0W8rYzFW50digCW/ddbNRJizvFI9jICCJOGUGVTRkQsHPOSY/gNic7g9Uh - r5Sb1clY1DPZfnTj4SDnXur9laDlUWl3hMBCdQosl7/TrozcKEZWPnPF9vKYgKWrWzsjb2cr7C6/ - FYv4B0rRKJ3+lA1AxDnX4e8NELHF7TzBRJWMiDhSsu6aWSQN1J7cOjGrJ9oPiGCBIxdNF0EoXx3W - lcxZtmw2GIwh4KAGY6jEp08+EV2jaM28UGEgjdoq+YJE0KIGlpZl7uZbrLNm7tmlpTWTjQBwTJMy - SyPgKyv24vxgqCICyt00UwoCYEsW3vShRVF3/QK6p+afr+JIgyGSOSMm70w4EqxVDtHpY08fgmN2 - nlDHCEcqQvHrm/ph2+3TzAxCjEZk8zg9uv10vz9yINEUvQUiBrNZVA3EfMYQQWBj7UJHzrIspw+k - qS4jI+0+kF55UdW8ENMUxmaL4BYCWB0mYcTrNxy442+8e/BvPvybz2w9NthfTrUGowmtKrxASFQj - EfV6vdC03bHQYrTsGoEq68KpC1YkozIxgkZf+JPjYwfWL35s44v/5Ld+6Ye+60ev33ebVu1o31o9 - byzwYDSsq6bXL9t2rt0e7vq5jZKKu/snGTSFyZ3Js2PPGLbQ9UIpDYa7f7XbBY2g5eLzoWu63dAH - ZmbHEYixbjCfx3pHT/3JX/z+px/7ZMsVjxBDmFY6XPWzWUsEhRPbrVkyBcCU+n9nsldyrCGy1m3s - ld6TC622GqOACEPnaaO87sBNP/Se9/WbwarbT01BLReuiLrrmVeAKbUqvsBnPtO0L591tt09KXe/ - 2K6KGJJG0RQmh73i7QjPRUBQRosYJUYXT483FUpkRmYWmSgSzHBOKerekcqIYWcMWEndbUyqShSp - pK3J6Vg2kUIhhZHTGKKqsZh0laUURRdd74xhMJ0d9Iwv7aIombvTxEtRt8yiGgGYRVU1Ju7L6fmJ - j9//ER3Mk1tcDNpGFlJ7zhC7c0LA0Hkya01brQOBBezgyvKLp+9/9COPvfbgTW+79TtufNXN1azF - JKwMR6bsjWO0WTUTcf3BgCi5bBnLgdXSeKOp/RPpsncvChCIykSgpH9XA1jImbTzZjqbxEHtDsTH - nnnwAx/9rRPNobY3K3rSxNi2gKEohIjq0Ox5mLL0dqfxE+JAERRKH4dXHbj+B9/5vkvilRgXYucM - vXzB4K47LK42uycoydut1v3UXQcxmwiZWdOEfjmMpps7m8PLiyM7h3/9A//rdrm1I9voSd3UQ+c1 - tOeOHM3sIZ1P9468bNC4CC1N13pMBGJzqPztN9xJQfquRNAY1TNVFsk8ABjDuGu1wPn2r8xLxmg0 - 2tnZGQwGZnbs2PH3vve9GxubIggRL8hAnXTd6RcRCSGEEFTVufzII5PJZDKZTCaTyWQymUwmk8lk - MplMJpPJZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCbzimZvTgbuNNvavZrSLKTIeMDAce8nl3kY - lobfpde6E9YqwLrIawNL2WwYQN2EkgWzMUL8zM/9d1exHJR+2N4mqbhfYjLHzjg+8vjpJ54aaKym - 2z2wF3Zlz6AhxhgDEXsvBLVoYlaSDFhgHMeTyXjr+NbGgZuucze/XvpDNLOheN3YuojpwV96/y0/ - 9feefv+vHDD11VyKAo4xazCp4gOfnz11iGNbcaxZyt5gFtHGxpiEWVg8wRMkmoZAZgSilP6FmAUx - aox6XuH+Ypic2sLJ07j8shgbZRRQzGZk4LKH/fsw22q3d9xoVBvDe/hXWDaB54quTwb40ntXogk2 - m1OvwGjYWnyuXFbnDtQn7RI0KAjoOz+dTHDiOK56VayjuZ6bVo/+03927T/8GbStSBmjQWjpnX+e - 2P+Up+gVmiAgpb0i6rKHAQTEeOXf/InD7/+VYlr19q3DMfr9cnUYT9ZKgBopjMDMGuNzHNZuX6dl - xhYzIqIuww9AFkFd4vNzJzR74WCg9JjFtugj2vT05tqsxcUHi4P7m+mWM3LM0AgFEaJCnjsxuZmZ - wQFK0FbZUa9gAp2SseMaAAAgAElEQVR++OGLmOkbb0IzGziHtkIMQLPii1LjE//oV6u+1165ubl1 - 9YHLnz70dH9lNBqNdrY3Dvb7tL1zPWMQamsnAbUf9OLp49LfB1Cvjf/4+773Zz7yIZgCcvYQevbO - LSvyldrcMplMJpPJZDKZTCaTyWQymUwmk8lkMplM5lmYGTMHi0QgoqjBRLdn29N6ygNOxm4WJkNS - UOy6tNIvKYG/QU3Z+Vnb+pJgSopSvZvLqlzylpvf+Y473tkLI98Mdrama739RBJ3H1Qr9ixIebmo - 61pEzCyE4JxzzsUYm2k4uHYZrdMPv+tv/MFf/O5qb/+pnY3BgWLWVAUEgFIwgjJgcMqSDoWAhe+m - g0CEoHFja+O1K0rJZXOBq2symZceu2Bd99ljkclkMplMJvPCwiuDA1sb2wfWB7XG2LT9YthWdtXq - dX/97T+xdt/aXQ/9kfTbk9ubgyG1Ye57vcZaAGCIBqZAxmYM40joPMcEUiVl0RJA5JahugiWWOI8 - N42qRRFnpmaq1qjCr5TTdn6ofuTY54988cj9b73lnbdecadVM899ph4zg3zb1LFqSinWhyvjGI2U - zEBJU61kCiydeAQgggFn3UrzQHaGMW+vWXzvdXJar68EA5Ghk5Eb+87JCgBGaAnm0u8GwDGF2KgG - diLsKFisOcJirHpFMWu3Kz/bGR3/88/96T2PfPJk9Qz1z0fd3Rm7F3vOABbe2b1BKWdc7TNASmoc - jDgtnidLDmMGM9DpvZWSd3mxQB4O4IVT1AAYJdmt7dqa01/R881C7DpoVdGQQFCoEgeGObCpC7Go - v/DQA9N22/qBCRYBYyZWhPMon4VFnq1rjct16mbGwgYGqQKR2xOTw6cmRxx6CnZkpZREYiGGYBAI - OSMo0TJug22p8U61r4CCDOhkw6keFASwJfezwaleyLpdY4Ru/sqcdZpqVizDnGDUdaB0lJH2aETT - KwCe0zlMCiZAwBIYgSzGAK153rj60cNfhk/tmxRmTBHn7b02QBkSNe1D5+A0EJGZdfFbGmrMHjr8 - 4Gtuuw7TllSgZEYEYTCMY2QGLXXsi4ruSmgx0qiRIk3jpXcIMDZaWFeTjNZexPZAi2MEMYxTFxBT - Ml7zq+NTk8HqRTfuv/N93+1/92P/8snx58jVfhVVACJiUA8S8WZkEQtzbbffZF38h9Ke2A9jI8Bg - pEZgzzuTqt+nSrbRosX4//7Qr7/jG7/nm29627gei/YuWrlM5+bh4qT2rnPQLsZYRO56Op3l6l6W - 56ItwT17TKCl0dkng7YtqmZPYzTiSM6SZpsIFo00kjJQiK/rtp410rdyH2/q1t1f+thd9//psfFj - 2m+5L5NYRw8CqiaCGXqWsn2hmu7OgarcwBzMAQWpEjWuT3U1bwOGZd+ZhUlV6uCWy+746+/8kUG7 - ul4coLnTGUa9UV03JF0zSWOP2ss81fuCwFClSGfN8+7WXTfQ7L6RBN3gSKopDDG1PmOHIoRYkCMi - i3WMDVxsw2w6HasoERGRqqUQsOVpfe+4xHbGqX93Hw0wTXrxdGJUUuLAEk9sHWkungp509Zx4SAa - 2Jg8l1GDkdIiji8wIjFS71NedPndJgzo7vT94lpieXRfcwk/L2bGEKA1ixEwoYqrh45+4cjkkbg6 - mdazYugYFEJog5GcXyiXAUao2xAZbkClkzqEpoVQGE+P7u9XD5385KN/cu8tV97+5m98x9UHrg91 - W2KN1IlwUfahsWkqVRVi5t0xE8ZMIGMjVQ5GRkYAd9cwYDGYGbEJCFCNIZgarGIUq0XL8x0c/cjH - //DeQ588rcdrNyeP2ESkEE7HLKiboIqiIFODITADYAWb0kKcbY31msF167f+p9/8t14VXzuIfVPD - i9ovu5OpwgSAwe1a7lM/obDsL+l/IdbeFaGJfT9s6zZIKwf0gaP3/Zs//43pYOPIznG/6hlOCm5C - Iy4/UHle0kUOL+3dlM53qVUQwDBLoZlAkDV/yfWvuoVmYJAjDtTGGMWLBgCsRGQOlAYB5cX2My8f - zyp/YwBVG6QoQ6vT6fTHfuzHNzZOx2dde3bXkF8TIhJjZGYi2tnZAeCcu3Cf02QymUwmk8lkMplM - JpPJZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lk/v/D2QH2KR8I7yYGAUCAA2OZ - bQZQIALMcLT7GSyjthc+28AaASYmYliLRY4GKhxCQLBffctb/857vtttjlGpSzmJN05hMt958Ivj - J57c53rOdFj0YmgRQ4ghRfA6gEhJkXLMRDNDIAQGC7s1Kcum3v78l5rDRy9721uwtkZkYu1F5Pon - T1a/8D9c2etNJjNZW9OdLd6ZQ3V89116aoMQteeiFG59/+DSy91giIGDwEI93diaHD+uW+OibXqg - AiIED1LV1kKXy8bOyg31fCWv8HWITz0jV1wOjWYBFLEzgdr6JQdhLUpPZNNW545AL3c66nNCezMc - LJoAA0HBFAFoskQbhsNIi5Q356JLrXMuDBAwM/kYtp58cv3yS2VlQLUOWSazGk0LbTEUEcdn6Km/ - CvP08yu+X0IWynGDKsxBUrC8EQKi73s09ZbQvoG32bzZmZcrw7WDF2+f3lJFykLMICJ6rsTlRjAm - VeNO3b175ItsON0PpZemrTEEGA6ON80KeG3fRahrNNXg1a86efipIsSS2TSqggUMqJ4rowwAQAED - HLMIhxg0mmkUYF2w9eUHBvOt8s43YDSEEYoCxmZxzbthiPVOY5PJwaYZnny67+o+S3V686JgZTXr - maCOCC158aP1uq3K9XXUhtjKqP+d3/lOtFP0+8t96DJ0pbw6hDNy7RjoldPMMplMJpPJZDKZTCaT - yWQymUwmk8lkMplM5quAiABmRDUDIcIihROnj4BNRFQbIwhYVc1AJFAGqaVFI+qgjjS5fjAPrQnY - u2qnPTgsMfa+Gr3rje9+041v7zejIgykdqP+cLo1XV/fX7dVpGTRQtLTKCUtyMuT/19Vy7IEUNe1 - qjrniKjv+jrWoR345qvewVH+9V3/8sCa7kw3xcNCJ8JI62eSQYyN0yIcAgwKUtjCg8MAcHrnFCQi - aZxSGeYM95nMV81SCbFXY3RBkNXdmUwmk8lkMi8kbBxqWx3uH+9sDPrFcFUms8qzr3eagVv/a2/5 - ketefc1v/eFvHBzSzmS7NyxmTaWek7k43b+BlEzJmKwTGHerq4n3CGvPcXeqqs6BlUIIZigK78W1 - McxDLY5BxKU9On7wiQ9/6ZYr7vnON73nVavXSFVScB6+KAtnElud1GP4EgAIbEpQhlG6e0zibbAR - GcEABQNwxkyKvftkbKRkDKju2VtOHs7lcnUkqRtrcvF221cji6xGqqSiiLV5ELmCwVE1WIQL5Nik - nbvZ6XDi7s9/4mNf+PDx9hl3gLXXILTnE03BnHx/6T45ke6bU4EbGGrQpcObVDrnJUVQF8dAS5t2 - qiPS3TtqUjYoBJ2Yuvs663SDRovyIUN6NxI9SxaubKy0+LmntA0Nw5GJU2FjYm5dqPxsBycfPfJg - wIQoFo7q2kJUEY/zVHcvd4BMd23LAClI2IxAiECQdnu+8dBTD7zqddcU7LVtm6iFlEYaW3XMis78 - DVuK2zkdvBF4T7kpoWv8tAxsgJKm6YsLfbaCDOiCcgRwy8iWJKoHhTSZ00l3F9EAe484/UGKaXiW - LtdgKkSibIFZYcLqY+g1R8ZPHd0+HMq6bRsIPIOU2hggX9exJAs1GxNRE2pzYAcLsaX6y4cffNst - b9/ve74xJYuqBLUuIoz3xNDIwgndjW9si5YAAykvGrt2/nhOpmicbSx+sWBjg1v0UgVFNg2B9q9d - tFNVdVVfdeDGn3j3f/E7H/7nh8ZfPrFzigqMSh/bEKroPYysrhvyzghGDDBs96AWRbms46Q6hkZV - 08EKCWQ8GRcFxOuJ7Z0//szvfe7Qg9/7rd//motueObkoVVeH5VDp4L2zGnLvb7zvS8n23p6ic7Y - h/TiskCNls7vTve73Ny5MbYuHkiVY0sa3Lxcd00x+9ST937s/g99+eSDc7fDK5Ufyumdme8D4gpf - bG1Xw37ZHT9pNxRTd/rrNtkNtAHU7Qk7mtVNiOj3xFtZb9eX9q647do3fd8bf0S3yv2ji3xbNPO2 - 5LKdN8wEQzyzKBbHemGrXsU6zTnvVtBup1CkLgOl5LkHpfFCYKTKMCjgSKFmZmYRzELGLOxLGY+3 - q7aCN1MlIdPOqPs8LBqz7lFoE4zZzGCAWmSywM0zx59yN2lRUqhjCE3phmQWYyQIdWeBNDvPagwI - G8iidO12zzkQMGK23abdtW0Dvp4B7qtAI4iTdBYkHDnuxO3PPXZf25vObSI9Art6WpV9r9ae78lL - CdO2LXtCgqqNbR3EQzxbjIP1YjbfdMLD4eizh+968NBnbrzmG95y67uuu+h2rnqmShHORByxCSNd - umFZaAowNJIaRyOFMZmls1N6oiACMwvWAkYSncAY6ppjevjQxiN/9PF/d7w+POaNUNQNoWAJDTnn - xEzbAIUTqKSLveUQxMaqBjGwod7BGq9cv37rD77tx64qXudmA4MKseJZRt8XlOXlFoxBgKUOkTqG - nlE9SWgOqIXCD+rQBNfwWnzo+Gd/58//z6ebx2udDvY5Fcwn814h5cBPJ433F/b1yUtGuvZb2LuZ - YUaWOmtqp6TitHfDVTevYL3Po2pS9wqvjCrUvuynTg5jpKdYtHshnXllUvjefD7/0R993+HDh7t7 - ijMfn3w9pu0YIwBVTRup67osyxijc/mRRyaTyWQymUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lk - MplMJpPJZDKZTCaTyWQymUzmlctuZqGFg9kAorOTgaQkEm43B0/3SU2pkJZ5VxhGiCnJggGAQAFE - MAFCDIBAArW2RVDMZz/xrm+n0ydKV0IjQkRdY+PUsXvvx87sVaPVMJka2jbCE3U7tkhbDIUpIsC0 - yGMaoabQhrQpQMxo5tWpv/zLg9/6VhRFE7Toj0a9ElUFiqWzMNtxnjAPT37kI25jZ1gWcTBcvfTS - lWtfh/VVGMCGwiO0ZGH06qtGcNjcwpcfPfnYE82sKaztkxOWqAGA52el5nk+xGyoGB89sj6duEGf - SRF1vr3Dxn7/flQVCmnNQtF7/U//NJyrmrZX+vOp3hcdW6SQWCSC4fQiHJGQGhmIhkNsbqIoyBcI - Xynf1LPyFwEK4pQkiNG0HmF89Pj6eAxm8UO01Yj79/7y/3THz/83aOcxeil6XYIP6n7uaeDnqp1X - qFA5Kbv3Zm5RmKEsN1Sv8l4xJ3FoWlx6iT3xRKxUukRI9pVboJGlrCNEBOZl3iEywBQGMigt0rK9 - 2JkrCE2Ihcg3/IN/cOSXf6VozTcBaPHaq93nPhequlxWGjOrLtOlPRthjqqqygB3eUbABqE4crz9 - xJPVeLp26+tx5atRj1GUDIjryzw4bZ1z5Eh3tkeeq9OzEUvRG6GOaBsYwA6+j2pujaIkeAcg1rPe - TGGMqCQQnJGmxBapk2hvgpdF5qJMJpPJZDKZTCaTyWQymUwmk8lkMplMJpO5IDASQImICGZmpCrN - 0yefJoqKqNHS2pL0C9FZT0N5KQIjNgLEc1W1BYFqR2P/bbd/x9tueZft9PrlmlUoUIY6rK/vn8/n - 7DoP0mI3ugfZ/DLJF3q9npkRETMDiDHGGHtFHyGuFQfr+fxbXvuOaZj++7/47eH+0UwnxLsP4uVc - 4oKzSooISrYz3VQJFiLARDDTF9tvksn8VeLrcUy8vGSPRSaTyWQymcwLCRm4Nc+srl+3lTnAU1tX - ZdkbuMH2ic3Xrdz5s+97zb/64998evbIrNnyhdSIRmxQ3bV3g0nTWmQlwNiMFQiuBrDwdO5+aVr7 - 3jQoCnAhUA2t1qFt2zYYpASgQi6EEBCKUfnA5j0P/t69t15z+xtf9+ZbrrgDzaCa1qXrc99VVXAs - nZEXELPk5V18UfI0M8DKiKRsDO0uKXfXWCdxdzqY7pAWhUMBVHfL8+HiIgjEaPF3MKPAiDAVBGfs - 4ApyGqSKdRSzPlpfjXlrMxz/yD1//OCT99Zu0pZ1KKuqbkFw5yXzNVbzAEARaPa8wTAHE4AjKagF - BRBEQeZIHTolqgJMBrEUo7LbDBb1c677BFLrRIMKS3/FMGLjJFiF8RkHkcSERozlT3D3ZYGoYSPS - grQwlta1M9nawbFPP/bRY9NHqQzEqkZMFglq4ezJk6+iiJZBEWzJ0wwAREREMUbnSdnM6Zx3Hnzk - 3m+67M3D3pDY6rYiDiQULLL3UVsgFSCLkiiTMUCBzRbbTyJGIQa6iQ2jzppuxMuyvZAhWMGAQmAO - SPEXap03NABxj5FatPN8L+n8u3s2h050vcCiCgupU1NlQmkzP9/Gxt0PfXyMjUgzFkDhmEyJwfY1 - qFI7hTaQZPYGsu6WmBlBYWTw4fDWoU994ZNvv/q7S+s5ZhAFKFEwgpGFriqZjMWElckcoKCw7DVi - hOS6hiI1Bur09osDfnHt3Zacx8akIgBShBupsoqTnWY7ctlzK6GmA3Ll33zXT33wng/cc+Seo5Mj - PHQiqLiFRBaIR9RgcApmS6O9AoGWLuwzWjWTwYnNGzMYWZACjaKup6N9xenZqWre/vr/8+gd1935 - XW9892opk62K6nIoQ1IGBSzKS7SIrEZBKe4pJers3c8qN+p0mnvmEUmBZLwGc8CzNNdsLKowjinO - BmmUcEp1TVNdrx+aPPKJ+z762cc/PcUO75MYYkOx0bh6sL+1PRdGO2tWR6NQN12tUuh2hXbjBdNw - qp2TOgBQQgQiwfeZg2u26kv9Fe+68Xu+/Q3vlumIpXRNOd2eDvygX/RUNJq2aBe7r/SSGN9fAsjA - JmkYIeNF6FcaMLFoVaoEs2VLE8BgAWgtlbaFNOASmDUSsYJMREkffuKRaMHYTCOxKCHJVpcnkcX8 - 8x7F+96xiJbvqqqBwUwWDdDI2qI9duKZna2tVX/QiW9iTMGaUZXEgGBk6KzSzOpJSwCMFhSSbtn2 - fBcp0oeRrp2g6b0XdXwAkrTboMrCptKinunWkycfrvvzUKAsilCrKsii92UT2mf1oa+EEWTA0xCt - hXPoiyBqDMoGaFsUhQWbhMr1mGx27/GPPPjBT9+4/qZvufXbbrz+ZgoynrRDWSm531TRQxYPNhQU - GUoUCAAczMEIlkJRGYCSRmhANA7iySRWcT6ejjfCMx/64u/d/9S9MuCqqKwgcDHq+Z2daa8oiMSC - xhDNrCgZ0CYCnGLqghEb2AgglcCXlRddUVz/I2//Ly/1r6G5lOLquoEQMZ9XEZ03lr5geU2L5O0G - lBEX4zDBHMAK9c7MbN7OKquLffapQ5/43Y/+H6f5uD/gJ3N4Y2p0ZeRCCOMquh4s5mC958cAsrMr - mohAFqwb+z2KPo1ue+03F+2Kt140qCpzGk3C8pGVEQjMpmTpzuivyNh+obL3+sHSYMIATKmqqr// - 9/+rhx9+tG0jACcuxvhCie7TOGxmTdN478uyVNXs7c5kMplMJpPJZDKZTCaTyWQymUwmk8lkMplM - JpPJZDKZTCaTyWQymUwmk8lkMplMJpPJvKKxxX8EAETYE3/LAGSZbial/VFIBAhwCFCBynIj4EgI - tCv2dgJACeZATSfSZYESQFG9KjZPP/Nrv3aJRccOTQVSOMYjT29+9jN+Vg1Y5pMtARzAZdnWtRDY - MYgQ1aJ1O+44qloAEWhPuqcmmliM21vQoA8/wje+TrxYAZ1Xrba9snAx0nwHW9snP/aXo2lNF+1f - u+Y1dOVVWF+HL1BNTCsaDjEx+CEKIDaoWvT7uPXGi667trrv/vrocWma0nnSmGTIGs8v2pzMfNTZ - zgTPHMFrr2Y2QKeTmStLrAzRK8AszJX3gKGZ+7X1ZfG+Etjr7Rak+G7uktgwY3OzN1qhqm63x74s - Afb9gVUVzpViKOUGA+lu5iwDGYRhCiYxQ0R0hHI2x+OHcPttBROBe4hXuh7GY+xbl1J2t80AIS6a - dMoW4/aolFO2EHpOGfTLwSLzVVcAi2RpBHUgOAHsbT/3c0d+/r8dKRUra5hPsH9/dAUkkBoI0QLM - drN3G4AuT9fe7AqdthuUkqcTLdJpWVcRL01WLQPEeYSIshgPemvN3DuvpNzUa5dctrM5CRo8UTCD - mhgzs9pzJAMhEqJoFlQZcExEpOAqBot2sD8an9revuu+3kWHytu+EQc9WQMiRoRFaxoKwjFCfK/o - wTCf1WB2hfMBmCu2x2im1dbJ8qrLqN8H8QoVvca+8D/+6k2/+EtwAEHOGDwzmUwmk8lkMplMJpPJ - ZDKZTCaTyWQymUzmrwJmZlBOFiDSIPWR008rBaAThcSoIIiQqjIY6bGpAVDalYaocxzUKKCkUmbF - rVd/07ff8d3FfFTKqs2pJN/O2qIo6rpmR0px6XNZysdeLm83gBBCyjzPzCLCzE3TKMyYQmuF9bUN - b7/+Ow8fffreEx+bu4k6gMDaebuVoKTgJHMD2+LQknzHEA1GmFZj5RAQBEVnHslkMl81F26XySqL - TCaTyWQymRcWLR211ZxLMS6mVcUMXxYMxEm7XuwPulJa78e/929/8N7fv+fRj5rOrGgjRzJlhEjd - va4tVpyTuiQtNg4x2UsVONfq836fYrSqCswQYVU1Q1lIq5EIbRvE4PtlE+bzOOmv9D975C++dOhz - 119y81tveee1l99YhzlFN1hbi/NWlJNn09D5tDvBNhhJ1ksQTfZoXRiXsdhvAMnQuPvP5ZuE5YfZ - OsNouvFWAwgGKNvCTU4sCmZS1spmta8xwpi3v3D4c5957N4HnrgvlvPYqyrMjKNz0oVznNeVOaml - df6U1vQrkEJTmI2XfkdbqmQNEr2oT3tui/tqXqyAX4QMpP91i84VnEzdSSlqZGQKAsG4sxcLjAFh - gy4W3S+V5ksRK51Rnpqszw5GpqbJdq6Nr3do85Qd/bPPfHCjPULDGKOFxlzpSHzb1nxGnTwPugx0 - IO0qbPctYwAR8ClIAtq2T5589EtP3tt7tV8d7NOoYAdzFtWiiXXbAQBiJWWwkhlrJF1umTuBKMS6 - XkB73MYX6l3XkuQyNAbYILqYxiIzhiI1DIAtuUWZO7dox14t5W47J8C6j7GBTYkEQOQYXQy+2dBj - R+pDdz/8iVDMjduyQAipGRrL1yDu3oWsE7oDUFVyJELTuToHN6Dx5PRd9//ZLZffzsL9Ygg4UzJz - bJx0vN0RJWvsoqFFjqmxLaOEyJAChfYY6yGLknmxm4SBAaFFPIyxJvFwrfMAdmXPlGwuq4ODau77 - 7/zh1cdf9dH7/+PJzWfciFjaCLQRmiYxLdUgwdhI48JOnY5rWaEMVUMbbHXVV1UbFEUBKCJjag0N - /HZzetQvP/nwR770xOfeesu3vfGGt60PLp5UrWgp0ZERL8SobICCiNM3Lcp8eXDL5qToPOUw6obt - PQOpYjf6Kp0TutfNul+VVBlKZhSitMFNt+Pxj3/6T+955K7NeCL0QsONRiKJziMEzLbnZb80pcJR - W9VFUVioGSGNtEqwRSBTNwR1LV/T7iUXtYf4puQxXbNy3bvv/IE3Xv22dsOx+tINtIprgzWLWlUV - MSJFyJlW8u7wdSmGvxCx5VyvOQZ1Au/urKpKZGS6Jy4rhVMCABQmEoHOv04SnZCoKTgErhuej5vx - Zx+9N0rLzA1iCg9LM19J4H1OFiF5QDf73Nm9VbtgSyIoKTEp2s3JxuPPfHntVQdHvX1tE50FgiMS - Jz5EKAJ3V0EAlM0AKHfNT5dy9/Q1i2OHcRoRka5PXmRYEIJZhLAz5kAx8GwaNoIEcxhXtTOsrZWT - Sc0cY1THz7/NvTRRnSMAIZiFKAxhQBGCAdGITGASiaKaRj398OSzD33owUs+dfk33Xrnzdfeqn7/ - rPG9cqDRiQord3b3dD5dxgoakuIdFI3UKESOLdfqQpB2pzr9+OHHHnjo/oePPThdOdyuVDODFH7e - tEQSG5WC29iQsRPfcz2NbWgCMxwQDQaAQKZGIGOJRVmPrhzd8JPv+amV6rKiKUWK+WQ6XF1tmuaF - rJtz040CRtxd7ZGyKShSGjDBCoaJwQEBFqs4QU94GO768kc/ePcHTstRWtGd+dyXLrYNGG0DMMRD - 7RUVGPqKxvZc1zGgSsaIMCNA4Y1LHg5o/ZqLr+/H1TjTUX/U1DOCFkVRtXH3RkkZxGlg0NTls737 - lYYxYD/3cz//oQ/9v6niCEREBnuhHkAmbzeAoihUNT/XzGQymUwmk8lkMplMJpPJZDKZTCaTyWQy - mUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lccOyRdivABO0SLy2is2k3wUIgmEChQJJ5C9siK4gD - CIhggaYEIZ66tzS9GCO2Jo//8988MJu5tkE1Q6+EGg4/ffTeT+8L0UJ0iN47a4MCVteOYYbQdumu - CCAmI7SqRHBCZgZFu3i38KhbLZkwr448+NAVl10hlx2Y1VVZDLzz7XzmC2B748sf/+S+Sg9ceRVu - vhmDAVb3BeK6qnpFX3zZTufcW1X2rQVS9MsCDFgLmffe+IZwz6e3Dx9dNTUyB4DEEM6rtAlgU2ma - 2dHjg1dfASGoNU0zXFlFUYLF2qbplVMi9Psoy1dsADMD6Oq6y8KhgBw8MAtxRdn3+5hNIN4PV3Tz - 9HNtZJEy68wtE1qFcEowYgUz1E4fenr/ja8nKQBqp/Mh47O/8o9u++VfQKghgpQzyro/D0u5/K6k - vttD2yunf2XQdbQ9/yQDTJlgYHIOFqU/5Dhr5rMChsGo9aK1gWKXA8n0KxxRl9+DiFLuFQiWjbZL - sqQEWbQ0xYucwYIB+ALt9KSFy7xHUBIPjXzwYvNPtHXwwhQi1BTGYBjj7Lw9ABBidCICaIwAoGYw - gxGoLMpmPnSvkqsAACAASURBVC1gpZbVsROnPvxhWxsefO3VdHAdq/u41wNSOjZB3SDW6PX7bYuy - h+l045mjbms+PnVqMhm7teHg4IGi3wcJgYt52N/vIwDa5XcT2pXZZzKZTCaTyWQymUwmk8lkMplM - JpPJZDKZzF8BzDo1lJmZWPDN5uxUi4YFZmIWzUAEZm5C3GtbIQSQpuz9Zto0cF6G5ZC26ED/yh94 - 1w8P2vWejXTOToSUy7I0i85Jq7WR7fW5UOfWedl8LkTkve/1ek3T1HVdFAWAYMGEoexaP8AKzfHX - 3vLek3/6TLUzq2S869kxFiCKRu5M3oSzxUYxIlqYh4miUfXI6u5M5vy5cLtMVndnMplMJpPJvKCQ - qk3FcTCnJuz6gKpFsijEVre9ome0DqUffNv7rrv6dX/w578zbjZrTKIEKqRBHQ3soApmMhUyL0Tg - EE0hEIa2YD3j1jTpLUMwACIAoKZJNR1CZMAI7GBAqzUTe/bRtKW5juL9W5964M8+e+X6tXe87k23 - XvUGMnLcA4SNDRQhppSMrELCBpixwUdjIkCNVMUiR+vMaQSAmdNdpWpyuxIRMYOICHA6ijGqqhGE - ASYgRnRqZyYiZTMjc2Qw0inXWjTzYnJ0/sRnvnTP/Y/dfXR8JLpWh8mySAV5VbFWHVjP86bdSKNV - 1GEMNut8p6bqPDcxqEXnBMRNVFGP2pexV/peGLfsvBPRJi6X3ietrOy9O1jIepOC20gJCoKDQQFV - Jh9alEUvBJBAqTIK6GTmu6rdGGNXsGwEGJkpyEIhrmkCOJqnHR1jFI5NnvztP/qNTZywQTCACM5B - VQ0t3NKoeh4onRFlobTcpeAcqyqANkbnrC3Hf3Lvvyevd9z45oL3hYl59QMbcGOMaNIat4rQMilH - Akg4Wg1SMk4FRcZsTAYGa1Ric+CmaQa9vmoIGlXOT87+isMcki+UkHoQmTECIQrMIjHIIGzCUjQa - Ibo83qT67kIrmMzMLHZaaKakzyZlET8Ls1bappjF1faZU4//qz/5F3O/E1wN7loBDGA1wznjIr4a - 6ExNKQkMaFstCxhQt1Vv2N+an/wXH/yN7/mW77/1htutYp35AXlvPW6FjC1GJWVHJAgWgoWIFs6U - lQ3UGb6JjQlgcqFpREhEYhsAOCHFGWbiFxwlVmKyNKGmZEpmypqM3iQUrCKTkgubq7f1oSvfef33 - XTy68k8++TtH50/EYd1yDAoIPMGCIiLZzo00yZDTVJ0CjKQgTaWpHmhnrRCYoQFYhPe0bdvv9Zq6 - ogJbOPofPv3b9zz8Z7ff8OY7b3pnqQd6Yd2Ffi86byZoxGDBmzLSsCwp5MqiRWZWUoWZRSU1AhGM - EGFEBCOCdapvEJGk8YeZAWjaIQixVrrT65VkaLXiPlW9+ZePPfiZx+++76FPNH6uUkUflZXYjKMR - QgQBpWcLlr7FsVioQXsOMmGIhGSvJ9Wki44R8CCgYPhK/KR366Xf9O43vffafa+Pm1pYKdS3oEQU - NBIM3gwKUqNufpkAhsJ4aS++cFGCgZkdQCGYGZwA6MYRIFhSJxMh2ZNB5sTMohGZc+ZFmYNxFDEB - W3RhxtOqt7NJG3d/6RMPjz8fRk3QVgQxRhYgTSvbwsh95u7snTRfnCa6YDBmhqmmeiAQWUCrvfDB - v/yjK37gNa4sp9NQuhXRglS1IuHSsRCCIajWAIhbEo6GSDDS5cR9EtUH1Z6UMaqq9vv9yWzCns2e - PQf+AhNjYGZip2pwrjfoP/3EI0UfQaMawBCmqq2dg0E0BvB5PFoggwcsGACPbui3FI/oEBCBAgIz - pAi3mtumOCIH/UxPHrrvwf/4mZUrD1x1w1U3X3PxtVfsf3Wh/UKHjIL+P/buPPiS66oT/Pecc29m - vvd+S+2LFktlLRZCLSMbbCw3xsY2Y9aeZhlmwMz0RPQfE83ATAxBdAMxSzdMxEwETRPgJmYimo6O - abqH6QF6MBhjG2xjrMbGi7zKkrVYe6mqfvWr3/K2zHvPOfNHvverXwkZVEJlquj7CYWipKpfvXyZ - N2/muy/P+abAFoHA4ACYmVkyMhKoaOJ2zjMa6XM7zz7yxIMPPfOlJzYe255vZu5wtIPP+9D0bIkj - O3mCgsEEdjNvHUGEglUONTNylyht0hAQhXxC63by1Sdf/8Nv+a+H89WQI8wyUjWotOsEsBeq53zZ - LEOdL47fZW63uJkZEQeJszaDICH095MW0zie//iXPvqej/+/W3Z65Ui9PR5LqJCNF7ctMAIU4n89 - 3xtdQ5Yn5OJAGFl09Df+sYq73VwiYGhk0J3Pr3vtvbWvYS5R6twlZnZSVWfmvRV4I/BywPC1fWv4 - N4S7B6lUNZkTUZSYc/75n/9f3/Oe9wIgsAESQpczc1jeS1wG5sWHDhFR1RBC7i9++/5A/7sv33sq - iqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiiuj7x6w6PWBPsuXnKGA - MAhqfYMM9O14KLojZ3QVCEmhBGMQQA4JfcsSURcmc1tUdWcnQnA4wQIyEHL6P9/+Xe/8xtcNa4Mr - YgMwTp85/YlPDlOWrETEME8ZFyvDF9sGwAnubN5HLxMcZCDyvqtF/wcMi8YqtaJmwRPP4vDqsBmA - 6tROYhB/8qlHP/bxocux192DG14JriCVg524GYx0NpMcUTfnRqML89nRZq1ps7eZujk4onasNCvf - +obpR//D1ulzIxMxzSkHEe+Tg1+Efksz8iA25599Zrh7J9YG2NxAlGZ9FUHatq3ryoi74RBEiPHl - PO4vB1oeHepzu/35DVvmgIWg7UxihWTVaJQcLJKyRqLsHpjd7C/oOu0GYWQ3JybAPQdnnkzw7Bnc - 3CCiGUSbT48OBsgJdQU2EGOe4AJmMkhYZFM/7wWuwt4Ae8HPy8YqfSNvgGCmzDJXawbDFiE5Bw5I - Ck3Hbzp14XNfisSwriJy9UtbfV/sQUEOGITJnEUExDAADnN1h2b48nX7rVk2Yb9CFq/CjKb+2//o - H57+2Z9fg7s7keDE8Y6kDpK16/8o/4V9QAToT739f4jII9hTJyACe55HoVVj3R5vfuKzHqvYNDIc - 1sOR1A3HCqxdN0ZK3trm+a2drGE4pBiPnjh5w6tfhePHINDZXIYDEObd3IcRRsiGvpMSg6ifSvdt - xf5jcS03FyqKoiiKoiiKoiiKoiiKoiiKoiiuEu5gZneHOzO5OVH5Iqq4IpygbgEEIlNQJTtpZ3N6 - Dg3ZMqeMGVgmWNn+8DICYH0CUVYMBzG35pmG6dAP/d2/P9Jj3K66chABoKTsbmzq6qLAxTwXuLEz - cElM1dceM3ddByCEYGZEZGSJM9eD2Xy2IsNBWjkcr/v+e/+L/+M9z23k1FVzB8wREc2yEkIFc7CD - lk/p9F/Xw8EMZzq3fUYlxRi89ZyVgtCLffykKAr0808fJvUXPIZ0FSrR3UVRFEVRFC8vc1JQn+Uc - loHNBrhE1tZdPSByqhuJ99zwxuu+78bf/fBvPrH5yLi7MG3HPBAZ+lyNBPPOI7MwVBWcWGBA2yLy - X7YJl+o/ytIyLo9goOxkNJRJntAAzWj1semXn/zTJz/+uT89deS2N73mLQMaNc2oklGwhi2y1cEC - gWHEpuwuMPLsbtlyhisxETEJMxEJmRsgIA6BHUZmZubm5uxkLkQMESFycndVmJllVwnsDGN1V5CL - iMV8rjvzhSfuv/+RP/vKhS/v4mxbTbxxhOVHfgvszL54Vr3PeX3xyFEFMnd3N4O6ExETUaCcVcia - irvObZqq2NQS0MY1OnxwcCzPtJaamVOXKq7J4OSLApLF4kG/RdTnCRqxA30md5+46upkYLAwg8nM - nCVr20c39/YnEdZVZVAzU9NkRuQcJEDmXUvkGuabeTsej1/eeOD/fv+/2PKzbWyNl8+R9ynRvFgH - +CtlXzvg/U7ui2UWu90JKtbG2Xl99vfu/+1dn3zznd82bI5iFgf1wFt1y3CmEFiIGABlN3NlAjs7 - mBb7ig3MIGNyIDCzIHpUTWZmniFXXUHL5WAsCo3cF4sui3HMDlIKCESsLk5iYDMl5kXMNy6JylZV - ImJmEJzMXdWNHE1Tb0+36oPV3Hbz6uyLz37m13//X06r3RRmypmxt+QFAP5Sc7sXlVV7a2dkBjgt - Kk+oX3WSdlaPn54/8q//+NfeuPPwt9zz1tXR4ekEggD3aJFYAOlym1KnnKliiZw8oQ8pJ2aQgx1M - zuqABDA5MQcnNyJysytdHWEgEIiUYIRMMHImGC0yeI3BBJAH0ip6DJNw2+F7/sEPnfqN9/+Lzz17 - H3RMtZIgZeTOqugh0HQ+d0eo4A4zMEK/A9mXa51ue8t2fbmLE8jZyZqaiXOiHAKhqbOkJ8aPbX1x - 9w8/8eF77njj6+588w3rN1luQuKokCx1DNEC+oDeZcIlEaklJwI7sRMBZOYORxVqd4eTw+HeT0Jk - FlncPefsrkQOJiIySmEVc97OZFuzjS8+8vlPPvyxx7YemfKODTujtFdE5LQ4Uv3kQ/3q5OJ3jS+N - fPa94hdnJzLTJgbNWZibOs7aljLVuYq7g3d849/5zm/6PtldH5/OB1bWhaOm/q9y61+RMuBOtu+6 - sHca2b5/X6sMauYAs0gIAsAtwxxQR15MLIsSSLihzVMKIiICpgwyCKogBCBTGvu2DVM32vnY5z74 - h1/4g/lwU6W97G1yXFInRxf38yIuHTAGgCTteTnz6x/8tR/8jh+98bpXbZ/fGok7SV2tpFnLpCzm - 7CzmrkYJxkBNRIAx3MiIiJwJTk6qSgbtUstE5Mwggl92MuxlMaCfhkNOKeXU8TxEytpWzbCjVqH9 - rUXKCGJVFeGXt9a+F5FuDPhivzrtVYXtC7z34JwTEkuKQAi0k+YPn995/OwjlY9Ww/qBweGj6yeP - HLzu4NrR0XB9UA1rDo0IuTmjze1kvHN+9/y57XNb8/MPPfXgnMczGk9p3Mk8N50JCKhtMSnr4h6A - +7sUYQpwGDTnpABAxHCJUaaTNjYcLXArg/bAW+/+7u/8xu8Pu6shR+73xvIyZPt+faU4O/G+FzKQ - EkyImUmTKbSu61mndcPb43FsMq/6fZ/+o9//xG/PV7bjiDYm21UjUDO6ePVhB1kAmJCv9SnlClre - MHgfub3/VsQ9peSOIBCGz3EoHvu6m+6OuWav2Pp61L2S1H4O768lhsu87S+uHDOLMZpaSmmwsupG - s9ns137tX/7Gb/y7rstBQtYMcJ+3fcn3uJepruu2bQGoav+NaVEURVEURVEURVEURVEURVEURVEU - RVEURVEURVEURVEURVEURVEURVEURVFcq+hixySyZY8gQ2fKUQiw2TzGCm5oJ1RJZYackf3Dv/Qr - MH7zT/4PCEFEpO/+wgTAsnIUmIEWZd7kQIcgGTn/4L1vHLmj3cYgosvY3t28/zP19lZtLr7XJGS5 - dc9PXWYjaJ+W60QOwOGsbFi280gJEsBgyyqd5qdPh1uvR1W33W69uoqd8+08jw4cOflN34y6AgfI - IJslwoX5uF5fy9VK8Pjk7u49P/NjJwYNOn3ol39lqHpksFKnzrPvbp0/cGj92GvvefqP74NOOCFU - sW3n1WXu+L4pRZwnPPkkvv42zOdZtV5dxXhSHz5ik7FV9XOTydfXNS6z09fXBl2M67a9drIEqKsw - Y9DMdsfrIhAgp5UjRzYIWHaOX7SpeNGJxv14EFidZfLwV0Ynr0PgWDVp2q429f/01rf/k/s+jBhn - wKARKCMrnJg58CKW/pItvyrTu3u+l9sN9F0OhCWbB6mRdjfa+QHikQN1DRitrmgIljIDgF+6P19g - 0PTNOkjCIpwbAFA1NUIAGM6y2AC/jGPzVxQjYqchIGcAcCDUcXXVtlsCfK8rwOU3VmYx90XnNHcg - EwECPxia+WyeZlPenee4O/ac1RFBrELopu1gZf3oTTeEY0dw4iQGQ5hCEyjIYIScJm03uu74uGvf - /Uv/7Ht/+mcW22wAo2vbummevx2lH0VRFEVRFEVRFEVRFEVRFEVRFEVRFNeg/ut9chhBPe90F+Y+ - WWZdgZz7XJvM6COv4EwWQLnPCeq/5a9rbsdpJY6kq9/82u841pwa2JGgkb1/xsB9kTFkIDPyfSEL - fTI4yK/GPJcMZSSuWcnQUSPDm0e3vf2e7/7NT/1rBJqkWRVg2SiIkGpefH+/SDTbe2zCAYKazXXW - 5rHjIKMyor/OoPKiKL6GSnR3URRFURTFy8kJSn3iqhGyOMNBYINlRyZ3thDiIA27STtKB26uh3/v - bf/tJ7780Y985n3n8+mJb0+m0zCUyVybOsIUphxADlPAIReDky/a+7CHZYKd79seA9hZDOJgNyco - mzKMVBkBrHAP7ZQn43brqXOPfOg33/OK4zffderu22+468TqK9bCkbobmVWskZTcNVHOnCmqUXYn - 8iZacHdyJpAbTF3dHETCIGbmvoiDmCCUU0dERNI/Ne4sxEEEHHyStjtMeaiI+bnNp7/4xc9+8YnP - Pz57dMpj88SROHjkWr3TZMQAQSX7MgsWzuTMsBcf48cAdR77XEwiFVayTEYMilDP2mLIcVgfmGzp - AKu3nrzr29/wPTeMbhzJSBO7Uc46qMjMiMjInBgw+OKzt4PhjP5/ki2qZBzsqEKwZDCy7Ao1s9A0 - rOQAL4IJ9xIKASDNEwUSCSGEPuzcoMmUA1ejsKsb8Uj6yAPvffef/FbbTHZti2sDgbH4/O+8GBty - mQ/DG+3FJV4cVPA+ExfLaNjFqOtC1466uen/d/9vP7N97rte//1H6uvOnR0fHh4Vje6unrOxmhvB - hQQi6mIA2AlwMmIASmBmhakrJSVytxyiBKmSKvyqLGp5Ucz7xFOy/fVJZIgcXc2MskNNuQpgQTDA - yPcWpy6ObCF2gruZu5MRIYTATBBCRRvp2Vm98yefeP+HPvu+bmU+93Gf0m1esQPI2IsdfakVLf68 - X+wLMe0pm1W707Crcf7+B9/92MbD7/jG73nlgTu2ttu16oDOJVJNREwIHDhygrZZK6/Z2QgOXpzW - YO+DsgMnzZpaIq8CM8ON3O3KjQdflg8ZZ0DZ+joTFg8MMmIHGxk7OSELlDjS+qFq9cJEfvTb/8F9 - X7z1g598z5x2zu2ckyFiDY4paQtBDGBFO0eIff0M+qXJ/oTqdyYvIpAZYHOws3HuOguhDzL1LpPL - 0Cu70I1X1/Hxr7z30w+//8TaDa++5fV33/K6o6unQpI0m1TUCgUzU1V2DiwhVp779GwnIoctXtMZ - rcGFHNxP3X3CNjkzkmXzjKAUmYTMLNF0ki488NT9n33iU0/vPn7Bzoxt1xoPgT0bWXAyI3PO/dvZ - Sxxmt0XqMLCIgV/8am88BXhgwJHqWM1bjbHKqZtut4eaYZNXjtGNP/B3fuT2I3f5hhwYHcCBuLM7 - qyoRgkFBthjwi0XeRaEi710s/oawGNg8ubF7btvWzKJQVbPlzK6AMYRBcGYPRmgaU3azbNanuLOS - JQEF35icaY5hU86+577fvu+hD+7Kea0Wdxe+zNzFvhMcFxNz9y2X74vjtX0xzJdcPvqDTGjj3MLm - znT7V39v4/vf9iN3HLk7bY+vP3rz1pnTB4ar5OJuRlAYhJw9OEsrrKycDapMRsYOdzRh4O5VrIkN - Yhw8+9zNhSJf0esFmTs5xIgJxKDV0SoyUYom2U0RIZHNTC2JiF/OVwvsvLwHMFE4sYEBBhkoL64j - PQcQyKph6FxhGWYOdK2gdXWdbnSbok+F+RfoHAPIrgAYFl1czd0BCIiIFA6Yiyuyc8fBG/FMlM09 - I4DJkcWov7twgzMBPjcKiILMcIEyjJi9yrvpwGi9bXdpRierm//Tt7zz7pP38vaAEZX62xOL7oAa - fQ1KK9mJ4QTqB3+mZRx6zrkKtVFymHG2kLbazeZIONs98wcf/K1PPfqRXG8nmnQzDZGNyT1fvOT1 - t3rGWGbSF18N731+cV7eQwIwougOZoVCjHzGNxy++RUHb5VJJCeQOXxRcO4MYlpcHM2vti/r/uNm - YAMr3IjbeVLVd7/7d3/xF3/JDMICFlcD0Kdui4jqZR89MxORvb8h5/yX/khRFEVRFEVRFEVRFEVR - FEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFEVRXKXIsGiuAulzuxUAwMhuHkVhNUxEsD3rOyTh - wviRf/aukXo7Gb/64MFxStidox6YzzhXTkINg8DmMKDNqKvE1pqtSJAMzP2+//0XvnEk2NpAI0gJ - HfmXnwjntyu1sCz63yv/p/5Vl00bHDAiJRiBHOR9IxFS8lYYsFohDgICCA6DE3Dh/Lmjm5s4uBrq - mHQc67q58eaTt92ZJ7MQaoCzZ29CEvChww95d+9P/SRocJgjIiCOtn3VP/xxOP3p//Jzd4bBsNMD - h69HnmGwcsMdX9fe/4B2W6ltQwye82W1EyE4mVeqk8eeGN1yKm/vtjlh/QBGwzSdxsEgOd76j34a - EnJWRLkaQ4Adi74T+zYtEoPo1h/9ked++V0HqgbbW1Bgbc1YFAZiczBoryv1X4AMDDeyTAC4P+Ld - mXN4+jRuu77buVANV9F1P/yOdyCpNrkFR5jkRFzDwQadd9Wwskv3nbz8O+Kvqh/qBqS+v1Hf04AB - ghmEo5qial7zMz97/hf/adruZDZDHXHwoFS1dQqQv2C4tfOy0xTIoaBM4KZaJGETOWFjZ+sWWaRk - M6BwqEKu8GDzRRfyDlIxu3jfmQwAGMP11fn22f1Hzd0Jl9OfitABxIgGdhAB3PcpIcs6bFYB5Pm8 - y/MBMQl3nU3U6+PHDt5xA647hoOrCAJjMKNqwCGNJ7GplC0eXX2yO787WvWK4Y55h6aGG4ibr57b - /TVLQi+KoiiKoiiKoiiKoiiKoiiKoiiKonhZEFGf3g1APZ+fbsxp5qyALdPJ9jMQyMIyxgLGgCOA - WYHEpw7e9oY7v3Wdj8m0YQsgd1LAjIwJl4Z2L6Ky+gcwLiv562uG2DO60IQ2OxlJKwerY/eeessD - T33hs2c+EQaJxFJOkYI4qTlk8X03Xxr01gdzdHk+TVN3JSImUXcq3zAXxYv2wg/MXAtKdHdRFEVR - FMXLTCkAxt4/kbwXK8sG5yDqWZMJhQEFtKY5HBoOvuX2t91x6s4/vP/3Pv7IR6mO0/F0dRDarhWQ - ucMggHBgCmDLnl98Vh05GItoWLg5zAnOAIGAGGHJpu24inF4vOlMNycbKwfrJ8ZfevpTj3zoz953 - dHTdK4/e9qob/9aNh24+snq08jpQIHKHqSaFkknlkYjdiKAEJiZhJmKoGbkhqTmoTxcmwLXqQARA - 4QY3qLIpa4vZhe7ck2ceffSZhx4/88jO7LxJ8mHebTa8doJ06pYIBmZiEe8rTghGYIMTCObgy/r0 - Tt7vHahD4e5u7kZwRQA8YcQU2xqz+A0nXvvGV7/1jpv/Vje2FVqfbrXiVVXXVdXAGVAnOC0SSS+1 - t3hh5A6yPvIydcagIJEEEJrnruOcoZWH/nl1BuAXs5pDzQbP1pkb2MFORBp1bvPNvPv09sO//we/ - 88jmA7Rm27PN4fqgTR2IzS/G4vLL8ZllsXDS508v3yv5XsIxEqNL0xzx0Yc+8PBXHnr7N33HN93+ - xjPTSUQTvCJEgMncQGTERMFZnPuMeeeLNSHz3EUhEDGLEOcW7p5SBl2FJRiXZZnbTdkJtIj85NRm - dpZYB7izuViLscFqItpLw/VFFLsDIDN3hzmZkymZA9nz7nR39fjg8w988o8+9fvn0lPz6sIkzRIj - RsDD3nS0d/j+8kqaF3wP9Pw1OVsOCSyX0ozgDBpgY7w9lMlDZz/z6L976M7rX/1tr/32W46/KtRV - jYZzzFmJhKlyuJkJCYHZoQQngGAwZ8tZQwgSCCxMBJBqyjkHqV7SUXhRaDG2HaSOrGxiTGC4kAcB - nI0cTgqokjhYlbutbhgPShp92x3f/cpjt/3mH/6bpl7Z7M53NE2WjSAB7BCnQUC+5Ky8WBC1qAdz - 7lPt2blPnhaBCISROprOZ8J1jBXV2EkbxDnX/Mxs8uwnn/6jT3zwxPqtNx4+9ZpX3b1er6ytrA/q - ITt5h1lnUAqyiDRmCPrlVyaGMxia+8NnAoMm67IlY0WDULmy7sy2nzn77JNPP/nc5tMPP/WADdp5 - 3N7xzTl3iBBClxEpkPNy9uN+gNByUbKfQC7WvDmcFiuVDoYzEMgFsCoMZpNZ3Qy6WcsWTowOrumB - mw/c9n1v+uE1PTpsD4ZYd5NsrlVVmZkQnAxke4u8viwAW24JvdCC8jWpD3XXlNW5rgej9cYs59xl - 60IDMriTm7mbG0zdoOpt0rmqQjgMIgVqPWfvWpsOb6k+/egn3/PRf//E7sO22i1uHPTlTDpfzDb9 - hdJhjIlP4zBs07l/9bu/+rpb733LPW9vpxcOHz96frwdEYkIYHd3AhFVoOgdeYQribE4EQEUIN18 - ruqIBIK7E5O5MocrmutLQGDpNMPIwaFixHqdDlU6jOZJs3KXDZVIiKyazZQu5/7EaJneTQaAjJkA - EJyNeO9aubzr6AAkBRMoAgQYDJlqAtjJks/nburZ3JxBAiEIAIMZYBD0Nx7i7sxsZma6KKxjBAYF - RgoOwI1tERzOjv4KxQ5XGGAMc2Qy5HxodNDGOtLDtx659Qe+9e+diDfH+bCmUZ/Y62ACDMowJ8IV - DVnvORn1Bcy2VxTIzmbIamAy8UnartaGXdrd6C689+O/+alHP7qrpwerkQEmcAxd7pj7AuPFFZyc - na7kUPsboR8q/cWgv+WjxSAHAGYOgtyhVhlicNdN98S2EY3cD7i+5pwuzt4MAzHQH8bFLc3XYggV - X52ImJk7BoNB1+YPfejD//gf/5wZmNjdc0pEVFVVH7z9kr9HIaL+hebzedd1VXUFbwKLoiiKoiiK - oiiKsyvr9QAAIABJREFUoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiK4kpZlKgbwIxL - GzsQiI1gOh+DI6YJLX7hLW//T97xthNNfUsHGk8waHxnHB2Pvutdt/zsT8jqCCHSsoqeYoAb6gYM - I4iQA9TOsTO+DjTb3YqsHAVtxrPnNr/08JplMu+7+filXRH2SsL3MPrcYWfvG+CQEik5OzsMjgjA - Kas5ACJy7GxsrN18vdShm83i6KDmlFXC6mHtMgXKsTmv3bSub/uxHzsxCBiNwBEcNCsH1hADMrK+ - 4Z/8z1/5uX9aVQ2mE06t1A1uORUfe3q+OwHll3AEDIBpTTy7sIMLW2l7x90xHICJmefb2zh0BOZg - YYZfvX2ZL900BxEhJ6yvtk3cPruxPhhg2uHgemgqnU6JoO6CF9H9ok+X3vsVAEAMlWl69NF409Fq - bQXqA5HVTjGdyrAiYYdQJeg6cAUg1hUM0ncC2BugV2WQcr9Fvr8jzbL7FxyBpe9TsQWvIyMOoBmj - ldAMdDINxHD9y16BnZDIB8PholeIiBINDx4AM3JyYUgUEORr11nLwSDWvfJ/coDCcKialq2usGxK - fnldNWT5A+qAw2AE6xtNTOfbQAhVpaCpa7M6XD18dPXUq3D0KNIEB0cInFWDM7jq1Gc5yeEjW+6T - 1HUx3v6T/90rqsFreQVMaGoQYI6clUFELM/vO3GttoguiqIoiqIoiqIoiqIoiqIoiqIoiuI/akbu - RgZEI9vYPZcl2fOejujzoQDuM4/6jv1mxotnP3KnK+FANRne+/VvOhxOxFljHUUWQ7d40ILcLua5 - gJwv/r0AYEZXY+f/iNBpMoaSV6ESF534+vDo21/zXQ/9zoMy4sTTTF2yHIgiwQAjiC3ephNk8QU4 - A0jo2jT1+Nf4horiGlaiu4uiKIqiKAoAMLA7g8xI2ZUAkML7SFeXiuGuqgQPLkQcZTDZHdf12hGO - P/imv3/7zd/wwfvfe3ry2IULzw1qCk0kkq7LbhSkAnzedlRffJSZ9h5Jv9TicXACOYsxwEZZZRH0 - KAYBqI9MBEwwt9TOkpPEUEFdgrG0ydOztvXcc1/++On3RW+OrB4/vnbyFcdvufHozUdWTq7E9Yrr - iGo6nQQKHAJDzNzdhcSZgnB2YzcjMJNBzSxjDlGVlCnNdLI5OXdm+9mnN5+6MN145KkvJ2pbzJUz - olvl5lm1E4YkGCsA1JE4OCxb7tMiZVE1shcIapebMxqI3BwOMDOokggymNeIdahpV04dvP1t3/o9 - d1x3T5iv8FZVA40MQw2A1dkI7byNMRqZkdkiZLc/RkzOfaIjw5T2KmdALkEqV8uwLrdGKcU083FV - V54HYhF9wK3zcmXCiFzdMisJnN2gKbezNH7wzIN/9qWPPr7xAEbtWM5bsoNHRhsXJnUV+pDEvaqY - fs9cdg5rXyjj/RYxACd7Xm43O6xP73YOLs5E4mFoG+1j/+ojv/S+z/7WXbe+9p47Xrcaj6zHo0Na - qbpKEigbZyWIERm5kylD2QBzMFcwEu+MUHs2y1TFxtwMek1nZC4LSQz9YhQABHYJsXZ1g8+9VcpJ - 0lQn9TAikTiz8fP+Boc6EwkoELHlNB/PxpM8vv/xz/zp73xkis1Wdua8JQNDxtpKNZt1AJxNl/GZ - tDx3Lm/7l8N7r7zKFmUme2MetAyMJ0AUKxGu2QdTH/j9m/d94X2fOLpy4q7bXn3rdXfcevL2kRzI - E+I2VLIyHA58lgD0qfVGZmwOGBkJJSOFBA+mYOdIgyjInq7oeBB39G+HYGAQE4Q9EITcYMycDaYE - p6wUmMDqa9V6ns+ts1sH9/z3/9krP/DJ3/uTB/9oKttjutBxVzUSWXxuXVKJ0OX2+3J9E1js24sH - h6w/5YiRcl8aJ+RO1BK6lBMzm7FzrTFmarenT+1MnztLX/zI+35rVK2srxw8euDYyUPXXX/kxpMH - Tx5YOxi9DhrEgrmIsRpgiyuJBgOZsxtbQjuzWefz09vPbOyee+rcE8+cf3JzsjnViZG5ZDuiWVu4 - NjGsh8rd2pwVMDJwZmf2fojY3hD+84V5C4sEVt4bRQzY1A7U6207X6/WaSpr7Yl33PO9r7vlDSv5 - QIUBqSR1Y5EYAHiXnhfLvZj9+pByp+U8ttilf9XB8deNnFmpoZEzNNGkmxklE4Ok1jpFAoECBTSA - sMOgSaYuHSI4+hibFybnz2w9uzk+8/jpr3zl2cc2JmdRm480myEHIPfr4ZdcNS4t+Hz+//D+GoG9 - mqv+CPAylX4vvbsXmQJod3a+qQf3Pfrejz/4gZuOv/L6ozfdcsPtB4ZHDq8eXxscqjCylix5an21 - CeatkSqpsrmRO4nJoF5hZVWHs7mrQxFCXaO7skeZ4QLPcCdzd1cbYP3E+qlZejihdaFk3qYcwcQI - BL3M668yyAEXBvq8Y3YDmRgbhT6T2yg7wwXKSAYwBH0qskENnuGLWz5hxOUG9BcfcxBBhLk/g9zV - zMwkMDGRi7uZeTbAwLAgHRDgDA/sIBijI0AC3GHG7MQgCDuZsHRjXZuvv/mOb/u73/ID2KEqDZmE - GaZMvjgHlUiJxby/9L+ch+fPWwxl21cTSAaWWKlqJs3exoNyvn3GBvnfv//XP/XMfRh1NcIszYgR - g6TcEcMJ5ggGUWavDDCeO72cOfd/IzFgixpac7o4dbi7G0TYzDiHA4Mjd596TZ2HYtzndvsibR0A - sfeTzt51s4R2Xy2IZDabxVC70Wc+89mf+ImfcCcm1r66Gw6gz+0OIeR82dXvIqLaT1F27X4NUxRF - URRFURRFURRFURRFURRFURRFURRFURRFURRFURRFURRFURRFURRFURQLi8bHABZ9ZMAAQcnguSIH - M2bzT/9vv3DKqv/m7W81It/d9k5hcyKHpoFUbB0a7KKNjopr7XsvCQOsauSkSIEYUEh+6F2/evjC - zmg4YPU03Y3Jp196IHa7Bq2J+hpeWpb927KhhO7rO8HucBABZAQ4wcBO6GO8+440JNypETMRJ8t1 - HSebm2sGzPJAauzMJTailNoJhDVU583+7cc+9lPvfx+aCrGCZQSGmaCBIXFqwY24CJ/68R8/94u/ - fJCGEENUeOavv913tmU8lZQJjBedLuwEA9w0Cqsanng6bW5XElA3Npnx+npzYH1cBUgFBzMnZL6K - W7b6/rFkDhZw2uZ846ED+twZOXQUk8lgdUUnYyb0xd/94X5e4fYL9UwwBgiLti0AKvLZhQtx4zwc - iCuo6DDJ537xV+7+H39mfcSpnc2dmmZo7ZxjAzVwP4DghEUKtO+FzF+5XfISGAOh39xFizADmIn7 - oG1yxaCZBumY2tkshsB1NVhdm58/D35+Dxty7MsqBwAiMiAzhdFgL3A9w3e1gwCxphD7HiDwr9aX - 5+XHcBjIHVDAwAR2CaE/TNwfK7J9DdBeFDKwgRgEOF9sciUEV3BdzZjPC+TY8cOnbpITxxEbcIPk - WD+EQN10Uq2sYDxHpGmIO6PmbBXjiROv/tH/CiEiCIThjK5DU3nb0qAGQdxB9Oe74hRFURRFURRF - URRFURRFURRFURRFUVxb1J2J3J3ciQjsm7sbiMt4BX/+0xFGEO/Dj/rEKDiBALeIFL7uulff/YrX - 1vM6ZAEIZIQ+nQvsi4dDyC8+FUCX9Py3PoLqa/TOXwRysLKYSGAAVIlQyG2mFPt3+pkzH0vWShR3 - NfUQxEz3fnYfVidmIqFkHQB3UqB801wUl+XazYy4ep8DK4qiKIqiuDYxwMvPq7w/vZKZPauRVSJE - 1HVzzy4mg2Y4nU+Gg/V2PL/n+r9928lX3ff5D3z6kfs203OT3Z2ErhpEr0KbOrPMkR12eQGBZIA5 - 217ArYHZzRRgQBAZbMiO6BRYKGWFZlIXoC82MMCwmzaeOvPIZ575ZEhNg7X15vChlWNrg7Vjh47U - 9WBlMGyaYZQoHGOMAklJc+5SSlm7lLpZO51Op5NuvDPZ2G23t3Y3t+dbMx/n0GrokiQNrbIrFo/a - AyACBbCCGU7Up55bzkREsLj3OD5ghP0pgC9+5zgwdQ8MZ4KBMtCCLAaNNIu33Pj1b7r3bXe94huq - +Sp2Q4PVWoZtTmk3MdBpZxyr2LB4VYd5mjstajPQh6qCbVGQ0j9Qv/jQwE5w7oO+DTABGoShnj39 - VC0VMsMD9mf39mHwlpN2s24+bifb2xfOnn/u9HPPnRufGYedCW97PZfaqDI4prMJOxhmuLhbqA9s - draXEFi7CItmEC+icMkWD9kvS4B4kc3Klp2Z5mk2mc0qQr2Os/74n3z5zB9/7gOHhidPHrz55NoN - x0dHDwzX16uVJjZRKgAOM5i5mfXLNCKokJhSvP7IjTohB7uR6bX/CcYvycLtay0MnHOfRWnKmVZs - rltnp082VYNsvKjHwN6POKHr2nmeT+eT7fH2he3zZy+cO3fuzOZsM4+6Me1kng3XpZ217Rhra7y9 - 2dUNHGZk6As0HGIMMvhLWv+59Ecci+B2cl5UYfkiqjnNUEeYYK4tU6IVUZs/o+PnvvjkR77wh2ty - 8MTa9aeO33794VeM4jrlcGTtALk5mZkZG7RPemb2aC3WmkMHBod9xqlzQQTZFU16ZTfyRTKogR2s - /fIkg9zYfVlp4wxTsHgm+EpVd9MJjNarg22ehTZ+5zf9wC2vvP3dH/mtp3YePnRIzu+e3c3dykpt - pLxYkNzLPl8ckP5dY5mCbAQgOyFnaEYMIIEEd1cnEIPY2dBa12qOUeoD7rp7er4rQ55i6/z8uSdP - PyJPBk7CHUkOxw6eqGg4qkbDetiEJoQqEDtTtpQtpdTOUztPs3G7O27HszxG7Um6LF2W1IVOq66f - q0kAQ1AkWNu6KohR1ZzULlYJOpyWV8PljM1+sfRrOW1yP3bIDTAmkHOUqtttAwLvymte+YZvf/33 - 3tjcVqeRz805OhPEhEPOHczrEFV1/wHsp95+6gP2zr5rPrR7j7ZeVQJQpgQxrzDNO7vzCyazDtPO - 5l1KKXnqLHWk3l0YPzdP453JztbOxvbswjhtdzzNksKA5zTPK0nNTE0kRq7FonrrL2537aWk70Uy - 72G/OGH06d26/O9u7gg5VOzUWdTO6PHZlx595MGPPPjBiOFA1odhbbU6cGT12NH14weGaw0CM0sV - pAlVVTVx0PCo8kFot0+snfRWGELuWV0QPPuVXttWVSIiZjI3T5591Bx+zR2v/8onHlZvpQoess6c - GMLL938Zi4cGyg4GcR9qTcjGxotJm5fjeXFykaMKyIqscEcQBAEzCLAEBmD9FyLopy53xEDqnrO5 - d30NGjGxYDJPzBCBEAAwgwjMnNXIM7wiv1jo54SO0P84SxQVTLXhUPvKdSuv/PY3fue9r3yTb2I1 - jKCgEKaTaR2GTgyHETv1pzwua9e8ZP2t0cXX8gAXcEw2U/F6fXRm/sQkbP4/v/Prj289rMPxzMZR - Qt3EeepSp1RDCDCQ9zc9AYCT7d24fk3exLWKnpfNvrxnZuZsBrOKwSonDl1/dOV4NR2Qw8ic83JC - 4Ys3xvsumsVVwsyqqoqh+vznP//Od74zhGo+74KISHB3M9u7QDO/lFu3/sf73G5378O/QwhE5VvM - oiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiKoiiK4hrji55UvNc6CbyovxYY - zDHtsDv+4i//8xtSlq3NkYTcEcM5OKDQCTHEERIh5dVYKYEJBGR3kBEkCAMwdUZLrJhNVseTIxSt - G1vqIiQ98uDs7LOHRUwdLG4Jy/ZEfXaw0cUeCU4g9Am+Jr6vsp6MwOL73pe7AzEEdXSaiWOaTGCE - 4Qg7E4Qa5PAcV5q2Dqe79tRP//RPhZ/BygpihAEc3ZWYMDfULIiE6JRQA6v06x9+/zu/5c2Hm+Gs - 3R7Acf3RwU3XbX7+wcMSod3lHQOGKcg8Av7ks9nScH0IYR4NFD7Z3E5HIlig6ChxiH/1g35lWJ+6 - DEAI5NCukxBQhVTH+XQ2rCvtOnEbHD48fu40HMyk6uJ/acOeRYY7ADH0/UIAJO8suT3+FB8+DpYA - zLa3jh84iLEhd3FUxcgZrg1FTRxiHwkNgS076siV3B0vlfW9cBb/RQY39P2UHKnVEFlihaSTKobV - oXWT5FYTx/W1mTsx9ank+9oRv0AVvBMSA6MRWAAGw4gPnTiBnFFdPNnUTa50K/BF9zCIEYxh5q59 - xjaEEkyEJRsxlq3fL8ZvvzhMAPczCNBCvX8dIVSBVlZWrju5cuomHD4MiQ53qRgBg5jm83aWMVwd - g/JwJdf1bLRyy4//2CuaGkyoBhBWhjoqMzQVGDSo+rbzagZA9nczeGk9tYqiKIqiKIqiKIqiKIqi - KIqiKIqiKK4O7k5MRtgab7poHxriBLLnp3f3D3Wws1kA5z4pLXIlqfrmu960isO11cHEhMyU2Prv - f536+CrAiZ0Bpn1Pg1wakXS1YGcYKhfWoKrZMgtImDnYTN58z9u+/J4H27YNFanMsxqgy3C3izut - 3z/uRMIunj2hTyAmMjhfhW+7KK5WJbq7KIqiKIqiAADei5KjYDB2wfIT5bCStm09p8wsMXDNaJiZ - x9PxsGncEHg17XaRqnfc9UOvvfXeP/rU7z22+aVnd7/S6VRpngkUEYehbYH+0+/zb0EZy7xnW36a - czLlRaYj2SIT2sGZYMGInd08a3AMiJGtTbMwrJPD3NydCEwkFRG463IXjDhR2IGdP+NPyZh5GvIz - bWQJLETiij5SDQBT6PM7SYjInSznnCyFKriru6M2Z6dFdJ/GUDOcPZuZEYgI5OSAcJu0UxdBU4sQ - q6pn5z6C8mI1x96T05cR5pcFKQKMYI7WYyurtHK4OnlwePKtb/mumw6/aiSH9AxXMqhDrV3bdvOm - Xs2pC8GYg0rVx3ZOxxOqZfkp+4VGxf7P186AAIGCk7BJO83bp08/9n/99q/N+MJEWuW8dyj76G4n - I3aFGZKJM5NzzmK2ni1mE1f2nFEJLEEnOHZ4uDudMpBp8dLiYA99buJLSe++uOWLv5Bp+Ry+7f0W - EyCcYXkYhSt40hAElnd2twZNs6Ht5rmnHjgTyIicAoiIkmXv849pUWRBFqJGSc2IDt524s7ve/t/ - vtIcqmQEgJXV9att3bWAASEXNjbKTn2ccOiPDwWBZOVulrY+/fBH3/+nvzvh7cSd0iXjqq9xcnYn - M8pGBjZl84HqSFtvQwUnbGdUA4o1eUfrdUhmyuYMI7j1s0HFDuXusk6Z580wL/QGQc5A7st7qgHN - W2dCiDG3CfA6BhFonnZoL/h4e/vZB89/mjwwReaQdQ44YE4GMnJmZ9G6ys0KH3zDXW96491vWWuO - s0eHIb/U4/DiECBuZDAWIlFiB4zYyGhRdePsiwKjYGCHW8ekKeVQV61pNRiOd6YDOXTXodff9gN3 - fuDP3vPBT//B2oh0rd3sNuqRWKe8tz9pOZs5CLys6enPDjjBgUoqCkJu5rnVPugezMjuFECuOSs0 - NUIiPIQnMqNklhM6q4QrllEFCo+3DzELJ6ZMRORu2c3d+5Ox3xw2drhX7pUSkULdHaYMF4YzAbDk - IuIB2Q1CwkyOlJUdtNzyxcBwBpN7P2/b3hBaLlIucrv73+W9mi3yQVx5xcqtb/2G77jr+tdX81We - NFkpVAOvYtvONLVNNYhgtUwKcvZ+SROL4wJw/8+lV0zrp8FFpPc1q65rd52mLkuSVdnVzf/wpQ99 - 6JN/MJftHCZJWuPsTCBxFwCgTohEAgALlqM5mYlupWlo2N0haGLl2a1NzNB9FW/81Ve99kdk95HM - iwvDvqTqxdSBfrV5Meesra50XdelzrMOhqzQnenswIFRO2876Aztlm9gji+PIc/2txMwMicQEVkI - WtfdqO5Wvu2et91755sGeXUoq4wQicDsesXX6RZr6GbO3g9xsdW7bnnNRx5673h2NieXpjLua0vV - /AVvEL46Asj27nNsUc/aB5/31W2LWwUzJgMD1FJ0JiIIyN1NtTNVVDW5O5YXT2ESISLqVIUQhIjI - QA5zg6uv1gLAzEjJzAAwRNkswAni3eLsgSWGEZShQHALOfMcwzw8sXbyxtXbvv/N/+Wh+kSeWAiS - lWpUOumOrK1PZzP9/9m792BJ7us+7N9zzu/X3TP3sQ/sA68FQQAEQBAgQYCkIIoULUWS7cSpVOSq - uFT+I65YsUQpVvlBhUpiR1aiOJRkkYpciVRS2VVJyo7zj1NJ2XrYiiRbdCSRJiORiviCAAIkQeKx - i717751H9++ckz9+PXPvPgBySS6xC55Pbc3eOzN3pqff093nfIkVyYHV0nqN1+YAYORYr+IcdcZl - R1osdbq9/eLi7Hyxs5T5//J//IPnFk/u2rNqQzel3nSnlNxSJmgB92DUDTc7MEjvZHEO5WqNJZYE - OIiIAC1oOWdKD9zzehkaGgRQUDHy9e6wjendBxsXG9fwAMAeYd6vMGZ+/PHH3/3udw+Dq/ZJUtHi - uvpmTMTM7t73i6/uxc1MRACoas7XbXF7CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE - EEIIX54ejjEmFEIPCCDmOL8H5ad/7hdPX9g/lrzkQmzZEszgAyaMYQkwjI9498H//gPveM/flEkL - Qd/3zaQhGFx9EMpgyeQFF3Y/9gu/eGa2h+JMBhcs5uf/+FMTqLsqeKkDCxgQG9O7cdAg4aBDCMgO - hXaDxlYSdrh3hxsxahW+A27QRlq4YmcHm5sQxjAfmC4InmF76O/8F8gZ0w1AdFGkTXCYCidQh0HB - guVi6DoBC3j+13/r1z7zd/+eLObH2imUhvn5fP+d9LkvLHZm7VW2jPbayMVdwMP+HgtvTbdADKL5 - crF97KhuTNEP6Lqc8tKKcPNVT+trhVBzu9edmBJBmhaLGRLJ1kY5dx65la5D6XHyBIicnJjd1N1r - BfdLj7TaDmA9lUFghUmTVYezT33+5K134t6b2Aoth253/vTP/MId7/kbSADbDveJJhBu3InGd/D1 - PH999mdwgKCAA8lQe3Qr2IC2FTigijY99iPvfuonf+JUEndHcRw5ou4A3EEEf5msaKexIdO0A1Nt - nKLw+//8f4hJA9h80XddA4BF1IvQte2H4+OUJShqB7DCzuYAz5dLInIFJbjWAT/oHnPRB3rJVwdz - gpO5Dm49Z+tabE112t30untx01FsbgIMMyAThPqiUszN4N3xmz5Lwwtteuw9fxPGaDZQFO0GXEEM - LcIADJIAlFIkpd6KkIgI4QrDGUIIIYQQQgghhBBCCCGEEEIIN5aa2E0GUG3h7zt7O0oHUQ5jVNnq - 9OjqfyNnoIUnx8IAhtx5++vuuvX1NGuTMplSYvW+GU8Dw2p6t9UkFwZoFUXkcICLjZHXNfzleiEO - 9kxDzsDS+gWW1GBAr3O9++Z7X3/bgx/9wu6yXEByEqgDV0h2A8BEAmOzUkoBamRGnHIO4epEdHcI - IYQQQqisfms0ZxCMwI4a3DyfzyVxl7tiVlQBBvmiX0y6rgxFKKP3ZG3bTofFBlHzff/ODzx57hO/ - 96nf+n8f//0Xd587tpmVbOfFWZrU4EYwATj4mnf4+944DKs6gfWjDDOM6cskrDqoe8PCCiuWnTa7 - PLPBhQhMMIDNVYu7myQCTLEkIifyCsgt+hon7GADERMR1TDL8SlwAY1BrFg6aio3zN2JHURCjl7L - KvObmAQwVxhhcHempkZLFtUyMJBSMlt9Ra9BoRcFgtrhy7tXY+ai7/NO43PEkmiWgZo+ncin7rv5 - gUde92333fLGvNhsdFuGlqhBKX1fiDm3uZ8vABARnK0UVd3qpiBTjBHp9YCC0zoP2UFWQyLXObIO - FBrclGDCNsnTjc3tfd69kM4N00WRYTXYvIoDN3cFu/GhVxYQoRicQAROGHp0ibeO5nPnZrk7ND/U - l1rF2X7tGKvDMWOY6Bgbb2QwY6AMmggiMgzqhs2juZRlwaKOdOYEwN2LF5KxGqeOqvGTaepKV2bz - PT+dp0QLVx0Gmwulr9dHeMWMM60CTG4AG5gBiALmWgTctpka35Vzs3x+aEthpcuORqkXCFhgUHVX - gATMcIMnmKH0IPXsZMWZ15Usq6EAVuG6X9NccXkJznr+rxZLbxpxp2EYWFIWKqqDDURwL8RLToSG - azhsTRGuR68OcoIdSZs8dDqUPs2lYzYzL84KudJhrmtgNf55XSHjY9K8WY1/drATOZggAs7Noh+4 - aff397e6Y8O8F5mw6Z97259/9MG3/dqH/q+PPPGhzYze9zktjVchx7j0sJ3Vddqhh9RUhIsWc02J - RFhd1eA15JSQG4hiKM7qqBnK7JTY3dS0uKOuw6fu7gY3uNG4fh6rgABy1Cxa8lrpR1wfM6L1hFF3 - RUZS9UKKBHWYaQKSEIrXg7ZOGDeBJDUsF6v4+fFlxh+sHs9lYzEmQAxieWLH3vnQd37bA991k9ya - 9qcdtogbBZRsudgnR9u2UrcaLHULUtO72Xk1c/LLZE7f6BZD37ZtJlcvZubUL5u9vXy239gd8kyx - VBmDn53gjsTk5m5gF2KpdVzqJU9ksAJACPPlQowbye76Zd7+UM3YFRfFw3caYX1UvU4jct7f32+a - RoQpucKN0Gxgv+xD2H1wXxCTJE7wYqpQT+MM4w52JE2DbBotd/pzeSunRdZirgOcG+6GYXi5vPGv - mQOes8LNCgOJWIto4aOTk2+66627j597rv+Sizu0FsS5QWoKNlCT41ej6eIXvRwBfoWitXpHfSG2 - BDCpMTMTq6kVN0A45QxXh6uD6o6Iu5u7O5LAHV68uNfXZwYYakoKdxCQOLMDRq7u4geJyzAjeJ2y - DC6gwo1OtnHszpvu+tYH/tRj979TX2zSsmUCzHLubGnMaTlbjntrZACTg8dzPNe2vHLcCXWA1GBt - loXUAAAgAElEQVSEtN5Zzjlf2N2dHt88p+f/xQd/9YX5MxdwNh0hJuwuPLXUTPJ8MSRCl6ELMFKt - tTQuB7nd/hKTL1TrbysoROO0MAeDi5sIWY8kzQYfe/DuR2WRXUHysrWa4frCBHn+uef/yl/5gS98 - 4TkRUnXDeB53/cVQVev+aEppPBP5FTMzZi6lmFlKCcB8Pp9MJl/3TxJCCCGEEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhBBCCCGEEMLXqFZXX6FaevWAAWO3GQAEpbG7UIsBfQ/1z7zvp243a8pS+kEy - MCwxDDBFv/fCuWdPvO5eDASgW/a3ZMZAwBIbuW0bOMqgKQuRwwgC9Arj6bnz29lQ+0gMwB99infm - m5NUlqWHNU1j2tf2IAzAGauuCLUnwLq9zLoGnFa3YnCy+odGzMykZqUoLOfWiNrpBKaYdMgJ+3Nt - m92ueSanh/7LH0PbopsoZRs0txmOfnBpaanIAmaYYbPLgMEdGx0Gfd2P/o3P/tRPN4v9KTlvbiLn - 6c039/tPJ9Vklw4kXqIbRsUMqBMsA5klty3gKH3Xtov5/qLrkDOyuJWWGbU+/qVbRNAl935DiuVp - bD8Gr33GADJB7oDh4b/8/ef+zvsALOazLhGOH13k1A3OIHcDHEQOrNujHQz++IoOsvVUrv2EnODQ - BDRm+PzTuOkktjank04J2Nv9xe/+nh/8zX+OyUZHuQfPvSRqZNXa+lBjBqaXibi+ThBqS4rMKANS - 7Q419GhSbltezEVa+BJb02ViNSXHS2Rtj22dDKYkAyfkDmREDCIlR9vCCalp0jhKig3CcrXDezDT - OXDQ0oSvNItanVsEgBvMhAQkXLsQDUXne8lcASaogwlU27Jf8rkuX7JW72tEPWRgqAu6nI8f3b71 - Ztx+G246DisQAVEPcQaREFHJ3V6bZ1a6rY3nh+HBv/XeeyYZLOgmpSBNaw81gQOmcBKqXUtcUlJ4 - 4oTakYmYLksZv1LseAghhBBCCCGEEEIIIYQQQgghXKdqPE3t6w4SIjUM8+W8qMo6abamX9VMH7DB - VnEP5vUhQKxplhtved1jU2x1Mi37JRGoIZaLz6E649CVFUbgmi92vZ7TJ4AdruaGpmlMvPeFMKNg - o9ueLfbeeNdbPvWFTyz684U8Z5Ry+LoRhq/Oa9cbMmgppTcysBMJmb1KTjKTrbKo1mE9BjJyHpPr - 6rMcoMN5AQxnJwMgY467+Zgysw7BC+HVIKK7QwghhOtRjcjMOZsZXa/fScJLW2Uz+sHXBgcoZYOZ - mhOYpUbWNdxqAagxBzExwYsy8oSPDuf7u7u33v7ofY/d/T0f+qN/9fHP/Nu94dypI5OzZadkrfG/ - AtR0bHLArYbhMbMTu7sa1WRWpxqXajpm9ZIAbp5ozE80MDENAFyJjLUmrQKu9X8QxlxHkI/RvAQQ - Ae6r6+wJLvD6qesl8qsL8NfZrPVrmDuvr/iuWYdjDPk6UNhQL99nhzKDyLH6/p/hDvXCkkop7lSj - vtUAd5bkVEjgsBoJlxJQ04sdSRp36rUHO7fZWGmOm8oRXMgnNm95yxsee9PrvuXU9PZm2EjzVkoS - S+QOLJ0gQkasqmhAbr0bACJOiRfDol47Ts6pjhywUS1IMQBGKJwAsPcMkIsDlnpxTTq0hef7E0I7 - k36x0TtpDfVcXZA/hpGDyIjgVuOBAdREvIxD6ezCZlgsh9SNNRXk9Tstk8PIGGZ06LW/EuNszCAj - L8D6BWthwLqsxAx1qrHDmFlhWqtOmBdF6wxRk1sVWqc3E5xAQLYx/X1gLoySDJghs4j4QNxPGm9J - ekMPz7gsx/oGUg80GBWQw6XmVSubuLKjGVJCO8yW8HaRy3yyrAc1mK50DIKsjnSu41UBRf1BACGQ - oQCcsM6VT1oTUgHAecCYIHsV43Ocf1ZTf1Uesz62si6mAhzkSMxWHHBmBmwwgMDMAIgM4BprX9eY - TjrODzqmOyujCJTMaZHKXLhN1vkeOmpNF2AC6NrNDw7o+Nrmq2oYcgAGr79JfdwJBq551wUKdU4C - tcziqgkE5IlslP3+5nzHX3jsL33rXe/68Mc//OEn//Xe6Wfnad8LXCEEJqr50+5GQkTkTq5OBiYQ - WInMCrHXw6Cmirpo2bim9QJzgODCABONqxACAUQ0llrVVTwDxDbW0owxzeuRaevDiArXmuK7Lsmp - q3KGeV0rJzWjeuAMUHdn1O2R1dW+GzkxOauJwEGDujE4k5PrABYkBxdLmlLf2lKObpy8+8T93/uO - 7zviJydlKssMTYADhYhFlUmoDpy71i0S7PCBzIODgKtptP5oqIHrNzgHLMvSSlKe0LSfL9tp61SG - ZjHP+yUtyBIZi5uTGbETzIwcROzkvtpFEYabpTrp6/ZFUFzX27X6NKMrDsRqiXeMi0gt4hoXEGC9 - mQDXXQEbN1gMIAmZDgDcydWwKtgDaolfDX7XQlbTnWHjBggwExiXkmYqE2d2a4rlxFmtsEDViZJD - r90BXGUs2QAkeFIko9YbReKlv+vBf/ezX3hq98XdOZ3PrUOwGNAIkSZydldy17pfJmCpm89RHc1s - 41r0oiKwiw/U+8EfmHEPMBgHcesCAAYzN/a6mr7o74kBr+sFEMPG9RgcSEmU1ArgDitgJiKBQ5Fo - jPQmIlM3IDN7j1xanjW3Tl7zHY/86W+5713H+HT/vLXSkNfqQimDEYOJDTBiB3i1vahr1Gt9FoKd - k7KRmQxMRprg7FSMzU2bSZrNZrwh6JYz2vFWl9Y7kBPcyHptGQDKAOa6SS0A6gmGQ3v7N/xa5ZpS - MKgQQQjugLH5hgGKvcScHd2yffiut2/R6WGubWYzhddiatRxy77e7+DVf4iTNNfMoXNgh/ZCU0qL - xQIAMze5m8/nbTsxw7lzL/7gu/+zJ578HICiXp/g7nBzh693HxwAXj63u/6hu9ecb3cXEVVV1VJK - 3Yd098lksn5OCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIr7B1zTzBVr2nLi2F - rf1ADufpEtyh5sKUvEfpsXPhM3//50/6/sR1WO5KO8HAMMJn/nj5uc+ePffsfmpOHL8D3QYSNaSn - l/OP/OR//ejf/SmQwgWApAwAOgfnpXFHk0+8/2fuMAz9riSCAfO9naee2iBezkvOkkSXfd8Ru3vt - lEQAvDaCJnMV50Rcu0wVt9roSmidEzz2IyEH2Aq5C2AQkn5YSrOpTUKX0aXF7m43Pd5T/sP9ve/4 - mf8WkxYiQBJnzqyAEHJLfe2oNfYPtjGTOmUgA4YJP981J0C6d061iKfJfQ++8OQXO7janAFiUncS - MFAKEl05vbsmSrPA1EBuJOnoNnKGEC3mWRqkjITinoihChm79xxuK3Co1p15HZx8aE64thyovSBQ - FGzgAWiYUBISgRuTCcSTLoEB0zbfdht9/myZ77eUCFpb1gy1IYivmhERVr3GjHx9PzmgXIzce9vq - REs59/jjx++4E10GMZIdkfIfvOsRJIYym05yImqKu3BtJ1YEKEhYh0ZfbwiA5VV/FEAInBymSAlm - ykLLQVviiWReDKlp4I6jW35sazj3ogCuoLF12riEE8jhtR+Rw5DT0lscvxlW1BbSbao6KEMmWv/Q - AZTEVz3fOMZmI7XvGWyMZgdBwUv1LEQOLiYZDjM4g2AOK9ABlJ0aXexL24CH5QvPJzXO6BVgJBYt - arWTFaAOAAImEnM1UOK8tIXBOggRwbVn3pFu2Ng4fedt+c7bsT2FG5hhiuLgBou+meR99qHNfZOf - A85tH/n2H/whNM1pImSBKdpmGDTn9iCVHEBduQF1icOheYl4tWheNv6iJUEIIYQQQgghhBBCCCGE - EEL4GtVTgSLi7sJsVjg6Y4drhhItS5m4EPlgS8Vyd29HOqlnho0KA8ogBzvD2c2SkJk5W09lAFrh - Zt7c6q959Oa357LpxizO4GJGkHXmyEECxqEu9DamuljNCABwnYVDGcEh1GcbvBeUTODeOmrLwkW6 - +848fKT9tbPDk3kKGLl6YsChY0oXA2ZUz+MXuOQ0ne/uyim2JdyMKcGvYb7JN0ANhNEaK+cMiIHZ - zWzImRno1bR420wBaFmk5CzmamWw6eTYbNlbBrkmE3IMSc3ZwXBZXX9zA4+c8HU3DIMItADAjRUb - EdHdIYQQQgjXyKVfGA4y5lbfQcdINBr/dzIDszM7YJL8yGK235DctfHQmXe85p1vetfHPvnhDz/+ - uzo0C+7di9rSSVkM9YtwYiIys0HNzZiJeJ22fcmgOa8SuMc7yGotx+VDPP5Iq09UX7EOJGps4Spa - 8qVucfDzmAIOW1/UXAfRVq+2ftn1W9MYRHvxJyBWU5AQ10Ra41XG26CFDJzQNGxmVkDkIkTEpS8M - 2Wg2yGi5N4i3W7T12u7Ot73j7W+8/21b6YTtZ9mbJutQPElid9BBmijARsZ++NiBrT8CcLgy5vDI - MwfDa8BjDVlnYziZobCbGAsJKBUZhrRkN8DYx/c6dMvr+8Xqo5ffjknMRjWYdv3MmtuNl/irr+R2 - 9Qp1GHClR3316CqflX19+1KvvMp+HuPnGZ6UzKmIQFkNYBOxTC6rEX6t8z2vMXIjAzlg7NnGOFsz - KgQkJDZmTw4uMpTUc53Xrjj2vvz8wKv5oc4/OPxMfE3zw6W34yvXlcmhefXlp/7Fj64WpVXYfI0B - VmKIiZuyAUKWyRtGUtJvwNfui+c2uzhdli99JtX1uR1+oEYauxpATMI+7ZrNrdPHbunueOShR/7J - h//BF+dPqw6cffCBxJDQlwIC3M3cDIm5bQXuw1BqOjjW9VqoGed86L2wWmUdDAWP8cl2efryOJ7X - T/RLClEuWsVdsiJWAnmtxxmz4Wm1siaCKhQQIeZk7m4GRyPJXY0gQkreF3dGTmADeiTtumFzC8df - d+cDj77h7Q/e/mZ+YbKBbWY2M6+p5uTkuv74LzGlLvcqPIRnBCODMTnISUzI2VmVB5VeGeKgg+Db - cRoBuHSLgCusQ/CVrh/ADgMO3VNeZi30Fd1esvYAGwxg9vXKITkVgznDuVdWOMMFzgA7mYPgBCeC - +rVdTXDNImcYu5AzgdjyET71vd/5F//hP3vhufKEdvOze8s0BSXu+4GdmMHMLAC5qg1LpMNH5nyc - uOMuE152/2p9i4t2nC75K1snoq/oGKA+/q2vItVrLd/eTNuMpkkAbLBiyiARcS2pYTMrBcLepoyB - MJOJbW7h+GNvfOc7H/rOo3SK9lpC2pSu2EWnFnz1vgejz79xC6atkt+V4IQEYmflAhhI1iWjRmZc - DhfDil2+LKDO56stHfjLb+++2W8BdiTAGAaHOdxZiQFIJht0K02xn++/403JNrpmYsNq4XW+rs7I - hcVi0TQNEZVS3L3rulL0wt7sh3/4r/7BH3wMQM7ZVr6K168XH6xDuwG0bbtcLuvPKSUAZlafcAOd - gAkhhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCK9ydFCmvi6Rvqhj0vgo0+q5s6Hf - zg2ZN6RYLOGK2fyJX/jF9oWzE2FdznONB54vzn7kI/70E9s6HCuWclk8/fnu9Q/Beu996nLL1haW - M7QtBnJmNIADKcG8Y8ain+wvZHe/O7KB3RdReP6ZT+tyxm7CqRR1QptBgzEYzGCCububqxoSoDC1 - sZcJgZiZ2Mdq4kM9l2qFeF98Om2Ws36SWpj15kfveA1UMZ+3G9v78+H89vQ7/pv3YTJxySYsByPN - 6siRVZ+C2r5oNeLIkUgMqbz1Pe958m//+O256ySjOLbk6JnX7D/+yeOp1bJkIribATVt/CUwUBRG - Y+sj89LP5g0Bw1KaDtT08wWIE5Ptz3jSXjJlX3l1uJ1BJnDAbN34ShgAlHaKbRSdtI3N59zk7tSp - 3SefPUat+jLVphNpHLlGWE8IjD1q1sbGaEYOWBZYr2xomfxPPk03n0QxaRKGeZebJ//R//ra/+T7 - J107K8XAk8RwwMdBo9o8BJcsGNeFi/Kh13c5WKClcJb5cjbpJtjf2z134Vi7uY6wtjYpXTpf0EU/ - OoDBYabHTp/GcsDGVCbT+YtnN2+9HbX7xdgUxgCH00W9RL6aD8OgMZ5b3ZPQoi9bTSJhH3pkng/L - BtS4Y2n/9uf+/u2DunuzvY2zz+HFnaYMwrXflZED7kQgBxHAJE5mZijkRiCCqVOTJwU+ZNmZz5Gb - 43fcefqOu3DbrSCFFRzZxnIOMDiBgJT73M6S7HfNTsIDP/LuU90U0qHbwDBAGElgCkjO6aBTPOri - zJdMqutsPgohhBBCCCGEEEIIIYQQQgghhK+V1rwIYoI62bIs3dXdx0sgCFajoDD28GdOqF3/BSRg - gAAp6cEzbzqCo6QJYK4ZGlaI2S+NJavGlvIXRXtcX6Hda2bESjXBCdlADnGi1Bpxg+Hu2+793FMf - VaJSvBV2M6fV2Xjn8WKUmrMGI3MicneDkjevopPQdigtjgFkFh16iDRN1pTMFOTU8KDODmExRq8+ - uLtQgqAwu5HDeX3Jk70qQ3/C1+jKa5TrXkR3hxBCCCG84gwYo3wBNiL2BHC/2Gva3DXNQveKdrds - 3X3yW257y8Pv+N2P/+6nn/rEU89/xlrizTIrewsGGlzorWkl5RZqpoO411qNdfQjO+BMzgf5rGRO - ZlScAEKpV9UbLq4UuOjLD10crT3mgNY/cfuyt0YGsvUgra2/gesYHrlKooXR+uL+g9sEZ4UTObEa - ufvBIE860cGtOCknEoeqW3F3FGa0lHLPstuc4lsfes0b33DPw3fefnfmDS5d2ZXWpxvtFqn0tgAU - ZEZahwOgr66Aol56TnCG8XiYA3A2JJArEYiV3WkwXoIKAHYQjPHqv11Pa/eDnwGQM1AToGHEDnYk - 8mxEfGMfrjCMYaXrxapmEDOI3aEEsBkV496o1Me/eeYHcigxg80TwZyKHYTRrpc+dohB4NnI+br6 - Hl7nW8jq5wNEJJwJpFq0Hzil40dObG5v/vj3/vQfPvGR3/robzxx9lOyvVhitl/mnMEMV7BTm5O7 - zofBAEn1wN/qNccYZra6EK0O8znVHPFa9mZYFaJdlHt/xcXI7WUWr8sjkI2gZDXRXBxsEIcoCGDm - Umy1fXAzNXeGlMIwUi7InjKEYAZXUMkT22oXW3cff913PPxnHrjtwTx0cq7NMuFDYzJCOi9BMJCh - FnGRAkZuXGufgLqeGReiQ+HNr/iS/tXdjnM4jGF2SUw12fgPRuNs76v9lWtFDOTjDpOBe3HA6jJG - y+Zkvu37vusv/6Nf/aUvvfDULac3Lvi5nX3d7MDMMB+K+oBEyMxtLRlbbRV8lbSNujPgdqgo7svc - XrKQ1lXAeiwUvuRRhjerdYGzY7VrZxudmJdhKO5gBgQGVyqeeKEOQmrBBpsNnW6fyLe95Z53Pnbf - u27dutt20HA7yZ32y6HMiPPXf7x/tZx44Ea5FM6gQpRARM4Cczd2EYM42BNbAx/IDVSuhzn/1XG7 - rtdljBWqIGNfgozdXEGejm+des2t91CfGAwrJLiutvDffK589msymVy4cGF762gZemEahoE5vfe9 - P/bhD38EQEppGIb1k5n5agO8VRUAETFzfcGa211KMbOmaVRVRFSVmWOvIIQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYQQQgghXD9qyT8BZAyCEhQAwEA6HDdrEMYAdLlBURSF9RiWmM+f/Jmf - 3Zgtj7db2RkpofQow7nf+BfNzvl+sURCJrRuLzzx5O333IsESmkoQwtAHX2PPCFBKUZuogWUcGH+ - r973vtcPpdvcsN3zTC0W/c7nn5kmNtXM5MXFwUKUADczc4UDTEgEEgKg5PWjJQW7m2kxqEwMwrWR - MZSoiDtgLaGf9RPhxbBMkyMveH/0tjNgRjtdEvenjtz2I38VYjBF0xaD8KH+EG4AJ4z5vKvwYlqN - RYAYTYKSHj+y2NvT3VlXDKnZuvuO5z77KSS2UvsGQB1jw4RD5c7jBPLx5zEgnMjc2Xn54vlm2WMq - amraT7oNLAty4Ulb31wuazx16e84NJW/AZXQY7sIgMYhGSv0l4akaPLdP/rXX/zpn/L5/nTrCGaz - 9uabz8sfE7P1i3E0+GrkXlzbf8XPVZ/DQjo4AQx67pkvnn7+eZw+DXU0bSLun/gU9s6hOZ5z6zjU - tJmpBwBlGFk6PHWvDxd/4vXYIKhDhQA0TQdnKLWpxe4ucgIRHLlpao9zvGyDhGnH53qVzNiYYjGf - z3aa6fTFoazeBwAKIPVnu+qRQ+u8da8fh2u/ETPNIttNggNDodwAmORNxoB+iVnZfOHCic2pXtgd - zu+0G1N8/BOplAwmUzgEUCeQCLGaFjOCcwLTGOZOhqX3ieWFfkjHbznx5rfQa85gYwrvQYpugvnC - 9vadhdputy+0uXlWdTFpz5K/4z0/ctu0AxS5AbUYBuQMAswAuBnJlZewEEIIIYQQQgghhBBCCCGE - EEJ4FSMbk3DdHbDFYuau64Cw8dz9KovEwMxs2tcLANyRQL6kZM1D970xSzcsrSbrMrOpcVpdy/Kq - 42oQZPC9d9/7O09lKxh6dN2VA0sYAMHdCRDiMfCM7KWuBLmR1OyS6iDKBCKiSupupoOWwZRFjTjl - DTPPAoU7JwUV7kvxTAKwko3JN6RAATk7Xa+Z7uEV4KvgbqIbLMM7ortDCCGEEF5JNaDRaUyjXEc3 - AtLk3Pc9Cqemm7Jo3yeeJEz+/UfPzN504ckvfvKjn/n9T3z+D4oSbxQAaYKl9svlkhk5ERG5mhek - mt49fr1meKpXZzuhhm47sR9KwfR6+fUKrYf0cBHI4S/k4xXbX9Et+yq+b/12h648P0id9HHM8MVX - khthrK4gwI0YBjeDE5hBBBiWu9oIJ85QczdhSRAzTNqNYVe7Mrnzpnve9sa3v+H2N97UnvalJOuW - C0B5kjZJ03x3Se5dk817I0WNC3U2Yq8XqV/97v4qEbP+G0N2HQwXB4zdyYx6Rn8wAuib5daQag4r - jxN9XBDGpHQyrFJajRg3/vdwguFgIWCA6ydyEiM3Nmd3Lk4X5c5eD1PqG3C7WiklgJy45s7WcNzV - +HAnczJ3GJjGQOrryuE6lgOqKiARcXctru4AJetoNnnz8W97/Z9706ef/+Pf/Nivf/rZP5JJi6SL - fiGZDTZbDEJopwT4col02ey/jvtd/WZ0qDxpvUq5tLDrpdZjDifQZbfAFXKQGVC6qJRr/bJanInB - VNxLUQNIIEwAJW6EsnmxZWEIa6K+bXTzgTNvescbvuN1px/YGDY73ezQLUrPIg6viGgd0uk31nGX - a+jQcc/VLgQ513x7qvevigbrs9lf+SX9q761g3mMV2sGXp0bWMfTGyCAsfM6A/taIKBRAFAmr2nh - ZOTmhBYNl+27jz74F7/nB/7Jb/zDZ577E5rSqePpxQsDZ00EEJghIDIy99VSxk5mhz4vHOMm7yu5 - PbSQ1j9fbVUPveDBHMOOZCTwVLe5RsbOtba0H5YMMIMTu7t6PTUDhmTOYuDe85BPtKcfuf+xt9z3 - zjs27/HdLu9NJ2lSeu2XQ2olJ1G9jhZUA5ytjmH2cctbZx53Jl8lvsPGrczFoy5uv9bbOjc6wwyE - uktN6AFDwURIL+CNj7yt46OuYqVkklfpmbsb3mKxOH7sxGw267rpMAxm+PEf/9v/8l/+lgM555rb - XVO3zexqc7sBiEiN61bVdW43gJQSgGEYcs71aYd/DSGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh - hBBCCCGEEEII4RW07n7C9ZdVj6ZLKu4P2nc4iCAAXGE95vOf+zN/+j96+7feXHySO6jbomcD9mbP - /d4Huxee3WKgYQA6WAOjnXN4/lncchrMTeqG8xc+/rMfeOi9PwYSpI6ZmRhuMEDttNoGzPd2oY62 - w9NP+e48sRZDtsJgAnywASCACSwghzvcoOYOKKAytlglBwMGgguQDoUzA2RcY6QdcKaUnlvu3/7m - R0CMbqpLDNP0ubI4loFJi6YxgBgKCK3aRRAAg/M6hvigzdQY3Q1T5ZTu+cHv/9zPfuDMxhEMBf0C - p45NTp3Yf+65BmzmtcPyy5c6u4MIRASHAxk8e/E8nn8et52UzalY5/P+wz//82/90b+GwbHRXbHf - UW2CctFUvpZtLg67PPm6Dp85uGOYwQyJl22zwZv9zotNBrY3piePzZ8925A4zBlqAF/adppw8JEO - tz6qj5m5CEwhTMl895Of2jp2DEmKkUz41syf/B8/cP+P/a1kQG7HJjFsCgZMYJfHn183VgPmBx/f - GUpwSK/LieQy65NSnkwwFKiCCYau6w5e42CqjD9RnZsdUCuGI0ePwBVdO5lue9GlGojXf1DHUnK+ - wtR9WQTUBfngd0JthNKJLBez1HQ2X3AzgTqIbLGQYQ9Oj7/v/a9Jne7voQztdAvnz1/44rM8wNnd - XAAWKaYEAdjgRHBGDxtqP4gEaZKlZnLmtbfcdgY33wIAqVmURRYX5uXuhdRMvW0GybvuOHX0OdMH - /9p/jlaQG8DQJKTsIFIC1SR5N1POmQhmRnzdzjAhhBBCCCGEEEIIIYQQQgghhPCNMJ/P9WUjshyq - gAD1Cg/mxIVPbpy++dStWIKMHO4OEr7BknWvDru7F6WUbjt1+yRNl+U8OVT10Ol3q6MDBPdVULez - SDrIvlllu9zQHMyuBoMbYOxEQF8Mwpykt+INsxi3NlivyMOgiQ2AQA2+tAUzJsJQdjC8XoBjoHqt - gLyCHy1cby7JjaqpUq/UwFyViO4OIYQQQrgeMOAG4xpRjAJHbhpVhhOXVIqqUmomm92W7g9s3RtO - nnz9mcfOzp/92JMf+cin/80TX/rU5tEivizilKDwvocDE2lSD3LGKhJZGU7q6wKD+oUQzCnH1w0A - ACAASURBVG7w8Znrq/GB1T00BhzWjG8eH4ITlGD0lQaz1TzRtRrmh4N0ybECBavISQOT25jeR6sB - JgPgXq8GBxOIQE6As9I0tVa8L0sn1O9xWadt2Tiltz1y72OP3vvYLdu3S2lggiJEJENHg9pA1lMi - dKkB1DGA9KA+ZCzhqFmPV5tCx6thH4ccXr+EiwEGclLl4mS0Gh96Y3yV+DpwcD36sMrILKBxOouB - vTAKaAANRvnLFcXcKGw9j5MTg5UYYAMTzAhO5lQj3cdilm+q+cEBgjkxvNSirFoawjCmAdSDBkBX - i+d1eOCKMQYMX1T8wSAzjJGllJiYiOq6YSNvN8uNh49sv/G7H/3Mc5/8nY//5h9/6WP73e6+nrdG - J1uNa1nMTAyTltXNVrV5dd1sMMDGFbeDHQSwjTnaVzmOGGAby+SMHQZmr28xvv5FkeRklxS4KEMZ - AMrgOYOEVA2OlJhB6koTfnFvLym2ZLNbbvEs3bJ5x92nX/+n3vpdp7bP5GFiO9zlybAss2Fva2PT - hlKPtqxzuyO0+xIMdzKQg4xh5EzOYkm5J+NxHqnb6xt/fUIOdiZnBmyM7k7k6+OzB9sIRq2OvIbY - IW4AuycFjOsm3glokGkAqdx/8pH/+N9r//Gv//Kz5YkXn31u+2juvag6AZmYiLR4KSaZcbD+BwAj - sEOZL1vIXnp4AILVXbX1iFjlmnNdKTmNB7OdGM5E5igEY3dCAVndznYtleKqIBhJ3cdC8ixzyaWZ - lK0zR+/61oe//c13ve1IPl72Ne00VhxpKEyWXHLDnhaLXtJqt+c6wChGBhoES3bOXlizc921c5A5 - XKVXGYosh7Q0NoqVzdcRgQzsidxABmfBqvTa0VEH3XzTvd9C/US0TSzkfl1u4r+ZXL7wjiXqmM0W - lPJs0bdt+4H/4f3/2//+T5uGl72tc7uJSPWrzF5X1fq3m5ube3t77l7Psrj7YrGodcullJRS3/dN - 03wtHzGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYSvCwMKjABZx+7S+L8cajw0 - YgAQQEyx2Ee//NB73/ufvuudzWKZncrubpKE/TlEnv/Xv5POfWki8AFgMwMcYtiG+9NP0smb0DNy - OtpNh9kCyyVaARoAQ9HUNHRhHzYc0SH1S5JE3QRnd3ae+OymEhdrCUK1S4ED1GQG3NzMQfUfkwDE - nN0V7uZkMICAREy2BJZA7QSltV8MAW4QBtwXRemmY/Tg/ejSDDxtJntDWZ46ho0Gift+ABNnqdHg - QuNIAy4uNK+tVVb3KAHtFDrH1uZya3Pv8y9sSkbTgLH12jNfevbZ45JM+0REcGaYvWTDYCtIAgKZ - OYNbktneTL/webn7zNAPw9y2jp2c7u/hwg5OHDNftX64UhH8uuXs+sFvaGOP1ZvV0mslOAPM1Cbs - ljnjfD+cOrJd5ruJeevMrV965ovHmdRchUrxdFE+9xjNDqxbgV32bl7bRcEdU+IXnvni1peexZ2v - EQL1Q5m9eNOpk5jt02ZGD2RAoMQOFkDWHaau184nvm4RBtRQ7R6FQK1kHSw1HXrbmQ9bagkgEsCa - ybSwAOTkuDzsfGUYsLE5la0tMPr5ImW5sBx+5fc/+MM/Qet2SwVAbQ18pZz4l0d2KDica8M0Z8BV - u7aBgdtp7UvihAzCQJ/6yf/utZqbvi8ypCSYDfiTLww7iwkEpg4ickBhINLelVhYGiMezJaZeHsz - HT06ue0WOXMbGkFRTIDlEqwdCJaQp+0Gzd2HbvJs3/+fv/dv3vMr//xU24Ackw7O4Ax1qNCgyIJG - xr5MOdcP4nTdzikhhBBCCCGEEEIIIYQQQgghhHANERHBjeCM/cUeyKiekr78sgUyJ2eBOLxmAVia - ePfaW+/paGoFQsLGprZu9n6jn4ldJVs5jT+NZ9iJiMENpY128/jREzsXnusy1NX5kn77tv6TGnyT - Uhrzhh3ufmOPHbDTmFzENarIDcRw5JyLajEbtEfLO8sXBt0pyQraoqUhwJU8EaTAjm+cKPsMtFbD - U3x1PQIsorvDYe43an5URHeHEEIIIbyS2MfIXqBe/VzGuGiyfj5zJeEmOTV5Ss1GQen3lyztZrtR - dNg9u3OsufW7Hrj1sfse+9Lu07/7sd9+5vxTX7jwucViSR0p2+AGhdegaxpTIUGGMQoXWOU7sjOQ - Vhd/G6heyw1aXZM95nZfktuK9fNXV29/uVsjyEUFEpe9VH3imNINdqyie3FJZjYR3MEgInF3KzBD - NnbLUtBRy8xk6cjk2BvufvPDdz56100PtP1W7jtcyKQMYSe48zBok5q2ad1dVd0KqMaYA6ghmmxI - cCFPuNKQXJWLqyzIqRaeGBmn0uaCgXGjfx2/CmROULKaNFznk5o9LA4xprG6wBhKsBvzO9dF2A9X - p/ih+9nJHOMCK8ZJm6TJyPy6iT695siMwICRgQqNC0nN6wUZsYPqCsqN3a7H43qXVr/UQ28mImam - 7uQEJlcyd1M0nOZ72si0k265P3/DyTe/9rvvfmbv6d9//Pf+vy/84VPn/gStNhNOWDo0IQ2Yreef - i8qcXm7ZuMqCHDIbX9/W78IHrz8eTKzbC1nNm06w1arbAcowdjd1QJjIWYsOg5dlf6zdTn2XZ/nM - 9t3f8ui3PXTm4WPpZKeb5Swyd00zseKcmiZjvpjnxPWN1rnd6yTvq/tQr0bs464Dwb3uOTizs5iQ - CzmzMwBnGMFXie83dBqxMdxNzAB4nf1c4MyO1XbZcHiP5VrOJgcLoDNA5AwUwsCwfjHkdloG1l3c - vn3fD/2F9/zT3/qfP/b0h/Zne2AVOAmbo7gSEU9Yre5sHIoeX+2PXameblyrXHJbSz31CudMGOPe - 5sHUJwdg5OykoAKycV8OYEc/dyFMmMhbW0B7MOUpb2z5kUfufdvD97zl9MaZDTuR55O83044qw3t - JM8Ws/1+NummfRnKYjGdTtX6r9/4/joQtzqnkGMVAM9OBmcnNSqAomaZvyr2N643Pi41zACQCMZu - 7BCH93zmpntObt0uFzrR3Ij0Zc6JNdb015+um+7s7Ew2tiaTyfvf/4Ff+qVfYkbfm4gwcyllndtd - 93yu9oRJSomIhmGoud31TjNj5slkAmBvb29jYwOxJxBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ - QgghhBBCCCGEEK4zY5tkjLnd6dD9Y8OH1a8EJDPM5ij2uV/+5dd2rZzfyTlh8DSZYn/Gw/Ls//Oh - /OILG3BSqMMVmQFBKWhLv/vFZ7bn+2haOJfZ7Phk43/6s3/2hz742/18r5lsEmeFpYY/+hM/fa9r - w8B8iaJYLofzF44n7peQBj7wHKUHuG0GLVYb+JIxSyYwKIFITdzISVYfwKHuKtAaA7zqQgIATmDB - XgETbHPj1kcehsCbRgcsnHjr2Ft/5IeRBcy5aUDUK0jWTZShAC5v8XuoVZMDCy2bwoC+YHbLZMv3 - LtDGxnx2fnLbLXx0S3cXUABMpJfUOdeOKIc7XxEBIIMJEjka191nnz26cyFvH8vTae96tBgAqHHO - V+7rsm6rhVVy+aG7r7VD70Jj1yaAGQpYGRpybLb/+P/+9R/4zu/evfDiJjfAHLfebJNc5iW7ExGJ - c23pc3F6N67cqsbq6w8FOQGmGPqJyO7jf7J1+jRtd6n0x6bT58+f//j7f/ah9/xX6Fo4K0HBDDDG - ptCr1mdXnU59TR306qDVEjvO2wzAYUkEBnD+Z7/9m3/p27+9LcsGhGJN0wzuNZOcrtDxY2zdQ4T5 - UDZO3gThZmN7AV/k9MO/8qu1Qfb6qQ4GXf2YWQ0wanM5qMFqEy+WxgxuJsIww1DIHPP5E+/7qduL - yv4MTIIBLNibvfDJx9uimcWKsrC6HuS4N81+8X4YrGnbm07cdOstcvvtOHkCWVx7FUrbzTCbsbA0 - qfQ2b9qlpDmhz83dP/QD29ub72kEOQOGycZCzUAdMSdgAJIMi3meTsAEIhCGYeAk/FWMihBCCCGE - EEIIIYQQQgghhBBCuPHVGOkaz7M33zcYEb1Uu3cz55pFUk/3K5oyvefW+2kQck6SCeTktdP74Pr/ - s3dnwZZd533Y//9vrb33me7YMxpAAwQJgoRBUhQlkVSklGQ5lbL94sh58kNcFQ2UTcmSotjW4Kii - IRooKtZQFaucVLn8knJe8pChlFK5UrYc2aJk2pRIgsREEiCABnq80zn77L3W9+Vh7XPvbQCk1BDA - vg18v4fTt2+fPufsvddeezhrfX/hEGLyFlASPWyVvyagJpFU3XPq3mdvPMkKKSeUb71teP4tCSNG - MsRQw4TksW/v72bGw4EHQxCeCYC+z9msGjUYy16++a/++F/++yf/9W5/neMaYlUkU8qdBVZ1M33s - /m/+Lz/6t0SjgcOqHdb2W6TluDfKa+ZQfJ3+6uTw6G7nnHPOuTtMLMDCEJpY4qLL72Oo6xhRp5Tb - xUKEVYxR0KFP3TII10cjgaadvsK5rercez764b2889yN5z73lc987tlPv3DzyyEecJYWcdGLlThk - robLy2qkv/IwS08NgpLtvWI8ehrs6DIolx8JQFWOkrb/zEcCGXLsinTILD+afIKj4OoyMUNMbxmV - vrqsJakGGkUkJ9OUqqqZjtbSDR3Jxr1b9z966bFHLjx2dnZhbNOgTTWvNZlBQhVDHcyoyaCY1OO+ - 6xbL/RBEYgBUqeXC2BBhAEQswCogllT129q+x3K4BVYu2K0EFh5OXBCTmOumm6WuRbXoQ7qtt7h7 - GZFFUabN6LBxCQSVkGPITcg1TUqjLZvkxF9h3S4lFFCDDhHFJqKhSk3TzVJYdtUiy8lKP33zGGGi - GUoirCb20CRoDBlBa1oUFZpwmDByQtuDHN1QK12cqimIQKEIIEqoKUmxetTIMrfzflGNCIt1P31g - 8u6Ljz3419//vV9++al/+9nff/yFzx7Ijdws95f7aOrMRINAw2FnfnSDbwhphg09D03+/FHNRgCr - zufWSWC3xNia4vCuWOnToFoOYTbMJQsBOYOGsrSqGlmtNVPb40Y6/fC9j37zIx9+8PQj47RWLZup - TrHU6Wja9/1yPmcMvZkRs9lGt5yTeXgrM9Uyj8tvyQ2CRimh3RCFAEILopFai5qWKVVEXh2mY76L - o7uNyFKOAsqSFk8xiFGUZW5eOUIIECSH282Lvf3PoykYTGEMGmkKqhBKrUYCptF0tHPQx26yVp3/ - 3o/87fe/64P/+6f+1+t6pc1LCjUgadszBxnOKlb7ixxOazRRfe1TjqOcchueoEa84tRh1YUOr7CK - Ax9yuwEYRQ3GZKXfIGBQoK5QW2jSRBaNtKNT49MP3fvud5x/9wff8eGYRrRY51GUUa8595CKFUf7 - e22sx6NGF8tFM24ma/Xe3s6I1cn56oUmQcVWvQc1wCKQADHJgIE9kYJpVDQJKSDzbv9u5AQxIocE - QGyYSysAVcQgfRX66Qce+UiVZyHVMdfQFGyYVu1OBBMASgHQdmk8XTPDv/gX/9vv/M4/TQkhELCc - c0nsLn1vVVV937+Ot0pp6MnMhtes65pkSimEQHI2mwFYLpdN06SUYvSvNpxzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnn3B0mQAAU6DDU66iwKuAhgCCjlNMBCCUCEhYt+u6LP/8rp9vl - et1U6023f1ALsL+DmzvX/83v240bo4pqFgEJq7BjQyXIydL+Li5fweYZqE7rkbXLv/Ed34k+1bMp - gL12fzIaQdM091VaKnIYN2ht8cTT0i9KEZG+RzLL1dTOT9PG2qkLDyLUoEBTvzg4uHFz/9p13d2f - 5Myuhy4roKGESANUh6okvcCO1bMCoBZNLK9vbL3/L+HCKVTs9+fj8caeNC8T58wQBAwELaNexXWX - 2lO5hEMTx0tPvUIdIgBMJh/+8R976Wd/dTpd2927uT6bIcjWA/ctPvtUKBVrysT2r1GYhoZQijCY - ASDFch6De3t7eP4yJhuobH93Zzppnv2f/sn9f/eHUJ+5pYjWcYep7EflX/Rrffg3iq3eI9z6GQxY - dHlcB/ZAHTCRn/5//o+v/NJvrVVT9gdAwNp0dvF8fvqrDZiVEaTZn1WH5Fg4+6qqDAAqAvKsqnde - emntmWfw8MPV9vpy78bmbDZW+co//Z1LH/974BirvYMAKKVY2VBm7YQVdKYhE3IYhG4qFEMOCBGC - ZBCC9sP/9//18j/+pCz6OgNGqZrybFj5j8cNJSsMyJTZmdOoIzRZa2lU9dNZibHHqobS0ep4HcHv - BKiJmofWEIBowEIRBSLSaB9zhxs7//Nf+Wt/6z/9rou5rWgyjejm1A7XD5Z/+Onx3m4NrYgeObBq - TWmsYFJPrnZd3NraunihungR585hMkLKfbus4jhriM1IOz3oMNvcvtHuH6yvXdnY+qbv/wFMxpCI - IIiEAVVtoEKEUoVAoOu6uo45pWoyLsvRpz6EEKsKgJp6erdzzjnnnHPOOeecc84555xz7m1HCTEz - UzNFbtu5ImdkGdJnbnkqAFVIhaxD3pjk0OTRg2cfCloFRGQlgoSQNFsEVHFiwiNeLzFShq/ky7Io - ALMcGVO/ZOT57XuDxr7vGYLZ8QSP4Xv81fgO0iRKxZIiZvY6vq4/aZQiNsTBielhFF0MUZMt2q7L - y37UzW33QK5ze7GXLzPmSEUwCETrRtbj5qMaFsYxrIFFGgUChrfIOnJvHLMhFIjEyY/rPs7zLZxz - zjnn7rjDS9N4y6h/Ydf3CRpFqlpIIzJVYxWzqVlCMjEZYQQEbUWXUtWz6dbZB0898pe/5T+7Ov/q - F5/97Bdf+NMnXv5iVy2hGUyCDCaagjAeZiUDKJdMgnKx/ZoTD4YIwzKeXg+viGirC0v78z5myisC - sImjdzy62ucqqplSsidXueNCE7HKEkKuojSWAhA3663Tk3Pv/9CH7j/14LnpPc1yVM9H07xRa923 - 2WgxMoumPifrQwhBImF9WsQYqiokNUNGAI3ZMhmGGQMmQDy2mQS3GeaoR1ePsroMVyKXRxrFpMpN - 023qglW3NEkwAfUt/5hFkwC0qEqDWFCIETTUOdbtqO7XQx5zuH0juPuTHJUCqFJgFJalpsIOs+1p - UqW6TuPRch3AaPn2aw9A1LLjqUIMVVCJiqYd1/2MOobF1W6oJ20eztCzrZJxVx2dkqvpTqpZFRCS - UaLlvOh6hNw0TbbeOq3QWMeISYP+0e0PPvw9j1zNLz95+fHPPP3pJ198cj4/SCETCczEEuxMSgQv - lEe9rDIapCR8v6Kz/Rpu7diP3fAaIoSPdfhDvi8hJrAaIJABNZYtAhokkR0impFMdQntZXvt1L3r - 933bRz5yz+alM7MLTCPM69rGFWJqtWJIqSM1VoAwSEzK+f6ijlAzHpucRFJESoa3M0JNCMBULEpu - mm46Wm6SsQ8ZJkaFLAHNFLGSdI07vqe/vkcVTWIAgwoNKpnGmMbjbq3pplVqggZCyj054zfi1lwv - CgtBIYagQoqJCNHn3iTnpTZNk7tcpdmZUE/Prl36m+/6d5///T/+k0+9dPNlq/tQ133oEnoyKVWO - wrZFTJQK01dPqCuHyMPHo98M/yzA0PMcf2Y5zZNjrwCA0IDVFwtWwq1jyFWldeia7ebcux9476MP - fuD+05dmzUadJtXBpOZYYX1OGiwwWGS2rBlNPVZLlmU0mnR9u+gP6qZG92ZvgdtFmJR1arTMZDSl - GlTAkEOVxqN2ezY/18eFSlbQeLfuLyftUQmjWJlIXq5yDMGUJtLHCU8/dulDTZoiWRD0XV83Vba7 - /pTvLSml1DTN7/3e7/3UT/2MKkSQ82t0t+UwHWM8jOL+cyqH+PI1i6rWda2qIlIiuvu+r6rKzOq6 - NjPP7XbOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeeccycBgQhkIAF2vBLKK2biUmEa - APRLtO0zv/Xb96jMLCz35hJZjxrsz3H92vP/+l+dapejgA6WMqLAFCRSjww0dbQuSbbdFy+vP/hO - jBpTFeE4JfQZbYeJTEeTkJdIeSYIOQ8Vi+cHB1deGtPEtKqrhZrV6+sP3Yf33IPNDaQR0MAUtAq6 - abaZMnLCCy/h+tWdl18+2Lm5TCmWqgbMNQCgF8AQFIKglCSxU622Nzbf/36cO43JGCJ1tJa8WuGx - v/8TmIYs0UBLWgWBDZHnK3JYpYnAUcCz3fIMM1ICTPvZdPfmzWYyzt0iUKp7773+2adH0pguDVSz - EG6pZ2WErIowDBVjS/UShqxtDIg573/5q7ML92N7NqqlEdvb2UHdoGwGkePb8zDK+ujjlZf9i7Wl - 2ydD0RgDiaYOi66fjBpYbylzMt4NYRuxyiJSQ7q1ixduPnvZslnqRSDHqsp8vQzvVe2anBFl2DJ1 - iH3X17RrTz116oH7cD01mxuaTK9eG1HQL1HHIFUulTFKdXGApl/3ne4QGx70MBAdIDQiGBJyRBAA - CAGjuIs84mrdidifVeBEiU7CZDpDHRACERVxNwqqqkR3Ywg4V2KV431bqLA8VBqBCCirTmgmiAC7 - HqnFsn3qN//xf/2dH+V8H+MaywNLygBkPXj8s/ry5aklUA1UIAfZF8mhqhibtbXz730Um2tYX0MQ - WNZujtBwOulMMB3tJGtF5OzFp7v2utQf+fs/fe+owaiBCIgsYWkaWCs0ggJWgpw6iyHUkqCIYtBl - 2zVNU1XVqnrSyWskzjnnnHPOOeecc84555xzzjn3jaEGGKhK7XOXkY2vjMiioXwdT0IEZiAQY6z6 - apSnZ2fnwk5Nk5S1CjGIpNwD4J0Y1vAGMkJXn19etSBBRJdoMNqenRarc+6kknxsXIQSIGhqFJgA - QoYQKq5GCpgZb/cr+xOKssqDyFQY+l5jFUn06Komyki7uN/KTRv3idonBKJqoH1eJtOwzJKNoErJ - UyPykBdmJywfyrnXxSMunHPOuZOLJMlXjcF3bzXEkMtbrlwOr3hVgSAwJmSKCsxMEQQ5EFEQaWpQ - NQUMkoXJMhrVKM1Izq7HMw8+9Nj3PPw3+zB/9sozTz7z+DMvfPHq3osHdqOXeY6t1TkzqSELjLDS - 1tQiKVpmBiDDbMjZAwAr0w+oJLEac8/MkqzMWy8iD4eVH/6eJX5cFKblCVzlwdLKrAYCCFwFtWYm - WCe0IASZVZNFRW2hwchaaWy2Hk6fmd730MVH33H/e89tXWyqCZOKCfckaCBjyjBNjEFUkBFVhdGY - 1TSjAy1GTZYAUaFBhittVoc7ngybSWEA1W4nt9sApVp5DTucCqICKJJYDgRVIuP26Nw/+ju/nMIy - 5prGo3kRb+nHkhUqNuTCG0p4sypNkGKuqn5a9WNqY5SMBL7GHZC7i0EAMSQQZUcgNQAZGUCAILOS - 0Qff9W3vet+7s6Qqj0TfVu0hBgUNJsmomUgSlSpYisa62wrdWBiTrZJmTU/Y3ZlV/zBMOiqzcsyO - IkglcOhU1HIOiqg0MCOiAioARlWakKYpdLOzUm+fP/+tF76jl/T5L3/+yWefePq5L+4sruRq0cf9 - Puxb1fdUIzTAANNAi4ZIKNCTQ9d6+BlIQmhmt07+UTOwBB8Psb7lycNi2ermGlkaJAhhBoksCDQj - jISCGiodx9w03fR0c+FdF9/7nkuPPXD2oY3RhiSTHHGzgkUgoASP1+jRJShQ4sCN2YI1gWLWrz68 - ARARrAJBnRJWmpmqmFBj3fO73/dXv+OD35VDb4BoJSbBMg2wqhx/lXd+T/8L9A8Qg5gYUwo5i8Kk - tLTYNqIBAEUyAOnf7BNoHW6vZ7EMlmMZ1WgWEcr9dzPrK8SQY+zqSibj9vR/8c73fM/93/v4c3/6 - H5761NPXHt+1a/1o0YdFL8sMNQJEEqAE1zIQdhh+bMgkDWpD+LaUvtKggMFENIbhzr7mofOBIUug - qmZFIkI42qmbCrkFEyZYi8tx1U/OTO65sHHpkUuPXjh96fypeyuOcgdS2FNTEFbIMCFjGE5vRIBM - clgZBnRWs6pRoTtZnbNRUxBlSiGDCprYcIZGC8hS26Yspn/j2z721779b5tkmg5Tee90y39rPIoh - 5ggA7EyyDkc6BWAUahPSGttQhZisq+q8RKcM5Vjj7ggRyTmXeOw+mxnrWOecm6b+gz/4gx/5kR9V - BUWy6mozDYfmcozOOQP4+rndIiIi5TmHId8ltzulJCIlpVtEzIZrw6qq8KpLTuecc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc8455+4wA4BAlLoeAUPkNHCYQZ0EMBpNsXMAxXOf/MT2zkGV - q6wYhTHEcOMarlx56fd/f7vro6oarJSesqHYDoEmVNr1ISJm7F+9tn5jB6dPSR3Qd9PR2qd/7dc/ - +NM/BUC0x7z797/92/fkHlBhjfYAu3t6sBvQtxk9Yre+eeoDj+HeM1gTqCII1BArLJeIRAgQQol3 - 3g+7byMl7O3j8pW9l68sdnf6+V6japqUsa7qdq+rq9EiSprW97333Th3ChvbCOy7VqVqRrNdw7t+ - 8icwDYgxIRAhxFVtE0VY1ZKuAEJKNZ7VqpOjbOxSKdlAEIwYj+/94R966Vd/DQeLJlaIhrX1zYsX - +udezKpjShAzU8OQ1Y1VejcOfzOEeYtpH0A1rYD28jXstZguqqZCv1gfT/7fX/il7/q5n4NoJhQQ - ymHAs6xe+fATfmNw1bhWtb2EABIA5IBQV62mkYD1BAftYz/24y984tcnfYXFEpPA++7FZx7PvTYi - qV8SMA7lvMPXTO8+KvMyRJ4bCFjOJCeQxcH+zqc+tfHd342l9apr42nu+j/6xV/4lp/57zA21HV5 - iWwAEQioQsJrvtOdYasUdiADoYRnK1AK9RoIQTZEIhgqaZto2Wy/ZRVBZNXASNGc3bqOnAAAIABJ - REFUFUCIkpMCCCFA1WBKaQPX778XIMhu0aXxZD4Zr9oQABCIqyB43nahBYV0mvoQxwaLObC8RDZ0 - PVKPg4PP/Y+f3Ip2enmw7PZHTYXUgaRE7Oy0f/on8y99aZYza/SGlCwAXQzz2XjroXeun72I06eh - PTQjAH3CaCQpYTzqF/NFqHTUXFNcD+Hb/tt/cAaKyRgKhAqKkiFuQCAIVJC4KmoUQwRyhmYAEALN - aIRjhWIIHtWse/2b1jnnnHPOOeecc84555xzzrk3QInwCCF4cWz3DcASBmZGkrSDxX6M0QyHra9k - exVGxMDl0ppatFdVpcmD9zwkfU0LMAkBZpZNg1S9Lt+S37/qEJ2mlnLNCqi3ZttUiU1cpjnL8AS+ - MuAmUGgCY1VVpbS+WY4xqr6pCSffCEpUZajDkIJnSoQYcs4WYohhkdsObaraVPU9oAaJMIMpAi0G - ZjNYoFa0SkxoKqtXdu64nHMZSlQipEiuMrBOelvx6G7nnHPOuTtLBQCzHo3vvyWbOLMMl7cMJUsO - ogCEwUrEtqBEw5aLPQIxx5hZEoKVuszjR6Zb7/3QB3PV7vXXn7/5la+89NTlm889+fwTrS3avMyW - NJiRZqaWwTSkUwsEgJhCBQiBGabIZqYwGDJARR2jmBxGwB7+EGIsP9sh1WwmFY1Kg0BABJAMNKGS - Q9AkqDQzmkRICFUIFQ3osyRM6+n5jbPb01PvOPeui6cfuLj90ES2dB6sr+t2UvWVaS+mSl3FgqsS - AqgMUb/BNIsIoFAQRl1F7IoOyco4DAukAVCagioQpd5uGqixbB7ckmzHJMirSHSIVTE1o3bTiKav - g56k2QVvJpoElWAAoFTlKrpbsjHRJGigRdFQtoS92Vmsb7phrzRIiTgGVAygmQ3ZhzSJGdqPxwIA - TTeOubrDn/obhSYxBwEAU6pRkyCxyiGDCwAhT6kVy3yck3utrav7APaqRw7/aiXNFIQaVExYUqsB - QPNh1qnWoqCNGzMxZOQPntv4pvu+tcP85Z0Xn3nxC08+/4UXbzy7t7yRYpfQpZSyAAxKqFq2PlZB - LZsqACFMhjzh3Jmtpq6RkACU+60c9jGzIatbM2AIAbEciyhQM6OqSsZIRmKiajknMxChZlPL+N6t - S+++772P3v++e9buH+c1aeuQYjyIQQWAURQy9I2SVpngiiHo1UAV65Wk6Qne0Hfe0LYINRENEQ27 - tSo1KhkmIY+CSkAWJaw2MEu2V90XvlsQCColvVsl9SFnSVkgGqtciUYAWUoSsCpV3vzcaBUFoNYT - KhYVMESFDDs4RYaPzaCRWYBK+7QWz3zoge94/8PfdKV98anLX/zyy0888dznF3l/ng+S9kqFEECG - mqpRD0+rAOixzWc27MUBh2ncAgNJWggMKF+uGFKbGgkiEhgtWc45pQRVZJyZbN2zfem+M++4d+uB - c2uXzs0ubo3P7l9bjuI0tDFlo5lUAjGaKpGCKnHYy9HUKGAJ7x0OYcHKCW052J0cw9mdUQA1al6d - AQYlLIYcRSOsqroNAGIQZLG7dX85aWgSVcRUpTdJmZoFBmQRAAahVmJCGKgKA8uh6E5/7rexnHPO - eTqd9l0mIcLlchlj/MxnPvOxj30s5yyCrIrSz5Cqt72zqKqqhhBEpO/72Wy2v79ferwYY3lCGYjg - wxGcc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84559xJZwBQSqJI+duq5sHBfG88GQM9 - codMqHzhV3/twt7eWt9TyLrG/gLa4erN5/7N/3e6S6NUKicMJajK46rwABUQhRDSLtMLL8R7zsES - 6rpfzMeMaDuMa1KR86xdTkRy6mMPALh+jYt5U0mOsivN2Uffi3c8hJDUOhPJtNT3NU0j68kktW2s - 65QSSYGyqjGeYOvU2kMPrfUZXY+bN9D33cEBTSqN2FjbnDY4vYlooCCrZuTRVJvx8+2yPXvm7KTC - qM4gIa9YacCQ0j2knA8VG8q/lTJNglV4OTJAsZxpQB0vp+4965u2t8MQUcXmwj37z1+esDJoyjm8 - apryYXr3rYbaCAKMs+LZ53BqndFCjCGlU02D+QJ1lCHaWTMsIAwB3rx1QQzkHZoobwAhRAKiRCC1 - y+UoRtRhUTfdoh5vbIBL9N3WpQcWX3zqYL47lWiqpTYXgPC1PzdXBbluzfYulT1yk/Xg5Zfx5NN4 - 1yMxhp293WZz4xIrzA8gEqraiD6jCrAMBMGbXg7kdVqVIAMxxGjTVMoWNTOSUXCwG8aNLnoAMIMM - C6OqpZwZFMRQSF1hGUiByxixuQU1SKg317WqvuUHfxDj0WE3cVhrLAMYqsv9+QkQJcaUtWKFXjFv - YQoBlosnfvM3thft/d3S0oLWj7Y3+mvXK0ZM1vDi89c//R/SC5cnyQAcGOYCWQ+nzz+AU6cvPfwO - xIDQ9H1ilDgaW6+cxHbZYTy5sZg3p07tgA/+wPdtb2yhbpAUa5uJCAFMgB0tRgmbD1ilpJcfAste - 74UlnHPOOeecc84555xzzjnnnHOuEDtKR1aq0ZJlW2VviUGPh14BAMxMBGYoGdVisjk+FVPDo6iO - IY3hrWCV8gOAMNoqC4wqHDLOoKEOE2qECQKVtwwUGUJxTEqmh6rGWJGhDAo4HgtyN1ICx8M1TFaD - DxSg8igMz6gp9FlUKRkBsJKdlylhiPOIsCgmNBEr0SRDIt7dvY6cA+DR3c4555xzdxgV6AGswlzD - sXDB8gwFUCYeiEkZnn90wSwwHF7tsIxuFxrNAvoylHs0nXWa07KzNszC9JH1+9+9+VGTPrG/sbj+ - 8o0XX7r+4pWdl67efOn6zvWd5fU8WSZ22RSqpKlltZytS2rKVD4CCZaPGdD1afX2GBYEANBpKr8s - zyy5bgFcDdeHmVKhJlSjIkoUCzQxDWIUBJHY2Gij2zwzPXv+7IULZ+87s31ufbo9rdcqmWiLShrp - IxMqBKkC1VI+qCFSkkyt3E0QICtFLOXhuk7EAJOAWqkmyFRADISVi0DAytIYqDAFc0lZBgUWcDsz - AG4NshMAhMIMVIECQhNAqlzBGqMFU+JtExVJzSHZar5RSYwXLeukAkCTkgV6OIXjrcBkyIw3hHKj - xpTDhA3QIBarLNoFwKK+neIKqRpKMzic2CO0GLJAEgBqWDWJfDJvycjq7tyh15iyZaXnByBBZehP - qMpkwzQyAKCF0l/RQIUoQsg0QDHmdDLbvPTII9/5SL/X7e+215/+6hNX9l746tWvvLT7/EHa1dhJ - lbUKSXOPMrOLIrSSlJkxHoUMK0GbCpTATTMgG1bdNQ0kQREip2xlmo+JGIWhjiGGpt/LNdcmo/Wt - te3zG/dcOveOh+5594Wte0IXotaSBLtGQyUM0UxzhsBieU+WV8xiBK0yVqvVYsY+h36YgvPmBzDf - vUQjqKXFaZlqaIEWRQUmBskCGFTKTdJyALqLlWTuoDAiCzKjAUopN8RhMiS/D0f0N72TCFruzieT - lJCBYIiwAK1IC1BCgykIFTMq62TsLefUadDpherdZy+965vvn8eP4sbBtSvXn798/atXb7xwbefF - nd3re91uG5a95GQlHHd4UzMLlZiZqpqZWYZQREwsW6+izAGKoJEWQq6DhqB1jXoUJ7NmtjXbPnv6 - 3NkzF7Zm2+fXL0ziLMbaVGhRrM6Z+9fT2nQ7Z9OUwRSCCBWak+Y+DE0oKGgSQABmUMKATNAg1NWx - TDV87XX3DUeDaCCDUpSBqqDlcqpHUyYQBIOCRFARKIi3yNdIJ4BAVaBIYNIht1sMleQ4dE1WdpRy - DszbPdl2b7gQAsnr125ubm4uFu1kPDNNTz319A9+7O8s2k5C1XVdVTV93xtgt5/bDaCu667rSkZ4 - 0zT7+/sAUkqqWtd1zjmEkHMWEY/uds4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - J50Nsc2BAJGPInjzeDLuur1xXUEz2vzEr/3Wxs29qZCmjD36FuzTl5+5+kefPtXlaiiUIK8oWWQl - FJxQMKvVgVXf7371ue33PGwBnE5p3IQgK9oF6oiu482dEdk0NSxjZ//g2a/MwG6pbYXNh9+Jhx+2 - vgejcJQr7kXB1vhG246liotUSTCJKlJL0G4ZegwRxeOIhli22FhHlHrZIggYQEO/wJhIQN1Aa+tS - G8Y748n109vf9Pc+jknTMVRAOKwDfJjgu1pjZbEpR9Uiyp8lR5nH/gvjCAxQ/J+f+ncXP/Id68oY - IFLh3nvxxSfzzZuaocfikFfEhkLCKhiK/GAIW0cmFMhpYS+92MRHo6nNDxTxHWcvwgypZ4Sw1IAZ - ChKb2WvOhf4GzI4+XlJjWDNheOPc9yMKonA0BRRXr1+eLzZJWS5z1DGF73zH4oknx6xNM3BLYeuv - 946vVcKDUAGqLLM+Xf2TPzl9/wNhujkb1/Ou39hfvPzLnzj7sz+DZYvRiCXtnKoKSjyZE8hX0dsI - pcEZpGzerkNTLxWjnLE+mx/sUZU05FyeSDAbosCEajpsCRtiq5Nw/Z57MB7D1Cj7B/PrnJyejM3A - sCocd9TWX0exFEl9EyNMe5jhYInF8n/5q//5X//Obx8hX7LcqAKKtUm7mO9fvzmbzjA/wON/evmz - X0gvX9+SKmKUKgmn1s48eA8fehCJaMYgUVXIuZrN0Pa5RV9VN1Lu1jcW48lz872/8g9/YjsKqgYS - QCJW2ZSUZBrjYSUxYFVQ79YmJFAJMtRtka+R4f0a7eRkNh3nnHPOOeecc84555xzzjnnnHvjBARl - BgxiSXt9xbetr/hu1UBCYQy0bDQ5t3m+0noVeaargv9voW9bTXi0XCs0mAZEJk4mU7FoZuRrZ3HT - QLMAoXJU1UFJNQMM+S2Rj2AAaEPmXUkS0eHXQ/tRqlGzQCHQANCgCg0gINRADbRwGBVE6ImMh3J3 - 2N2bdu/R3c4555xzd5iWTOghgZtiQw4lDUawTDAYhv6Xpw0D+O3oEcCQBwxATYV2OHa5ny+BWMuI - DJIECWam0EnNcTh19vT9j57rNSRll3Q558GXrjy93x/s7+/e3Lu5u3dzd//m7v6NRT+H5MxekYwK - ZqWamQEWj04py2lxeQwhlJ+L8jMN0ShGIYkgqCKDWCUWN2fbo2q6Nllfn21szrY3NjbX1zfXq/X7 - R/eypSXCKmEtqK2NqlKHWnvNOasmCkIExQQwzYfLLiZYxZwrTaBGVRMx0ASAABmEBSMOr4FpKBM7 - hrjoYQOpSi4j4F/HCPfyEXSYhoJhix4OszfQpMpRqWC/yq99O1CjZSrNDgfYC+TWsF6lAVRCYScz - r/n1UIgcTTEQGIyrXR6UHCqsgprfPlHuR/2hgWoASnB1SeSFYsjGVtrhc05UNuzX2VKvORlExWL5 - jzrMuCk59iWvOsJIQFQAGI1q0SpLlrMxWQxxNt6aNWe25NylR9+ZwzKFZcv9vfbq5etfff6lZ1/e - uXxjfvWgOzg4OGiX82waQpAYJaDb6RR5eFnSyh0yE2EjJqXTLp+YRgC11Ga0rCKxacbraxuntrbW - JtsPnH9ofbx9anp6vdmo80SWkV0Vr8WGdVAxo1mmqMH6lHLOMTbgaldfra6yfWllL0jD70tLsOoN - 2TJvSWKi5TB3rCcRE9UAHqWeKzNoUlbq3dyBDp+daiVQ2cJwnrRaKD3cAU3K4Vv5Jt7DFUDLyqcq - AEkAoBUQYRJMgbSabpmMkkXb/iBUQWJVZ2hHdBJNAqrRqJ7oxrmtC+859T7GzqRL6Du2Vw5eXuRu - 3i7a5Xy50vfLLqdVdHdWGEmSEJUKjKhDM6om42Y6rWdNnDYcb6+dGsfppJ7VGFFD7rNlhFw33Sim - Wo193yNIVdcQppC6RaKBYhUDDEwGsJIqI6toUFFKMAIiVo5lq5VPHU66TuR3CmJKICtIEQOgYrIK - 51Ywld5XIIJcGpd7o+iwL8AooJZjHK2GBZqAKsgAOPRmApO308nwSZRzHo1GRFgslpPxrG3bGzdu - /uiP/uiVK1dUAXSj0ahtOwAwCzHm1N3uW3RdByDGmFJaLpckdRUB3vd9VVVYXU4e/tU555xzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc84555xzzrkT7Vj+7qrysQnSuK7Qtmjzf/zlT16Y53NNg7ZD - FCwOQF0++eTzn/7Mdm8TiZoyEEp9naOaUoQJNEONgkhkYRhr2tu9gRvXeOE82jbUzVqQL/zGbz7y - k/8N+oQ+nRmPbGdX+6VMx9C03Lm5Bovjek/CxiPvAcjpbLlsm9nG1fn+1fXpox//IcSIZf8ff+Of - bDaTdnd3c30qyzStm6pPyB0AmgaYzKbadTSgGbOJKHONx2uWM2vmrHvt3Na3rq9NHvqRj19aG6OS - xMogLAHnx4tC2/BQ1lyGEAgEhhnoYqUkV8nYZskwV0iAGsx++nd/90s//8vrk2nfzRshZpONixdu - 7tyoaGIgmYdCLkcFN1Y1po7PZ9fDue5jxpvXrzVf/hIefICTtXWGZ5/58lO/9okP/OzPQEHmKKGU - REmWasZhQb7x1TwMXOWdD5V3CABJdVRVUCBli1BQTm1/+z/6qS//4i+O61lOc0iFWZicPa3Pv5w7 - E6iuqs7cxpuXTGobHgNUe10zXP/jP9z+lm/mZDaNdSA2U//4J3/9PT/646xGIQDLOeqaDKV+9gms - 6HDYJG0ohgNAYUQdNeXQBKgh6dgomkHCDKsaxAqA1GwGCGgwM0OIitQbpusbGE8Q2WWV9fVlFRBq - 1M1R0y8FpkyGlPrbYggR7RKjpsL163/4S598OPO/+vBHY99DEvoOZhDBzZ1RXUOJF1+6+vjnDl56 - CYuumYz60EzPnW8efBDbGxhHCDGKSBkMOaND7JddrsfLEA5CwPbGQ9/3fRhVjzQNcsJkmjQjBCIA - aPt2Uo+UmlfV3zlkyh8r13Z88exwf3fOOeecc84555xzzjnnnHPOOQeg5I6VQRKmxDItjWq3DnOQ - VQhXQcDMREShZnZm6yySwKhUgRqgVpWvv09qiMRfiLJk6qiU1AOTOo4BGjLCsN7sKARhYEaSMdZN - M2ZLMyPvyPiPN9YQaTeEPNixoTlQQMr4GTHcEtIxfLd/zGqQzfCUw4CYuzvuxr3xVmmEdx+P7nbO - Oeecu8NWMwTk2FXq6iLFUOKKh3+yCKpRgXyYJ726Ii7ndQKLBmQMGYo0jCWKCUEF1UxVlSDQLTqK - 1lJbCKSCI1o/w8bZrUvZ1E5npVIAyclStn5n/3pKyzbNF8t52y7my/lyuey0218eJFhJkTwEQERE - JIQQY6yqKsYYQojgJExqVqOmGY8ms/H6dDxr6kkVRlSKRZHILNAASKBEjXp12YQYJAKiWVQNgFCW - y3mMsY6CUGXtc9eZ5WRaNTVMaBSTMgi+WuUBWwlCZq/Eas5AhAVQjq7w7HDll3BBXW0jBQjK7Wc5 - rgbio1yOawlsVourC1cKFMhUESALlG+9+xVfkwz5wQCGmOph6sJRaqOa2NHvh8THu5TSSotQMQgg - q9xZK82i3KzSDIgYDdQhYv7tIpQ9jrrq4kQsAQDKpIweFECN6WQnmr+qlxhClAFAjgUMlz916NiH - GXg0EY1l/p2K5pABzegFsF5DCLVIrCRZWrYHRhUxyZGdaZYJmpmcuXfjL33bKULSwnYzu5z7ruv2 - 5wd7ezv784NFt1h2bbaUUlqmZUqpTymlpIoYGsHQaY/qummaOjYxxmkznUymG2ubs9l6HSsymJIq - 0BAshiTSEUpJQpPIYH1GkBCCaTQzmFRBqsicElBSwcsmHpq9HB7mIDBwtXI8Ovfr0qEBUQ2gKQCa - BIgZAFGE4T7w6giX7/7+pBy7xRBUYMgCKWdKZY7l4dJR3/Q72yZhSHdOq11Yh/vyGlcTaQ1UpYE5 - C5qwqUbr1VQjLIiUfqHfnTOgkqYOjfVm1JoY0bbqB7OlXGWswYQsX5OohiqaqZmZ5cN9REDNSwBm - BggZSBKBFDGBCVoxo5lFNhIkxIhlmSxoFQmY5iWyCFAxGIwKKedEaiIhRHTWmkIRAMmIAIygIVgK - pmBWwIhEZBJAVLnd+ZlvHkESJmWJfEdUGKEgVyvQJCtyFhihArFhSe/op35LCXo4UVUAEnI4p3m4 - 3mEanmrReOzk2d0Jpky9kqGqpG3bxaL9vu/7gS984SmKABpj3bYdA+q6XrZt1v71vUsIIedM0sxy - zimlEELbtqPRCEBKKcbYdV1d12/owjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - OffmKDPWAQCxVAhApiWkDm3+wn//Kw8kjJFyt1DtKxg0t5/+o5tPPXOWQZEWahWiQJUZHGpulFcT - wgC1FCTSSNUa2uhy78nH186dAYOZ5flBYyOkjEX3xG/85vTG9c3piLZEu0gvXc7L1kK80vdn/5OP - YjxBrLVtkwjDCJfOP/rxH8AkIitEPvA//Bzmc4SIPv/bT/76hnE9027M71tfy7v7Ihk0CRFVjXaJ - /Q7C3PehiQyxVcP25g3i5nTyTX/3h1FVqCcQCaU21jCDfKjChFJ0i1AMKdRYBWHHYXa6DHWRqcN6 - DYIQABhrTgLm835j/cpLL21LhGZojg/cl556crnIY5FVhZNXllZWgibyqrrM5alN0PaF50cX70V/ - gPWt82uzbIZr13D+fCkZRKowHi5JePVLvNm51Hb4NmJALuHHGSAqGSq4mHGEyvoOUIzC7mzM3Rvb - rFgLljuj973v8ld/dxZYGUKp4HHr2jgKrn7lOwuO2qQeFuyq69Hu7o4FYH8/hAZ9Qh3U+jM391/4 - Z//8no9/fxzVqAP6jvXEMvjqtXZnEbBhL+Ox3yBnkIBJE4ZWO2/PhzqmJUxhGf1yKDZigFr57yLS - axJIEMkKjXH68Dthudvv2qZZRnnsx38MWRVBCJYiPKagASoo2+I2GNFnNCPAEpq4rv3MGC3lfmmz - KiGONGCxBAMO5vbEF6889xV2S3TL9Yv3bL33PdjeRhwBAT3BCkpoyKIq7Gl5Evab+oV68qEf+WHE - gCgIRIgwoBkBiBJhgGXt+2ldw7QiDBqOL8VqtRrlsL5SKCW9bbW2T2Sau3POOeecc84555xzzjnn - nHPO3RElDteoXVoeT2y5JfrhMKWC6Aw2hMDY+mwDKqsxJ4bVwAYB7uakp0OvvQiklUyWklNGEqTx - a+bdmBkRRqNJkAqQEt1tdvfH4xzGPYCAKGHQEoolpgTEpKwiMQEQoEolMg0yjIJJQAIzmIEEQJlB - NcixoDfngFVPBYCE2fBXkic/z9uju51zzjnn7igTJWABgKwuU8WgHAKey3MAKESMCiG0ZCWugo0h - Jlr+F6TMNhgS7wwA+uUyUIgAoRIQBlIYYiiXfqYplT+FVYXAPqrRzEpkMgWKrJo2qzOoNSOTBipI - g2YClRlhxwAwsxiHU83Dc2Uzo4n0EKNgWGQysI/sBEoyhHK9pizXpIFGjixnTUPicaCIRJKxqXvN - fdcBGmOs6gaAqvamNgQgCzDERgqgECIZh0s5XcVCwyqYSAn+XFEOUYIlRxZUXZ053+69hKNLRyrs - 8H1pBKzScveCSkvBiDKYvkR6vy0eS9pqOLZurcRalx+Vq8B1YDUG/y6/m8NUWiNwtCxGKMSoCoCJ - VtbPahrRidhS36D2YCiR9qZDLLEO2eZQQA2qkFULuBtagq3iqAc6/JKK0tZXPwA4PoVECTFTUaMp - k5W7UYZRNcpdTkklsolNLlPPhN2yq2Q0kkgINVgPVVVN2+ONzK78fKYxjE2qKIIuLZVmZkYlh1tc - ZgyhGtY0IAaSgZHkkPlsUZeKRemfhSQhXPX8gnIHUsQQR3XOOeesEJEAhj5ZSsu6EjAN8+LK4W9Y - 3sOk9vLOMhzjTvwtlTutJKBn0MA8TKpbzXMTQInD3O6j/3Ln9/S/UC/Bo2lXIjZklpcDR+awG4ni - zSeioiznbmnYfZlgBNPxpmssLVyWiy5IHUIIIUIzNZfTjKYZkzQimWoPgxkkkmyVZpWZkRIAUkg1 - sy7r0YqArm7iT2NtZqYwaB72aQKWSs73YW4yYUCCMgM0ERERM5USvU2GEFQNCpAiQtKMqc8SgoKC - qLd8aXDsswwz5VZnoSeJElkMUBtmcAoNwUofquXsGVRj6X6hLL87CW3+rfG4yuk+vv/CSjg8YDIc - +mEkIBhOid0dE2Ns27aqGpGomr//+7//c597fDxu5ouliKhqXdcQW7YtANz+FyAxxnKeUP5arhbL - xeN4PAawv78/nU4BkN4UnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOefcXWGo9nCU - /msqBnQZbf7TX/n1SwnrudfUCnKgoG3nn/rU3pee2VCLqhZDv0yglUnZhlsioCkgYKoQMVO1LESN - fPkrX1r70LdibaOpG0tpQv2XP/8Lf/kn/+Ga8tzahi1uoltQ4vz6tRjYQ7G1hfPnEIjlUqbjetR8 - vl28/wf/AZpRinVCLzF0lkcbo6iGlD7ykz+JRYu+A/j5X/rVU5sbpv18Pq+n44axVlYQG1Vz7eOo - 2esWVtU3VD/wYz+M9XUYMRl3SasgRxm9giFtGiAVlLLiwlCTBQKUMigc1gJKiYNSKUAti4gaWAkg - qKqHP/axZz7xCV0uIRG5xZmtyemt/OJlU2rSVwdr61AY4Vied6lTYaLE/8/evcZKkp73Yf8/z/tW - VXefy8zOzM6Sy+VlSZHiTbIE+ZLEiGIYQRLASL4k3xILViDFISUqNEXRFBmaMW3xKsmkbTiGkiBf - giCKBQRIgNgSFAsyrYspKqZkSVTIXS53NXvT7sycOZfurqr3fZ58eKu6+5yZWc7s7uzOmf3/cLb3 - THd1dVV1VZ2urnqef48Mx+Ezz0zmC2xN0VkVrBE89mu//vB/9p+iUoiKO4L7X9GNAAAgAElEQVTV - m30VZP3LK9woxa7rv9OlLsRaVJFMQo0I9O33fvB9X//Cz13oHYeHaHvsbO284XX2/GVbLkqW8q0X - dduqsbWpr9K7+16AZjF/5p//6uv+o7+CM2fdUqyb3ez7l5/D1Ss4t4sqoqosewx3ZQm5bPTAKhuf - AKGCGKAHR4fTrW1k+/2f/ftvmh81MmRud4sFAPjYYEjgKtBglkQku2dg++wZaIV6WkG7pjmQdFGB - uknZQlABAmzVQuTFLZowTHcH6ZsqYtF13bI+u92h7XM3qXbgXf+NR5761iN5frQ9ndz/8Nvx8Jtx - ZhtpmSKsdjdpQoUuItmiiZe9by6e309dC3v3Bz7w4PY2gqKuIe6WJVY5Z0XwbKoKOMy0miB15iIx - rLtDlQ2/dBCSYfdY+m4JoDLO9x2PuyciIiIiIiIiIiIiIiIiOhU2LwEwEU8pDWdZx/SNE9kjInCD - qLqbAO4+qbcka4m+KqdqXSCucIXkV2pG7rT1girXMJTUbXGISN/3cHUx9xtkluiYwuEuOzs7Yxt8 - FfUhxuN0s2HRuEIUXk7TD3eqQ7ykm4tYLOuSAOKmNwgCM9csDkhy8SxSQmCY3k0rfvdndN8Eo7uJ - iIiIXk0m6ogYE5u1HLbAFCbuYzj3EMUp0OCAR0BdDEP6IMRVy+1wTb+L2RANKxanEUA5JhQXdzcT - AO6ro2KFSFAVcVW1nHUIzi7JuXBoQBATd1fAxVchagHZlsNrlTtXt3k5jP9Y4pprkLjx6Xl8yAGo - 2BBsC/FSWZEBiZrMzUwlhBAESH1KKcUYg4QqRkdGtr5PJX6ychlDJQ0YAmfHI+d6DGJEHhY5FKoo - wYG2KqpQiAA+PFHNVwfItjqqvGUqQxauQ2z8TkPhscy3S3JkkV7LezQkHK4uOr+nbwFD7eP7ZVgn - 1ouPdRoQIBhUh4MXW38tdNoITOHmEDHHGOrswxvugGtydwm9OmyVZHw3vFOv0Pqg8GASMBRaJIgq - pHxBNQScQ8wVXkMMq5T3u0b5dsllHdptJ0ucyg5YAcMYM1yshstikC4P95lL+VtggM4XbZBaY6Wi - qe9T5yISIhqdeM6ADPV1qrFSkSr3IqgDEGAuMDNrs8HruJU9G7Jh2BLLl4kwA6Cu7u7mw3IHotbu - npIAKiYiIUgEIOI+xgYDpZRGsnvb9xK0FAhlaw3QqJMalloAQBCPQAk9NhOHJMAg2QTwMFbVBUU+ - vdv7K0AAh0OSQVWCeIRYibJW0bxecwBJ8FO/PzHAxNafT9bGIjTJxzaqk4O93DyoB4OqCjybAMgq - BuSNrQIGgQcAu7NJ3/d911tJqA3RXJKZe3aHiEBMogBQyZAQPAKAZ/MhktssGVByvjfmUgCD61Gf - h78pgrJxlX1I2VqBY5/T3B21urtYtuwCqIsoAM/90kVE1VUEcJWcPSevUJWPScFs2GYBSPlABXgw - UXXErLHM9/r21efQTuGosqgCIha8fM4zCKScJ4CKi7quo99f7XX+3rkdKBAN46dcDEcQ6mUTLkdC - ahDInd566TswQ9NMU7Kc+w9+8INf/erXVLFYtDHW7p5z7roOYiHGnJKGYOn2zramlEIIMca2bc0M - gJcdnVkIQUS2t7cBtG3bNE057rsj80lERERERERERERERERERERERERERERERERERERE9NIJEBQA - HAFIQAaSoAEwb7H0Rz/3pTe1vu3Z24U2gq7DvD/48m/ac1fOeZP6pQIacqyAnEuTXEPIAsArNwiQ - EQI8l/YgWl5UHOemdf7//ji89/tNg1jSvn3ovvOYd9Wi1dQhO6oGy/mVZ566D4KqufDOd+DMNnTS - HR3V3XK/lj/zM38Lk4CokvMkVgBqV5TmDaGCTlHP4A6zd3/mMxCDAZIgGQfLP/iF/+Vo7+Av/MT7 - ts5toTu6b2cLPd6sCgSoQiN6q5r6cNHtNDUABCQgAQaLQAUtXanEx46oY6L20BnAhzt97ELtoZr3 - VlcKICWfQDCpDlUulI5NdQSw+6Y37T3/vC36KEPLAxGsx1Z62sjxO2V4mR4wz2GxwJNP452vQ3JB - bqr49T/6+sNtB51AoCGgvMWeo5zMBt8IIL/j1tOOdYONqGEMSlcIkiB6xiSm2WT/0vNbojh/Dkf7 - W+96+zNffm4mqrAwNvYBTvb4vsGLihjg4tChTZY4sudtCRKiprz4nd+a/sV/V5rt3ForSZM+8g++ - +F0f/CDOnfMqqspy3k9m1R1ZIi9O6ULmihJhLkM3IwcgSNkkyGxrGwCyne1xVhpYV565XC4BuHsQ - mEFUzN3MAJGgXUpah603vRl1nRetq4emPhBHHZCsnjb9+n0bulsP753c3gqUvU+So3iI8uzh/sU4 - 2d6e+fIoazvJ/XO/+/t7jz0dRC4+9Mbtt78FZ89AAsTRtWi247Tuu2WX2qbehgDR989Ul6b1v/Xj - P3K/BEx2YIoI1CH3KTS1hJCzh1AByBBVgUvvri5aTRzrDhNja7x1I7UgpS88AOi4Hxu8wIq32bvu - 5B1ERERERERERERERERERPeUVX/+chI/pfTCwwfXzkyC5IwYRUTqUKtHc7i4wEpMBsqZ1nUI1Ol2 - /NoGczGHCUqKibbLDoCI2InkkvFZCggE5rvbO6U/voi84Hnr08IgPlzuAMDVdYh3cbiIl+siyoVJ - q8sjHFBXeITbGBW0Wg3NZUgRclHA5AYJ3/TaxehuIiIiInrRZAx5HUOLcf1B2ckjEHHFxj0CACpu - YyLskIRnQJ+TiUsJWBQRkSABgEh0d/NynwBIJkhJxFVk45gRChEJbi6Au6i4QSEG1+C5Ui0jL5+J - V5+Mo9zgmLsch1vJtRUbbssreXmujdPpADI895CgIUZ3Tym5e1CtJo2YmzuyiYhojAp3N3OR4YjX - BGW2y8vpegqCDYeLw6uLn7wiW9zLMt9Ij14vkNuKolyNXG7wNcSxw2/TXA5QxYEhT/cevx3jq6// - GmK15BUwKwfnQ4XHrS/7u1rZZq1Eu8ux1aNU+Axr8VCRcFe8X6/U+lD2DwJEuAxf7qx3d74xDO6e - XFigTKRt7NJv+LWRHp9mGwcb7h92SS4uLm5eInrHEVZVBEJJzVSEpokA3LOYO6LCbNh5Ws4ZUJXG - TcQcIaoiAL0l8+RJRUQ9QEzW+2qDOcSCB5ES4gs3EcAzBBoEIkE1AHCHu218FTLMrARRkQCYWW9J - xEVdxM1zSjbUzJXvZ10FKBWBqyXigMAceq9s6HfaqmSvFCkZYOOexMShMJP1ApZXext/afuHYX5t - XDkEVtaizWUh4weA1Xffd856Siy6QofNoUTRD5utbQSNLxZHlYZpU5mgT2Z9D42hitkVXlJvy+cx - MzOzZBIDwmqu3d3H4O1hXt0AEUAkQMQllBxvkVhODYh7qWUTcXGFmxkcWV1dLBtEXV3cTTXECHfP - lgCEEFyQs/W5l6AaqlgHy9CyTx4i4R3D5zoxKGT4XCpeFr6OOet3BRM4NIu6SKlFLu/WuIMdZkd8 - OBkgvsqc5u3LcVty0oftdPWpz8pCNnGFDZ98hv/umlXntUo1JrMYqo/89Ed/5Vf+uSrMEEPsU1JV - ACLiIjklUbV8e7nd40toye0Wka7r6roWkTLyvu+rqnL3uq7dnbndREREdE9aHbNufPY98X1RGUaP - D6PHnoyX9tl5YyLWh0gnHrn+AdmYzs0P78M3dSu2/v5/HMPLNeFERERERERERERERERERERERERE - RERERHQPu2GrADnx8G2UKth1VQ+3Ohky/m/jNYd43VJfETYGHu4RYGjuabCMtsOif+zvfens/uEZ - BVILNSxbtO3+r//LeHW/atsKMUowz8iwPIxBSiOmsTJbHNkQKyQT9xwkwgOQxJD22/7q1RCR2nmz - Pa36bnZwBPNtBCSDAqnH3qEfLutqcuh5681vhueuO6rP7nQuz4VwvtK2qWtocIUDyWGCMC7kACC6 - QwRt6ptYCQywnBehmbz3J34cGZjVrn23s90hz2oNABKG8OGoALandVlAqwWlQOm6EzYXt6/f3xMd - W2R4kplbHHK7EaNAGywX3/vhDz/3d34GZphMcHCINzyYvv5HebEojZWHxbhuXGPltVaLt0yACUwR - A8yA5IvHHp++9T1oHNAq9w/qFDB4hmPo6aUaJAScrI/X9QTfcXK8XzIEcKs0oHcACOJA5xabCTx9 - z4+9/9nPfrE7OKhThkS8/g2z1z3QX3qqcjOHjsVNLxjgverGsJ5BE+jQxNn7o4UI+ueenz72bXzX - dzfTKayXbnkhxW/8/X/4jo9/XNCiaobc7vG9vm5Dtpt07Lmjxrqt68q9PIxNrxKQbKuKODpENUx3 - 13VRxcUkwBNUJGd3zSJQ1QTPkykeeiMUGqNoeG6+nLzzbagjqgaGoKtX9wRI2SJuv+NCkOBARg6q - //YnPv70Z79Qi1WwaRXhbt6//c+8Cw++GaqIgAaTmHOuJtsudtD12NrOTfX0wWJnVv9v/+yf/ci/ - /H8e2K0xbTJqWbo2NRTuSeumNFZRFQB9n6sq5OwaJMQggnw8dnw9H7Lu2CYoK9tYN3dvND8nIiIi - IiIiIiIiIiIiInqZCQATN+/Fj53Ed1Fbh48AgDuCeAIUEiWox+ixw0bmt+RxWMEpj152sSF9zAXI - QxIbFF5a2ZsG77rOBK7ivtEzVYaLfgRwmGsPsa3qjPYTWaWbCxx2qheRl1SOIcjJxDVYdDGBGBIk - YVwxtFwmJFCHQRXD5ULlUhR1XeW+YRihMLeb7hmMviAiIiJ6NambSTlSXcVM2hDLIWN9wSroeu1m - abW2+aiJ+nBNto1XMKMc6gHAmDHpPvyq0NUV8ideaBXPKqKAKQAv6XvunocHZeMW8JtfFj3MaRmD - r+dFZP38kghbksPhhmwyBFRC3ZBtGLuiTL5juHB7oyZi9XIbi2ssxRgHMgDrw+lj0SMbseIbS/zY - 2G7BsZG7brz6ENMrDkAUwYfoyNfW0abCxgVqJezTN/JQHavYdRtXjbspqvk2OdRgLhi/U7DyBU1J - L4bbGCAayozjNVdcYJB+XDSqw9dS5sPGH8oO88T2e1c5vv0aThQDHZtg3Rxsdc+wtrsaTMvt8GgJ - zHYgr582/tUQwbgDGccxVCMl6Phllg2PqcBKKjwkeIDDhwlTcWzWtRWC1RoL95xXj9wwOt3Xfy9i - 2aUPry2QjTBlsc39rQ77ezNAXU1scz9JN+Plr5NXCsDVxezYowZAXYAw7k9O89+XcerHtcjUS0S3 - rXatKF/yrr4UvrMTZK796vdjn9M2vjU+liAeKgPMsgMiCEEAt9xLiSEfnuvlI4Fq0DHzeBxPeSux - unf4ROPlY53Iap9z3Zy7m5edhCpKCLiUjd8AiIi590NZo0KQ3eEQhDoEE8DckRS6UcB8bH51PVHD - iQesP//cFdRhYtExniOx42uIZrHVYBirSV+Nes57m538C+5l1TbzsP7cfvxwhu6oEo+9XC7rugaw - WCyaphEJBmm7/Pkv/tz//k/+DwDm4vDsBpiZAaujL3h+oXcqhJBzBlBVVUrJ3Us4t7v3fe/uJbr7 - RDh3VVUARBjmSERERPeQ40ndfvzLoOErHLf1IzJ8b+xQQMOxbjY4FuAtG32gbpGUVkKrRke6+rIp - Yug6ZEAGFIjlg5+ueqCsprP8DrhCx4OodR8rO/nNv6vLsU/544y/mFYyRERERERERERERERERERE - RERERERERER071nVRtyweFXXrWgwZCcL8kZRwqqQebxnNRq7bpBbmhhblT84spgB1dgZwIB+HOOJ - PkYJLtmCB/QZe/tP/eL/ak88dq5dbkeFZXgCDNcO9n77t/T5K1WyIDCUfsEwQEpTXEdpvRM26kcU - SBkuBkHyrIAYomBHw/6zz08uP11fvIC+3zLdynbts587U9XJU1SBBzx3beZ1b3b/w29FUNSVdt1c - /FLYeeff/CgCArIgDMsublR6jDUtpfC3idVqfkOYwoGtobpEQohAhA7LIqyX+ZjdO9xUgA39Vcbu - KJthv8df9/jyVQBx7KAVSoGyKHZ2cTRPUdz0qG23Z9uoUn3/AzhcpnaOMOR2j6P0CDiQZdXfR+HI - ij7AxCcZkrAV4pUrV6eHVzA5D9QT4G25/drPfPL7/u5nUVfWd1pVDsueo1cAIFa6GcMh8mJWudsz - LrRjMeECAKEsn/FNFMG0DNVsI+FK9GYSl53vTrZwcLD73u978smntqrY53aq6m7ZEKum7dvqunV7 - 9SLqrg7Tse2NIAs0e9AQTVQkHfYH3/zWzv3nMZPGpEGVln2K/ht/70t/8UMfQAVDVK9QipoCTNG6 - iXiNEGDr5hXQddnUnaw/8nFZlX2Kh7GzD2AwgQeYt1liA8v7/fx8A3hGMjgODw+nubMAKb0shk5B - hiBt16KeTN70Nky3ESxbG9Ksn8ze+df+S0ymllWBMHQPURcM0d1+O5Viq+l0VdEagGVU8Zratkgd - g3dLz/0Df/77ESosHS6YbXnOmt1Sn5rmWtTnY7PfVHL+3J/9yH8F1D/y6U+hiogKlyCKZlgHRE92 - La6qAJTeKcMuIhwf4Hgy96r07LpV63beXBaiERERERERERERERER0avCHSEMWSGqarkPIfjtn9oj - +k5MRNyzGVxCRmfog6nk8hiAcsGAjulOyGYhICWLggjxzmqZSI6iNpyVByAdgJJU8+rM1svExEq4 - W8hBHRb6kkouHpJJCFEkJ58v8qEFy11fzQTZTZDL1RRiAIJDYEnN0J2fvL7p71OrAPOcRf26U9+n - i5bAu16h8Gh9tCrkqUMt5uRIupQ6OfrU9apqhqBwhwfLsGDmIgDURVw21haFlxQYvd28Nrq35ZxF - sA49VDUz91PQaJjR3URERESvspseWvj1R60vfBByMuhu/V3NDUb1AmO4lWFeeqjerY5Bb+0rp+80 - 1Au80PG47ps8eouT8UJOjtw2RjvG4r7kFzmF7Pple5PlcC8chHv5WmHzHjmxjp3ur6tess2loet7 - xs3nFH4Xc/sT7IoxgVhv7Q+Bb9xeP7Bf973EyY3uVv9G3HQCvsNs3uKfsyEAGJuJxfQdHF+2N1gH - bu/NPWVWO4Sya930ivw9Pb6W3sKivm6qbvAX8FYc/3ux+brf8dNO2Sfc4DPYOCG6+Q8c2118x/ld - p3ffhU7sWE7uBaEbg9Gdc+Odv2PzLeH+/5WjqsvlcjKZPP/88+fPn5/NZl3XuUIQfvEX/8kv/A// - E4Cqqvq+B1BCu2/LKre7jGGV5A1guVwCcPecc7nmgIiIiOjeN+Z2r9ygdc2Qfq0OQ2kuc6KF1M2O - PW/nQMygofSPuv4IaJxI3xzteuSrVzeUfkyCoW2Kj4eTw1OuP2I9Nop1Gyy58WBERERERERERERE - RERERERERERERERERPTapC9cbyzrLFg5XpNw0+qK269/l3Us95jXPcZ4A6ay8bLjlAiQLVVBAUe3 - wFH7yD/6R/cdzu8zkZQEGTmh73E43//t35Er+022G1fYbpR7iMP1+D2AD+UYgKs4gntM6ejSpa0H - 7oflaJCMXXPvl6FSBEfn+fK10FtqKjz0BmiAu6hfXS6eP/fAO2KF6Me6kd58cW08okNFycYzw00G - PXGPDEPeKLj3Js/afN2TgwgQImLIW7N5t5xs787392dN3P2ut+3/yVPdEnF4Nw0IgALZfQicPjEF - Jg4xLfOUc4T0l56oLpwDPCJ0l5978OJF9BkTaKyz5ayIUpU6HB+KbQC8ElHTq/HfyjpffjWo1s27 - /saHHv/C52fZF20/PXMG7hcffnjvkW/uBKRkARCg7bsYGuQST7+uFjo+QgsGF5gMKdcS0OVUSQwS - G+T53sG1b37jTA2cu4D5PNa71f7R6ycNFj2WSz17X7/oqkmNiC45ILUq4Ja7EGJZN3zcDwS5QauO - l93w9l23VAU5574KtVQBbQtgUtepm0cHLKFtbbGIQZDdHSIws2lVL/suRnVFF+POw29GHT31cdJ0 - YXpUBai2QCzr37h8DTp0WZfbXnkE0AQHrFKNFbSND9w/v/RMndE0W9ICMbbzBbxqtnf3l6lqamj2 - rbOXkfenzXs+9EE0FfqE6QxVDTnew2ToT3JL9V83nvAb1KYREREREREREREREREREdGNuWcAggCI - i5mYuIoDAheIqQ9dQA2O4X5AFOLwbIoAE5ioIA/dPg3IQ9dQ1/Es8GnlAoGrQ1yBsnyCC0QCXN07 - R79IR64J4urwEkEhcKiV8/KuEJMAAbbqs5qnMAEcYiIKO+2pYQrAxczFxQVWVhIzi1XMbia9S277 - pbuHUMEy4CW7xBQqJmW9cl1dw1UWyBic9B0u7KLXGj+dGwwvYSEiIiIiIiIiIiIieg1RjWZYLNoH - H3yo69Jy2dX1JIb6l37plz71qU+VYfq+b5rmpbxKjEPNfc5ZVQGYWRlnCGGV2+2n9OwKERER0S1w - QRZkIK9axqBcB1cuSCpXbkWgcqkSqg5VRhO8ClYFi+IxI+bS0mil/MuHZlO39aOAQ6FDQyAZp8eH - pjYGWIAJEsSgVqbcBcMlelCXmCQuJc4RW2gHTeVRBxyp3APN658SFY7gkNKwxQyegOzrpUJERERE - RERERERERERERERERERERERERK9dUjoHb1Q6hCFs2RQGDKUZCUhAFhNH8KE6Y12jARt/9Lqf2zGO - 0AUJcGgoU5AUpuIaoREqpamxlVINVBKRDAcHmB8+/t9/cbr/fFgceC/IEUngwPPPXv7VX6ku7+uy - A9ALssIE6giGYNDyuqupEBjG5QKoQx3BV4MZAIEEt73HL2HRwnJWgyWBmJnECDdkW+7tiXvanuIN - F1HHdj4PcTrdve/f+cn/BtMafS5FI6eY6kM/9r5rgvlyOasbPzrCuXPY2WoVMIShcqWEQYuXvszH - F7U4KkOVAYMKHF5reO7xJ7Bo4e45NZNZmi/RZSx6WywhoUYlZkAJBt9Yx24/evkVoGLIGbE5mO6G - utGAvDjEJFZvf5ved7bNQ22RQB3u6iZwMRcztfJL+Rm3LwCQcYUEkKN3AtPsuasVsVsuvvF4/uYT - uHaAOiDkGfzcM/vf+tTPwyt0fdXooutagVdSKaIjdn0lEYBDM7SHJiDDMsrr3kEy1FsBwaAGR3Qt - +58KItl7CMSxXPyLz3x66kDvMAUCrl7To/nMQ6mecqAV8wgA7tJCZxcv4vw5wCVM5p1dkvZdH/0Q - qiDZAo7VVClQreLD9TZXIUMAoqJHSEEwa77rv/jPj0LUZqc7ypAZutDUu83WeUOVpLpW1Y/Oqq/G - /o2f+Mh7fuonEB3TGmd30URX+OYKzAYARERERERERERERERERER3PXcEAYCcHSrZkyHbsfPOClfH - qb88ZOAyNjbdvCLIHBmAAYfzA0Mvsp5bdTuRWS4OMdndPTv8U0QQ4Kc7z1fXl1FFQA2SgqXYprhI - 3ko0g6TspnIwP3TPyssC6KU5ES1xipImTvemTkREREREREREREREt2W5XO7s7IjIfD6vqiqEsFwu - f/mXf/mTn/xkyduu61pE2rZ9Ka+yWCzKL1VVmdnqxElKCUDOGUDfHzuLSURERHSPkaFR1MqxJjWr - SG0fs719NVQeH90cUpAFCchlILMX90Eqy3jZnEPcSisnGxs6BViAO8xvmKvtmzOwnqXNpjClS0w4 - cT2KGBxwG2eUod1ERERERERERERERERERERERERERERERLTBN35siNCWsU6hGIovxIA0FikYcLLH - 7kj9ReTPyvr/Y2HIGAHuCh9ixTcneJiQg0Ok/MjP/+zk8p9eCNitNfcLESAbnn5m7zd/qz5a5Ha+ - M5m6DMncwwutAshXS2Kchs3pv24wE0jl4ntHeOo5hKiq6DrAQlAge84wy10rIpMHLqAK6Lu6rlPW - va5DEEhGXUFOeT9SVYims/eFqoa5VBVyv/uud8jWlo1rzir+udQ0l9hpjMtW3aOZOtwBhQC1il07 - wDPPApb7zl1ib1//4j9Al7RpICqAipa17sQ6dve1oTUgowpoZu99/wf2cgrTxquAKuK+s+ff/rYU - gUoyIKJVrCz1EFtlxq9+uV5ZjApkBwLcPcMA34rVdm/7jz6Op56GGVJfqZ6N9UOG//dvfxqLFn0/ - bQS2BPo2txBDqJEBV1kvQHPAYXaHF+nGyDMkl7ezzDpcm3oKAJ5g7f1qddvHegYAMeann5n2fQW4 - QyRmCSZoUx8Vfcr9bDL97nfAElxgoQvNlZ0pZoq+r0MDKfuxcUli2Ku8mFbpAiR4Rpt6ICIG7O72 - O2cuJ9dqO3exq7YOZzuPef6TrcmV87uv+8iH3/uxj/3gJ/5bxICdLeyeQYwtsDSzzVIwMQhwsoyM - iIiIiIiIiIiIiIiIiIheIe5+K1G47hCBCNyhqq31Fsqp3nuw67sM6dpDO1MdTrFbucYAKhJwML9m - 4iLitvnEY81RJUM9nt05W+4sHfJPUfDwzWi5kMNVXbMgq6fQZm0hXc49XJPBo+3P95Lne2B+6dV1 - eleh+GpPABERERERERERERERvTIUQFXpfD6fTCYHBwdNM1WNX/va73/kI3+z65IDdV33fe/uMcaU - kojc7imQEELOeTKZ9H1vZuXWzFQVQIyxbdumacyspHqX+4mIiIjuOYacISaCIOoQgyog5aqtjcY8 - AohAx04rG02Vxn9mlJ4sCZaACARTGMrIb51D85gMXgtgVhLBx+vINADjaNU3O0mNEyyC2lHLMHlj - BLdlAYAAjb7qgwURhGDlFRUmCsDG1jIq9+LFfERERERERERERERERERERERERERERERE9GI44LYu - qdiorYCoCIIMNRCAOQziIrZR/qobty91QiAmALIGAAov9R4bZRBWai7W5RUm80NY/sbnP39mvn/W - HX3XZasmAb3hT55+9te/vJP7RjRFmXeLm5fVjg9szv7wgjcWDGc1zv1MHyEAACAASURBVB99fPbw - m7QOnjupJlDx1Lu79L31KQvOPfggzBErmc2uHeXLyd9aiwWohpxzCKe5zlcVW1vfXi53VOu2rZoK - M8Vsa19RCdzgCoxZ3SgZ7A5gSKRWdwFCSWsWZMAByWnH4/LxJyZveWMVPQu2YuPLFosWs7oXCSbo - e0yiC9bvmsChBtO7rQG2GYJCga2tbnt3/3B/CsScECPe8MadNzx58MSTTakDgmT31dSvYqTL+jd0 - rN7Y6kqWfHAEQTbUgFlSxO1JfXl//9K/+t2Hdu/DbIpqiYn7ob39zNk//vzPv/Mn3ofdraauoZJC - NU9ppjVUSwGSSFmAJRZdX4EuvxkQWChFTx5KZLUAyHA3CRkpAe193je9o+0RA6ybP/v0DkSzJ0BC - nb2XYGYeQlj2efrGN+L1DwAOjZ5iN9v6sz/1QUwqoMldp03tAvGNDdzHeQZua/1xgU0BYNcrpASp - Efy7f+z9j3/pH/fX2hAn8+3mUTv8gc9+HFWAR7iWAjLUEUAWAWJQldXrHgszv+3pISIiIiIiIiIi - IiIiIiKil0jGHqO3mN4tUHETgasfdfvWZHeIl5P+OkZYn+YrQwaKMi9iDkWJ8RYTHy71gQqC7h/t - iWYRMRfBsaUngAvEVbJN49b2dEdtvaixseRPKYGpKxwm6qou2bQFEBFy6jVEaJjnxX63740ZstwL - awW9asatBqcuwpvrPRERERERERERERHRa0gIwcxSSmfOnIkxfv3rX//RH/3Rg4NFiBpC6LrO3Wez - WUoJL+p8oZkB6Lou51wiwEVEVc0s59x1XdM0L/9cEREREd2FZN0aaWzTNOZ2r+4FAAQfc7JhkPWP - D/8cRiVAgAboGKett/GzCuheB4QPD4nr+uHNnkGwjenZaLViQz53aUwTYA5zmG3M0SqbXAEMD9k4 - GeqoMqLzkhUiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIoKWiO515cLmrQ8/MhQpKIayhqG+waC2/v1l - YKvKj7EApBRhQIZJWpdgKOCmqYfZH3zuc68zP5O8gTdmE6RwdA1PPPrMb/yL7WSht+AhBs8GcQRb - hxK7jD/jBKyKM8RFbt7e1JDVfFurw2f+FFf3AJMSduwZ5qohHxwsUx+mU1y4iFgh58V8Yefu+3Mf - /zhUNQaHIlSnrYHqcRrQTH7wpz925G5RoRG94eLF+9/ythxU1iuSAxCEdd20r6taxKE+NJN1IKd+ - puHo2edw7YqECFdJNpsvvvrffRJ932iEOeoa4kPJzLimGFAKZ16xub8VJoAIphVCeOf7P3AQa6sb - F0EIaJr6ne8+aJo+aIYj9xHrNbMkc7/AGghAHWpjc+w6GNBagvsUuBCa5778G+h7bM9g8yrY1nJ+ - cb78xi/8AroOXY9sgIdY91Y6ZAOGABNkHQugAvQVaIy9LoEa/+kAVEVjhCJ1X/3cZ2ap97ZFM4E7 - Ll/p9/YbQDyPz9HS9nuZzWaT2VvfBAEmU7jOY3XYTFE3bU5wCTFmwMI4yy95p7XsMspoTCABUGxv - PRb10tbk2XO7Z9//13/gEx/D9nQ5iZg2mE1RT9BsuVfJgktlrgHIXRI/Ni0+RKffXSszERERERER - EREREREREdE9zN3LVQ230hPeBWVId7hBJbjY3uJaCnk4De7Xn/A9xaeAdbh2RwGDpHKfDgHe5UIZ - N/X9oyuGDmOu8Mrq4gcF1OLu9MysnpSFKCLjJUGnePmsyNir1gQuBkm1hmAiIh7w5OUn+rD0eOpz - yuluMyZ5n4L1Kr7aE0BERERERERERERERK8ckeAuBj2cL5988qkf+ms/fPXaPASkNBTU7u7u7u/v - A6jruuu62x1/OUdiZk3TtG17dHRU7lRVACGElFKMUVWPjo62trZeznkjIiIiuosotFm33jnRP0kw - NobC2P1HHDFBow4DOJABAzQgQMVRr65+G4fBLV+XUtqnhBICbhtPF8AgolBkIAsABJjCfJgK8XGy - DQgC5HK9WhmvQTwAPWBICWiCHptfAFAtUyAKIAt6AECFVWA5ERERERERERERERERERERERERERER - ERG9Fo3VE6oCGW6PVyX4+hcBhsFgUqoVgBt2zn3RfUDHRryGAIdmQIBQsnzHOg5BqfewVtBIi2tH - j3z2C693C+1iojUWc0wD9q/iicee+q3f2wlSIwZUOSdk267gaf1yJutZFDEdk5IFqj4+ct3MDP2X - XRwZfR/Ulk9cmpw9gxjhGS5iQJCDvb022rmL51E1QEQQVM2zgvunDaDIbkF6WHOqWw+LoqpQV3ln - 263HMqGqkfvZg6/fe+QbyEsppTQwILhDoEBePdsE6hBAHG5Y1cRo7qVN/RNPVOfvc9G6ruzw6A1n - dmHwrhWJsIwAu+vbNjtgEuddu1VHqSK2tp+JzaSdz0KEGWLAgw9uveu7+0cf0YP5BFAR9xt078a4 - rg4lTcNWqeIWyu8BNgyCvl9UVUxHhwbHv/kjvMfxwH1J+zrLmcNl4/3XPvHJ7/s7fxtVhKVQBYnj - 9gWDexDzsqFnHV7yjvf1VYeJlDonM6gDwSAOLI/gaafvZ+Jxa4rDBdAvvv1YNPPsogiQnPsAWIIH - XQS/7+E34YH7OqQamhEOt7ff+r7/GrEKMSIrVFu3KBpR5hcQKyVXq3KxWyfAVhVyjwyEOmRAZxMx - +0t/66ew6BAVCqQlpFGgR9AsobxVHeIkwoDsCFJrXFe5KTbL3lj8RURERERERERERERERET0ynP3 - E+HTNyQiMHeHBu0tXZ1fThe6IBV882SvYrxA4rRTh4mpY4zfNQVMxN17tx7dtfleQudSQr7z6lni - 4/l410am57cvVKHW0ktf4O4hhFtY3ne5EmRuw8UWLuIaXCVpLaEzc+2//tjvW91aMBOInGgbS3Qb - bmUHdXe6y6/1IiIiIiIiIiIiIiKil1PXdZPJJOe8v7//wz/8w1evXgOQM2KMAERkf39fRAD0fa96 - 2+cRRKQ8vW1bd6/rOqVU7sk5Yzyn4u7M7SYiIqJ7mAMJSKt2KZt9U9Qg5jAH/CYXK2Ugw4BUo499 - L4sWRy2OFjha4OgIh0fYP8TVPVy+cos/cvlKuHJFLl/BlSu4egVX93B1D3v72DvE4RHmR5gvwnJR - d23dtyFlyS4YmjwZkGEZVvphQQ3lKquNaVdAhtZPBgFEoepQg45zr2X4cYRERERERERERERERERE - REREREREREREREQDG4opkIEs8FKlICeDe8UBU/EIVyk/Y6b25s9LmZAyhgT0Y3gtMFRNjIUUBkmw - 3FjGov/jz332IU/NYjlB8PkCGnD5Ch791t7v/N5FRd16cDeYA0Fj369m5AYFvLaa8XEyVvPicvIX - URWg8+U0xKOnn8WiQwA8AQ5RGOZHR7kO9esvIBtM0GeL4UpUNAECZAOgp7wfqQs6KJrJm9//1w81 - ZlVkQaxx4WKqaosq67XB1aEucAXWDalNbaiHcYgiBAQVsTQRXHvqSbRtpZraZV2HqSWkpFBo8CA4 - 3svVAYW+EjHTt0cNoa5n5gYFqvgXPvzhxXTrUIM7MJl6jGe/53vT1vYc8BBLDbj4sZ9hRDfpuCuO - KAhBlp2rStNUrQFABC7U06uPP3H4b/4AbVsrkJZB89bR4p3T3a9+5gtYpJg8GnIHL1v6UIRUlqvC - NrfAO2XcyiIQV3ub4d11APFXP/mpsxrQ9ehaBEHqD55+qgmaAIiounkvjojoCDadhbc/jEnUaYMu - t7F+rorY2Qa0pGA7PIhmWImUH27H7PIXs/K4h4BQwYHerIe2Gvoq+u4Us9qamLe3M6qARnMIGuCw - DqHRMZpbxtc/SdmtmIiIiIiIiIiIiIiIiIjoLmYCAO6CDBFJSEfdQR9aF8OqBeixE793/hz8nVXm - xU3cBXCRcoWDuItlsR5p0R1kSY4sq+tFZDhBr1aWWKxlslOdDV7BdRWRLiKnNYh45MMqYYAJTF3V - Q7DgrQSJGX3S5bee/oZVbZKcT/3s0qvs9EZ3x1d7AoiIiOgG3CGAqoqImctddi02EREREREREZ0C - Yl3XTZpZCOHwcL69vd22bVVVyTJUDw+P/upf/aEnn3zaDCFIzp5Swkaudrl94fMfVVX1fQ+gaZq2 - bTfvCSGUX9w9hFCGL79UVQVA+H0HERER3etsjKmuFALAYW6iSHCHOIKMjVzUIAIRWDIkQ40kCfAK - LTrD1R5Lh2fkFrXAHQv/v/+D//BCezjJ/Xeaio3JkZTFkqojxhwcsZfqsK7+/X/6f2FniuSYzNAD - kwa1oomoGqjkKAZJqWtiDSB7Tu6NRrQG1SQmUQMQzCIEbkANQUoZQRHEV12czEp6dwgIN2zoQkRE - RERERERERERERERERERERERERERErzECSEIUSIABbdfHGIPKqluwAiKAb/zYmHCbgbCR7X2zutXb - rWc1QGACL1m7QBrHYJaDusIdrZrg0P/w5754MXe5XQRtxFwmFeYH+MOvH3zzG3UPEalckD0j1WGy - tBTqiblJznAIQoCJiJllBxQuQ5tkBcSHZSA+xHX7iRnx7JAMV4juHeLZK5hUCAEpQxVt3x4dHlrG - AxcRAqAI02WSH/zwT6Kq4AHuevq7Mo/dpgMmzbUqTpe2tVX1B9eqpr7wjrdf+drvbgPBEKoq9X1E - zG4lEl5hOraxLqoA6yGKZF6JIvVyeIQ/vYw37lQiSItQ4Stf+tKf/9CHsSWuUpLcw/FlKHdf2LEC - fc51CBBDE5Gqt3zoJy99/jMTUbQLhKband7/3u996tpvupmnBKAEnmd3ACGIqKceKlAflliZyaGN - swMZMK8V2cX7HINmMyjEc2U2v/S0fOX3tt77blw4g75DnISD+cN184d/92ff87GPIs/rrVkem4ab - qMACABMIkPO4Fd4RJSc8JYSAsqUGFc0Q1SSIMLTtW7SaphRDhUrRHuHpSzjY92wKLLNPGpXWFOao - jnK68D3vxoWzeT6P2/flqtqv6/d++G9gUkM0AlAVgQAB6mKigOhqSoZqq9vZXznQqys8uopjopqB - DPFxxRQp0dwqDrggAQEyO1nYJeqAwzG8t44gL2JyiIiIiIiIiIiIiIiIiO5BIsfaaLOlNt05quru - qiHnHEJw9xde31S166xSqWPo+35Whz/df9Zrs95W1y6oA0B/113L8BKIwRUo19NoieXOnmJTHyyv - XTm4rFsA3C1jHArjciiB37aUNz/41rRIUxERzdbXVZNzfrVm6OVSVVXbLQAPGtTVDCHXYl5LvWjb - cM6fvXbpycvfyue6vu+jqhmY300vWs5ZSgdiQEQ2gi3u9r+SjO4mIiIiIiIiIiIiIroHNU3j7ikl - d9/a2losFnVdL5fLWE8uX7784z/+gUceeTRnxFhOnN72WbKS0h1jTCm1bTuZTJbLZYnr3t7evnr1 - qpm5e4yxnOt9ueePiIiI6K5mQAYUMDeIWnINAqg7XNBZjqoC1EBeJo0RbRvQBlG0hr15M1VIRuqx - 6P/Pv/wf7xwumwp97mS7ml+58npr3nV4dDG105xLA6bvfKuAIAs6hQtiBjwkqQ+q6l//5X/vaghx - a3v/qNua7u6nfK2RH/qVf4q6Ql1HFUSpqwopw2OIikqT5Rgq5GxVaFPaiY2IDa2GkvXZqmnMgrb3 - upJheajBAFEx1ArD3deLiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIXmGOoIADCXDM6qpkcxtcIMBQ - gHCsF7ECS0OjiCX6GzAky7F6OUpZXeEGUYWeaMorQJCsMLEsbY+lPfr5f3z//uGuWqPBUlYHjq7t - feUrfulPms7MdRmrFEUqCVV1rVsaKneXnKLbdqzFspvXcK0qpL6UZeQS/nxd1e8QJb5xv7uLQhHc - kh71ePJJvPl+aAQE5mhbWy7j1gRNPcQDL/vZAxfRZwtQB1wFwyI8vRTIAEQQ6/bsbtdeq4+WcTJB - v8CF+202S4fzSmB9X4YPGpLfuNuyZXjJVjaHeAB0vsyXLoWLrwtndhAryf197jDHci5bM5SgbkcY - U9XvziUZgBACHNlSiDWmDRYHe9s7zYGdccB8cXV/+qa3vn7v8Jmv/Pb5GDzlGioiQQwiOWfLqALs - xjHv6mIAxLFKgi/LIVZV23Z1iBF69O1LTaji97wDOzswq8TOLFKt+V9/+tPf/7GPoBNVQTXpgcNl - uzVpACCnACDe8fKjtu0ndYUMUbgiw6OqtSkgIPdP/4//8/lk0WCW/GgRtrbn33oktJ1UsZ423rXW - mgAIimo2PbONNzwEDWHWdMn3RQ93tjGtUEUAsGHRhLHuLMhLX2csYNVyXWEIApXyUsPd6xquMYv7 - +AZgGCPMsZoeB3z8/e5crYmIiIiIiIiIiIiIiIiIXvMcto6WF3dPe4vLnXZTmSpgx1t+3jMZzQ6F - wGDqw9yJCGCtLY6W+0mSi71gIrUGq84054LUMHHPKuLu7o4XDEq/+83bZRBopaIiySupKqi7WZC6 - Cdfk8lf+8MvVll9dHsXtkHNWdoSl1yRGdxMRERERERERERER3YPm87mqhhBSSilZXdcuIdaTnO2j - H/3p3/5Xv2M2xG8DCCHkfONS85vp+34zvTulJCLuLiJ7e3vuXuK6U0oxRjNT5ak4IiIiek0xRw+E - RlUyBOKHWScBikohCBEQB1IfkLBskZfojrB3Dbn+tb/yn4S9g2nOETZF/oFsWM6rKvZpoXthUlXd - 3v79O1u5cxMocEu3ABziqEvzFFfAg3ShS1uH/VHO6bkrzWxr+fzlajZrPX/7z/1Ar30v0vZybTL7 - S1/+NTRT+Ax1hbMTa5qs8BBcUGvo+lxpcPRw1TpEVwDqUIgbRMtVfY7QwxUOyRKgUGX3FiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiKi17oEAFCEksOsriKAOwQwh9oqVlYAhwv6qYYAB/re6kqhkDHVWlD6 - DdsqpxZ+m/ULCgAlBry8dHQASGkRK/3/2bvzYMnuq07w33N+v9+9N2/me68WqbRL1mphSzYYy2CW - tt3AQIMjeoKJZjY6mAnTIC8gjYwMzTp0e5EwRo2BmSEGmuhh/hhHR/f0mOmYJtrGrG63aWxj2RjL - 1l6lkqrqLfVeZt7l9/udM3/czFevJNntEjZ6TzqfqEhlZb1c7i9v3pehe875QjJmDRp9/Jfef+k0 - jgF0Kn3LmlC4+V98PD15svJlH3xGcfSaq/TwiA7VmIzHTHAFYo/19a2HH51ubo+ihLZnAIKscEAm - 6BCsy3AK0nOzk/MyHJoVGHpSgAxlzzlnr9g5cXJlehNWHeCQBfM2zecrxy5CWQEKECaT46fWbxyN - lPys7+uyoiw0NP8e5P4OEsA5gF52+9ueuOd9ZRTi6KE4dlF19OL+7KO15xTFDUOr4ZSAczHTC0rL - pVYGsmgKPvgYt4+fOPyKr8fmFtbKEHw6dQp9h7KgYUmXU4x37724ed8gQLrMhVNgeLOJEw6v3fJj - b/3Mve91WddUi5U1JKFbbp2cPjE7caL2HuCYegDBOV5s2tBDDgBKkOV+qIAQALAyFCAihVMFoLEv - iCln5KaC2/nCQxOWcOutKMconU+pbnauzf4vf+7nXvlPfp7qEVSUuK7KBCjAwTtEbeY0qvE1mxat - QCiDZLAAGVpIpKSiwXt0GdPGPXVqknKEAnBFgc//9fzJJ8eMnHMP8QzNICAyP9U1V3/TG1AGwEG8 - cpivTG542+0oOTs4AQRwgMIDSojgDPjhULM4WA375AVsLClcHtZrueMpSOCwuEWG9wjLW3Aun1sh - WMxqx3DoBXjYp4cj7W7W+L7apY0xxhhjjDHGGGOMMcYYY4wxxgxEwR4s5IgUEOQzO6eiNsDK7o+c - u0IHPr6bz3v9i5PdUM7IQpq1X98+nSjnYebpYFnFsTxRDoBDri5ePRbgSZRUiVmFoIwvm/i9/zF7 - UFZoTCklZVJGSCkS5062z8QnPvnFj7X11NfYnuXVSdALzCMwZi/Vg/p5sehuY4wxxhhjjDHGGGOM - MeYFiJm99ykKM9f1ZDabKamI/MIv/M8f+tBHZNEnPZw05HNNtRdiiP1OKQ2XQ/63iOScvfcAuq4r - y3J4MV/FTTPGGGOM2f8YKMAEJUBSZueoclBgmqlwQQXNHEiIDVJEO/vd7/8vR9Otq0Mpx09fj/IY - As+nBbNIz4XPKXKKVBVp2nufEdBuzwqCYFkV9hVcKoEUTnbnpQgUHjk0cbWqUt/7di45YqdhUK/q - FpOD+OwofvxbXrtdj1VHm6PqBz78/xbjMSRAxI9LpIhiBBHyAkJWBfuc4YDSn6tRyxCGEAnAkN0J - R/Yt0RhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4x5cXPAIq0XsWtDVQA5x74IhQJ7GxAUDEIC - 2CEDSZMPPgMxds65wP5ZuhQuNEr5GT+8uEHEk8dsjpTR6YPvvPfwtBtXNWZTFAWHEbh//P/7oK6v - V66cFuWl196EK67GkcPEEaWHZJQFuhZhBauTQ5dehvUtPHp8evyJ1HSF0tDDQQra8xqGThAs05F3 - 46Vp0SMMCFQShMZUbrVznHwKdb24+3yKPq2sHQU7iKpXcc7VIxApEMoqQgrV/RY1faEI8AwkYFQj - z9fJ1VWJrmUIF+XkyqtPPfIEiAndsJVZMi23lxS8XFsAzMgCiADIgCctieJsjuMncNMNaBsU5RXj - 1b9+/z+7+a47UFTgPW0ztAiw3neLqeDghg8XOw+kqNmTo5W1W37yp47fd1+xuTVRmvX9ONDKba/Z - mP1BuzXXLAxPSJKFmT2gWZ72wEJwz5jBy4phPwUkKULgHBMDY+dzO9/+wiNHQ42X3wqKkEiQQ8XE - eb7/Xe+69a6344hzYFQlgKzww+zsUfhar1AWLZjQQwVFGRzgHGFnio7/6p57L2/mgaVtOy4KdH3z - 2OOl6MiHeYxNrxMHV6BJNHV89atehWMXwUufusKXc1+cDv7qOsBTGpKzdy0/5rp3Lvpz+yTq8gA5 - ZG7rnsjtPQ/Iuz8AQOFIlncdIu2NMcYYY4wxxhhjjDHGGGOMMcYcSMN4+SFDV1i25htNngktTvGz - npdGLfS09OsDajgXLgCgHgRVcc51Lq5vn1aSYeApEe+eDycF67I+RLly9eHxUU6sqkTEzJp0edL9 - 6dURB4gnFpFEGQT2PrBjOHVdDM283P63f/p/z8JmT31KmIw59THoC2J3MM8T1YMa3m3R3cYYY4wx - xhhjjDHGGGPMC1BVVTs7O1VZi8h8PldVhd53330f+MC/CsH1MQNISbwvsiDndKGPz8zDuRFmFhEi - yjkPt+yeMynLMqVERM65L/dYxhhjjDEvOKTsh29YgbVEk3QUCFHgE7a20HXo+n/7Ha+7qgiy8dTI - 5Tew0yaVYK9wuU15BqSCC5IU+xS8zylxl4n9dkpjV1YlS9cxyTA45Su5zGAlDIndg2EWDili24YS - qYtzYLUEogYFYZzBYA1ZrpzPY7NTEjWb+levvjVyMd3s6NLLv+XDH0Rdop9BMw6N4AhUZqi4wAAE - uRdXMhEAzgABbnfUy36bRmSMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGmL9dSlBGCjmwI4gr - CJShUngHjXvikDOG64AHCBRTHHkPpJRTFTwARlp0LBCgzz1EeRjEOzSFlAAUmeBY0CTsyPu/5e++ - 6Y1vvFhl9eK62dgYjUs0HWJ8+Pc/6PqdYjI5evW17qUvQ1liUqPtkQpkD+eRENs2cIBTHKkxWcGh - I5MjR6cPfHFzc/1INZKuY1GwQM/NSt5N734WBFL4rFkBDycyfez45JqXIABMmO546MrqGgQgyYQz - sWvXVgDOse+AOlTwApVlm8dBRRA4Tq36sr717Xc9+J5/ekVVsPSIGZdf5erPts3U85CXrFBlXQQZ - 4/z0bmYnkknB4AQREccogJ2HH1m57hoUpcZUtPMaAmI0LeqwfHd2e7T35UpmUcm5DAIhaPAhKocU - UVYPdf2NdeVSLqsibp8NVXnkG199+j98PJ7dGRflyFWpmYmId+5L7SWExXhrYQHAwrsfOw+kmDwB - 3qfUV0RVTGfv/6u1xHj5zQiJV6oUZyG6m8tDp37tt479T2/zKzXUEfkK0NgjcBa4r+WiEhCYRMAl - SIEkzjEowcknfvpnvi6UReEhslIUmHd4/OT2Y8cPgaWPI09e1BF68NQ7PnYxbrgOfatcuHrt5Lxr - 1ibf+BN3onAZTNiTnE0CYQwNVnubqwh4Dvndy86xzEgAEQKBlg+kwzMBpAxCJjBAy0njBDji3ecF - IE97k63/yxhjjDHGGGOMMcYYY4wxxhhj9jEBHFSVVAGGUm6kPTvfvMJfRaogAWF56ndIpN6XVQ0X - QLB7apsyIKSkYBVwQcSycfa0EikBCmKV3Xqb805809rkSOXG1BOEyBPRUDxyMFOI9/CgCIAcMeec - e41EqQ0NDrd//sBHP/bQH/eTWebsGAWHeexgiQHmb+CgBndbdLcxxhhjjDHGGGOMMcYY84LUdR0A - IlJVVXjvf+df/O5v/uY/r6qibfuqqmKMAKWUQMNZMl6eQ/2KiEgIIeecc/bep5REJKXknPPe55yH - H/DeA1BVIuvNNcYYY8yLDUMEs8Z5HuWErTlU0HX/+nu/b3Vr+8h0+soU67YdI5WMeac1+yiJvSdP - XU6hoJT6xWibnB37RtKoGK1I6GNqczcCD9OXvpLLZ1JajBbyjhxp7OAdVhxiBAm8czlnhYNKBdF5 - 5xmFwjPm29uOUIbRiYe+8PFv/bZTk2oz6z/88IfQzlBXrsouJPiqTex8cCVDATCTZHAGKeDZH/zK - NGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGfBUIqSMiiHQt+4AuQTKYoFjkaBMWf8AASAWi - gQmxR9eH4EGErAgOkHPp3c8VLS8ZgACEDHGkSOmj73vfm974SjzVIAAAIABJREFU90dnt3PZdXnb - FyLtNu/ER/7ikwBWj1126Bu+HnUN8qjLJsXkaKVeadsevhLVcPSSpNFRIc2MU6Ijq1hbnZSh+8xn - t87ujJmLLE4YEKVhZTBsMyvyMzK8VXdTjTVLBKg5vT7ZnuPwCIy4vVWF4FbWkIECwkqT1Zf9+FtB - KHwoyKWcxGmWHFxxkKczC1KEC64KmCsKPw1up9mpnENUrKytXXLZ9OEv1gAriIl1sYrDCu9dUpVF - kzUzKyGKFAqnebqxvrK5jUuOVuWon09r7z7+q7/2mrt/atEao7Ic37x4sH3XTe1A3g37c9d1RVE4 - cihLEP+dn3zHZ95/n7ZtNZ0eObKKKeMILr7xpq0HHphuz4iI4Qhp+Ag+zTJdXrBM715a7L2q8A5Q - 5JiU4NlTylHT+v2fOqodbnslmrmqqyYrzcZGPY4P//J91959B1LryhIayAeAlf0Fp1lfIBIQAYS2 - nVdlrdMdKgk706srP+qamBIkuqTo+p3P3D/KmV1oNI1JiwJtjzkLX3rZ0VtuRRlQFqq81eV49OLr - 3vZWlAXKQsGLTxcBtAzNBtzeTx0tVpLwpULSvxSGG45Ri3vJEAq+fK5zS6dQQn7aAGIdZgswLQ81 - sjyG2lQAY4wxxhhjjDHGGGOMMcYYY4zZ53ZP7KqqA1SzUH/yzBNfd9ktz+vr+lrbnaKvAEgXqyAa - T2+cApMAeTexnJZVQAos569eeuwKUjf8ZZjeP0zO14M8I5UAUji4CI2SVTJ54qDRN/c/8rEPfvRf - 9qvzOTXOMymaWVcF1nyQN9g83541upuI9n+it0V3G2OMMcYYY4wxxhhjjDEvQDnntbW17bNT731R - FB/4wAfe/e57ADRtT4S2bZlZJAOAKjsnOV/oU8QYmZmZAfR9T0TDWcYYYwhh99yJ5XYbY4wx5kVJ - ID3aBlmw0aJpP/L3vnvl7MZqbl/RNmPGahHiLI4cUUKnWhc1+j6w71NyCQxAFUARAOfaNpeaRgyk - TkUCuCxKid0FFaXQosJsSXkoM+uSKBC871IicFkUqe1yzi5QTK0oXEIFBCLN2mfUoyo1beybi0M5 - 2Z5fsrmdQQ++4pu1WjkZwrd/5N/h8Ah1rOqVeWwqP2IAygR44gQIkAAi8D6cRmSMMcYYY4wxxhhj - jDHGGGOMMcYYY4wxxhhjjDHGmL9FBHgoVBATk0PTDTm0iBHE2A1DHkbqkkIFIkg9QgEInIMSuhZF - AQiYwQJiBXabZt0F9S8oAHaA2+3Z2L1zQU2B7RyrsQtJ4UO79VRw/uSf/8d+/eyVt7ysfsUr0E5R - VmBCTg5Bi+J47sKxQ+uzWQTquqZpf6TTI/UaWOP2VlDBTS85WtCTf/GptNN6gCEEJkVmyUOerp53 - uZs2LQATSKFMvQpAIWY8chyHjwI4e3arLArUE0BBXlU3cn9JcNBMosg5eJ+QxLkMuOf03u0XDhCN - iqIkRNJDE+m2JCZmjyT+6mv45OOp6YKDiBA5UlUgL+OTd9/fpMrsIFDV3RsJ6vuEhx/FZIK6Ksaj - keQqJTQtylIAR0PYMRRu6NvZX/0yBBCa+bwYFUQcypoByoACzmFl5Za77/rEPe+6rkK/eargCUKN - G68/JP3GAw9Np7OJcwWC5Lx3i0h3c7sXz4DdJG8SXUbOq8I5aEQGCgeV1CuK4J3E7Qc+x9tbk2/+ - pjCqNWus/KgOa2fPPvmee08FfsVP3o3RGAhyoTHWz4EOf6RzPVWhBwpmTOMD9/3a5dyjSNQkxwG5 - bz75l836mYuLMgkzIAlw6AC/dnj1pS/F5VcAKStigl87vF6VV6+sQKGg3aPXsDgYhqcrkEEKMJQX - B6sLO1INL5+QwbIMAqfljbJ4QIEO+dyLfxMgEYh494D6rEsskMUP4Nl/wBhjjDHGGGOMMcYYY4wx - xhhjzPOPIBl+cRIagkwOJ0+dwGXAC/FcL0F0OMtOAOLyZlalDG3jfGNrXSoBgwCVLzWrla++4irK - xMtiGRF5YayV9gmOJHPmHIrApW4364+sP/B7H/vXT6bHY93xCOh5djYdHheSen0B7iPmb8+zRncf - CBbdbYwxxhhjjDHGGGOMMca8ADkOO7OmquouxT/+8Iff8VO/4D0AMEME3vucM0A+hBSzyKIz/CtH - RM65lBKWud2q6pwDMFwunwLOOUvvNsYYY8w+pMMAkeUUJ11+H+Ih5Xo5l+RZ60F2v9noub8KVJAV - MgyBiugatN3v/hffc+m8uWh962WpX+2aShUE8ojzOApIUYui7Pte+5iRPIWhjKMsfOpTD3iP3Oaq - IOlVBYAMs3Fi37kL/Ho1DMFZTmji4boSBRdEJKZUFmUX87RtJ8VY+ybFFDyG+VeOIFEJKFzom7YI - JbJ0sZvEbs2FnKNrc9yeHynHf/5tr3tktfoHf/AhtLkGoxKwh2MwwYHAGIZD7X0L9r4lX2atjTHG - 7Et67vcgMBQs83A7L37PKvjZD/HGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wx5kDZWxNKe1oqFhWl - svwpxt6WDZzfhiECFYhCZfEnZahCFTn/2a/9BvexVhegnJUhUBaCEoSGClUpIV3fVvV42ja+rrfb - FlX92re+GUWA82CCY/LsicEE2h3au0vOu+WZha66vKRzm6kAMb7j7ru+8E/uXUUeSeamr9ZWsbNT - jfxl3/OdOHREY08rh1LKKpJDMeViNipOe3r1j735khBAAHn08Yvve/98a+sIaz2qY9+E4HD55cfO - zrc+/dkgUMgQjczC2Z3r/H1mdwUBKlAFHBwTgAq8+egjh195C6DdvBnXNYoAETiXoKhHkAxXghjM - kChML4S2DQJYF3tfVX79j9z+1L2/KDGyD9hucPnlurKS223y6DswZbc7eph2q50hBFU471Rz1kxC - TGBHXuFSPz391IReAQGa1jk+HHtg+YwECEOJiIcA5v022FjBVV0LJElyXCxuU4AZDDC/6h1vf+I9 - 766qCtlBGaHA9dcfSenUFx+OMTlRgQx3o/PHNivJbpD88HlffE4JAEKBtkdJKEqOnYDgS9/3yTNC - 380ePVH6T4dvuo10Wla+b7aPFEXXNLWUn3/nL730Z38aCVxO2J+3oE8/opz/4dU9+/Izd+vzj17L - m3TxSS+hSA0gEP3Me+69pG1cbgF4YsSMRx6fHj95bLzSz3YAH5yPkkSRR9XaVVfh2mshCUUQZhrV - m5q+4S13oAzwQUGLRG1afJYFWHSEPb2tn/d0XH2lZG833PKILOd1bJ17wN2nZSAPnxs6/0D9jBfx - 9M4vY4wxxhhjjDHGGGOMMcYYY4wx+4dCCQoBqxBUlRjrW+vJxeQSqWdZpFMPp4lfABYj7hQAkwLQ - zFmdJLRdbnfmWyjg1QFZgGEc/nDiWwms7LMPyV986KLlNH4hZclZKRPRfpuUR3peCcGyKGJZfrCn - UgsAKGuVxFPvtEXXBZnFzU9+4T995C9//yQ95I9irvAZzSxddLhOsznRokLAmOfGoruNMcYY81Uw - ZFwNlzgv1+qgftUwxhhjjDHGGGPMV8/eU2UCLNp0mblt26qqVBXKRCQiOWdfVkgpCT75qU//+J13 - KSFmEEEEAIbIbUBT7PBlI7R2M7lVVWTxjCKiqsODqGrOmZmH60Q0XMcyw3t4kK/yYhhjjDHG/M0o - IBDeLUgiZGD4hhQgbs+4qLysKFKBW4xmEl4UqrkomZg9lDQjdugT+g5Ni6b/09d9+8Xz7ttzKrPU - KZc5+WG0DaARnqAJniCxC2AgO7DmOHxt0j45YARoDwa0Pzc9hgDN4vE3PH0ki7IzYSAzUIC1j8Xi - SgOIAzSdW69F2HaOAdDYActpPTkyoBqDwyT312zgyn71gVe89oz3G5PJGz/8+1gpMSpQFUCRAEIY - hhzl5WAux5CU2bnFvJvFpgkIoHMB6vaF0hhj9iFdHs+d6nDoVvi8HNflASQgEaksphYqk1XqGmOM - McYYY4wxxhhjjDHGGGOMMcYYY4wxxhwwy/pPHdoQFg2vQgDgBCDk1DsHkIoioiAibXNZuEWbAEMJ - JApVxIimAQQpQtL9v/qrk5SLKAww9KVErEKiEPU0lJ7yuVmfQkoirMpC2/MjQtRMLwOjaU6/+57k - /bZIrMpb7rwDrPAOZYAKylUwR0neMUR2G15TSt77ocdWUuQQoBlKYIYOWbjIgEKGSlnnAjT93r// - ox/9zu+ct9NJ4YCIyh/+9m9GFGhLoZAskUIXyo3SbUzqV7/19mtWKjgCMZIgeMz6G+5+x5+/656j - bY9uHpyHEELFN9y4dmqzOXGilzwqfBGVRHm58LuRyXvnBJ+7LuqRGQy02mY8eRxrqxTzpJ4g9RhV - yFmKok0J5KAOYPiUQQAYxPsubPoCKCBwQigYUMB7lONGnZKHOkxWEOejq67sts5I1zlHqiokrIvE - YiGwAgALMyAxEeCGfUIhWUGonZ7dXJ88eQpXXwXiKstF8+ln3/uel//izzlUwNCX40DInAgsYPe8 - rsleiiHImQF2zIt93wFMSiA4uAqtPuVrdXqZgElQVoDglpdPmtns8cdJ8qQs+64rUChUNQ2Rz8Pn - UvQZ0dDLPVMiCoIC2gsxMiHnRA4QLp1HzNOHH6pzV976deWlRz1BVZxSNesuDdXD73zvte94O5BA - JahU4tyrD9QRGHAABI4AVUC17agsoVl8iBAPAOpAOYl3fnhhWZBUvGNZplzT8DqHD1EidIKYMN/+ - /K/cd0nXHRIJVKDpAeCJJzc+9/kqpSbORsytpOCKXv3c+ZVrb3CvehW6FqsTiPaS88S/5MffipGH - Z0TmsDsegLE7Q3z3XVgum1tcueBPIi8fkPasP5/330Uv3PDoT3sCetobt7zbc3glxhhjjDHGGGOM - McYYY4wxxrxQqS7iw5hdzpGXmWLGPP+UlRApE4GA4AL1cvyxh+Y8LcqRiy4ndQjEClFCBrPQQT0d - zLqooaHhigYAmSW5ONdtX+vDxx8WJyUFiRAPcWAiFRUFM9SDeiq7YkUmlx27ShsnyJ4LEnhiIAkA - 7KP1YQXrYuD/cIvSkN5NcMg5Z0lEcIGZWZE7arpyO5Zdk7sT68c/84VP/vUjnz0zO5WLJhdNTF3B - QMS4RNvN2Q2lHQK1Ia/mOXrWX4WqB2BysEV3G2OMMcYYY4wxxhhjjDEHWM65LMshVFtyVlXvvS+r - Zt7Vk/H993/mR3/09p1ZB2Bcj2az5kIff0jjzjkD8N6nlIZygeHUCDPnnIdbLJ/bGGOMMQcND3N2 - BkMpFgEODOXdsGgCwvADjKzRkWMgpqyqZXAFOXQdsiBFbG9B5P/6vu+pp/OLNjdf1sbDXfcsT7t8 - xj1fnp6fENNFvdRzdN4dldBnhIDDEf7s7JBuXze+6Il+4y9e9/qHSvoHf/hhBI+yKMcrcJxy9KGE - outyWTkA5FhFkDKFAAz55AxarJFVpxpjzH4mu0PDVEAMiOwd1DWMNKOh0Hk5/MvSu40xxhhjjDHG - GGOMMcYYY4wxxhhjjDHGGGMOEsZuAwLJIguWQIqcMWTjOu/72BbBMbkhKtZVDkBuOlcEND0RISdM - d0D0S9/3xv/qu7+b26ZUvTKnlZh9zkMl6pA1rExEJDER0TKgmkAEZTBF6cjBs1t0HQghJqCPTGvs - m5wf/Ke/mOoaa5OTZzdf/1M/jTwHcSgcVDGkgTvknL33kjMzIJmDW2wpE84bIioEeICHra7Kuz78 - 7774S+89tnYoxh00bahGkIwywBVJuOPQ1vVWPbr+rW+5rgqoCxERdt757MDENC6Q5LafvPu3X/eG - H3zdt3l1jhnSoarcjdf3m6eLjNQ2BRx7n1MfPGlSnB/a/TTDPzkIgDKn/rHHissvr1zhxxMgQxOo - zORFHXyBPiKUUE0pFqESxQFvDua8DCSWmJkdnJ853xVlnPUhSgi+uPnm6V99NmrnHGvMRACBdZE9 - LwSWRazy8DBYLukwoJgFLvXNySdGV14JzWAZQVZTi9gAgmKyyHonOLAuKqX3yyjnwe47POwkUA+C - AOw8KeDTN9z19hP/+29vPH7iSGAGJYGvRvVtr845z44/QbGvfdGmvoJ3roCkrJkEQpBlwfjeZ+Jn - 21d3lyaLkOYRs0u5eex4381Xbr7eXXcd2qlfOYxOC++Q0kP33rs+crf9xE+gBnHwzquACJmhgmI4 - WsQMCJUBhC5G5wODCcKglFPwRUpJBN57ZngwgNhHIqqCl6xuOBp0HXJG393zd1//w9/7vVd0bZn6 - ICrbM145hPXNJz99f7lzFnE2KjhHqQrfQbdUjl5zTXnry6EZh9a6phP2cWX86x/8Vz/7j+9EFSCy - aEh71veCnu3Gv8E7+yVu5L1/+Qqf5WAfD4wxxhhjjDHGGGOMMcYYY4wx5kWDhnPxvKyaIMnUJT9/ - qnkkF3mtutj5YqYgzULCnnJSJSaFEg7cJSDDLDtShjIrC0EpZRe1TBu6fqZ7MoYeECISgBixV+fg - HAAkgScZj0aHwqHkYxdmAHvXs4KIQL0QFLx/1gcA75ZM7RZPMQlJypEcyJOSxNhvT8+e3drYatYf - 2vjCya3jJ9dPTONO9n0OKU06cUkoYlnLsVvgsfssxrzYWHS3McYYY4wxxhhjjDHGGHOAEZGIEJxz - LsVuPB7P561KrKrqwQcf+qEf+qHpdArAOTyH3O7h8YeU7uG6cy7GCCCEkHPu+56IYozOOSKy9G5j - jDHGHBQ0TMFZTlNSCAMMdgASoAAv/slBkDIgpF0RypyUXFE4hgIJaBOSoJlj8+wfvfHvHdreuWV7 - 4/LDK9K24/QiyiUVcCKCKyS2wTsX83x25pK6ouNnLhqtfvqV33J6deU7PvTv0TvU2XsPD2SUwUEx - a+fVqFYSX5KgF7CAAWbAAQQRCACGt++axhizvzGUiHio4fbDL1kPeGQ7ghtjjDHGGGOMMcYYY4wx - xhhjjDHGGGOMMcYcXENdqDJYEkQgYdGXwcyUQNO+GxWlC3UCIDkQ+oTewxF8ocgNmhm6+Nvf9V0/ - 8J3fUSpu//pX4PRpFTcaTTiR7xOIMCQqQ6AgFhBxcBCFKFQhQ+QwIyM4j6zIAhVVVRqm6FJwpbaz - 1eSPjut508ZZc1jk5DvvOev45rvuApdgwuokB6dgOO6athz5LJE9A1lSclxA92wyxA3XwYAgJzjF - Ct3wc3d+8Z3vvUJGIwfszFGW8GW/02Bl7WxdPF7IN931IxgXoICoHEYMIAvp0INLgGClfNOffvgT - P/8Lt1Srbj7Pjhwprr4sHL9MHjleZqfIiwRdJeACZuV6QffYE4U4VYfJGpyCBEwq/Psf+sg3/uOf - RXB5Z+bGo5Id5IUwiJcBVaQ2+iJAM5y8/O63P3TPPZeOy0BeN88SaPXYsfREn1PiPcHSu+ndX+7B - FUJwgp3HHx/dfDMmJciBKIh85rd+55bb34KU5nB1cAAc0PZtUdRf4y2+AAS4vbnau9cVAmTAk2Ny - qKsr3vQ/fvZ99/U7O5fE5A+t6XxO5WTlW1/PH/9PO3/9uYqlcpCckDOBGC5rFsAFIO15OgUPY7Jx - XlPVUGG+eCUEVQFRwRRT35x4MvRabfd46Q2YzTrmnaYtxmsXueBPnT35y79+2R0/hnEBylTXAaQo - MkOGRyMGM4Audq6qYu4CsRMGu0ABgPN+2OgkObAjoC4CFF07L6sKUEhEP0PTPfG//K8/9HdeX2xv - V4B2HaqK1yZYP735hx8dbW1RbqoASaKMRmTKYfW6K8uvvwVrZRZywj7UXTV6NLY/+x//DKVDzKjq - yOiz1rDee2OMMcYYY4wxxhhjjDHGGGOMMV9lpPAqShCBDiNVOaUwbaEf/fyHj4wuXSmOcHKqmrQT - UucIcFgWQhzESyEFQEqkzMoAQJI5Z+6n6eznT/5VV87Fd9lrr/A6LJHLmhUQRYa23DbF9GNf+JMQ - x0UqSR0AQDOnIRd8P62PZuqSppRSjLFPMaa+TylrAtD2zWy+szOf9n2bJJICJMycc4zIqJK4nKlT - zuRVhy3bLYgCQ3mRhn4hJUnG7DWEVhBBD9pOZNHdxhhjjDHGGGOMMcYYY8zBJiLBhxhjCKFpGu8D - ++LEiSfe/OY3b2xsA3AOOQOA95wuMEJSVYdY7uEsHQDv/XBd95wVYeaU0vCTX81tM8YYY4z5WtJh - xBAByzBv0nPlQ0qQIbpbEyDsA8COHQQQICbEhK7FmTN/8F//wOoTJ6+eTQ+nuMbcnDpTO9b8Ioru - BpDVeQqu0GnfTkoXUtZ5e9Wo1GbWtPFIm/7Dbd/6yOr4v/3jP0A9Qi+IGeMaJepRIQCxE2SFHwq4 - hjdhWGsGv7iW0hhjDrihEnk4lCeCd6Ln/a8CO6gbY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGHDQK - EDKQAIEy4AFNPfkAuLooh6jevu8nRYFZVxQOKUF6SELX3X/vPVdk/R++7dtcF9HMi7KCDyCXdmae - GKTQDBIoIBkqkAwAzQxDVngWiEAVCihhNIL3KByKQExEgGSAMJ8VK2toe2x0dTWCKIqyb5q64ife - +56tSDh6ycvu+HFXlKCE4H1VAALmed/Wxch5t9jSwXIm7zLwmOEKcAYITm54+53H73nflTpCWcFR - 8n4+KbZHxdV333l5HVAXSo7UgRRgiIoQF0OTRIJnMIP1Ve/8xcffee8h0pWVCeYzsFu54caN46dG - TjXPIBIcpyzuQt6oICpNI6fXmy5iXMMDXkCcmH/63/wbQKDJTUaICgIK5oPfFKwQBrsyLPKcY4ey - mq2srD/5VB1qOEbq/fXXbT1xvMyysuz3GSqcv5L0bgcKxO18iieO4+tuAhOSlip0ehN9wqT2jmMS - VgHruKiXQdX7w26LDj29jpt5aJAiLkr0iqp4+U+94wu/+v6dJ0+vNV30ZWBH2o+/8bYixenjD48y - HEFVGaRMUCba7cMiAAol7GbBn5fevTcgnpgBFU1MfsyhUurPbG5snl2bzd2N15UvuapI/bzZYQ5X - +9Ce3X70l9+zvVbf+uYfgSYOJXLjRmNVgD2IkXLM0Y9GTe4rVzosP7BEMUYfQsrJO3ZMgEiOnARE - pXPoI9oOJP/se77r+2+77RD7kWpgh76BL9B12Dp79lN/ic3TqwoEmkcdF4iCxgU+etHkta/BpIIv - RLlvc1/Wp8vilXfcgWqEIiA7qCjYOZLdAntjjDHGGGOMMcYYY4wxxhhjjDHmq4eHU/YMISgghMyp - 982ffe4jI5qwBM0gh0w5IQKgTADtg1Dq53KZCZmH8iWwgoVZAUBYQvDwMssNyhQ1KgAFEQoXSKE5 - K4EdMjDPs6dmJ/+fP/mXPpcuFwAJqZIoJ0CGB3zet3SxvayJOjCIiIiUF/9VQko9mIhUWLXKIklE - SKjQkhzIAYEVOWsfs6rAhcUOQ8pQz0MR1KKoIz8PO655QRA5VxekByq+26K7jTHGGGOMMcYYY4wx - xpiDSRlASmkyWZnP5yklIhdC2fdp/fTJ22+//YEHHvIeKcG7kFMkwpfI7f7PhGblnAGUZdl1HZYn - QlRVVUVERJgZgPd20sEYY4wxB4YCEQBQMKAgsNsdr+QAghLy8nuS8x5KEI8s8IxmCgbmM+zs/N7r - X3dNSleePn1RcJXmuixy2xdw0AD0L550UlZMPM+abRc8Mbo+lyOf56lrugKoS0rz7Zdi9Yod/vRr - XveUxu/6oz/A2gh5BxcdUmIHFXFELivcYkLRjKGEAHVEzIuAdWOMMfvOcrAcQXkYc0bDsDMBGJmS - 5zRUdYOGOY3GGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wx5oBRQlz2SPhluShCkRVeAUXuYlGGoiig - Cb5D16FtH/kX/4eur9PZreurkc7mSeB8BS6RCJKB7AsCeuSEZobtGXam2JnKdJqnM+ljM58um1nz - 7ssgIlKQalZl7/zKZHLkEB05jJUVXH4lNs+iqFCVkATmlLpM6nIqRa6sVmannzr+8+8+W4SX330X - xgElp+BjilUxBtCnHDwP3QsCwZ4UbxAcQImQkArniwJlLG+8sbn/sWqnm5e6jtxecdFNb/lhjAOC - BwKpAzxKVoEysXeL+cHEMbcuOD58BF131Z0/dvI3/7e4fmZF1EumSy5dvfrq2YMPlsJehcjxBXam - EKQAtfOdSAUmKyBSyUCOwWGlRl0gECDoCd7Fvvdl8dXYQZ43BAT44a2KWYiirwKS3HrHHevve9/s - 1JnaFRiVuPRYmtRVjsiL9SQ9l949UBI8LWRaF/8QmFadTh/54uSl1yOUGlNFYTydoYuoUgc/8Uyq - gIcoaF/2wOj51wkMKJCBRK4qR3AeOd74j/7Rid/6nXRq42g5mp45s1I4rI3Dq19VOZ198cEVJibN - KgniPLvEOSWHMDy2kCwXc5nm/TQEACoChjB6SczkyRdZNcn0gQer7Z2yS3TpsbFElEDlab55MdFR - nT757ndv+XDzHXdisoZ2TkUQysLBBfZFlYHCFRmYdWm19DH2RSiCD4AEgkh0zCLZMUE6kEcURKBP - n/+N9/83r7nt0tLtnN4Mq2uIDTQhC85sbn3843L6zCFHGrMmjD2QMc/QY0eOvvY1CAxguj0Lo0O5 - nqyPqxt+4m1YncB5VU9MSgCSB/O+inI3xhhjjDHGGGOMMcYYY4wxxhjzgsAKUgZAKizIDCUkRqbe - sWTNOWaBcOHJodcIoKTAuqiXOHCXmZGXZR603PyhrmPadY5YCd4Xuc0u+ILIqyMVEiVAHcg75zRH - aXQaqiJpx8pCUJJMmlkYCBn7an0GQovaKVWFQgEXSABFVhVVkIdz7JghKfY5ZkECeXCgAFKCiGKR - 2z0UMDBACqYXzbBc87UwpFQQYTe2m4gORIa3pWgYY4wxxhhjjDHGGGOMMQdYURRt26rqeDxWpZSS - c+4d73jHZz/3OQApwTl0XQTgvRORnC/s7MVwwsN7P+S6rm20AAAgAElEQVR2e++HxO6cs1vCMt57 - uG6MMcYYcxAIFnOUmIiBxdwZXc7HEQgt4kiHIFJGBrqIThA7THf+zzd8+0va5uV9d3HfUdQJp9Qj - pQ4C76o+t+HFNFqFIKS5AFJKdV02s66fp4LhndOYpW1K6GqOfmNrTOGqQ6uffO1tDx8aff+ffgTb - xL7EaIU5zGda1wQBWIbc9MWXSwHpUOL1/G6lMcaYL4uAZ/zuK5AhuyPYjDHGGGOMMcYYY4wxxhhj - jDHGGGOMMcYYY8wBRoADD6X9GSxYxEBD4LxDjFCgb9BsfPo3fn08749AeXu+VpbSRC5GcGF2Zn28 - dgR9xHQKBvo2nz61/eRJaWZp1mjbhT4VIiElAtbAy7jwxURaZQKRZHEAEUnKqd+YbWwk5p65AY5e - fnl5+Ei45hqMayh8PfKE2HSTSd3PpxOq8nznUK4e+aV7phcfvuVtt3tkzwUkZ0XhA4C2bauqYGDo - a1hmHMMBSIIiiEaQx6S++L//7x5516+tFbWMXF+7m37kB7FSIXiBY/XI1Ld9Ma6UoQQoUlLniDwT - igSoutJXOHL4yeCunazwbAYVKPmX3jR7/DHO7LK4nHjPhNOv+G1SRQ5FgfEE6DSLIEtgSJRQRkmB - HZceAFMQgTvQ7S8KqCJDmHzJCsqa3WSEGZ9NuSo8IWAeEcKRl7ykvf9+TXn3rnsnHZ97PDovvXt4 - BhItHTbOPDXZ3MSxy0i0Uj7C/tO/ct8rfv5n6rIgAMqaEzGD9lP3y/Ba9GktOQIwKdpWwogJSGDn - g6Tkjh694kdvv/+9v9LNu0vW1oCE7Q0wytd+c+nD/Ikn282tABQlJAtEAxWqujvLWWh4MjDkabvt - sKrDgicAAiKIaC8xgGoX+pSb4yenG1tHX3YTbr4JOWJzp1ydgKg5tXlsvHbpoZWTv/ob696Xxy65 - 8R/+IDtmYpQjkPNRMKoyY1z6DISiWESVd4k9c87InWMg9gChb7/4z39XN8+WXTzUzNYkInUrkzG6 - BrMZqgqPPnbyE59Ymc6LLDGjcJAM5WKz7w9dfy3fdhsOH5LYwleToxdvJjk9Cje9/Q6sjeagEQdS - CNB1bVUWikwvpv4yY4wxxhhjjDHGGGOMMcYYY4wxf7uGPGaBQkmG2oBEKTgBCynES2ZNQGDu+25f - VTRcEF2WHCzmxdIiZhtAOXGA9klUI0gYDuqkiyBlBilUkWIe7l4EinGxDopFIvhQKyKMfTUuj4ci - DCIQiAgAERHQxwiGI5ADFCJIWVSFGD7AVwQgRo1RiTCUsQwLRYrdQiyQYD9trDlwDkRK97Oy6G5j - jDHGGGOMMcYYY4wx5gCRp/09xlhVlRD3WZp5V9f1m970w3/yJx9znqHiHOWkVVXknGPMz/qIX56q - OudSSkNoN4CtrS0iYuYhvVtV27YdjUYA5vN5Xdd/8400xhhjjPlaIyBg8e1IgUU+NwBAIIAwlEDL - WjQgA9OzaBq0/e+94fWXz+ZvkLbsZ65PmrAypulMA4EVngmeOOOZ39xe2Locy5p9J2nelYAjB6Eo - KcBHpLKqY7s9BtyINzdPvaTGka35p17zrY/68d//yB/i0hVUqEdDCZsAkjDKACAlwQ3zrsiiu40x - Zn972oGaABXKCTH73V+JVqprjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYc9AoCSBhCLFWBpAIGSCg - bdOo8JCI+RTs0KTP3vueS51e285z09VhVIwvmm9vCzhkOG3Hoxpb60gRDz7cb5zZOHHSI3Ng5OST - sCAQSoIPIEDTnr6MoQZVVKDCEDArAKWsQdVBCsKqC/nxE82JU09+4lP1RUePXnMFjl6Ei4+F4LA5 - L0hRKjuRdvaS0cUnHnjw8z/zi+3RI6+88w5wduMxIOilChUyiEG0yDtmwCugQOlUUVAAEgIwkZfc - /ZaP/uZvx5xed+dbUBEcFEVSKeBBKEYOCgL6rJnhCspAhgKcJJbqwQ7Bf8Pb3vbou+6ZkCenaBsc - Xauuvmz6halTgjwzWvo/TwBm+ODAQAYHJwJBRunSYoovQDkm4RDwzFjnA0cJDHWYpTjyROQAD4oz - dlORcenhCULF5VfMPvvXRLJ3dCwpY7GHD7HTAMB4eno3oClmT2gfe7w6dBHYk8D3XeUYkl3qwAHE - 5D1o3zUT6fll3sMAayhUMB6xADEDDhmc2Dv2OFTc+rM/9+D/z96dB9t23XVi//5+a629z3DvfbPm - wRptIUueMDYGbIMhphMqXdVVqUpSVCokKTq0MTY2HpqhIGlsYxsPbQxdZOhKiiKpCumkkq7QDWbw - 3IBN4wFblidZ0pP09MY7nWHvvdbvlz/2Offe9yRZlkDSvdb3827td84+097r7HPfqbd/6/d9//s2 - Llw4VjI04sgqppv43heHu79Wvvb1sLUt5loyAARY2dnlvtOzASjLV9wZyX5UTeARuSA4oiAIct9B - vHRVPbRmNmjy2c9+Xu+97+hL7sR1l2Myg46HwyvQ5vbU+tG6Htk0bjcXfvUdw9W1//lf/cHr/uwj - GAwQaoiHKnkIJgKgZAQBJGIygwJdhhXk+Rd+831HY1iZbg+LDazUIcLcO5NkyBnjtebTn1q/555V - s7F6AWIdusZSWjnbzupbb9OXvggpoGs1jkunJzfW8/VX3/qWn0NdIdbJdT4vwzooMKwGMFt+3J7y - d5mIiIiIiIiIiIiIiIiIiJ5VTBBEisMFhog92czqRVxV3OEwmIkaJAZREd93VQ3fOXVFv499bUZf - 8wF0VszgjlJKEBUv0tfJiCOI2yLfGoAYTD3siehWIGJRnhNkX53eVxEHdFF3saeOpQrJxNRhbgKE - 0Cd8I4tlR84ughg0RsDg7u6+CO3uy2MUANSxaLRL9KT09VcigoPWWZLR3UREREREREREREREB1iM - cT6fa6rcva7rX/zFX/zYxz4pgrynJcF83gJQXZwjfKLMTERyziLSdV0IoV8fQmjbtqqq4XA4n88H - gwFzu4mIiOgAESxKhXx3DQCEnXt4QXaUgk7QzLG99X+/5tVXTGe3bGxdB1SzrSgwgSnyzOuAIGrZ - JKBrZlGfbcndqAOaqVUC7Uu8HB1KFSovpQopz6cRQECZdYcjrMVg3q5NN9bG8qev/tHXfOLjOLSK - FJECVOESRR3ooF3/jjC3m4hov1PA4Lr4dd03KcxAV9DkqjzDG0dERERERERERERERERERERERERE - RE+WST9BwpcTMHZCeYFhHTGbo+vg/s3/4X/C/Q/dAAymE7UO1RAG35oMTHQ0wnSC+Xbz4APr998z - P/3wqnls2hOp6ppsHaBQQAVBAIMZzBfzO/roW112i1VBZ7vNY4MDy6DtktsKqkmCFWxunvrr09Vo - VB05snLzc3H1FQiKZoYY61GN8w9fXa/kNm+sb37+ne98wdt+AdMGMSD0qcIBDpXdwlgULBaKAGTz - rJJiDEdHr3jrzwICK4jJSxENQVLblSoFOLpZTsM4iFIAA2wxip60jg5koKowGuXRyqTZPBQNKcDz - 0ZtvuPeee4rYSIrNn3B6dwgwFc0tmgYDQ1yJJYQuoynVwAGFCOCq8D7n+8kcFfuJAQHztlRVajGp - ER1BRO/4uTc8/MEPTNc3R4CnoZy4fHTi2PT+BwbyyGTui59P+uNqQaEu3mSMxnHy0AODW27Dygq8 - BMdKAOZTpAAPiOoobq6670a0n+HkUAACU0DERBSGsIyonzftoK590VS3vumNr//Sr79jID6uBpjN - UdVQrZ9/24nx2oUv/u3m6dNrIaagbduGPburfulsqp3Dd+dIywZVBIMXGBAFUHV3dK3DBwKBNFuT - kx/9+Oi6K4++8MWox1CHe5UqdF2dEibN2Bzbp1/3o689857f3IjSDkabipf//JskpBAqFAsa4Rnb - 0z/60D8/Phym+XRccp3ba9x1e/PwoEYzhRvyHFKJA5M5Hj5z5nN/g/X1QwrpuqagTjJri6XBJnDs - 9jvlBbdjlKACDe2sxdETzeHDN/3sP8GozrE2k0qQ6oACKOBAKQjh4H/AiIiIiIiIiIiIiIiIiIho - Pypa4GIQB1wUroCJI4jCshWIQJNUForDi7jDFOJwOXhLAGJ96jQMy5RywGVRU6QCiImglFZVqqjF - SoEXB4AqVAAKihcTLEsogMVF79OvzfbBni7310QEMHWY9H9cvY9JVtgiZ0D6nQFMURwSoCJmyGbS - VwiJyCLXfffIcYEBKrZb1UH0BPXR3QcRo7uJiIj2LxF+PSUiIiIiIiKiBVVt23YwqObzeV3XZlZK - iTHO2m51dfXC+Y3V1dV3/MY7/+AP/q8QdCe3u5TdExjfPrdbRNy9X6aU+ojuUgoAd1dVEemv5pxD - CP1/XFRV1T98MBg8NftNRERE9NRw7UuOPHdSKSSX4kEDXOEKdwSgaZAd04L15o9e86NXT8+9tN2q - S6lLDqWowB3ifdulRRFXALx4lD154M8aXlAB8L4Sy4sWFzTeJgGsBIELzAFFccARHaPs9WQ2mM++ - 9MI7Hj5x5Ef+9M9w+BDqMXIJSYPqzAxBY0Rw8LwZEdH+JBf9tfsPoAmCOWb5f3/Nf/iKzr99ezsi - IiIiIiIiIiIiIiIiIiIiIiIiItqnXFEKNACAwwM6WJPLMKYAQymwgun8vg9+6Fgzz9PNqo6wjJCA - AisiQazFV+7v7vvW5kMPhG5el3YsVqnEEDx3qU+zLosuwv3cAXHoMvR3UYW66L4rgEfsmTG7uI/7 - YmqHWW5qUW/bI6I+a3Jz+tTps3Ft7fjV1+HG5+DYAE0HdZRJdDk2a9JEH37ne75l9rJf/mUMgZig - ltsmDoYB6AB0HlzgCDUy0ALQFGEOKzEgOiDBA7IKAopqQJNCByRBGsU+r7uPNxbAIP01N0gAssLj - Ta9/w8n3vqe2xremw+EYh4+ODx2VzQuz+aRWXBqG/LjvWIF0XWwnOHk/br0O5gixmnWfec8HX/pP - 345BggDqQdBikd4d/g4HyDMvAIJUBYfVqBf7EmvUw4e7kryMUiWu6Ep9841nTj0QPaQC86JQUXUz - hRR3PMa8FUMBPAaUkuPWFk7ei1ueixQVXuXuc//8Ay98y9swrCFwc9l/ud2XcGiBCTSowRWOKIBh - vJwwjqDIDUb17b/6K1/5jfeccDkWAtoZaiBVuOaaI6tHpp/93ObJew9HpIicEQUicEefed+/jC0/ - wv3xq0ARFVgyhZgux9sdKOYCd6sRLDcKHTRtVHT3nDp9/789fvONet21uOJKaIRrMZNQt/3HyOxo - 44fa0rTrRbH1a79SEJpQZRVxiEhwvMRd57MIjwY101IEyWamXgOAdYgRD53s7v767MEHBu2sCibZ - iwOCLNE0TgfDQy/8HrnpJoxG3naioc2W1w7dZ93zfvEtGNUmURCr/qPqgBhcIYaYHuugIiIiIiIi - IiIiIiIiIqIDpO/L3UflqmophWcC6RnnAhO4OOCO/jx1f4u5QwSxr58oJg71WBxFxEUWdzuIS9je - Hnf9ILigYFn4IouepSYOlL6QSBWAegGA4EEhwbMssr+hro4YEVy8k+xi+2JPpd9Zh8Ac3m+uLMKS - fU8h0d7eflFhruKIvqjd0N172M6I7SyJ/i7MTHU3/6IPtnhmN+k7xOhuIiIiIiIiIiIiIqIDIOes - qjnn4XA4n89DCHVdz2azlZXVra2tuq5/7/d+71/+y//FDPbtM7ofg7uPx+PJZLKzpg/q7gO8QwjT - 6bR/5hh5coGIiIgOPgEcxRCqGgByCSF4ztJ/1XHDZIacsT37X7/vB26eldtLd2S2PiwNlnVaJlBA - lmVJPZfd7NJnVUapQwFZ1mM5YFgOhSnUL7orALiqewqhtJOrgh6ZT9J889/+2Kt+/E/+DFWHwQiT - CQ4fXg263bklkX60n/4dIyKiJ0D7zn0KM2gBghvm5cg8pwLgiXcNJCIiIiIiIiIiIiIiIiIiIiIi - IiKiZ5wAmoC+FLQAFmCrEZhvwx1t99F3vPt6xBNbkxVxC9A8RwiYzwDAM04++PDdX5Uz54/GdLiZ - aTHru+HC0XcDlp35FyLwRYfgvtus78R1YxHMDQVM0O20nvXlFI+de4gjYLc3bTQb1GmysXlm/Uv6 - wEOHb3pOeM61ODQGDG2LlNaa2cosZE1feN9773zLm+EdYopVKrnVWEVAkiADxXe2Dou0aM2wAgEU - giC6tyGuLX8WSdKOINbveYEHBFHAAVWEBJGHLA9zPnbsKLanCHL8xptO//vPrCrkyVXgZqu0K6dP - hZuvhQElr4Xq2g7YnEMVowAzFNMqlSf19PuIwGVRphyA4MsZ0GowrN1wE756F9oMSRgMcfhQObQ6 - 35xqcYHIIm66fxqILw6kS19BYSIiLh1CM8eZM7jlFqAkiWE2O1pXmE1Rj93D/sztXnwipI9pV4cZ - VGEOFTF4nzatWDTytq5YquvcNTHUz3vrW7747veiaVdF3Dp4qYYjgY5e/tLRl0dn7/7SwFBFEYGY - F4MCGgBHyYvXcOlnFSkWM61UHeIK2KN1gvbFeDtiwaBYXXD+7i+XB+4ZXX7F6nU34LKrQjWEyiCF - omalC20O4lX/Mp2hFER1UZE+Tlzc3cz67sAKUVGoAoJcMG0wn5358hf93Ln63PoIJYl78QJ4gFWD - s7NusDo+8bKX4oZrOnRqRVKY5TKr0+zQ8HlveAPqWGINRN35nEruO2ij/4XAqVBERERERERERERE - RERERPTUcFkWqjggtii/WZQHYE8Fgy0KK8Qgi9qAg7eE9X1NFy1P+/DyxV4aZE/L08c4U7/THtb6 - O8vuSnFf1DDshz1d7O/uu4zHC9teDIsjwMR10RzWl4MDdYHaxend3+75iB7fTlD3nvKrg2E/VncR - EREREREREREREdElqiqKeEppa2srxmhmk3lTj8ZbmxOV+Ecf+civ/Oo/K8uZvE/iVIWqTiaTEAKA - ruuqqgohqKqIiEjbtv19+lv/nveNiIiI6GnnQBYgadNZ7hxIKCqpasWKdmg2MJ3g1LlP3/GS/2A6 - f3Fsx1sPV7bI7XbAoQ4F4GJAgXSQzrWYoAgKUB6vvOm7jAk61U5jEzSrAEiGaAi+uNUAONRULagl - 9eBwlK4ASAhVGDfl+WdPf/aFd/7xS1+M82dQK2CxyYdVQovgbFZDRLR/7dQg7m0M6P31Lo+7Ep2h - 3UREREREREREREREREREREREREREB5IDrWLWV4qKC7rgc8w3kWfY3r7rne95caquKVlnm+i2FQU5 - I7doZ/jGVzc/8sdnP/XR1XMPHMZsPtm00hUpHgRBBAqHOERgAgvw4FC4u7kXdwMEJn2m8p6NcRh2 - 1sriTt7nN0ufTNxPQQhAAKK6dvNp6pqBGDbXz/z7L5z700/hC1/FJMMF29tIqqVdtWZ16/w9v/UB - rJ9HM0XpQmnMWwDT3DViqAWO6P3zWj+bJBatTWvX4IoAxEVSdwXUO0W2fY6v287U39DfSQzWAUAI - WKkve+GdnYS8vg03lILrr9WVVYPKE58w3KdQJ9j6qQewPYMLuq52Obo5+dyv/ffQPO9mEEeMTZPl - gDckdSxm8QRYxPJKHwC9tvqcn/zJrh615mgzXHD8uJw43oTYCaABorasc17kwz9itPvjyoHgkhzB - sXnmIUwnsOJde6Qejra27/rtD6Nr4EWAtstP5+4/vn7OuQEGsT5yvn/H1XbWisEXlx0WgzogqUZK - WBnd8Utv/3pdP1wPi44iahlUZRhwbIgXfs/xl73CRmvT4rPiGRIDBOg6lIwQIP2n0RD6pUFd1EUW - 26UGLaJF1KB7uk773p9kvlr80LTxb3zr3Ec/1vzRH+NvP4dz92P7VJieTraNQUayrtg8SxuHtrLm - KSEAMHgBOgkWKo8DiXXRqoPO0W7i9El8+fPtx//83B/+68F9947Wz42QI8zcW0M2ZIlnOzt2++1H - XvVKXHcdui6lWpAb9e1h2jh++JrX/VdYS24KVwVElgX06kVKFi+wImx1TURERERERERERERERERE - TxnfXYqjLwdwoCiyogvoAjpFF5A1F82AiUP8QC4BuCAHmMIERfvcbgVUPaqpuKot6hNiQTCI7/2x - vnjCxFwWQ5QVOVgObQ5t0RaS98Oe7lmqWP+DfqkX/ejOj1hU075eSt0Csrjp4qc/VhSI8LioGdld - SfQk+cHK694jPtMbQEREREREREREREREjy/n3Edo13UtIiGElZXVBx988MTxyz/ykY+8/vU/rwor - MIOI4MmeuugfVdd10zT9GjNzdzMLIZRSVLUPDu9jvImIiIgOLgE6s1SpZqAFAuC50g55hmb+r374 - x248s/m86eRQOzH44QD3RScecXURccAVYo/MlC6i4hLcL84wfTbY7VgkBlWY7BRmwQSAqGs/YDFW - 8LYSTOdmiiMJfn62ErE5t4+//Ptf+elPYGWO0SrEQ0zPyM4QEdF3Qi/5e9HNzaRfY5ZK3u1ht+ff - BSIiIiIiIiIiIiIiIiIiIiIiIiIi2v8WnWsVokBxbG3DC3L5/Pvff2TaXdGV0DbIeXRoiNkU0wYh - 4b5vnv361+cnHzqscjxomRcDVmo0DVQAuACiDoMDbnABHIuUW4UCUIGIZVvMU3AFCiCAAe7YTfPe - nbbhey/J8hYTYDgYtPN5bts6+QCp2zh//ksz3H//0Ze8CMePImfAVqLUKZ0+ed+9v/WhcuW1N/7n - /xlWx8ELkIcKU516HknEolB2mSwsgCkU6LPD0V9ctPhcbJHYJQW0AmTLUbFoDxyAYXX9a37k5Be+ - HIdAmfZbfvS6a7YunIP7EyrAdQE0uuUI5MmsfeDB6sgJlAwrVaivrANKm6JCBY5RHQ2PnBZzwPTv - 9KL/9M5QBUATYjxT8kpKVVVjPkGVLr/luadOnm5nbQj9UeLh0QbABTuh6cVdBMFFoIBNt7fbUw9V - a2tiQGdrIpM2wztxB1Cl6qnf4ydOloerI4gWwGEO9f5gBiCLz4sBDhcIgFzMPFfD+mVvewtae+iD - vxXXz+l0GqWMqpBWR7j1eWvHLpt/7i/L5vrW9nYsqEVUXJcf3EcEzy+OZhO4AIupRgi+u407K9En - qYulAislAZqkO3du/fzp5mvDcHjt+JVX4cgajh7HaDVJSMVhGZ3DW3heHgn9fjlQYIaN9fmpU9PT - Z319K82a1NohMweiQAIAFAhCyCFOY7r2xd+Ly09gvIquQV3PJ00exvm4PlvV3/Ozr8PqEDFKGgXX - tvFKBQqIZSCj/1Wg6eB/uIiIiIiIiIiIiIiIiIiIaP9ahHbv7RKvgO0meu9U1wSIQ/oT9HsCvw/Q - 0uTi6pm+yMgBqCyWpoBgGVbtgPRFAwrs1EUAsCKPOJ3vi1KF/TM+JvBFNrkt9lF2CyqwfNMVgO80 - +TN17NSB2OLBfVa3Lh7rfTfd5dgQPVnuBzW8m9HdREREREREREREREQHgC/PRdR1PZvNVHVzc/Py - yy//+Mc++brXvQ6AFaiqu7svz4M9EWZWVVXbtiGEpmlSSl3XqWoppZQSQgDQL4FFOjgRERHRwSWA - FqvczUyCejRRw3yKdo71C3/02h+/5eHzVzazVS8CjwKRvrcT1AEXuAIq6BtElWWkN1RgDqg51NTC - s6YeSWHqZjslXK4OFMBgwbXv5eMCU3cvwQCxScbKyqDdng8EBsSqMmsHHuvOxlvTb7z4+x+8/NgP - feRf49hhpLUOKUL5HZSIaD/b898QJtDlPwnF1Ezg4H8lEBEREREREREREREREREREREREREdSMUR - BfM8HbojA9vthQ986Jb5fKCwPIvDZG2L6XlIhdMb0y98fv3sqZWo41ijtZwRNQUppelS3wzWILob - JCxAUgXgbu6L/sFmLuKL3rm+6J+722gWoY8XXtwK7+cp9DcKLm2w281LFVIV0eSusy4GkWmXJ9PT - /+ZPL7vjDtxxK3KnLdBMLxfRWXPmrm/e/Zsfeu7b34gQUCMaSh1asxIWydwAIH3G+EWvtacXsmGn - Ga4v779sIqyL+HFFUIdkFEUIx4/P6pVphneT8SBBZnrTdfEr3+wmWxGG77hhrkEzXEIQLyPFxj33 - nrj5NoQEuM8uhLUVzKdheKhtM9KgciAboh7ohGG95LoAgEOLIFbp+W97+7n3v3+0uZVn22l0GIeP - 1asrZXtaIEEgEFF1ywBkeVD1dtK7+3RzdxeRKBKAC/fee/lzbkA1QtdGVWxNkFuUppkjjld0XwU2 - yzI83nWnv7MqyiOGDYKymAokCgnNNKYKoYYDowFqufKNr//q7/z26mS97uYphma6WcHlxOHBK38I - d31565v3tNNGRFMpYjlAgLLn2RcHsCmKwATSz8NyCPZ+rBa37ny61ZHSwNt5AUr2Vj1nr85P46Td - PHkmKyzGOFwZrR2uDh3FygpSguriU2cFTYPptk2mXTufbGxIzqHrYslqFuGqolHUfd5BC0JdTc23 - NKxed+1lz7sNR45hUOfZXOpBkKQrw8kofGm68SNvextWVqARjZRgmrRKyzdcFLCC0AIBGGB59Oyj - A4KIiIiIiIiIiIiIiIiIiL5LiEVIH1yN/ry8y6Iax/oqGt89YR0cahD0qc924JZqZn2lgwNAgMK1 - jy1XV/QNZl0Bk52hACA75UYGLAoS+ptsb8GPAI5Q9tH4KJB1+T4ut1+XNVTwPWsXO2oC6EWlL2aP - iCjYCXoX3/tooifskuDuA5TjzehuIiIiIiIiIiIiIqIDQFUBhBC2t7cHgwEAd3zmM595/etfP5+X - waCaz9uUUs7ZXNwM0Cd09ktV27YVkVIKgK7r9t6Uc44x9su2bauq+vvePyIiIqKnmwQVh8KQGzHD - 5iba7vde/eobprMbt7au6NpV9XZuGtAU1DtNVByA7/2i5VDATKB9U5hlHZIemNKRvwd9fyJdDoss - mucAHvaMgwO26DgjqIE8n1eV5taCY7rdAhhFHUnys3IAACAASURBVOaS2q1jMohN8//92I//Rx/7 - UzhSPUQcQFjlQkS0v8gjqnIVy7JmAdyh1gY39hojIiIiIiIiIiIiIiIiIiIiIiIiIjqYFBiIBRTA - sTl974+89qd+4NVHZm1QQzTpGkRo26Ir25/7q+6bp4bz+TEgFAvQAjg8WxGIafAgBTkLiiMoVAUe - xOHu4i5QEQ8iIh4AwC+dItuXpC7ih3eCh01dBL5b1Xpx+jKA4LCSvbgKqgARD17MbC3FC3/7xe70 - yct+8GWA1CpIyTYnV64cS5uTz//Gb77gbb+Adgurq17aQdgzr9YXMyPKcojwKC++E9q9EyYNAQLg - VuoQ4TA3U3doBw8h3PLGN3zrXe+6bu1oc/6hFIsO0/jKq9t77itlFp7IfGEzUxV3DFS2z57HmbO4 - 6hoT13HyvH3Xh3/ntje/uRofajTAER6R4Hyw9EN60RUHZJl2rgLR01YG1oyPrk03p6NqeOTEZRvn - L5TOiiNAILKnVTXUcUnxcwowgzngJiqVhMnZczh/AUcUg4FCDlfVF377t+58wxvr0fHukVHizyiH - GUylD5XWfnD2ZEn3G2sQ3WnYbfAIhGrQfwRzKXFQoe2wNr71DT/3hXf/hohur5+74vDRrmmSFXiN - F73wiquu3fjyVzZPPjCWOAg6L/NadHee0aWtoAGHCwwIjzf9KrfzqDEA2T0EPTIchlzKvBHxAnhT - bNbl9Y1GHsiOAldzERERVRURmKsb3GNuEpAWA+B9y2oztBmDcehKON9mOXL86ttuww3XYTSwzS1d - GzsGXo82OtuA3PD6N16+OsKohkYYUCcVBdB1bdqdd68CBGjEI0rtiYiIiIiIiIiIiIiIiIiI/v4o - YN5f6KskzPpwZ1eFmgAwuO2rMoa/CzWY7K3rMIUBupNmvVyv6st6hUVAdR9avujXv2gm65dU+exD - dunVnQ0Wu2h9X0Z1ScWL7Ox+v1zcB8tSDXHWNdCT575I6xbBwYntBhjdTURERERERERERER0ILRt - u7KyMp1O67qOMZZS7r/vvp/5mZ85e35zvFJNtlsATdvAFZCYUu72zu9+/Dn5ZgbA3UMIAEopdV3P - 53MAItJ1XYwxxth1XVVVXdellJ6yfSUiIiJ6yhVgXlAHRBS027iwhWnz2Ve95lWz2bhrYjeTzhqg - jrHLuR7U7byJu3VIBgG8AHCoiRQJLipuyV1gyYBHtpX5ruYCE7gs9lodAg8G6bv2CAAILDjUF/cJ - glIwKzYcD/KkGdUjeJm1cwiiBjM7Ou9eenr26Tu//xUf+0Ncdgwrx1CzyoWIaL9b1jMv/glAtHmy - 8l1TuE1ERERERERERERERERERERERERE9CwjyKGbwRyb7Vfe/+GfetVrD+ccVkeYbkFEQsJ0jvNb - D3/q38XNyaBtB3VlxXNrBSUJVFNXcgvJIU3huR5ZSp6CIohI9CCwPG/Uihav3JOjKia5c+TYT5KV - S2fIKgqk7NnCizmwDO3uHxlUirlDUhXdrOtKgaWErfnWKMn0wa2NP5kcesnLcMWVKJXWIW+tHx7U - ti1ffNf77vilt6J0MQ4vavwri9RhLOfxyk6k+M72CADt4737lQqE/jEe+o64ZiLuSVAgiAEr6dS4 - PnZhYxURKxWmHa6/5vy9Jw+7hu84uVsdCYLiQeHZV0uxb35LL7/K6tDFdiyK0+vYyKiDB3jbSVgO - 6gHVz1IRFFEH4m6fYZRSoIrxqD2yqtjO5y6MVq7A9hxXXl3df7Ld3DL3PWHfF+l7PfeCqZn17ZtV - ghSM3Owb9+gPXgM4oHk+q7YMVrDo97wnTfyZZkAHB6zu07td4RfvsAPYze1WYARpZq3WUTWW3Io4 - YEgKyxhVd775rff//v82qIbnzp9Zq6zpZtVw6PO5Hj9+6BXff+iee09/8W/bra3ja0eayaZAtc/I - 7p/eoX0r7WVutwsKdodaHGHPp0kc6tCILmcHqqDoyqydBGCYqlzaoKqO7JY7E+RKg1bRkppZ6Trp - DEAAgiQVl5DUve8W7F76mWFFEevq9KTV8fDEi16MW56LlREse3E9cqjr2haybeX02vCOX3gLQkS9 - Ald3dBEOTOdbw1QNqgRzqDgUQAUMd6aVyf7v9E1ERERERERERERERERERAdUhizOy/fnpoObA3CY - qwgAFaC/gwtM+9PxO1nOB2kpDnXte5nqMo3bdOcOy7qd/hy9KwBxlT0FCcuboK4CXZbKmMDUzXTf - jY/6sqDicQsPfFHE5L4MMu+fZ/nAnSoqhWG3U+6BLRaifcAfLa9bRPZ/jDebGhMRERERERERERER - HQAppXnXAtAU2zafPnf2v/mvf/rUQxdSJZNJCyCEUNzggHjuuif3KiGEUoqqApjP5+7eZ3UPh8Om - aeq6Tikxt5uIiIj2p4vLipZNiVx31u6UcPQ9X1KAtBN0LbZn/+crX3Xr9vT6s+vHgZnn0TCaw7JZ - LimkZt6oqi2KtJav0XeCkb6jTl91tOzD499xS6TvagIEXwy7wuAXx5kbUkLXYT5rBhqtmWXYIKYO - 2YuJ+GpIcf3hF6we++wrf+SeY6v/ycf/HVYcVULsB1wvessdkIveYiIieprt/u71ZTGuGESNtblE - RERERERERERERERERERERERERPvJTp/ZZVYvLq3Px6JEX2CwAuswmX/pXR+4yuJgNgtmNp9rVHQd - urz95bvO3/31Q21Xtc1wMGzn0wiIQKJmlWnp2gqDw0eGxy9bu+wyjMcYr2A4gircUbyfFYt5g8kU - kwk2J3lzY35hvZlsR7PgHj0Ht2AuMJedAG3oJZMUdvbuETMKzDyoFJem6SJQRQUsd1iNADwJNs+c - O/PpT5940UtwzbXIIdYJuVv14hY//c9+/RW/8suwRqp6kT8s6AO5AQQscqMfnSxSkrFnSgu8H3w1 - QwgikOLZpR8yefmb33Tml351dbxaJmeDAVdeGY4da889WJkKLpqr4vIou+8CwJJENxPVNpdxjOsP - PnCkncWB5q4LGk5o9buvfe0//sxnYx0kBXhZvtcXbadctNHYe5/9SYECFBigfflyCKHrmlTFF/zM - Pzn5a794zdqRZn2rTkMcP+HDYd6epGwKg7v1w++7odFwNQBq6jAzcajC+zlDXbcS9cLJ+45NNz0m - WR2MrZTsmBdULYaD/tX3jKTtqa9+OodkLzUgyG5o926Ft1z0RguQ21IPKgMcCLHq48jNig4SsmF1 - 5dqf+i+xvf31D33AQgfBqPhwNEaXAeCWWy67+hrc/dX7vvSlcayTlcpycAesfxUxQKDoo65hfmmt - eR9/bQD6jtswy0gBEJRsKlhJlcGbrhWBF+ufYSACwMzyvCkCqCRI1KD9e+rF3QVi8P43jqmaSlG0 - gnnSK267EzfegtEKRGGGmLJKI2iSNrHSq66647/4SYzGiHU/RrKYYIbxYBwA77KE1A/sIqe87Pyu - MLa6JiIiIiIiIiIiIiIiIiKip4xddN5fIA6HKWCuwKLURX1RJ9RXmxzQJR6tTAh7KoUcO2VFpstS - DROo795HHQYV6LLwZlFkomZFn/l93FnubC0ee8cvPg4AVwjg6rIIa995nketMoLYo1RZEX23Y3Q3 - ERHRPuJ+6bdUEXnEOiIiIiIiIiL67tCflevTH3cnzJdS6rruug47QdoSzUyT5mKxSl22ra3JP/5v - X/fNe04C6FrfeSAAwL/9uTQRcfd+2edw96/S39pfdvc+tDulVFVVf1Nd1/0F5nYTERHRPuTY0/YI - Bi99JyMAcLUMRLigs5w0BocXr3QTto0L83/zAz/8ws3p6vqFEyvDdjLxhEnOlWmEAsjeWYSJpbL8 - 1ra3JY1D4eqlL8kSAHg25nb3nXF2RmZvQ6hF05lLvqP2vW86DARwdy8CJMBLtyhk8ew5DyDtZP3G - Dodz/uhLXvLqT3wCR1exdsgRSkYMFRxWXIP0zXNMlz2vDIGdbYiInhaLqttlEzcHRHR5g8E0mojb - oj8h6x+IiIiIiIiIiIiIiIiIiIiIiIiIiJ5RDhSYwQJcIQKFLYs8FYtwWwkOzLt2kFSaCWbTr7zr - vZcVjHObpJVRku05PGFj4/wnP53Pnb8iJWtnEUBpIuBA47AY5PDq4edch6uvwtoaRFEP0WZUFbJb - 02qqUAfkDFXkjKMruP4qbE/jaLSSy8r6Zn7oofb02clDp3SydaRO6NrOzBwtMKySCtB27oDAfZk8 - LTAosJjisQjYFbi7wrVvcZsNQAAswwAJMq7i9mT9wl9+6sjZG/G82+GHkASx0+mZ56fD977jfdf/ - /JtxqEaEiXtEwSJwPAJ53sVBglwSyryY0iBAWK4S7CZ/o89SNrgaBP0EFlQDtFbGq2XrvIQKKjA7 - fvON586fKirBVGAiMIcDLovX2Ntmt29A7JZF0OUQtUbJZbKOe7+J5z534IZRPbP2H/zH/wDddrRD - sIIYMhSL+TgwmAMGpH7qsy822EV3Ioj3V9fevq90/3aIlb7XtKsKMtCFmMSR6g0bHnVLo4gyR4qj - W5934fQnR1X0uRezlOqcO1Xr07vVUUR2mlYHR3C4qUAsl6SQ3CIUP/OQXHEFAurGjpl/4zfed9Ov - /3epiEjtjsZLjAEosf90dRmp2t1sX2z87uynp4ZC0+ICAF28eXsPV9m9sNiOKvjOcer9Q1VDLJ5D - jLCMEBHGN7/lLZ/6H393FfGGyZbmXERkOPRiIR3CC19w3c23bP/N37SnT8tsWgfJ3SxANIYu5xQU - 2frnTQFQhXnfDVokwEUg4uLev74B2cxN+l9Y0lkLAAEqgPU9x2HuAqhIQhAXFASIiMtioA2L6fcS - NE4se6qaFDYtX3n7rYef+1yMx8gGA8wRIxA6DduDdCbIHW99M6oKqUI/ud4XH5XlqCoAidXOWPZN - z/d8VDi7iYiIiIiIiIiIiIiIiOgAE4EDIQQRgbuImJmIsJUW7R8G6N5KjkUnUFveauooi6qaRX0F - DubSZFFsYBdXruztdBp2L9uiUAdmF48PYHtu3R2u/bCPe5e4ZE8ftV5n7+8i2bm7XfI8i3vKIsFd - F7cq2wLSk2ZmInCH9dV5In2qxX6rLHskRncTEREREREREREREe0jfZy2u8cYAYgIAFdpmibVg1Iw - mzVvfNObvvD5L2ORtL33/Nbj50S6+3g8nkwmO2v63G5Vdfe2bfsigKZp+g0gIiIiOlhsp6tR34gI - 8AJNyAIASWM3m4Q41m6Odhub6x95xY8+b5Ivn85HVbW1PRlGuACuFxV8fNvaj74AKTzri44uDed+ - YjfZI+65WFNZqeYYZhtn/8QP/NAP/cVHEURkEOtx3x1MomR4hEEkQMzRNHkw4FdZIqKnTf8bW5dX - LPhF0d3BVFmbS0RERERERERERERERERERERERES0b+xUf0qfZgvsBPqWzkKMuetClYYKzOfo/O53 - f/Aa16F6N9uSpJjP4QX3n7r/Yx+/sl4x9zCbVpoa6xp4roOF6vAVV8pzrsWxY1gdWzvT8VrTZjeR - VFlXqhDD4cNo29zOowa0c4wHqCo48spKtpJSDJcfj8eOxs2t0WyKhx7avPsrXdcM6xhDWAlptjXN - sFpQBCFABaVb1LMuEqwNumxE6wI82tQGFXF3AMGtLihljvvuQdfi+34QbvU4QaWaTZvN5msf/p1b - 3vTzGFeaYlPM4CEEuAOIg/TtR1suvuLLrOad9Xu2S+GyXVWDoEPTWgNWR3LVlTIcNhuzoSrM4IBC - BdlgAnW4XJTe3S9VRUowQxAMQ2hOPVjf+BysjJEtVlXpZnBHO0MVO5hDdWd8ZJHkXoAo/dycxbbu - PVL2j93xdKDfk74rtcMFUYPDpJTb/+kvnXrXO49IBy8QxdGj8dBqmc0NTR0H826WNOx9WnGoXDJn - WwC4GARqiOZnT9534tqrcf6sxEGQwZXVKmZTHSSYSdAkfQC2LLYx7M52ws4wPvXtagUIe9OjH/Xl - 5KK/d7fQd684AIkO86hqhhRQrfzAT/8M1O971ztK2S7FR6PKuxw6q6uEtfHKD74CF853X7nr4fvu - HaRKum5gHjW0uURBDILiucCLBUCj5mzw4sstUEQVFQ0w80WMPPoWvwD67tEq0D6OXALczc3dQohw - dRSYGVz6UHCVzhFHw7PTaTx0aEtw2Y3POfa8WzGsXawIREIY1AiDprNJChup+mYzf80/+zWkgLpC - SMU87DlGLj5cHnFxv31OiIiIiIiIiIiIiIiIiIjou9djtKRfpnfvPam9DxKpn3SO9SN355Lmdo84 - V/9Yvfofs4f/ftjTx+zd+vid/C7q6XppbveeZT+G7KBLfxfLEp6DRx//LkRERERERERERERE9DTq - c7vdvW1y7iznDKCqBiIym83e/va3/8Vf/AWAEILZ42d1X0JVJ5NJCAFA13VVVYUQVNXM+rMd/XPW - da2q/UsTERER7X8CRO/7nuQCgwSgRokoKslmue0AAMExCBHzs1g/8+ff+2N33fFjLzifL9tuQju1 - dj4SxIhUkAxh+T1LTVNBKtCDWhny3SAaxq1etdF86tWvxkOnMGnQOpoOEZtoZuiyGGBlNlPHsIrG - r7FERERERERERERERERERERERERERERERI9BoeKCIrAMWFFkRQaQogtCFbpmG6XDVvuNd3346EYO - m60Uy1KQHJ79b7909pOfvEzD9sY5s2wxdBonoTqdkj/31iOv/XF59Wtw7c04dBnqI7pyBWZa2SB1 - sR4fHerAm4LZPM9nKo4U2pWVXA+tdRSBahWT5ox23uUJjg1x3WW47TlrP/HaYz/86o3VY5tebW3N - aoRKY4bkiMbRdggKANbvkyAHK7KIsv42fNlINEZRwWR7tnHft/Jf/QUsa1O8iFXh2NHxlZtbn3rr - W2Fttq4WHUoIbkEdIiXnJxTTK4vo7eWVnZbCrhCgqm7+2Z9uj64hJHSGJEA7vuJYEwGVRVtdhyjc - d+e5PHI31REFjk6ASsOFh05hcx1bG1DPbVdnfOLdv4muwBEgoc8hdsARoAEKqEGhClWIQlSAsIzH - 3m/69G7IIihblh1WE5ZNiZNgoBuVZii0gjgOjY9eddWsmEqCuAEQsz0p7+oQ9+AQ3+ll7Njzdyyy - df+D2NjCaIg6AbJ1+txf/YvfxbyFogM8m+YSAEC9Lf173mei20XPt1/7wT7aG22AqCIqgsAyVkeo - quve8vYHDh3bGK5uN1a51kHhHQaKgZejg/TK77viH/3E6ovumB9bs7XVDStaJRO02Q2IUVKCA/Ns - MSBGpAqpQkoIms3brswhRdwDEBzBUQG1IAmCAwYzFEMupZiZIAeflm6OppXcqhW4A1ApMU5jOOWG - m64/9H0vuvYf/cP6Jd+L0RoQJQ41S4gjlLCZ5fRoeP9lR274tbe+5r2/jpUaxYCArgSVAutQ9t8n - gIiIiIiIiIiIiIiIiIiIiIjoaXVAw7vjM70BRERERERERERERES0q+s6VRWRUkpKKcbYdZ2EAGA6 - bd797vf+4R9+BI7BoJrPW5G98+mfQIx330qgruumafo1McZ+YrKZiYiZhRBi5HkEIiIiOiAcAASm - QAEyNCik77tkpUqxAN5OYYLtDTRb/+/3v+rO092VTRa0VXSLkotXFdoGCYD3fZO0b4EUnsD3LHpK - BIO2s2Oo5KHJX77qNfccueo//ZM/x7BGkUEIGd6081gNQp1gDpUn0vaKiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiOhZJCzigiNgQIF0Cu0QDKrAJJcxugrAZH73ez54ZGt2PFaicbZ+dmWUMGu2/vqv8z33 - D6Yzjbo2SJN510G7IPH45Te86A4cWsFgBSGYqpnHUM9m82n2w5cd3ZxP29m8DTq+4vL5fGY5HTq0 - uj6ZtKkGMKzFmvnKcFjms2FdJzfRqvMyn2ytjsdwgePKn7gRd9114ct3daWTpoFLUC0ouSDqztSS - ReiwCYJ/u/Rud1fADS4WVSW6mZUubzxw77GvruLmm4crg0bbtmnGqG87tIrtSVxdBTrEFEI/UbeE - Jz4Pd5HhvMzt1uU2eutihvHga5PN50uo1ayZ6PHDg2uv3HrgvjwvCaICg8OggDhcFtHfe/OfRWDm - ITiKwSPMSzvHgw/hJXdiUNeND12uijUmDeqkCkhYxl8DgCqwDJkOuhvhvDuQjieUVv40cCzfeN/d - PDVUCofIoIbn5vC4O9Wh6xAAIF1zdfe1b2qEd+1AYO4uKEBYTCly3RPVLQBge4c5OFaL4/4HcWgV - ocbMjx8+vOWCLmNoIhqDwgEzCKACWQzpgfBYb28BCiwBkiJCgAEZGNW3v+lNMPn6Bz8g8/mwaQaD - wXw20UqHJ461m1tpEMOLnn/5NVfgwYfT2XMbJx+MsRY3taLuEZISBhq8ze6wPiC8j6qPCALrAOw5 - 6nxxSKrCbfeqCQAV8bp2KArQOUqBi0pMXg2O3HjD4euvx5E1iDRtV68ewvYMcVRyI/XK1HUrhcnq - 6o1vfP21g4RBhZSAgJUaTUZSwEsfDU9ERERERERERERERERERERE9Cxmtig1EzlgGd6M3CAiIiIi - IiIiIiIieqa5AoAYgBijiHRtMfM0SGaeswUNAD70oQ///u//H6qwgrZtAfhuz4DvNE/SzKqqats2 - hNA0TUqpDwvPOfdnO/rUcIZ2ExER0QEjBitwiAZAM9ACISICAQlezOZJDFtb2Fj/2Mtf9nJUa95W - wbN027kbjYEWswbDBO92n7WvAGFXlWecoKzEYMGlxOGF7vDG/f/PHbf/wy9+HpUk6+rxGKm2NmtV - m5llxBSe6U0mIiIiIiIiIiIiIiIiIiIiIiIiIiIiItp3xBGgAP5/9u40WLKsuhf7f6299zknh3tv - jT3T3TR0M6kZDAhhMQhLlv3F74Md4S8OSw4/RyAkNDEIBEJ6SI9BTI2wPjw5nm29cDzbEZYsh0fJ - gYXQE0IS6IFoMXQzN01P1TXdITPPOXuv5Q8nM++t6uqGYqpb9P8XGVl582aes8+QWRk391r/ItAA - MYNnEQRUBs1AiCH0Hc7Pv/7u9x+bt8ea1O1u12ajUY2dve7vPrH42tc2kmjStjMrlppqV+PR258e - 7/wRVAlNDesRSmktVPUit/M6yLXXfXr7bHXT9Xf+zH+O6QjtAqPpkLK8UQpSgDush8lH3/HuE9Pp - 2KyazY52pTHfGB3Pi53YJEzHcMdTbzh68/Fzn/qHcN+jE9d524aINK3ns7YWqEMN9u0F7IrI8KhS - vFiBQIFKIe3i0c9/9ohpfM6zm8pxZCOfOjMt6Wu/9/u3/urrMBoqekWC9n2XKrkwOPvbsG5XKoYD - 2eISBLGG5x//rbd+822/s1XV893zEzVcf0KPbLUPnE5QCNyyGXQVUX7J3qcOQIoAEPHsm5rOfuP+ - o8982uz8ufG1t21I3Du3DQdyRkzrRORl9rlAZBnK7ECU/V/BDQDkMrf3+0zWQeMC2H7GszjQw5JA - IyQ/9xd+7tRv3wUTl7n0HY4eHR0/1j/4cECJQXM2iwAgMqR3GwCsot9dIL4sAwcA12jY6P3Uvfee - fM4dKAX1KJiXBx5CMbQLq0dAgAF9QZ0kVqUUD8tS8ID9w3b4YtBX274+q2V55QCgDu+QpViABAfG - NQQYJRR7+ptfh0X3mfffdR10jBSts50+mEg9hve49iSOHYmdHT8/w4OPnL7//tm5c3WxkSB3Pfpu - JEHFRFwEUJigONxQQRTqgIu4eIEP4+iyBWgUVQkA1L2YiOeSSxZ0ETauw9axyXXXVzfchKPHESIA - tC3G0xqdP3pWNqf9zg62Tpyvq4cbfc6v/iImNaoKCNCEHLxY1/f1tCmAoSjQdotUjX/wB4WIiIiI - iIiIiIiIiIiIiIiI6JDwS+V1i8jhj/Fm8AYRERERERERERER0SEiIqrqXlS16zp3r6qqL/6v/6f/ - 8Q/+4L+LETljNKrn8zaEVEq53OUPix3yuQH0/TKa0sxEpG3buq6H3O6u66qq+t5uHREREdH3kQMC - h64a4WD4qNTN56OUUpexfeaP/v2fuvHhR+7YmW92OwHawbJgNMb5PRxpUFWa92zdvQgwE+ihn/nx - JJFzafM8IhwTHNcyTvK/v+Jl/+TP/z/dPIK9FnWjMfbFYozS5ys9WCIiIiIiIiIiIiIiIiIiIiIi - IiIiIiKiw2pZf4ECjboMZg5iBVAglB5d+fxvv+s2s7rSvfOnJhsTnDuHzuwfPnv2S1+8Jo0X870s - kCr04mUyOfm85+PmmwAgpcViESeTvdz5uOpCtS3+0Hzxsl9+07GqQhTUDTRiY9NdsnkKccjBdmTB - GDm/8rf+GfqC0qPPX3n/XZslb4TQ517bdlxVKD2uOYrF/MgrXo5PfW73C19MrrlbbO+2R8YJi14c - AMIqatqfMBXZ3UUVgLq7wxyqiC4xhX5v9ujnP3fd1iauO2Z72/HIZjs7f6T1f3j725/3jt9BFSFa - ui7EISwal53ejXUO9H6aMxQQuCYJ2o3Gi53dyeYGFjOMm9E1J7qHz7nlob2pO1RXSduXWnYBIkyH - jYSNUn3q7Flsnx/fdFN/9kypJkea0Rff9/7b/9mvoQSo7Y/f99OkhzxsWQ7ucULCD4dLH+ceSPDi - c8nNeCoy942tvd2HmyYEDYjh6C23nn7goTEUZhc/dz/OXE0EUhSAQAC4AhIcmnNTMu6/D7fchlLK - fPfGoxuffv8Hnv+bv5HgaBdIDWJEMURVDf1q1+pFaznkVudDzr3GJBAgaYTCzCCKHoaYUjSJAXX9 - 3Le+Fbvzz3/ogyetsnNnt5ppyV3X95NpBalEe2iNoyeOP/PZx3d38chD84dPtWfP+GLWtp26QbII - RMTFzBwCTykgADCBoWDV/Fc0FaA1MXgGEFRT1NCMN0f15nRy4gSOH8PGBqoaGiCai2tKOopukMm0 - q9Os9NVNNz5U5Gm/9MsnNhNGESksoAkJyTMpYgAAIABJREFUxYOIhFCnAGDRdqmuHNYwt5uIiIiI - iIiIiIiIiIiIiIiIntwuiu6+ZJL34cTobiIiIiIiIiIiIiKiK+IxhdyuALo2p5QQYkyp70pVV7PZ - 7MMf/vDb3/4OOHKPEGQ+bwGUUkTkEt9JyBMVwJsZAHcPIQwLqet6sVgA2NnZ2djY6PteVUMIzO0m - IiKiq4wCIj1gQDpwdxjV2J3h9Pk/f8WrnnX2oetLtyHugFQOR+iBDkdHmM8RYUGXn9KG9kxy1Uz/ - +CHnggJMRgkS+705Cq5t4533fe2vfuwlL/vLj+PocfQdNsdB1QAJgGROiSEiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiupgsg3ijowhahCCIDhStgqHN6Mqn3/d71y4WdRUXO+cnWw22H0FTbX/kbxZfe+Bk - s2mLuQPjydHT3Xx8/TWjF7wAx44gG1KEazXZOu3IR7fun++9+C2vv2aUnp4SYrS212aCDFiECAAL - 2AN6SAWJqBJgxcOoRuhhFSZ+2++8Bd3iH+76r0/q9Pi5gFmHUVpsbzdNhWj40edPrzl+/hN/j9yf - GFXzvVkt6hemIYs/UXq3A0Nms4hEwOACcZHdRZ6mWBaLR//6Yyd+8pV643Uopa6qupQUx5/60Ide - 8Cu/iHqsdSPIyAXx8nO7DwxhrRQgoEdsUr0dq6ko2rZRgdn4phvKVx7A+Zl5VgkZxQEViC9zoIct - XVfBqAAGVZi5IkrJtfjuvV+abmylzZOhSOi7xjP6HlUCUARB9+ue9bEl0OuRHrK0abnkaNccXd/F - Ud1hXlv+xnz21GZUyx4kIjiuvy5OJz7b7bNFRe8AVN2wX1WkWO5jh9hyb/t6Hxi6dueBhzZuvAVN - 1L7IzvkjYRM7MzlaIQmCwRVdhuo6rzsc2IUO2DKx/RBZvojEDpyeCkcdkwE94HB3iGixEkQUFkQA - 9RCLW0yK0ehZv/kWzOZ3f+hD7Wx2LKRGm37WBlWgDpXCDF5wZIojTxs9/ZZR3yP3ePRR39ubnT07 - 39nOswVy1uKu3or6KrJeXEUkiLiKu0gM0jRh0lTT6fjIph7dxGSKeooQEBQqgEMcboDFGBDCos9d - SmfM+2PH7+/3XvW6X3ja9ChShMJK74gJqnAJYl2rLkBCxiRVfYZEZZ0ZERERERERERERERERERER - EdFVin2KiYiIiIiIiIiIiIgOkZQSADMzs5xzCOETn/jEL/3S6x1DLwKU4lVVdV2XUur7/jtbSwih - lKKqABaLhbuLyMbGRs55GEDOWVWHBxARERFdDXRoVBOAcLBtTcmYz3F+7y9+/BW3PPLIrbXYLKeA - ErDb+dZmNe87dfgCo4hS4AJ3mEId4q5+Ua8ZumJSDPNZH9BXAVagi/kt7s2583/6ylf9h3/1cWwd - Re8iLpVCxK2IDv2LiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoEhzIiAUaYXBH1yIbdhYnzu1cP9nA - 7GyUgr1twGYf//jsoQc3U9ybb2/Ece3p3O7e+OYbRy98IY5MPBeZbrno2XbRim5PN5/x6ldfvzlC - cjSphNRBdTSO0BAVBhRIRAAKkCABGIKzQ12VIb3b4G4IUZI8742vx6y997ffdaJOlViaHus9q3eh - FNx47Vb1Y/PP/OPpb3xzK9XIGYDDsSoq8SGn/HGqQlSkuDsQ3EVEhkRi981UtX2XFOMu73zikxuT - VyApxhH9ogLG54C2IBmKIAAxfCe7/lJZzSGiB3poE+vn/eqv3v/ud012u2Uy9TXX6nSjn/Xa9UFV - vKxLJoa47iFqWh0mEBHAzaARZggaFnnejOuz3/jm9HkvQN+7S9K4Gep/8653v/y33oaqLqJB9kcV - AFtFOF9QmCGHLGV6ZX+QcmCQAXCMm7r13iRgtPHCN//a/b/7/tFsFh2IEeNRffzoYrGrQAoIWQGB - q4uZrBerJoAgOIB1OLoCBoH3ZfebD2zc2cL2ZDRu3CZdvvd//qM7fuY/w+aoK20VagTFgd02hH8P - Q33cxPErbZXevfphea8DnkSHgneHh5gEHoBiRTQatEQ1QIPGKBC98w1vwN7s83d9cFPCZj3q92aT - KlgpqgYIEFRcXFAFWML0FjGbmE0M6A1dRtui79HNAYcNB0CgASFAFaMJqogYkRRBoQ4N0FBQFQ/B - Tb0IDALTUERR14/szqoTJ8+GeMcvvhaj+vYUUdVdSAUASqV1gAHW5z7FqFWAOeBDeX8KWPSI6Qoc - DiIiIiIiIiIiIiIiIiIiIiKiw8P9am3TzOhuIiKiw0VVzbII4AghmJkcyqnqRERERERERPRdijEu - FouUUoyxlAKglGJmrqFpGtudm2Iymf7N3/zNz/3ca4anrL+M6LoOQN+3l1706mGy+rOCu8cYc87r - O4c1mtnwDcf6kTHGi24QERERXS0cKoZgBs8WYlENMFkscGr7oy9/+a1nT1+DLrQoQBaIYOKwna4W - oACAFZjAABcVlyG3W2DwZQMjuVpnhvyQsOxJoYD5ssWPAEcW+blnH/3wS17wU//2M8BEJg0cMIUK - XA9nQygiIiIiIiIiIiIiIiIiIiIiIiIiIiIioivGl8G8WTB3VxGHAgrL6DPmi1MfuOu6rjdrNWgs - huL41OdmX/paLTGbKUIr6NyOPPVW/NiLMGogIUtJns67nz+y8VBVvfTX3oRUQ4EAQINrs57eL0Aw - KACL8AhgnV/sAaZBdRUZrYAiBkhB0Dve89tY5M+984PXtH2T52Mo0EEDrj8xGj8fVdz96gMTiVGl - LW1VJbGScwmV9r2pQC9VEuLueuD2MDq49n1Xp3rRtxq9P3Wq/O3fh5e9DJ1BXaMeb7svfuCDt7/p - DTKq4aHAgugllv6trYObFcNABLlHqJB7iynNmma6tzOWWEoOMU1uv+2RU48eTXXfz6CqQ9K4QBwu - sFV0tzpsCC8XmAHQ4qZBS99vaMTX7sezNzRVgCUvWwYUQ24txd5z0gAXOGQZe708YvvHTlahzofJ - BeMRBVAABSQ7kuTeqyoVOBAgONukjV0Z1VP0GWibZ97+yIPfmCpQlifJEPXuj5/4juVv3ARNJTvn - ZvjmQ7htCuTguen7/uv3wxSeEaMDogJb7j0ZqpPMoMO4L3liXkm+Oi91vWMFcINAoGF132pXQSDw - GDQ6EICwfBEBHpEUpthqnvW238Ri/o7/4Kf/05/86Wx5S3rpW1UVkUXfuVtVVVFrzybFkA0pIgAh - Y3oU4pAMsdUKDxhO+hBgBTEhhtJ2ITZovYrRSif1GO3CNVjUM1bOuZ85uvnSN/7KyRgxmiIkiOZV - TnlCCMDwSkxhGdDtOqxSho2q0yVGQUREREREREREREREREQ/xNwBWTbudndVEcjVm1dKRET0PVFK - UYUVHPwv0f0Qziy7GIM3iIiIiIiIiIiIiIiugNlstrGx0bbtfD4XEVUNIYxGo1nbzWaz0Wjc9/0n - P/nJV7/61fN5H4Lkcnnfyg9Z3VVVDTnfOWcRCSGUUoYv+Eej0Xw+B1BKCSF8P7aRiIiI6AfMzBUG - iCo0t9jeQdt/7FWvun37/LXqXd+5igMC7XuLCoOqL3vpGGBDCyEXxSq3e+i284QNd+gHYOgEBizn - 4Qxh6nBNZte2rVXVH7/8x/6Tv/prBAeA0aR0faiqKzRYIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLD - bSiukGXUbl+Q3NF297zrnTfttFEke1bLaMb4/BfOfuGrWxbbkiVEj2HX+qO33ow7nw3PgBWPZby5 - m6pH1J7xxjc8dVSjqRDjMiMcgEFkP/gZQxDu8FtfJfIus6sNDoi6wAAFMjQHxDCNKLD22W/+9c9+ - 4IMbnZY2bNkIi12rA6bj0Y88Z7G3mD1wSiVAY9t1FTQGnXcGvex45IRY+k4CRFEVdI+eHt3zJTzn - mUhh0c6mYYS2/eRdd73oda9DUyGmy+056qtHD3HbB5/dVGiBWI/Q5zte83Pb77+r7JyXWMEM15zw - 6bjszANURMwMByLJH7uJy7WImcAF6ojF9r781cmtt8qRBO/bWXvNiWvQ95DRvNvdqMYOEfPhOIlD - DyaSy3ItdtGID4/lwGHrvVELHFEEDjVB75iM7nztz599x++i72Z9N64iThyzceNlFybrjbKhoEgQ - AJNhiy9iJsgCy34khu6++6un3obSI2jt5YaQMJtjY1TUFFUMaahL0nWs9Wq8h7ZWaf9Ayyraen2W - r1O7L3zC8pEwwOAKVYfKZARzeEQKb/3oR9FnLGaP/qs/7M6dKTlH92o8CY6+5H42r2NqQpDgyCU2 - EXWNXBAUZkMiO8TgurwGUIXc9rGq3K0zh7s1dV/y6MhWW7DXWT2pF3XcK/lP//IvX/N//1/XVhFR - MW5Qxd5DL1oBxRAFimU4ODCkvytgB1PMHRA5lKc9EREREREREREREREREREREdEPlvuhnff0LTC6 - m4iIiIiIiIiIiIjoCkgplVIWi8V4PFbVUsq8a+ddOxpN+r5v++7MmbOv+fnXzhedA5eb2w0g55xS - 6rpORCaTye7urrvnnAE0TbNYLObz+fD1xpDnzfRuIiIiutqJD91R1FG8X2iXsbP4yCt/4tr7v3G8 - jnuzWQMgJnRdUC2wIuoicvBzliMA4kNuN7BqVFQEMnScuVonh/wwENjQl8gFRWGAqgHaZ9uYz57X - 7nzkxc991V9/EkePwUqomdtNRERERERERERERERERERERERERERERPQ4ssVKBdL3iAmtlWR2z3s/ - cEvxJpVuthc3xjjf4tTsgb/6+81sBWUaR7Ous0rr40f0+U/HiQ3ECllDvXna7BvRX/jWX4cKmtoj - OuQoGtaZ3NiP/jUoABXdz8FdBnoPPxjECgCgwAUi0LZdxNCgFEyb57zpV5B37n7/Xbee7Uc5imip - rToRj/7Yi/Y++neL06dHEhVmKKLBV6nDl1MPYgghF9cYSilJMN/dOX/PF7auuwbXHJ9MJ7kr1Xz3 - liZhZw8pFXXV7yzSVx0GqKy2ve9LSiFl9G2bmgal7EKn9cRLB++xdWR64w3zz9+7gYDlHoLJMlba - BADCRZvpWKUwA4DnnHf2gIJ+gbo5Op0+cG770x/44PN/49endSUwA7p+MarGcOxv04Fl2qFNm/b9 - s2iVF48gw6Y7iqgEJEHfYdQsqqZrs6dULIeoR2+5OXz9/r0z22MI4L7KcFaH6ZASPcRRr1dmgLqY - CYpjEtPpBx46ee4sTp6Ae3D3s+f+8QN3/cg/+6VqY8Ph2UpEGILAl0PF4Qw/X7tEVDkE8FWStRw4 - D2RZeyXrk0MBtQIrQQFLIXaLrg4JMWIETCcnfvWN6HoUA/D//vN/frIZTaXUo1EQ2ZnPGoEqgmZR - dP1e9KQxLYfkQ4b3MkzduxxHE4f1qjptzuxtV+Pprpf7uu1+3Mi1R5//X/3TzWaMkF7TG+oJuoKm - 6XZ2q60mKYJnVY0KZMB1WTmmKMvt0AtKycQA82WEt15qFxERERERERERERERERERERERPVlcpeHd - jO4mIiIiIiIiIiIiIroCUkp936eUUkp7e3sxxqqqVLVt2xiqR8+c+dmf/dmHHz4FoK7rtm2/g1WI - CIAQwu7urogMid1N08zncwClFABmNjzme7ltRERERFeAQdCVNpuMg0ibcX77z1784mcsFjdOGuxs - TwJCDLO2a1JaN+IBsO6WojDs53abr5rgmDCx+xBxgUFX/XwMwGhU9/PZLQFbi8X/+qMv+o///t/i - yJa5ajU+3J2MiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiuBAei5r51aKMJLcYSMJ9tztuws43NKvau - 5nDc/+GPnAxVhYicPVuM1aLWYy96HjanECuLLqfx2dw9ujF+4VveiLpGqId83bBazzKXeh2hDQQs - s36HFNx1pPeKAhaAAnN4gSnipG7QGcaNR0hdo+3ufNMbvvSODx6DVItFJQkR2NqY/Mizzv7dJ/t5 - P6pGudu1vqRaSnG9zKoQs14AhXQFUaWKurt9Ln/h8/HoS8v2eY2piZWePXfP+z/wjN98a5UiXC6r - fkFWedoOFaiudkAIDi9BQxiNgAwJD7btBGhMggSIT259yt69XzaHWAki/pgGqCZQX+1RhzgU8NUD - m1TvtjP/0pfkjqebFY/hWNPM+4KMlKRIhsaqrhwmBpgiAIL9nGZZXx0u6+0FLhifOTQALt73ohGq - OUiM+nA7H8cwGlXd7tlRleqn3PzgP95zIibPBWImcGA4Z9RhYrpe+H5etQkggkrEOwuO2Ve/Nr7u - GuQeMW6GEKYb6EqwnB0SIgxYnyOraPChoOkQ7k8cGNXyRYr9l/Dy9oGH2npLHBAddpvDCvqA0KOL - TVOgfS4xBjfAkOoaBZgvfvrt70DfoctAwWz29f/hv3/ozOmjW0fme9uApdHxGGNuHa7iy10nvjw6 - Zqgn49Pb56rJZM+KHj/57J//+aNRnxIioiIGxOi5+KixcVUMqU7qqI5MAcBNxXOexWUu+BAKrgc3 - fz+3Gxher+ttJSIiIiIiIiIiIiIiIiIiIiJ60nrsvLWrBaO7iYiIiIiIiIiIiIiugCE5O8Y4n8/N - LKW0t2hVPYZqNpu9+tWvvvferwyP7LNpSFb6b2Op+xW/KYWu6wDknNcrAjCfz1V1WDsAVQXg7iKH - s76biIiI6NviwCzP6pgqKM6dw5n2Iy960XPy7Brr9/YWDZDSOC9mFeDWz3o0KVh2wNXtokUNud0m - AKBDPxcfeshc/Ej6ARtyu11ETSEWTCE2m8826wCk+szuj9aLv3jJi3/iU5/QrSPwDOGsGCIiIiIi - IiIiIiIiIiIiIiIiIiIiIiKiC5nBPVbJATjgGbvtZ9757ltF0rSxdldLxtmz+e57j7l4O+vgdWqQ - y17Jx/6dF+LaY3BHGHnRrpmcGtd3vvn1mFSdSzKIplAAMYhClindy9ziVVmGrFOWhwfsJyJDHHAV - WBTMYQGacrbSal05rEAXtpjUjZTdp7/pFz73+//N089W1dkzSAqJeNqN4+1H27u/0nRZJRQvlYuY - y+V0CnVBdqhCiiXIQhCjTiHb991/7PqvhOc8C30v7bxSu266hb7HfIamQfi26xd8uelDiYSu7xxC - vGHIBgkIEXXzwt9824PveW+zN4e5eycnjjUnjs0efHAqou7lwFLDMlNZTWy5klUtTHAsq48dI8T5 - /Q+Of+Q5mqrdvVnQsrVVoc2IKahmz5CYYUkjfDkqXx8XQA9f2vQQgh6W8dK6qv0xDAnxogCkSugN - jpBqeP/833jrA+97n5Su0mjdQo+f2LrhhvaBh2pFcBQBgGCqMCzTuwFAXNdLFkCBZOIucJtWzfn7 - HhjfuUAdAZR21p09DVMs+jiuOi+AyvpgD0cZOsTY45DtT3lMNvXyxfqEo1zulLB8rkAjEOGOYoCj - ZEBjMEMSyPCSzz1GFaygqtFECLA5uuWNbwAMsxmaBqqYzTAaw+NyZFgFn68TxLv2RD3CYoFRAwH6 - jJgAQ6qwWCA1UkOgDgSFAW03G1WNzWfaNBCEWDlcIlbnEeAacME70pDXHaBAgSnA8G4iIiIiIiIi - IiIiIiIiIiIielJzv1rDuznxh4iIiIiIiIiIiIjoChi+WDAzd59OpzlnMxuNRjs7O6997Wvvvvtz - cIhIXddWipXyLRd4kb7vRSSEACCE0Pd9VVUxRqxSw83MzIYbzO0mIiKiHwJNbKKXOJ9j1v31y1/5 - rNnixGLW7y2ONKGK2i1mBYh1soIgQxMoU5jAL7w8Jp/bddkOilMsDg1bfXoVR6PwvszbxQb0piJP - PX/uX7/4RTh7Gn1/RcdIRERERERERERERERERERERERERERERHQoBUUKGQIYrMd89+t/8C9uFrHd - nXY2VwkIAd/85qnPf6GdnW00AbYo7TnNk9tuwNNvQzE0W22J82rykIQ73/B6iLkmCxVStFzggOkQ - f2tAAQzLBGgA60xoAD4UcazivbGMWwag8JiQBI4QNITi1nrO3jVa9Qg+nuLI9Nm//AtnFi08Io4Q - Aiqpn317uubIeSw0hiCaO4uX3yRUAyDwbFVMbujavg4hdu1Dd9+NU6dQ+tTUVT3aO/Xop973HpQM - u8x12PJqP2UaAJBLC2QEIOhs0UIDUtqNCbGGi2lAwOZTb2oFLurm6tAhCNyxvu37NReyToUWh7i0 - ua+quPPwI/jy19Dl6WQ6qqs4X9z9gd/HvMfeLMoQKQ6goJTh2bYOZj64xMPED55dQFjdVFEz63MP - AFGhAigWLYLc387muYiIjqawMj5+0qqmyH6VESDLrG6BAupwiEOKYnm3IzjcTEXFEfb28M0HoEEV - KYXk9ol3vBu9oFgQMYEMMd0wB8pq3Idzf8qwqQBWR9/WYdYHhyvLZHddPvDAP8t3gLCYtYqQS47Q - 3PVxyIAfNrtOiIA4KsUooY4Y1Tu59dEYJ076dKOMJ37iZBlNMKoxqm1c50mdx6M8HuXJKE9GPhkt - xmOMaxzb8qZuQ/LNSd9UPm4QFeMxoEN2e+kWAhPkqopA0SHnWwTQhVmGZEhZb6NfEN1ty/sUCPDh - wvoyIiIiIiIiIiIiIiIiIiIiInryGrItrkac90NEREREREREREREdGWISIyxqqqu6xaLxdGjR8+c - OfP23/ntj/6bjwMIQaDSdq3ot/PHfD3wN//lDXc3M1Udsrq7rss5l1KG1HB3HxK7hx+JiIiIrmri - GnLEOceDO3/xgpfedPaRE7G3UiYjXSyKmFcSEsTaPgnqkS4692VLHXOxA81kgCGm26EOcQWkSCyi - /Mx0SJi4i5k4xDC0BBKpIQAWfT7Z6U8vFv/Hj74Q7fxKj5SIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - 6JARmPcuMIjljNzC++rc6Wpv58h0IxnQOx49/8g/3r2ZsBUb2KISL7WdOSbVjz8XuUNzBLmelfTI - aHz7r78ZVYXR1PuSgHN7560OiEAAdBVRPCT5DqHQCoRlurILCtRggjxcADMgA1lQBGXhySu3iFiL - ayOxkVi6toIuZi00IIY/+9uP+7hBm+Eyh+HE1uj2W8PmVoaIiK2SqC/i8rgXDKNbJRHXFoKLmddB - m3av/+xnYAVdn9u8OZke7Tu0c1i+vEPgBjNA7UBuN2AxaN8vhhLhpqmhESn+L3/2p0UUDsQAM1x/ - bX1ko8gFNS4+JCIDq0qZIb17WXcsq1RvpJThWyHh1Glo6BcLtIsamPSGokgV3Lq+7ZAdQAxDMLNf - mGV8ONmBW+vAcfM8BGmbW1/6ZU31eIy6uuV5zy0KEc1dj1Dhjme08KJWFEXWGyvLEGsHgCLaq2ZF - r6uTykSgpqHkblIkf/U+dD1UtAli3dM2jmF7jnkHuAh682G5BfuviwOZ2IeP7//rF6R3G8TW5wYA - Wb6mLbiFodZn+IXrqNm0PtYYRcc4BLW+zHcAL+4G9GaoKohC1CAQbGxuDkepa1u4CRB0eSIrELF8 - a4mwCBNYU0fPXSmdKEL07GaeAcwWrQeUBFPv+kVVVcERXAPikOedXTqTghh0lBEzoiMCADIkQ1cX - ZIXpcj8oVKF6GOPWiYiIiIiIiIiIiIiIiIiIiIiuqGHazyHH6G4iIiIiIiIiIiIiou+hb/cP7yGE - nHPO2cxyzqPRZLa3eOc73v0nf/J/AhCgFF9lbGeNl/WVg+HAtxRDeneMEYC7q6qIzOfzEIKIdF03 - jORylk9ERET0g3Cws48DPjTSWbX8caDsP8CQe+ztYXv3oy/98We13bFuYXuzSjGfW52kmLuYKATq - jnZm4wh5gu42B3rrLJf/PdwugS+TwVf9Y1btkID9Tk8X3b7U58xLPPFgr6hvPYzHeeQlO09d9Nsr - SB0CV18dFAdsGJQ00tRde+yRc8/Ym2H7HGa7yHk4eXydzu7LJx1sHXRoOx0RERERERERERERERER - EREREREREREREX0LF6YrP3HcsgMSUoGb5aCCrv3Eu985yW1tXT5/VqsGe4v5Pff49p72vVvfI3de - FjFc//w7LQq2Nvt5B1Q22Sw3PwWVoq6gqikFYGOyVYbK2P38YwiWWbd24diGqgBdDqocuG/5T10F - ZMhQNmECqJvV1Qiuo/EUiIjxZ/+3P35o2swmk5zGHsfeG255yvTWG2fw4i77K3+imgs7eI8ABh3+ - zTlEqTWVUgQeu7x9//3Y3UOXo9hIpZnPP/97H0TuYRmwC0pdlgfAAHPYhUdEIRfWhAzDsJJSAwcE - IoAVhPCWD394BhQNjmii2JiMThxri6nG5WiXawuGMCRXr+57TC2MWNe1tcjpr34de/NUDFWl1k/a - vb951zvQZvRllOoKEeJ+IGB6uZ5DXnqxf6iHoGcFMNRpi2pMyYF23kEUKV77T/6jkqKLhFjBgSZN - brg2qzqWhx7L0qWDyzcXu7DWyVOo3Iu5RcXuo49iZ1es9ItZEiunz3zhD/5bhAoI2SypDEfEliHU - uhzzd8EPXD/RI747B/brBXVel1iXA277TxCYQ5cnM9At4CWMK6gjiCig0eDZigNQKaUAyDm7e13X - CinlwHpkWRYkyxPb4A6YxBhidC9BRQUxqLmNRrUt31ZKqqr94RUDQttn0aAaiq/iyA9uLQBZboxf - uPlFDia7ExERERERERERERERERERXUk2zIER7M9CcaivpkwIfDUHQj3CIy6/ZyldYU94jNSf6AIs - T4b1KbG/TAHAE4C+K76edXO1nUiM7iYiIjpcVolcACAictV9uCAiIiIiIiJ68rooeVHFVVwVQVwF - UBGBuWV4UfG2m9dNcncRCVVt0He/+3f/6I/+BKtoQcjqbwSAlfLE68Y6iXB1cfcYYwgBgJm5+2Kx - WD96NBoNN6qqAjAEexMREREdHr76WLPqx2S2zl02g3kBOqBdNjIyzLbx8H2ffMlzn7J3ump3RiEA - CCajAM+uggIvcBdzQSXwspxRdGESnrHrAAAgAElEQVRE92OZwIOX4EUuM8D7ktPRXGBQExkujgAE - IC27GCmKoihc4QITmCADotEASAB0FTYNg0uqDZ4BSGgdXsUW6IclLNe+/+nUAImxB0SkB7JD66p3 - SAy2/7WUOnTuKDH2iiIoupqTtx6/wIadt946+W67BX2bxKGw4JbMwoEDN3xqdi/uC4Wp48i8/6uX - vRSPPIi2M6CHGYrnOawAgK+aYRmGXlIGY+scIiIiIiIiIiIiIiIiIiIiIiIiIiIiIrr6HAyF9nU5 - hg0Xf8xleJIWaVTRzrHYvQEW92bBEYOjX+DLX+q+8vWtWHuBW4FqqaZp67rRDU/zauo556Q5ynYM - d/zTn/GtaYlaBI4MIBpql1Vu72PKblf1B7L6bRi6B3sAEjwJ4vCYBIRhoGFZVaIa4CpSObQT67wA - AbHGySPXv+WN96VmVm0FTLyPqJM8/am2OSlV08NFKkCHaogi6BW9LrO6h6qEoWhiqOCA7JcqiMAE - PfosWdy1eEDwtvhn74EoSttvnzqe4njRoV2gX3g3K7AW6AA4SuvLJGMUQ+lh/TpMWwHRsNpMHXYW - VLUBEiQs70gBdY267qZNGzUX6GgTZvWtt3SaiqsoxIenxqxN71EEVVgngg/nQnCoDTUrfT8CxCzl - gi9/dain6FE2Mbsu9FAHorgKtPcy7Iih7iViiGTOBciHLMJb1vtw+EEUUIEqNEgUCQJdnm+CelRB - FanBaDJ360vvEgqAIONn3pElVZJiL7UEk+LByoHMckEJXtQRVttvcIgFNxXsWQ8p+MZ9WMy1tCq2 - JTZuO/Si0EZUHFCD2FBHtNyJYpB8iZD1J7R6xS9f4HapF/j+28J3cLRWL9EDL2FVqEDXvb4PvpAB - LB8lCllFkisg0ACJqx+bBqGCJECHl3kUKCRqEEAhISRAY4xD2z0RCUH2x/PYtxMJw+EGdDjQujzu - un57icNK1mMLEYI6VcNvK5EEJCCu34uGRy6rvcJqe/ffsnR/k4mIiIiIDilfAdD3/ZUeDl1hZra+ - MZwP5Vv3MaMfThcd+lLK+vSgH2LrozycAMM1Dz0RERER0XcghGBmqjp8rl7nlRJdWa6hOMwRFEkR - HWpQBDcpDlt+GQ8AYilKDQ0XRXcXR7b9vqnucJf1BRp4uXKX5ZwFXwW0r6eBqEMMKHADTBRhuHjB - kIPgBi8ICEGiDhEJy8uB0G7B5c5XITrIzHX1viGyDPB2X3eSPrwY3U1ERERERERERERE9L2iB673 - resZVDWEMNQMD7naIYTZbKES//AP/9W//Jd/CF8VDLvCv9u/4ZdScs4ppaqq5vN5Xdff5QKJiIiI - fpCWXWiGLkLrxkFDenexddMTyR1On8b2zqd/8lVP3T1/Y3RZzLzkoUtMPlA+th817cC3Du1es2Vc - 9WUNXpbPfJzfrpvfGGCO7Ae3ebh39dxUJfNcYNmLRDFAJxMDgmjbz4eWMJLSqE5dl0NYLsegBnVI - keUlaCw5V1VClQCoYrboYpAulyACoLg7TNQn42bR5yccv5naekuLoFwqp/z7QXz/8hhDELcBmHR2 - 8+ntj7z8ldg+H2bzyh2AxIiclw2KgLLfIsgCW+cQERERERERERERERERERERERERERER0dXr8esj - LkzYBYDisOLoM4rd+6HfT3t746aCFZijbWdf/nLd5ZhdHSow1W1g845nYLIRqnGG6mj0sHVPfd0v - IcUZYg8p0GXC8SpB/KJVP3YMOHiPKERdls/bD8d97ET/VXWHiKJ3dAVNhXH9zDe/8UEv81612URx - HD9y7LabT3XzOJrOS/t4+2ZdB3FRhcJyO9Z3ig0XL6WR+PCXv4bTp9Etmo0J5l3T5s988ENYtCLo - 21kFiGG2a6Faj94AU5gCYdlDd5mLHC7eLRGI+0XKAoSIFG74hdfsxOAhtntzTDZw3XXV1tEcJRvM - 4Q4xiAQVFYP1B4a9XhkEYuqoFMVyhM8eehBtC8tV0Lr049wiF9jQo1dVtFhZRlb7eiuWYeCHzXIf - XniSPV6RiAtKAFzueP3rFnXKZmHziBfDkSNpa2ueTUQ8lyAoy9MaAMShDgHCup7FEQWWO3cL4qmO - pe/mDz2A+SKEGM1CKbU7+iI9UPbPpuCr0wBwmF9+tvY6LftATfuy6e33vDTm8V68l/dQWaWqy34S - 9nc9Wl3meR9YysGVXzyWx5we60tYvRKXi734cunlExEREREdTjlnEem6bmhvlVJy95zzlR4XXRml - lKHpmburakoJgIg4PSmFEAB0XTeEzKnqOnCOfojp8g9IEJHhv4NSyvpOIiIiIiK6LMPfWx57m+gK - cvcYNQTkjK6DA6pS3GJSjRAZ+raiGEopuTd3H/qLDjm7IggBMcJX00FENIqqLq+9mFnxUnh9Ja69 - FJQMH2bvQIJqUI0hIGiMIaUQU5CgBjczM6uqymHZEJKEUTCxzvvi7gdmIukFc4GIvit+dZ5I8UoP - gIiIiIiIiIiIiIjoh8YFJefLon0xl4tDD91dVGZ7ixjjaDT64z/+4/e+970AzO2xyd/fmZRS3/cA - +r7POYcQSilhmeVIREREdPVwgyGoumiBBTV4hCAYVLCwHrnHrP3LV/zE8YdOjepm7/zeCBIlFBSo - ipn4DyhV+ltafz6UVacZAXzVN0pNxUMoMCAHABbNITbr+iqiSvAOxUuIurO3N4EWL3UKMNOCtlso - kADJUAkmgIhDs6oDLhCY56yQtusLkBQhhHHU3PVVCiilM2Rg3KR+0ctssZFg5cCnW1/lpuOCnelA - kZAlAkjeh0PTgqkybO35Hcn//IUv+vf++mM4cY2Oxl1ZVFU9jFGBAiAgDN2oxJYte4iIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIri7rRFkBljPj9/NxDwZpY1XFUEXBwnF2Nu78SBrNts+PY4BLe8+9i929 - xlCsa+qqbbs+hM2n3Ijrr0FfSnErvo2cb7oeoUCXvSwDANchJ7oILquQ1YECGAxQhWGICz+Y6n1g - M8tyayy4QSJChQL0GSPdPjY6cQ57px+ZTBpIwe23xfu/sZjn0IrBgi0LBoJjqPi1VTtaPdBFdKhA - KRIABHd1i6saBAAxAsFC39sXv6gvfBFmXdBqovX0fItFRpVGde1tiTFUI8WyFEMFHg5uKi4zAVgV - 4+ZsFY8jxtx32zuV6NZtN5/61KMbgjgs1j2WbOIiKAbI4/fYFRE4vOycOTM+cxYb4yhi2asqfux3 - 3/Pjb/sNBC0paPYYajggyyoSOZBsfFWToQFxXaGvH3EcHY2wveMuMppMb3rKI2fOVe6WLWrMJauq - +1CVZDhwqix3r8AdQSSbVRIWVrZPnRmdOY3pBKXFqOrm23/7nve85C1vwbTuRRPiRS9JQO3g2X45 - WzE8fVXY/pjDIo+5QURERERETwIxxr7v67p29729vel0KiIxMofiSWpoNWZmQ/OxEELf90OANz0J - ubuIVFU13M45p5RCCH6VBuzQt0dESimllKqq1ondw8lwZQdGRERERERE3xNSxAugGgIkSu8mIiLe - 5h4CDQhD29MIVYciuwVARdzdHe6AQwTiEiBwADrc4+YQRIUp1JdzbHj9A72GiCQA7gI3dzd3kwKg - 7xECdD1VRKEKVd1ru3oUYiizzru2xIBUibiUbOLQ1XQXBS4OSyC6fFfv3xX5lQkRERERERERERER - 0feXiAjgPnzDNXyjoJa9rkfu/rGPffytb/2NrrMUU5/779VK+76PMeac199hDHPov1fLJyIiIvp+ - E9jQdGnZMcqh63DlUqwUjTLqWmyf/39+9CXPnC+u3TiC7XPTEKsQ2q6tQ1pOADtg3Vnp+z54h0PV - 4WJYTU7ab+okEBxotbOauuT7nbBs+LU66oRSkFsUgwBVpTVM64CgO7M8DeiBOqpnMyCk2vteXEzc - pbi7C9wBWKpS7tqgqGNcdFnFZqVsNM18sYhANa4w67pFHwJKAYanDcM2PTi3amhEpY4Ld+5hCe0e - BMOGRjm3/cwt/fAr/92f+vu7pW6q1PiqXZQgB8AQZdkjjN2IiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iOgqJKvp/XLx3RfXVKzuVwH6jNn8s//iD05ut1Xw5az68+ceuOeL14YU0AcA7iZYwE/cejNSgKCU - IqPNtkq3/Jf/BTbHgFRAGSK3TeHwCP9OZugbAIUBkOX1ehtsSMAeKkSWBbqIAoc5RNG3aCp4++Jf - /vn73/n+yahGdOzsoA7XPuNpD3z80ydT7f3CD6RZX9SCdnX/sk7iYHWEuK5GBRd4Rin9tGpO3ffN - a29/Ftx1I6Lkk+PxZ977vue+7dcAl7QBAwzF4BWAdbo2YKtdc1k7SAPq+gGza/r2/2fvXqMlya76 - wP/3PudERGbeRz27+t2tltADPUCAHkiAkCyQJV7j+TAzy8BanhkbD2+sATO8B8YCITDCsgEz/uDx - WsMa+8MsL3tZvBYChIRAMGDLIAlJtLpb/ahWd1VX1b03HxFxzt7zITKzblVXN13VVV1VXf9f94qV - lfdWZGRE5L1ZGXvv/6GYqtEm2hm+4J75xz+22RcxdwvFIWiDiw8DlS/Q7rG6y12DWPEosvfg5zbu - uFl70zBqQjqYHbMZRjEghXD2QBoAWFiFwV+vU1f3UQG8oK53tzZPnThzJASNE3St3nGnffbePJ1W - AEyGfuzsHiH7QrLPMlsNuS5eurZWNSv5/ofirbfFlNq+veXQUe86zM6g3g5htPxrYnCsz+p129RF - OOcY6HKFFzqjlrHrF7d2IiIiIiK6vg2TpkopGxsb7r5YLJqmYUTrjcnMSikppSGtebhtZjwfbkzD - oR/Cm0VkCHE3M9WL/FyCrjchhOEol1L48j8PdwgRERERXQIZgpGv27xSej4RR4RDgwHF3EUBsZLd - UFeiDmQXgxeFR0FUpNCFKBrC8mMBmIsEVTUzhYgEEVlFR0uBuZer/SxvXC4oq/ImERGBq4i4C0KQ - AvdSipt58eEb1IPnbrqwiLpGVaMryNkVOYhesIrs+VEFRFfL9furkNHdRERERERERERERESXxYXT - Ct0hIhBzdysARFVFRF3atv/4Jz/5P/+Db+s6U9E+9xfdX/3UUkp935vZUB5tZnVds1SaiIiIrheC - HNADComQYViMiSkAXxQZBVXBqZM4tfN7r3ntF+Zyk6M99UQDVE2TF7MIwHJXvArBbFXydba0Y3hH - dGXTpgXmgiJqqwTx6Ah+/oMuI8kdpgaHwobQ8uUGmkovDo+aohi0nOny9lZ1ZqdrIuqIRcZolLzN - HVBL6Ps2SRBAZHigsn68riuVojWEnJsmdYt+U2CLxWg0KvN5bvuqTvO2TxqCatv30OTQ6FmGAVEC - OMShwGr7YGqCkrzgMr6RvRwEbtZWyFtzHEkZ7WksIsbbrSIJFDkMx8QzAKheyqgjIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIqJrgO8Poj57777l/i87ogC5RbEjvW0WIHdViij9/FOfGXV96bpRTCJl1vU6 - qmVzE3fcgjpCYAi7Ls3dd2N7E1EBFUcQyJC7LQBgQLiYjZflQMzzui3OVvi7GFax1wAM6kBxqSoR - ACl5nksqqGwa/WBTtbMzdTOC9+HuFx38y4fKiZMSdGjUGDKtl50RgPj+PgIxQRG4ePSi6z3pCsAh - BpgDrtJJlaR/8HPpta92n6mU+bSfbIzhBhVIgSgCgmDVzSLr9awe+GJ2UFDU9Vt+4scf+smf2tjt - qqYCali3edux7oGHaoeJuENQDOIIKu4o6pAnj9wdNqR4EDQqpx55eGM2w3gjd53WdlgEZih9CLGb - dlXTrLbTVineerHbfo1yIASE+nXv/P7H3/Xu7tTpKkSEiIOHqkNH+71pA1jJMaSMbL7MxRZXwM5/ - rQlgFiBWLEI3Ujp9/NEjezMcOVQj7Dz26MFR88n3vvtlP/ZjoalXcd0GdRggz66TZR3X7XrOy1yW - X8TqRaXPj6NGRERERETPQN/3Q0hzjBFAznk0Gl3tjaKrRlXXo8ZyziKScw4hMKr2xhTC8hO7UgpW - UXMcRncjGF74XddVVTUsY4xmV3bezvWC+4GIiIiIngkRRtvStauYVREO5N4gUtcj9VFp+zDXZClY - Eg9qMYQUQ11jdPehu8Zho2lGdV2nlOqYUkohBNWoEFUNIUSJrhIgBlUNcH56cHW4mqsVz9lKzl3f - d13J2fqC0vWLtm9ni+nebDab703ne/PZfJ7nXuW+anOfuzy30KaqQLyU9WRawNUFcGMdCT177u4O - EVx3Ed6M7iYiIiIiIiIiIiIiuoLcfShTXt9QVUBjTJ/59Cf/7t/95q4rAEII6jGXfLkeN+cMQETM - bKidBcBSaSIiIrqerGp6sgCwAAMUDhkFFEc7x2L+0a9648tnexsF1ncHUo1S+sXMgLquc9uuhz3Z - 1ah6dIEJTMyhLhCHOpZTdVYB3stSNIcBRQAxNQAIq+omgRWgkmhWDG7Fx0394LQN26kNzWLRxUn1 - cNdj0iDGUDe785mpumAYDCUOXT1WgWyMxr5YxN6068ebTWnbSV3t7e4dnDTtdFFZP65i7nKMLsOY - ntX2DBu53CRfj6tydUBW45yurYoZE1hTR7N8pOv/45u+8hs/9KfITbNVl4ACj8MAItdh9FSBXtRo - MCIiIiIiIiIiIiIiIiIiIiIiIiIiIiKia4HvC+g9597BBWet5g6GT/2zXzy6uzsSwAOQcebME/ff - f0AjrO2sryNixKm+O/aiFyFV865r6mTN6FQIL/6mb0KMJTbBAIOEoTh/eCxT2EWOuLTlE1hv6pOy - h9d36zJ8HFAUoM/eREWsHJBUXvI93/nIe957dLyJdt6jJPWNl79i748+6mU6tEm4nE3vPmcHDp0F - Q773sH5fBaL7eoO0jqnA3VTNH7n//rte+kJp0mhUF/hGir/7M+9+y4/+CGJyEUEEEIBlSvgwA3fY - +oudfitq0bVKZ0QPj5v5fDESIIaDd9/zxIPHey8GxAB1uJtbAESXUePrM8KxHsKraA1V0EXXQQSP - HMcLXxwnG6dPn97c3vr0z//ci3/8B5HqatTsbxIRGBCvra6RZ8N9CJ5Hqk+JjEdNni/GISGGzWPH - 5o88ZJZL8UrVi4j4vl2h+09JCVKKOzyGIMVLyTGqLOZ+330ymWA8GWuIYjfB0HdoO6TGh5x7lPXB - uZRc7fVf8PXrYX+S9/IGc4eIiIiIiG5AKSV3N7MQQiklpdR1naoOSd50AxomnmGV5j7cc1W3iK6a - UkoIoW3bqqqGqXRN03Rdd7W3i664uq7btgWQUur7/mpvDhERERHR9Wr49/WwJLp2pApdNleM6lhy - yLs5WtyOR8uuH944evuxO285euvNR28+dPCmzY2tcdispqm2RjUAMDMzE3MAImE5Nh8y3AYAqBU5 - rxyJnjMuVkpny4ojh5iLu7qLIaB4cS8S4OqllK60i7J4+NQjj5565LMP3/vQ4w/szp8wWyDOe1m4 - uQsEcFEABoVnYBiGy08L6RKd91HzdfTBM6+XEBERERERERERERFdBmd78IGzTeyACBzFbShkV1Xt - rZSSH7z3/m//ru92F3fEEEtx83L+Sp/F9QZ3H9K7sa90fqifvvSVEhERET1nXGEVFEVQlrOJNCjg - KIYwX+D0qd95/WtevPP40aD9olTVqO/mSSSJmLu1bRRBXbeLRVpWOeoQnv3c1Dy6oNflUKfgJqYA - BOviswDAYeYAfAj5HjbNADVEB6Ssg7FFcu+IIfZWT+PosYNbu3Xd7vb1kZumKLsiZXPjm9//H9F3 - 2NxEVIguh+844AYDBAiKvSlQ/99v/8Z6Z2fTbYxsu2eO3XKsXcxvSmlxercuwxtIEyCit7O767xZ - QMOoKsO586quKZrQtVmBrbm/8sTp337967/2Ix9DSmGMViVDFVapAaFAfd+QIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiKi68q54d376/yfXChfDF1B34/m00N1wt4c3QLSzu6/P8wXmtGEqiuLkqHjUGKF - O+4AXOvaYtyFxxfcgUMHYQLosg1iyO0Oy+juABfYRYzu9dX/omc3eDkrdknXzQuOAEBQBADqIKVH - TGl3b3ezqTHGozHEaXdEUmoqzKe4+eB8qxqdmcYCk7P7aNUKYS4ogiI6dHaoA0OGNxCw/COkiEOl - WCkmYh66LMErHD+BO26X1lWLzGfHYoXscCvBAwAb+kGQBYBGVQgyYEC6mP4FBzJCJeHl//gHjr/7 - 3QerCqW3ttPbbk2TbS+7pW8FGsVhELhABPvPgbO3RABDHOKe+25U1zsP3L91x53QajIaJy8bncEM - e3sY170hpWGP2fKwOFSeD4HQxbMiFEhM6cXv/N4H3vXuuw4f9hNPiMb62K1549P5VA9kWB8MBSLn - dpCbrM8i9+GMMlNRuFu7aGI68dn7j77kZVgs4ii2Z06FMvnUv/gXL/m+70doSkSEAigAYAq9hE6W - 5Vkp+3K72Q9DREREREQrIqKqQ3o3gKqqrvYW0VVjZqo6RDW7+3w+P3ToEKOab1gxxpzzsAQQQiil - DD8urvam0RVUVVXbtiEEd+/7fjwet21bypNGHRIREREREdF1yAVSR/OsBbFY6GPMo2OTF9516EVv - fNtbt9PB7WariXUwRdE8NcuSNMIVIiISRSAQVQwRvA43F4e7+zAgFVBlbvdVox5rHy2rncQAc3ET - uFjxbGIikDDMtUWxPpdyePu2VxxCeEXqMPv4fX/2hx/7wAOP/9fUeB8XOcBcTQBEXFRZF9FT8Oso - rPtcjO4mIiIiIiIiIiIiIrqCRMTdhwJlVRkStRfz/J3f+d333fcAAIGU4g4PGopdtpJWMxORdR/F - sAHM7SYiIqLrhwIKN5chTFod6oA4QjG089984+u+uF1sdG59SRK6bl6FVEofRFTE3d29WyzqoNmv - ZqvYEHmtMPgwsulsoZKthjjBsZzJtOICE81qWdHVacdtr6owObCza7vN6G1/8AGIIiSowhyjGgpE - xXgMcaSUZbnmANMhvRswiG4fxF7/Lb//e8iG+QxaUFrk9j+9/W2fmy22jlWbXnw6HQcZFxu3uSqA - wAG4GNalczbUbEEQ7NyRXteSvkdVwXqMe8Q8F5z5T1/1FV//+7+PtC31+l2xsm6MiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiJ4fzslsFgx/2h+AjSG12vCRf/pPXyru05nkDnWFtj/x1/ce0hB8IV7VsYJ1 - n5+VI6+6C3WFbPVk88xiutjauOdb/gfUAToSP/dRlsHYvoq9fuYUsmq1EH1yCLGug4nXLQwKBdyg - CgkoGRsbh5A7BPuSH/yhR3/6F6xty2InhYhaj77sRWc++mdwd4GJ+bLLY8kEyxaJdeMK4MPXffVw - ri5WBBluddzpfXLs6Naxm3HkMDa2kMuoktL5AQc6Q9eHWBkgAnHAYaIOC7J8BhfLhr+VIoLOR6ON - +ZnGTTe3MJtWBw6iL9a3MEOAC8Shou5P2aqcDbFOpe2jqIqeefTxrb091BNFQJdHah/52Z9/w4/9 - BIprNfSTrHb+6rzS6z4n2kIIxc2Gk23UdAe2zpw4va0B7jh0IG4d7HZ365JLMVUJdvbprs/zQTEE - QKqq7boE01R1fduoLnZneOQ4bj+GyWa9uRXgOydPYbFA3UtMcLhogeGSGlp89ToYTuNzArwHqy3U - SzrfiIiIiIjouubuIstMHTMzsxjjcA/dsIaAJTNLKfV9PwxDu9obRVfBkNZcShnOgVJKXddt217t - 7aIrq+s6rMYPxhhnsxlWOe5XecuIiIiIiIjoctjZzeNxDLD2tB1KW695yRte99Kvufvoy2031T6O - HTB1KabQidYuksWK2TmfDrliVWgggIgoICKAirpZB/FlPQqXz+1SXUOJ4svSEhcTGabUWhIzc0ex - MlT2SERVQVEkoe5O9V7il9/2pi+++5V/9Inf+u0//Q+7fhLedwEuGGpJxFVZVELPzgU/ZxbBtf/x - M6O7iYiIri1DlcPw3iLG6O6scCAiIiIiIiK6nsj515xKKSmlbKjrejZb1HVdin/Lt3zrZz5z79BV - vWzkB/I5ud3P9NrV+pMEVR0iKofE7uGrugpYXN8gIiIiuj4IIOh7xLoAZhh1hkoRp1Ms5r/2hte+ - brE7OrWbHGFcz2dtpcGsF1kWcLgAQAKyG1yHAqTndPMdyWACdZigKGxI0YZGlb5kFyluQVREvOQq - pF76vkddYZHRCryWLNVOGp3c3PpUkG/9kw+hHmEG1BsICiuIAh3mUikQoLocgOSrWhABECB5qIbT - ogAwqTE2uKMkFIMVeP76j34UqsgZrf/rt339xm57V+7uyQ8dQQdDZ3C1qk5d26oqYNk8RO07m8TK - ctHlA/bXzlQfF4igzwgeFKgER+a7X/Low7/9xtd/7V/8eVVvAFa6jDDBUJ92UWPBiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiuMefkdgMAhgCWHh4gCmS4ANEMXb85X4zEIV3RHGLlf/6JjTbH3A/dGTAH - EBOqm44hJlgsbWlD2IkBTY1YoUD9nBjnoT/2UqKIBSa6/rv7p20N91hGCKsg7bZDHeEmAtFoBlMg - ogAqlUZBiqdCatBvSgIclnHXbf7/fSzG6onZdHvc9H2be9+oQ9eWAkSFKtyhBgXUYQ6DVbFeeNto - BRHAO8s56CLq1l233XLn7bjpZlQN6kne2YlbBzVbWOTtA1sf+/l/9kU/+cMwGzLMh4BlXz0VuchI - 8/VOGLpRMBqdcDsswHyBzRE01i99yWPHH5koqoiuQ1WH3BZ52gGoUeBtLwJ16+fzQwe2us/cW20e - COOR75wZj0e3pxFmC2yNMpIKBLbKLweG+aoX/xSuNVZKCCJwBEWdHlcciwmlh2fkrn7pS04f/1wK - qBx98RST54x9r619QfVwALtQ8goAACAASURBVF1XAYB739aAd3kiYe+Tn964+1huZzGNoukx98/+ - /M/f8+M/HurNvletkOFh6EgvHsLFzZgb0rsVWJReEaqgTx5SN9zx5NfU+atanS0i0vd9SglAKSWE - UEpR1XXC3/qrdBUNMwTatq3rehgpwOkBN6ycc4xxuBFCwHJ6PhER3dDW44YY1UxE51kPIuv7Hk+R - p0I3guUkln0nAHO7bxzDcV/HdTO3m4iIiIjoorgDghCCu5vb/qHfRFeXCaAIMpGFb8v4a175dV/1 - iq/d9Jv1iVEsY/GgcHELMHFNvRQRM3VZX2U++zGy7i+JwNnbAQAMrlxelWXRcu4hMQC+quQRhAAD - YA5FgEfpQ8xVtLryhL1c1ek1d7/5jlvv+de//n8+1j2MJqcks/k8RhGTEKI5PyKgS2dm5wV1P5vc - 7qEKYl0MY2ZXriSG0d1ERERERERERERERFdQCGH4oH+x6JqmmU0X/+Dv/8O/+qtPX671u/vQAj1c - uReRUsrQUXO5HoKIiIjoKnC4INYqQIB76cahQgbMsXPyZbOdyand7c3Y7eTFrG2quu9aVagvQ7ux - moODIcV5GHTkTzdu5rITR1gNWCrDAwsAW+SuTqErJShSigqxYn3u+wRshs93xY+MHwX2UtNi9Nbf - /MALm9Frt8fYbtqqiZsaXGGAADpkcit8VVPiy+juc/6ICNjZUO2gy3lYKQgAt5z7uLUJAG1Bkf/x - Dz+KvYLZ7ofe+mU3TU+P2rxdNbPZHhaLQ03VzzsBRpN6Z9pWMRRDlAohWO6utXefQ7GfQRUlOMYZ - Td65a1fx+ZOQgFEMsUYPFMQRytOOIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiujbJOjB7HbEs68To - IeJXMopC4hAd7QVtf1TT9ORjo1rCuMaJE2cePj4qLqUsh7tCingcTzDZQIooEa59NXrl934fYr3o - cqPnh/he8rBMAzIw5ICHIQ18tREAXKARfV+iBgnAqIIVuCMbkkpQAYqhklWicrGXfcd3nHnvL2oO - yEBTo+sPvPTFp//rX45Hddtny54C3EwEdYALzKCOYBgClIc19bmvRpO9Pu/lthqNNw4eHd96y/gF - d6COGNWoKmRHyaEewbwzhK0DJ62EzU0AAilWulw2UgVZxnUPTyrIqtXjGRNAzQFHsdd+/w+c/sn/ - A02NYqgStjero4fskan1UEXfFVlOQbXz1+AAzk5HVUhSmLlPZ4snTlSTcd47EyejOqby+EmYQNDl - voqro2y63ujnQfOFaujnszRqIO5W3vDOd57+qfdgtkB0OLC9KZubfnpeWiSB5X7VlnS2U+lpCDx6 - mZ05Nf/s/aNXvAx9hsY6l+2uxWwPVZO8zo4hE1sBucjcbgAO7y3XmlJIAvTm6hAR1fOPztOvehh6 - O+RAA0gpuXspZcgDHrrj103rzO2+6tbp6cPxWieucZ7AjSnGWEpp23Y8HpvZMG6i67qqqq72phHR - VcbfCzcmEVnnsNZ13batqu6/k4iIiIiIiIiIiIief9QxaerFXjuZb732JW/+6ld+w2R+oOk3k4/c - 1ASQAsBFxWGAuKvDxVY1PvsTdwFAfDm4dTm+1Q0CuCpgXD73SwwH6zx29oj5cg5tWJ0RqqoiChcL - 6BFlYyvdVpr0jW/+5n//oX/3uD08nZ2uakkxWOelFF5WomtBCGEoYeq6LsaoqutapiuE0d1ERERE - RERERERERJeNuOLcy1p9KWZW1yMRzObtD/zgD/7RR/9Ml9elzC8wjuDJl8SeTowx56yqZhZCmE6n - bKYiIiKi5wEXFMANSWr08xQV8ynajL3dj7z+y1483dtq5PROrqOKaO5NoIDtH4KzSs2+Orndw1MY - Hn3I8F6VNCE16LvSRCw65NIGoABa13MNTyCe3GgerJv/7o8/hGYCjBArqKJSl1xDYQAyxKEGsdUQ - LQWCQ03UhylLAFYTdhQAVKBQAwy22hKFA71ET3FmXaOVJtVcdFKhBg6Er/zYn+PUiV9/x397YGdn - I+rh3OdFPwrRzPK0S8BoNN7b3R2n1PXz5lpr4XYFKgCKTmAuMEEfMCm7H3nLW97wwY/g8Aa26mGy - V9tabC55ShgRERERERERERERERERERERERERERER0TXHVv8LEBEUFmAwQ8n3/cq/PNx1zebE+j1t - Zzj1hOzN0HUKMXgQFPXO0WwfxGQCDci+t5h1Bw8Pc2HragRbZoSvGwmiL9soCjQ89VZdUFlFd2Po - AfHlys2RBQbTFDoAMJOSVKOHftalFAx9287HdY1smPfYWXzu//q1ePKJzdx180XdJEiNUHD3bfm+ - z3S5YJETUEvo+wJAHSVDgCBQEQAGhyCNmlOzxdxaveng5i23TG6/A4cPI0Q4UFddu+jmZZxGed5W - h49OT54stxy9bz5tD2y/9p3fAQdEg4SmCgUIQFxGqq+G5wouNug8BoELxmNMZ3tmB6BtXqSoujna - uvOOM488qICtW5O1oAwPcX63sq+CyeEuGiopXZ/bU6dw373x1mOY72VNxzaP/uef/blX/5Mf3xw1 - yzW4LjdYcNHB49ck7/rUNBjaecab2NnbMduugnhBEGyOD9x+e3vm8RqOoCVbEN/fr7S+Odx59rwd - Vj58R9fO//r+0W134sC2uWjutq26973ve+E7/zG2axHUUPOcc7mESOwIVw1tP69TnS1HXSb1rqdr - y9lNOXeLz1tPjH3f13Xt7tPpdGNjQ0SGO929qiozU9UQQt/3Q4z3xW4qXUYppVKKiHRd1zSNKjuh - bnQhhPF4vM50v9Kjq+laxp/PRDREGgAQkbZtU0p931/tjSIiIiIiIiIiIiKiK0scZd6ObOvFt7z8 - za9+xyHc1fg4tyVGydJ7yEVQBOqqiuCmbkVhsqrveRIV2L4lsKwYMYcLuHzOlwbAVjEH6sNBX5YK - 6PLonD0ZXK0LvekiqENcSrAcox7ciKNX3HPg8b3d3/iT/8dT2/fzYlkhAjznA3rpecX98kwgLqUM - l7qqqgLQdd2VLn5gdDcRERERERERERER0RUUY1SNi0WnEt/znp/79ff/doxqhouN6H4qQ/8MVi00 - IQQzK+VSurWJiIiIrinmCAoYREfILXKP6d5/+PLXfGnbHgF2pt5ENKPxdG9ubkllmG6zLAZb1XBc - xdxugwJQsSG9G6sw736BoCgdAiRHnVVxGsJJDY+PNv7WBz6IavTlGyNUgoOH2haxRhEsZr7VRPSA - Z4ghrZ/Nsl7K4QZbPdfVNgCymrzjy+lOGAZJwRUOEzisrKYziAYZh67tq1EqOYSNAxiN3/H7H8Z8 - jsWZ3/mGt298/sQtGo/FUd45E4PO9najoit9rXC7lnK7AUBWY4+Wx94FBoxKufXEiQ98xRv/1l/8 - OboOCIiharR3qLB2jIiIiIiIiIiIiIiIiIiIiIiIiIiI6PnmckXZXZ5Bg0RXzFOd6L11lSaFRxis - IDvadv75z4+r0Je2gsB975FH0HY1oofsDqg70AHjAwdQN8O6m43NMw6MxggVgN3dvc3NDQCA2r70 - YhfBxec762oZhtBuWz4lVQQBoK11UYPAFBgmf6a6Qc5hMR8HYG8Pi8Ufv/d9d8poa95202mVqnoy - 8nZWmhSrBpP2yD13PPJfPrUBjGIFSEDRGD1nBxziIfYq2UrvMJW26w++6O6Dt9+Ce16AWMHc69Rl - SIb3XkabaTSa95CJnjh9prrtliPf/ve/aGuEKqAU1KN2toiTjTIM+nSgrJ7ecq7x0x6wC8m5c7OU - GkAOvOCe3c9+ZlRNuvleDdFbby7VSKQvXa4CekMe+iMu9BNLAVF1swJEM1VNxVLpp48+NLn1GEKK - aeRtmUhB1yE4UgMJgEKw/H95bK7vzGBJybqF1pWZlVBV9Wg6Hu30XV26RgH36s479j7xlx7z0JKy - P7dbHSZnlxdau0HDJOj0iTP+V5+W171Wg9i8i9Zs787+4hd+4ZU/+qPBFEmDSogpZ4vxIvanwHLf - xphGIQhgxR09oFAVyNkeome2tuFXWyllY2PD3ReLRdM06/50VV2ndzMo+loQQgDQNE3XdVVVDcOL - +f7kxmRmMcaqqoaToe/7dWgrERHdgHLOdV33fe/uItL3fdM0fd+XUq72phERERERERERERHRlaIO - 7bCNjXe88RsOV7f4bmr37MDGxmy+g7oHrCiKaAGCwd0Erg71obLgwksdbovBhzIXBdRXwzy5fI6X - Jnm4Ib6sXVkVaKkBOqR6rwK8Tcyka5ErEU0qgFmwPqqMsdO99qVvevDzn/r4gx/ZLfMQ0GWPUS5T - PALdoC5XvcpQDBNjzDkPhRBm5u7D/VcCo7uJiIiIiIiIiIiIiC6H4TKVnH/FyQx934aY/vk//6V/ - 829+ra5T1+V91xX+5itU+5vxnyylNPTP5JxVdeizZQs0ERERXe8ECI4gWOZVdwvsnvmTr37LK0+c - aKzsGcYRMYXZ7p4CTTOaL+aVAg71fW+wfDkHZz1xxve9W7vgHKLLxQRZACA6wiq3uwz3KEQrM4TR - 5iOWHxvHhw7Vf+d3fxfjI9AaXY/NDdSy6NumquEoPTZH4h0kAohQDKN1VOr9j6jLd5Z27p227y4F - NAz7QgwCBSIQ4SrI3TSkCparWoE2pFCQQkzYGKEa49jWW//0o5jN//1Xv/mWR06+9PDBcuZMEyUU - V0WXUQf4tdTBLfDhuS+frkMc6qgzNpOfbncwn2FUofEsVlAPwd5XqjaHiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiOg5p0CtlcJQutLnoGHoLLj90IG4e3rWt6MUMZ1NHz6+MYS6uQ5B0wb0GmX7IDShACH0 - Isdn0y+OCUCf+82tDaAMZfhD1nZwQIa47aFf4SI2cggKXuZ270u2LuYI0vfzUUoCUxTkXkKCBljB - yV1APv2en53kdhT9Ve555/E6po1xPd05WU+2xYL1LQQIAYcOjUap7t3Nc+4EsJyDRA0xq+667fW9 - jKqDt9wyvvVW3HU7JhP0M1SVF5eUFvOurkeakkHnsTrV22mRsjn5sh/+IahhHIEede1QQKu4UVYD - jM8+I73oRPO1GKNDuy5XVbPxrd9838+8azsvNqu6b9tqc3vjpltnDz0ckN2REroOum+M79A7s+qg - UchwyMxcFFCVWvTEvfdOXvQF2N6GSYQdHU8+/i//1cu/6x9CDTEsj4VA93fiXNozuUaIa10BmkKT - AWh42fd814Pv+8Xt3T7N+wDgwHZ16KbpY4/X3qosn6uu9qTuW/qF9kNBUWjdznfuv3/71mO4606N - CdmPqHfTKRZ7GI9QEjJQxYvK7QYAR9SIthcVaE4xuJuoONxW4erPcI1931dVBSDGCCDnPBqNAAyJ - j0OMd9/3qioiQzzwxW0qXVallAtGNYtc169GunSqmnMOIXRdJyLM7b6RcbQI7WfGjIUbUQihbdvh - 9ng8ns1mi8Xi6m4SEREREREREREREV1paropWy+/5eV3H7x7tBjDREQcvSbLYibmEl0ErkUUyMEN - gJzN5H7KpUJlmSCNZzI8n64EF3Px8+pSigwH0TAUeO0rETFxDV7EizlEVdQyxBGy1IukcetrXv2O - z933aZNOU5n3U4jrUxW+ED0D64iNZ1m34u5t2zZNE2Ncr/PK5XaD0d1ERERERERERERERJef6zrD - O6XUdfnf/rt/+773/YoAbduHkNzd7PI0QPZ9j30NVEOHbd/3KaXLsn4iIiKiqyUo4EAEuoI+/79f - 93WvePCB2/tiVZIg3bwruYxDcMNiMR/XKff9MAdH15OAzs3tHpgspx3pFU7vltV4pWU9kgOCAt0z - 06Y+Idhrqse3Drz1A7/1mu2EUePVpEtNBXR9FyF1lWAFEqoK8FWstKDtc6iiSDW8/9s/ViEADhNg - X4mbr75HDLqc+LQaGyRAgLm5iCYbtjAC1i3aajTusqcocayYjDodxUq1av7OH3wI0/nvvOXNW97c - Ctk8vdtk1JOmnS6utQE8goxVWLsAalBABIvp/FhK7//Kr/66j/wBQiPNBF6iBA6oICIiIiIiIiIi - IiIiIiIiIiIiIiIiev5hpCXdIHyYg7mvS2JI4RYUhakIYoIZnjj1wV/51S848fiWlM3JBtoZ7n8w - 7U3H9bhb7AZXE2RHUXhK2NwGAiBw3+0Wb3/XTyElR0hRF/PdpqoR5Gxbw7J1QgVPauR4WrIOAN+/ - 6QAUJeeEMEkJ7mg7iMAUiw4wFPv4L//y6MQTd4jEdh5RBI7xGHt7EN8ej/HYcWxsVe0cJx6b3n/v - 4vjxKlvpi0kIUB01fd93Me71ZW6lOXT41jtu02M3YbyBFJFSzr2MJ1YQVGW8Oc87Xo/beYeN8XHk - L/xH34fRBFVCXSFKEe+RBMHg0YMKergOg0lXSeQOlEsd/VncupxTVSEbUtzd3GhOLTaKQSNcmzvv - eeyRR7dj7HKuY7TuKZuXHS7uIjr03ZgZHNFsWyKOH8f2EVssJIYxfLS7h3mPVANwgQEFcFiEwYcD - dL02YTgAka7v69jkvsQU4MBk9IDll6faZ1NERbGNu+45fuKJCsFLEYH62Rak/WnoFxxiHCA59xHw - dvH4Jz5+9MBBbG+jCNr+aFX/xc/+9Ct/6H9DtYFUW7voVJqqvrgnUByiAOCCRStNXXJG0OWdgA2v - qXN/IDxZSkO3u4UQSikppa7rhvzXqqpUtZQyGo3m8zmA4XsuYjvpchsy1IepAsORGmKbeVxuTCKy - P503pZRzNjO+770xMaqZiIYYg7qu27adzWaqGmMcfjVc7U0jIiIiIiIiIiIioislWNUstt/8RW/3 - 3TCqR7n09TjNFrPYyDCCVEyCRLiKD5NXVVbDWu3pryv6aiH8nPnqkr9xXu7+IxQkuombZ2gAEBDN - FBYshkW6c+OeL33hG37vL9/fhWlqpHe/Xkt/6Nqwjtl+lsysaRoAOecY43NQ+cDobiIiIiIiIiIi - IiKiy2cd2r269jSftx/60Id+4if+CYCqSm3bP5Mm2HMvDgyruvClyhjj0PZcSgkhDK22zO0mIiKi - 54HSQ6xoZShTPHb82KOPHUmjyhezRZ8EtQ4FD+4ojaJt+yT7o7ifshDIsawV0yuZ260OdVvGaK8e - LpTQxmqxPTk+bj7T6H//Bx/EZIJYoa4RK1GNgBWvYwU3wKDe2yJJNZ1PJ+ONUjxoqqpYgC6jiavn - u37v6FgVmayevuv660FQAFvWwqkAYVlUByiQYulMkooijOoCjAIAFEGnLhBJG7CMAw22Fm/9L3+C - rv31t3zNi2dyZ5fzdBau4L68NAbABUUAIDjEkQwmqKvad/sv7Xd+83Vv/Nsf/xOprYZ4Z5L0oqaD - ERERERERERERERERERERERERERER0bXvco0IJLoe9X3XpCgQuKLrAMHG5kZVHzyw5adP9F2uiveP - nhj1JigJqXgWRRZ0AosVRpsoQFB4TpMtCBBCFhWgaeohj1pkGRBcBAGrpgW9uPRuwLDuhpBl0LXB - Ugylb6MqdqbQCtkxW3ziV391stiblO7m+eLA5rhbTL0S8Qq5x7RDGmNnCmnRm//RH+499mi3uzOW - qIt+s049SrbSAdrO+xSnYpMX3Hr7PS/A0aNoxhAFIgIgFksGqlCstDjTzuzY0c9Zfv+HPvy//sb7 - DyZBlSCKFE2QRUspVaiDLTOTh0xnWe8NAQI6wIEAk4sPvVbRlGJnCEFlMn7VP/reR3/6XTqbBQRY - wc236cEj5eTDCUDOQ3/HBX/wOdwdxQUiCpjDgeAu03b6wAOTu1+iWwdRUOYL7Qw5oxiGNPdl7rgB - Dl89qevTEEOOlEqPqAEtkBSj+BU//IPHf/KfHNQEN3jBbXfapz5TZidjX5adOU/xy+S8KcniCO7u - QEBCbh99HJ/6a7zq1YixRBFrb17kT7z73V/4Az+GWGtdJbnI3emAOaZTTEawjFTBECAQ9adqRH9q - IqKqQ3o3gKqqhjsBhBDcfT6fi0hVVW3bXuS66TKLMXZdB2AymUyn02Fy8WKxuNrbRVeHuw8vWxEp - pQznBvi+90bFyHbajz8HbkxmllJq21ZEUkpd161/NRARERERERERERHR81Uo9e3br7pj65WVTWZ7 - i81mspjvVFWdi0NSKKqiBtHl+NVhYH50Wc8jfUomQ7y3XXwZAl1GGku84FhdX0aqn3t0HNIheWWu - BQK4KALmwaz0fROrUzvhdS9500f/8k8fm37Ox2Jwc8jfnJNAdGGX67rksJ4ht7vv+yFZo+u6oYrp - SmB0NxERERERERERERHR5SBPvpSoAP74j/74u77ze0UQVNu2jzHmfDkvOnZdN3TTqeqQ3h1jdHe2 - 2BEREdG1yobo6OEPguXInmFAjK7vBDRBsmA6x4lTH/7Gb7htPsdsmgUjFYEUKw6PUYsjKpJe6O3Y - hSxX/iyegAuKAj4EQq/XtMyKBiC+nLyjgEGLSK+xDWkWq1N1fXxcve33P/AlWw02RggpV5MMFaAC - AoAguetjCBB0pQ8hAjYZjxyuQdwdIu6ohtxuf9L0H1/tiGHDzq1mUblQ+ZsCBjeESl3RFsQAMwQg - d21o6mEKUAEiFNUIMWGjwXz6jg9+8Dde/+Z8au9wmza6BawPvj6G5z/Oes88Z1xhWO6fYbSVONTV - CpqSN6Z7XxACHt9VrREhqV79PVudibo+MwGs9pxezwOmiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOj5 - admdgXNK3qtUmWdv21hVSBW6Hl1fnX4iz6ZulrKjt/nJJzYh6LMhqyq0WIEHSApIEW5wh4u5QwJU - exSFRhHPWUI4fzv8Qrfl/K+cW5ZvZ1shxNY1/MEdJcdimLYw/MFP/u+HEG+abBw+depw6aIYrG9P - PR7qoOawgL6gAPMW9z+w97kH9h5/ZBPelLIJlNKPRvVs3noMJYSSajTVwTvvOnDPCzCuUVVQcaho - hMMNLUTqzUV21KMyqheT5tbv+V+OVOGlP/YjgKGJiGkINTdJDqSgCvi8SB1gyG4hqrsDMiSRD09Y - gEtrZzE3EY0KA0JMCM2e4YBrMIMZxs3k2NH25MPjCrnDkw7JWQp1d0MRlyFbXIAgUhWf70wnp3fR - bEK8Vjuq1V/94vte+uM/AjGFhvUKxC4+l/3aotACBCBEwIAKlnupkojOS0A1xvwMQsRWku1Nm59a - HrGLaYdxQ4qS4XmRN5Kc/PSnD99+Jw4dDslL7g9Ay3zxyV/9Vy/79m/HwkNVIwSoQBSK4Qzx9Qkz - HOIhZd0MbigFfffhX/qlDOSQ3vo93wUvmIwdpUABhH2dRk9/oNat6EN6t5nFGEUkhLBOAk4p9X0/ - xEAyCvTq6rpOVUVkOp0O9zBP/Ua2HiuRcx5es1i9lq/2ptFzQFf9j8ulu5x3z2pJRDcKEen7HoC7 - D+/ZRERE+HuBiIiIiIiIiIiI6Lo2FCyowxRwuC4Dm4dhp6E0X/wFr0/dFgrGqe7bPtWp5Kwa4QKo - uIR968HqApKLAmZDEYhfaAmzVcGBC/+7Ov8Nh059Xfrhq0j1YQSu7a8wAUxcJSOEaJoU7l4UBnH3 - PkXtF92k2TpQHb3j8Ivaxfz4/IyMr+jJS/RMDfVLMcZ1XHcp5crldoPR3UREREREREREREREF2TL - 7MezbfDq57fEn40eFBNxwF2k67rJeLNt+2z+8Y9/4tu+7dvdBe5DYnfOednr/LQdyud+cdkMs26Y - jDEOLZR9368juod26PXti3u2RERERM+BZRByWXX6KoDggMOK5ygOiCMIFNZ775LqfobHdj78uje9 - qMxG85mao0qlG3KVARTPFgWwJ0+TuXA7sTh0iF++5BhpQVHMEgCMO40uguAoGYgqmrTvigMJgEAF - vRnqg6dDuk/05M2H/5vf+a1XTRo0CSkgRmgIgMF839vOWKVhX1WhOXdQFYbRSFFWd134TZ8uv3pe - yrRAzhvytP5qgITlN9QBAKICjljVMDSqHcwAVAoDckTQbqRV07z9Y3+IR8989E3fdNvJkwfldJNN - URksqPWWAaQIL3BBEQAItt7tV3b6gwts2AF+9o8KwKHihj5o2p7N/uwNX/OlH/4gbtnyJrqrG4Ia - 0JeMEGuYDocbsDhEs0PPC5gnIiIiIiIiIiIiIiIiIiIiIiIiInr2cs4xRgBmpqo5Z1VVvZR0zyG8 - begtWq9tWDkRET1fLXO7HYBBDKIFOrQUuHusa7MiKUnJ9/3qL9/eLVIpGhOsxYMPWdcWWJQSXNyL - FEnubUY9rhEytIIKTLwAMfZwQAosQzRG8aFO3wAE12UXgyIXj0GWmyRwAwIKMGzmsiXEi4i0fVel - ynIOqgiarQ8qAke7gDnajEX36V9873axV1gby161czoKghWkCoDmErsiqcJ0F48/0d57//Txx8rO - zijIkaRSijig0CS789ZHqR9N4rGbDt59D26+bRlIrYqgcIegWIZYrptdnezEajqJr3rndyMGJEUT - IY5QAYAoAAQdWhXOZnOPlx2+SXSIy1sdGwCIUFxqJ0IQNctJIxxwhTS2eWiv/XzlRYJDy+iu2/Y+ - +bG+RwrIBWE4Ey7QNWOy7ElxOHTotjBPAbPFYvrw5yc33Qzpgsm4eMoO9ECR3qOGCHjQDG3zfJxG - 129LhQDVMtc6I3gHQZUSFLrRVRvzMq2lVu+h3a0vuevzD9/bAPCLa0EqgIprRgXA3aZ7T/z5Rw+9 - 9rU4dAB7s7R9eKPL4fHHHn73exaOF37f96IeoQ6QjGYEM4PnKjlQFZNiWPToe1hG7j/2rp85UtXR - +5c4ulJstPGff+ZnX/3934fSSqgLDNA4NGopfHms7bxeorVh9O2Q6TjMwB2CHocG9sGQATl886Xs - brqszkvf5EG5kQ0nw/BqXb9mmc96DbiUf8Jf6qP8zcvr95c1PTPX2kv+uTn/6Sn40CAOALkvAoUP - /8S5KsflWjs5ic7BTv+xpgAAIABJREFU99B0jot9w3SxJxDfkF1ffN+Qlr9xiSt//txgROSCH3Q8 - 1f2qcd/9hnM/J3nyweHuJyIiIqJnb7i0yjeX9JxQQNVV1pPwHQIUIAX0vWlAAHyh0UYvu/vlutAq - Vta7iGYz1VDgkHP+NWVnJ3GuP8I1BeCGp1kCgBpMncursATgcs414OWE1VVW9/knjphENeQyTI6V - oWpMISmjSF3nnOswevHtL37g45+oxlKMP9HoWSlleQq5D3EY+z87uwjrKI11XPc6ZeMKYT09ERER - EREREREREdFl4cX6EKuqqtq2Fwn33//Zv/f3/icrcH9SWPfFX0RIKQ1jd9x9mKFTSrnSVxGIiIiI - LicBYAJRwNbtvgIAGgTAoi+TFBZtjmp1UniL+ewDX/XVr25LvXtmEh3jtFjkiAioI0PORnY/8yE4 - l5jYvapS2v/XTcyhGV3SpGbZ0LUlCuqkcC/ZF45+vPmw4KFRettHPoKtCcYJoxoxrBvRBUhDedP+ - FmjB08xHeKY9XBf6vgvcJ0/7DQ64qdhQpyWiKEDWvqnnIv8/e3cebMt214f9+/uttbr3cIY7vXnU - gIRUlkEpCYFkQFgIUrFdLmLsyj9gKk6Ii8iWkgoCQ0EwJsSIwRio/BWS2E6lKlWp2KbsMBiQhCyJ - gCgMxAYkIT2996Q33nvPtIfuXuv3yx+rd58+ezj3nPvufbr3vd+nXvXbt0/36tXD7u69d6/13d0m - UHjHb/7qb7znW+6taevw+kNU0HwqEsOoBOp6pgFA7t4JePluXpWVCFBWAZ14sqyJ9cCHGKcDCQ9d - 3//4e775Xb/9b2gYiIISRBNBiFeeju01n9vYa5ExxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGPMTfHe - 54Dt3LO89z6EACDGeN6iQggikpsdzedzVbXcbmOMeXUhBUQXj717dogNe1eDi6oqp0e7TQQYKmiS - 7O2TgkCqKc+aY52JmJjhCASogKAEkBLgIZKbF2jXLCL328t5IIBzbaNalUTMxG37CFEwQVIEiBTk - UYYCgPMeCtS1h2J6hLpCET75s/94a1I9XI6uXD/a1sSpcaMgsyNmBwqYTcAuhAJ7+7PP/Yf9p56i - w6NhE7egIbCqpjrWikiIQJX0/q94nC5fxn334ZFHMJ2icPNp7QcjF8rr+3vjixf36xkPR3OKsRx/ - 4aD5xh/8IRQEpxiV6mivng/DFgMOORg7rzjcIoy8/Tdw4mVvzEtoTyEqwszttm7Aqm/4r77n6s/9 - TDy4WmiNpLi4u/vA/XjuudQ07katl080qyEA0ITC+8mzz47nb0RIKAo009HQo6mRItSjcfAgQWL1 - obz5VblDtInrSREFRR7h4L7y/R94/h/++LAcYbaHwuHyThiPcTSTJrnzBBDm7q6hcAQmV2qcPf/8 - we/97s7b3u4uX4DEMiWeH22p1I2+8JMfOiqHnz3ae++P/feopghDZi6khkTAYVb//k//462YHBo3 - m75ltI3DQzQVb42gen1/r9i9gHmNEg0lCttA2/5FzxCZyMz5jjE3XVdVa7RujDHG3CUsGtkYY4wx - xryiLOVzd4lNa3O7AcjJ5DIsYhRPjjfGGGOMMcaYuxdBT/R2KYAqFAICKUjBFLaHF0ZhXErJYIWK - CtGJrlK7VysfruQMw1bOkLbhyz/M+0JPdg6rN+iIVrDoI5eUBWCwkIB9Sslx8BweuHQ/JQR4oGE9 - f8yyMScR0aYvcO5Y9ki9McYYY4wxxhhjjDHGGLPWah6fLP+VjsfMqvl4PK7rpiiK2KRnn332u7/7 - uw8PJ/mvJx8LvZnaNE0DgJnz46EppbvuNwljjDHGvJrp4laK1JPC5W6bEBUAcQJp0q3gAIxKTwBm - Mxxcx6y+MqvS4d4wuBSb6bQZMLh9JEj7t1V8kzdZZ64/5bhxYrBLMlJNLMpoSDgBBWSuCl8U3jns - z+ZDgKhstnc/XeLJS9vf/tFfRxmACltboJzbne82BQJSdi/DOpyL9sKqaeVPhLHiSFA5V45K3B/f - 8+8+isn8//nmbx698Ny9dVTFbFrVQGB47ZWWC8gh7rf5ZpY01x6kbbdHpFCAGUka9uAUhyTjaobZ - FLMZ3CB5ouAIgCiQwNw0ygU5MIQBkPVBZIwxxhhjjDHGGGOMMcYYY4wxxhhjjDHGmNvDez+fz4ui - UFUiuonQ7lxI0zQ5q7sL7c4F3uLqGmOMudPQ4rl9JVD7LwbqqipCQFJPAh9mewdMABFEEdP+Cy84 - CJFCc1uBNg2FiOAYjkGkKuo0ugYqXgREUACcFwTAEbfNbwkJAggBTazZF2A4QJNABCIhFFA48tA2 - 9VrrhpjhFE2FyQxR/vDHf+xe70vSN1bVKAwnTz9zebQNEUTCXCkxyhJHB6gjnrm2//knps+8WEjc - IuFUFQ4pQgjKNFU/cX5w7wM7Dz/s77+M++9BrOEU8yORyBQGWwWaBuzd9tbBsLy6PTwo/Tve/3cg - 8ngYwhHmMwy34BAh28UIUC90HIlMAMOttrm4Hft2cR2fTZvhMKAWOLpezQOoKIZQgdTFPZeffeaL - u6LlyRrlTns3tuBQCEGAkrB39Tk89yU8ej+golqq/u4/+rm3f+C/xdZFBMRGGQiMBKE17a/vKov2 - O7TojJUBOKCgySA0B3XwQ0iN0Whw6VI1eaakdK6+ih0BAgVUwTGNyXMl1VPP78ff2X3bW3H5YigL - 9XRUH26Ntrg6KpvDy4Pw1I/94CymcTmoo8yRBuNRmlVb4t4ER0kqbYhpcv25bS6goi9epYsXRmVR - X93/ow/9zFv+h+8LwQOiYLQttsBtA6CNYozeexFhZhEZj8fWbt0YY16aly0i7ixpCmLndPPysohE - c+c67ye2854/7VtXY+5it/uGyW7I7jp65iFeAfv3jv5+r/cdVXulXfqhk6j96VNE8sSq2n211d85 - dqU2xhhjjDHG3F2E1jzg0Y2U1I5RhWN/8cLlEAI3jMXTPrg7Y3TNbZWfHkkpOReY/YMPPkxCDAc0 - X+6qmbvb3XuqsehuY4wxxhhjjDHGGGOMMeYWuHDh4v7+/mg0mk2ryaT6L/7Wf/nkk1/ynmNcaWJ0 - U78phBBijKratYIGUNd1URQvue7GGGOMMS8PaVvvKNB20NR2WVRP56PhFhQgmU2PBuUWJUEtv/yu - b3jzfLrrKcUmeAzbx8UUEJBo10RGWQCm25kGraxMAq8AKTuJTtE4gECM+bwJ7FwoZ1V9iObSlYvX - p9MDbH+xGLzzI7/8NVfG2B2hLGuUAg7w7jgXm0FyJ7ZJp+MXOXb9uN2VAATU2CqKCDRchwvbkITx - +D/5rd/6lbe8+SsHxbamkHRYeGqS1Mp6PCuTyKIPoNud3t060axKiNAksKIsXF0d4sXpv/lr3/7e - X/7XuLDtfVCluollKAE0dR3KQnG8t/L/XbvD7uimaMYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjLmL - qGqMcTAY5H82TTMYDObz+XnLyYHfMcaiKOq6zi2PLLfbGGNeLagbcv5/irHwAQokZQck3fIFDg/h - HSBo4uT6/iUl9NKoM2UixyAGkKDKkhgggSqUTgQC69p8YGVu08AjyDsCsSMgQuuaBgVSgxihIGJo - BASSPvVTP/0Y8LqqKvb3AAnDYTOrdgtGbFA1EIGCOOCppw+f+Fz1/IvN9aNR4ns5OHWSaiFukiBg - ImiC337o8d3XvxFX7gMTxoO6mfswVE2udDFV86oebJWVp0PS/aF70wfed08IGA3hHLxHPcGgxHBb - wQISUVYJ5CCL9cWXIfVFgWIU5lUaDD3U/atP/Nv//J1fX+3v+1i74PHn/hw/8URzdW9YBK2Xe9ft - AryVTgxz+w7nkGIcC1Wf/2z5+AOISg6+aa7MGiSgmjXjsQ9EgKQmOPdyr/mtpovcboBzZ6wKwDFK - t+f5sfFInj/AFnGU0eu/4uqXXghNPOcSSEVzoH2U6MhtcSiJptf2n/3YJ+5/61fh8UcKoh2JPs05 - 1S4JeXXTw8Fo7Oa1xtSQSrXniIOSThsKrii4is1ga4zJHERUhHk9o2JwpRxUSpjVGJQgJZLu7c83 - Oki9b7uiVdWyLFNKzOycaxrrndkYY+5kZ8rtfrkrZYwxxhhjjDEv2SnxckvjVZc/9eQfQ+/eyChj - jDHGGGOM6QiBFUIne9Ck9mEVBljByk7DlYuXWSEi9mnIdKT3pAgvnhQSEVKWmMC6u7U7CAOA2oeg - jLlZd++Zx6K7jTHGGGOMMcYYY4wxxpgb4DW/AghO/rq0v3e4vb07mUxS0u/8ru/69J89ASBGORnp - J8BN/iiVmzrn9s+Hh4c5wNtyu40xxhhzt8jP8Ci4JsAhKEhzt00RdRz5Ak0D7yAyLAcgQWr++bu+ - /s0HB/eQSpw5IDXwQ9fMEuE4tHvRmIaYAOHb16eAEBJ8IgaLU/GiThHyzZ0CgCuomk8cwvZw94nr - R9d3d56+fN9f+ZV/jd0RxgHBVRgADmAGFEiLkh0x3ZEZ0Lp4nkr6/fUwMMBskoZDB4Fn7NVCZXDM - W0OgGf3Hf/Qn//w93/TY3rOX9q9frNXVaQQGwOKEGIrEUTgB4HTa0m/dajBwItOdGaVDHaEpXhgM - HmFfPfVFTKbYmmhTkitLN06TiRuXoWCogDgRmNtHGB2AhPaV9WNpjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcaYW4GIcnpijNF7H0K4idzuzHuvqrkhUg7wzi9uYW2NMcbcaXrZ2dz/HzkPFYiCGEkQhZoE - ZohCFdMp5pUjABACEVRBCgUTnJ5oG8sCBhhMIIUAJABBXbv4RZq1BxSImhwHAs3r5AufAKftc/g0 - LKA1OEEqVA2m88/9z79YTWdjoserWZgcjgceI4bzsamSR8kDzGcYDvDitepP/sPRc8/UB3sjYJDS - SJJTIM0TkIgaUOXK4T337Lz2tXj0EQwLxKg+0nAr1lIMtqE6mc0IXoejaszPkn6pmb/7h//eg86j - KOECyIG8pIaG42msgmcGiSZPzpE/brBCi2BkRoIo4MC3t3kBUV1HFAVy17kMDPgD/9f/+aWf/IWd - 8Q6aKSaHIJSXLjXXDlXaZO4lQuCVYebAEtNucHvPfbE8OMSlS4xUNPFimv/O3/+xr/nJf6iAUtIk - nPPL6S5uT6GLpkcODAWUQAwCHDBwb/3vPvDM9/3IA1s7NaZFMcA996bhQOr5uZoriaoAntk5jilG - EY/GRQyEfd00v/f/4bNPhK/+8/7CNqYv+gvbIJpevTq+9345PELDBFcMh4CKCoiocEgN1XGAhL2r - cAHziPGYnEhsHA+3k4AdmgQnYMpNrNp89VztDSHeTdOEEPJdqIg451JKIpb2aowxxhhjXrpztZy/ - vbegtzs44vzl35HdCpiN7COSMbePnQ/Nsn7gExHlNO6lP+UXDNK2s592SlVdmxd1t0ZIGWOMMcYY - Y17tFHTiiykiZpb2+RwClElpd/uCCvIHou5jVPfPL1PNzZ1HWVWC97FJ5InhdrZ2n428+mSRMedi - 0d3GGGOMMcYYY4wxxhhjzKsaMzdN8r74ru/6zj/900/nkd75mG7NI/jMDEBEcs87qprTu29J4cYY - Y4wxL5t8+yIEl5vDgOEYANilpnaOoMDkCEdHr23qB5oqVfMRAwxVyCwFQv8JDQba7p8UvTjs24La - xQmQQNI+0kYgYDCgZh7Ju0b9fiieGIZ3f/ITb93ZxXgErwASjyWHdmvbwxEtWvgI2g1wR8ldESXk - LpUYEIYSRMENwW+5WMM7IOFCMZgAEZKIXBngR9/2mx/D4TO//a3vlRf37gOlGJ0QKfOi7Zze/j6a - CGBtXwDIWe+kIMWsQWAUHtMaKc53RsOHGvqNv/ie93zqdygENA3K0o3HQAJp7pAq90i16ElMrG2W - McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjbq0cnZhScs4BqKqKmW8iOtE5F2PMPdTnuG7nXC7TGGPM - qw0Bs+l0OByACJMpwuA3f/JDXzccYDaFU2jC3n5QZRDyc/503GBjkXSiADtwVLASiIVAIM3/VxDn - 3G5ps5xz78DESYUoMFAWHkBqFnWaVWBFM0c1+9Bf/ba//g3vHsznl1MKUNfEEgrPiBGaMK19UXgF - 5oezJ56+9sRTcvXqLvNgMr3AoCR1ispMg2KicR7T+MKl8QMPjh97DOMxigAV0cQ7QwFNqmlR7Fyd - TIe7O/OijIPiqsS3/N333TMavKHwqCuMd8Bc1zEEJmJ2oUHyvmQQgT0Rq4MConDUbVylts0Fupjk - 24WhKRTF3jyNBq4sg86OqHC4sLvv3Hhe7zqP7W3EZvcr33z16eem08kwN39ZIbQ8BMAKEWWANbko - zReeDJcvQ2mgKFJ8dGsbRwehvAiAnEOToIpQ3N41vs1OtGoRgCAOILAHFYTdneZoUosUgTEYFRcu - NgcHg/M0WWIXUmpElFmJSElFEwAvEoTT9avV9Wvx4GD44L14zSMA4Iej3Su4PuGoGA4hwOEcZcES - 4RlVhcLBFZjMm+dfODo6+sKTT735He/Qe3bLwssL14rd3U/+zM9+3Q98H5yc62AMIWDReh2LHnVD - CE3TnDabMcYYY4wxN3DnNZs3xhhjbgtpO6Gx4UseEjnV1B+jyqppdXz7195u2BTabYwxxhhjjDF3 - L1080yBAzvDW/HiPKilImdQjYTTaoh7YR6RXt27HK/X+ASaII3LsI5SUUhN3ty/RVe9OzmXMed29 - ZxuL7jbGGGOMMcYYY4wxxhhj1mBtYyWPR9Hm/m6Uxzu7L75w7YMf/ODv/f6/ixHOkQrFFPVEk5KV - Ms8sB3XnPnfquvbe539aercxxhhj7gr5pogAHwEgetSEAgEEeEkxgX1TFgrx8zle3Pvwu7/pK/av - DZp5UbhYJ1+42TwNmQCFtinaUEBzBDhwm9OgWcEahaCUuljo/FibKjBXBxyKzi5sf3o0fPf/+xEM - BxiOEUqAQYwGg4BpLaHIs8Kh7ewnATUAINz+QOuzy1tYgYg2aDz3xUUkChbAF6imWnrCNI09YVgI - ZhgEpADdxXjwtR/9xEff9fby4PDC1A2FGYBGAQB1+jKsqXRNu3OF0a6IjBjwUGAYwIzZdHYhhHv3 - +Z+88+v/5sc/gd3L0/lsuDVMcFHngbwDKB9mtNhDdgdujDHGGGOMMcYYY4wxxhhjjDHGGGOMMcaY - WyqEUFVVWZYxRu99WZY3F92dUsqBi977pmnqus5h3t5bV2PGGPPqcRzbPByNAMhszsMhqnqnLOrD - wyEpSCFJr+4NQCSpTeAmVQXTogGBKkThQEROmNQDLsErkoI8VEkJjgnkgEXLiAKAwHERgSph4FBA - vNbghMkRwDisn/z5/6mYVN/x1W8fHs2HzjlErzWkgvOoa/iAGuAhvvDs7HOfb/aup8nRdmpcSh5o - YsqZ025QHDg3CW5430P3vu71uHQZRBgUgIojKgsVzOpIwsPhzgEgD1z+fGy+6nv/G2h6cDDEcAsK - uIABEAGSogggETR1iqULktQ5hjIAqRMHh0CK47jurvPRl6ElSF7W9sAlQaJIJRMRwG/6/u994Wd/ - fv+LT+6GAO+xNW7KYhCbFKNf3ESQto1fWNu47ja4Wjm3b8kd9jpCiloOcP2pp+59/RuwM0ZSBjA5 - hHc0O8JwC4BCKbyCbir0uHlIBIh8oXIQuEDaHo3idOZn8wtvetPe0091/WLTjXqdVYIyVCipakwA - HEEdmClGDUOfppUHaP/6/t5VfPbPwsXLxeWH3GCLHnoAzJAZCIg1minmUzQN6vrg+ef3rl6r5nNm - dqPBG9705uLibkMc66bYGg0Gg62kqCOKAJdD5jknjftTg7xjjMPhEIBzLqUkIvkG8ua3pzHm1rNW - bHeRm+lC5Pa6c1qrGmNebc6d1GDXO2OMeXW6s26hz3v7vLjcyRmHdOYpX51DhipBVQAwgZhUIApo - opNTEpQY+RfU/N2XCFShABHdvZFRxhhjjDHGGLMgAOWeSvs94YvAOacQVQExs1Ol0oelmfPHohzj - bUyHiCDiiRswCUblUEShtJTybcy53L3fw7yCHn0zxhhjjDHGGGOMMcYYY758Jkezn//5X/i1X/uw - a5/mVMdO0vrng2/iEc8QwtHRERGJiHOOmVNKzp3abNoYY4wx5o5BOb27dwekbc9OARSdd42iJBAS - jvZ/5Vu/9Q3X9y5LdIJYJ/aYzlPpPQlEYn4WrO3s5uV6WiO3g3KLHouQc7uVATjmKcn+1uiZEL5U - +r/88Y9gZ4ytrYRQNzJgT4BzSBGDRW738foDTEiAAtI+JXenIIWjXCVmCJTarHRGDRVQOSTUQOlA - QJ249AqqgGLsWT308jd+/OMf/gvveo02V2ZNmcSpgJQgOcj9ht0VveQVyEHhjEXIet7gIpAaAHJ/ - Yh4Ysrunqr5yUmMyx3A23NkRYDaPYTBokNxiRiggklPN1XquMMYYY4wxxhhjjDHGGGOMMcYYY4wx - xhhjzC1VliUA772IMHOM8SbSu4moaRoiijECKIoCQErpdlTYGGPMnSg//U4MiAJEXM+rYjRAE6GS - JochODQMUohUR4clGBpzkwnV444pVVVz5gkE5KHMyhAQIPCClBaR0Ar4RZsIoH3UXgWeUQAUI6oZ - tMHRwR/+H/+Mn3vxYiOXpnGLApxPda2x8RDUEzBBCRGYTNOTX7z2hSdxcDBo5mVsnKTc8iIRBlvD - w9ksOVfs7l5+7LHLjz6CCxfa5gHBiWokbYiSUHQ+DQdRuXL+sOC3fOB9DxQFWDDeilzW8ApAMHBw - ACS3E+A6VcEFAjsiCCElOEfegVGLgo/7Rc4J5wy429++gIhiUsfkGACSKHNACQg+P5u87fI9uHpV - CbRz4fJjjxx9+jM+xROzn0zvzrXtcrsBMBiskpIHxb097O1hZxexQaAh6Lc+9BPf8EM/CEGEel+o - RuK7O1qPjv9/omVLhCtGgzd+4H3P/I8/Ucd6yxfYKVE15w2SqpomOO9EFUkICiQBqTJhNq1KQih8 - U8Vd7+bzWp9/cf/qTIpy+vufGozKKlYucFEUs2oeQtg7OhxsbSfh8c7O/Y+/tnjsNdjZAjVw3jWq - xCCez2ZDFIjcJV4JkNo1FN58fBZFkZu653brKaUYo0UcGWPMzeI7LXrQ2kC+KiiD5I4YGmPMK5Od - 34wxpzrX/bZ93XIq0faU6z3KMoQQAKhq/qqqS55jZmYmosFg4JxT1aqqjo6ms9ksyV2cF2WMMcYY - Y4wxq7rncPJHHRH1ngCXu/FkcqTM7LsPTe1cqvnT03mfOzWvNPkXHIAhApAiNsLsWQGwcw4Jqpbx - bl6Su/erGIvuNsYYY+44i5+CQC3ctXcaxhhjjDHGGGPMK1P+GbKqqvFou65r5xyx+5mf+ul/+k// - d6D9IO/YN0lCKJumWSmAVXH6s7T5gdHul07nXF3X7cyLNu2W222MMcaYu0sb1eyjAoA/vpVpHByF - KsIDaYZ6fu/h1fvTzMdInHuo4QJOIxRC67sweDkeDhPAFUADAcBQhagwIwnv7+x+/FLx7Z/42H9U - bsEXGI8acAAPvV/0ewXn2o5vHIRwnAZNYEdQ4M7quEiBBCIUDgK0m10AiAc8Q0EgICymJwd1IPEO - 7a3uaAvlo9/0qT/49bd9jaPDi5NqCyypgcI7QEhvc9uyRTS4CHEi5Ftsp0wQt1jH3LOY1PMLHHjv - 8De+4Zve8+8/BYgTLtk3gEBLgNoUcIEC4AgkwN9hUevGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4y5 - q+X2Sug1HbqJ/hNXu0JTVWuCZIwxr3jrulIVAAqEQRmjeFJoHDAlacAEUhBNru3vEudsblq0jVVV - hRJRms8REwpWEQU0RSR4ITAUbi6xYK9Ak9Q7hkRmUSBK49kFUkTBZI4moomf/kc/vSPySDMvoagq - zi0omuRSjI7ABHKYN3j2+fnnnjx89kUf6yEiydyJliW0hjrMImIZ6lBsPf74xccex733ISmgCEME - Nzs4GvrAooFYleKw3PfhBU9f88EPIngwwxGY4RjMBM5dcDIj91ObW3QoENwwvyDOseQOQG7bwkyp - t8HbNgWCtkHI7ezOVsHeLTpmZnhXAAT2KKW5cml+7WhUjiTWzlF4/esnn/3M7mAwm0xHvkBKjabg - nKTkHJbuLGgxUAIEDEgtO6Wff/ozg/sfhgtQQYr3KmFew4/Uuzo2hQ/r6njXoH77HSIQd/vO5Sh2 - idUgXJglqebMjNF4uL2j118UUVYQQERJ1a2LuM4R6QVYRYWgRJE0L5QURCgdkqCuIhEEaeBQSzP0 - k7o62kF01ayEQAnimrqJUmxf3Nq+9/7dxx7HziX4gOEI8znKocZIDbgspUE5HF5M4VM//jNv+7G/ - l5v55GZKWByY3U1mSimHGyG/0xf1V9WUUvf6Nm1584rUHU7d6002HVr9uW7i8Otm79K8bnr2sy+l - P/JWdXm/aeupChb9Tpw+7w1X4YYb5yzlrJ2rn6O2tsxVSxN31TvvTtx0zBHWN1087xHGzP2IuBvW - jTc0N1zdtre9ZSUot4SEyiJTfP2QyAGi+Vbg1Ck3zUvkVFNXApGepbQ8b359c0s/ew1PKZ9Ib1iH - PA2RiuAl1ofZL04b51sL1dS9zlu4dzhxbvR6riHB5XuTTdPQqX9dKiffcZzlzdudMfCSbznOeAI/ - ezlEdBOn9NVq9M8b5ypn9cSIm127fmnnPq+e/2K6wfqNeavKv+GV8YwLWp0mz9sdDG1/0Cdvns9Y - Q2bu7rGXLohnL2q1PjdXztqS8dLu4vpF9Q+51bnOcj+weszfkqPlVp0rQPlrghNDutF4zdfhddO8 - lGH+/03M237LQW2tVut/c7XdVJ9++ecqOdfzlHUkwHnE5ga16srp1nepzDyNps37HWBG7hO+u0Sw - I4VKWi6nP+a7Sm+gAAAgAElEQVTEUhaHXvc+yAcjM1Rvsrf5pbeUnlxE/mfXlX03cX6x6Vq3+jZd - W7eltTilhl1lNk3JDOeoadb/mQjM8N55751zq+9lInLOee/zBJtqwswhhKIo8gUai/Nz/7zaldP9 - MLdqNBr1E6PRXhekq5JzriiKEEKu7aZzznA47ApZOu+dUk6+BuVZ8rzD4XBt+Xky51wIIYTgvc8j - l+5PujqMRqNTNl23efvbP8+YS2PmXNtTriP93bT68X9pP55+PdqEiFTxwQ9+/7/4F/+S2o9C/XIs - ps4YY4wxxtwyRGhviUUs6tZ8WTjHIqICzwzllFJBjuAA7n+kyp9wLbf7VU+WurbN30YwCMqqpErO - BdK1/foac1Yxxu7btrvrcSOL7jbGGGOMMcYYY4wxxhhjzqFpmvaRXPIxRiKnSv/L//ZPfvEX/9cY - pSh8jBGLHym7dhRn1zXA6H54KMtyOp3e8hUxxhhjjHlZ5UcpSBKgUIe2uyYo4Ega5dKjmuCZF371 - r/zlt6aIqnKLno+gjo+f/klKxw3VlAAIlAHQbX30h+AKxAoVMA4QRZ0w3BrtT+f17uU/GRXf/omP - YVxiuAsXEoHAihz5zF0JDADS1rN9yI0BuEUTvDuItkNSOAJ0sbNIAIGCSBR8YuUUpEx5AvLwXIdh - wVe++RMf/7V3vONNksK88g7OQWtOXX727UPteihBj58p7A4S7tYSkCKlS6l6LEXs71M5hBuFgAg4 - eEBAuTOtdm/K+fvpMMYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGNuj02NKTRBVYU9o0mo6wuD - Ynb92rZjpIS6ZkksqZu5TdbJj8tL1CZCFZpARCyD0mE+R1lg4AgYsU8CYqgSoJRSwYqmgQDVIZiw - v/9Hv/jPLjYq164+Ug7cdOaamimRZzBQz5AI5PysxuTw2qc/k67tY++wbPQiiEUESTwl0oOEGvBF - 2Hn0IX74UdxzBffcg7oBeRQO7FKK+4eTSw/ce/1oot6hHOw3cfzAw6/5ju94zWiIghE82IMY1K6r - AxxE0Tb90F5zDl5sUF4XXNSNcF1u98vZh+2ioQcIUA8nEPeuv/tff+77f+RRZcceBHg/vv++gy88 - vQ3SlFTFAxDJjW8AcBfp1C9VNUECuSipiE19cIj9fVy5BGBcFsPDCeoGTYLzwYfcyPplXO1bL+dV - AdzP8W4buShjNDho6ktNMwDgPWZTX4Tuj5rTu0/tc9aBFRCoatf8CQBShHP5P1IhVRVRKLRqRgMi - z/OYnOdZkqi49Ogjg4cfxqUr2N4FO/gC7FKMUpRKLOyK7cFUdbYzuN40B7F52/f/EJjbeDCF79rU - AEQUY8wxSCkl51yMcVPOkzFntJrWfMb066XpV5NKTw8rXRp/SgXOEnp6eszk2vGrL87ljFHWp/91 - bcbb2cvvT7OaoXvemMy1UaZ5Eafk4HbJeafXs6vqpnJoQ4vMLhib2mLayZKu6TT8lFVeim7NUQen - bHmVMx23BMrh4kztdjhvkndeL/QOhqVyFLq4p8vXuRNDIlpc9xyQ7+XWTEPkARA5EelP3w1VFSBt - 0zjbedeWlofee23lbd4lW4KoXx/OY1R1bTnM3F9Wrmeuc553UT4vlrK+PosSTkxD5Ji5q0m3abug - w7XDrv55ud3Wy3XItQLa3mOWtv/J7bl+fRdr5I53NXJsNtaUg/XlOHZ5jdppTu6pHIR6PK/mfNd1 - 9SHOW17B2h1rm88b/ajIs4QfbzqPbSrnvOdV59zacjadys5+fs7TL52vzhgbvOZ8clP1Qe8MfMpy - T8nF3FT+2pjnzZXZ+Bnp7JcYotOifU+pZz6p33QsTZdFqiqqZ03szssF2rlyObnbqK6cM1Yg78D+ - kamqq9frG9Zn7XYjOlHD/lqfsXqZc041dafQ04siOnv5x2uKE8ebMjsijXHjbl0c+Cf2ApbfXMjl - pKQbjiugt/WOT/0O2ru3AEAMIkjX3cDJ4eI8DSIQH8+FHKVMJ8bk0pbGdD0k9McQtSUs1SQPl8av - Haq233LkuVRX6r9urrzcdn1PrWc3ZU5r1pWS2YEZMa4vJ/81h/g657ps45RSdzuXXzjn8mRYJ0+T - C8m6crBILO5KYGZsuH/L2cm5Jl3JzBxjVGpf9yOfN6U+b43GtJDH9N/O/UK6qq4tZzwe49SrpPc+ - RzWvXi/6l4bt7e21JXQr2FUm74JN17WdnZ1Tyskl5C2ztB9zCXlBzrH3HiRQXhpKAkgIjljzGBXK - Y7rX+W56aczSMEV1nhyHPI0i5TJT1HOVIwl5mjxUJCgrknNORPJW6lK9sfm8GmOknu5gyN0Mdue9 - 7nPH6oe1Ljl7bfndLN0xmatHJz+/d/tFRBZfgp4Y5isPkcvn1TwGkBiFOd+oJxEQqXPBOUpJ15aT - x6+Ww+y71wB3y0ppfX02DDu5tx6LGTPGGGOMMca8wuUv9ftfDbACYEL7SIIxa5Cc+BGS7OOzMS2L - 7jbGGGOMMcYYY4wxxhhjTtE1tG8VRSEi08nce18nKcLgl37pl37iJz4Uo/oQqrpBfpyf4JhSiicf - 9Lyx7jlXEclPwR4cHJzS9MUYY4wx5q6hAoCJASEVqAAehAaIJTFiKfNff+9fev2TT7s4GxWQmG/C - HMD54TAgKuUegxZ3aZQ7kREArLfz6TFFquAIYw8QmgaBcXQ0Pdy6/Lsj/22f+iRGWxiOIR6EKlW+ - 4AQhIOQeIJQhklte53/nLgVyyf1/3SloUR/tjeHFdidVQCBdGyYHdgoonBOFgiLggwK+xL0PfMvv - /97Hv/qriqbaSiHU4qDeBU3N7V4JJcgiLJ2hvQfIGCAFC6AsBICEpKZrL/7f3/KX/tPf+rfYcurL - 4rg1qCqESOAAOLcowhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4y5U/SaVCwyoQjEVSMjAPW8 - OTq8dzySySFLQl2rCIkSoLpoK0CkqsQKgVYNokCUQhJEaIEAOCWgqtMOOy+LVgaa4ARNg4MJGvzR - D//o/eNy5PnS3sH2eGvgQ3145IOnoiBWNHM0EUiYTPDMM/Mnnpy8eN1RCIKQhGIDiDiqHGaMA8Xo - viv3v+HN2LmA3V2UA61nFAVuAIVM5hQKKv3g0uUvxTS9sPOc4l3f+72XQgFy8AHVHMVgEUAIyOIF - BCTEAIQIqUsiBEihBAXSohVF2wSE4Hs5MIyTrS1eHnlBkjPHoGAKBWKqLuxUR/PRdIbDQ+xsX3zN - a770+ad3yrKpKoZ65yQloM2c1uXaKoAGSkRwnmPiJHFyVD33pfKeS0hR5vMrwf/xT/zUm/7BjwYq - 63lTlHd3bjeAE3E+vTZKUEAIR0fj3R1XX6MmqiqxC4Myh4sxs0ZRBulxrtkaRKRKqq7LAlUAYE8x - akxgqCcmYhAVpIWn6byRwEcgHm3f97rX4aGHsLMDIezsYtbkg3FexXI4cGV5WKU4LK8P3Rea6df+ - 4N++MhpAHTwlxwlUEEOABDCIkYAUm8KH3GjdOQfgbg9fN3eC04NFV50SsbkU93jGgMzTi+3+dMb6 - bJp4NfFuZZb1S2fuYtjQDc8TXXm80NVZcoTn0p/OGOm6NM15Yzu7yO3+LlhEnB6nynXDTdt/U6Jq - F6nbucG6bEi8pvY8jRy62k21dupTltJFBd+wMu1m2dDi0HHOgV5k7y0qInq+/vGX1wsbVqmbfkNr - W92w3H42eT/3dJNu+3T5gjlxcNN+j7E+WT3qohxXl3XK0peOn7VT9jM+Nx1va/epKnK0Z6+cjRNv - qoOeTLLuVwY4Uf5ZpLRc/5y2eO5yetuhHy3ZlkNtE+fFUbrR0nG7iJD0KaW1iYkicanyea5N9d+0 - pZf2S2/65YUu/rq+oP727J/Kun3Ui8nsEnzXWD0/d//qTtH9M8cp16OlE3gvPHh52lPWa7UaL3Ga - kxs5Rz63Mb9Lh/faubX97LEcjtxu0JXxa4ZQEFRyOctDZoCgAuL2rKqSPwN3O68thwnE0A1vl94O - Or6mt8nfXaKwAgTHxA5NvX69FguWpXJUBCc/NThHRLrp7Zu3MZEynzjGlg425vwu1qbZsC+1f7Qc - D/P7hXqrnA/yTUHnOel5dSdLuwI5HVaJkXf6ajnMIMLppytmOEfeF95zP941D4kcM5wLzCiKwdoA - 1zxNLsG5kCNgF5eLW1BOd/Dn0Oicjtw/kS4JIXTh0P3x3fRLkc+bLjHb29vdqam/rByBvFTIKf2S - bW1tdUtfmox6MdhdRnX/utmfa3d3d235ORV4tZxOPtF1MdhlWW4qZ2lM/5ayO1Xm18y86TqyNkK7 - /25a2uB+Q+R2/gS9dNetvTN8N2Wu26b7DerdPPdP+Euvu10TY1xbTreXVz+VLN2Pdf88UY0bfYDq - 38WtXh9Xizrl8133or/Z83Gyuh9FIpD7iDkxdG7xhVy+0KsQOwAiCSpMLk+pktrv+8hhMWV/SEyQ - JKL9c0IOnAaU2qWQqgDSXnXWlcPMgBLpYkwCFJqIQCL5nhgq2vt8tHb7FI5727A9ZhTqCMoksjhj - S1JVqHrn0Lv253M2YeN9lHcu1wGSVBLyKV7VeQ/qf5GpUIXCIX8TqkvDfFHTpHoywjywAwlBlZSJ - QIoURVUT1kaeF67QfJ3uygeBICkSK7VT5mWJkjBcO+YswwVlWv26s3dQ9l6f7+O4McYYY4wxxnzZ - 8OI7Tlrq65Ikf5g7HmGfdMw5LX2GVrKjyLwkZ3nG4M5k0d3GGGOMMcYYY4wxxhhjzDmISBEGszhz - LhRMH/7wR37gB36waY5/KGBm731d12gfIz5f+UTUzS4iqW0Sf7f+DmGMMcYYc2yRBk1gSG7OLTmT - e6jA4Ys4nDzwwtXXEaMM0ixynZUXz/RI1xpGCVAIOBGUxN3W0O4FF0LTNK4BOThGUwymgwufKYtv - +9QnsLsL8nAehNTocFAmQCCACsQBx2107xaE9vG84w3LudMphUi7vRWgtoeqtmEqoEyU23oJgROj - KcrB1s67Pvax33/vt1x6/mA7Ti9tX2gOr/m2OevtlZvD8prnCxngfCApJQKY9Yrn1+wdYf8QQ68K - R2Ubuw4hgoKJcndJJx9dNMYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGPuENq13RDARZEiMOqE - EC6WhewfsnNICVWtKqrahaC1wYQAEzkAdYP5HOMxiBySVnPMZxiOnMd24XGQ4B0IqGZwNeIcin// - s79wZVK9MRTF/hSFHxdFnM85aQECBNUckqCCqy8c/Nmf7j/zxUETLyS9WCsIM6kFNBgOK0379dyN - t7YefODKo6/Bgw/iaI7RGGVZzWbl7uWqasqimM3r4vLlQ0lHjubl4HUf+Dtw9PrxCEQYlEIOSjTY - qqbNYMi581k6bpWSmz8IOI8QBdOiWQQtItiOt+diSEutCZZ7Sr6NFns1AoAyFEKIqmVMb3r/+z/3 - 9//Ba4clhg57L+C++8rd7fnhBDnF+WTPuzmbfEmb8KTq4SBKTXX43HPlG9+A4FikmFdXRmPMZgih - eEVFPucEdmmjmwAo0CSMtuv5NNZz+NA0TeEY3nd5WgKoKhOJbswFUk1dLKsTEJHmvF5lB2UAcElR - q0ZSdd6z36d0+ZFHHnjLW7CzBWYUJUBQh0kFdakI1SDIhfLqbBJZq/HwDe9/Pzg+sFNiiyp4AREK - gWOwdq2XtF1J5wMWDdVFxHsPIITQdE23jHlpllKcN01zSqAgztmXwtknPj1SbjVy75T07k0pv2ul - tH7ipfzLfrD3Wep5SuTeKXnJWFmvpdlXg/02RQ9245dK2BTxuKmctTU8Jcp346qdJegdxxmBm6K+ - N1ldL5weYdhOvxwFmnrRoWuCQuGWxmi+cVqZshe2dyLIM0nqxvfXcdPmWaQRHw+JGJC1+6sLdF4d - 9o+H/r7b9L5jZkBUu2D79oyRxwPc5YXnWMxNh09X/xzv2p/rlGDOTXJp/Wm7+gCiuvQGXB8yvFQT - tJm4rCo5zvaldBfjnFtsnzZ9O2/500+qm3T3A/2RXUD1WerpvdcTbhBG7j2rqkhbdrfo09/Wq2fL - k//cWFOijaH1GXP/vKpdznp/TFcUMzZHGvevICeqmlN+T5ZDa68LXVGr6cira5inOX0X5ZzQrs5r - 65/LOcPpWRe59Xn6pWOmTX3etF5ty3NaHub6O48QXAiFc8zsiBBjzl4lVaEcxw0iwu7uhbXvu7pu - iOB9CMGHUHjviJgoR7O38xKxc+ycZ6bgwtpyvA9EcM53NTl+XzvqasJM3gfn2Pv15QyKATPlZeWa - 5Drks12ehtl19VlKdO50kc9dYPDS/UkX2MzMuW+rteXkyOelu6/+2awLlnbOnXKTNh6Psbjc9O9J - cvW6QnJl+vXsFpQnG41Ga8vvUqv7deifM7u/5npuijTuoqyXCulijPsrzsybPgflaOF+WvNxTZBU - SJEIjliZPDvERtZG1cZG2CFPA2VF6g9lEXCbpyHW1RLysKlT/uvSNEw+12fpr7IhOjcPqQ3EZWJV - ofw614pYu7/mMUtz5WGKenrJ/dddOd2yiNVxYIemTmvLYXYrJfv+GEVSYUVS5SQNs19bjqT1+4XJ - g4RWxmvStfddznlAABKJqqSa2nsDWqmnutxlyobtk8vMdwsECBGrJsCpJlUCRPV4ud6HtfWJcfHd - xcl4e2bflbwojVRTv8wunP6UO1LnfLeO+c4wl4Y196Wum2a1nBySvTSeSL333f0M0NZQJC6u2mlp - qBoBqCYiAvJQiEg1dq8XN7HIt2H5fbw09J4XN5/5lNB+ixdj7hgwEeVvegCk3n3+cjm9jayLekr3 - uak73a2ex1bPM/3zUve6m4V6VsvpbuQ2RYN35XeF5DPt0nW8d5vSJmcvDdv7alIAxEqUT7BOdXEY - I393nE+zcL77xvTEMEnTbTEiofZ7NYhGEiJSKPIYar/NkrZWZxzqYjv0vvM1xhhjjDHGmFcg0vZz - EAASEKDu+J/G3IiQAKLtb0EibIeNuWX632vdXfEZFt1tjDHGGGOMMcYYY4wxxqzRPtlLgpMPZhLc - bDYjH+oof/zHf/I93/O+pone+SbFpkn5EfwcvI32QdXz/WygqjFG732MsXt9c+2mjDHGGGPuIASA - FSJdR0VKuR2wT8B8hoP4q+/8xrdRA5lWjRLgqd+jQAQEEFp0MyBgJYoEEDuVddnMtxZrpBoYBz9t - 4oCHz0n408u73/qRX8fW9ryqBhe3EiGmGEpPCo/cQkgIrMi1Pe56wXWtv7sece6w2z0FBKKAo0X3 - QwAICRyR1wsECTjxVwAAQ1nbJk9wgGsUfoQHHn3rRz/64Xd83RsIh/OK4dzLtNJMSqQ5qXuxSBWF - A5hU8g0/AyJw0+njqfzNd77zL37mdxw7ICByPnRBIEYCa15lY4wxxhhjjDHGGGOMMcYYY4wxxhhj - jDHGGGOMMeZOc/y8uwDCgGeuG/ECTKc8r5wk1BFEqJvjORQMELq8R3IKL8DRFJcuQ1VUtp3/hb/6 - 19/3q78KzGlQoFREQhUR0xM//XPFwSHPZ494x9zUEovgIZpi8h6xPuKyxPUZZhU+97mjp58+2r82 - DO4+56WWFHMjkaoMg5nTFzmFy5fuf+1jePARjMZoAA3Y2QL7WDVhsNskaohmUjW75VXS8qFHX/Od - fxOhBBEKr7HSwkcSoI3tGgxDHaEBCjDD5SYtCoBzLEyb7QZhgBbB2G6RGHOifXAvMLMbKjFuc+OI - LvUIiEBu08BQOCCRw2iImNKl7b2r10bTSXHhIg72Lj344NU//pOSCc7FpvbMq2Gcud0HKQPwCiJK - sXYupNQU7CbXXsC1F3HlHu89yPHR4Sd+8kPv/KEfhmcN/hXR4jnndkNySBUW+1eBWcWT2gNACt5h - XudEyrOntCsWmZSAEhSaFFCKKQUE9iXYNSlWjGZr6HZ3dx9+bPzYoygARDgHEUDQJJRjRGA4ckX5 - hXo/XRzNLlx8+9/+bgy3QQwRFK5qGg6Fh1MR4kXXstw1nwEBsWnYO+dcSimEkDvMtdxu8xKtOauc - 2hHz2vSym+i+uZ/I2I3kk2e5s0SmrY2+Xl3W2sWdnGZjVbs5mI8TuEXOEjZ97GR0NJY22EqMq25I - cIPImqV28+bU2K6cU3YKM7qYW6ys/snSdHWC1aX3F6qq/fK7efvZycuF9Eo76TjAWnFaavINr2j9 - zYveOq5Mpr36rET95dOxHg+7cEGi3NRRTwYW6tpyllavyyLv9vtSOvnmZpD9iOJclABwK8fPUqTx - 0rC9IeodPMxgxqYc50UWt/YrptqNl+MyoUybe2np1Yd6oRSrczCDaGN9urmIToQK9+uTa5uPk8X7 - aM0wpyieOJyO4w+121B5uDmSfP14laSKfGww9d475z5/tsdgPyX6OJR2cYTiRhHRaRGd25Wz9J5d - mb5dYcc5rTnkoN+1UfEARqPR2sjhnFLpvQ8hdIXkyMwu87KfdrkpKjhH83bl5M58iKhpmn7ub16W - 935TxHKuQBda3EVsYpFq3C/HObcpYrkf1dwPP16Nas7RxZvK6ZbSXQSXkoy70OKu5pu2/9Iq5JXq - pu/ynrsKr6M7Ozs3jPjNQciSoEhFGKydclNUsOPQjwTuynEcuhjjHGycg5ZJVqNneXGZbeNsu1Bb - IUlR87w5IDmH/rLDpmhkaYRIl2Jxl+J18xgiR7QcodrJx+dqAHY6eSLrp7quLSdPvxq53X/RP0LS - hhNl/23Vn72rf3fwY/E+7S8CJ4+l9UvIl2ltL9j5HR1CaP/cnWaTgIg3XBl8WHRcoYsKqBLaHHta - 1FwBEZLNn99DeyEUFZXFiQWA915Vtb29EU1JIJqUySFf0E8OC5/DjxUiqkIQQAnSlsO0qKBAE9LG - KOXC9wOSCSBdXPdVIaQqCVCkNqrZ+wJA//uK9uqWty+EoAqBCIGh4pwDcqqxAKKSVAWamH1bsubv - S0QhgPj8eXOl/PbGj7Td/pJ69VEwqbbLVYWIMmjtdksp9d87RApSIlUVIgWUKZcGYkLOKs81PDl0 - xECOHFZq78OJiOu67t6D+QasXfqGU1lKTf9d3M6VDzOV9sCn49O1qKxdr3zY5LdIPkvkDZ6P7EVU - 9vEbRSSuPR56p3csDngFNKWmt93QC+rOWUz9/2Td7WU7bJqqt6bSxWwvzmzd92ei2u6XU4LAc5l5 - vXJNYqyxHB+OxbG9Rv8zXfdCVZfG3/DjZO6+D70Pd7mc/vW0f2LcdMpaXdzqlKvnwE3rtVpOLzX8 - +GNyf+RSTTZdR/qn66Wz8dpyNt3Ard6JLe0InNykp6/v6nLXbv+bkTuKUVJ9JXxTaYwxxhhjjDEd - Us5PonD+rWENi142N0NI+z9n2mFkXrq7K667z6K7jTHGGGOMMcYYY4wxxphzcM7VdRyXoz/4gz/8 - G3/jP2PyqjEmGY+3J5NJ92BrCCGltOk511Pk1kEi+Rn39gl+i+42xhhjzN1O2wd0mCFQgRKIkdt8 - SYX50b98x9f++enEHR5GRVmGumq7gFEWaG6flrBoA88AlBpiBZNG0tud2w0FksZBMZwBh2X5xa3d - J3a2vvXXfhkXdjAYDbyv6kieXOEVqOdNUQQABF5klufefBa9WXVPK92Rud0AukeqBOBePnfuFiE3 - Q3T5X90Nb1633EorN5zMfyNGJIy2QPim3/7YJ//Cux99fvrQYFfm+y/PmuRYd4a0zWsX3Q8JJDdu - y9vfFW6UiKrZgyPCbIJRqY3ScBs52js36V0cfnfiHjPGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wx - xhjzqpRbVLS5PL3n3WOK4KIMjNn05/7at/+td71zoIzBANU8NQ0AZeoHVOuiUYAjFACuXcNjDyco - +6BJ3vvVb8csgiO0QqP/6kd+9IHxzva0vn/e7LiARqGp9gomMOn+oRuUaMQT4zOfnX7+C9MvPTuS - NHZ+qxg0dV1XFTO50kfn5lF5a7z9wH3bjz+CK5cRPJSgirKEMoKfz2rdGv//7N17rC3ZXR/47+/3 - W6tqP84599G3293tbhsbsHFAwGCGMe/xGJghEyGGCCHQSGGIMmIkExsDSRBMgJFQIKAkEo+AYP6Z - PzLJPDITxEQQQYDBdjICJoBgMA+77W67Me7Xvfecs/euqrV+v/ljVdWps8/e595ru5vb7t9HV/vu - U7v2qrXquc/Ztda3ifJC16Tl8iS3/9F3v+vBWCNWkArzuREyQDGUwHKFmiErWJgjFEPsEDhPkixL - fwjq+02MvSN0WC9nvSTOPR9W8tBT5kXvZVAWJJQBhcVxupbG1PE50hvzSrSBASb06lfj/U/klIwl - A+EsFWmHfq9RS4CQGhBA8XRtH/kwPXAdSshpPq+uNWs0axij/tQZv3Rrq1lSkgCulsTLxQynpxQF - QLNem4EIOhl09pItrgwAAiLDEDhLRsTV7LhL67TWEOsrVw8ffTg+/igefAhdxnyWbJNDVcfakrZM - mIc2oZtRp7ap5U3f/0OIBmHMZglMsRIDgEA1wKlp6mo+TbJLBOojyTXECELTNMvlcuyxHkJIQ/Sm - cx+3Eip2l8Mx74z1ujwabef0nRlp0xTYuxneYRqMOg0hY+axRdOmbaVj3lOkd0lwm06/GH29bxWe - T9TeMdvWxEvK2Xp1XPTlGb0XFzet1bQhd1ONS4pC6Xeq2zPcpTvOfHEN3OtSyoXgksKx/9IwJGob - DHS+H+wYnj0WdUn09dbixsrv3OXvZrNO57kkUvqOhWBYPyIkwotFPXxa2n5kJpE+YpiZiBiw8lhW - RUmSDSEyU13PdpZTXi0lMMsQ0qrjPEQoSxnn3FlOjFV5VYSJeCxhrNVWOWVZF8uZzeZlznJeGaer - GmDMstXqnWsGsKOjKzunl7oRcQhSVXUIMkzZvccdHR3tnF7On9NE6p25y0RUIqLn8/m+ckpycyln - zOU1MzbTbzQAACAASURBVNCOfUhEbHDHfMexnjh/gRjPz9M5S8TvxZDjrfdevpSxbltRoGU9MPO+ - z0sppTEMe9quMQJ5q5x916YSGX4x3nisGIZozLJduq7bV84Ypz3N+9wqZ1zWvnK2cpqn7Z3OMKaM - 74t8JkIZCWDrsST7gpSZSYhIzAyIKaVJ4L0SyJBgIAZAINt67FIzqa0RkQSYiWrqU7pLInQyIBGR - 9GfofOGxrO0S7DppSzlUSfvflMwUyTIN53PdeoxxjEKXyc5OqueWqFqWojuPvlKXEs+89SHkwhax - EoG8pxCMWbnjb/vURyyT2Rgui5yzDXnzu2tjWuqzVQHmMVY2j7UtJ4chLXgac0uXRJVjslMxUxlo - K+c07omTD2Z7D+0SzTse/kRUIsVzzuMubf0vZpe1N+ez430oRwC0bUNnAJRmjp85dz+a5clGVCLa - bFZ0viCissV3l6CaJlPyuFbL2Q/9QQ2iUh8ZjtPtcibLOqsbgKZZD4WUtGZiJjMZLj3bl6Tz9dGt - 0kobmUFU/mIg5TxJVOpQLtZ58oeV7XKqKpQ2lgaamdnZmWfIoi5LTMPEHZdUkZJHbiVBvBx3ZhZj - GLYIla0z1nxnfcZLNlF/Bpuc2cZLWxp30bL37ioHkzOhDRuEy9ou9QRKrnmHs/1z73ouVxugrC2L - MZT69D9bv+8NV4PpnnDZ/lbXcfp7EMDDEbrzwwntO88z71j/w/qRcU6i8lz2XUdG04vs9PPAeBRM - Z7tIVSdH3PZvf9Mfzx/Xu6sxXcrOTzXjj/vPq5d9CqLJ79dbFRvffvmHnPK56+KC9rYLvPPzW1lv - F2teBkKcljacY3e3azzPTwuZPr/L7eicc84555xzbqL/+wYAT152d6LobxZSI6PJN5I6/EFAy5/D - 7+VrWeempl9nvLx86tz65pxzzjnnnHPOOeecc869BNq2rarqqaeeesc73tE0HdDV1bxpm9PTUwAg - sIhlLT1VLulCs0+MsW1bACmlpmnqum6aZjabvQhNcc4555x7SeU+RDlDFSQgTkAwIK3Q3H6sOX2k - WVeRutZypxIrpBaAkoGylI6gDADUd40EG5eI6JcCqRlSsJs2e9+sfuvvvuczFzMsDhECNKNLdRUA - K2HVVAkI0KFuBAIEEBvbgGGcprNuV/dVRyICBAZoAvpxmgxQEKESZKCMQ3B2rxUj9UNBQax/mZhL - DzxEgQIp4caNL37Pb/7RZ7/lwePbcf/SP2kMBDICl3hughFy6dho/W1jBIUhM9ZtDsJBmrjq/uVb - v+ob/u2v0fUDVeMSXM79LYoCUOnqSQzs6x3tnHPOOeecc84555xzzjnnnHPOOeecc84555xzzr0U - bBiRV4Y86T5YB4hS9Xf9S/yv/ouvnd28pZuWGYDllNRgZsRQ7W+5pz5rOBNEDKsXbi6IjNCG0LT2 - +MENPL+C6h///E9c7dKXMLU3n7mxXOjxTWyAeIiUqiqkrkW7pgh89M/TBz743BNPhLatyY6YQbRq - WwMoxBRiRxQWs3B0dOWNn4Xr17A4BAMsiAJC125iyNas02bFR0dPppNb86M3//3vQxVLLDJEwAyh - DsnQp+KsT04OlgcwhmaToAAjBxhgMB16bnBZLeUtQ4DM2EfgrFOwDUPWnoVz2/jIROdLeNEM5Wtf - N+v7pswAKCPYW777bz/9Az90lXlzejqra1y9enjj2unHnu2gAlLVsYZj5wj0a0EBEFgtEZA0E0Ap - L8mOn3rq6LPf1Dab6sGH69RdaRs0DRbXskHo/uoCc6+GtC7gLMddAYYwUkZWPm10pszIbSuG49Xp - EiA6W5MlXGsnJXQEZmIjqEEJQCBJVXxGW9y4Uj/68NGjD4cHHsR8AQXajKMZ2lU4WGqrtkauFx+1 - tFkc3IrVF73jb4MDGJhHxAAFlEIMasiNSsVCSNnO5XYrIH0fnzlKLKvBMJ/Px6Q3EfHcbvdJx8wi - si8KFJNQrot5XVOXR39hiCubzjMJZbStH/eVszPPbF+VLk4c67AVwzyaDi9Rrk9lylbZJe34kohl - Q38NKInOpZyzMO/y33A+EtkbvTzEFF5IfSaAzpZSasuMfWeI/k10Lma7f079cxEqqcBDfucO4wxj - pl2JjSzRfWVfGtOFL9kfjg4OcSFIr4TJlc0zLYeZt87d41v2RR2XckpVY4ylEDofVTtd+uHyYGf0 - ctnQzFJCoEOQMXCaCCUoGqAxsjrGak+EM7EgSCWBhKMEIghIczIWlOcwZkF5tQ71ZFD+s8cYaxEK - oSIyoKR1CpGlpOU5M0RiCCwSh7zJHeXM50tmMAeickwYcxj2jR3zl3nKEgE2yyXTcWvOscyUdGc5 - OdtYTyIpJQPKHLbKLO3K2XaWo4pxWeN0Iiv1H8sZ61yWsrM+Q3KqTadP6zYtZ199Lnkca1KWUuq2 - 77i4Y+ThGGCJXePpDxmutO+jwngCxFnApKJ84tlVI0tDxOx4vNwxeLK8uhU5mbqtDMsS+S4sW28u - i9gXBVoiP0tt+zpQOcbG0NN+neSc8v7ozViiizWbZp1cU6qqGsoZcoJz0v2xpLFkdpua2nRzjJHn - AEzNgJSmka7bZjH0zdc8XbyIYIjjMFNkzckABJGd5fRR0xe2Th0m9TFFVjPTSeT5tj3XNWEe4m6z - TVZ4COcjEu4U9F5V5yJmrSQZmwXmaUZ12d9xpyjW8nT6o5bLrQ2DBPS/M9i+34JSase3n/80srWs - MolVdWdUaimgzFSaXkoZ9+dpuCntXz/9BWbHdiCD0WSjlUIMe44XGTNb7NxHL2acOx5LMDYANYC4 - XBX6uoBo+zAdSBCMuwHymNfMzH38OBEmv3npnmOpGiKHVbXsDZOWlwEdyCabT/ecH2IIZ+WoGVCO - l1k9P9vZzha09zw2HhdjLcp2nNU1zsdvl0fZczxePL7sQnRuX59xvV1iOBVjOHvP6nr6kXisTyln - uoPRkDW9s+Bx3zhbNWZ2FuU+HBrnZ74opz6Qm0qu9fDesaVbq2JfOV3O40LLRa08KVHi/UQiGqbn - PeeHsfzxSb/dJ0diKefy88wYtb4lje0dlD1h3/F4dkydn9h2Gwxbh4hAYCYiNtOtma2v+e711rTr - rfqwAGdR3+cili+J4ulSN85GTHz2geFsjx3bYWbCu0ccycN2nB5r505Ek08j2H/dx2R7jUsf9ytM - dpX+8dLfH88VCwBQO3euL6cuXHLdse0I8LIqyinv3HWGUM7/5xd8h4jr1G6vhzKn8K4oIipXz12H - drkITn/PKn80zmYGAp+tkP7T3Z4LZH/mGcoZrtFnf6ikc0u5x3Eade/f5s7VoQzv42l2zjnnnHPO - uZeZ8bc1suHulvE7PoINN31c8ku6c3sMOw+pkYLUdyP3idj3d9r7n0d3O+ecc84555xzzjnnnHO7 - XLzh0hhACHLr+PSbv/lbnnzy6SCBiJq2KX0iq7pu27XmPN57eq+53QBKbrdNuuWUR+ecc865TwF9 - vxwwMMRaZ8Xq9H//qv/0zd0a6waEGLhTzrnv5cN28VPZ0AfJIBdfvWdswwe/cawMIygYKJHPWjpm - CtMLGR+7snzru38D1w9tsSALyIShR1iXuihV6cYHtWnnZMZZ4ngZoQmkNty+dB/nP/PY66lnoGGU - pWnqeAnztrFf+/QuGgGyIikODmANjq68/8rVQ6Nrm1uLVHp/jSUpgNJFivpudwzSPWOY3EkZkgJG - dr5CQ0/JrdvFQpAY42a9uVbjdacrrFusTvnafMctZXu7JTrnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzLzHu78YfYqnQh9lxCX3p2hRNK4RuvZkdHmB9iqzatUOIG5ewEwKV4BRTKGXRsDleLWBk - 1LQpxhma49Of/elN1Vxpbl/NWpMZGW69ECJgirRGk0BVOD1JTz5x68mnmmeen2d7VV1nLUkztiHY - rO6IGpHq6OqNx1+DRx/D1SNIBjFIAElKbaMamOcHq9ymg9gJr2b1Z7zz72I+gwjm82zCxAA2zaaS - ahiYWGE4ODhAUhCDhUpyWFk1Q6ro2LPFxq4S2x0ELuRjDdmJROfnNxCde/fYT0S2ih0y4ADAhg41 - ZaWQnr0+vjS+naYV4n7KNJlGgRixtq5edN2KIkEVs7p64MHbzzxvSUliyl0kMcul/kYlBBJKQ28X - tmwIFXWdxcA56TyE5154Hh97tnrsYbv5HM0X10P1gX/yk6//+z8QcoCc1S0DgAp4kks21P9uEnQ+ - cXa26w/LVEzqU9qLsWsPSkhqX1WFAioAoGSM9eqnv/avfdtbv9LaF6AmOaNr0npNBiIy7UOezIz3 - R46ZIcEAVqaOYBK4mqVZ9cibPhM3HsCNK6hiSYMTJswijNbZYqiOTa2uj0U2D736Td/+txADYgAF - CDYMAlu3mdWzlBEYqBgZIAQhKCyBtkeWZYVKnybGNgRtqmrO+ZLItLuxHSfrj6/cR+uPP0YVJcS4 - XMx2zinMEkIVIzGXKSXGtu+kZQaiIBJiLHHB+5YYREr0cZlHzZgIRCUmkAAWqasqxBhEhuz6HeUc - LJcgEuYSUVvqUEpTM5ixSBVjKae8qw/gHZZYQoOXi5lRicHcfgSTEIcqViFyEDJkUyFWWCmLQWUe - MB0sljvLsZzBFFhCFetYlXIUNsYfl+clxlliiBJ2lsOgMk+oohCT8Pje8updljPWBExQU9i0NMs6 - 1q20rrT0Yjm5SyQcWMo6HWsSWMr6mbZx7xrGuQTB85l5NIZrTl8ys61tVB731XNcM+X5Vm2n08+u - 36QwvvhoyOV5Cdg2JUMmCLGV55pBbCVyO3W6sxw7y4yn0pwxOnQ6pUQ/MrOl3RGGKaWSRz6+payl - st6mQYbjxJ3llKsJ83hhJbNpbGK+8FhKyiW0tEwZIiT7eFYzzdlKycOG063HEIZIVDOzNJar2k72 - gRIPmYf42h0nFZGyb5S1eFbPYblj3QDoJeUwlznLySON9aRz3WKzqgF5Ej+5vX6Itls6PpaVYVYO - Mxv2+d3bZajzXmN4azlGpsdOOWhUL8v/nuYp2/RI5N3LPRdoefb5zC6vp+FsrP8+cjLwkEZ91nXb - DBcjTq2ETfKeJpCWhpYfhsXZGJNcEk8xrJ8xxOJiuzBE1TL3IadEVCKc+4bz7vW8VdvxCDMY8Rid - 3g1F0lhPALavT/1w3pu2wsxy7s5CWPuNV8q5JKp5x1LSJDq6X7d9oPKe+uzMAT0fYUtDpC4mm3vS - 0nNZ0Vu6rhvn2ToxTnORsesasVWf6UtjQvA0Gnlaw33llDPqVh22ppwrYU+AqJ3PLB/fVVbUOJHO - Z9leNJ1/q3xM1ts45fLI5/Fd45RxRZ27wp5f4dP5923H6RreedHZGrNrX5PL/oDJTkVDlO/W+hwX - tK+c8SUeDmwM44CNTdtaexfta/sY1bxV1Usin3e2upQzbQ7daYizsZCx5kQ0XW9jIdPFbU254xBq - /amGeWsH3loPl+y6o/G9W6eCSzbfJY0tj+Mnn+227D8/X1zo5Fy6o2k77Twed+5F/fXiTsOObB0m - IYRx4o6zzZ5GXRRjvFgZm0TC382Gw4XzybjCRWTnabn8meWich6+vM7T/eTy89h0z7/Ylul647tr - Js6OQd46+U/PsTtqcqcCsWffmzbkkvPGGHuPyc5Pkw8JmB4jYOyJih+319aBbJMr73SD7ttM4/4/ - LQfnN8Hdb8eLxY+fQ3SynraGlgH2Xnadc84555xz7v5EABsbTDkp5fJ3WNEQEqlQ+XaQIKRMxpf9 - kdbdr/pvoc5PVFLe83f1i+/dMvzOP9yPVH4ytuGPnQYMd9EoCEYZyGIccoy5AlJGZmUAomCNpBEW - jHPmloyB8i1pPzCw73LuU4NHdzvnnHP3r+G7KP/c6ZxzzjnnnHPOfTJM76G08fuekW7NU8Xq5OSk - mtUwhpkqwIGZbx+ffNu3/a0nn3yamJPm/ntLA4C2WZ8t4S56LJfbzW3Sd0JEcs5mllJi5jLD2CP6 - E2m9c84559xfLoKydsxiFFQhBN0ozRlthxdOP/2F41nXUARR1bRdJBIyMx6GvxkGx+n745cijdEF - AwyMC7cg3ZXyPtLhYyEDfVC3IBkRiaYcAWJAkTW2i+t/soifd7VGFFUTi6WLfQYyVEINBZRBk356 - hLH3Es494fEupPvycx5P/hseyngCQ253P9QA+o5ZZQuU7VVm61eOgYVIAtIGFBEP/tpv/da/+LIv - +bJnNvF2V8WQO03QOs5gbUr91mADDJkIYMHHmd5NBiaFcT/O0pA7DgAo01Gms6IzLbVftPm1t09+ - 5cu/8qv+8I+hhgwwkZowwJQBICilgH19951zzjnnnHPOOeecc84555xzzjnnnHPOOeecc865lxLj - XKQbMkUAYkC2WAGbNjUpcoVuA+vA0PVpFBKTLrVRkAwGEwwhQQa2rM0Gt0/k2uEscqdrQNlkvsnL - LDUEIBJDVjQNiNAc46PPpPc/ceujfyGMqLlqUgVo7pihghVsLWwH88NXP3b9Mz4Th1ehhMURcoMF - 0noVFAAHCl1HtDh8HnZ69dob/7v/FgczMKGuoIw6tinHEEtj5/XMAMaYJMQAIGfBzNL3fdC+F8Rk - rFva8WzoNzF55azwi/NT/6DIBMpJE4xCROlboYCVvhgKZpCWcPHUNDKbo/SUIVWoYagnAGMr/VBK - qB5By3YEAAFxAgANxjBAkBlMQvUiXn/Vav3R2hrTRGFGr3td+LMPVqnpchckEhjZAFWCUqmaSInP - ZGQYCJpMqO9bkXOeg/T97+cHbxAZMXEmNB1ObyICmHdtivNghAQ1ZIKxASb9zjgMNjwEk99T9M49 - GhIVLSAD0rcPQJ/X3hESwEAoQ68qIwMByQySFTkhVQhBFS3Q2de/7W3d8c1ZFSCEkxN98skFc82w - rGwglKT7fn8yGBNDCGaWMxmEIRlc80nSdrbYxPqB137awWOP49o1EFDX6NYIYgoS6mCULFgNhFU8 - eHaON77zO24salQ1JIBi3zrFjGCEPJslQGRoezjr10MRAExBDBACDACDc84hbCfq4dJe8KGKqesk - hJwzmRFBFcwwRV1L1+XDw6VAlJSUjI0NyZQNFKQOUaoYiEsAstIQEj95vHZ0Zed0AWUYG6SKs1hx - DAJKpkLIJc21nOmYhQjMh8ulDiNDTx8tZxKpY+QQqhDK/AqUZghRUi1ph3WMEmMdZWd9kJWCVBI4 - hkqCMZXppGZMpbYCgnAdIgUJxDvLKS2aV3VpUYaVEvogX4MxRZayFAoioJ3ljDWB8PS943qGcGSR - KpZykHVnOaUmpRxSm5ZTWjRux8hiTPvK8cdX9qOVjnlEYFNVLTHs0k+BkrGZ2o4jtDxWgZVAlm34 - BMMlbk1Tf80oU2D7Sjh/bbbxYbw00LTz4/gaQYdlnZW/v55kIDp7vlXb/tFMh96v/YkYdvFx6N7Y - Pw7dMRXaPxdhwGBZO+UydP5k/smjTVoD6dtoGM7q0sc0ZNPdOXzoo69NNfXlnEv3wyQvzy69XED6 - jpp6FwOr3NkQnHxWn319hi8ubmc25d0v9EIJd7vcyXtt8nx3OX1cL21Pv+Nyd1X1E13jF7Mbd/54 - l/p32e737llvd17Q9FcbAJox9FuelrmjnK3jfld96MK54WxZ5w+yvfUcE9Dp3LuMhj7Wk+Pyso02 - XUC/IhUGMIXzhex8x952DfWhaTnnm3v59tp+dWsVXVLCHW2ldV4y5x3HWdpKJ9166W7258sjUS9m - GF9S1Z3V2Hes7Ys2n5zRJxex4Qow3eUw2Ql31eds/kuXgrLb3GnE67stB9ixh1xSz52nzPPl3NVu - dnH/tH66TA+9s5L3lbN9CcRwIN31pXFHoTS+a3c5l5R2+Rl/UvJ0yk5nn1OmJ9C7a9fdlH+ukJ3l - TK/u97gCt07bd//2rTnP/XiP54fpey9+7rlDlXa9uhVjfG6he66n58uj8z/2u+q5ht3jh4XhOjup - S3+CuLdydqLz13FcuuP39blTVHxf8q4o7osu2xnOF3XvzdVdh8jeYu60eUtBYykXdrbxv/3lbH9K - oqGcC8Ve0ti8b/0TbR2Gd7yUj2/cXcnJq0T3PqKMMQAdRroxgtl03Qyt+GTsxs4555xzzgEwgwxf - 0xKRaRkq/C+3Uu5TkTHA2VKHjdWdiUI5drM5aaaULQmxZQSrrCUyCix3LtO9mIa7Yxjl604AAFu5 - VWb8FbuMectk/becSgr0d9QoYGQ6zMx9WvZw91b5gsmGUobnRuWtUFIjoP8CUmGBlY2RoTBWAGAu - f7fLXeYuRFVrRbFolpGtkROIVQhdlyNVaMKMjoSqNjQcV1U3F41kYWir3dXfN9wrhqoys6rezc1I - 9xWP7nbOOeecc84555xzzjnnthiAptnEGOq6Xp1uYqyZTSG3b99+17u++z/8h98zwFRRvg9gYmZN - e3uv7SQi09BuAHVdN01TnocQAKjqXd4i7Jxzzjl3/xM2wMZ+VRwZbUZz+p6v+do3nLZXqmq9bkWU - QEKUtJUylMH07otzd2IonQ0/9HEyQAlWPnGVjrEErnFzg4OZNJv2oJrBgmlzy9AdXf+zefWN7/4V - 1IYYBBW60lsJCcPIC+NwQjs/vl2YeH9/yDs/dNf5buZ04dlZd+2t7l6MZBQIFCKyIbU4XHzTr/3q - b33xm6v1yY1YnXaro9mhblZr6HKG1AF9RyiGcR/Y/vF27SPDWa+qsynFZLqxEFLbLmpJXT48bR8O - DdZrzJYINfqex/2+sm43s3r28dTGOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHuxlExHgLi/ - cT4DTNCMbh0kSj3f3P7z2dEcq42AWjMbQrrK8LA5a+lIEAwGQ+rw3PM4WFQiRGTWBWRmATHajK5B - s8Gywml7+4//vxee+NBis76qcj3nTi1E4To2WdeMjeYUwtHDjzz0+tfj+g0cLAFBrBKo6TZUcXO6 - ruqqlUoRWo66OPiffvEX3/VvfhlMWM5gijpYiMnAYOEw7bIweb6jQ8c4Lu6lEXW7i9s9YVcJlpWY - QwgCtCWUKBnTkMtpbKpg67pUxRDqehJxUwbrNQLkfEDh9nIMoACoQnkILU1AhgWQqD36Td/0sZ/5 - ObrdsFodAw4PD68/mD/yESImkja1JeWZDZlAZZxfA5D7RlkfyDoOsxsUN5/68PXP/RzM6rxZcX2t - Jnr6F37h0W/861jUcRag6KxLAQKiUuUL9f4kxTzdycU8NiiIQX0cGff519rHgDKsy1JLgrWmFYUA - oAOevfWBn/n56zkdVMHaE9KMg8Pn3v+BWduoDlHYIkSEhKxJYkTXdZYt9TlIyqJE1ZX6mdOTq4+/ - 5tqjj+KNbyyZ65jNYNat1vHw2maz6iTarNoALDW1/H++51f/5i/+H0eHcxzOEzTHOoOr6VixChId - 1idPmzo+zzmXoCMyCkQAmqap6/peV2fqOgA5JQAlea2uY9N0QdB1+X/7F//8C77gC7quCyHknFPu - ZrOZqo4Bh8y8L7yw31x7ppfxfEvPemYmIjNT1ZIoNw0+LPaVs9U3f1oZZi7PS21FhJlTai9ZG1uL - K+8dwyDLxFJOzrvHGUgpiUgZyr+8fazMNLBtXNC+iLIy5PHYhDJGwbRi1od23iGoMuc8llMqM84/ - 1o2ImGCWLRlRf0XxR3+83x4/Pi9JffRFe3TOOeecc845N8WAmQ/P6JxzzjnnnPsUYlljlA5KxrqS - 1z/wWbPbyw1Oc+jASkRkXPNcVNCF2eZQDj2C9r6gBBgbgaBK/Ri5DIPRGOltBB2+WCz/7/wKsMzO - Z6+qERMUVqYxoGQ8pneT4UKittIQDU7GZQDVKJUJddaRyQPLhz/t2hvWdEx1VsocQ9umwBXPQpUO - lnpdNLIxGZGBjZTMyPpbb5x7+fPzpnPOOeecc84555xzzrlXuN1f+WSzqqpOjldt285mC1U9PV3/ - 4A/+4K/92m8AiDF2XTd2i9U9/WkvUbrglv7DAEIIJbc7paSqVVXlnEWk9ID16G7nnHPOfUqQJicm - jkG6VYqRkU+xOeG/ePYKZH2yrhhBgKzgcqvPi31rjoKQmWEiygwl6jKjSYgBuWuXFVK7SQChaq9e - f++cv/63fxVHFQ4OEoIgUJNRy6Q4BQPGIGRALlnyK4kB2ZSITXMUxmKGpsNy8R//61/6g7/6tfrM - 81dB6DYZeXl42JwcR4ISMgEgNiYFIb/YIecEraRK2p42CAIGDpruf/3SL/vGf//bOMBmWdcggjbt - uq7my2qmJY3cOeecc84555xzzjnnnHPOOeecc84555xzzjnnnLtvBeRNIzPGfNZoMsLs4AirE4CM - aQyNNTsbyJWGRwKQ0s1n/uLqax5DJ1ECLJm2aplh6BI2LZ788OlTT97+2LNz4VcHgQXrutKfYtPl - zHrMJNdvPPiZb+CHH8W16zhZ48oR2g1gIKWclotoEiwenYqs59WHNsdf8X3fDca7fvAdUCDUaBVV - DWJKFMkyjOW+u5lfJJbhdTUlIoshau4QK2jft6RE38ZKNBkLld4ygn7AXQbx3rIBwAg0hDYLhohy - ggBZDQLUAVeXTzUnb6wWoTu1nCmG8PrX3n76qcNQcWo7ZBOCgQxRoQCG1HZYP2Qw2XbwdsWEJ57A - 5362LBYKXQo/8Yfve/TrFHaCK0cwVBIZ2minJMLDm0uE99lPLzLq/1FZpWV4Y+IMZGYGgiEADQFg - KGAK7ihabjqpZjOKwYBNws3V6f/yC1dvn3BzqpxlUeOZZ/Ds03LrhZrAgkzoDOsuAwiEStB1XSBE - gZFsNDSorD6w+UweufboX/kMLBeoA05PsJijXaNJII6RNpt1U82bw4Onm826mn3xO9+Oefib/+Dv - gYBYQaJAADZV4UlENwGA7Ix1H0zDoQHknOu6/vj6p8eq6pqWiEBW11WzaWNgEfrhH/7hz/v8z1XL - bk9nbgAAIABJREFUxOhSG2Os6kXO2SyXVW/Wxz+bWek+v6ueu6cTnXW6R5+ybnRxvzyfxn3JepgG - Y0/fCKAkXuecc8776jO+Zauc0uu/rNjS2PFxZwnTkOxxnjFye7qBxkDuneVMlzhN6R5zykuZOxt+ - cf1cLCqEME6ZBpM755xzzjnnnHPOOeecc84555x7KZCKESeWjiLmdfXIN77lWzVmmYU2tyLSdR2y - ClWc0azbhx94pLnlUcr3DbqwLc5Hamu5v+VsiN3h9h9TtvFWoL4oHd8CBcDQDBCU0U/RyeLIiMtt - P1BlBWn5AUC5z4iVYdDWLIXDgwe/9PO/5j/5oq+IErASzsS1bLoNiKJU2ui1xYPpWEOOokwGIzNK - mXO/ILv8Fif3CnL53Sn3M4/uds4555xzzjnnnHPOOee2EGAllltEjo6Ouq5brTY/8VM//a/+1f8F - IEZpuw4AM+d7D+0uRKTEdeecx9xuACEEAF3XxRgx9IAdf3TOOeece5kycNZcSyQQoHEWcHKM7vb/ - /NYv+88OD+hjzy2FQuRmk2ohUH5pbsFQghIBYAJMy7guBixmNWuzWmMRgsXl01a9v55//W//Oq7X - YEqogaprtKoFOwLG1fBKvKPokjZnmCFXUnVdE4Uxi9ic4lUP//HBFTteHbDkzSoA65NjM0SADUb8 - Et8J2KVWAmLglJQU14xff/sYx7dwdADgtG2XVairCpZgFdulwx0555xzzjnnnHPOOeecc84555xz - zjnnnHPOOeeccy81Hro4aH+Pv0GqCkho0yZ1nWXu2lAFtB1LNCUzI8BsGECWAEZOEAIsi1Lzwi0Y - wRQpwTKZUm7x/LOnH3ji5pNPLRPFrNcVmlJukhGZxCTEVdUJHTz08OEb34hHH0LS1GkgwtERMlmo - M0MDaLZ89uTmKiU7fPD17/xO1PxayTiYZeGMaOAAsZADMTKQOswqYSRYuM/u5k9tF2Jcn5zMDw4E - ipykCrAMZhB1SSGsikqQlViG7gglTBogKIFhABiEs2DvoddC2ZxE4/S+A0fuUMWw7taLyKjpzd/7 - dz76g/9wDjZTMsWrH87Lpa0bmArzEM4O0pIAbUA/pq9M+m+UwXvZYGTN6dqeeeYQ6I5vtmFpRg9X - NZQwm6N0w1EEAXFkIJvKJII8lzqPvYNe1C1GfV54v/8bl3XbLzwBAMVJNXKDKko1RwYzcNqha3/n - R3/skZOTR5dzmzHaNVYJIrd//3dnmlOCARIQgrCQqpJqAhLQERRIzHm5PHjw0frx1+ORRzAPIEXq - YKzVnIzpyrXN6jQxycGVZ9u0ni/e8M53PlTVCBE1IzSJhcAGyV2qYh2AwNzvKEPOcnns0953yTmn - lOq6FpGcc1VVH19uN4Cu64bwZmw2bRDKWd/1rnd9y7d88+rkRFWZ+fDw8NatWyJj2PY0eBsA9kVZ - p5T2LXdMoR5/3BlxjUtTpafLnYZbT3O7x5RrAGaXDRSw9S4AOeetll4eVT6tzBj7zcxt215sMvav - t+lqmTYtpUQTZXiES6oxlr81W9d1ZeK0/Mtb5JxzzjnnnHPOOeecc84555xz7pPIgipZ5Cpl5BMc - zm6kRm1jlZGIlG+HAzHUlkjNs8oif9lVdgDA1t/PsaVMNEBJbZLbTcZsAJjKzUJTpIY+vXvI/lYt - d3aRmQ13j5x94c+Y3p8DBcxIz+4zMWGpkIlQ5aTd7VRXB9GWlHDQHaAj6jCzTpFijKnNqbGIWlTI - YKSgDNJSKzLf39yZl2luNzy62znnnHPOOeecc84559wr2IXOq8b9+AIgJtFsqgihWm1WP/tzP/ez - P/s/EsEMKfW9cHPOpQ9q+fLynpadcy6x3wcHBycnJ6Vfbunru9lsZrMZgJRSCKFt26qqPtG2Ouec - c879ZROOm/V6LoABoQZlvPD8G1en6WM3AYRq3q5XAsCs7XIlZC92fjfBCB0DhmAdGQzCapF0ddos - BRUAo49o/v1HH/y69/w6DmXdrKsrN4CoDarAMJTBkawfn6rkfzMB8kocnKR8kB7u/aL+IZtFFoVl - QGK9blbzeoarV5G7v/7Lv/Qrb33b0XPPPmQhdIkIMURtMoHEQGAjNVaz6d1gL5YYZdPlHNUYpBRW - qzcE+dWv/NK3/b+/S/NXzapZ6poYAc3oCCG+6BVyzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 5+7OuWFcCQQYYAYigIBZFY+WzXPtQT1DuwIw3hW/1fvBaHgjEAmr4xPcuomDGmR44Rgffvrkwx9u - b98U0AMiAWgtJxZUdTJuAV3O+cqV5WOPLT/z06GK3EIYjBAZSOhyVsPicMP85Omtg+s38sOPvu5v - fCvqGmSoBARwBXBzujpYHlEJTM4AMuYVqE9hthc5CfreGEKIMJvP59Y2FEsudgdmg2aQBiJABGZn - 3ZoNfRu4D1nvc5ptCL0eW8hABjIgZVpJ22YACAEw1LHu0irWAeuGD6/YrWOyBDIs6+VrHj39gz+5 - wpIlGyxm8FAwQa2Pu4YRaEz2LpHXpGR8tJh/7JlnDm/djI88YplnVZg1+f0//k8+/Ye+L7UIQWCm - bZIqGlgBHnY8HR6ldLm5Q6LxJ4wB0tLxO/S7PgsAgpR6EBhIQBYI2HC0Xq0Wc4CAboO8/qMf+7HH - 0/EDtebVX7Ss88BoDR/4UHvrtnRpuZzldpM6UMrCEMCUEovOYqoqvnr1ymOP0eOP4vpVZEV7ajzP - xiAKMjetGqK2k9PZlds1f8zSV/zA34MIZgsgAKRVbBEbdBW4MqmlQqMIDIVF5GFllghvvnTPFxER - AXB6erpcLqe51/eEQKZmQIwx504VOdu3fut//e3f/u23b9+2nOs6tm1zcpKqKgBaBoYe0rFtjMTe - X8/dO4SZEWEM1TYbD3SeZkjfa4umSdgX07uHmu9QoriHeG8bi5pOxyRR+46R29M1Q0QhhHtqkapu - hWqXN46R4VsV2Be8fTGTu/xY3j59dRz64G6q55xzzjnnnHPOOeecc84555xz7hORSVe6AXQxP7Iu - k9SKQBm57UKoOCEaUSQClNpZXXVdpy/X5NxPTQRM8g5Y+5gDxfglPGm5IYcNZIGNyYiMUe7XIlUy - AzKXnO8LW5dUh1tweFggGWD9fQPDssvNJsNPhNxtyEKQ+iAeNpo0o7NO264OMWtLCmLOxlCrWCyb - mJIRyn1MBrL77VYtd18Yb4OZ3p708d2q9BLz6G7nnHPOOeecc84555xzbmJI7y5/+q/r+uTk5J/9 - s3/+T//pzwAof/ZnJhiVUO3ypnvN7QYQQiCirutKbneZqKrMPJ/PAZycnCyXS+zvHOucc8459zJC - QOown82hHSxhdRub019921s/4/nnH5wvYpPb9UqBaibdJjNgbMgvbpXKoELcD31Ubi2KgEZCrIEW - KdLzi/mTB1e+7j3/FocLzMNcjppOA3EVAUVuIIsyhg8EKudKf8XdXVTWYL8SaLjXi/obrQi0yc1M - 6lgvsmk2M6nrhx7+qt/49f/7S7949sz6QUMQHDfdHBFggTI0UwagYAYIu4ew+WTJXRYCQBIi5bQM - srl9fAUdGPWmQVUj1Dkfi1TI8krbuM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOefuTzQmIw+3 - 8Z+9xDClk9vHh7E67bolbM4IIUJVYlCCmQlgBiFKZgqQgQAiECCksl7jQx9Epatbz3cffYFO1lFx - jQiwtuvWAKqqkbA2i0cHDz3+OD/+KK5ex3yZNRkQqmXXbjKorut129m8amK8ZXRaV5/z/f8ARIgB - 8xqCFAINTcldPlwcwbA5aWbLGgJAQGhTR4EY/OJnQd8LwmbdzOY1ApECrQIZqUUMlFOoQ+CgyKCY - kla1ZOujgsdhSqnkdgOYhF4P6evTDarj2/pNbYCCAnGoDS3V4QUYd921WCLPdfG6Tzt935+Codmo - BHUb9+UQQFBiAKJl/F8YwDakJUNPVuujo+WtP33/latXqmqxvn075vqomiFpkFT2EjbRnI3BxNNG - 6Uu2kQiAlshzGqcYUHq4WN9SGdatATmlRT1D02GzQu7e99M/+VBqr3CmZsXzGI5vQms89ZGnf+/3 - llnrENarDRGCkDF1Ro1B5nMsFoePvRaPPIhXvQrzgK7pNiccRA7nKQeTyNX8z28f8/JKt5g9fXLy - Rd/3dx+t5LMig4A6gqMRb3LpoEIz1KFUeKOoGK0hDiM3b7UVvK/LUkrJzGKMJbe7TGTmfZHSe9fo - EAudc1YFM77qbW/93u/93s1mvTyYsyGlNJ/PV6uTul42TTdGR2OIfy695kuO+EWXDNE7juo7pncT - Ufnp4pz7yp+2YlycmTHzVnj2MF7w7vrknHd28L8Y6X35OADTbTFtiKpOCxmrdHk5WynmZhZCGNfV - 3Qx/vBXIPdZha33eTdOcc84555xzzjnnnHPOOeecc859ElXzWdO1ybRtkxBr6mZSx6omonJvBlQT - 2qbbKKqccwgRdj/dQuMAPvvengE1ggJGhnIDizIbk53dVGOkAFsJRCAohpuISOmsKJvMDDJWGhZU - doDySFSekMEoAQpSGFdVlTt0qRUNOWUJoQq1xFlqu4Sk2Zg4mSIpkQhzuYGspHcPjeKX7kYg9zJx - /0d07+PR3c4555xzzjnnnHPOOefcecYAMoiYk+Jf/9K/+ZEf+dGUEALnrGpI2QDrug6AiOT88aRK - jmnfpfdyzrmqKiJKKYkIER0cHABomqau65RSCP4nfeecc869nBkCI63bEAmpQ5d//ku+5Cs3m2sN - cl4ZhUiVWdJN5gCZ8e0TPSipzy9SdYYg6FoVgCgbiKBABpA2MODmwey9i/ob/t2v48oSMSIJOqol - 9MMgEWiGTOUWIpVXXFT3Pgoab94CDJFp1WxCPZtJjXLbF7EENgB1jQeufMV7f/MP3/R5NzYdiy0U - nTEgrCBkAZSQCaYi9uKmdzMgDOssdW0NTl2azXFjzrj9AuoFWsJBJRIBaGAm397OOeecc84555xz - zjnnnHPOOeecc84555xzzjnn7lOlN0abrAp0eHSEk5PPefvbn/7RH1VI7lbCghDLbfHn0l5LLPQQ - DSywKnXdBz+U0kluM2UEggglJVO2EDhWTYzzhx+88emvx6tugAkG1AFs69Xm4PAInaLjMJ+/oLo+ - nD8j/Pnf813X6wgSVDW4AnHKMAEDXZPrGlBIEAA5oz6sS81S0kBUSYQpcobw/XNLvwFhUa9Smgcm - Yqzb7/+r/+V3/Dff+qqv/mosZ2gSrOPDA6ABS6KqzWnOFcClg8S52PV+9F01wMClibS1MKB0rjAg - ZIDAoAxaIS+r+Rvf+Y5nf/wf59VzwoRMuHb96qsfWX3kSS6Z3MZD1LtiiLIuY/3y0A2EoGx9d56j - anZr1dz+8EeufOEXgiyyBZbYtdisMTvQdq0hBIlkxMS6O0/6JRq2t6zMs6xzO1tXVgEAGSokkME0 - GOPWTST8yY//2KF2D2xOrG1tVoMjbq9itcAHP/Sx9/y7w01bAaEKJNyqnRp1XOniaPbAA4evfS0e - eQizCgyQQjtEiVzBoC1pSnqweGqzWj98/XO+8zsh/Fi9gAQQ0GbECCgaBXXzOpoZgWDoVglMUgkI - ysoiAAgaAO4DyofeOntW6tgPnYhERETatv04xsk1VSaWyF2XCfjit7zlH/2jf1TGcW7XGwAptSGE - xWKxXq9DZLOziOvyPxOhDBi+y92kQU/77ZjarreQ2e7e/aXX/86g6/I/+vjqO9dnjLgujyW0expP - Pi15XznjKAQXqzROmT7ZN2qBiGxtzXL2HscrmGwFwv4hkrfqOf5Y2nXx1ZfvUMvOOeecc84555xz - zjnnnHPOOfeywmi5osCJZ1ECcWo72DqphsAJbYhVl1sKFAJzNChr8jTlv1T9zTZnQ6SexWlPJoKs - 3JsjOYqylVsqSI20zDbMyuWOEDEeEtkVgPZFqZ2/yehCljaxBiUANIZ/w2BAmzomAUwCB1Vm67om - KWsE6ipZggAQMxMSzYCpMKAMwAhsF5flnEd3O+ecc84555xzzjnnnHOfWpiZiN79m+/+nu/5OylB - BClpmT72Ph2/HgghjF1b7758VS0lqGpVVarKzKVrdNd1MUYzq6rKzDy32znnnHOfCgyhqhCAzSle - uPVZbbpyuloaJFBKKWAGCoZWDScnOq+hHeRFvRnDGNAyokhmkIHNCDBDFqzr+oP17Bt++9/j6nWw - IVbQYVyiDqgB7vO/GRAozm6TekXfVnQ21NIwVIupLuqZnhv4CFkhjAzI4hBN8/T1a9eRD1enMyr3 - eHEmFWgZEcqs/L976JlPFhJus1axhqrmFATtGiSrf/k1X/MN7/5tXFnCkBMksDKyIXh4t3POOeec - c84555xzzjnnnHPOOeecc84555xzzrn7A+14hhgJwGbdzGJEaHg2Wx+vrlQzpA4iY8ouct95gxlE - MEAVRAhkc5L25q0ZIIBGQoiNagvQchEOjo5e/+nLGw/gyhGgIEMMYM6qrXZ0dNDG+MLmeHHjgedS - d1JVn/Nd73z1LCIG1NFIWgQAbUId+9FdYxQoCLAMI3CAAps215VwYABmmQwQeYnW6b2oQwAUpsjp - b/znX3v79/+Q3vdnLeVjpPVh/QXv/A6EULFAUwgRyBAwQUvTbdoZo6eA0NANA6Bzm5hL/wpjEAGK - lFOMc6BFXX3Uck1SpRaxQlrHx1/9sQ8+8dCcqdF+gOAdnSH6JdEwyq8RAGi7iUT1psOf/zluXAuL - Iwi4bX7/J3/qc7/z7Xx1XuLFNUEIuU2hDhiywfO+Rb1oJovis0mMFghIkgypBTJU0eT/58f/8WNd - eni9PqCsXZb53LqWFYDgfX/y/O//wTLlWgQh3GwbiyFXYXbtxpXXfBpe/TgODvptQ5qZElFC0BCM - YyLqMuFK/dSt4y/8H34AkXFQI9YbhFKtasZIgDICE4VuvYmzWRmDOc4DCDkrE3MtOXciw75e9hDj - i/vJVMmZHkOm27YVkX050JcIElJO2iFGec1rXvPjP/4Pl8tl2zaBUVV11zUxxtlsdnx8HCu5ZBH7 - oqz3TbdpnvakF78ZtqaP8+8sp3TPn84wRlkTUYnfLsu6y4jracWm8djj2t5a3JayGS9GfZeaTMvf - GZ49KoMSjDHhOxO4y0q74+DI+5pzsb3OOeecc84555xzzjnnnHPOOedeGmysmaoYu6YRIeYcWJmg - 1BkoowsiuesCKmZOCTlZeEWPe3p/MwapEZTMSMs/QI1Yx2Fax3hvYwBkylBYIFMAbAywEsTY+oRv - xXBLT6FgJiVjJWUToMRsM1tQwECAKUgt1yFa7rIl48wC1gxBl0lCRUopdcxMBoXlZDVFBbgMDAsd - KzwEijsHeHS3c8455z5ZiMgMRDCDiLx8P2Q455xzzjnnnHP3nT1f7TCzmamCiEp4doyxaRqR8Du/ - 8ztvf/t3lEjunMEiOWfT/jut0gO29Ci+PLebmZm5zDMN+W7btjwZF10SuwGUx33da51zzjnnXpZS - RiWr1C6If+mrv+ZzXziZbSABmkzAClMjY1ZoHWEZ/GJ+SUIGgkmQVcokoAB0SmYzIZgcszxxcPSW - 9/4mFgeYzQGBGpjKJ0qNMJSBcSDT3G4AfPb0Ff1Jjs7WCRPDIAScjRkFIeQEYWgHrq989bt//Ze/ - /C1/JZ0+1gEwY025y4ZZhdQgEMz0RV2fRkhGxKJdR1AC1EABc8Nr1xuc3MbyCua1UGVD7rhzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc845dz/Yut9+Gu+bs83mc6xOwHyq3SJEZAUlqSsIG2zsySqA - GltWApgBg2VEWEQEKIMSxzWRzasHXvt4eMPrcLAEC5qEGCFi7SmFGuuGg6zZ7HD24aY9eejwzd/9 - HYccMJsDjDgDldFmURsA1Nz3NDDC2KGWpK8/A7NKSmxxBkAE6pOh758uG2QIJbk4KCiBcj699SDz - wXoF0mtimxe6j/zQj1hdt40e0Ow5CW/6778L84AQjECAdsZCsK0OKTpGUA+BugwDGEb9Jqay9rLV - oWpTA6nA6+rTHl/96R/POwkmAOPVj/K1ZXN8urCy8u5K6cJBhop52enqj/508dYvBxTUgmx2cgoj - rNe2rA2BhJERQxj3vHPb6CXYVMZC/cqishL7H9AhAyq5wSqjS8jtn/zETz2wyZ/dbGLuIgPaEhtp - pi5BbfXe926eevIohNOUUwg6m58ulg+97vHqNY/j8AgcsDywkxOq5jBAKmjiqt6AT6v6OTNdHr75 - nW9HpFeFAGGIgMP/z969R9uWV/WB/875+/3W2q9z7rOKehfFa1CKIKLQHTuiBB/pPHTEdozOGJrW - oYKIMT5AIm0T0mOkNY0okBZtw0j/ke5kdKudpHvEmCgqCIgKNAoGkaIohLKet+7jnH32evx+c/Yf - a+999jn3QVHUrXtv3e9nHNY5Zz/XXmudYt+95pxfQOthMwzNSLKfxJ5GI5ehMQnD9VAUmMBCEAz9 - SsvueN2/o8DMzCzGCGDdme7udV0vN4m7iJRSUkp9319sy62Tp4eHyjmHEER9iF4/ceLYu971yydP - noyiCMG9DA8lIm23qOqIVZf94R1yybl5l7h2s63+/HjpS9x4k63mAJz/UIdCqR/nfL/HmTV+Meff - 5mKR4V9wqsD5id24yEb7go9z6fXkfAMiIiIiIiIiuvptfHwEX/7KzzSIiIiI6HIJIZhZEDErKsIo - MbocxFFDtCsqAjO4iRSHqaLAQ4q5RwxjZFUooGHIZcbhU+R0hQw7QgG4e0qpL527i3oufTWtPvfg - X8xOzKCIWkUPwSIMwTRIZbmkEMXd3UVKhrmbhCh9jBrcvTgATSm6oLf+0Dn9VbD38NyKZSK4iuvy - n8ox9m6SQkbRYNmLRDPHyGtrJCAmUdhqwq5AXNWH3G6YHAgLJ1pb1+cMRUrX0P8zMrqbiIiIiIiI - iIiIiK5rpZRSymy2ve49bpompfoTf/Zn3/3d3zOftzHCDGYopYQQLthFfGlDC3QIYQjnns1mu7u7 - Q1Y3gK7rqqrKOYvI0B1NRERE9DQk8CQimARF2544e3Z751yKmHcY68HbAcFWs3IuJ4Xk3lQwGo12 - F83WpEK2tsk9bHHshnu2p1+TKky2uuxVUjgKkFf3HYqQAkyXc3CWQ48cul8zdb1aVmjJRlI3ltOF - NsuuggIFGgAkHNv+5t9+9z1f+4r2kVO55Kg5RkDgjqCAo6CPl3Wjui4HSwl0GNXkgKPOesPOzm/8 - ta//lo9+HF7DBSpRsbfoZuPqMq4PEREREREREREREREREREREREREREREdEX6+Cw1La1KirgiAHW - +6hudnZnClVBNXKoYxmdPUzPVF9mNpsso5fFzRGyjPsYx7fcuPWcO3H8KKro0fI4RQlSj7Hb2aLL - x7bOWam2troYzqb47O///hNHpqgi+g7bk95E4ghQAcKqYn9ztc9Lo13GHPv+jGFTQGAKvbpGwm6+ - FgHUTUplfW0dtFRNVzuO1qOmaYPpyD2poi0YRRHvSxtCrUlggA3dGCabPSmrl6pYPYvsD132of2h - ZIRUhRqlx3T8vP/2v/mLt76177rowGgCtNObb7L5ZyxbWN7LDySgO9RdAPXlE65H7ooApVSK+aOn - J2fO4oYT8D7FdMztj9/y1hf9ox/XPnsyUQVwcLUQDq7/ZeTLpRx6OkGPorDUN+jtT37hF6uHHtmK - ODpfHCumsKJwyyE7BGhbnDn36Ec+LGfOeJ8fafrJ8WPV8ZPjZ9517MYbUQscGLrNS/HxpKh2QGNm - 49npYnl7++5/8A/uSAkhIgKjBMUqwRxYdqkYlnONdQiwh8Dl/IPcNl7VKgh92P+yP+ZZVVW1lGJm - KaWdnZ2trS1VHabiVlXVdV2MsZRyidzuGKO7l1JijDlnAEPatxWMRjGl9M53vvPOO+/MuZ/v7Y5G - 1bUzYpeIiIiIiIiIiIiIiIiIiOhaI+vCC3MxVzdZlwroqspDFVFc4ENMM3O7rw5yYEe4ixncxVVC - VBXZ2T39p5/+6Pt+/b13Pv/2FzzvhbeevG0rHam8thyty6pBNZVc+r4PVarrOlvu277WaghFThqL - W26zq8cU3d3k8K53McCGIhNxKGDQof4KWNaDAebigEFMTNVd3VYXDsfU8mZY1o+Zb/x+PY/YpacT - RncTERERERERERER0XUtxgjg1KlTx48fb9u+qiqR8NnPfvZVr3p10/Yhap+truu2bQGUUlYnib6I - E5NDk/OQEV7X9e7uLgB3zzmXUqqqMrNhNfq+Z3o3ERERPS050AfI3rnkCbvtyWSVogCTaV0WrYqZ - 21DlE1yHQTOXO73bYVFC0lG324wVO7udAqNqOvf6/mryd9/7AZzYhkoVtO3aUI8N8NWbwCG3O6yn - +gggWpZzihCekuFCV5/z3ifL/gikpc196kBAo0iKICPMjp5KR46FbprmtfeLFgBiEhd0VkZ1sq6/ - rIeEupqgaO9AWE46CnXGbXV4aG8XeY4ydYhIzE3eGjG3m4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiu - anWt4sh9HyGo673c3TgZ5915FRSTqQsE4m7uGxHRpqa2WfzfK/ZUjz33Lrzky6EFKgjuKYUQy14f - 0wgh6pHZ/TE/NktnBa/44R85GWpUFbygCpgColFh6BVBltOEh0aM1XMM02Ohm4HFBpRVi4IAEQgA - TOHr0Omrgyy/MhCjI1ofrGg26aHZYw7ZJFXW7oWY4BCJf/T2n/+an3wdgrkvs5nLog/TZXNxgAHQ - jYG3my/XgbL57AqEgOIQRe9ei2xNTglOzLbL6Z3gBSlu33rz7ucf1G4x3N0EkOWjq+Ngp8fqWWT5 - ZEHEzfLeXv78X8ZjRwGPlU667nhKaBtJU+S2ABHVfgsJsAp/39yfl9Pm08j6MnOUkPOH/9VLaRhs - AAAgAElEQVS/Cfd9fvLgQ7fXderaEETMLHhfabKALuPcLu7581Of/GRfWk/xyO23nbzlNtx2G1KN - yQS5QxTEhL7HaOJd34nabOuRnOdbW+321otf/SqECAPqChBoGAYfD7Hoq21iEFtt7mIwPzgGNiBj - uaMP7o6DGfa+ygPvuq6qqhBCCKFt262tLXdX1RhjCKHrOhEZ0rjXsdznGy4PIZRSAEwmk729veGq - qPJzP/uWl7z4K5umqevUmYjI8oUQERERERERERERERERERHRk83FcigAXGACF3PAJLoALuJQqCzT - lV1hgJuvCjzoStuMtVZVd3d3M0NBqKIYHpnf/3C45777PvT+e379xOSG59365S945gufdcNzj89u - sCYtcpGQUhrBdWe3McFsPPVcSilWTBBijOPxuLfS920IQYdsbmCd4a1wIAOAKFyBsIrbHgpYlito - MIgCCGKQdjlAduMo8mXV1v69jJnddCHuFyo5u9CFVxtGdxMRERERERERERHRda2UMhqNRMJ8Pp9M - ZovF4uzZsz/8Iz96//0PmgPAaFQ1TQtAREIIOX/RfcVd12HV3ty2rYiYmbsPcd2llBDCcMv1D0RE - RERPO9Z7O60CHtn9v7/u5S9d7MWIeQcvbbUcjmMuNkQmPzUFYA0wGY/7vUVChJWtaYWFndH0mdns - v/id38b2rBWpQwQQ6tpgw3QoAwDTdT73cr6PFugw/Eg3Q8evm1I22S8X0wukd19wO4hDRYAF8jiO - wvjIy37t3/7nv/7NN+zMNaOOCCnMFwXAdFS1TZcu88YUB8RsGJAkUFPxGGH9ufnxY5Nf+uZv/IH3 - vk/GR0tBXcfS9GGUrp/9S0RERERERERERERERERERERERERERETXhHVDwzA8FYIoCssQNLkYNIYE - z6gqCclkMUxfdQFc9SJV8tm7R888etJaRGA66vYWUaq+Kwh1p2FvWs23p3f9yGvuGlVIEZqAAAfU - EIKLNbmpYiWbw10FcF3HFAuGsHDbfH7dmBury9zuC8ZMXwUUWLdSCCAW3NQtlz4GoM/YOTcbxdY7 - mMdq4vNzKAXQOsbeSiUhTNKQXS6wQ/nNfuDbfpa5AmYlaEBQdD1iQj3qUSr3F7/uxz/xU//o7nqK - fgEX3PnM9sMfTymHnNXdh6G9DgCyHOB74Rm75tAYLOdJrM987v6Td92F7XEope6arVH1/p9569e+ - 8Q0ymcWgnrNoPHD4PEWp3atn8f2Ua13FqQco+vKSb/qb9771bbfU07B7NkgnYlBB04x0jC4vPvmp - hz72p7p79tixI8dvvl3ueja2TyJE1BOPYshhPIX47s48VaOsIW/PdgWPBf2K178RKWA8QtdjMoKo - A16guh98vvwaRiELACtwx3C4G6C6XH1bpbM7XIb+ltUm1GXi9+qFDnepqgpAzjmEUNf1kNu9jutW - VbPl8bj+4Xzrmw2x3ENud0oBpfzUT73xG7/xGxeLeVVVfd/Xdb1YLFJiCzwRERERERERERERERER - EdHlsi6sccARlgUDy7huiEOGEZ7rqGX5oufk02UzFOIYPIqIu4cQ3EvfN6kKdZ3SGLLVetot1p0q - zQfufeAj9/z+rUfvvP3EXV99939509Hbt6rj3aKTUo22RmIxm7lmVQ8i7pZL17WdqqaUzEwcgLrY - cvarONxMDOKrShVRVwNcHJCNiHcFTDw43NTcsa4acsEqDnwd2m2ra1UdB8bM0nVvXXS0Gdd96Ner - E6O7iYiIiIiIiIiIiOj6s3Fa0QpyzkMsd9M0OedXv+oH/uRP/rMq4EgpNU2nGlU153w4ifBxCyGU - UoYzB6WUnLOqDmcRhrhuM1NV1Qv3txMRERFd6wQYQ9G0OLfz7Pk8lf5Mh+NbU1/0njMwJCevpiz5 - E3zT9fi5YJKitU0KoS1tAOLcMvBwDC/5/ffgpuOoUxVj6Q1BTczhEbKqHVL4evUUgrKag7OcBvVU - Dhi6asj+q1bfGDm1fO+9qvlbbhZBZ1aAAKkhuc8hJNxx0yeObk/avRtkvtu2CWU6m+3N53tNl8Ll - PSIEUJjDbX/yl6zfmh+PevOZx7C3izTR0QiGUKXLuDZERERERERERERERERERERERERERERERE+Q - 2SoS2AwqkJBgBcDWsaPdX55yFyvQEOvxyOfn3P1gXjbgDjEDVCCO4GXm/ZlHH8D99+HZt0M8TUaC - lLucp7P//T2/89p3/6eTUTGbGYI2WKYUBzgCBPMuV9WkLKO41+OD7bzxwQebDgAZBmUOJf5+XlfC - 1UMAhw9B0UVQNBWts6IgwmGCh8/Zzo6+4Lkjy+5FxW87ehyLDuNQ0IUwcQAFEoFV7PTa4VGmq+vC - cEsNnjuJEXUYYtpVAuoRuq6+9Zb8yG7c6TFSWD7+3Oc8/NE/PqKozIYdEEyHxGgAEDsUFT50iAgA - NwUqCedO7+LzD+Du52guGsKoa24KNfYykkNcYhoOmENr/FTsq43c7rJqPQkOQMV6SISWqkC7Lgkw - SrZ7RtNIz+zO//wvHrjvvjJvbrr91iN3fjVuvAGjCTpDPAIDrEixELxv522xcPToKYlnqlE5eeNX - fO/33poSgkKBtsF0AkPu+jBODRAEEQirJPaNraA+jD9eBnUbNiLTZfmjLVuW9jtadP+Q8I1+JUEp - JYTg7iKiqjFGMxtCuIfZuEMy9yWiu82sqqqu69aXhBD6vrzuR3/oO77j291LVUWzPB6Pzp49O5vN - hlzwJWc7PBERERERERERERERERER0ZPHdTXqVM0FgrBxal5g6hB4cBO3VaUHz91fjczM3WMMKVa5 - a3MpRYrDJOfOzL2p6kaOxdPdubPdI59+4JPv+/x7njG59Xk3f/mLnvXVdx5/bt+NpI91Grm2bbsQ - 13E10pByU4KHKIdzh4fRvbYsPREI4CoOxzLY26Hi6/GwOuRwm8BkqLoZ7mfLcG6xZRY4lmUqerUH - MdOVsRnRPdQvufvVn9sNRncTERERERERERER0XUuhNC2bYyVqvZ9edWrXvXHf/zxuk5N26tq3/ch - hBBi13UicqCv+PGJMZZSSinDr8PJgxgjVmcU+r43s7quu66LMTK9m4iIiJ6eDGrAzuK3X/51X9bs - jnLZnoZ+d64uCnU4xCAoAjiCDzU9lze9u+1yCKEvXV1XyDhV+rJ94tOz8fNu2PJZvehKKFoHhcDh - AQJTOGCA2MbIp/1SIl2Phbra5kA9BXxjvpJgVcG1cdmBeUNwQDUozLyPEiRV6BvMRt/+nt9671d+ - 1Tgvjk3qdq/FYi9qEDdcfFTQk8QEKjA1uMChRRB8KEIDzu3eIcBjp7B1fHXz9SgzIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIqIr6iKNDCGsrjWDykOPPnpjiNIXEUGx2WzWnnrEHUN2t0AACKAuq3htqEBh - o4Btlf6RU+muO9rTZ+LWUXXVeuvID/7Qa9/4BtShTMctxKHT0TAOFgBE4cC4qoojZ4tRw0a7AQCH - r/KNdT/neJ/BdHmTgeBgFvJVY+iFwWrerasMWeMhIme7/+FHHnjwGXfdgUmCwN13H34UIuj7NBkv - +kWK45Q2e2gcDogBOlx2qHtB1wnfbjAftoZ5sSIeFFDE8IiX2c7ujeMRFAgqt9+y8/GPTc2q/WcR - DJN55XBAuKwiphHQFUsSct/Vms7ed/+RO27HbArrfd5tId7zS+96zg//fYSAEAwq5++Zp6bFZhkD - r3JwW2kI2NtDkjNoTgiQQu524myG+x+592Of8Ka7+Rm3TO+4FUdnsB71qA/VrnTHRvViZ17FZNF3 - kMt0S6bbnzu38+Kf/Ilb4gh1BRGMaxcIDDJCAHKJo+RACMPRbEBZTjcWAMs/MQC6iuhe/YVhtcrn - Lze2nG8sBSVnjSGEAEBEhrjuof99GIMbYxx656uqyjlfIr170xAE/nf+zre9+tXfLyI5d3VdN01z - 9uzZEydO7O7uilxtf3hERERERERERERERERERERPJwoALgrANysghjIDB8zFXGyZrAxjevcVtS6C - 8s19EULo+pyzQYqIiJqbO9D2XdqGB3QGQ/YaQGn6VpEW7fxzn/jM+/74d+449uwXPvvFdz/ry27e - vq2dd9Oj0wop9yVkjGZj79E0ixQqdTUxcQXgYuowUUNcpb8PRUG6XEU5EKmgvkzvLgKXVcGYB4Ov - SlWGaiQfLsU6yJtlI7RhCNoYSpWu9Lp8cRjdTURERERERERERETXNXevqqoUd/cf+7Ef+4MP/pEI - 2rZXVY3BeitWSvHxeNw0zRN4/JxzCCHG2Lbt0N7s7sPpBFUtpaSUhptVVXXNnWYgIiIierwK0ABN - vtm7k9blFqUvUUSg5gqYAgXIq9KvYJd3OI+4RiBoMCtmXWs4XY3ufcaxv/6e30byAliMlSoMaBED - gIKgw3Ajh56/bmGYiWPDKChzDOnj15mN9G47GL6uGxOFhrIyNXPLUeCC3vpqMoL1sPrr/vC9f/hX - vz4/+MCts2nenRssxSrn7vKnuZs6huOwCCDQYgIPKYy6cue5xfv/xt/+2g9/JE+mEUXi9bdziYiI - iIiIiIiIiIiIiIiIiIiIiIiIiOjaIYBl6JDerQrDbDbzMwsNAWYw0+0tBLUCAaDixXXdB+HuYsMU - VgCC0jXd7ifvfcbdX1GfPGEW9zr49uxPf+GXv/x/+IeokgFjQGDeFxEpghDUDG5uhiqFFFSwbjpQ - yDLAePm/g7ndq1xqhawCwGXo5tjvTLjahsEuX50DgAFZNQXtq5SKd/O+Pb3A6T2MjyOouRwZj2EG - g8CqVA/dKMVMw4HHwWru8sZzLPfQ8mbmUgXACtRjECAO22hUvew1r957yzvx2Bm0GaXF0SNHnnlb - ueczy0fwjUcExA9P1xUHBKYohgTAvTaZP3YO934OX/ZcBIxn46pIc3qOArg2pUeoBQiHdoxvPs9l - NUSN6+bm6iFxayxd+4I3/fiDb37LuEPX5RgTptt3vuhFYXsLVYQ7FOgi0hReptNxl8/FccqqpwMe - nh574eteBwknR2OIQgWiUO27LtWxuGmUtuvrqhaYQEPXx5QgZb0OvtEJE7DKMl/P196M915fsv6+ - 0aQDwGV1/KdopeSc67pWVWwEeJtZCCHnnHOOMXZdd4m87eEGqjq0vZdSXvnKV/70T/+0SjbPqYq5 - dKkKMenu/Fyf+yqNvoQd9CWQy9pMdGjcORERERERERERERERERER0ZWSAWye51+XQZjAxQwoMuTl - GlzFN+sk6ArZqGoQBxyioqpmxbK5eFAVgYfQh9TH5O5NyZ6REpImDyaC4nNJoUfz6XzqU3/6wfrP - RzdMbvurz/uWZ91w9zOOPwMm6MI42khHIcpQTzKkd++vhoujdlFdh4kDgAksuLns16CsKlJUxMSH - JO8hnNvgcIEJBIxJoC/g2o3SYJ0QERHRFWGPM1rALnfRMBHR46JcXiNLIiIiInrcXNdfqrEUj7F6 - 05v/x//0H3+rANmhomaW+x5AqqoY42KxcPcQwhN4NlUdcrtFZOhzVlVV7fs+hNC2LVYdzpdogSYi - IiK6+thyHtDBkonVBXmo/VpeWXrs7vzaK75hq93Li75WEYPbcKWZPGlVFy7wgx+Xuay+oBufpBkA - 63MV0DqaFOc33vBNv/mbOLKN0bS3nDRkwAxIgARIAjaG5hx816arMqn1FrDDW+X6cPE3s+tzY+ul - qoZQqQYVaNQMW1jBaIytI5+cjG3raLM7L0A1Hbe5i/JE3od/UYY5U+LDhCnDMLcJKH2pHEeacmS+ - h36RvLG+gyhk+Zp842v5bbX7L/T3QURERERERERERERERERERERERERERET0ZPOh5UGBuG6c0AjP - tvxJ9Kv//j9IKZWuQVC4YzKFhCEP2AUmvlH7v2wPGOrq+1KO1WliwKfuxV7WjGk9Lm2TggAFogIV - B/pOKkFCSDAUUYQgKQY4pPiB4vt1hjfULzYyRTZ+kP1S/au3RH8IGgdckIOZIktEjHXQcRTf2UGf - RSS4pba5713vQkjmAEouGYoQhp6X/b23ftSNb8PGWLEhIlodDqDPxQ1QhSvGkwdy2wYvUXDiqHXl - 2M23ZpWyfFQ1ObAlz2/rUQcMdQzZS0qVej/O+fQ9n0bTwQ2loFscN/v0238BZ3dHqgX9cEeH+dCj - IQfbTA7u/Us3XKwy0O28G50/kG2d547hgJX9Zws9IqoIsd1xtQhhMtlCa5hNwmyCUYWSSylI4z6O - F6ZnNJ0K8eHJ6C+3q4/n5qaffMML3/AGzGY4csTHtaWEGKEALNXRzFS0M0tVXeDZCmAxBeR+2cW0 - 6i+54Gtb/Xzg4L/EsLnhoQrMh34osyG3292rqnJ3MzOzlFIppa5rADnn4aqLPWbOWUTMzN1TCi94 - wZf9zM/8TzGIKlR1SPVu21ZVQwjDYxIREREREREREREREREREdHlI0N1AIqiV/SQsirmKRjqb8Rc - UBAcYSiWuPAEULGLfj1eet4XTC71db0Z9sWKAjJspa7rNEiogioQFEApnrNpTF2x3jylUNcxSiyl - mJlJb7FvZG8HZ+fV2cXWzmPVI59r/+zfffBfv/NX3/pL//bn/ugz79kZPbCYPLobHu7qnT7N+9gW - zT4U//jw1Mt5qutKMGCYqjrkdi9LwmQV+62OYAgGcRM33S9aUXVVC+JBPGy8UkYo0gHXbnR3vNIr - QERERAD2S6iHdxXugECCmvsw+f/6+/fF9cQZuEtXv6shlJrLx7HkpxVE9DR1rX7wRkRXH7c8Go12 - dvdms1nf96U4gopIccmGd7zt7f/Hv/oVFdiyn3vV+Q30bbd+kFIu+qYrhFBKAZBSyjm7u6oCcPe+ - 74e2ZxGJcf+T+ZQSgHW7clVVT/qrJiIiIrpsVvOYikIwFNUMhTi9QFASegDFtS9SR5G+wdmH7t55 - LLYNUhCEYl0lgqGOR5alOtEAIPgTrLxygUEhoq4Cg8AFZTl0RwWiDsGyviyoL4qPA9oep8bTv0jj - F22fQDUDMFbkIXc8KlxR9p9CDk8/OjwFCYBA9VIx1k9HcuDn1VY6fAZkvfUM6IAgCAbARdwh4zBC - AbbTd/3e+z96990nVbIiWBYA4vDL+PmnC4ooYEMFYnDAi3pywFREENxis4v2HJoUqpMFAGz1WnQ4 - QMJwxwI4oEC0odQxLCvpeD6IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJ50y/6OjGhAAgTqyA4XBEla - HCFEjEaw0rbtkRitFK1qbB/rJaYsoyrslRwTrCthNVM2mELMBEWRHe59ZTh7z71HXvIytD1s70gK - j3UdLKNkCTUEEMm5xJQ2U5lVTKCIslzTgayzxpc2C+7lAj9tdHNcbf0avnwtuyXPgsKh1mfpPagW - QYl7O49W2pTd0zHfCFSh2FS6M2dOwxUSA4IGAQDF/jexYSZV2HyiQy9bgFRBDNDhZiGGZV50qFHs - 2a//iQfe+tP17vy49RqmesOdVn3YysI7C/A4qrq2haMSDJNUD6V3i0MLVFCA0u+NqnHT7VbzjE9+ - Ai9+YbGSxWbe1Q899ktf/00/8P+9O9Xj4q1KyjCDOCBADXiBKeB90LQ6AAxBs5vK8jVadhERAQTm - EEWb+zqKYAiq1uWhoutoeR3W1sUENhwaDhhs3b4hDhUAseQcRlv9iWNnmm7sU5xrEHpME9qFZwnj - addhkUY7KT1Wp8V09LIf/H6MRnfGCFFUqQA6rNqwlVezwoYW8kqXjeGiq30VdTX/R+WCfTWb08bO - O8Ll4I37vh9a0bu2repaoV3fxVSFEEQEQAih67qqqrquA9D3PYC2bYcHGC5UhdmyC15Ehv53VS05 - r/8Eb7v1pn/+y784m1Wi5sXhEjSV7CnWJfuFjr+nEOezEREREREREREREREREdGVIwIZQK7ZoFK6 - NoirApAeMBMDFK4uyygik7K6majXAqgXgalvxmavSnPc/bzz/KvKkM2pnhtn5A+enR+e1wB1AWDi - kGWEswHqQ23GKm5PTJY3/hJe/7XHgIPbbaj2ScjeCWDIqui7ElMdJIZSohcIhpIPhy/rYQTFTQSy - 2mVR0Gt7dvJgmp4+033mE3/4/tEfjZ95411f9dyXPf/WF56Y3BGaWvtJ9EpczUwFISgsm5lANUR3 - z6XAEYIYhiG8EIe4i4k7FBItDL9vpH0rXMQTgAQzcZdsWgBzQbDAEg5aG+I2zJb/PXH3oSrpyq7V - 48GDmIiI6IrTg/+PbMDV1hxARERERERE9HQgIm3bbm9vP/TQQ6qxrmtBKNnd8au/+mvv+GfvDEHM - hxNX6l/85+fr3O4hqDuEYGZm5u5N0wBw91LK0IxNRERE9PRjWM5VUiDD2n4BIIiOgkjTou/+4Fu+ - 6RnN3rF61PQlWxEAItkMGyVWwRDs8Lifx2uVoe2Cw9Nrhm++f1LGFX3xcRW8wyLGx2664W/9xq9D - tcTli4lwQSmwInZo+M2lTuPI8urr8VSP7L/8zd/k4DWyGiekm7cb6v2GwVtVhOi548fOTsadetv2 - osh2GXO7gaHKTQ6vFABoivW8FKmr7Rj+zSu/Ebt72Ntbrb+v77McKyar6WIwOAw2jIm6Ho8HIiIi - IiIiIiIiIiIiIiIiIiIiIiIiInqKFAA21LP7+ptBCgAXFAFU0XdbR4/kpoEKRDEeW1VJiCVnVZQC - yPIx1CFD+PEQ6ixQgQPSF3z2PsQA7yDlaAoffutbkBHaDgBiiikNEznXnbQGOAyy+oKdX2Gv57Ue - XNAXvMGV4tnMkcIyxbmqqhhDn1txhamUTr21dg9wFA9uyUplGcBqO63nJq9bEpY53nAcnJh8kCwf - 4cCWGb7VY9TpVBXaCJgjRIy2jtxy2w5cYxB47nvAoywn717cMrEapQsAuiZ/7i/QtMFQb03y7k5q - u+/8hldg0Vb9ohIDcgQCpLNuOAxFERQhJJjBCqxAte07dzf43mIPDh3CsYGmySpoFu0opqbZ63MP - HbLML7EVAFe4rm5gQ0PHekuEOILI3d/3vWdi6HpDqro2I1WLWPXHjpyZjE8dmX5+Wt32k6974T98 - 3cte82psHUWqraq7uu5EixzsCj94CB4+JmW9U/TQDQ7f5kKH8qELhtzuIY27rutmsRCgThWAGGNd - 10Mad13XXdddonvdDKrLrPFhQq6Z5ZwBhKAAjh2b/S+/8I6TNxwfj+tS+ktsaSIiIiIiIiIiIiIi - IiIiIro8FFB43Iyxc1lO7jSoOpYJ2g71/djsIbfbl1/qApfhjocNVwHu4lgVjPj6S2x13+Gq1QDQ - 4SlXt8GyVMXEdb8C4rpMdDbZDE3fqBWRIcLYHEVVQ0ilR26LQjxDABRvFy4uo6p2X29gyLALDXAU - tSY0u+lsM97pt+a7o1OfOv2xf/fB//Mdv/JPf/n/ffsHP/feM6MH96Zn5/F0X81Lauf9ObeuDhpV - vPTuXlVVSsnMSl/EgiKIQURCFATPln2Z221FrKiZmIlBHLLc80P9mH6ByiK6fl0TQd3ni1d6BYiI - iOhxcbnMgQRERF+AcXmNLImIiIjookKsmqZB09x0001N03VdN9s+Wkr51V/9tTe96c3uKMUBxBj7 - vuCJfugfYxy6oIeU7iG9e2gZDyGsb+bucqHzl0RERETXGhuG4Ox/OOUIAnOkNAUUvaProYa27U6d - HiPMz87HkCihoEBVzMS/0Jyfx0/gcEBMVpObHGGYHOVqUAAOhZgBElG8dI5zafbS//j/4MajSFVo - gDhMm4JCM7RAwzp0mp4ksipYcVHAANXVfCkxYDr+uv/wH973V176fMTacjbEENzKE8x0f3zrEwwA - ZCgWxDJQHMBe106SLrpWNN02N5wx3BSkFOhwawgQBGX5crAcyiSAmEIBKK7HUkIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIieoq4XiwG2EqRGJqmTEeK0fjzpx557va073tFxjTFrQn2zpWCWGvbXmBuiTiC - QwRaEIDgfvZTf37k1megSqZS9XI8RCxazMbIJccIK1Hj0B8Qzu/C2IwrFggQNlb1WiWQpCLwroUC - fa8p7j08f0Y1LgWwYn3W4s18r4IALi6Xu8fAMlSBKN14MummmDdwQ9dVz3xW89l7j5hrhhdTQKOU - fNHxyUPIs4qYO9yTSHZfnD6D3/+DrVd8Ax47F6sJ6iNjlY//47e84E1vwBQCIM3Ql+2qggFdj5TQ - GZJC1QMEcECiBBHAZ+MRHHAHxIulcez6Mk41ck4phZCG+dDFoBGAyjrc/NBBs/xV98fvGOAIEV3u - K1FEPR3l9Chs6zj4eO7h7Nb4TB13Z/VLv+/7bt6aojiqBIzROTRo0coBwRXsCEkpdV1XVVXOOcaY - Ulo3p7t727YAQght24YQLj0M112G5veqqkopAIZljFE1v+Md77j77rvNbLFY1HXtuTwVL4+IiIiI - iIiIiIiIiIiIiIg2eTAAniAylFKIV0NJhAICVbFVXUQxeJEL1tzoxYd2GgAV2P7SLplylLERgyTi - w+zNYYSoLKe76jC71aDXX7je8HoPV5YsqzsEMHUXh6vr1mTbT4WYKs+uIltV6Pp2t2lTPWxSBFuG - f7uYiblolWrLbr05LIq26nt+ppQzp+dn/+T9H568b+uZz3jOS573NS+46wVH07EQYmhSaTNcNAZA - +qaFSQixktqzY0jmhmQpHhxJ2pKBVUy7AIA63FVc1NWGeiJXAfS6jGanS7tGc7txJWvBiIiI6BI2 - 3lo8aWEVRERP3NWQSM0lc7uJiIiIvlR930+n05xz13V1Xavq3t7eu9/97je/+c1DiLYqUkp930ME - TzRXe7FYDD+klMxsff4g54xVJ3Pf98ztJiIioqeD4Z2OwAUF67RjlEVfSRCEnB1BECJ2d/63V37z - HdtH0TSzEMdV1eYuhAQ5XNZlT8a7JAOKoIguV9AxPI1CDTDZL+l6tMfihlv+8uRJHAO1TMsAACAA - SURBVDuOoOj7ZW63GBz7bwrl4Hwo+tI5YAZHgXaIvtzUBgwTl4DtrQeOHVsEVYVDMmCXucTlYnu4 - klB6i1F03p842//m3/hWLHaWQd+mMIUj+HK8kwEIgBrUVo+pQzEcERERERERERERERERERERERER - EREREdFlcZHcbgDubobRKACAImzNetHiDjiijo4faYdCeNFVyb4dqoAfeizEECVGSPPIQzj1CIL3 - btL0R7J85C0/j0UDiAF9WYX++sbXemUEfrBBY93xcU1zARyjVCM7UmjbdlyPvCsBjtx7yTBv9hbD - 1tDLPyJGI9D1qEdf9dof2t1rYebimI1x/Fg4dry1rAEKFagL8sVHnAXAV43SboYQYgjRPT/4EO69 - D12P0mOxU5q9W0zvecvbsNvCgL15rAKG3Otacj9HUhdkQQ80ufTmalGhVkwwHGEFezuCPjRt1fc4 - exbZY4gFDncIJKAsjxrdb9Pw5SUbud0rQ3h8gBlSTIgRwF95w+sfrsODk/H908mnU7jl9T/xZa/7 - 8Zf+6I/i2FGMakxnBocGVBVCAICy+mu4codoVVUAYozD0swAiIiqDo3qZqaqqmoXH6atqutu967r - SinDryFI03Rve9vPv/RlXx1CUIWZXeJxiIiIiIiIiIiIiIiIiIiI6PIZ6mpc4FAXcajL4ZoF8aGO - J0Oya3YxX+Z5HzrdP+RAq/qBL0CxsbTVzVzgovsrIAAM4gpfFvdIASw41BEcwTQ4xKFuw/XKca0r - ZiYmCg0SPZfSlzrVz7nz+TeNn5V2tlMzqWzaL3IIYXt7hNUmXe0jYLXfc85wUQ0aEjRaBMaix/xM - enRn9ui5I498av7Rf/2ef/FP/sWb/uVv/POPPfCh+ezs3uRcO53ncWuxc/UUwjiO6lCpiWckTSLS - 5a7LfUHJwXKwomayrg/LrhlSTPui2TUDgKt4Eo+MPKZN1250d7zSK0BERESbWLV8XRLudyJ6kjg/ - qiAiInqqXeungq62D7Yv9/YMITVNl1LdNE02HU1mH/rQh1/3utfP5y0AEYQQ+r4fjUZN08l5KZKP - 4/FDKWU0GvV9b2bDcuh5BhBjbNu2rmszG1K9Vfn+jYiIiJ4WZH8WTRAIEOsEs9JbTFXXlar0aPsb - zp7pH3pEi1WjUW72IgDLXfEqBLONwUxLwzulJ/b5ubmoi7hDsExYBlQAh7kMD17EIUA3rT5Y41s/ - 8LsIE8QRqgDJq+E9AmgFdaAAEFaDPbkMZlAU0QKEIflaDA5AUY2whe/4nd/52FfcfaxDgY9ThPVP - 2coN/xgwAWAxRvQFxU/UVb3X/+XOAhN06Cqph9xuOKAIAgMKoLJMhx8OZ8XGnCYeQERERERERERE - RERERERERERERERERER0OchyIZu/AyFGCJo2J+0q0a6uH9uZb8vQVWvxhqP9n8lYxHIZplK6DBX1 - 7gCWP0Mc5hAN8L7u+3Lfp8NNJ0Odqhi0629JFRYtppMASIxDZPN5k4Phq94TwapBY30bv4br7R3o - DJVCCgDHYs9yNx3PsDiL4Ohay0WBruuGWw+Jy5fdOAEGkTCZYXdXkiK3GI2P3nrH/MGHZg6BANJZ - 9nDR9h0JwcrQUoMCaM6qGl0mOT/6oQ+f/PZvRVIsFpWO0s7eDT679x//7LP++5/A8UmHomK99UnV - RtpiUeCKGFGFGNQhQG6hIcKRvY/eonIszuH03tu/4++ereo3/cavI0UEWO4kJFftSx9D2j9UljO7 - lp3aBw63dROHwYqjEiuWxjX6/uyxI1/x3303phPEADhCAAJEUcRK1jTx3gBzd6hqkCs7c9jMNvO5 - 3T2EMFwiIkPGtru7u5lVVbU8xi5iSPjOOQMICjeU4m960xtf/vKX13XddR1gR44cOXfuTB3rp+T1 - ERERERERERERERERERER0ZKJmfYAhoGo4oCYuAGqgMkwrxMqMDGg+HAbAOuiG7ehzGG4XH3/5yEN - 2hDVReCKZdj2umTEACyfYU2D2SoDaVmkIS7qKq7rR16GfF/HTIDV1h4ognkR8xDUS0SxWFd3HX/e - j/3tn/rQvR9478d/63O798ZZ1ch8vtOPKogh2DC11IpaUTgUYuam2kMqcy/Zs2cP8Agdoe3KIp8d - ydnJ9tRK90cPfuDD939IEb7y+S/5que+9JatO7fj8aluh06axSJoBBCiuHpQqbV2gai2JWN1JIip - rPej5OVLg6qYeFRTAKbDOF8iYBXdLSLXXIY3c0GIiIiuZgIoXIc32ct/jHDJJZdcPpVLIiIiIqKn - ETMLIbj7bDYDcO+9977mNa85c2Y3BAlB3NH3ZTKZNE0D4Al83D80OXddV0px9xijiKiqmZVSuq6r - a/YqExER0dOJQhSiDgM2Yq0dgFnXhVTl7NUoYDHH3t5zzG8ej0Ya+2avAKGus3tYPZbJ4aFMT9gw - Z8qA88p6DDBxg5gARbBQfXQ0+tYP/h6OTDHeQki59JBlbrdDh3IyWZVWsEzoyefLxf40I4HnHqKo - x5hMHxqPdmY63toqfdbLW5BjWB2DqzrC5SHU9y0AZFjbHdV0Ihec26tKDztwTKzvVVZfABR6gYlj - RERERERERERERERERERERERERERERERPogs3PKj3xc0sY1THmBJUn/9Dr5WtGTRCFeI4uoVRMhUv - PvRRANifqLsaPjM8vEkxy2P3nfs/h1OPRYcIuvnOeGf3vl/4RSya4DmKluWTH16boWp/vVw9xdOh - 5F4UBljTwIB6NAppMZ9LVaMY2j334u4oQDEc2sKXR9N2UBQBYuyryZ6LV9LDoNX45lukQjYYbBjk - HKLYxRpmzHz5AlWHfWUWRT3nMfzU7/4u9nYxVvhiazqe7DW3lfBnb/4Z7DRVv4i5GYtFZEUBoEhD - h4VkSAP0iIbQA02OXYe2xdlTf/o///Rjb//Z7/ral33bS1+GRYOcIyTnLAFtbkIIy6PFhw1oy83o - gB/8CxBbBnsLVMUBTTUkwPy/eu1rcWQL09Fencpostc7QgWJkKCxBmBRrVKvg8dh0DRy11+m3fQF - DUnbQ2J3KWXI3o4xYtXSHsKyMSuEcInc7uHGZubuIpJSyNkAfM/3fNff+3vfFaKY5b5vzWxvb5e9 - 8ERERERERERERERERERERFeGZNMeKBcpLBli7CI8Dinashq+CkBdFBA/kNt94M6m6iKuakFc1EVc - xHUo8VHXjbsMA2ZtNWXWTLCqLdEhSg+uLjCFy5A2bUXt2q8AenKEEMS1ZFeXFFKQWHqbyOxIf+PX - P/ubX/23fuS/fvG3HelvnLRHbpgc9Rbq6oIiVtRMzMVMDECqIIriXbG+hBwqDZXGqKUgJdQ1LKAJ - 83m1c3Z05lT14M72qd/77G++89//3M//yj/5vz7wLz/66B88XN3fHd2dV6d9q7FRP+92zs13cs5e - rJ23wTSYBouxxKqkVFIqKbiqKwAXA1YVOETnueYSu9filV4BIiIiGt5iXiwid/g3jhsAUXDJJZdc - PsVLurZwrxHR09RljuaiL4gfi9PTh6p22aqqmi/aBx548Du/87vOnDkDIJflf2jG4/He3h6A8bhe - LBZf7OMPpwrMrK7rtm3n8/lwoaoCCCHknGOMqjqfz6fT6ZP40oiIiIieer5xhiPA4nCmYxlmXHRU - wdGbCUJI8u9f9jUv3WvQtRBJIuZubRtFUNdt06RVGdYw5ulLScge4pMdQ2CywHW1mgaooOhqJZsQ - Tk1GD2xtv3g2RVUPk3piSsU61WiIy+lCgMLEdTnMhvHdTyIBBAKsEtwVYhBInRxwh9bjV77vPR/5 - hr/WffbRO0PlJV/+dbIhSFwMKijiLogiou4OFTT53Gyv+rWX/81vf/97se2oDxwS6x+dRwoRERER - ERERERERERERERERERERERERPXXOr2FXwCRGQKOgNwRxuCHGM7nfUkFxBMF0Um1tWdNptrBRCu9i - NkzmxTDSVwXovYTo0sPni+bT9422TmISZluVGOZnzqLpUI+yZqtGIlBA5EAy99DgsV4un82HiOVr - e16KArmgnowwP4dc6uIwR8nwjMUuzDDMTMgFcPjFh409GRyoR1XvFqCI9e0/8IOn3/62PD81S4oe - mB07evKm/nMPJnhS9IaNyPbDivtyxLO7igCAiIlISKVt04MP4yMfwdd9Tdu2dZdSFm+6u8ajR3/m - n91vzYte/yOYJMQQQxXSuAMMCAbtDQAe20FdwXrMz33qXf/ruFtM8+L2dq+C9VrdUFUww97C1Ktx - 7SgxRj+c8e6Hvqss07wDVkdy8ZAkA/O+m6YqTHT4S8iSFGi6Mh5P4LDeXSVEdEDvHiEGD2YCEWiM - 4Qp2iaSUzGzI3q6qyt2HJG8ApRQzU1URKaXEGHO+cN/NkPw93EWA3JcQ5JWvfMUb3/hGERkeczae - OErXdRoCp0sQERERERERERERERERERE95UzdV7UPKoCaAgoXAdSDQFVMfBi1qsCq6mZ1d+zXUMDW - tSlDwYMYHGr/P3v3HixbdteH/fv7rbX37u7zuvfOnRmNRo8ZvZ/MgAThYYghGAPG5VAhf9gxVNkp - LFLBFKCQKlxUHMAhRpEFwg5gXJW4Kqn8EUOSqvCIJZCIQAgiIyxZAjRgvRg953Ff53T33nut3y9/ - rN19+px7zp25c7vPnTvz/ZS0p0/fc9bee+3Vfbr7rPX7VloqrJoKTJc/BrgAMF18tZpMYQIXc4Gb - BgdcgeBiJuqSspqLAxCHwErq8/PKYtbNYf5gmc5hSS1DVaJGzw5ohZCvpnurl3zXG77nP3jJ177v - o7/1wU/+7tTaXPVZj075KHOu0pCd7jUg6o7cm2VMmpD7XKbIOND53CqpRnGarkAczbV9ufQXn//k - +z7/rhfuvujF51/6da//+ou491x1odZRnZsgQUzVFabiIZiKazBADLCs2TRBswlcYA4Vg7C6Kh3H - 6G4iIiJat8NXFyVYory14JZbbrk9wy3f/BIREREAKNO76bnBoeaiqjnn2Wz2fd/3fZ/73JdWv0FE - Slx3jHE+nz+DXYiU5efetm35s0HJ6gaQcw4hlDvdnbndRERE9NxiwyeKQyWaYWJNnzCuA9oZZvsP - jprzV67FGHLKQUQXhV26+bwJmnxNbzocGPKgIb6si6Mr6d3DXQ7MQv35yc63/9Z70GylahwdbhBY - 0OiIRyaLwUKpFaW6nuMkANByqVY/mEYpRQX0vY0rRYiY7H6iGd9/4WL/5OMRm+1/ByClptjABABS - 9krhji5jNNqy9uD+dA77U+zsZkFYOSgFAFtpQIdP2WVlS0RERERERERERERERERERERERERERES0 - bn7ymgcFkDNCABBRVcAsTSb58jWYIQRUVb291T95uTYPlk9Z3aGAaFB4X0WkDmPH9NOPjl7+KtQK - HUnCXj3+2D/9p6//wR+ozu+18AzJQABUFlPp/bCIq67Or39OzLSXsuJEDFE/+PZ3vKTr6xCRe1hC - O4dldVUHssGXIdgbZICZVUEhimbymOqLxxPMpoCiqsLFe6Zf+ELIHqJoB6RT66gKoCHALLkLoIC7 - J1hyCcAo6+U///PRhWb0ilci9ZAK6EKSyePXXrZVf+YdP/skrG+2vvIHfkjCrKkjcgKAnNG2H/7n - /zy201Hq9iD3zKZjS7XCWtNxlZPV1n74bf/DQz/xY6oV3ET0usBzO1zNhMV6okVlaoeJAn5YOHhU - 1Q6YBA2AlNxrH9VBHV2X6ybAh+aqIA4ESNCgAHIC5HaNUncvmdwAqqoqd+acS962qpqZu8cYb5Db - XX6k3BBBUMnZH374y97xjn8CeLZU19Hdp/P9yWRSGnyOPCyJiIiIiIiIiIiIiIiIiIjuHGXiCaCL - 6RABQwy2D3MiIOIKiPgQaicIi5/21SwJlyGK+7B1MQCm/eG3iQFwMcBNAJiLXZdGoS7Wh97UAFXL - dd8AGkxKnndWZHUXAyCuMa+9V+5IKaWmaQDknLPnoMHdg4j3mOiOZqQr7UubV/+nX3v/l736y/+/ - T7z/D/7sd9rqIIU+h7S8BIKhKm5SpIQsJkAMoYma5ymqKATmfXAXJPF57hsBKkD6FJL5dJavXJs9 - 8Znpn33g4++9f++lDz/wpodf9qYX7Lw4tFXwZlyPLYl6gNWAAVFdTaAOd4gDZZyJA6nUZS0XmuiY - MpHpdh/FTWB0NxER0bPECS8u//hjj7zqla/D+iYyi2D1hYoIRKCKMwgMU9UywzuEoKoA1v6ayd1F - JIRQVVXZ0bpalkWCSLktIqoqIpPJZF27OG2/q/0mm1lzcazfyqltYkdL4/G4nNpyX6V7dU2RJ2V1 - QTmjuq7LSFhewVu3fMVfTiHGWFXVug7+Bra2tpb9Vu5Z74PIzE7st7Krtexiebmx8pxQFodsjqqW - M1o+85RuNFvPW3oRKU2Vrquq6mjX3SozKxe9jOEQQl3XVVVt+nGac1bV8szj7uUc1/h2d3lGy6fW - tTS76ljLq8Nvc8rj6NiTWxl1a2l/0/12rH9K+2fwMUfptwIr/baup9Zlvy1HcrlGZ3Be5caGHrC3 - q9/W9fx5mmPnhXU/cpeLD5d7MbPyZLuuXSyvyPJ3q6reYJXjWix7aTPjrVx0ptOdal1j9LTRvunf - +5v7dVxc/3BeviBcS/vlIXzsybC8cDrhYIAqVvN527bzt7zl+x555BMxap8On9mWB/l0HrZVVfV9 - D6BpmrZtV+8JIZQbq0dSbpR10Zu+rERERERnQxwBgCAvMrtVIKX2jTlEtUzK6g3T+TjPg5rl8qcK - B8pcLlRAcoOrH41MfmZKm+KqjuAAXB0lSrm87BNBEPSGqh5djeHPdyZfvbcDqaJ5Mo9RYQ4fZqCV - w3eYwyG2mLhGa1IqIgnC4R/C1IEMZKCuVAzIjt0L3/We933sNQ/fG8ae280djguyGICAYSialDBv - 04AuoZ4ETPOV+bW4d27aXkbogZS8Lh//iUEVOaUY1Y/UfyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - OiOH61ddIchdF+pRWfaRLAVRxLobjRFmcEAjbD66++75Z7/Qzzs5OhN+KLdqCkAQcu5jABKCIifs - ZJ9/5N+NvuGr0Ruq7Sh5bzaDZfRdJdJr7GEVFJ5rCeJIfY5VCHK4NFuWhyvrW7B9O5R+U4HDxO1C - rCbTVlWz9UFsevkJFQ8hep+gFUSyIJ601th9bXXGzFGHuMyuDve/uP/kx8d5sXTnZS/f/5OPNeLe - 9RWgHuAn104WwHMGDis9CxAFbt7U0VNCi/2PPtJ0Kg+8AnvnU+jbYCF4nfMLrqV7EPO19vJ/+9Nt - 9KymlgUGuHi+361KuXEPLgAkhM6lly2doqkaJL8wUvQJQVDXwLIe8On88L8ZGkrVahnWKi1KWQtC - hCMaogxjsW5COTEty1qW5wk4DEGBjQdZlwoYJWC7LEhfrqAvpZlWyyUtU7qX1T+W6+JXS6mEEBY5 - 3BAgaIBYzu7ur3nNK975zneOx+NSpwvZBKjrOqVUVRWju4mIiIiIiIiIiIiIiIiIVrmj/Om2xEC4 - JVYXp00Q15BEzCVWIpLNcs6xQUoJJV2lTGYoFVbdYRIRZKiSai4A1CQDEAUAgwGwYeqEueYSzl0G - sIm7u3lyzxoDYCY2TL8oqd5AkmyV59j31gNxIpPpE9fO1xfRl32Ziy3jnPVOnvxzS0oPrJSrDSGU - uRxDEINBIHAEgZi7QCSGXkc5vmHrq1/5FW/88hd+9bs+9Gt//KU/qs5bHnVdatUhDjXAVR2qBhUX - pJwt5zqougncDdHhATVgAilTjxyeXAUQz/V8H/M40n/fXfn0I4+890//n/u3X/r6Fz30xgcefsm9 - L0v7eRy3+q7zVuo4EosimpK5qCPCk0ip6OuQfjFDimgwVJZepJysMRlw0xjdTURE9Hzi1y1TcHX3 - K1cOzmDnAhF1uELMTbDJxMyyr3UlS8rRifsiEKio53x2L/hWj0GGr9awPbYgYb399pRntDoenmJp - xDPbhUCgWGSMquiQrXJr2+v75yz7rZxXuYIbegSJQCVAzDI2cV1WhYBN91vppfJxhDvcIQKRte1X - BGfw1m91L6oIQVLa7F5jRAghxrjM1FyN0b11ZbFQSQcvCe7LmPB17WLVMoJ6Z2dnE+2v7qisegoL - 640ML0ueShLwst/WmDd8zPKK7+7ubmgXS2UwLEddOc11RR0vQ5qXQ67sa9Mf3JTxthx+q8ezlvZL - Xy3PaO39tprYXXaxvDpraf80W1tbJ0Z3xzUN9WVgcIyxqqoY4yYep8sH5nJs13W9lvZPc7OP0+WH - mMfSjk+MOl4spLVFaN3T2rpnd3HPgIp42YqEm23nprbustH2y1YkiHjZ1/Ic19JyjPWJ/Zazb/qM - yu2yx7X3Z85err6UWL6VEbKu4zc7bE0VMdaq6Lp0/fcb0B9Mm6b53u/93g9+8I+qKvR9BlDXsetu - 7vmzpHSXtc1t245Go/l8XuK6t7e3L126VBYzxxhzzqc8uIiIiIieEzIg8KBpMYlGh9pJYm2noxrT - hKsH/9e3fvtXez7IGCnUh4BtALa4sczt1lssM7QyXWk1CFwVGuHlA0NFatFafrKe/O13/SZGW+h7 - 1E0MBiSYQ6sSRS5AAHx5mJwhuXZDmSPTocbRYLh0bqgrSINROphsXdmfbomETX6iU6502YWvlAPz - jLpGN82u2NvZuTy9enF8AV2P2SyOx9N2rhpHVQUghgiHiIWjf5AbQuU3eOxERERERERERERERERE - RERERERERERE9Ly1qA1y3T+EuoaY5VSFutKAnDAaPfx3//Mv/eOf3haFGZox7rq70xBLyPNJpWYc - 4vAMjw4p4c0AZvP+ySdG0wPdniC38Fx1s0fe8Y5X/YMfVZEcOw0jwEoxYAdiFbq2r5vqeMUCWdne - sSwhRLTzWeOpbvuxo+/78XiMa0/2164iZdcgDuQMN4TKTk7KXpsocDPpW4QKe7sv/8+++9J//+Oe - IdERBaNxvPvu7otfiAAg7mVNx9OtxSOOCKQuhUq263Dp8vzRP/zwhcv7W294Q3VuK1S1SoAr+oQk - wBwirr2oQwQwF3d1CSpBMeugAS7eSQihrkfw6F03qeRaO8fBHFUFN0CT95WMTzgaX2wVcKggQ7Eo - LFTOqtRck+X3l/+V8x3Wvy/PbaVZsTPLlC8ld8qC9JRSWZ++LAexmtvdNE3btqe1U8rEAzCz1SDw - qKHru1IG4+LFCz/zM++4774XpNyxmjwREREREREREREREREREdGzhWuMlSczQ29JK2TtQ2Pe9G1u - IQIsg0IMgAA5yzC3QcykpGebiRncZbgtIiVd2zR7yL31qbeun3ep7/uu79ts/f50mq3vUte27Xw+ - bdu27/tk/bSd77f7rc4z/ODSVGf161/w0N/89u8e645aiTbwRSFZFRddqQdL11vUyDUAYjFaDLkJ - uXnt3ptf8ddf93t//p53/5tf/dL+Z0dbIelcxTXEtu0dcJW+9VhjMmr6tkO2EqhdqMOB4Fgt1mqL - 8qoumMO06oJ0fTfdv3zp0Suf/v1Hfndbz33V6978she+4uLefVXdtGkcchO8kRjcyjhTeJmA40eC - 24gArC/f5+wxupuIiOgOsHyte6tRdsM08mVYmokIzH3D4XaHezdfnI/AXTWup+XrIt8c6l7Od01H - frTfACD7rbf8zI7BUVJ8b3Urcv1e1L2seNnkuZSYw2PjAWuLchQJZunwSvnw2Mm+stbilrYnj+1N - R1EejsBhVdLaRviy30pIpLuZ++GSlLUSkdV3T2nD64gwhJL68JxQRpyv87RCiGaprNc5dnbrIjKs - YStfZhs+Bjnlu9ez0y4BKUuXsYg/X2/k+aZdvzKo3HMGx7/c9dl8SjAsfLxTP5E4bjWlHsC6Qsnd - T+6iTa8gW93pnXilbu8xl70fGRIbePyWZ7YyQtY1HkpTq0euChGsKVF9bUQQAmKMy+j3cn9ZfnkK - X1mU+hRbES1bVVnky0dVMfObaudmt+fPX9ho+2Z+7IyWZ7qW9nM2dxNREZS9xFiFoKpho+e1u7tX - bpelu+V2OZK1tO8OVYmx2lC/iai7LUfXst+qqj7p+5FS+tAfffh33/8BB7o+N02Vkt1sbjeAvu9X - 07tTSmVRtIhcvnz52OpoM9N1/WIjIiIielZZvMY3IEMXb60McPTQup63/cgTDuYvunTN57OtXXTT - I2/xHAAUWFNuN3TxAZkLrLwCK19PDVVCjJj1iECcjJ8I8dLWNrb3oDXGFZDQ99CIDOhwjMEWTQhc - YIJwS4dHxy3ePZeOVpQaXoIAdF0fo8DyPOuo2npia+vqzkGcTSeb/Fh5OdXMBb1quUccCqQEVBDH - lStX7x9Ndp+Yvu9rv/kb/vQjwa2pI6RK7pa9UvW+lypA9dYGMxERERERERERERERERERERERERER - ERHRTZCyQgNwWa7OUPcER13XAAQCUeSEra0ZBHXt/VxcsbMjWxOZdWru1g1tLVbnAjBBFgzljzIA - KDRZl6/l7qP/rv6qr0yzaXPxngt9Z+38D9/5c2966w9O6maKLgPBQ2dSh5iz100FrFR9kcOv7vQZ - +CEAjqaOePJKnVKMVTfrkRPaebp6pQLMTILADJZEI/IGz3hYWmOOuoJ5n61qmqtdPt80neU6OiaT - vRc9MPvSl55xHYdQS9f5vHfA6jqE5PlTnzl4/ImtN7xWd/ewcw7jCYIgAtKV6j/IGTkjqFRRxFrv - +64fjyudzcRqQcTVfeRLmFRSRWBrgvinv/gLr/kvvw/NOSDUUh8uhV+sQzksFLHoThm+RR2GldMr - jw45LDpkEBt+ajEObfEIOrw2Q6j5sXvXryxRX97OOVdVtaxhtFylrqpt25Yl7ac1ZSu1dcp3mpnl - XFWh7/PWVvNzP/dzr3rVq2KMnrKYH3YSaysTERERERERERERERERERHdVr2Zyzp7AQAAIABJREFU - wUwlR6+25Mr0kkjbyUzH6prgmuEA3F2RTPxgtp+sb1PftfN5N591sz612dPV/Su9pT53s27Wtm3b - z/u+7zxdmV7OknNy8+TugGUs51JYSfiGWIleEpeRjNq+m2tb17VO6sa3DvSJVB241+KVQuEmgLgG - GyYu3VF5LLfq+pkWQx+e9O+rud0AsiYXuAAIF8f3Xbr0+Lc88J1vvPimX//Ar3zk8/+m292/hkuo - U24ggqAYATDtZ731HiJMVme8DEV9g0HcspZmIUAwCDCGqqrGICqp6S7nLz6JL1ap/tyHPz758PaF - vRc89OqveMPLv3xnctFn9Qg7MVdVqtRVXQVpaEsMrMhLKxjdTURERBulR2+sbevui1nha255dSsi - 7oe3RaKIAGERDWi3vD0txW3j57V4V7CRrbuv7lEkiAigZraW419c/bPeln47dnZrPB53W71GItHd - N3FdRK6//4z781bHwHX9f0K/ua85B2WR0bj8crOLp6wEkW8i8RUAkI5nsYrIOt8fqpZLU1YhDStn - VtfbXMfXMri8BMSXwPDFPcPavHW0X9pxWwzllf2u6/if8p5NbBeroA7Pcb17L/+9/rzWdV2uP851 - tfw093vs7Cyvrd9kZS/LoNizGRWb29dpV2dzj6OzGRVlv6vbcv96066WWd3LZPf1frK3Ghh/oyzs - 28cdKSGlJJKW9wDrjDA/5lii+YY8+ugXN7sDAIs49qKcka3phcb1XVT2dWajaHUArDfSHosxsPxy - o4Oh7Ou06xKr0Pe5HExVhbbtAYQQbhhdf7K+77F4QZhSKo2YWc45xgigbdumabCyIpqIiIjouUaG - /zlUgBoQL/83VBWAuhLM23d983/0mtmsam3fUCtcIA4fPukAsK7cbjhgctjUYmYSXDCOgKBLaCJi - HD2B8NkqfstvvRsZGDXuOeWuqgJShodyarLaLsREM3SZDU7rYkAZFPBhSKigTampK1iPUMVK0em3 - /cZv/OE3fcOkn0/SU7X4TJWI7nIj6zCWgg153tmQgclWFQ/6fj49X+3elR1tQp/rWjuYiCKId1lC - BQfcOFaIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6Azpcq1G2QoACSIOoOu6qgqiwUOUZDYZ5VYkZUlz - xHD+/hfNrxzkdn64IFaObERcBJ5hBgFUpBKpYVc/9ZmLD74s3ne/zw9C8l0Nly9fwrxFSpPdHQAu - MrO+A+oQ+2RVUKyuMpZFCPMdvl7DATHDwQxSNTC7emW0vYuDqziYyWzWRO1SWXGtANx94ydrpaSP - u3mcVJjiV37zvX/vL399iJ5gEaG+995prNHNxdVx08eTOo8RkNjmHBC2m4h5d+2JS4/9zu+N7r5r - 50UP4N77cG4HlaKEvSMgRIgjJfRziDRRmhAx73AwT1/83Oc/+Zn9/ekLXv7S8697BUYVcp+n80nT - INRoW1SVLov/CkodIYcAejhuFjd0KDOkDls+EE4YYDIsZHKYLR4ypZbxkTLDfhZDU0Ryzu4eY6yq - CkBKKcbYtu1oNKqqqu/7pmnatgVuVNdItZQmQ4zRzMxs+c19n2PEz/7sz7zpTV+RrZ9e259MJour - Q0RERERERERERERERERERLeZC0yRBFZ5r92B7//Bn73/ydmjB7h8efbEENHdpbZt+7611CXvc3AX - MwHEXEqykZmUEG43MRcAw9YEObohQ8TFFAJ1EYH6MBdBzH1lVoJh2qHZrbN2B3ZQhSZActUm6VxS - mV6hDgPUESwCyLqpZKjnhuUEHS/XS2AQdd2/Ot8K5+yge2Dyqu/51r/3gY+/+rc/+u48/cTcr3hM - 6ggQN0nJVDGqq5Kn4GJWZiJBh0rAi9K+GaYOE6gDQMoGGJAccIVHIMCb7vOXru6Nth+7+sWPv/9j - //oPfuPl973+Nfc/9NDL3rwTzqurJhVXIEISgE3GStAdidHdREREtCEbyfda5r+ejdV9+ZG3Weux - jLbd0Ekdi8hd7mV9u3tabx1XMyDXoqTH3Y4XsieMgTWOydWm1t5pq461vOkIapx0sdbYb+VxtHgo - YUOrRo49A4iIrSvr8hTLLirR18tjWOP1WraPDTygVvtn9bBvtCNf41YEJbfTRXU4nHW0vHr0vlyq - N5zgsMe1bH0Dbd5g64tPo5ZbWaawruWKrLRWwtwh6m7X73ddW99Am0+5FUBURdyGtXJrvi5uZ31G - Z3Z11jzejm7LatoTx/l6t6c9Zm31iWMdfGVfG3g5JKIOqLstfhdtMvD85n//DOcO8aM/u66eKCHF - qwnNhyPnTlYeZHmjL16Opndvdl/XOTYA1j4ejr3uW2M0+Gou+PAW4PSD7/tcVh2XVcoA6rruuu5m - 97t8H1paW66IxspjsmmalJKIhBBu1BYRERHRnUvgcfiAuwGkBwwIhmg9IOIRjr7d2b9yr2c4mhqp - AxbzqwY+zOZZvkJ0OfxHeWavS8WwqBtUWjBDn6ECCfHyPP2F+tVXPoDtMXZ3AOT5rBo1GfCIGCok - BBlOEG7wUsdnI3+vIb3uTyTiaGJ06wFYylIrInB+9zOT0b1XN/vS+nC8uS6Stx1ABpqRzuZ2cK3f - GjfWp32bZg/oE7qEtg872wlQUdHlOCmT2LAcSkREREREREREREREREREREREREREREREm+LDbHYR - OA7zsCECCGB1HWECiDQNDFfFHr12+f56rONttNN478XpH/9JABoZptaLr66jcJgFxSIavDTqNSTP - +/lHPja6cFG6Tnb3MJ3es731sbe//fU/+qPoDAECjKsqQTrPIYZhmv2dv/D8uLICBfGD//inXps6 - nYzms+loaxcf/1jd5SqGuWUAiBEhnEW5J4VA2/m0GU1SRjT74fe+53Nv+6md1Acz86A7e9Vk1+Z9 - dDVLN3tJBECGwmpHtj71fa3YqzA35CeevPLEgcc/0+2t8bmt6vwOtrYwPgeNUMB7dHPM9vv9a928 - 3b907fLV/TjZvvCiF97z2tc2996F7Z1Z144EO+OmhnzobT/9Ff/wHwBQoG17bSqR5dEGg+pyjAPw - oUBFQHkUDCneChxfFqSASHmkONQW2d5Dwrfrke88E2U1etu2TdNgUcjo3LlzIlJWxLdtG2Mskd4p - pRMbKXWKyuL3cltEYoxuKWf/sR/7sW/6pm/KOTv8/O7efD49Wn9p9VRZSpuIiIiIiIiIiIiIiIiI - iOhMmZiqJkkWvK+6K3b5jz79wU8//tFZvIxRnzWZqEMFKrWHxk3gUBe4uwEQcwBDaDeWid1AKc1q - AkSpAlREMlwBE3c3N8DcxQGIQBbxARIgDfrQHTgkwKz17srcDiaTEToAujLTQOA6zAiS5+uUg9UT - dwVgYsBQbhfAors0a8pD5VMJruKoR6O+by1Yn/pKt77x9d/+iguve//Hf/u9n/zVfvcgpb6ft1Ud - mnFlZn3fQyMAeARgChNzwIJBEAwAxCOA4HAxU5MKKGkOgJqIifdq7tt319N+P3eYbO88efCFJz95 - 6ZOf/tTBwcE3P/xtSJV6UA+AGqILTFyfezO+6BYs5yZtIoxyoxjdTURE9Hx07PXKpiOHr0/JXe8L - pk2/Atvwy7tT3zSWTjuW1LvGyOFNRybflPVGKZ8YaL2u9o9FxS+bPfv+XO8j99jjaHl77XtZ3j6D - sPPVPZ52grdomQi+IccG84auy/WWMe7lKwDDp21rfRwdTYvfVBTwotPOYhtjPHpeG/z1tGj7WfRM - /oyFEI6NB/fV87rV61LWp63u4iytPmrWu/fV8XYsKXYtVBUrw3jlcbpZpz1m1/X8s/rK6ui+1mbl - athiV7j1kfxU25s9yCN9u/x1dsPXMzexo9VmlpfujvrI9GTHTkEEqqXf1nNuq+0Pf5eS4/dv1Orj - bI07PTasVIdRl9LafosNcd0rbvicISVpu6xSHo/Hs9nsxHcQN1bCv3POOeeywtnMUkohhBhjzrl8 - Q4wR636/Q0RERPTs4bAMGCBAcAwxxVLmZmmez6M7rk4vqjWpt4x5Rr14WXQ458aB64KNTYbG9Jmn - d2NZEQqu7hYVqph3WepzOLfzV37ll7EzgZkbYjMCfJpSHcdB4FKqU6WhHYvlaJjdvVnlYhkQkFNb - xWBz06aepz6MBH39ne/+zT9/+OHNHoMcf/vrAgdiDP08jxQIcjBrM9CM63HU/+lbvvXv/t77sV11 - 830d7ZZZaigVmxy+uHmkrBjfGRARERERERERERERERERERERERERERHRmTDALYtIKOsiRHIyVBr6 - 7qEf+sHpv/il6SceHadcjQX33RN3d9ovPlaJhkUhC/FSuteBsj4fkOBwcRiyGAReqVz5/BdGj34W - r3kVrk23zu3KdP/eUYODFtpgNsf2pG1n2owhmuACCddN3b/TeVkM0XXo7CKqUVS0bQwVrlzGFz6v - GVBzAVRQRSDImhan30Cfkyqa0cQMQYAqQvRyXY3dmpSzB63r+tz59vJV8Xyzx+MCCZqSARaACiql - ikxCE+AO5C6lPrWz+eXHZp9x12pm6iGqqgU3HJbI2Jrsvfp1D+FlD2J7hNxbPYKLZ1jwNJ95wrhu - EKJld0fTVEPWNgxQWyz0ccBgAILo8I8CAcJKjP1hnH1Z1iFDYncRAEAVJtAjg1OGrza9FsTMVDWl - VHK7U0oiUorzhBBUtayLL4ndp+V2F6vB3iGEnHPf9wL8wA/8F9/93X973k7H47G7t+2srIjf8JkR - ERERERERERERERERERHR06IOM4MnOIBUT3TuB111IFtdF6d9cHO4i5i6u8IAZBeIQFRkqIEv6gBS - yljEHIgs4s8cXd+qL+5RVTcThecQj+S5uA3zJUaVdObmqEcYN3WVx4Iwn3e178AVMlSONcCl/J9O - 5WLmCgCuAgMgPhTmtb5zzyEECPqZSR9fcf6N9/2ll7zs9a/45ff8L1988rP33nfx0vzxK/vz0QSd - opIERDgAFTcdYsLNFC4QV3WU+HDA4EhlzpZAAREXUVEVsUtXuvEuQkSb93PUnb06dbNr7ROuHSRL - mTyGAEEWBcygrMpLSycmA94RGd6M7iYiInrWWn21udlgzjN40bLcxSKOuty5/og7rCTpbvrV2Nm0 - f/1eNrHf8m4ZgIjkvNnzOi2x7k65XseWHKxEKa+l+VNdf/jr7bETWxNZZ8T1saaOZZ9vyLG9rD36 - +pR+W+e7weuf0G7Y/no+qVANJ16vdZ3W4nlGlomx5f4bRsbehGNX/PoBsCErEaTDaT2NKNybUM5m - 2fryvNa1FOrE355n8OnGsfGwPJJ19dvK7zVZ7cB1tX9jx7pzjS0fHW+KdffbohlZGXGC9Y2305z2 - /LyB142ClX5b8zi/vrUzeKFwbBflGE7Z7+FZuy8yj09fNCo3PagkLJa5HkakPxfCyTSE4YwWXZbX - ui5aj/5pCmeed76h3UnQZacBMC978o0OCRc5/Xy0PLfBTVVns/YZ/7Lr+15VVRVA13XLp8q+76uq - WrbJ3G4iIiJ6DhMgDOVpACxmHyhKivcojPD4lX/1Ld/xlbP9rusVGNW1d91KA6d+luWACbCa8P30 - DDWhSmqyLPbhMEMVq5x6Q5y5HjRjjCcYN0A1zPUSHcdxBg5a32oE6IGUAUeMAjiCPxfe1zzbyPD3 - LxkGw+Jya/DsKVYjOGJERh/GNcaTTjc/xWVRAmyYaCYQIPe5ErhBFKOoJvB5pzY9twN0hoNufGGr - hSV4LWFZxcmGluzw1IQzzYiIiIiIiIiIiIiIiIiIiIiIiIiIiIhocxb5xIfT2IMClnsxF4khageE - yRj7T37+yuUXjieVe8I8zg4mFy7ka/s+n52Yqx0V2ZFFoQHoh4XCjtHu9pOXr33u3374hXffg+1d - HMwmiu7ypY/8s5//srf+14AiY9SMZsgZEAQFFFgGggNQ2XBprTORkEMMmPbnsuTUKXIMI9T11UtP - joCUPMbQhQoA3BVho6sLHNCg2bNCVQAD2hbbo+nOOPUI4g6DxOqui5f/4i9iStVNtm/QabK6iiMV - 9L2Z+RDvDiQALuK1opKcRTuI534nZ5XYI+wnbyH17rl7XvTi+t778ML7kRNKuHhVq2XMu0kV4RbG - ETluicJMVWFwA9R8WDC0OohQqmDocunPYa0zKGAwLfdJ+S6U2O8jQ9EXiz5ktYEzoqo555K6XZal - nzt3zt1Ho9F8Pi8x3qpayomUkO+nbLOqqvL9dR2/469921ve8hYR2dnZOTg4CEE8ZUcelsD74XgU - V8BYTJuIiIiIiIiIiIiIiIiIiOiMiWudtdKmzX3X9lUjkrsgBmRzL3kIoioSA4K6QVJQdxjclrkG - kgBgFHRIUjB3K7ndboImal7MiTAYxN2yA22XF5llUB3ymYNrnqEZhRRS6jDvUphrrCdqFbwCggEm - ZuLq6L1Uon1+kTJhZmWWxSLg5XAylA3/OsxUKbeD6eJeM4G7N1Xl5p5Ry8SST/veQ/PQ+f/wge98 - /W/8/v/xvo/+Bs5X4710pU2TLXQ9Qk7BYjAtE11yMBfkIY3H1E0sigNQ9WHajSnckRzQrFVW1Z06 - 9F2e9xiPPETPqW27q7FyQQ5u6iquJVPeoSaIz7srTDeyjAO7I+K6VzG6m4iI6PnuzCLETguivnWr - p7AadLdRZ9BvOryT3ViE3uIMSo7eMlduIztbcQYvmFdPbXONH9vF7XojsK6RuMz3XD2RNZ7UseRp - bPI54ZgTc7vvlHduJx7nGRz8avTv6tPdep/6lieyiQTl1V46s8t97PfR2ne9HLob+k13u8bb9bvb - UL8d28umf5WvPudgwz256X47/LR9869/zmLIDasHF+nLG93jRl+UHHPiLk7Z7/GzliHV3k98Qna9 - 2fTuE9oROYvfvxtu345F16/7+p7Y/qnXZe2uf4Cv6byOHP/qXtb7rKWKRSD9jRtXVcsZIqJqZjHG - nPtnskeREEJZ2Fxyu909hACgbGOMOefyJdO7iYiI6LlLAQNUlq+/1LCod4PZDPPu/v3Zrkhdoe9h - Xfc0XxXJovWbIyYrxWJKSZ3yerTWuk1do3Uv8dLOztf963dhby+FOqYMDYA4fEgibyQ5qpU6Oy7D - jCgwvXtTFldtmOmFIGGlhFJ2wKtGqvpLk8nd84Odvm3y8l+XV/z6t0560p1P74AcanAZwuODAEAC - KpHUmwB1wHmVFxxcwXQfW7tw7aytw9biMTGciMCkzCG8yXfWRERERERERERERERERERERERERERE - RES3YnUBhIYABVzd0Gerg2Jn72qsL8g89m0YBTTj0X33PfHJT6mKlEnxizUUpYCsRqBHMhNVUSnt - u2J2+dr5uur6PP3wRydf+3UAkNNONdmdzT7w9rd9zY+8FTmhD1UVBVqykWV5fIsE5nD6WawuGD7D - JR22WI8QjxyEYLh/ZfUKBAYTGFL7kbe9/ZXullWrCvM5nngszeeVojNoFb12SIYrEEp0NNwEJqjg - fnh6MizwH8LXV9YjXL98+lifrHyDqogZAhzimFTI6c3f//2f//H/Rt1EAGSMR9nNSgz7Yo2GH13+ - ICeu2BYb1XVKqe2tFmiAZ7ggSIA7YMOVdcDNRbKIVnHmnmPcveeeF770Adx7H5oJIEgZVUzqCa4x - uIu79xr2YzSt3vVr7/o7v/VuhJBSiiGIHAa+Hzv905cgKWB6uNgDAGx1hZCv9NriXl/97rOiqu4e - Y3T3qqrKKvX5fF7yvEtcd7n9dKr0iIiZ5Zzrun74oTf+o3/0k5Ot0Xw+c9QxqqqKnlZqg+tfiIiI - iIiIiIiIiIiIiIiIbg8zi0ErVyRUojEIgvXSuS7CpEwcnpHcsnt2BQQirouJJxIgfiTXQESCCIAA - Syv/cFjw01HFYaqHlhr/DnGYGzR0fc6KKkiUUUSjGi2IL6ZwiCtgBkCTuwa3w8Kbi9k1h9nVrhBT - t2Xl2JV5IHYnb5/29V0UOAWgK/EfIt63XYx1pVWbcogSqphS6q7ahfGLvusvfc9L7nvJr//hv/rC - pU+ev0uvzLsYhrxwhYnB1WBIAViUzzVAxVBK6TrcXUSDBxODZAOSI5jlhCoG1NkdfbJGksSkwYKp - WCituaQyf0hPnGZCz2N3Su7b9RjdTURE9Kx1RpOY79zXMUu35RTOJDp3s+3f+Vf+VBs9tWdbv633 - eM7m7G5LEvBZHsBtfV7dRAb2ybc30f6zuc1nw36fbc8/d4qbiTM+uwN49rvt/bZBZ3kWd0qPPUXS - 8TpOxN3vmO542s7kef/s+u2sXghuquH8dF8FWe6xEiieUnfj719mcrt7Wcmsqmbm7iW3291zzrrI - DheRchuLDO/SyE2fEhEREdGdwIEMBRCGGTkG66EAYnnlhNnVu/YvxVmGhiDZ/Fg1pZNfxYlDF/Ny - Ti7xc4ryg8BQMkiALENZp4hKkYF0CekTe+PXnt9GNUqoQxyKSclqSZ0yrwwSAEAFZ15u53nkSLGq - 5Q0pI2XY1GWOGZr6L//u77zva77q4fbq6Fo7SxipiDQptwEyDBlZTMwrswhvNr17Md4EVpmaQGEo - 0e5ABLy3IdY9Y9LNX33w+Hu+7s3f9LE/BZomVIDBFTLMEgxIcAz3CJb1yp5RRxERERERERERERER - ERERERERERERERERna5MpncFji6RGP5Fyy1RTILCgWb7y3/kRz/3kz+xY57nnZrg3F1xe6fff9Ja - NIKoyBkANGjO2XqIo4Gb92WVblkI3Sjg5gfT6Sc/NXnw5bj7IkbRzO6aH0TJf/a//stX/q2/hZ29 - CE2AlsKXvlj1IXAsitDqakD2ov3DJQFa/n9YNXizK3cN6BeHpciLPSpczN0UEb1DAwCLbshAgtq5 - KPUsWRhbmitms8/++wBzR5Qw7VK4OyJ2aCbZoyLk5PAkDsuuQUrVY9flOaoJvKxvAbDIk3agVKXS - wwutx75BAMkIKm3fahM6JFGro2Auhm3PIjKDzSHd2LNZNg2aXQCHGNRl5So4FAglX92QDAIEgbVd - rYhBPZuV/nF1wGAxNJZ7EWk9iwYXn2uIF+8f33P31utehRgQFAJEIDuyQkVD9KDTUfVkN6/2dr4k - eNNbfwSx/js//pMIirqKYaiYKtDl6F6uIQIQMIz8IwNDlj+y+hOHXx///sMfWQy2zSgL0vu+LxHd - ZUF6zjnGCKAsVC+L05fr2csi93IbK0Pi6AkBIinZsBbesgAvf9kD/+IXf2FUR+u7OihyUgDZvDwC - jzBgqOxMRERERERERERERERERERLIscLjIvIcySygZ5NXEyq0LvBdRTG03zQW06VtWplDol4qdJq - EBMxEeRSDN/NFhVc3ZFFILIyAcCyQx0QLNqBAAEVXN3dPLkhRnXLlj0AVVSBdJbnIaNRdbQHPo4j - WOisy9H60IrFaAGmQS2Lu/QuMAsKh5TpDRVcTcwF8AgEIMINMhN3dQM0i5oMFTvvxG05i9Uciacq - NmqLa13qnC6nSKkGNXe4VyrmsD6JoI6QpFV3/htf/B0P7L781z/4y7//6Hv37g45pFnuVSyl1IQQ - NXRwN1eFOXSYP2alDmoe9iGwAI+AB+kqGBwCWJ8R4Y66gnV91t7F1JqQx1mA0JsYStA4VP2MshTp - jlBmQJlZCfLAnROCyehuIiIiIiIiIiIiIqITlI/+c84AYowppRLjXf4AoKplRXT5ttt9sERERES3 - lQNDLSIVoALQ7r/nr/6VLxfUUE+WgaqC90+rsZtK7L4BdRgE0C53Dp1Kkvvu+2vv/jVsNYh1dpgg - LKK5j76e02XpIjojR7pbl/ccllWKEXXzxN7e4596rEkYjwWd9LmtQuO5O9bYrVfiElg4aRwuB2eT - bdTO7hlVsGxdDo0Ms898mBAXhoJXQ+Erg4cT2iMiIiIiIiIiIiIiIiIiIiIiIiIiIiIiWhM59t/T - /ylGQOcBoW66bh8aceHcaG/v2qXH9uqQ2qxRISZSSmpKSfQtM+2HUO0SCR6Q+xyBvVBf/qMPnfvG - b0SMUWRHULt/+tHPIiekzjurx+MsgCzWC8jqQV1/mGV6PnBsgcCZlvdcVNOV1SNAzkmjotKyWEAg - 0VW6Gbrc5Cx9qurG5vvw/uCLnxv5UO9YRGJTl6Uq7ugd2jQwQ+6B6I6VZcpWIthLevZyJULJkzYA - 0IDVSrjmK4V/h9hpARyxahI6IAR4yfsWq3IK2ijEEKKKB1EzU/FlnnpRvqrqCqnPBqTlwcEz6ijZ - kdwkiDrcHY4Mq5rxrO2Shl5E6rFX4cIL79155auxdxfqCpbQVIBkN3MxFamrXDX7ZgdRDurq9T/8 - 9zEavbhuMNpCrG50UU4I6T7xi6f4wdO+f3NLig4ODra2trAI5y653e4eY+y6rmmapmnatn3mpWzF - 6no0n8/d8dKX3v9Lv/Dz587v5fz0FnQRERERERERERERERERERHR7eNiDlcPZeaCiRmQdTltZnnL - Vid4QA6LZJooXF2wDPle/AAgUIU4zGEG8yxiqqoSHbnvkwbUdXD3+dwAVCMNajlZrWFSj8Z5lNsM - cxF3MYipV2LBXaEpBQO0hHMLDDBY9HIScJdSjFMFBrgiCaIvJh9pmdRyp21vcRaTLy7N9RYJ7VBV - S+6dhFy/+twbtr9+fP5Pzv/2H7/roLlUNcncJnujNOunXQ8gRDHxAMUiutsFkMVhOiACj+pJhwtR - LKdowcUgGTCxAETATMwlAwhWJt4ocOph0/PNnRLUfT1GdxMRERERERERERERnUBElp/+i0gIoe97 - AFVV5Zy7rhORvu9DCCLC9G4iIiJ6vhEgwgAdZtqoQBSQAAA9vB/D2v0r21JLrJBy7lfq3GyAQ02G - IO5SaQiO4GrQXtquDv1k95H5/MGdEaIjp62K8yXuKKJoxvNQ1+Nxk2fzmY8msZ/2yLMwhK8LFgXB - ynwu9Q0WSwLQD5WnklalBpkChw8IQCDqAnGIazh9bhwRERERERERERGv8B8KAAAgAElEQVQRERER - ERERERERERER0dkR9Nmqppl7uDqfbY/HOJii75vXvvrgM5+qEHpkg4nCHGJW6v66mFxXb9MSQgiW - s3Xt7Mlu/NGPNm9+WLIDOPjCpRff94I/edvbX/tjb5WtscAV6iXzW6CAuAFwhUH1SDh3Ca42ub7g - qywypTcrruR2mwcdFikAgujeOdwktzb3HNTrsQb08ff/u596g4iq5/1LYVTh0Uvdk1e3fShvGyQ2 - o22ggqm7eIgWIiwjBoWkHjGspEufeIIOcQRZdpHhsMBuKX+MgGF37kAoXVUrupAVl6ZorXHrkSqt - YAoPjqhIwZPIyXnquevLHQJVVcQAk4zUek5mDkT1oFCDmKnK1Xbmo7rb2erHk7tf/orqpQ/CHHA0 - iqbCzDDvMdmx6UG1d/5KTlejXqujXTj3hrd8L2KAOZoRokIDnovMbGtry8xUtWR1lyXqqgqgRHq3 - bauqZra6yP1pikFylnY+r6Jujca/8M/+x/vvvz/nvJGTISIiIiIiIiIiIiIiIiIiottqiH/2Zf6y - qqtBxUto9xAvLYC6iqmnrCIiZgqDmcAlSymYWcEcM8vusAoeYbC6B1oEyZp6pG7i23v12Ns+uAYL - 8ACvAYMHeASguRGYIkIMVgGQYHBzMUMPQJEFycR0mOuSFVlWSsjeQVsXKSHZG6IOtwCkasuzd9Np - d3H7vm9549+4/64X/+/v+5d5NL8sjz+xP5+MQ6iq0OUYY5s7E5SjUkSztJwOVOYTQRLgJqYrce9E - zwyju4mIiIiIiIiIiIiInlPcvax5TimV0O4YY7m9+lcBVU0ple+8fQdLREREdBsM9Y5KISEpBZEi - LKFv0fX1dHqu3gqWcmoBhCp4v+FqL64QK/PGxBezx4C61qvqj1bhr/6/v4NxjabxPosFiGy+YBOt - iQrq+Dd/9f/+04e+rEuIEdem/c6ott7Mhkpc6mWaICA2DIZNTuaJgu2qwqzD/hTjiUaBG1QDYIAf - i6p3BZTjjYiIiIiIiIiIiIiIiIiIiIiIiIiIiIhuLwdEHQGve+t/9Zmf/Ik6+7ipEBTbk63zd80f - exyAGUIAMlDioa8r16oOANmhIVSGa3l+fnz+c4888uA9F/HAg4j1hZ0G0+ndmv7tO3/m4R/+ITQR - MRh0ubCkhDMbFhP/j+3BARnK3a7ed3289EbYYv6/pEUwtgIwQwy1wRNyCFUIVXRgv8OsvzjrKwtA - H9SxfyV/6i8mHtQccIMBWo/34BVMXLR1v5Y6VDWA2awdjZunu/zBj3aULGovwxZ9ogB8sVzGzGuN - 2L8KDT//H/+N7/mar4uKZIgecNCaq7sHOWyy9LYtrm8CIkRE3SWZWWeAGnKsoiMZXDQkQfKc1C2G - eGFn78EHcO8LcPdd0Bp1TG2K4zGA2fRAYx0m4zmwvz2ZB/S7O6/8/r+P3GF3DzHAgbqBluD2Z3bZ - 7iRt2zZNU26XoO6UUonxrqpqPp+r6s2lboulZACCQsR/6Zd+8aUPvKRuqoODa1X13IxCJyIiIiIi - IiIiIiIiIiIiep4zQOXIZBKFGSAOX8yx0cXEnxhrwAzwMhfIkcu/LurKioVamxDqZNC5n8co9B5D - szU598ALXvnAPa+8f/fBHT0XciUWxeKwQ9iwOzH4MIVFAYipw8QFpsv5QQJAzQOgLnlxz5249aHq - 6aaomKSUpLZQiffALN43fnDrga3tyfh/++3/2bdx0D/e9nl3Uk0v9zvbUq71oqdVoeVyAwBKlLu6 - mCyGDdO76VYwupuIiIiIiIiIiIiI6LmmrGpumqZtWyz+GODu7m5mZqaqAGLkh+1ERET0vONABgQW - UOZBqUMzEA340hP/53f89dfNW80Jahlej6r5vG82flAKByQf1uhxE8Ba2x/jEztbb7prD7F2OCrm - dt9pgmIUsDX2rb2qTW3X7tSYz7sqSNZh0pcAlUGG0Gzb+FQwR37y2q9887f/J+97P9QgiqAwF5EA - uCiwMh2Ng42IiIiIiIiIiIiIiIiIiIiIiIiIiIiIngUEiCpQYNx099zTPv7Fat7GKmJr3Lz4gce/ - 9NhuFa1PIQCAiMO1TNBXQBziOsyUFwuAdZ3Wo6azPJveVcXHPvShu7d3sHsBTY3UXjy3nR+/8oF/ - +BNf8+M/jqpyNA5EIDggClg5nlLP9uSjLRVvAZTvBoYj2VwH+coWKpJDyTD3/5+9Ow+yPLvqxP49 - 5977W14uVZXVVdVd3a3uVkutpRkEkgAJsUiMABHAhMch8ITxEISBsR2jDcGIMITw4IFBIISEWAYm - 7Blm7AgHEROOGCLAYTwjFjNmWEZGllBLaGlJraX3qsrlvff73XvP8R+/l1lZ3S2hblV2dRbfT0S/ - fEu+9+5vqa58leecr4oCiIaskADUPHhtBPKxt7/zWbHNly62CgTH3rj72Qd0nkMQAAZ3AWYbgMIF - Qazr7v6hNyEEq971Xc5oImp1DeKHNu1gM1eZ5Qd9MH7F9svlHbMfbS4ANABRxMaiXY/57su/9qWe - x0bVvQKpXNiNiNXmvjoOlzsgDobzNiKAuJvBAUSoiANac04aVOJQZAGz9RPrt53vbj6Ps2fQJyyW - SAkiZb4HFRtQB8R+Y7ePFxt9IPrL3vIjiA1EIAlhE2NF6KcQ8qlZKVynTRiqmnNOKdVa27YtpcQY - 3V1VRSSllHOutU697V8wt/vxc5+nQ4S2TeOQ3/GOn3vxS74yRl0u57PZLOfhqDaJiIiIiIiIiIiI - iIiIiIiInilMYKsiE6i4YspyhkHMxZZW7KA4RBEUQQGBVZihsa6xmez1YehuWrvxlq1bbz11y7PO - 33LLLbe0sRNLwRodo+81amtqSQDAgAKpkAxBDaM4IFUdJlMxSl6FRosCYlB4AtTRAhBkoFyLHXV1 - 6BHmdgMwEWmaWOowlJJC10oju9LVjRff9IrmW2a/8fu/fqa3i8Ojw7Ds1tIwjpKmIiJbFZa4AjYt - 0QC4uRgAF4PA/AmqT4i+eGZ2+KaIHJcwb6aJEBERERERERERERE9genf+mOMU2731P9sZrXWsA/7 - zc/TdSIiIqK/SUxgukrLhgvqVJFTHEFmlx45ERDMduvYC+oyd0G92l/7ok+ZAAo9NIgJLoAboAI0 - Wzd813veg/UNpNZEVXQ5LrqmP7r10NXlokitNM3HF8P51KZxgKFrZT64BnERuIqbCdRx5KHdAACJ - uhHTxmKBxRxtQh8hBjhcIArAgCDTiKwjPPOJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJ4Mg1XkESk9 - lNJmTHFtA+MSteL8jfqRkxh2BJhmaYqIX1ESrwBWlfKuKlK8yrhsNM7rMEuhXNoe3/cXzTd8E8qA - 4PXCo+c2NoEWY8ZiEftgiKvBrw4X1Wli7OFRtnIoPHv/usgV9x01n7KrBYDCDeJwm24a3D1ECTIN - tw0V8+VssReW8+bEZr34YJjP8elP1Z3dk6mRUh3uMMSAzQ1ohIhpmMO33CDqgnHIqU0AdNWI8ARb - aIc2fDoAsuqgUYcdXN9vXjABaq0hpFxqagIWS8yHG9tOhh0EETisDjs7SbSsorIVgEMAN4HCfD+9 - u3oFECSIqimqWXb32ObirmF25vTWs56Fm2/C5jqSmlVFwkZfSobq2KRmfbZXvK6vD013vw8vesub - 7+gCgqPrHBEIglhqXp0TimVGao746F477p5Swn5PeoyrSbAiMqV6Tzf7vl8sFk/mhVd/QkOQYcw/ - +pY3f8d3fLt4VVV3H4ZBOWuZiIiIiIiIiIiIiIiIiIjoOiWussrn3q8vmQaxegMoUKaHTBEiBBqg - aio1SIFXg2nyJlh7qrnhthvvfO6tX37H2efesHbzLGxgjuBqg9V5FdEUmmARhmBhP7fbXKugCIoL - HAqBuJmo+mpth6KjXQETdUSsKlVUmB/9+VnNTZdgqMVdZBzHWJvNbuvio48+//RX/IPvfP3/9Nu/ - oort5aOhTapabZie51CZdq/rdPSnMPWp9MixX5pF9CV4fFD3cUnvZnQ3EREREREREREREdETcPcQ - QillCu0GcPHixan/eUrvdvflctn3PYD5fD6bza71komIiIiePgKEVYWWQjAABjQArCDPmzwfdrbD - bL2bjylGz6VUC0e/pNUkJgGm0VBaHT4aPjevt8420LQIUaGDjbFpn56BTXS1FGhK3bf/6R//5Ste - /mzttneWM/emRSkQR5hqBWU1dOppSO+uxazz4nM0BT1qgJipAuIVU60gKhCkwHU1R4wnHBERERER - ERERERERERERERERERERERFdWw440HZQefkb3/Dpn/qpvYceWmsTULB54sTtty3ueX83RXcL3B5f - nX9QGe/mHoPmatHKLOh8Od/q+4fu+9TW+/9T+LIXol8La/3i4ryd4bM//yvn3/xGpKixM8SAVVI0 - oBB7bLH9lJkN7AdmGx7rCOf2uiADEKRVb0KEF4gDZnBHcJHlcuybCFTsbf/RO9/x4ojgNS93UgxA - ePTDH5acXaO7QdVEvY1YX4dqFStqYxPRNBBRjamNAEopMerhff2Y61M097Sf9veWOmBYzThezTLe - b15wL8WqJFkid1I/9cv//OzFQb0gCmrGzoWyvd2V7Couq5T2KmpiLhBosOntBKgAHDVbXVaUCJ91 - 1pw8dfOt8dbzOL2JNk1rNDPt1/PePGpbUrqYh7h15jPDcrtPL3nLjyLnc+vrUCAlqLoJNOZcU0Lo - 07CsTRMgaCLEIddp84Xsb1itFUAIYRiGrusAmFkIYbq/lPJFvdrqqx2+57tf+3d/4Ae+PzWhDHWx - 2Ov7vtZ6LKbiEhERERERERERERERERER0ZMiDoXCFdNg2P2yEawmsgZADYAUVUAwZECsVpMxhBLW - sX4ynD4hJ7/iOS999o3PfdbpO5L2VkOInedQd62vTaPRxCFSzWqu8BoQgOrTuE8xwKYiF/Hp7QWe - AIUHcVVUQQEsyBQYbVOKtE3DYv3xFUF0mVkZFhUSZu1GCFLG0YZSPa6HrbxY3t6+8I1/50d/43d+ - +d6FzzG3OAbZf54UtWiHc9EF6jZld4uvBvYCyhBvesqObz0So7uJiIiIiIiIiIiIiJ6YmYlIKUVE - cs4hrOImQwjjODZN0/f9crnsuo653URERPQ3WV1NS0IAUCqG4UYrN6x3eXc3ADATjWL16OtybDVx - xmGAC8QxBtvpN7Y3TyF1NbTValCoRmeR0HHjAAow6z/ThBOXlrf0UrPnARIAhxxOYpeDJxytHnJD - MSzmwMmM2l05+0sAnU7I63RoFBEREREREREREREREREREREREREREREdS2YIYUBom3RJ9NT62jDf - a9sensPNNy//8n2twAB1uCOsprVOOdbYj802QBwGSFS4Q+A9UBeLjRQe+OiHzp89BZxD6vqNzVBc - Ls4/+rPvfM5bfzjOgDBzUZkSxPfr7X3/yuqr79/w1aUI9IlCvK/+vtl/z9UKAUgECgBBKLmGFPqm - QSlYzGH5TF7IsECbLC8RBe/7kM4Xa03CWB0uKh7EUkDfQcWlVsWuGJoEx5hLTNGBEON+U4xCLm/9 - tKKwn8yth/bNZD/PW3Ho0vMYU3SYoMSyh6FsLIZuKOiAkjEucOHhvLxU6piimDsAE4fUw4fDAFMp - pohagizdrY0nzp9tb74VZ29Ft4YoqGOxom2CttUwlFrWNsam3RHZXVv7sje+4YaoaBK6BmkTEC8m - obHqpXpqkVIwwCuaLlSrARJ0musajvAAX2tTSreZAei6TkSmSO9aq4jEGHPOAA6SvL/wix2+8YpX - vPxnf/Ztor6zs3NifU3Ex3E8aI0nIiIiIiIiIiIiIiIiIiKi64y4iuuq4AQGwKayk9V/U0K2ipkK - WgTJXSix9/VzGzc///zdL779pXeee16cx7b0miOgVcShLu6C4Gg85lJMrInBQggitThgBqhg9XYi - 6tEwVf/olNttogo1h0IEVbxMM0PVp7WayZUTRI+hox532jSNu8NjHeuIGkIIbRhLlWJdWMsXxptP - Pvt7Xv0P/tff/Z/vXXxkYdsIGYApHFZDAVCx2snq+7VHDhOI61/z3kR/HXc/pundjO4mIiK6CsxM - dfUzZSklRv4NS9enqaA/xlhKUdXpzJ/aAIiIiIiIiI4vEXH36TKlNEV0T/3M7q6qIjLdLKWEEKYW - 6KZppqd3XXcNF09ERER0rThQvYqGqWRKK0KAOFDG/+eV3/rCCwutLgpxFLcqUBVxl6OsrKgYY0y1 - 1BCxKFBBl7BQfHJj7dX//vfgKTiqhp282Ei9XB7rRMdHv4Yyf6jvvF/DfB6ACsQKE1Q1AAGrsrAp - uP1IhSD9Yrhl1/7o1d/5de/7Y50+FzggHmA4KEcTjIAD8fqeHUVERERERERERERERERERERERERE - REREx4IAQSFwEUi6+3Wve+Dd79o0x5Chihu21rdO+8VHaykhBS1W7QnHtSpgLpLdIBAA7lO6tljt - c33wve89+5KvwS23I1eBNBWns9/zj9/+gh//UcwcfZ+rpBjcIEEdKG4qOuYxpUYA9xo1wBUCuE2D - emU/m/pI+0EUUJQAgYeDIbvDWNu2FUcTg48AgDFjHD/yc287X0sDByy6YXtx6ZOfDvMSQnRYQJiX - UjWcOn8TpA6lpCa4+pACNAKaUpqmEJc8pLTqOXhsM4RBzIKstr+u2iagB+HiZTVS1AUOiEBihJvL - IMMC8/rxt//Ss0oFMsyR5xjGRz74fsHgEdU8KjyYG9SRBK5ihmpeIB7DHLE0MZzc3HrWLeH2Z0EF - bQMR6AgXBI2hQUVxmSPsdGmv7x6W8IoffjOaBA2QgBjQoEwTmxMUkCgpysHelgDAoq4GRoscPtTH - 1cFoWhHJOaeUpjtF5GBg13RnCKGUcvCsKbcbwBfI7Q7T+C94CLHUIgJ3vOhFd//zX/tneVio6qxt - SikiogHmRdjOQkRERERERERERERERET0VLnjIIbMzGIItVb+HpaeCcSxCufev8f2y04qoGEpFpsQ - a9Yoaktt6sYMJ++66QUvu/sbnnvmhe243ozdbGcNeXrSfpx2NXUFoLBqoyhEzMxMYK4QUVdM1wH3 - ML2nummwsRQgToUN0CQQVFX4frK4A4AYMFX/HO+8synR4OhevxoErp5VVBDNkQEJEty81I14YvuR - C3ecvfu//Ns/+Ku/9fNSqqdhOy9cEBNMptR2+P7+PkT3Z6Ue7/1P19ZBauHBn4LjkuTNYFEiIqKr - QFWn8mgzm34gEJEp3vhaL43oajKz6cRummYcx2u9HCIiIiIioqvD3dfW1vb29g7umfqZpwDvEMJ8 - Pp+6oA9+TU5EREREAIKG0YpKVEEIwNKgBcvdE0NeH72quVwuxjFIcD266hwXc9Gx5ihYFqzNegTM - dxb1hv7etv2arkfTzfeGsN72qfVSQojAEY9roqtqNXwp6Pe85z1/efeLd4uvRzSNTEOvVrHwpoA9 - bk7VkbDqQDnfn/j09g7qqKg2msZ4+aTy1br98ZOziIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiukbxc - pH49AnDF+uwzpXShTVJiDLDc3XnHo3/28HrTDMPYKoLiMWXxj6mQN0AF5lCBOIKjdZSdnQf//L1n - +w1s3ZBEUtf7kO/o+4/8zNuf+9Z/JFFSTNUsaIJBFFFQ4Sk1GRVAo8EP93zs1+fL0dfnCyzCBYAb - DKiOFJq2rUA1NAoBMCywt/uxX3zXLYa4uydugARtLn7o/8PuvAFgRaEOX5ut7Yqh75BzbBtVrfDa - digDZicEIVeLQVNKgB1s3dR7o9jf8waIQRWHHnUgTKHpEaiOKCIoblprEMF8VyOwqB952y9s7SzF - HLMGeRca63/6j/18IQnBYEuYQSPcUQGvMPOiUppQYzO2zfqNZ9fueA5uOouYMI7oOsCQdHdve61b - l9DuDtlSP3b9o8BdP/xmNOl5tWB9DaqQgIAhW9jflMc08cgTHGM77qHdAEopMcZhGNq2BZBScvda - q6rKapq1ra2tlVJSSgdZ3U/q9UXc3c0LgBBw2223vfvdv6gKEZX93erubJsiIiIiIiIiIiIiIiIi - IiK6LokfFFjY/hd1GBzVERSzWdp5NBfUPm3uPZJv2rj17ltf9NK7vvquW18Yhl4X3Xo8EWOzd2ne - dglwSJleKlweGatT0QpcTAD4VFBjAhyUdzimOwWqtc5CMk25eko6lqUiIuhUv6BTOYMfrNmmLZD9 - kpJjd4mjDioWcwAOdauicHUF3KCa65iQNtpTezs75/vbv+fV3/e/vec3PnPho6fOrW8vdkXEzDVi - qkmZapLUIQ7HdJYAgLg66tFuAl3XjktW92MwZYSIiOgqMLOU0lQq3TRNrbXWytxuus5Mod2lFFUd - x1FVVZXnORERERERXQdUdW9vbwrqzjlPH+3dXUREZBzH6aOQmeWcU0rXer1EREREzwhT6VQrTRVU - IFesJcViiZIFpQQDYI6Ex05oOiIm8C5cmuczqWndd+eLtkmd4r7sf+8P/xAnNuF1ttaOMIdHjdfF - MJ+/QQSIDkRgc4blnm9s6u4cKssxNxAXF5gLDg6qHP0pNxXMLWQoaw2QA0zcIVLhFUiyyhp3IFwf - s6OIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6LqQ+hlqlaiIQIprz372zofuPdGt1XEvpIDbbq73fNAH - S8jTIFcYZJq+e7lW3zDNchVgGvC6P1JXHaFiDbCLO9u//4ebr3wlTm/5cq9JPebDDWIf/+mfe/aP - vgkdwtomEFAVau5FJRgkQABUIJfcxQTH5Xp8B6ZRwEdMXABzzxIjVAA3yPayNl1IgFx8ABo/+FP/ - 5DaRfhyBCKnIGY88evFj954eRgXEIYrRzIfxEsr66TPouqBaa8kWX/aDP4C19WlniogCgOVxSE07 - 7dkrWyIcIoczmKdHKzDPY58ahYUEoC6HZde2UCBXDHbPT73r1GJ+piz7gJKHgAoreOThC5/85Ka7 - V5ghCVTTUHIjUWIczXbqaH23cfP57vw53Hgjtk4CEbnYfNB+E4PDSx3K+sYN28thCSlbp//lb//O - j//u/3lD3wOCmJAaVEMMVZABNBpgctBX4QqsErv90EbJqvciADjuHRgxxpxz27buvre3t76+LiIx - RgBTo/rUyT7dFJEnP8fW+n62mM/N0HVNCPJrv/ord9x2a855innH/mxcN1kl3hMRERERERERERER - EREREdH1RQCHTVUBBoVHeHDxJtY85sV2PrmG4aJ3WD/Rn/kvvuH7vvL0i7qxx0WtqiayU5YBYzgR - x1IFCKbqWAWBSzWIewtEOEwMbr6qWNlPChfDfoWHOIJDs6vXIiie280mSi1mbiICcVNxmZKoTV2L - wysc0Kn66NhdAhA5yoIMMRNXh4kAKg6VVVWIC7QNwzAGDUFiXHRfdfPXbX/Fzm/+yb8a9naiNG4F - 1SXABYr9gcB+cM4QXQXHNLcbjO4mIiK6KqYfBdq2BTCfz2ezWQjhWi+K6CorpaSUVHUYhinQbgqt - zzlf66URERERERFdBQef7odhmO4xM3c3s+lDkKrGGM1M9Xi3fBMRERFdHa5T4Y6Il+wpKXLBpUd/ - 8zXf+hIzuEJWNVVPT3mOA/Nl3lwLdTAprsBYCjTsxB5dDwXaBMEwLGZt//SkidPVJY5c3BXNrH/Q - cVPb+bC71jY2VHFXWQ3hMlcAKnbk6d2CELC72E0+g7vUitDC1cX88rwoBSBAACcdERERERERERER - EREREREREREREREREdEzhZmt6t1Fn/f3v/fen/rZ7Z29VhGioGtP3nb73gc+cjL1izzXQ0HKJjgI - 7Ra47w/htStfvA1xOZZealksHvyD3z/7qlfJ6TOxDGUsJ9e6dhw/8fZfuP3H3oRxgERIQjUMo866 - YVikdmaAw2NMq5d7uqfGKuAQRfTV/FsHEGddyIBgQJ/e8apvev0rv7m5tAMYxKABlx59+C/etzbk - BhIAEcCtDamktt84hVNbGPLSSupn2s7Qt6jVoAokXXUbpKZ54uXIFRNDp7lmFXCgTY3DIFbKMop2 - QbC7DU0Y7Z5f+rXzO8sTQ0YDH+cSFDnj4Uce+LM/T8VhCBEhqaOZDzX0Jy6OuZjPtrZO33Yrbj6L - zU0khQCjGcpytNnGFjQuF7vN5olHJS8kLjfX7nrTGxDjj//3b0GToAExAgIoorqgAgsbZpoEBvNV - n9EU2r3azwebeCjV+2Crj7Opab3Wur6+7u7L5bLrumla1/To1Lr+lHK7EWOcz+cpaik2juO//bf/ - +x133DaOoyqAK17waIdEExERERERERERERERERER0bU15XYL4HCoIwKGimC5C5A9nG3P3dDd/l/9 - 3TdvDjesDSdkQIZpDBrhbhBIgFcD4AKDqQPitrq5mvB58Gbi7jLdY6tinoPCBNcYo8E1ISV/pHwG - nUtM02B/cQQ3AdQaAKaji8PD4eqR4yXUlBZdtOboajOmgHAX7A9WtSmHu8JDSJZqLkPTJlmoPRK+ - 7gXf8untT/279/92mnXQYbQBhjjV49T94qRVhZdNu93FOJWXnjJGdxMREf2NFkIYhiGlJCKz2QzA - crm81osiuvoOUrprrVP1P3O7iYiIiIjoOmBmTdOM43jwAT/nrKq11unjD4DpEuxSJiIiIjogMDFV - FUifRBwoFYrN5WKWLbjYEwxfOtLlICi8mtdVEVZc33ig4NHZCTQJfWviy3Fcb3sBLI+aPs9II3pm - cgBIKh57aP6m3/2dv/rmb77pUh2Wi4SgB1nsDgOqokKTHW16t3QxL8qm6truEnsZcUTXABYUOFQD - KNAAOyhQIyIiIiIiIiIiIiIiIiIiIiIiIiIiIiK6llwBaNBcLEaV1MLCvOvX64hx3oiJID3nzvJX - n8o1G1Ad6nCBCaoAPk1vBQDxVetIcPh+9624oiAAAvNxviF15w3ELxcAACAASURBVI/+741v+Eas - r8XNzby73aE9VceP/uTbH+27r/7hH0HfA0FTi+p92zrMIbXWGJJPA36vaA1QP+JYZweyiEMqPCPP - NCk0l9xETT6iLHDpkb/36leVRx5pKrDeYTHHxQEf/8Rw36fO9G1wU4EDcJSa96DN6TOQAKBb27C2 - vTiON1bHWlRJbjBAxa2MqkBITxBTrhgBh0ZocMARgCBwsb08b1IIMKhhHJCB0f7kbf/knMVTl+br - QVAWCCrzBZqInd1LH7xn7/6Hbz9xoi4WYy3j6IhYdt3Y95t33nnmtltwZgtthDlqBQQeEGbqPpvF - UcO2Zb9p60Hzu9/yExDHrEEEgtUyhEZgBQBExryMqcnmQZt1SQmKCsAggMBhdmgbBRqmnb7fFTJ9 - 27GWc26aBkCMEUAppe/7g0enRvXp8iDD+0m9fikFQCmWkvzSu37x+XfdFVXNi2A1Ihv7u9MEIiJ2 - XEflEhERERERERERERERERER0efjcvi6uRi8iKu4NuiaUbrSf/mdX/ual393tzg9882dca9vmxR7 - mHqR6OKwml1VgWLqgLkrIKsxnjK4LmX/bWQqcZH9+OdVgcI0Y1Or2q6XonUIw0PD5/7N7/2rPb0k - nQ51dHeFBa8CiCUArgNgjnh8R3T2y5Ovf82PrY9bAETkCGOMPTpWAeoCwFVclkOOrWiQIc83Zmtl - rraDr/9b33L/hfs/9On3SkSLoRpcUQwBCldgKh8xAJACKHO76Uvh7sc0vZvR3URERFdBKaVtWwDT - DwQ5567rnkJJNNEzWQhhOsOnn315ehMRERER0XVDVcdxFJHpk07O+fBDpZQY43Q5juPULE1ERERE - AEQVgBfXIHXwsLfAMKzP59Egpqr1aZ6V0yngXtwjpJ31D2xvP7x59lt+9/cguvQxSdM3qVoNotpG - y1k1Pa3roy+FoJYSYlwuS68JG7OHxE+Yb4TOagWqHBrS5BAAtsrMPirDonQBEuOay79+5au/9z/8 - MRpHCgdFjC44tKqpuPC4lgYSERERERERERERERERERERERERERER0XVCsNhb9rNZFKtweIhB7v6B - 7/v4r747jV5rjW2LU93GzTfufPITXZCDQa2reGWBwQMwzXKd0rtXN3z1vUsr3fr6cndXgLaMtn3x - wh/+/qmv/irMd9PaDBrWqoUB6+J/8fZ3fMU/ejM8YLaGS7s4uSEBCmvCEzfzPj3zPgN0RC5wRTKE - COtKRnXkAfOdT/2zX9/Y2Zs1Ddzz3jxpwMfuvfiRj51UYFhmQ4jwAhek2HiIa+dvRNNCvJpf2Fu0 - t96MroMGN4xjafsIiKQE3x9tDLWD9gOf5iGrQ6edv/oWNxFbd0GtKAXjiMX45+9419mQ7hjrZilN - cE2CKtjdwazH5z736T/6o2Y+bqXm0t4yu3tqpe83Tp8+eeYsbr8dXYuocM85GzTGNsQEhPlYSkyX - ah1n7UO5vOzNrz/T9UgN2qYKynTAQ+swCW4lp5hSagE0GqrlpAnVIAoo5HKLh8AcJpjmAqsc86zu - x0gpubuZTTPoUkrjOKpqjFFEpjvbth3H8SlP8dIAr/iJn3jra77tW5fLuVVr29bssU00cp3tWSIi - IiIiIiIiIiIiIiIiIjrEBJhKegTwAjFx9RFrzcnx0fyiu7/xu77p+3R3A7ttm2JaO7G3XIx78yb2 - jTReK9xTVPNs0/zO6QVdFQo3aBYYRNUVWI3W1MvvezBaU1e53hCTWqJnLD720Afm7QWs616ZhxAU - LijqKtaKw3WAlGMd3b2+d6bo0t0fU5tx1WK8XQUGqK/2tilW01YFIaqO47xtmzpmM0khDWM8u3XL - K7/yWx64/77t5f0xKYJVASpE1aegbrHphXxV/3Vcdz49E0zn+cEJ/5ibz2SM7iYiIroKQgjTFREZ - xzGlZGYMNqbrzOFT+uAnXVV9fMk+ERERERHR8TJ9rnH36QP+1PC8XC4BiEjOOcYYY8w5N02Tc06J - EY9EREREAJCHoWlaVfFsoVE0CUPeKiauVaLCxPfrqxzA0QZ5q8NGaEQKzVBHny9mJ0/tNhto1tCm - qBpqAZADDJLctQlHuRy6yhyGpIONXddg7ghhHhShKeMAAAKV1QmmDnEFoH60v6prG1TDchhHkRub - GVywu4eurXBbVbUBguBAnYoJj29lIBERERERERERERERERERERERERERERFdP7q1WbHqdUyxRRS4 - 4tTmhYTT4rFWxAZS27vu2L70kF0cowEOF5jAxQCFw6ZqeQCAOPxyx4gA6FSH3d1urZ3vDagWsAwX - x/l7/3T28pdh/fTiwQvNya0Wgp3lC9e7j/7kTz/nrf8YY8b6OlxQHeoqyFZVr2z9eFpiiMUR3HqE - xkWDDGYyjjDDxe1/8a3f/l2v+NpnxVAWBV1bl8skCffdv/vx+3S+u9bIMDqA7AgKA+Z5zF0vZ856 - CJbLIBZPbd3x/d8PURNVRdtFAG5lXM7bvnviBTlaKAQVKAAEIZiYoVQMjlywXHzsl375RLHnDVXz - vBVR1DEPrUVJCWOL993z6Af/8oZSUCz0/YPD2Jw9t3HzTf1NZ3FqA10Lm1oyKoDUtIjNAL8kmEdd - bK7vpPTiN70JKdwpQNtCHCGYm6qiWgq6szeur3UOaEy5IAYI4GZWCtqAoAUwIEB12r2Xj6Y51A6l - d6vsP3LMicg0mGtqXW+a5uBOERGR5XIZQnhqk7tUYYbXv+6/fe1rX2tmXdfFIMMwACYih3tX3KfW - lmf6SFwiIiIiIiIiIiIiIiIiIiJ6iny/0kIMYuoI2taFfvULv/k1L/+u/NDG2XSubdK4zMvF2PVr - YRYsl+o5pgBItSyw4A5XFzEoPFYRQMWn3G4RX6V3T29nYnpF8LPCNZi02gZbeK2SS9IawlCkFmRX - iEMAdREEQIECVMcxzjurPvqVBS6Pj/H+UoiregRgWiAVgLlMKdwiNUW1pfrSurgxLEfFcrbRjcvF - nWdf8NLnf/V/+ND/VW1udfCgQQSu+6eImhpwUEpi10WRDl0bBxHdxyKu+zBGdxMREV0FBz/4uvtU - JO3ux+tnAqK/1vQZb7o86A241osiIiIiIiK6akIItVZVBbBcLt19yuru+34YhrZtU0rM7SYiIiK6 - zNE0LaBwsyBQBNTfeuU3vjTnxswF4lCspi/J0f/ORBxBgIIBY9vOxmF+IY+fPbWGtR4qDoNEiLoX - F63ikXNnjhtDjRq9QgxI3aX1jbo3lsV2kAQRTEWDh6Lij1oZoRFNKxuNdhcfwXyOG89DIFDApvUY - oIKrV0FHRERERERERERERERERERERERERERERPQlccBRRT1oMoNXBAGivOSH3vDgz7ytZIsGlIrz - Z9uzp8dLOz1MpB48fTVL9/MPz3XAzdoY5ntDl4IGDEPtzOYPPbjznvece9kr+nO3oNZQPankhx+6 - +eSJ9/30P32kbb7pR34E6libBVHAoipwaEKsrKr0AYQnfFd57B37Di9Vr7iBQ+8wPUEMAgwZqZVS - RULnAgRcvHTvO3/p77/s6xMEO7uxTbAcUsKnH3rgT/+8v3BhU2MZc5tQgZyRGgwFMYb1rS2c2Fx4 - bdsU2/a+nZ2TMUGmvQgApViM2vZrqwh00Sl7WaaFTd9lBtGgKECdNr9ULPP/+yu/2jx64ZzJ2b29 - NWhd7qW1HsMupHRdxN6ICzsPf+AD2/d9Zt3rHBLXZ7Ot0zc/7/k4dwYiSIqkyOMqVlt0cAwSBtW9 - lLZj+PI3vRF9C1XEgLZ1AJoqIEB1T0AbdDnUE2vdkDG1X7vsLzlb0/aAjSUjJseVbTyugEEOhUwf - OlR6zAcDH8xiniZ0mVmMcbpnujldr7VOve1P9vXN8Z//Z9/xutf9w6aNXmrO5jaNBXuClVyF7SEi - IiIiIiIiIiIiIiIiIqJnGBeYwAXiKg4Xg0AAcXSlPxNu/uaX/J2z4faAZAtZLve6rpPYl2LjOAIm - 4tmrwNxddb9Sw1WvKK3R1Q3XQ0HdACCuh4KrFRCHjoul9qGRBhkhofgSgqaBAz6V/YhHN4hXFVcA - sj8+1A5ezAETAHpokq1N80XtSVaT7MeN27RxJlN5isJ1mpcLselR3Q+y3i8mOuyKEil1mEAdoqto - wqsY1/0404ptetPLa1BdLhaz2Wy+twxB27YfymKxWLRth2H2jV/+rR/88PtG31nYoK66KqpyE4MY - /OkbEUz0zMTobiIioqvp4KfhKeiL6Hoynd7TJYvyiYgIQClFVadWMVVNKZVSrvWiiIjoapo+25rZ - FFktItfrZ4Fp06ZGaDM72MymaaYrbdtOV5jbTURERHSZoIw1NlqDDl4cYQ35RB63ygCzAFUHoFUN - QBATV3z+wUxXZ0WC0dFqg1IKMO9mr/3D30UviCFBpoE+vcQKAFIg4ZiP8vkbRaBhqtcToE2Qrdf+ - +z/4yy+/88xU9ObRtGLKyXYENxz9wQ0qVhzVZ7K8pYlYbqOehbVBEEQrUAEDMtBEwPTwSCkiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiomukCLIDFa2oBgAGpA42Xpxt5r3hbNslAMO4+fy77//Eg1kqxt1G - EGMchtI1amMRwK6cVuuAqbmrONSTFXRQK2a1JgCO3uAXdnf+5M827riAO+/EiROopo1Gy3fWem4Y - PvmOd9z2xtfBgVkHCERH9xhUBVarhgCYOVR0lfPsyNlSo8Ch1cj+YgDA9if3OgCHGOBQA8ZiXVQB - SvZZnJ7jEAfM3DyEoJBWfJyLO/YWH3j7O+9ETDFguVdTCY2gFHzyvr33fai98HAHh4o4akHQxqUO - Y5WgJml26jTMvAnVbXCva2vQCA26v9QYDyWUT3HPVxwsBQxa4dXHEBqtgAyGRX7v237mBssb43Kz - IHhFcU3BxqWmgMUc80V+7wcfvO9+jcEF+cYbN24535+/EZubcGA5oJ8hRuzsoOnhoXpTmvZikIuN - fraWV/3Yj6FLiAEhQqfFqThQEQGoqq6W3LUBQLvffq37seqhncacahPV94/PKox8lbO+2geP77W4 - Ppp9cs5N00zd+iIyzWaZHjroZF/ldh/e4CtHXTdNM44jAIGpqLkB+NqXf9VP/g9v7dtUyhhCcPcx - l6ZpzOwxgwACrs/JAERERERERERERERERERETw8ROBBCEBHsTy+X6V6ia60AfSPjjjUBIyABtaL1 - tdnihu9+zfc+K9yRLsUkofqoPXbKbogtREMQQPaLFcLjTmc7mBur+w+42OFJsi6PnSvrghqqt7Y0 - C+i6/tTFYbecQBJIhgIFqAERCFiaoShcVSxGEbWqZmIIq4hwKS5V1FcVJSaAwLAfm/1FUlexBmKQ - cf8eGBTeOIL7alGCUR2CqZpl9aYiq0xxdze3pOEgpVvEBHjCtO4p5mAK7vliV/n5Hexzh67Cy/dL - SswsxDiMY0hqVgBEURPUwWbppJb0yi/7zn9zz69Dd2AibpACMYg54FABxEwd4ur8fxk9VbXWg2qo - g/iSYxFiwuhuIiIiIiIiInrS3D3GePBPITnnYRhqrQw0JSK6Pky/3RmGoWkad18sFltbW1Nn73Vp - +md9VS2luHutdWqBvtbrIiIiInqmiykBqCX3MYkbtndPh4i5RcU0VOdwYZMf/eicamjaxoZsot1s - 8xFzaMFmC+Bgko8YgqIe8UroKCgEroAjiLeNtM0oEhN8nGrgAmR1YPfL/444Kl4b2Bjh6xp2L+38 - u297zavf/1fFV4U4eqgG0aGiR7sYIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqIvxhSdDOCK0GQNiO1d - r3vDvb/wjrysqIsUFCe3tm6/Y+9jH9tsehsXw1C6NpaxCCApIefpqS6HB+MeZGjvz42d3tSRDKea - dvfRi5fGe07kEc99Dra2gqjN5+trG818Me7iE//0bXtbm3e//g0IUfuuDQGOXOrUwLJYDn3XTzM+ - RQSC1GrNpqryxD3BitXSROHVqriJxiAaok4PT7HUJdeYAmC1eggRAahFyhLVsMzv/7mfvxlily4W - G2MbgkbM5/js/Rc/cA/uf/BkbGpZAuICd6iZurp6Vh1inN10I0JoQyjLcbsuHuqAJj3RCN/LG3DF - Q6uI6wxRCVoLLAIxwrAetdteNot5cEFQJIWoFsOlOR783Af/7E9vaDb6tW59a+umO2/DTTfACvqu - 5OLV09oGoMPuQmcbOTVDbHY1jk2687/7b87N+uc1CU1ECq4p7y8uAnDAbVrfY9b/BB1DcsXV8Hke - euLnHnPTrPamaWqtIYS+7wGYPZW+kqm7P6VgBVNu9wte8Nx3v+sXzpw5ffHixWnqSwhBVY/F6Fsi - IiIiIiIiIiIiIiIiIiK6WtxRDUmhCE3wIhaAutCX3f31t2zcvlY2giVEmBSP0BBg9sUlNV8u+Ln8 - Xo+L6z78/dOXdr2xYRwXdWe5CF2jLUwAR0qNe61a3SGACkThAMTMoTDVoOoCuHuFm7gLTEwdkKkU - BwDqkykxMYGKQWwVyw1ApvRuu7JuR8VtqtpxX22Jm9mU1a2uqsVXCzio/VDF4fyCg5oNecJM76fq - 8j73L5CVsL8kVw1RK7q88dLnfe1v3fO/pNCYCwSGajCfluaAqzqEZSb0pTm+pUqM7iYiIiIiIiKi - p2IYhrZtAUzhppNrvSgiIro6pgbdg//Pr62tDcPg7lf3Fz/PHL7v4O8y/qVGRERE9NdzwCoQGk9Y - GC5sI8dNaKOr4p2qBqwqckwAsSMt0HGBAZ7HAhiwCx9PbGDWL4dl186umGHkU6mUXTFkip7xrLiq - Q8WBqoiiMczGcR4AoKgDrgf1YBA76mqwXIYooXod5+Xsen8fFFJz8OiC/cFS8VCRn16P86SIiIiI - iIiIiIiIiIiIiIiIiIiIiIiI6LhRQVRIPbhDAFGEhK67EFO3t3dTO4MNGMfm+Xc9+MlP9MPQaVxa - EY3ZS1LAV2ndLquaeQGCQx1wCAwwnx4/lN49jkMb03LMn/vwh7rt7VMvfD5uvDGFsLx4odvcTG4N - bHnp4if+x598wPE1P/FWpA5tl0RLhpnPmh7TosPoKAYIJKQwFezX6iFM1w9nRSugVqoIokeIoAJ1 - RIx5XMa+UwVEQgqlWoxBQ4AD4wLLEYN/9J3vnm3vPFe1jW4NgkTUjCHjE/df+ov32YULmyFYGQSo - 7jXADKg1iQp8qRhPbeLcaYxjtBCDnjp9+htf/0YEeVLtLA4MtaYQgiJM2yWO4dLuWndqZ3et7Uvd - Ey8hNXjgkeGvPn3xM5+7MO5u3Xz71m03x3NnoIoU4T71NORc+3YTHktBPbn50Hq8P5SXvvkNp5qI - 6mgaSIJEaIAqDkVuOyDKRpwnJ4Qw9eY3TTOFcH8hj+uCUVURsZrFg7m1bTp16tS73/2L586du3Tp - Utd1qrpcLlffZna9zgEgIiIiIiIiIiIiIiIiIiKixwuKWl011WoGt4xYmg09+ZK/9TUnZ1t1p8IC - PFRDgMoXyt6+OhY7uyE2XRPjqBFxGBECQhfm42iOLFPiNdQlAwUuKA4UQRVVDwAqzFFVAbEw5Xz7 - NMNTDTiIqf5iuFhJIxziENcprxooKqNdHlqq6upQcwDmbkENChFxg7u7CuC1OiJCCCpS3cwsV8zL - /utc6RoWbwTIMA591506sfVlz/nKP/jog9KjehG5PAhVAHGYQMH0bvpSHdP0bkZ3ExEREREREdGT - JiJt2+aczaxt2xDCOI5N01zrdRER0VVz8AueUoqIuE/96tenwxs4DMP0d5yIxMh/QiciIiL6wgTT - 6B5XxPSbr/y2r7ywDUB9yuoGHGoKwPTI67QOltMF7IRwoWte9X/8NurQtpu+P3fpYIqNrr6djhON - wd2HcUhNO1iNSS61/V7b9rWk6gcH1/fPt6OuBksai5WYQrS63F14ajHME06uFjGdYBVQqKB+wZci - IiIiIiIiIiIiIiIiIiIiIiIiIiIiInq6KFxFHtdVoQmpvPiNP/TIz/1iufBoRIFVnNq85cte+NCf - /cc2xg5pHEdRkah5rEnE3U1QFQCiQX0q47fLCc9iq2p/AYDkKKWkYLMQdz73mfnu9vnn3Cl33dWd - 2EDONg5N29rueH5j7WRqPvozb7sf+nU/9uNwibM1hIgCOJAkl6xRACzL2Mbe4eKuIfpBq4gfugRU - w+qmA+bQCEdqOlTTgFzHFGJUYG8hkmCG5fwjv/Gv68fuuyU066KY7yBY8IxGsZjjr+7d/vBH087u - RojuVuBRYQbXAHFXc1Q3FMe5Fz4fAGKEarby2YsXnhMVTTOlYn/xYugqLDhyNo2KIDi79eIf/K8/ - 97Z3WS3ZLajLuHfvxz/cPrR7yx23nbvtFmy0CJ7rMvVt3tlN66eAnAdPm6cuFSw1DBvdXt+/4B/+ - wC1rDRr3pv3/2bvXYMvSsz7s/+d53nettfc+p09fZnrumtGg0TAjzYyEBAJxkytOcQtUuUhBKh9I - AY5jySFIwsbYTlIOhSHYYDAGhZRtXP7mlJMPqdixbMgHVxns4EAcGwQChEBjDXPrnu4+Z1/WWu/7 - PPmw9jl9ZjRCc0Zzerpn/r9adWqf297rds70dD/v/y+QGhFFBAF3kySAyfbM+eEZlWm9xokO4M1H - VYdhaNtWRJqm6fv+VTyJuwMuwFjGlLRp0sc+9rMPPfTQwcE+EGa2Xq9zzmY2jmNEsLqbiIiIiIiI - iIiIiIiIiIjozcMUZURAS7jUSJFsbB9/6Cvu2L1H+lRKUWkCEWrh4RF2yuMeTdNAZRC3xkQkKQaH - b2rXNWN4RSkVQ4EiXACDTvM2DhcfIQBEkMQQVQN6lC8qqOI4UXE3gGmiKSA+BYKqh6sAsp1qcgHC - HQro9EHVEIQH3CMCLogaACwjAqXUCIRAFZa1bdtpj463F09NB6/FuXw1aq1T2fnq6uqrHv+6X/v0 - r14bLksTgGvAgRCYT4e8be/GLdm8TDcF9xuSMn0K2DtCRERERERERCdWazWznPP0Lnu7iYjeYNy9 - 1jr9nk8p1VpTSrfu34N/QSIiIsvlcrFYtG17dOxERERE9IVJDI5GKny09XJ3PtPlgdfQQBVA4CJH - Y0+n2qOMafgJKBVlbp+BPzybocnVKzQJYLg+GCTih5NXJ0s7otdLAEP1ZNo1bfGa1WD4ml/8P//f - r/vqe/fL2fAaKiEauGHzX+41WY5xHIEEnN/ZgSBhBGx7X8VhPJUylIqIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIbg6x3VQBOAQQrQKIWjvD4M+WsmhmyQO1ol/hbffPP/OpK3/0zF7bSR1DJaCOehQ1WwUA - 0nbZiALbJRsCHO/tBiDZMFapdaGmwPKFy8//Vt9cenbvXe9CO7PFHCWapkMVWx7cNZvt1fjsj/y1 - 8czOAx/8IJqMtkGTQ5PqfHpusy5gDveAybYQ2172kAVIGHpvsgISQ0iSmjRQwgTYoN+gBtb+yZ/8 - 6bnLmaHf67Ksl0iC1GPYoGvx7OX+3/774amn22GsPoSazfPqoJpAHKlaRVWFA9VheYa9C+h2/Ool - 3Ttz1fCP/+9f+XA38xJqJ1rMogKgVBhyVgBlGCN5njdXTOYRZ+azst7XrG991yP6eIJ1CNme77yA - NnnejJcP8oXzEHle7DNz+Yq/8hchgdkcodCMJB4+bMbZbI6kLyo+D0AgAgUq3LkO5xWbMlgi4gv3 - dsfnntTton5VDXczmMlP/dTf/LIve3ff9/P5PKKWUiIi57xarVQ151xrfc2PgoiIiIiIiIiIiIiI - iIiIiG5SDne4qaQs4o20nVz4qse/Po9zHZKJhoRDRJOI6ykPfbi4C8YopQo0NmPReeqSw3S1HCTD - GkkqKRAhoVK9bAd9EkIRUaMiiZlkDCJThq2UqnDdJs1KQF55SUJA4iiZ1AFAyvY92U7FhCCmZFqZ - zo64wx0iUIOpTs0F4TUQ07yVCBDw0cfNRmNbbRARESHyOmeOepSua4Z+0GR3nXvwwYvv+PWn/qXN - rB5WS0hAAIVXQeB6OTrRqzDd9q/3XrwarO4mIiIiIiIiohMzs81m03VdRLj70Zqx1/3vBImI6DWh - qqrq7qUUMzOzcRzfwG3W6/V6NpstFgsAtdbpP2dv7EMmIiIieg2IAwWWxBQBlNU8R5Q6lkjQEJ/G - mywUgES9Ad3FERgduw2uldHvfxCzFm0navGSMmfBUYQN3UKSKYDqCJEAkDLa2WpxpqyWuF4Mr4eD - cade4S2QWscAmq5FX9ZXryEcZYQJRBHXI8YEULC9m4iIiIiIiIiIiIiIiIiIiIiIiIiIiIhuDlPc - 7PYRIAioA6Nr13SP/Pk/f/mnfhqXD7p2hr0FrlxevPPha888042ltTx49VpztnGsSYBXGOsbADCO - NZtBpIxDA7RtHlary7/36cuXrtz3jnemBx6EiKaApV0BSlmIbFb7fR0+9aM/stzdffyjH4ZnSdm0 - gTssJZUy9k3X1mNT/BUweVHzdBwuI7FWAxCHRAXUNj2kAAXjgHH81R/963e53THWPPoMgvWBJmC1 - hAYM+IM/vPbvfrtZrtN6JahzyyXGa+vazoEeACRUwiOhBKpi5+JFdAv0o549O9ZhudN9+OP/BICn - pCdZYLBdkqAZAXhAJDV5g8htPPKRD7/wU39r+cIzOzl5GVyhXVf7Mrp1Z86tlweuGpqXVvKdd1S1 - f/RPP/6hX/z4nWdazJuSbAPsIKMqoBqYdQ0CqCjjmNocY5V8vAbdDxdHsLz7C5iiV0REVUWk1ppz - HsfxFT/B9UVPTZP6zVArfuInfuxrvuZraq1Nm9arpYg0TTObzUop4zju7u6WUv6YZyQiIiIiIiIi - IiIiIiIiIqI3EglITCMlhnDxwIiLi7vvO/ugXW4tshmq1xoKEXdI6GkHYnoMUKhkK2m3nt0/GGod - xfRCmtXwsQzVRxlcDLlR1STjJgKeYQkKqVPtjbqG6lS8+X7OpQAAIABJREFULQp4AFWBQDphiKxV - xNT5DRc4ZDuQoQEHYhouOgzODUGpIQpTiIiERpEoEcWzNeYqoUmSiQGQ0EW5kKJ9bU/gF2kqlVDV - xuZpNTx4+yOfePb/2+DS9tMCxOGxAy5wOQpxJTqxo97u4wVVU5P967RHrxSru4mIiIiIiIjoxEop - XddNnaZm5u4AVLnEjojoDaLWCsDMcs7T33rnnN39+N+Av5HMZjMAtdZhGKbHm82m67rXe7+IiIiI - bmoBDBY2jR3UgGwsFRHY1Iwt2FZ338BQniTWzXNdb3qT31+t0c5QiwLjlAMVR+XJfuqtzvRaE0Ar - RFEVDjnMCdvZrERdLSrgiG0m1HS5T3sOTBTh0KRl6DV0rophQD9glqGHt9i2stvfmP8rRURERERE - RERERERERERERERERERERES3ouMz7hJxuNAiGeCGRfekxFubWef9sFo2WXDPHecfenD4vadmLi1i - qKUm8wR3aCBXANAAplH6Kdf1sDz7MAMXABSotZpIMlGPvh8bxb3dbHMwXvqXvy7/zycuvvdduPt2 - HFzFzi4iEGYRs1oeWOw+9cxzL/yNv7nx8nytj/3gf4vUwApQU5ux2VibQrQCDtWpvXs6ugAAN1Sg - jN5mDVSJguQ4OAAU+6vP/J2/l1YH0m8emXX7myuSmw6j5gbLa3CBFwzj8rc+eeVTn8nXVhl5lhrU - MtYRhqbDMEIBA1SiRBGgKHzW6f33QxUigKzCr+0s0DRo22QnXmUj0wksjlqQU0CBBAnk7vmh3Ksd - oqLWpBklWTXL87ouQzNf7rbPJSzb9P7v+zCgH/qr/x3M4COkNUg3rfdRhQMjHNCMUGibQ4HW4kU7 - oHZ4Pk87yvkNIOcM4CiJ5dX1dgvQbwYAP/iDH/m2b/u22bx9/vnnc7bFfD6OY611CgSYz+cppfV6 - Pb0oERERERERERERERERERERvRlIwExDUKqngBR9+O5H0nre1i67VZQKD0kCqbU2errxnIpIKkMt - 5ulic+d/9nXfG/NxfbDq+3H/2vqgX15aPvXC/rNlvFbqsIlhRN803VA2/VijsWIiURxRo0ID4dPk - 0TTRJHUatjlBd7cEzBPgIY7DdFwEQtTDp3Gmw4mcQ3kbZBo1tMBq03rbyExWaYbZbnN2rzt32+7F - ixfuuP3CxQvdnd24eEllw+tbWqyq/aaft11EbsadB257e4PuYPRk28OcCtFV4LId6CJ6E2J1NxER - ERERERGdWEppeuvuquruKaVaq5m93rtGRESvATObFgOLiLvXWnPOEaF6gzoXb7xxHHPOU2+3u7O3 - m4iIiOgLcgCwETW5Yj1grGdWKytFUy61VjlKWbpxf4b0WvtVzQmYLz74L/4FkqFpgMM/xQoTgm5t - Ml3IGskOR9Jmsys7u8PqWYlprk4Bhbji1Hu7AVT3lKwvFUBSzRF//xu/6bt/+ZcRfj3kS44XxuNG - /jgQEREREREREREREREREREREREREREREb2MY7GzAQDicJ2yZwNQRZOe+Is/8PSP/mReDk0388HV - vX3s0fVTl5b7q0XqtKyGvjatwSsAO8zFDQECLrDPGekPKMQNBnhE1AoTzBIQKOt1ht6RFsO4ufar - /6ouunOPPYpZh82I+TxDMEQZXrhbtV66tJd0LzWf/cmfuApZevWc3/f934cmYUiiSMkgCkkQIOzo - CG01WJOaoWAMjD3Usdn82t/5u92Va7dXuXNTct9L0nFzrduZb9b7FoEr1zCfYbWKT//+M7/3qVhu - 2n7ctdwGSlkDyCmNtfRLtA2iQoApNViBKhgWu7jnbiRDm7Hc9532se/7CNoOKiEnXtfiDhVAA6ZQ - VIRDEYI2r+c76B2rA53thsa1dZ2fObcZapm1Tyd/5CP/zd3zjKaBCLRFTAXcM8DFJakAHu4ChUEN - Q4FlABijiohOL3vsXsHxNm/6PMxsymKezWbr9XpaoT+t3D8BgQAi+I7v+PYPfui/KqVcubKezzsz - G4YBwJT+nFIqpezv789ms1LKKRwNERERERERERERERERERER3YwioPCCqLV0KbXWPfrAY6lvc7QS - GghIaFZx8TE0WT3p6MLJSFRtoxN0GPRd977PZlHWRSMDSZLUdll1NfQHz+0//YeXnnzm8lNPfuZT - y7p/ddgfxrG2PupQbAypgdEPYzsDKp6muSbI8MrbuzVUAwKt4sdmXhoEAi7hGo4ptjQU0QKawrQG - XFPkRd69eO6u+29/8OLenXedu2c3n93rzs9kR2uSogpLyN6bqIjINCUSES9p8r7xUkp933eLeVvk - rXc8XDeadhtg43Isi/f1rBenN47Xt6j+i8HqbiIiIiIiIiJ6lUTkaDUXAPZ2ExG9kRy1dKvq9PiN - /Xs+53z0+A3cUE5ERET0GlJAEQmGClT/xa//hodfWJ4Lqz6MBgDqML9xTcUSSNAR3lesQ5EzcosI - OR4HND0KhdQbtV/02gjABQqYCGrAgDbhvH77L//z33z0IUkYRhSUJnVRIyXU4dTvPRMppcI0IiDe - KbrVCmVEeAAQZ1E3EREREREREREREREREREREREREREREd2MBBAE4NAp09ZQZFopIqi1WtcOF2+7 - 8tTyXPQNAEvY2Tn7+Duf+9e/3kQAmDdt3/fpc6bmqwJACCS2D7YrS0QARUyPplZtRAUAVTh8jP0k - mDn6F1aXf+WXu9/9vfld9+Chh9C2SCmpwMVUUGvjm7N1nGsCVIbx8o/9dRcdYINiA6wQX/YDPwCp - aDuIwwQILOvv/92/v3nu0rm20bGY1M1m9eB8hmGchTehMMM4ZgcOhpwyyhq14rc/eeWTn+qfe24h - 2qXsBRY1ImyqOS/FBAsFCpLpUD3Dk6AMkHm6/eFH0WQsmnHT55y9mSPNkLup41tP2HwtigAkRQAO - OESBao118vhHPnz1r/7EzMT7cb+T5y50yyat1b/yL3z/uZ2ELsHMS6h1qAaT7dWP6ToBApgOR6m8 - GdN6GxMTODAlIR+7zHLsLR3j7lM/d9M0R9G06/UaQET88WG1U4hz0zTDMEwpLuFuCnd87de+/4d/ - +IfHcQTQdQ2qo/rxoOeprjvnzN5uIiIiIiIiIiIiIiIiIqLXXAQg23/VRYSqIBAR/GdzuhkkxeCo - teSureuljHr3+fts3agLPCSFKKKMAU1J/XR7uyGeNBoJE0+tqpSI5dAGzJN69tHLKFWbuZzZnd91 - z95j8SWevhz7q6t/8Ozv/Man/+3vPPObl9ZPS1OiwWhSECIwzT4iamh42zaDDyfZI89JSym1opk3 - m2FcbmJnJ0ep4pFEpCK5SOm0zpKebfr5Q+cfvPe2u++77/47L961O98zy+ZZXSWShGFMGiahCNXQ - CKja0UzI617aDSAqVLVajGONorOYv+3et/37y8/5S3fNFfAA41Lpi3H0KyUiVPWowP513alXhNXd - REREREREREREREREREREdGIJ4lEgDSAXNsOdBRj6DRxqoUj1epbQVKAtpzxEEfB2vlgFxsUOArAE - RRlqyhpH2UDBhKBbXAChCIdITdmaBrPdg8vrncWsdVmu+0aljlA5Co46LR6hSYtH0zSrTd8fHFy8 - eB6rFXbPAEe3/vaNA3a6u0NEREREREREREREREREREREREREREREdAIVcKgCBhcEUEvfp3ZmOwuM - 41v+y+/+5E/+jfag1ypNM8N6Hw8+MH/6ufWTf5SrYahdary+NBLXBYBaHKb9hkLkMLJaHNCQgAr8 - +PqOALxCBdmQFesS5dlnr+0flP/wmb177rH73oJz56CKCoglQ5I6iwoXcREAIYHkarXJVzfryz/9 - kyvFCrFClXlr/XD7gPnlqw/uncV6WQ+W1rReR41wuHhELeIOUVjCMOLqEv/h01c+++Tw3OVFyNnc - +WZTS9+KvThdVCXcAgAcSFAxjA5rks/P4N77PNlmeTDf2x2q2p13ITQkD+NgSVTyK79M05KEwPUc - 3aPcXBforHnSUHd21lo2u83b/tyfwbxDFbQJMUZqRxiyZigCoseWWmy71bUeey3Z1or7S8vF48Vf - RACAiHB3s+vrRY4/PtHziMgwDICLKOCqqBXvetc7PvY//axouEdKWmtFdQCqjE4mIiIiIiIiIiIi - IiIiIiJ609uO53hEKHSn3WkxbyJLXP8cxE87BvYYCUyDJSqRpYpFaJh4NgQQJhmAC6x6iNfRb0t7 - t91/9zsffO/z/VO/+/Qnfu13fuUTT/673b3FwXhVGh3GscmtJPPRh2Fz0sbdfiyqyI3uL4dmnnfb - VIYq1VvNsim7ec+GNNdzb3vgsYcefOJLLrz97Hh+jnm2JGoYIlwAFRF3BzSAw8maLb3JSoojQkRF - agAJUjydX1xsnm/7WMXh/RBy2imtRDc7VncTERERERERERERERERERHRyQgQCBONBIl16ZcYNtly - EltJBeACCSgc2+gl6Cm3d5vqc6tlv9i5JIo2ByDQlHW7t0ft3YDg9Iud6TUlgMVh8/oUAeVqrhht - WNdWNYZhM9ZZmovW5VB3GsRLE8BeYwPQzWZlf4lNP082S0mW+1DA43oOlQOKKqjAS4OriIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIheF+IA/DBCVgCEA0hdHmtJmkQNCQ9//4c+8z/++Jl2dzjYb86cw7Be - vOedz1+6rKVkRC2DfM6U/PFQ2jgMq5XYrukI2QbBKq4vMJFAUlQgArUAakkiitf9g3ZzsH/pOfnE - b8wuXGzecj/uvBuLPRjQAknUBCKIQKjIaOKyWd++mF995o/uOLNTEL0XXRlqWYRbJ2W4vNlsctda - Vtk4oqgJtEIc44Ch4Oo1/P6Tyz98ErX6ZtkgTCVMYxu764fLAgSIKWt4OrQ+vGuaMgxo9Crs/Fvf - jp3dEjHf6VbD+GxuHvie78ZsZ1PQ5UZQtosNXhkHRjjg7fZKHV1EQDJQ3vnXfgheISOaDFFYBnId - RmsXEkAdkbCGqyEBSRR1++2hmBZeNIAcRTYf3gyHF+pFQg5vGAJE5KjNXUREJKVUa31xxfsrZQJA - 3V0EAdx//90f+9jHUkrjpt/b2z04OEgp1Yiu68ZxfC0Pg4iIiIiIiIiIiIiIiIiIiG5BERAFxDVg - aC6cua3VTpGBOo0GyYvGeE55Z8RdSggQ6qJFAajDJSACQCACgYZpIFUN8cZSdfeDprH5Pc3F2+/5 - ki+97T2X+yf/0T/7B6vUXVo/0+2k9dgvCxY7s9K7nSTP1gViKAJVeMKIImVMKo1lW9c9nJ8t977q - 8Q987RN/MsVCa7Ows63MomqUWsI1ILKd01ERHJ+ruWmFAlPsr6uaeNx97u786a5HwnZECHEUFCzQ - YCYvvXrH56Ne3azU64XV3URERERERERERERERERERHRiEgLBpj+YZcw6bQ4QUUqFmYQcxS3duBmj - cD9zZu8PmvYbPv5PYQrTfuNtoxAgHKL1sPeZbj0vGcUJPQy8SquUV8macUxAraOG7rQy9nHaAzFd - kn5/mYGkKbzOku0MI4YB7jgsGUcAAZ9m1AA75V0iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIvrCApDt - nL5N77pCUKKqtXVESoouIy3i9jsv/9HTe80OIkqT0s7itnc/8eyv/OtObNhsMhTiL+nh3r6CbBcC - aIQLZPu+HC0PiONxum6iEEdBDa+q6BJUMIxoDVrK5uk/uvbsc3pmb37xru62c7jnojYGazC1HEcA - ClEtBah78w7rdW7yrFT0I5oG/QBDirrTJCTFal+GiqbFZkRUXLt65bNP7j/5WbtysNf7HDZgXNgM - CT6sx7Fmhah4DUhMKbcu04GoirtAVfsyNIK1o952Hm97CNU1ma/WS4vL5889MG8DqgkCx1iQm5Nc - LVc4AIHCD9dTTGtjBJg1KANygrQjPCEVyOjazFo4UELFHKjwqYA84CIKbBdlTM8k8GN13bi+8kYO - U32x7es+amEnAH3ft207jmPO2czMrJTy6p7KTGqpABaLdrnsz55d/Pz//LHbbj/fti1yunLlyu7u - rrtHBHu7iYiIiIiIiIiIiIiIiIiICILwacLDo7q53bZ30ZA1AHhsR1xEAMBvWAirBEI8BBrqoi4V - cNWQgEhoqHjSUBN3YERRa7LmcPElknZZu7Pt3ke/86/88m/+0i/+m3+88quzRbJFvdavm0ZQTlYP - HIYANsXbeepXRYBFslh52595/KH3ffP7vv327l5Zdp3N+1Wfpx5xwESTpaQKwN3dp1GQaV7INeDX - s3ZvIhIqIQhUCYFnc/F617m7dMhoLAAJ+OHEkcQJStCJXpb7rdBn/3JY3U1ERERERERERERERERE - REQnFFo3vXV51rY4uFq1HFQ0GgAsQmI7TiRTgFMAcurTOSNwrYzPNO3DpsgaCG00AAmHVAccejgy - pjeyU5xeAwLAIYArAlBUoCS0M3t2t3u+zw/MUrm6RjgcVm/EbGB4JCDETBTh/f7qrC6wqXiZV3d5 - afc4EREREREREREREREREREREREREREREdHrRbHtYoZgaoN2qAYSgGQYN5s0a0Rx//d87+/+1M/s - Nr66+kKad25VH7jv9ucvXfrNT+ylWfgwPcnUwy1xOE6/fWqfFpLo0UtMbw9N3xWwPrJKtlzVh/Ai - gAdKRdPJWGOoAsEsPK69sLl6afm70ovM9/bO3n4Rt1/E3h7mc6QGSdF0WC2x2MVmgANqcKCv0BnG - EZsBCZARy31cu4rnLw+Xn1/vX+uXqwTcbk2jSZJHqa1k1NFrBZABUURECYjC9bB8XKCBCgDIFv0A - zc0mcOEdj+HMDkTVXS2ns7tf9gMfxbwdBAKgBjRtS8xfGQNsesWq23MrqPAKBGpSQ9MqVAI5YlM2 - YSkZHHBBhedkFa5ekxqAETCd6rohgWZ7LNsm79guttHDQ5wKw4/uEyC2HyQAbdsCMDMAEaGqtda2 - bfu+P9HzKKKWAmBnZ35wsEoJv/ALv/Doo4/2fT8MmzqMFy5cWK1W6/V6NpuJyK0bfUtERERERERE - RERERERERESvIZlqpB3q+ezuxXCrITp9CFlDIVPzNFxU4xTnDSTUPAMIrQIEsksNc8CngSIJVzfx - LJ4AFfGaevdaa68hJikjL3CuYF76C9/y2J1PvO39P/cPf/r5a5+VnZUarA2vJ4i0DUGtkGTiVT0S - sNs09aqfw4UPfPk3f+Cx/2R3uCiXZou0Ox4MM+sQXrVAvXoEUMrRS4nYdh7KD3NsNRSAxs3V4S0i - gfAIkQILSLkwP69jQiQHDECgCgRQB6ae9dd5l+kWFnGr3j6s7iYiIiIiIiIiIiIiIiIiIqITs65F - P8CBPrpA26AO6LrkfQEgMQ0YHY5nnfJYRQiathmzXe1a7CwQCIgowiFwoACC45XKJ8k5opuAb2fV - BAAqtvlWMPypf/bxf/O+98wvr+5atP2ylCgZMEPU092h4lAgqSHiqvv5vZ2o8Uv/8Tf+yd/4je2d - JdtND8fsiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhef7GddRccTudrmgb1p2zK3DUFrhG6e+ahj3z0 - U//DD981m/ebVZNnKEt5/JHu8vP7Tz89FySfRuYP27un+mcBcD2dVgKAIxDy8hHApuoopYwW1QQi - cEcE+k2EioqZAtU9fC7QJJA0Xtk/eP7K8Fu/XURkNsuLHW27M7ffXlS1aXTWAYJkMBvXPcaqteg4 - jsuDq88/U5cHcxMZh7nqYhx3K0RQhmEDKNBYQsQYZUqtTQkA4nqXtUOO1gf49LEyRG7kahnOPPA2 - vetuhEIkwq9Fvdrmc91sKSbbcysYBnTtq7lkONwHQcCBEPGAOFIAqQAqObch2o9jtgSRnA0BFJ/n - doxRJTs8oNtAXlyvIcc2yflFLxWHB3l9QYQ4ZCpiP/ERvPFERK01paSqAMZxTCmdtLcbQCBEIILl - cgXg537uZ9/17sevXLly7tzeMAwppRdeeEFE9vb21uv11BROREREREREREREREREREREb2qBkO34 - hsEkmt35+SgSEdWKBCISQgQOeJUbkYUZAiBcHIA4DBKuEAdCAgqHaIiHQgIhaDSFSGhEVInq7jHA - 3TTt9tfq2dkDP/i9P/Kz/+uPf2r/N+dn+s0B0kmGVSSQzMaxNkliU5uKtGnSJv9HX/2tf+Kd3xqX - mi6da2QWfe1SN4z7KZujKkRMIwIGERNVVR2GAZCQ7fzM9PamHJyRaT9dfUQvqItmMZPZFVjI9oYJ - QQAKWOAUi9zpTWCq7haRiLi1arxZ3U1EREREREREREREREREREQnJNgMY4eE55b/y9d94/tXBQVZ - UDZlyoAJCAABQg5Dl7ZxS6fCBcvNsJ61qy4jJyStMW7GPG+gPsUHHU5zxLQvnBS61UQAHqIj4EAC - UgVGRUqrWbs4F+PllQNt6hyD1zjtLKIs8ECpQ2oWGeWF/YOZNGcXgeOV4bZ9YzfpdB0RERERERER - ERERERERERERERERERERvSk5RGHwaZFFFYzbVmi4V00BBHILNYx++5c+vPnk75zRtq6WNmsgdefL - H3vhX23qpReagB+m+37eZSOyLQt/uc+qoDa2Ko5wCIAQqWYiplq9iouaTCXSJdwDGL2PXoFWtUs5 - VIuXeu2q48qlpz7bdG2t1cyGYQCQUhprbWfz9XKl1bPYTJFCwkeFh4lXCSBZyinUPSJCfKhuKZlE - 9TpWJIUqEgCHAYjtMhkINACBA9HIQZf3Hn0YOkdNqH3JWO8tHvjgn4a14Um3bdeOLp9ogUEAVSCi - dngyKyAI2574CCAcCCBQhlGTLLICBSHoHZpMExxWs2YI9PByOcRhAWxbxQXbo9u+7ufupPh2MY7g - eJ33m5aIpJRExMxqrQAiYkqkPdHzNE0ahhIBM/zlv/xDH/jAB9z9zJmd1f5Bzjm3STTaZrZarVJK - pRS2dxMREREREREREREREREREdEREbNq83bhHlBxcQXgIpEU43bKJaZJj9NKYQ0to4xACa3i2SKr - q4sAOs2guHjIUFUCI8TVVZfIpmhKTeOIGg7RNmEGzxfPPnhp/bxfu/RffOuf+3v/+08/vfmU2pVq - MvWCv0KNiE9jP56sNE3de8+XfvVXP/ot/sLO3bv34QB1HER99FFnNoZ7VRGDTBXkUx1xddSkBkC3 - wyDTDrgL4mYbnvEQU1V1k1I30Dqzbnd29pn47OGcj1fZjodJQIWZvPTq3Vp13cfdZD+3RERERERE - REREREREREREdNMLIDUtNj0kLoZgU3KjJZDSNJml26+SG1dZPJ/lg1K+8xf/L2iCWBbrGvh2nEP1 - sD75cHf0cHqMbhEBHI6qba/j1MKuba/daj0EMOtmfdm4++GE3imqAVFJ1q6GpYjttKnxkksFPIA4 - Gq4TCJLAOKJDRERERERERERERERERERERERERERERDeP6zPu22psTIXAmiwQCg3Y4IFZe+Y//86n - FJuUbLYogyN3OH/h3HueWObUp6OuZ1RBUdTPneeP7duQ69shh8AL1JEVyUQgBV7DEWqWgRjrUMsQ - XpKIqajqLFmjIu51GH3TWz/mMs7GcgYxW2/Oes2r1YWICxE7m82FWvK1q7chbstpEcNO9U6lgbeS - UKuKJrFa6zgWr+4R1T1lK1GGWhEQQa3wApVtcK3ANV50dJqwX3333vtw+23oMhBVddm1v7PZYPcM - RLNCpxP+ajqX1acVCgoIqkzXTgxwrwIJj6SAIUq0basKrwVjAQJZoYqxosAMEtNzXF/oE0Dg2MEc - eyhxuB12rx9tNHH3qUX7KIvW3V9FLu0wlJwFwIc+9Ge/67u+Sw21juM45pwjotZaa91sNm3b1lpz - zq/tURAREREREREREREREREREdGtKKbq5QgLKHJjswhXBUIRCUCI43BiBScpvX4VHAjx2L6KA+Hq - GlPoqwIIUReEVNfqUkPqYtYlNXcvZQxxT44kLhEuq4NNqnmO3Yvd3d/29f/pznCh68+YTwd1bO5I - gOuTSAqoHuvTHmrJWcSjiWa37N0//5Jve/93zIcL5/Mdq8t9GaomhI3ShqO6u1lWGNzggkgqKUlK - kjSgcWyQJiDTR071hJ6cI0TExBTqFSI5abfbnpl2+HprewA49bBWesNjdTcRERERERERERERERER - ERG9iTiAbEjrktZmOXpRxegxJfkIIPDriT5hp1qVrQFfF00zdAss9iAzgSpcpEIyYiaRE2BH0x2i - N92sE/0xYpoNVAsY0KAYRiQgKWS2WpvlnSbbZrNup8lAOd2rG4IQrSHufSfIg2vvIqhaIDEC4/QD - 4gXuCHjlfA4RERERERERERERERERERERERERERER3RwU2C6qUJhCYUAG7DCbUmDTJ5psSIq9+Tv/ - +x96fme2yk2ggXSwOe645+JXvmc8M9s4UoIDG8egMthh1OvhXL+IiBjEXHC0xbES6W05tCNqAG6A - IiL6qL3AE3Taq4iAR1SPUuFhQBZkQUJkdwu3cIXHWFogao1aFYBHI4CXGHuDBjzKoJCIahCNQLjC - DTAgBRQYvQJIYeZZ3Qw67Z4IxOBAgUNFLQMokANp7NzdZ554d2wOMI+DNFxq0nPd4mt/+EfRzSMZ - jp3bKQ33lRMgQ20bo7wNVBYokE07QLPKlD8srQAwSWoNcgsxqEKB1pC333ZsrYUCKjA5XicuL7dd - /4xO25szwnQKnHXf3t2lFDOb3j364B8fSjv1fG9N51YFQEqoY3z7n/rm//pDf9bLoOFJ1CAARMQr - TLOIlFJU9ei1iIiIiIiIiIiI3pyOImVERERu2aIoIiIiIrpliEBVpz+ITv8ofNo5h0SvkAMuUAhc - pNjefC+roPYaGTBIhVQHEDp97fXy5lOgoeIm0Yi3GjnEQ6oLQiSAgCKyeqNuqUqqJmEbL4NG1RQ6 - g7daW6mqHorB0Lepahl0g4duf/i9b/2atj9rkRz1m9JIAAAgAElEQVSQ7VyRGCQKzBCCgAaSehJA - YgouxehAttERQ8zXO9/x1d+x15+fbxYxIKUkhqLjkPpBekRkGKKGOKambo0Q9+0GF1SdNp02F72p - /n80xMOKxxBjSbUxLLy0MdrufDe5T8NcIZBIGikAl9O8G+hNwN1F5PislOqtMVF2a+wlERERERER - ERERERERERER3VQUgBmi92FfPDxCVY8lwBwbJQo91d5uABLIlgoMlpDSlNIEjIJyPVgoADjEQ1Bf - tH9081OIQhSAAYIAvMKRBKUu7rhnfz2UsXYt1KCKvt64yysBC5gD4oJAIDkUqB7QAKqXaceJiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiG4ysm10thc1NSuOHiuKKc7uPfD93/f8rC3dvGzGwR1ti4ce6t56 - v++2G0cNNAZLLzM974gAXASh8bLpl/GSNR7+Odvn2fd4me3zfdnLPrNMb499+7SLUwwvtiXZ2zMR - gVphiqbJUb0fR4eV3C3b2d67vwyLXdk9U9dL7/KVNj/8Ax9B08JaP35iX9Vah2PX5eixHm3y0i/S - F20vbuB+saMve+XejL3dEVFrFRF3n0Jm+75v2/akz1NrVdWU0hTjnnJGBIBS8ZVf+cSP//iPuZe2 - zSLSNE2t9TU/ECIiIiIiIiIiIiIiIiIiInpjCIEIEIIpDxNN0mwSACQUkQB3KYCGTDMwp97UrKHq - pm5HZeEBXM+hDUWYhh5tUxm2CwABTMIOZ3VcNcqwmeXGSmp8532Pfd0Zu6AlmyIC7phGL1SACgAu - QBigR33aDkjSUrzJ2cb05V/6vrt27lvEbirJQqdvCfGQCHENle1+Hs0pOQ4Hmj7fdvNxwBXTJciC - RqE5JcX2tGJqWA91mW4colfveGn3reVNN/pGREREREREREREREREREREXyQBtAClYOwvKObZgEBE - ToB4IGKa4wqIQ0P08+X8vEYCuq6j5gZxlCskBTEgKnA9aUgQwLidsKJbRgiqouj2psJRZpYFzs4/ - vbnm2ZLAe4wjxor5ySOQTkQCCtdpok4wVcIDaLxgU3Tw5Kh1hDimuTSOphERERERERERERERERER - ERERERERERHRrSmpIQR7u2/5Cx+9pkhNbuYJ3kOsefdX7L39sX3pUm5ay9iMnUd2aExT9giBC4pE - SIQcdmMf++zNluIpAatTTG24jiFjIABFaA2YKgAfxqTIYiNsk5t73vs4Lp5Draiqnvf76nechwWg - cBhg0zMDiCktmG4lIrJNfFZ193Ecz5w58yryZ7uui4hSSkQIUIYRAQje+c6Hfv7nf76UMpvNzGwY - huVyaWancChERERERERERERERERERET0BiECABExDTbknI8mHG4FLuEvKRQ/mqlRtXALT6gZQ3rL - nW97y51vi6IJ2R3uUEVEmMEdAPTlhjgM4mNkzObNuXc/9pWL7pxXedG8RyggCHNIyC3f53t06Y+O - UUTaU85lpTetiLhF27tv+R91IiIiIiIiIiIiIiIiIiIiutECqkAdsRmaq9cwrhSBGhFwgau7wKfS - 4htiNPRtu2wVWUoNAEPfCzTBdLu/280B5bTErcaBEShHs3UhCFUE1JH8z/zzf5IW3TS3kxvkZAd9 - f9q7JAGJw0r4Q011bAZsRqz6LjcAINUSKrviiYiIiIiIiIiIiIiIiIiIiIiIiIiIiOiWpDWAJqFr - 0KRyz1392d39gxUkLb0iNXj08dsff/dV2HIYu1mOGtO8fci2t3sSERLAYczutrT7ZgzwVIFoyLZd - HIA4IIAFgNRUxwhITkV1bJvdt9yHB+7DvIVkaDN0O8Pu3pf+6e9FN4MqAMTRMhZH6HajW8fUtz09 - VtWmaYZheBXP0/d9RORsZpKSARDBvffe+bN/62fatu26rpSyXq/NbLFY3KLhtkRERERERERERERE - RERERHTDHJ8uyDm/jnvy6ghcp9jamMJiEQJHiFjOzThEwgy1XV0p737Hl5s3cJPDI46ACo6dgBcN - Wijg7kma2OQH737k4vn7ymjjGDm1AGTb9q2IdLi9EeZ5pvtheqsQhHZdB0A4hEKvtVt3tOmW/zkn - IiIiIiIiIiIiIiIiIiKi10E4Vqtf+qZvujNbLlUEpuqOqqiCmCKKAoip3di/0NN9UXqTpxf2/o// - H0iQRlCizW0LsVKOspIcDqhCE5BeVLhMtwCH+tGYSyhCBA4BmoRF20sMgCr6AQDm2W78Hkogu/9v - 3/At2IxIeRw2gQBkrMVeh90hIiIiIiIiIiIiIiIiIiIiIiIiIiIiIvpiBeBiG0eIIqd7Pvg9vyFl - tTjTS9M2i2F0zHfwyCO7jz663tntS0mHddUO9SnnFrBwC1c4xKtgVFSBQxEqN1/ubQBAaGyXMEzx - tQGoNGUcRdBkW1ffl+juvTc/8Q6Y1lJhnefFkxJv/ej3IzfICWqQqfnbBY4ABJCb7njpjyciKaWp - vXt6jFeVPysSXdeMY601xlIhOLO3+Nt/+2fe+ta3Tr3d05PnnFer1fQqRERERERERERERERERERE - RC8rYruJSESklG6hMl0BFK4vs78eLh6CUEUSzzPdwSa//f53nGnOShEFRCAi7hAReVGqrPuxdw3R - apZNfuLt72twFmNrkVRVAsd6vg0wQN8w8bTTGRFs809n7Yy93XQabqHfNi/B0T0iIiIiIiIiIiIi - IiIiIiI6KQeAxubDJu0vawWAcEzjEyFwmfqV9cZMJvSmV88sMDc0VgGIoDhczDKwrQ/fRiZhWyiO - W3XS403KjgrXAziWzBUpwdJq07dJ3dEm6cd6g+Z4Pme+Ljm6occwYByapnH36jUZ85KIiIiIiIiI - iIiIiIiIiIiIiIiIiIiI6FYlkIpwAG1GxHv+0l+6eu78/mK3d21m8zL2SLl973tve/zxfZOVo5q5 - SIjUYwtKJCABjcM27+uLPOQmi8R0SIU4AARcUBVVwsVhMUQNSUPY1YidB+5vnngEZ3Ywn7vmvuK5 - 8GfmLboW7RwVMIWiblfgAABEt0dMtw7//9m7s1jZsvu+77//f621d1Wdc+7Q7IlTk02KpsVRFiVR - bBkxYVmWQsGIBQSGgAAKHBgWFFsMWy1Z1hDNjiTHpEQKYYQogmEFeYqTpyRAFMTxQ5AEBowgCKIA - Svwgi6LEqad7hqq991r/POyqc88d2bfZ9/Y5t78fVFdX7dpn1dqnVjW6b//X/9eau+eca62S5ozt - VzFOhNbrQdJimSWVYr/1W7/1gfe9f71er9frrus2m427t9bMbBzH1/YqAAAAAAAAAAAAAADAw2cu - YYgId7+IYbrbYGlrksJaMykrotYaycs01BTdlcXVPPVvfvTtNuak5FJThCvCtiNYk92Q2z0XKVmz - lV16z1ve5+PCY5FSP45zIU+TwkIWbjGfqLj49TxnF0CERUTf93c829odXwK+nov4T5vZuapTBAAA - AAAAAAAAAAAAF4Fp7h2UN9PllJauuWZpm1JsN5UjzEHZ97E0Z3L/ahu1XCp5zNNzV0jTaUR3s9Pg - 53Zf54LXXpJ6qZvX0fxB7tK7w4vGlkq3mZovl8MUxVWnB/gBnykZ8ogSVRbzOjQzd5c0Pcj5AAAA - AAAAAAAAAAAAAAAAAADwGjEpRay8bKYhzLR3VdH9ueee+8PahtwNx5ucXKuibHrvux/9tm+fDlab - lGpK1RQ3DSRZKIVKU2rbDO9z2MXzNFy7ySdLo/uUWvVap7Ezb5HWlldvf3r54Q/oLW+qda11nUZb - 7+995dLqu372J3VpqVSU+zCfpCrFvInFFA9Fn983mmma5gc5567rJN2tr/Gdzdud+s43J5OF/uNf - //WPffSjrbXFYlFK2Ww2fd/PMeGLxeI1nD8AAAAAAAAAAAAAAHj4zMm5ZmZmFzG628LngG2PXYC3 - JDXPPrRBSZKsKcbIUWLd3v3W9+ToLFxSRJgpQhaybf73tslsmGx3XIO9/dF3rPyqTQu17JamaZA1 - 13Q9uDoeniTfiDCzCHOz+WnO2cLm37BdpNWBi8Hs4lXCPTxfeAAAAAAAAAAAAAAA8KB4dclVPLV1 - taYacmXVkLZ1Oa62K6O471nZIY+ykoomJUkuxSh3Fdf1Wo7dHE67KOGiCKnuPkA7u5bcZCqLKCWk - zclJ59lD2R5EZVjoepOwuezPJPMmbWQ2hdyympmUM/U5AAAAAAAAAAAAAAAAAAAAAIALaNvNtrl7 - VZa79lbq+2d+/mefXy2Plsva9a1Oh9Naq17vee+l7/joy105zj6mFGaSX2+wa9L19rhNUjM1O4/p - 3bMwC3nbbUFpUl4sTlKyRx+99C0f0mOP1fXaVqvm3bhYfXm/+8Df+5T6fvRUzeXeJMn9zBaWeXfN - ub1e3NYc1z23mh3HUdIwDK9uqL5Pw9DM9LM/+5Of+MQn3D0XH4Z1ay3nbGbTNLXWTk5O3NmHAgAA - AAAAAAAAAAAA7sZM7j6XNJy9vzhcmitr5jKJCGtVdTNu+kWZ6rDoO5c2R8d73f6bH3lLqV1SkilM - lizihrDyMMV89SZJ7pbl73z8ad+UVPs6WUrJkjyaLHQ2vfthsksi95Ca3a7+5GG8ajxwN3z3LhRK - sgAAAAAAAAAAAAAAwL0JqVqWmadcQ4NkKTdteyrNt9Ps5Os1TPdNatavi4aimnNoPW6mktamzS5i - XNHm5kZhZwq0cIE0KRSmqls/Oz8p5Sgp56Im9zQ9gNzu3Rws5vXkFq4wK6HcZDLzaWxmRY21BgAA - AAAAAAAAAAAAAAAAAAC4sCLUVLyTJJdSU5b68u6//9wXHrnyvGmqbX9vUYeNUtI73/Hmv/Lx9sQj - R6EmTym7uaQWsrzNrp5VtRbVTOetE665IuSyknI2TzVSVQrlZM8P63jiTZc+/oyuXlIolb1p8GPr - vprze/7ep3RpIcmVw1VNScqhPG9qkerrfV34umrdfkqn7WXnB2aWc3b3iDCz1tpdW127ez7bETtn - l2ShYV3N9IM/+G//0A/9UC6+GU7ms+cxIyKlFBE55/t6mQAAAAAAAMDFdfpndJLM7MIGRQEAAODC - iFAp5ev9b2LgdXC6JMdx3Nvbq7VerFV6U4va02cRY9+nYThJSa1NarUrSVN9+2NP2ZSjykythSRT - MpnP/2FoTbZtOau5VmcKr/bOJ5/OY7GmLuWTYZOLy0Jqsgir25a5FmEX/j8vm8Jz2ha6KM3/1OpS - R1Qx7od5gc1/SiPp9M9qzj++DwAAAAAAAAAAAAAA4J6ZQtNUa4zScn9vU0dJTc3OhHbrhq5K91Ez - b95JnY42CpWu36hupOl6b6OQQtsAaF34wqg3IJNco1Tlsrm2zkM2NSniKxqG/dVRrSeaJHXp/lYN - hqmZmkkxh8DvbhZT3Wg8kdWpKudeU0hioxcAAAAAAAAAAAAAAAAAAAAA4MLyM10rJ1mVu0qn1eqD - zz370uXLJ5cO1jVqKmO3VL/UE4+96Tu+7co7n1p33cvTeNzaZEqLUieZyZPCFKbsllM+h12Dpyrv - FBEn4yamocu5WRrMj0q58p53Xf7ej2u1GLNrsToZbZNXz6+W7/qpn1BuNefIfVS1eRPBvIOlXd9l - M/8ez90FYyeldJrePU3T3GR2XqLzU/ftFyHuulHkbA53KWWamkxzHvdf+kvf9Qu/+HPm0Vrr+z6l - dH8vCQAAAAAAAAAAAAAA4FwKU5NbuIdpW1ETkpq18Ek2uSbX5NEsvPfVqjtwuaQqNcVpOZPtesy2 - M0U5LiXLb9p/rEQuXmqt7vPLzbZtctv8+GIEDt8zI6IYuBXfCwAAAAAAAAAAAAAAcG9MSq3KyzjW - Zb88OT4ppZs0Zcse8hvSux+Eyf2llFSKVqvxZCO1pGSyuKUw4jRpGReJSUnhmm6IgremHC6tyl/7 - n3//j7Wpy365WA611nrf11/YHABv0rzkpfnRNCi7xo0nqUmeYmK9AQAAAAAAAAAAAAAAAAAAAAAu - rO02jGbaSBvZJJdyUjX1y2/6iU/9H9P6q2kx5uWmahybNlWPPr74yIff9Bc+NF25fJJz9bJejwq5 - KUzNFC7LZlGHOj3gHShfV+7yOEjSsiRTrMdp6pbXusXlD3/Yv/0jGtctai79i4frurj04nL/qZ/4 - lC4tT3Ka5OvNOrs6Kc2bH7Ztft3m/SwPfLsN7klEmNl6vZ4Tu93dzHLOXddJSinN6d13z5s3s/n8 - 1lrOeRzHnKzLNk36lm95/+c+9zl3j6l2yT3a+ujwAV0bAAAAAAAAAAAAAADA+RSuMIVZ2K68JsKi - WTQLWXho4asrq0c8rveXjYiktC3FsW2b0qbTdrjeef/owZtyzUnRYrDcpqiSK1xqsiaL+cFDkN59 - azWLKSXLHm5zsLmcsiW8ViIu6mIiuhsAAAAAAAAAAAAAANyj1nyYNE4HfX+yOXG39Th0KcnC5tKc - uSDhQaVkD+5/45/9D1oWjZuy7F3KUpaKlKRdryNtiztCaqdPcAGEVE3j6XNrsibl+SW1Jovp0sFQ - bKrVpHTXLkivCYvbLG2PthwHTYNynppkUm2W7/dcAAAAAAAAAAAAAAAAAAAAAAC4b2K+a6ebMaps - kseyk4X67uO//Ctv+8mf+vJitekXabkX3mkzqe/0nnc9+vG/mN/xtq+ZysGVmnJTbmFT00nVZmjN - tEjnrR+mr4epeI7sm1annE6y69E3PfHxj+sDH1AuunTV9vbG0o+rg68ulm//1Cd1dV+LkvqDUCz6 - hSTV3WA2Nxd2hdtp50/2s5xLtdY5rrvve0kppfnpNE3DMJRSaq3zaRFRSrnTOBExnxkRrTVJtcY4 - xnvf+67Pf/7z+werYVh3Xd5sNuM4rlarB3V9AAAAAAAAAAAAAAAA50JI7TQwO1xyD9/Gcsdpm88z - gdrhKbqr+49ayxYKqYYkvyk/OM50CI2WFt3Bqhyk5nUaPUVE1NYUdpre67F7C3sIwrsVEfOFuObw - crmft7osPCQi4oKmd/OVAAAAAAAAAAAAAAAA96g1bQYdnSxaLM2t1b1esrpug6S5Vuf0XAtZ3N/6 - hE2WVkl7WVeWcpmUWiuD+rl2aK7oOK3NIrf7AqpSSGlb6VK3tWCzLqtfHEY93AzrcUjpvmdlW8gl - D9k2B367srrarg6jjk5Uo5uXfHGZxmG431MCAAAAAAAAAAAAAAAAAAAAAOC+CklKUidlSVWhLit3 - 8l6XLz394z/+8nL54mayxUGr0iOPTgeL8ZFLl/+Nj731mY/+8bA+bHZSo9WUS9eV3JLGiGbtbNvc - 82DRXdo0HVZdS/b8sux94L17z3y73vak3LTYH4/ryeRfm+zalUvvfO6TemT/yP1IfVFaRN4MJ1Md - 5E111Nzpd95cENf3tuicXS9m7tuGzq211prZ9nMys+VyOU2TpJyzpK7rxnG80zjmXmtNKUmaGyCn - pCeffPTTn/70I488kmT7y9VmszGP/YPVMK7v/5UBAAAAAAAAAAAAAACcL6G5iqZp17HWYhvg7WFN - 1szDLMyazCKp+uXlVdUw01zTERFzXY6f1uRcH93N8v7eVa+ew8dxU0qqMUkmZUVqtmuQaw9ba9q5 - 4iUizMzdLeQhj4fvQvF6Os3tPl1vr+t07gHR3QAAAAAAAAAAAAAA4B61UG3/+K9+bzs6ShYmDRtN - U12UJEk3txGak7zvY4nC5K6SI9sQEVKrVbWVbG2YCzi2jY2qVO/fJHA/+bbMTUU6jcreFsnVpvVQ - uuVqtepKljTd/8Idi3lNte0Ks5Cia+0t1v133/NvajOYFBat1mitdN39ng8AAAAAAAAAAAAAAAAA - AAAAAPfFdo+IN5VQHyrzsai1tpBlLZcqWVcuPf3sf3Dt0asvum329q6N08uTtf0D7e3rHW9/51// - ty6/591x9crLpqM6RUoldxFaT6/rpd2imtbTZij9cVfSE0++9ZlnFh/+kPa6FnXyNKY0HVz+mpcX - L19+1w//bV1eatm1spwkDdJYu+Q5W2hUsZhTyf3MJhtrF6ZN6RvS3Ew2pTT3k805t9Yi4uTkJCL2 - 9/drrfp63WajtVzK/HiaWikpQp/+9Kff//73d30+OTk6OTnJxUsp6/V6HhAAAAAAAAAAAAAAAOCN - xVpYk9ouctslt3DJtb1PCle4Ikvuk19eXVKEJDOFmeJMbvfN3K27dPBIGy2bu5pla5J5ViRFnn/2 - VFz0PN+zseVnuN/+uprd9jDwSt22emquvDrnLvhXHQAAAAAAAAAAAAAAPHit6eVrT62WPm5qi9Kp - L8pZm7GGFPL5tjv7/uZ2bw1NynUzDJvRU7KSZfLOTpscVanN0d1+S7Y4zjeTktRJqcqaQqpqClmo - Cylcea8eDrEZp3GKWv2BfsAhhaxJrdSw519+enGgYRMKmVk2S5qmzQOcDwAAAAAAAAAAAAAAAAAA - AAAArxFr0iQ1yUO5yTX3ypVKyslTbfOT0qzpkUvveO7v/p9xdO3y6nDSXn8pXp50EioL9SU98237 - H/lQ9843D4tuPYwxTn3yVXI7T3HWk+trPh4/snjyW7/16nf9Rb3lrSqdFgvvSkSsLX255C+/6fI3 - /+SP6dFL6tMkT6MuhcxdcjeXanW93NajNEqTKdJ28CpV6TxdLq4zs3EcJQ3DsFgs3H2aJnfPOc+N - ZQ8PDyOi67pxHHPOdxloGsdaw8zcNY71t3/789/5nd9Zax3HMaVUSun7frPZWMSi6x/YBQIAAAAA - AAAAAAAAAJwL1ppFsxomzZVIIY+57aif3pp5Mw9zRZLycrlq9RW/RaT91SVrioicvbVJkllSZCld - 70gbdlOM9wU1F7ecDVR2pXRatAS8pm4b3X0h3LnkCwAAAAAAAAAAAAAA4LaiqeTDL33pYNXnk3G9 - ji7JQr2rNc0tmSSF6bXqoBS70iYLl9r81EJhavKqpK6vrS6XvULRJvM8TUpl/iE3hXblUWEykd59 - wVhcb09lct89qk1ZTdF1vtd7SzqR5Mmi3t9SntMVaGoh9+ZSS9Gulv4Pv/RllT7LhmFduhxhuZT7 - OhkAAAAAAAAAAAAAAAAAAAAAAO4XC6mZfLsPI2TzoxaSpSyZNuOQu35dx8XBwV/6j35VR8f/6rO/ - bSfDQX9JHnVzlFZJ04m+6alLb3lM//qP1//fH137ytesTr1b15Si3Z+pu7QdOUxtt5HEm5/ZU2LV - NLmqaUpaJ3/i/e/Nb39KVx+TuWrIsiYf3NbLxfNd985nn33H/lLLvrpk2aRVlibJTnc92KCp820k - c5NMSuxhOfemaSqlTNO0XC4ltdYkRcQ0TZJyztM0zfHeZjYfvK15IaSUah0V+oWf/5nv/u7vHsfB - PFIyVUspHR0dlVKS2TRVGV2SAQAAAAAAAAAAAOD8O1PYYO3hyPrFhXfaX1WaG8DunC7XNrdsPa+2 - c26STH7bBqK775or9WU1PzZJobDminamM+32SkMerpYWeSGpRXhXNuNg5kqSWlgLU1N2ycObtfP7 - G3qFwufOvyGZ1KzN/XfN/GzFlM0FWiZd7+0KvLEQ3Q0AAAAAAAAAAAAAAO5dtEVX2uEQNdIcXyyp - ybZ1WjFX7oRkqlLcUG54D+YI8NZsWzVlklvyEsdDW2WZ+2bMk/WSZ0+SZDLLknK5YRDfFUmQ233x - zIVdpuqSlKLY3DZLSmmSNU1dbauo17piEbaZWnntYuNvMx1TC5c1d1nMb2Tz8aluusUlNdeornRS - aybJWXEAAAAAAAAAAAAAAAAAAAAAgAvI5y0YvmuXa6f18Wcq5fvShdSnXtG0vKJYvPsn/v6//Oxv - Xjo8elSxyC2PxyXnbQn+O962eM+fW/zhv/rqF//s+T/70tWT42VVhJLZGNGkvkt1qLu3M0nbhrIh - SbGdSNttNdjeh05nujt/3oMihTQpmll1JVmRzbnj3q02w7GlxcupDqv+0Xc/dfnpp3R5TzJZlRc1 - V+1k5SSlryyX3/TJf19XD1SKUm5jLfIYQr0pb+OaZSalpUqT/GxP5O3MXOxoOTdaa+4+TVPOubWW - UpJUSnH3+WmtNWK7NWXO6t499fljnM+UJGul68ZhUCiZajQLM+mH/9bf/Jv/7g+dnBz1fYmQospV - 25hzjogpQm70QgYAAAAAAAAAAACA88zMzEwRsqaQmUWQ3o3zwkMueZiH3bAmbe7+usu9Pm/LNdzn - /rThoe1s22kKudotMd6hMfa6lVlSmCsi1HyyaPOPpFALNfOQmrUc8s20362GqKvsY52y5SpLMvmm - mar5PKpJHq+uU+75YuGtVuXcoprC3aIqWmry2PYIbvPvvG5/yU5+N161bcXU7oGZnRZZnXNEdwMA - AAAAAAAAAAAAgFchdvVY1w9Iux5Cu6qJZkqhV5vbfRtuucU0Dm21Umx0NLSxpCEX2d2rwdyk9FpN - Aq+DJu0q6K6vtNZU0/GhFr3tXzp+/guXpvAc/SK1Tb2PcwmP24Zxm5opTr8XQUstAAAAAAAAAAAA - AAAAAAAAAMBF94oCp7cnmK+nabG30tQ+8uPP6eTw//rcbyyP4krf79VUNpu8WClnPX9NH/zQo+94 - Wi+8sPm//2B88cXN0bHVqXPru25zeCSpc1OLOTy5mZlZlpspWjMPmzvvXg8SV2w7gYbkFtsZ2VZz - qVmL0CQzudxDfjRcWyyu1mX/+J//Jj39lLzpYDm10VPx1G+OhpL7sVu8lMp/+c//p2d//7/VwVJm - g1uSm5tCVmx78dfb2upOG1jYYXAeRMQ0TXNE95zbLcndJS0WC0lmNud2332cswnfntI4DJK2T6Vo - 9a//tU/82HPPumt/f3V0dFQ6djUBAAAAAAAAAAAAAIDXlp+53znth3meM+ZvnliL2xfWbK/F5yKg - +afCZU0RYdvepCa51LY9aZus5Ujzj1SThSR5uLWQWlhIKba/tGbzu+u8/qJeGQ+vEZLC5uqpZuHz - wmimkCxuqG4C3piI7gYAAAAAAAAAAAAAAKY9fGIAACAASURBVOeaxVwI1RQ+xeRSX9RGHVUdXFp9 - Mbpryehg9DDbfbjpzJG5PK4qdHlfm/WfTIfftFh0Yx3qeG1d9+7zerC7x3LbaeMttzBjcQIAAAAA - AAAAAAAAAAAAAAAA3hgWi6yQSshD/fKDP/WcNsMffOazV483j6/22/Mv+mqpVdJL17Ts9fhj/eN/ - Wccn3R/90Ut/+qfPf+XL3TAm6Uq/qJt1zP13zSKliFybeVSpqUXMbxbbByGluXTfQtFMEbJQRCjJ - ooWbsqmYqsWUNXoMnh579/v1xJv1+BPKCy1WGoZouXmtLfLa8uqRL/j0x2X8rl/4iWfzj6n0Gqvy - smupWQtZNbmzneWCMbM5rjtiu98jImqtfd+31iTNod1mFhFzPvdtx2mtLRaL9XotqU1VUt/3m81m - ueiG9fBtH/nWX/u1XzOz1tp6fbxYLGobbx7i3HbHBgAAAAAAAAAAAAAAOE/MzMzcX2mthbu7+/xT - ijCzXbERgG9U3O7rdNuD5w3R3QAAAAAAAAAAAAAA4ALwULNWIjfVqLKsg6yvXDt+6XL/fb//3+sV - F1HhIpprcCx2jySFzBQymWmv/Dv//Pf/3w99uG2mteLS3qIdr+/jbKxJt1tvIZfCJFd1JblCFkEj - LgAAAAAAAAAAAAAAAAAAAADAG0JIrcldbie1LvtOxZTT+37s2f/1Vz99HHb14MreNLq89HvyUOmi - yq4sLeUr737PleMjffGLmy998U+/+CfLvZVPU9RmZvKUmiJkLbK7KW7q9WlS3YV4N4u5Ya97bm4v - j0Pqspc8TON6qnm5eNOb36zHn9Cb36y9PZkUrtXeeO2o7O2PrdXVwcvDxhb9sOqe+uQPP7Xfq4up - LFzWmmcztaht8tKHNKoVOZsGLpw5tzulpF0I93y8lDKOY0qp1tp13TAMdxlkvV6XUlqbapWkcRxz - 9mE9PP3Ot//u7/5Ov+jGcXNycnLp0sHh4WEu7HsCAAAAAAAAAAAAAAB4NU6juOenZlLoLp0+55zv - 0/NnFyJaGDj/Wmu3HjSz8/8VI7obAAAAAAAAAAAAAACcO2FSuMm2Wc2nJU/JWg1riqowpUurl7uk - Lt82SRkPh5Dmwpw0R3fbaZS3u5KStBc6SW3RjYdtldJ4MqTXc76St9GVNM/TtnMGAAAAAAAAAAAA - AAAAAAAAAOAh1ySpTjJbdntVbVOn1WJPZfHML/2Hmur/+Mv/4G157/J6cdXkh0c2TJFddd2vDhSh - knWw6v/C+948rId//YV44aX1l7588uIL9eQkReuy9ZZU5c1DsS3Z34oqi4iIaBEymcnMxtwdLZdD - 16329y4/9vjltz6uy5flSRFaLWIczFMbJxtfKlcWWr9k/eqLw/Dipcsf+dG/rYOFclJ2Wbb16H3v - RTXUcriK1EwyNbGh5UJprbn7/KDWWkrpuk67lrLjOPZ9v9ls3H0YBne/bavZeaSu64ZhI2l/f//w - 8DCiTZPe9uRjv/df/JPS5Yi6t7d3fHx8fHxcSjn/rWkBAAAAAAAAAAAAAADOrdMc7oiY64J052KM - XUGRnZ4P4LUy10HdlNV9IYqjiO4GAAAAAAAAAAAAAADnT9zcumhudbOu42LvYDi6ZlXWpeMaxzkr - m5xiqIfZzeHXp+nd0rrWPrt5G836shrGE7/PlXEW28Zat76NSRaSRagFixIAAAAAAAAAAAAAAAAA - AAAA8IZiUh2Us6Yayko5lzxISqlbdVqffM8v/YKO15ra//OZz6wW/aW8yrltXnypL6mdnEymsr// - 8niUk/aefqfePvWbP395s9Hxsa69oJdeGo9Pjl+4ZrXVWmutrbWIkEJu0aTkpZTSd32/7JdLLVaL - vj9461vVL1Sysslac3nq1OXD403uFu7uy72jaTyexr2rl75wvHnfz/60lr2WXj3kpU5TJ6WyGk5O - utUyLFyWpHEcU7ZsbBy4YNy91jqnd5dSTts6u7uZpZQ2m43OtHu+yzjjOErK2Q8PDyVF6PHHrn7+ - P/1Pnnzy8Zzzer2OiHEcF4vupk61AAAAAAAAAAAAAAAAuFe7Aox7qNY5LdgwO9PDFMA3oLX2ek/h - VSK6GwAAAAAAAAAAAAAAnE8muVTnJ9UlqTTp5ChL3qVrQ92o2t6eWtxL9RQunnkpbD/l+d7nPG8L - s418UdJ6GpWyxrD7vxxM7aY48ZBLzUOykDWpzVO+JXUcAAAAAAAAAAAAAAAAAAAAAICHU0hjsWRK - XbZmqSk1bdZjvyqSlFdS1YFrvfnmn35OLf73z/1mf/jS25+4/OJXX76y6LphUB37Oi32VtqMSlkl - KXfaO9BjT0hWZJfd1ZpqVRtVqyI099jNWWYyl5vkSi53edZQlVxFcimqtzq2qR5P+/3+1Oz5sR15 - Hg6u/Mn6+C8/++z7Dg6UO5mUs8vXm/WyXymkiLLaCylFxDjJrHiRWrQ2bx3ABZJSaq25+/HxsaTl - cnlycjK3lK21llLGcay17u3tHR0d3W6AJqk1uXty1akl13zkH/7DX3//+7+578swDFKrdXzkkSsv - vPDCcrm8cQS/aTQAAAAAAAAAAAAAAADcyZzAfXr/dRt8niZ2x1xZREdQ4LVz+v26cIjuBgAAAAAA - AAAAAAAA59ANxU3NFCaFlGyqLUl1qHup7K8ODo9P1PWi19HDy04/3W10d5MU8iYlpeL9RlWeFovV - +oUv9JbNLer4gCYXummtpia1ltUozwMAAAAAAAAAAAAAAAAAAAAAvMG4rAyqqUWWe0hSvyxqkktm - siQPrUwRUv3OT/2oLLQZ/+AfffZois69U01p/3g9dKEcpjLvJ/BQWLhkUlNKKqZIkmQmSW7bx+YR - EWFzj97UpGyykDREhOcx55pL8/LSJtY510euvutHfkTJ39v3WqZImkKe8rheLxaLZbcahql0uZol - abMeFl2xVCRJTc1MrhDtfS+QaZpyzmYWEXt7e+5+cnIyv5RSqrXOj83s6OhoPu224+Scp2kKKWdN - kyR99rP/6GPPfNQsrl27VkoppZjZ888/v1qtxnF0Z98TAAAAAAAAAAAAAADAN+S0jsPMQhc1Pxh4 - mNylwuq8IbobAAAAAAAAAAAAAACcO7e2LQqpuaxGckXIQtasbcZ8sE+Xo4eehctUJZmSJGnbDCmU - zJOalMex9uoVY9T2YGY1x3bbrkaomXLK47BWnco0RbjlLNc01tylBzMlAAAAAAAAAAAAAAAAAAAA - AABeLyYVeZLPkdZV8t3xXcS1KZIsyZtUdNArpFV738//klrVOP6L3/jslfVwNY15nOpmnVaLYX1t - SmOXS1ufdP1Sw3T9zU478IbUmnLWOFhyS6W1GhGtTe5zPb/HcvVn0zQsV5tUjlL56M88q1xknVJS - drnkMlOZw8HzQpPkSl0e59jx0KLrFPMTxZwWTm73+VZrTSlFhJnNod05Z0mttVKKpPmluXvsnNs9 - juN8/PQ+51xrPXumu0ebTMrZp6m561Of+tHv//7vNw/VqeuzFKEazfu+r7Xektv9gLa9AAAAAAAA - AAAAAAC+EWa66X/4RlAoALw+zEzzt9JcarW2ZLpTeHdrba4YkeTurTUzOoICr41a69m47ouS2y2i - uwEAAAAAAAAAAAAAwLl0pirRJKmZYr6Fz01qLLbNjxR3LJnCw2D3+YapaRvd3U4beIWyuUIeepDd - i8LUTAp3he3W6jBO+5f3dXikS1fM8zRGLpYLVXoAAAAAAAAAAAAAAAAAAAAAgDcEk+ZdH/M+j9Pi - /zNnuEySy1Slzdi6UqSU26S+/45PfUpyreu//Lmfe9ujT3zt5S9feeKx9XCySB61Xc5Z5r5r+3k6 - ZDN1XXd4fLTY2x/rdLweFotFKaVG20TU7FXpxVY/8NM/rdLJXaVXV5SSLMu2uxVus0XF5Kf7W06P - h6ptH5rNQd44d+bA7Dmx291rrTnnzWbT9/3h4eHVq1dPX00pzaHdt9V13TAM2jWAnrXWkkvSNLVS - 9AM/8AM/+sm/M03TOAxdvnm9AwAAAAAAAAAAAAAAAMDD4QJldd+E6G4AAAAAAAAAAAAAAHA+xdkk - Zt/2NvJmSts6jSYpRdOFrdvAPWlSqO1Wxa6ZUSibVGVnVsWDEXLZ3HsrJHnIXcskWdbzh7rS55Il - xZlsbwAAAAAAAAAAAAAAAAAAAAAAHmJxY1y3Xd8FIMnPBnmHlEKrJLVJFlJSSJeuaBjU2Ud+8zc1 - Hj+xyFLVtFbYv/js57+6HlPI4szGAdvW9EdEWu6P4xSmS2995I+++pXVavWhv/N3tVzJTWZvs6Yw - eShLakqTvFXVUErydDoxa7LrcdwWfr1l5+5gkiZpkCT1Unotf394baSUJJmZu0uqtbbW+r6PiCtX - rpjZHNedc56myczu1FJ2miadCfCWlJOZ2TQ1STnrYx/72C//4i+O601Kqc8ldMcU8JtZk6Qg6hsA - AAAAAAAAAAAAAAAA7i+iuwEAAAAAAAAAAAAAwDnUJA+Fdq2NTEq3hDJbNI8mtW3DGjzsThOwb2hN - FK6Qn/bz2sZpP5B53PJmxy++9Hvf9/0/9L/8b9sXTeR2AwAAAAAAAAAAAAAAAAAAAADeIOyGKOvd - Xo+4+aRtwrfJ5LE+sW6p7DKFaUoLN7Wxlv3LU6vJzWKlzfQdP/qcLEl1O2zohr0kEWpNqSiawt6V - klpT12vRH4X6pCTV4+PcdUqa6pA97+YVpqpoCpdJajJNcklJMmtqvr2w6++lZCR2n2vTNM2x3Dln - SaWUiNhsNovFIqU0B3L3fb/ZbCTdKbdbUmvNzFprp+fXGlK4K0If+MD7P/u53yglRdRax1LKnUe6 - EdugAAAAAAAAAAAAAAAAAFw0EXG2lu4ulVfnDdHdAAAAAAAAAAAAAADgPAprTS5rHvJQrgqpml1v - 2GQhzd1qaFjzUNuFt+/aWoWkpHl53OHkB6LJJE/btl8uybIuL5eLw2MNVX2dzLyTyezGqHEAAAAA - AAAAAAAAAAAAAAAAAB5CcSal204r/F12c3p3k6o0zaf1e72p1VCyk3GTShlV+5LGYV3yIjZVOStl - LefBJlndvtfpYKZd8LYUIUsaB7WkvlSpSzJpU5VXq5NaOyVLZZIkZTWpKaQIRVVIyar8dJuKScnn - mPAbryzUx02XiXNkTuzOOW82m77v5wzvy5cvSzLbfmCbzSbnHBG11jsO5BbSHPU9B3iXkiSNY33r - W5/43d/5nWXXp5RaC0ktptsOIemGrU/kdgMAAAAAAAAAAAAAAAC4gCIuUlz3WUR3AwAAAAAAAAAA - AACAcyp2zWgs5FKzbVr3/CDmeG8Lmhw99MIk7aK758R209z8SvOq2K6BmM+0+1/G02w7q2ZKu7cb - Bqkbr1rWetSBcvZQTJqyMundAAAAAAAAAAAAAAAAAAAAAIA3hDgT2n3qNL3brr9QpSq5q05KycLU - lzJtw7RVSlHISlJTq/KsaVDq0g1Z2dYsPEwmi7BaaypFcuUiaWy1uNQUESXZJKWUJA1DlM62EwmX - 2pk0ZXe5pFDT9oFkt+xciRsuB+dTa63v+4hIKZ0mdk/TlFJy93Ec50xuM7tjP9nd8ZTSOI7zycMw - Pfnko7/zO//Z5cuXpdbadHj08v7+fsSdxzm1XWlx/Wmw3wQAAAAAAAAAAAAAAADABXAxY7slorsB - AAAAAAAAAAAAAMA5FKZmanNg8xzVHHNUs0sKq2GSqXpUb/J218FwsYVUJZtXQrve1spMVQopmczU - pNB2zbg9iPTuXYuuql0PMXflsIPmmuYmXdHUmi5sYREAAAAAAAAAAAAAAAAAAAAAAK+c6XoGdvg2 - wzsUpqbrod0WMrWklkzTfMq0kS1NzU3ZPaszhczHacylDDGlztxSXs6jpBvfUiYpNA1T6TpJ41hL - SZI8vNWaUpJsGsa+FNUqaVnSab54mJpcctlubqEkyVx2w36AG/Yp2O6G82oYhq7r5senud1mlnMe - x/Hska+fty3VWs2slDIMw8HB3q/8yq988IMfPD48zNnd/eDgIGpTNM3D3imN29gABQAAAAAAAAAA - AAAAAAAP2h0qugAAAAAAAAAAAAAAAO4kpKau3iEdeU7aliR5KEzxDbQi8rg+pqQ50Xs+EHPDmvA7 - drTBw8JuqHExmUlN2nYsattTHqCQhyyaqW2XqJqkUhStRR1l0jRIqhFZiRIdAAAAAAAAAAAAAAAA - AAAAAMAbQZxuAbmlzP/mPSghheq0MbXc94pJ5u6e5CaZLEK5lClaSm4e03QiTbcM6tK8r8S3YcxN - JSWFNuuak6WUImqrY+6SxkFucttuRIh5f8x2zlWqN02v3XmvginslivCuVFrnXO7I8LMToO6I6LW - KmmaJndPKc0n3GWolPPuYQzD0HX+q7/6D77ne/7K0dFRKam1aR5wvV6nlO8yDgAAAAAAAAAAAAAA - AO7VXNVhsW1sa7qhEa5JYWoPth0pgIuI0i4AAAAAAAAAAAAAAHCPWvvPv/cTH67qk9cqk7J7NFU1 - 19weySRZeFhrcklu7fY533fmcZrb7duUbskjJDW51EwyKbWklknvfoiZlLTr0eUuuUzSKI01ZNaZ - TEN4kqzVqvRA1oKFiqrihmD6aZQtu40m5ao+h7zY/J0AAAAAAAAAAAAAAAAAAAAAAODhZ/KzT+Z7 - 0/WjNv8VPh/q83J7NM8J3NuTbffj2bY/aqW//VvGPKByV66/qdQv0vYHLVlKklS6m+Z6dmK7s2+9 - ojOP4vohdgqcExExjuMc1C1pmqaU0pzJPcs5S8o511ojorXtHqXTB6fp3RHbvStnRlcdJ3d311Sb - TD/9Mz/5V7/3u6fhuCRFVHdXRJvU98ta44af3WpnRtu9utsnxX4oAAAAAAAA4J5EhLvP95LMbPfH - egAAAMD9Ymbi3zqBc2NubNtMzZR2382wXZ43BT3Aa8TMaq3u7u611vnIacHVRUSdFgAAAAAAAAAA - AAAAuGd/67/+b7y146OT3FlO29402V/jOgSLXf3TrhONqUnbSO8529vDFZRHPeyinfYqiuvFq62Y - XHFyMqn0shShvk/2IJaDa16fuqFsyGNbwydv82tG8R4AAAAAAAAAAAAAAAAAAAAA4A3PbiqwN0l+ - 883OvHjzD56edruh9cpq9+3G252O3XLOzSPg3BjH0cy6rpv7w67X65zz3Dd2vl8sFnMD2Wma7h7h - c9tXU0qSWmtTbZJ+5Ef+vR/8wb/hLlm7fnsVwrc3AAAAAAAAAAAAAAAAvGJ+Gte960nbbizmuVt1 - CIA3MEq1AAAAAAAAAAAAAADAvVt066iXLh+0Kcamqqi6tddMk/Tq29AAt7qhKm7bdSvnrBbhaSNJ - XuvrMjMAAAAAAAAAAAAAAAAAAAAAAAA8OKWUiBjH0d0lLRaLWmvsdF0nqbU2n3mXce6U6h1RJfV9 - kfR93/fdn/zkJ5fL5TiOtzuVKG4AAAAAAAAAAAAAAAAADwkz066wan48F2JdUJR2AQAAAAAAAAAA - AACAe2TS5sQX3fG4OWkqyzI03b5FjeQhSXanl4FXyCQ7u8xM8vlYKZLspXHTSllP9QIX8gAAAAAA - AAAAAAAAAAAAAAAAAOAVM7NSipnNXWLd3cxyzu7u7rXWlFJETNM0x3vfi9Zac9dmMz7zzHd85jOf - SSkdHh7ePQUcAAAAAAAAAAAAAAAAAB4+c4HWBUV0NwAAAAAAAAAAAAAAuEcm7S1rSUebIWfVaJJK - vxwbocm4j0LbFWZSyCXbrDceSZK69HKXDxddTd5l6mEAAAAAAAAAAAAAAAAAAAAAAAAefrXW1lrb - 7Wma+8OaWUqptRYRtdaIiIj2Svc9td1N7krZnnrHW37rtz63Wvat1r29PTPbnhh+/UZzVwAAAAAA - AAAAAAAAAAAPhdMqrPnp/IDobgAAAAAAAAAAAAAA8EZi0jQd13F5sJ+zTk5qzqZa7XbnNpOkuO1r - wL1oUkhnl1LfLxQxbSTZJ/7Z7x8vu5eG6UKX8gAAAAAAAAAAAAAAAAAAAAAAAOCViIiUkru7u5lt - Npv5gaTWWs5Z0nxfSnkV47fQwcH+P/2n/9Xe3nIYhq7rhmGYpunOP0GLVwAAAAAAAAAAAAAAAAAX - 3q1x3a2112863yjqugAAAAAAAAAAAAAAwL3rO7mN4ziOWnSpTXEyDbkUCxm5yXjtueQ3riwPeYyj - Ql0vZVOrX63tyqOPjJUlCAAAAAAAAAAAAAAAAAAAAAAA8JAzs/V6PU1TrVVS3/dm1ve9pIiYQ7un - aco5j+P4ddO77cabmy7tL37vn/zj/b1lyTmllJLFVLuUFa7YdnMNU9h9vk4AAAAAAAAAAAAAAAAA - eJ3cGuN94RDdDQAAAAAAAAAAAAAA7l2tKSVJqvKQS8t+OY3j6z0tPPSaJMX8N7fcK2qtUkj7e9Pe - 8oWXryXR8QgAAAAAAAAAAAAAAAAAgP+fvXv7tWTf7sI+xq+q5lyrL3vvY4xv2AQwjtGRI1vYkGB4 - SHCIhVASO8FKojwYMMhCjgQhxyR+4QULO8Into85HOyTSPCUKPkXgkhQEBJJyEOShyhEmMhBNvh2 - dl/WnLOqfiMPtdba3b1v3b0v3av789FUdVXNmr+q3+zZa6k1R40vAAC8+s7OzsZxHIZh6wy72+2O - x+OW0n04HLYY72VZpmman+W+p8yIiC/83M989rOf3QY5nQ7Lsty6dWuLCQcAAAAAAAAAAOBGEN0N - AAAAAAAAADy7NvTjPFRMQ9S8jhl1PA5XT1ZEvciL49XWH9tqbWwRQ4thuFfRdlOm6G4AAAAAAAAA - AAAAAIBXx+l02laOx2NEPJrDff1UZm4B3tfPbgc/cfy7jW3IiGkaIiIzMqMqfuqnfvIP/aE/1PuS - fc2+7scpe51Op0fuW2l6ugIAAAAAAAC8qqpimqbe+/WX0focAvD6yMx1XTNzW4mI7bfhDaXMCwAA - AAAAAAB4RtWiIiNaRavIiLzBtRPcBHkZBp9xGQt/WfKSPbJfPtHGpWVEtvKBBAAAAAAAAAAAAAAA - eEVU1W63W5YlIvb7/bquW3v03vv2VFW11vb7fe/9OcZf+5px2WG2taiKz33uz/2b/9Yfi3jW0Z7n - 7AAAAAAAAAAAAC+tzIwbHt09vugLAAAAAAAAAABupNZbRMt6LEn5ylUtRX7KF8WrLC8/Zz2qRUaP - GDKirj5mlVFjRET2uMHFPAAAAAAAAAAAAAAAALyjquZ53u12x+OxtTZN05bVfX3Atn48HjNzHMct - 5PtpZLSIaBmZufa1tYg1/sP/4I//mR/6oakNvX/wOO8EdZdbqAAAAAAAAAAAgFfIlth9TXQ3AAAA - AAAAAPA6ysuSiccLKfKy8UyLyLrM9M4bXFzBi7d9fFq0qw9Xfyctfvts1banvefLAQAAAAAAAAAA - AAAAuKFaa7vdrve+3+8jovceEVXVex/HMTNba1uY97quT5/bfa1X3+5dGcf2B//Av/JjP/af7vfT - 6XQahqdP5O4ffggAAAAAAAAAAMDN8Whc942O7tawGAAAAAAAAAB4Hj2j3tV/pjL64zuz5Hbz8bj8 - ZFXEdWD35VbfNobyeQMAAAAAAAAAAAAAAHilbFndrbWIWNe1tdZay8xxHLedvfdhGNZ1/ZCB8vru - lIho1x1Zx2GMiDHj93zLv/izP/uz4zhO0zSOLa9ekU+8FAAAAAAAAAAA4NWVmU+sb0VcN5TobgAA - AAAAAADg2V3ldlfGdVDylttd7xXpDc+tLqO5r5O634nm7lE9+tWfbejZQnA3AAAAAAAAAAAAAADA - q+M6k/s6ontZlsxsrVXVfr+PiGVZImK32z3H+Mu6tBbf8A3f8Dd+/ku3bt26c+fOgwf3PjwI/FHZ - I29wa1oAAAAAAAAAAID3U1VVN7jlr+huAAAAAAAAAOAZZUTGmrFm9Iwej6d3x2WAN3z86smt2j6A - FdFj6JEV4bMHAAAAAAAAAAAAAADwqpimqaqWZWmtnU6nYRgyMzOr6u7du8fjcZqmYRgi4nQ6Pd8p - 3nrrrV/4hV/4pm/6psx8++23b9+6NbxXu9Z85HEZ1y20GwAAAAAAAAAAeBVtcd2Zeb1+Q4nuBgAA - AAAAAAA+mqyel5HKWdEiWkXe4GoKXi4Z0R7N48683ujXT2aP6JFVuh0BAAAAAAAAAAAAAAC8QuZ5 - zszW2rIsu90uInrvrbWIuHfv3jju5nle13UYhm3n0+nXj/Pz/Ze+9KVv+ZZvPhwOVevZ2e5wOHxi - swEAAAAAAAAA+DjUO2USWe16GREVURkR0fO9XnjzXE7jejoZ0TN6Rnu0+W1FVovsj5WPZI/oWRFZ - +U65yOV4n/R1fwTvWwPTH/+b7dkr+6OVMNvm1p01q7VqQ29Z8fjc4Znd6Oju8UVfAAAAAAAAAABw - I2VERm8VPbZSpMiKlhF1VYqTUY8mLsPzqUdzu9u2sUZURIsxoq0RQ6sY5p5Lz6iUHA8AAAAAAAAA - AAAAAHDzXDd4zcx5nqdpiohpmras7nVdt2d3u11rbWtSvCw9okX062ffS4uIqMjh6hS9pmmYl3W3 - a1/4wk9/9rPfuj8bj8c18zIXPDN7/8BuxfX0MeEAAAAAAAAA3DytXX4vnJlR29fN+mvycsmK7G2L - Z66q6/awm7XF1h/2FWjRmRWt2tou1+Mqm3zb7BkR7ZG46x5RLSoroiqzR/aIJSIiWl72zN2WlS9X - mnWry1m0eO/k9b5d8dV1955rDZUt5/k4jTGMbV7WLc+7Inbr2PrQYl3bsrZeGRHdjzI+VFX13odh - WJZlK6NqrX1IMdXLTaUXAAAAAAAAAPDsKiKivat0MCvykaDl96rygWdXPaJHRmVUtIq4jIyPGOK6 - oLWvrUdcfjgBAAAAAAAAAAAAAAC4QbZOr6fTKTMjYpqmqlqWJSJaa6fTaeuKvj3be3+85/JTyKw1 - oiqqMmNe1tbic5/7T/7Ad//Lb751pgCYeQAAIABJREFU9+LiwbKcWmtVNc/zdl4AAAAAAAAAgJfK - VaPX/khKd0ZEVHtnGdGzx2Oh1O3GLjMiWj3W37ZV9IyekVdJ11dTbVFbPUleNczNd16U2+aTg78E - c3xnuQWTZ/Vtmq361bJfBbG/s4yIVi2iZWWLIav1tbbQ5Z5bunm7ek+iMkqXYD6Cqhvc8Hd80RcA - AAAAAAAAAAAfKCMyKqJHDBEVbasYGyKiR2RERWTbKgjzBlfyAAAAAAAAAAAAAAAAvKbGcZzneb/f - V9WDBw/u3LmTmeM49t4zc7fbxVVu91Wq9/a6/kGDPq4NQ1/7OI6ZNS/rn/mhP/knf/BPTLthWZZl - WaZpysztpOM4Su8GAAAAAAAAAF5ClbHFOFdGtV5ZPXtlVvaeT9ZR9Ggt4mUIpX6eZT1tWUiLq6jy - GqKmqLHH2KJXZMQQ0XtsueZjZeuR7bLF6aa/+JlGRLSMHtm3dPGri+vXy6EiIiq3K6+rJO+hra0N - 467Gsa9LLRG5rlXResbcYog+VF9bXzMiLvPO4XXTXvQFAAAAAAAAAADA+8vLP7fSsLral3FVQna9 - N5piGAAAAAAAAAAAAAAAgBuqqiJiXdc7d+5U1cXFRVW1dnm3SGbeuXMnIuZ5XpYlrnsuP7Xe51u3 - bi3LMi/rH/tj3/ujP/qj2eri4mJd1/Pz80fjuo/H48c6MwAAAAAAAACAj1GPfCd8+tEs5nqPZOaX - Ipf6uZYfpL13BHXr0SpaVLtsUlpD1BDRIrKyRWXE1fKlmOM7y6sJXcZ1t4qI9sgyIiIrs66XLWMa - ouU6jH3KPkZvmblW30brbVnburYurZtnkplPrFdV789WqfVSGV/0BQAAAAAAAAAAwIfbqgK36rbh - ejsiWovIKKHdAAAAAAAAAAAAAAAAN9U8z7vdLiLGcYyIZVnOz8+3pzIzM8dxvH//fkTs9/tlWdZ1 - fYpRH7nfpNZbt249fPgwIr7ru77jr/7Vv7osyzDmbreL6FWxLEtV7ff77WKGYfi4pwgAAAAAAAAA - 8JFURmVURGX0jL4FeGevR6J2n9DzpgbuvlcM+aXHc7t7RDwx/8ro1SrqifqPntGqP7p5nYD+wrXa - 0ru39PG4Wm7tV1u855uRvVq7/BvuMQw5j3GYH0YukdFzidZavxz8kVPAh6uqD9i8WUR3AwAAAAAA - AADwEruqzNnKxepd+yMvS+SyWtT7FgsCAAAAAAAAAAAAAADw0pqmqap678MwrOs6TdPpdGqtjeOY - mVtc9zAMvffj8fgc4w/DsOV2f+vv+eYvf/nLu92U0TMzoh+Pp6kNW0B4RFTV2dnZ00WDAwAAAAAA - AAC8RLIyIlpFjxbRX55c6o+iP22r0X75yG0ZURnRIx+JwI4WsXUx7Y+85KXQs0VERIu8XsYjF7yt - PfaK3nqrvlaNkVVLtR5teXD4ymXu+dU088mwc3g2VSW6GwAAAAAAAAAAPnEVsUZkxNDbZW1bRmVk - i6gcajum5UtT9wYAAAAAAAAAAAAAAMBTyszW2pbeHRG73W7bud/vt7jufMTTRWtv95i0iIheGfGN - 3/gNP/+lv7Ebp3VedvtxWZap5dSG3W7Xe++9z/M8z/N2agAAAAAAAACAl0rWtmzbStRlvHNGXMfq - brvaZXLzDU7bXbNfX3/PqGgRkdUvZ/vuPO/skWvkGtEzeotekZFDxLa/R2ar1ra3K3tEtJfp/em5 - Vgz1/knlW63M9bOVS8/TOAwZw1ot17naMufFVy5+LXJpVwcPFVkx9KiMHq1epinzcsrMGx3U/W7v - ir0HAAAAAAAAAICX1ZMFZNcx3fK6AQAAAAAAAAAAAAAAbqzrfq9beveyLBGRmcMwHI/HLa67qrbD - ni63+1G9V//MZ+5+4Qs/83Vf97VvvfVmZD8ej9M0bUP13k+nU0Ts9/txHD/WmQEAAAAAAAAAfGyu - Q7u3FOqIiGpRLSNatetHVovanu83dJnV4zKd/KlThLO36Bn9cpzslzHe1bN6VkX2bWdEXQ374md6 - tYyIHtkre2WP6LVd7eOP62cjqvcloves7eC1Lad2cf94P95JeY+MyMu49ybAmGeVedkJ+EaHeasG - AwAAAAAAAADgBtgKvIZtpfXIdlkIt9W6ZV+HXLIi+9PX1AEAAAAAAAAAAAAAAPAyqKotn7v3npnj - OD6a0n3d+/U6vfsDDMOwruvZ2dnhcIiIiJ6ZGfWFL/zsd3zHtx8Oh8PhUFW7YVxPx+uTbondp9Op - aVMMAAAAAAAA8HrLjO3b5GcIDIZPXlZkxdDaukasUdWzt7FN1fsQQ3/38bEFX7eIm7nM1vtcVa21 - rbojok8t8/1DhIc2Vc++xtja2IZlWda191rbENuYWS0qInq+M8JLMNNoEdmiqvXrnqoZrXptqd7X - 1SzXlTNZEVljjLW2qY0PHtx/483bx/5gHdZf/tV/uh1QGZVRERnbSuvx7o8JPGkr39r+6fXet8Kq - rYjrhhLdDQAAAAAAAADADZARQ8QQEVv5asay9GlsbSsEa7nkuixzG4ZabnA1DwAAAAAAAAAAAAAA - wGuotbau6zAMrbWty/AwDM8xzjiOy7JExJbb3Vr0HlX1+c//5Ld/+7dHxDDmOLVs49SGZfnwIHAA - AAAAAAAAgJdE79Gyhpx2436sMSJ672NmZWVkZI+I7BlbbnfmVRfPGykzxnHckrozcxhaZou+znOM - Q8S7Kj6yYlnWqqjKZe5r9IgY2jSMu1oPmZE5RLXMyMi4DLFun/as3l9GX9e1RWbmtqfF5UrN69Ux - 0fLygFqjcmoxXtw/fdVXffXD42/2fX7l9JVf+co/i7MWEVFRET0jItaMxwPL4Rnc9Aor0d0AAAAA - AAAAALzELuvErsr9ekTrc0RF7PctesS6xuEY6zzupmHIOMrtBgAAAAAAAAAAAAAAuGGWZRnHsffe - Wuu93759+/lavi7Lst/vl+W0rhURw5j9VP/Zj33u+77v+8YW83waIufDsfclp2ntc2tbq+JHGzFv - 6/2jTwoAAAAAAAAA4GM0DRHV+hrrui6xntbjWku0WvsaEVF1tbjeai9VOvUz6bX01td1nef5dFqq - xTgOLXNoFdEuSzsqMlpkbxURbRx2u+nWfn8+1Hmta+997dlP1SJ7Vs+ojOrbO7K9LS/Rm5PVhmhZ - cR3dHXG53qahqqrWbU9V9d6rcozpcDy9cfe3vH3vN5c29zv1j3/p/13G3rNFtZ69MrJFRKzZI2Ls - LVXE8GEe/QReu9Hp3aK7AQAAAAAAAAB46V33P6qIisqo6Eu0MSMiYxyjhuXte8NWASe8GwAAAAAA - AAAAAAAA4EYZx8sWqVW13+/XdW2tDcMwz/OzDnU8HjMjrlrI/rt//N/+U3/qT0ZfDqfl7Owssy4u - Llpr2aq9TP2XAQAAAAAAAAA+WFVkRMXaY83MYTeONeap1dIjorIiYug9IntWVEZk1Huk8N4MLXOI - aRp2Z9Nu105r770/Mp+r9O6IqBbVo9pp7cdlPs3zsC5Di9bGqliXOYeMjMisyGi9Iq9eW+/0O33R - MqPVlPF4QHJFtX44HLY05WqVmZkZGZmtIobd+JWL39jdnZZYH+S9v/sP/85vnH592PXreVVEZF+G - yIqx93dKauApXMd4i+4GAAAAAAAAAIBPTrusasvLxxC9R+vRKyrXU/QeF8tvG2+/uT+cHi7Ti75c - AAAAAAAAAAAAAAAAnsk8z9M0ZeY4jr33YRjWde29f/grI+IygbtHxDiOy7JUxTjFssTv//2/7/Of - /6mHD++P49RaRPRlWcdxnHbDsixVddleNntEvDy9mAEAAAAAAAAA3q1HjENkRLXq0Sv7ab04PZz3 - +/PK3rf6h2gRrbJHtayKvKlRzZXrYT7My7H3JSKGYYiIrN57DcPlMVkt8jLDuzLaWDH2dVh6zFGV - OWQOuYu11sq+tojKqi3Oessh7i9PknWvtsSY11vvXFjPqW2h3b2qqldVay2zH+sipzrMh3UXD+LX - /7u/89/80uEf5911zaVFtGpRvVX0l2aO3ESZWVWiuwEAAAAAAAAA4JNS8UglW0ZEjBEVfY7xFPN+ - P8bhGMd4897p4dsPfusu6vTCLhUAAAAAAAAAAAAAAIDnME1TRLR2GZ69NXudpmme52caZ1mWzMiM - ZYlv+7Zv/eIXf+5weHjr1q1Y5qo8nU5VNU5tWZbT6TSO+rICAAAAAAAAADdGZqzZ12ote2TPIYZp - aLemtZaIquwRsbaK6JUVseZl/vMWbn3DlpXr2W5s99qyLPPSq8U4jC1btHU7plXrl2/MVnDS15h7 - m3Ps7Syqr8uyZA5jRuXcW+/Rq7WrtO7+yPv6Usy3VfSas1pkr8u/7ozsPaP3JVpmxhqXEcqZmW1d - 4zie58Xp3m+s9/7u//a3/89f/l9+rf6/PF+mZc2KVhHVhopWfW2f1meUm2/L6n5ip+huAAAAAAAA - AAD4NCwZQ0QukRl96Gu2IZax+v/wr/3Rz759/Ko7tx7ee3iWkTe4ngcAAAAAAAAAAAAAAOC1syzL - +fl5RAzDsK5r730cxw/M7X53R+HLLszD0HrvX//1v/VLX/rSZz7zmYuLi9N8GPplO+NxGCJiXddp - mrZzfTITAgAAAAAAAAD4OFXGGtHXilyHqfWqB6cH++Xh7TZV7z37Ft2d1SLa5Xr0Vi8+lPr5lj37 - ulZm7fbjNOXca+1L1Ba8/V6yD7s4xcOL5e1dnY3Dljbc+ljVj5W95xTR+mWJSX8kvfulmO+cPfMy - bL1XVfSIqFp7Rky1RkX01lprGS1772udTnn/F3/pH//y27/yD/6Pv/9Lv/Z/z3cv6s3D0mKomNZo - PbLa0KNn6633jFahXStPqaoy83pddDcAAAAAAAAA8DqpiIhW0TN6RGVEXu68KsDJiIhqkX1t0eo5 - c5Qro+d2grpqpdMiol8VSfXItb1Xmx1eSY8Wx1WLiBZxjGWKFqf5q4b6LZFv33v4mfPohxd1iQAA - AAAAAAAAAAAAADyP3W63NXjtvW+J2suyZOZTd329bKacmcvS775x/rf+1t/8pm/8htN8iFqnYV+1 - ZmZVVFXvPSLGcTydTq25NwkAAAAAAAAAuBnGMZYeGRnVf/3tX/nff/F/7r86TP2stSGievaIaHXd - u7W3y4DqlyKa+jmW61q/Hr/8mw9+c7iVQ8bSKyKGoW21Hz17XR4cEVHZH5ze/if//P/Kr4zT4fYw - ZO89orWsqjmylhwi2rAdni/jO1MtKmPtvap6Lb33qlpjjZan0+nidDHP87LMh8PhwYMHDw/37h1/ - Y//G7t7p3nCr1RvHdqsvFY/X2lwmlbcbHLsMH5XobgAAAAAAAADgGWWP6hm9oq0ZS0aLyGjDVbRy - XYdpV65trYyhP1t6d1ZUxpptzYyMrIxqQ8WW3ly5VkVUq2yn1kJ696vu8oOVPSKGaBkthojoU0SL - KSNiHGu5n3XvzYx+eM6oeAAAAAAAAAAAAADgNZd5VRVfNY7jsiwv9noAXklVtf28Xde1tbatV9V1 - RHdVret6vX71uidvH8rWqvc2ZmttOc3DkOtakRFV52fDf/kLv/Atv/t3Za/olb2iLxFZVRlD9cgY - ImKZe8sx3uM+lP5JTBwAAAAA+Fhcf6EDAACfqHEcI9aqypbVe2ut9DnkJbCuW2PWmuuiny//7d// - m9M6ZbXL4OeMiMuenI+svxSh1M+9XIZ5eDPXZY2IISMqlupbo9JW0bNv/0vcospz3/7BP/p7/3D5 - X4f+RFZvj4jKdv3+XO18Keb4TnT3e/2Xd0tkf1KLul1xuz9ovfa9snou67rsK2KNqFi3obKvGZG9 - R0RFRIv3qpWBR/XeM7O1VlXX673f4JIq0d0AAAAAAAAAwDOqiIpWkZWx9bN5srKn92gfKU37sQEr - Mlp/bPPyz4pWV5fE6+Gdj0a2jD5EZLSoyDhlzD4JAAAAAAAAAAAAAMDz2bK6d7vd6XSKiGVZMnMY - hhd9XQCvmsxclmUcx2EY1nUdhmFZlvPz82cdp6r2Z2fH48O+rmdnu8PhlC0yo9b4mZ/5me/6vd+x - HE+Hw8M7d+6cvXnnwYMHLbVgBQAAAAAAAAButta3jOroufRxiYjjC76iT1U+3nT0MrU7+jubuaxt - OY2HT/nCXh7X/Xt7XLVwfST5O0vfVp5HVVXd4M/OR+qSDQAAAAAAAAC8pq5is4eKLb47sleuEWvE - Grm2nDPWFutUMVRERrWop15GREYM1cdeY/Wp94we0SvXfl0ElH2ofrb0WBT+AAAAAAAAAAAAAADw - kSzLMk3T6XTKzDt37kREVS3L8qKvC+BVM8/zOI6994gYhiEipml6ip+3/brP8pX1eHwYFXfv3D0c - Tvv9FD1qjb/0l37se77ne7bB79y5s9/v33777e1EAAAAAAAAAAAAPKXMLQE+RHcDAAAAAAAAAK+V - FtkqWlZrFVmRFZVXT15GeUdEz6tnIyKefnk9UsVQMfQtGvx62Ii8XN0OiP7kCwEAAAAAAAAAAAAA - 4FltHQaHYbh//35mnp+fX/ccBODjMk1TXPVy7b231q53PpNsLSIy8/79exFxPM4R8af/9J/4wR/8 - warKzO0U8zwvyzKO48c6CQAAAAAAAAAAgFfQe5bO3ujobqVjAAAAAAAAAMCzy2HNqWKMGoZaokVU - 9Iy2RWxXxFWY92XGdn+Wwd+pxBje2fNIzcZlIni0itajRWZohgYAAAAAAAAAAAAAwEcwTdPpdIqI - ZVkiYhzHi4uLF31RAK+geZ6HYRiGYV3XaZq2jO15np/u1dtNSi0iWuRaMYy5LDUMWVHf+0f+9b/4 - F//iPM/n5+d9OS3L0tpwcXFx+9bdvn5yEwIAAAAAAAAAAHhlVZXobgAAAAAAAADgdZIRLdaMnpHV - WkTVVdx2XgZvV0a/bILT8/K59vRneKwWIx/J7a5odXlARazZ5uGZBgYAAAAAAAAAAAAAgPcwz3Nm - ttbWdR2GYZ7n3W7Xe3/R1wXwqpmmKSKOx+Pt27erKjMjYhzHZVmeZZi+rjEMuSw9IiLru77z937+ - 858fhhZR8zwfLx7euXMns8ZxjIh5nltzDxIAAAAAAAAAAMAzE90NAAAAAAAAALxmcq22RvTINSsy - LtO285FD1hZRGdVaREaPeNqGZRWtZ1RmVIvskZeB4BnRrs5VET1jGfpx7DGW9G4AAAAAAAAAAAAA - AD6iquq9b+ndEXE6nV70FQG8grYurufn59tK730YhmfN7Y6IjBiH7Gtlxu/6Hb/j5372C+M4ZOY0 - DOs637lzp/d+cfHg/Pw8M29061gAAAAAAAAAAIBPx1ZttRVcXa/f6PorjasBAAAAAAAAgGfVo3qr - pcVlT5y8qp2oLbu7omdUDBVjxRQxPHuJQka161dtQd3r1eCbtUVl9NxCwZ82FxwAAAAAAAAAAAAA - AN4tc6tZjy29exzHuMqXBeBjlJnDMFRVZrbWImJd1+sfwk+vtTidekR89Vd/5stf/vLXfu3XtNbm - eV6W0ziO8zzP83z37t3T6bSlg3/8MwEAAAAAAAAAAODlJrobAAAAAAAA4NK6rltDpXmer3f2/px5 - wNsgdeVjuUJ4WVTEupxXZtRSa9tPp4rehjXboUfuxjUiaxc1Re3XGlrsr9K7n+qRkVlDVUw5ZW/T - cFZrLGtERo8WOcwVw9l+XtaIOKsW6zt53gAAAAAAAAAAAAAA8By2uz+2Ze99XdcXfUUAN17v/fqn - 67ay/XStqnEcM7P3/qGh3Vu89263247cNsfxsp/qW2/d/vmf/xtf//Vft65rreuQ1Vpb1zWzhiFP - p9M4ju7vAwAAAAAAAOCZtBZVtX1PvX217atnAF4T1zVdW31XRIzjuCzLi76u5ze+6AsAAAAAAAAA - eFkMw7CtbH1AMnOe52mannWcZVmGYdhemJnH43G/3y/LMo6+ouVVkRFt+o1puP/G3WVZall2t26t - OZzmdZzi16Jyd/7gtNbdtx4c56+6+3Vv3/uNcTyP7M9whoqhYpdDzrvl4u0333rjtC6tDf3huh+m - asvheFzOzh7effPeMEWOke2Tmy4AAAAAAAAAAAAAAADwTNZ1ve5dvvVy3W6129a3Xq6ttets7/cb - p/e+pXFvx/Te9/v98XjMiHGMn/iJn/jO7/zO0+kUEcOQN71LLAAAAAAAAAAAAB8jfeEBAAAAAAAA - LvXet4Dt3W4XV31Atr4ezzTOdUT3/fv3b9++vd/vny8CHF5e4xh3bv8/b75xXH/zd/7Of+Fw/0Hv - tZ/emLNqn7/+8F6dn/2jX/1n//2v/tM14nDvn48RS8TTB3cPEWPEWcRbEX/0s7/7jYvbt9aa2nC6 - d3jza9/IzMPpYf9M7N64/U8eXvzz22/E2Z1oSiAAAAAAAAAAAAAAAADgZTEMQ0RUVe89ItZ13XK7 - x3FsrZ1Op2EY1nUdhqH3XlUR2318730T0hYE3lobx/F4PJ7fmg4P5//8J378e//I9/TlFH1Z1vXs - 7OxwOIzjs90PCAAAAAAAAAAAwPupqqp60Vfx/PStBgAAAAAAALjUWttCux88eLBFbkfEc3wlXFWH - w+Hs7OzOnTtbFviN/l4Z3sMwxO78L/zt/zFOF/HwQURG7iLOoy8Rh7i9jyG/7Wu+8dciHl6Fdj/T - v4GMmCLOIs4j/uu/9z/FYY7DKY5rnN2KU8Q4Rh4jlqj1D57fiuFOTPtowyc1WQAAAAAAAAAAAAAA - AOAZreu6LMt+v98iune7XWZGxLIsETFN0zzP22FXm+sHjJaZmbmu63b8xcX8uf/4P/r+7//+iOi9 - byc6Ho/7/X5d509hdgAAAAAAAAAAAK+ercrrCTe6xbrobgAAAAAAAIBL67rO83x2dnbr1q2qqqp5 - nrcA72eSmefn5/M8T9M0jmNE7Ha7bfMTuGp4EXKM/Ri7fSy7eONWtBYxRt9H77HvMR9i2v1ixMOI - Nu7WZdmNU1+WZzlBX6P6kG+vFcPtuJNxtsQ4RmuxtJhatFPkGssSOUXejtaifUJTBQAAAAAAAAAA - AAAAAJ7ZMAzDMETEgwcPbt++vWVvV1Vmnp2dHQ6HiBjHcVmW3W53Op3i8gahbdkfHerRxq+ZURU/ - 8APf/2f/7A9Xrb33cRzPz/eZeTwumbtPbYIAAAAAAAAAAACvpK3Qa4vx3rq1v+gren6iuwEAAAAA - AAAuba1Atoztqmqt7ff7ZVm2+O2ntyxL7323263rOgzDuq6tNbndvEoqo0fU0Ibx/NhzbPuI4fhw - vXVnf4qIaT/W8iCjnd9ZD3PkdFp6RObjHXM+aPwYWrYHa4/MuHsnlh7R13nO/T5b+8rhtNufDzG3 - oU/DLtZWFSm6GwAAAAAAAAAAAAAAAF4ay7JU1TRNW273trO11nu/uLiIiDt37jx48CAeT+Z+ty3w - OyKGYei9V8Uf/sP/6o//+I+3FsOQh8Nhu49viwA/HA7Pej8gAAAAAAAAAAAAT3i0rOtGR3drXA0A - AAAAAABwqap679M0HY/H61YgwzA86zjjOO52u7hqBdJaux4NXg1ZMVT0+3NGTG2a+5zVb49TzjH1 - PvZ5qNxX9If3o+a7d84i1sw1sp7ykbn2WiL7cOf8/ukQQ4+oYb9v2XKNu7tdi2WJ4zSMEcvxcD9b - xA0u3gAAAAAAAAAAAAAAAIBXzTiO0zRFRGYOw7Db7bY7+MZx3G64u3//flXtdrt5nt+Vt92e6Jia - GcuyRMS3f/u/9Ff+yl/Z7XaZde/+V6bdcOv22eFwiIjW2n6//7TmBwAAAAAAAAAA8Kp5dzf1qrrR - 0d3jhx8CAAAAAAAA8HrIzNbaMAxbB5CPMlRVLcvSWmutbV8qV5UAb14xu/Op12mpvmu7IcZoET1a - tuhDRFTEGLFG3b/3lYx49uKKimFaH97f7c6qL5kVGbGukcOQETGOsV/6Ychxf+uW3G4AAAAAAAAA - AAAAAAB4qWy31G131bXWTqfTMAzrum4J3OM4LsuSmfM8Z+a2MyKeSOy+GieqIjJ+22/72r/+pb/2 - 9V/3NYfDRYvlzTffPBwOb7/99u3bdy4uLna73eFwGIbhU50nAAAAAAAAAADAKyczb3Ri9zXR3QAA - AAAAAACP6b1/LN8Hj+PlF7JbbxG53bxSMmKIiGg57vKq9qBdNcbJIbLmq2Of/59TX6Jqisg2Rq0R - bTtpZLRoEVO2ISKiWmSEf2EAAAAAAAAAAAAAAADwIvTee+/bLXXzPE/TFBFVtd/vtwO2GO91Xadp - muc5Iras7sdu5cuIiGxRvbdsmbmu0Vqr3oeW61qfeevOf/XlL3/NV3919HkaMmI4HZeWYxvHeZ7H - cZznWW43AAAAAAAAAB/d1kS2qjIiMzNekexSAPhQvffW2pbbvdV9xROFXjdNe9EXAAAAAAAAAADc - QJdp2S2j5VZ+sEV3Z1RGZKuPEtq9naEi6jKSu+IqnzuvT94ixohRbjcAAAAAAAAAAAAAAAC8QK21 - cRzXdd1yu+/du7ft7L1HxG63W5ZlGIbM3HK7389uP1XvEdF7X9c1IlqLiOi9zs6Gn/u5n/uWb/6d - +ymPx4tx1E8VAAAAAAAAAADg0yC6GwAAAAAAAAAAAAAAAAAAAAAAAAAAeO2cTqeIGIZhmqbj8Xj3 - 7t2qyszMHIbhdDpl5rIsVTWO4/uOknE6zuM07PZTRJydnbUWy9KHIaep/eRP/uR3f/d3R8TxeDw/ - Pz+eLj6tyQEAAAAAAADwOsrMF30JAPDCbFnd178NRXcDAAAAAAAAAAAAAAAAAAAAAAAAAACvnd1u - FxFbOPd+v6+q1toW172ua2vtunNr7/39BhmGFhHrup6Oc2vtcDj0Hmdnu3WtP//n/9z3fd/3HU8X - 49iGYVjWkw7pAAAAAAAAAAAAH7t3l2ZVlehuAAAAAAAAAAAAAAAAAAAAAAAAAADgdbSu6zAMW4fW - 1to4jpnZWmutbXHdrbX4wOiFXCgrAAAgAElEQVTuden7s7NaIyoiema0FofD6Yd+6Ad/+Id/+MGD - B+fn51so+MOHD7ewcAAAAAAAAAD4dNzoyFIAeG5bkveN/j0ouhsAAAAAAAAAAAAAAAAAAAAAAAAA - AHhmy7JU1TAMW1b31qp1WZbee1X13sdx3O/3vffdbrcFeL+f4+EQEeM49h6ZkZn/xvf+4R/90c8N - Y+7247Kcjsfjsp7Ozs6WZfmUpgcAAAAAAADA62f77hsAiIiqEt0NAAAAAAAAAAAAAAAAAAAAAAAA - AAC8XsZx7L0fj8eI2JK5twzv1toW6b0sy/F4HMfxdDp9QAvXjGzZtuPHIavH7/uu3/tf/NTnM3Nd - 13Vdq+rWrVvTNO12u977pzdDAAAAAAAAAF5jNz2vFACeSWa+e+eN/lUouhsAAAAAAAAAAAAAAAAA - AAAAAAAAAHgevff9fr9lde92u6rqvffep2la13W/30fEsizbU+83yPWrImJd61u/9Zu/+MUvnp3t - d/vxcDiMY5um6cHDe/M8975+enMDAAAAAAAA4DXzXomlAPA6es8Y7xtHdDcAAAAAAAAAAAAAAAAA - AAAAAAAAAPBB5nneVo7H47ZyOp0ioqoyc+vTejqdrhu2bsc/cfAwDNfL7cjMbK1lRIuMqpbxNV/z - 1V/84hfffPPNzFyWZbcbs9d6mne7XWttXXtr+qkCAAAAAAAA8Imoiu1b6Ue/Dfc9NQCvid779hsw - ItZ1jYjW2rZyQ/kVDgAAAAAAAAAAAAAAAAAAAAAAAAAAvK95nqdp2tK49/v9xcVFROx2u9PptNvt - IqL3PgzDOI77/f4Dxtm6uG6tXatqW/bex2GsqIh48807f/2v/7Xf/tu/aZrGtc8R/ZOfHAAAAAAA - AAAAAO9hq/K6uUR3AwAAAAAAAAAAAAAAAAAAAAAAAAAA72uaptPpNE3Tsizb5taSdbfbZeYW172u - 67Ish8NhS+Z+P5m5DbLb7YZhGIYhIpZ1iYhxjJ/+6Z/+tm/7tmmaTofD1IZW0Soie+RVhne1KP1U - AQAAAAAAAAAAPllbJdiNTu9WagYAAAAAAAAAAAAAAAAAAAAAAAAAAHyQ3W4XEeM4bsvee0RkZmvt - eDxuK621LYr7/Wwv35xOp3Vdt3Fai4j4y3/5L3/3d/+B8/OzBw/v3bp1tiynT3JCAAAAAAAAAAAA - vLcttztueHT3+OGHAAAAAAAAAAAAAAAAAAAAAAAAAAAAr6ve+9aJtffeWquqYRiue7NGRGZuIdwf - bF3XqsrMYRiWZYmIcRyXZe49/sKf/5F/7wf+eFU9uPeVt964czod2hbonT2qPTLGtv7h5wIAAAAA - AAAAAODpPVoStqmqGx3d3T78EAAAAAAAAAAAAAAAAAAAAAAAAAAA4HXVWluWZUvsXte1tdZaG8ex - tXYd6Z2Z0zS9u3nro7Yurls71+34eZ6r4t//gX/nR37kR+Z5Pjv7/9m7t1hZsvs87N//v1ZVd++9 - z20unAtvIkFJZCQOJcaSIiSxE8cJocC5OIIB24BtIEACI4j8oLwKTqA32ZASBIkBQ5EVw0Yc58E2 - EgeRBDqOYzoxZAlWJJkQKUokTUq8zOXMnLN3d1fVWv8vD6u6d+9zGZ4Zzplz9sz3w0ahd3V1dd16 - VdWqqvX1OfutW7fm9l5NEd0iIiIiIiIiIiIiIiIiIiIiIiKPjKK7RURERERERERERERERERERERE - RERERERERERERERERERERERERERERETkHavrOgARAaDv+xa/HRG11uVyCcDdp2ki2Ya8p5xze1Fr - JTlNE4A//If/jf/yv/oLKVlEKaUcHx8vl8tgMb+jyVdXS6oiIiIiIiIiIiIiIiIiIiIiIiIP1WFW - d7tP7BFOzLcpP+oJEBERERERERERERERERERERG5vAJwIIygoVi6tejQL2CeHvWUiYiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIvFVI1lpb8PY+mbvWamYppe122/7t+36aphbIfU+lFAA2Y638vu974b/5 - r396tVqVMi6Xy2EY1uvpypUrt26vj4+P2/AiIg9PWAAA3AkAdvFdzsMA8PbSEUa0gasj5v5wtsHg - nEdSd/+KiLwjxVxiOhD7su5iKRqAcy5m9/97WNzRhQXu6D6Ae5bYb7M7p2GeWQaMBsBT3PcjxIUd - h3YXInJZtHKMcCBwvjsALh767vvTsD9+3n32wtjaW214FYaXTnyrs56wb/Hu3n4jOTgL0/mUiIiI - iIiIvBu1KnInuDtxtouvASSi2p09L1RAA4A73Qifa93vUfc+f/DO2m55lGj3rRAJO7zqEABorWfs - 1nKrVIl2keJg7SOstA2GFuebjsgDMHsnbDCK7hYREREREREREREREREREREReUPme9Rwfq8hAHjq - X6v1q8fXcHQVdATIsPRArQOIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIg8DkiaWa0VQEoJQES4u5m1 - 3G4zc/eIAJBzLqUcpmuP47h/7Q53L+VCXGEyD0ZOVkoQeP/7nv3v/7v/9sqVk1onM0aUlCylfhiG - Rb8qU1xsPPqu5EMRkW9PWLS8WWMEPBGt/Xrs4lfDUA2E0xyAEYYpM7pAwKu3SFoHELtQuTD0FTQU - j9ZHaXMi8s4ThrA56sM4p3LanOG9O2YzghEg5ugIEAgEjXd0YcRB11sWLPyOHBFn4Dw/JO4oXcMe - bnG7m98LU3LwlW2aSS9A0Lx4BsOItItUaTuMVGFAmO9TVSzcgbDQ7kJEHn+GOewn4C0WiIZ9yX9w - 0u40EB4GWhiRA4lz+Vl9HjIRKTwRoIchHLE7DpdLoa1fzHvt8yAoHGa3n78OAG3l78655r/WJ9A2 - kjba/d7TdT4lIiIiIiKPSrsyXgMkW70kSSUcy0PxYFHK7YTaiY5WaeExAnlhJDEh+VxlXdsJelhi - 7phzdBzpnt0zAARJGt3MIuiEmYHevr6NIbSZPzoEwgMg5nUCkoFKMqVUWGhhZu4GREGpLOwtSFZk - cyQHY0KYuVnq82Jza1jkLi/ypt6OjrXCHFYf7VzKpeHuJAHUWruua7eTXVKK7hYRERERERERERER - EREREREReQvUMvZPPPGf/9IvghV51Xq21gYe7YSJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIg8IDNr - Df4CKKXknGutZmZmuJjbvVgshmG433hSsgjuc7vd58crIqLr0jRVANeuHf38z//Vp556cpy2navt - ZxF5BBxAy4EjnHNj9Afpg+H0OWpuF7zahmrNpVezap4I490PkSlxUETe4YygwXh3hMecChIwGGi7 - UnVOt4HR7uiC5sCunLU2Zifi7vRWC9Ixp4Re8HbFeobvZmSXJOr7RHFabemz+xTSOYIUaEHd+3Ru - I0zHvyJySdFb554ZTn6f42AnUszlZxAwp6ECbl4BAwgHAeV2XyptH4fdPnG/owsD5jDvgxXaVi7d - 9jFgQOIcJ7bfaaIlVM3vi4iIiIiIiLxrWIDpWw9GABiD3SIl9xwlRq66VZTpKC2jhDNXS2DusFjm - o6v9yao7fubGM33qu67rukWXcs455z57Wi6PEgwwh+3O5YH59D12NT3qvt3dAMMIGBkRrKwREajD - MAxlGKbtZnO22a5PT2/dXt/eTOtbm1vbul4k94TTsw262h931aapTLByfOV4czZMQ0l9tx3Go2Nw - +1A3ZXkHaveStQzvy0vR3SIiIiIiIiIiIiIiIiIiIiIib8Ycyr17wD4AkjBguYQBBrhX1DQ/bi8i - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIvK4I2m7FEGStdau6/atr+4TuN19GIbWNuv9RzUPSbKlfQMw - YJf8nX7u537ugx/8oCe4Z5bpoc2TiMh9pfBFBIDiCGsJc1ENABxhZIrIRPUgvKWxjrYoCdkQwOgA - kCvSLvO7WgCoHnPOnM3RhiIi7zBOGOLOuGx67AK4A5ktrLoND+SA8c6R3GE/AD2AYiB2IwQQDgDc - pbruy9eAO+G8xwjfQo4yT2Sb/ZZcaz6ZhwNMMBozEEQgkIEUyBUAIrV9BGBIRApgHsl+Ft626HER - kW8LgbBWMu9O83fll8//esuYIhHWkpvDgUQY0FUPQ3EQXhwAivnug5YCsPafMpsvjUTf79FoCItq - oMEYDmQy7VZmdVQz0AG3mAPBiHlfaQDbKZWjWtDgdCe0MYiIiIiIiIjcoTqwtFfGEgOurpZlU3DG - 50/ed/sbp89f/cAzN97/vue/673PfujJq+856U9WaZHMp2Fwd3c3pHYnh8ETbJpqC+32XXuZLT6a - FrAAXd1H0AUcnVmrOwnSyEqSBrLSgm7urRYtIsqA8Yy3v/rSl7/29S9+6etf+PLw1Q3PptjcHF5a - 3sCrt4mj03TFhrUZukUGB/hdl3dEHtClTu9WdLeIiIiIiIiIiIiIiIiIiIiIyBvDuRGW83+N6HK/ - HQeUCVFIs5wqGGB6dNMpIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLyhphZrZVkzrnrOgCllJzzMAzL - 5bLrummaFovFMAx43SZZayUAdzezfW43ADPUyr73v/gXf+qFF14gaq2ICD1/ISKPjqMFEALtRRhg - QTIBrbfTwqIFtZZkAW8ZqwQMkYgcDEO1SAQQ+1hZu8QtV4uIvB4DnOdZ3NFSPaxFujp26Zvng7P1 - g89Jrgej4vyg7oXxE7QWETIPMH+2RXsaDvu/HSwAtp2FGbwaEEbfxZMbDE4zwpmquxGOyBFpN5Fs - eSpADbjBCCMSFY8hIpcPLeJi8bvL3r5QvIchBWiAAWCYGXex35zToAJIFgFU242k7V/kkjCg5Xbv - dspB258FmRG221WHoRoID4e3gXdp33ZxswmL6nDOY4ZpXykiIiIiIiJyQRjOJqYOJ3nlm/5pfzqX - /L1P/cv/6r/1h95z5X19XMu8gtpbMZ5FZ0yOoNHgMJIRQZKEEX3qzMzp+5G3c/ww1c88Mk6vUyS4 - mZkZgNYNoxkDjCgAYGEGAEceq3rt2afet33q4+Mn1jenVz/zG//4lz/3j7Dk6frlkyNsJyw79ssc - hctuuTndpuy6OiFvjqK7RURERERERERERERERERERETedWjYNaACb0+MAug7dLmOkZAqI5nffwQi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKPnZQSgGEYFosFdq0AX79+3cymaWpv5ZxbpHcp5X7jMZvb - fW6vc7KIiIA7fvzHf/xTn/oUyX7R11qBgFp+FpFHoRoigcCYQEOOQItWNRphETQ3IoyAOcPgfQXg - XW2hdAGwrzBEOAzhBBCBtzFNVkTkUaBFgLDYt9Afhl0IZwAweEvibM/Z+i6eM+Z47/NR3R3mDSDg - QOauMLVdEkBqR43nqSGx7xIP95HesAhD9ZYw6ikszcew4fCWw91VAEgEAAOM3uLG59kHABSHE5lh - nENt42Axiog8/g7K8Hb0O+c3Y1fy0wD4Pv0nAJrRvDhYW/5TGOZj70SGBR1hUQ0pPCId5kXJYy8A - hM+57AGAlgDQQS8GugcsDGFOC1g1lh4AUD3aRxzIMWeD0dia70iA0aqa7BARERERERG5S9/Dw5fD - 0WJz5QNXvus//rP/yVGcLMoqb468LjwWRneEYaKtSUYkem61NclSazczmU1jhVlFvTh6byf38qgs - umSM3VUFBAi09k5J0I3tLXeYWWZ34le3r61PTlYbrK1e/fd/+Ds+9uFP/t1/+Nd/++bL1z/QvVqn - 9RZHiwpDGWOZERa6mC0P6DCrm6Siu0VERERERERERERERERERERE3tXCwDJ5Sjg7xVNPpmUXgFkK - hG48FBERERERERERERERERERERERERERERGRyyIi3L2U0nK7Sylm5u4kU0ru3tK7W2L36+d2u3ut - FYC7AyglAKSEH/3RP/Zn/syfvnL1+Pbt28MwHR8fn52dda4nMETk0SC8GqqHE0Y4UMEKuCHMqwFm - xWFEAoCAubE4CCIxgICBQPUIIAUAOFBtznb1S9x4tYjI/dGB2Kdl72NcCdu9DOxyu/dJrrHrc1g0 - xu6tCzkRdKPZYXTrHNftjmjfDsDotGhJny0U/OHx3bcA7taCals+KdoCSITTYRHmbU5tN0HG+S8c - NFSb4wHalIuIXCJhoLUSGBdzu3GQ233InaiGsPnA+LDENkYOVEdB7IPAHaofuDQIhDlsTuBu5r1/ - G8A8YIQHvO2+w2pCYLedVGc7dTIis512RW1nY3QYcX74ICIiIiIiIiKzDhhvRVeXH3/2+//0v/2f - LjdHx35c1uy6JUpiAQg6LdGywZBSZ0gWDEMEAViwgDn39xy/orsfIUeUKM7YZyST3F+FMYOZmxnJ - iAjSq3Mc+7QYzyZL3Y3ltWldPnLle//cH/sv/pd/8Jd//av/2BY4PsI0RPZ2rRsP92qKvFO0zWz/ - ur1QdLeIiIiIiIiIiIiIiIiIiIiIyLvO/DQp5wYFAkBynJyglmqO7ABCzwGKiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiMjl0fK2c84ttBvA9evXSS6Xy+122wK53T0i2sD3S+8mrWXXAuhzN4wDgNVq8cM/ - /EM/+ZM/eXx8fPPmzZPVUa3T6Wu3cqeHL0TkkWmZ3F2FAYsKgGGAoxrCzQjCpwQnnDCgpLGlygEM - BxAFCMOQ3YiO8DAQRk9q9lxE3rmM7swtlzMMbmyBnWYxp7G2BM+5HGyJnk5D3FUy+i6TMy72BOCH - /fZhAHM6gAdasCccDz2324gcnohqqGYBmIFAIFrSrAfCI+jhMSQPg0fK5m3fYUQiEueZpIHmRgBh - BCxgLQ1dROSyCACwfYC3G+fQ7jlGaPevEYCnAMyN8GqtDCwpgJRAIjwi1XCj7+LA5bIIAw2Et9W+ - OxyY32xbSIIDkec9YBS4wbtWmZRQLZjmbSkFEhHm5kZru1CovQ4RERERERGRO6QABzyRrzznz/7o - H/zjR5sr/bA6Wh6NeZg4ICfPGdYRNsLCEslUDXAQZnAzM0MCgHbjx71CeaeLdfby9qkAndWwuxzi - +xVUa3WHWTIjAMJI0gxOJhrco7chw7rr6Fa1/6Pf/yde/trLX938TnecbuGUQMqIsr/OIvLGkFR0 - t4iIiIiIiIiIiIiIiIiIiIjIu4jxwuN9vkvvJokyIedsXgGCWc8BioiIiIiIiIiIiIiIiIiIiIiI - iIiIiIjIpeLuJHPOJLuuq7UC2G63Lc+7xXW3160d5/s5bD4YQN/nD3/4O37mZ356dbQ4W98+Pl5t - 1+vFYtEvsrtHfRvmTETkTtaC4BhBOMJJACkQZtXg9PlJsl0D1EQApEW4G+P8ybKWO7tr5ZzWPuUG - 0NSuvYi8U9lB2nR4i6GmGwALYI5fNYQTgTkKgtZiXIGD53MPzcmviIQIu9cw9P1gFWgZ3mwfemic - 7gwEWvpsCjeEEW4tuXs/v20aoprXBAApwQNO5MCcjdKm3AA/XxQiIpdKAHDsc7txmNvNlt69L7pt - PmY2IBGJTpghQA9DYlgkg2dEdSQGzg+95XKo5gBStD2+wyIAWsvdrsZweiIMbvQAguF0b0cNMYd/ - G5G432oMLfe7HWVogxARERERERG5yAlM3k/Lf/ff/PeeWD57dHbVatqcFetAj3ASFmGsRnN47w53 - N2JXLWNENTotfK5sn0+/d7d4nF/0lLcfDQGjzUnqJEECMLOUEg0gKoGoNDiMZiXV9bjp+tzlXDe1 - Q+66VTfWj1z5nj/y/f/B//orf+ulV37/+MbR2bSuhCfFssu7lKK7RURERERERERERERERERERETe - sAsPw8/trxhJdB0i0B6nP3ikVERERERERERERERERERERERERERERERE5HFD0symaWoR3SklAGYW - Ea3Z3xa/3YK6SykAWlx3e/06knktJZkHo0YB8PTTT/61v/Y/Hh8fj+PYeYqpdF0X3I/TX3+EIiIP - iUcASLusQeziYHtbTMOU4O6OCCO9Vnegy7WWYSrLvsvutVYEu5zG2EW2mldDGJJC5kTknYsWleYJ - QNSoBrOcjKx1cncLwgJEag/aBs3phiBIGtAOMq0lvwLJzM3cQLJEkKQxUGjhbmZmSABII83MATdL - AEAn2T5o5g83vRspprLwnslZooxxdHIyTFughHmKFmFrCGR4ANsovlhst9NRzlasg0Vh6tKIEoYw - GOG7IHOjkklF5JLyfW53Owa25ACi1ohw99T5WWxzdh9ptTo7g+dI8EwgSkV4n7IjahBINSZzpyk+ - 6LJwpxuRA4kwRjhq4pjDstVSMq2HD5uhM1+tVrdun+V0ZPCW+54YfW3nTfSgmU/gaLR+URmYapcz - a7j2kSIiIiIi8ojknNvFcXefa0HNqJMUeRuRwFwBbu7OQAQSU54W/9KHPvnh5z/GoQN7IiFNBVGT - hYHVDcmtB5wsVgswGarBYAQtQIcF6HbvWnUL0y0cjwoNZkHODZna/uoBaQAJo5vBkQJwolpUA5Zd - EFOtXfYcU5rqwlE23Q9+97/+Tz/3T9dn21tn38wrG0YuekOoIJNvjSRJdyfZ7iIzs295t9jjTIWa - iIiIiIiIiIiIiIiIiIiIiMi3y+66/cx3f0rvFhERERERERERERERERERERERERERERGRx9DZ2dkc - mmgGoOV2A9hsNu5+cnLCXbvjb6Lp1WAA4e4ASDz11PW/8Tf++tVrJ5jztwIAlMUlIo+BcISDFjQW - R3EEzMO5rdeWJ5kpVXqJVZdrneCchgKkfrEaC1HNqzszas6RU2QiV0NxhAEWPqeBi4i8A9FK5Rg2 - 5Q7eAShApJSS0RPc3SxVGOCeU5f79imnAzC6EaQhSBprlBJRKsNSSjn3Oeeu63LOhsSwiIhAOzpt - x64RUWutMZFs4TkPdWbDMBnDjaQVJPoidTFVVjhSgps5zMMTsHD0md3x0VGwBGoBK2qtFTU8mAIA - CFRH2Jx3KyJyWThhu7/2uglDGAIcahlrgVnf5+yplKlb5KmWYFkul/3xgtkiRnBK4CJZdqvTOG2H - OhW6ed8pt/tyaRtDCqRAont4oqXwKFamSP1iW6fFark4Xt4+PX3iiSdIMqzAipE0D6ZKr4jKyVC6 - zK6rRJ3CwnJ15XaLiIiIiIjIu5lZ+zOSpRQSZkg1H8cTL3zgB47Tk6kuWKzvl577QgQykQEH3Qln - 5IhEAhFAGFsX593gPf7aBc6DWzvUfVu7AP2gNVMH4HRnW63zv0B77fs84kAG3FANk2EyMJfFkte+ - /2M/jKE/6o5rZe4xVtW2yLtUftQTICIiIiIiIiIiIiIiIiIiIiLyjkOY7boiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiKPmYg4Pj6OCHfPOY/jmFIyM3dfrVYAzs7O3J1kzvlNRHfn5LWy1ALg5OToZ3/2 - Z5977jl3t+DF5oZFRB6lFrNNAIYwtFg4Dzg908t6zJ4i4njRbaY1FpxSdSRHQr8oY3SRWaq5m6UU - UQ3VWB3VIwXU6rmIvJNZdF0qZYwIwEmrtZp5zrlMkVJKKUV2RowRUeCoKWVHwBwwQzJvqRLhniNK - CRAVcJIkIiyzM3ROBmBmZgY3M6u1JndP3g5WI2KKWmt0/hCf6a2GKVXLKQW8Mlu2nIdp8pxqLbsg - EwDuMNRsUcrpxq32fV+nakTn3iOVqJbgBA0giP0HRUQunfmMvpWBBEpU63LniAhGjQoLoNRasOqO - 3LDeDqcxpJTQJUtgGRBgwJIteo9saxu247hKC6U1XxbOAGAEHRFwItF9yl3BwHrUr6Ytc766HiY3 - 5u7qSy+f9csVHMVhNAeM1j6eFquBdRNhZgv6MY/6SquxTV5cae4iIiIiIiLyLmWGINyMJElzJPc8 - 9u/pP/C9z/2BxXhsNUdEeA0WAB4pDGAyhNnomMwGAtW6im430sMT7XR3NUyr8K5OMGDqvu1d4O6I - 4bCLd9ec32xjFp68INyYHA5MtFITKnL4gtU+/l0/8H//5v/5DZwiEHmuxjHVv8kb1wqiRz0Vb56i - u0VERERERERERERERERERERE3joHT8gbL/wrIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLyGBqGYbFY - tNetodWUUtd1tVaSpRR3j3hjOUkttBvAYpH+0l/6qY9//HunMkQgKcpWRB4zLTY1Ed7yU2kON6LP - 3Xa9QZeTk5t1z+3ySr/ZnHZY1VIRdZF8QSvwbQSTgQ6LaiBaGHgYWsnprz8BIiKX1FCmIFLKnrMT - 7omk0bvsJKdpmo8fk3ddnx02TR5BEggjyep0oiYPs0hwOIIkg7QAwWTm5pbNanunMoyOFFFrrUAA - 8AT3lFJCfYi5njRUN7KauTkC4bBAPe4WMY0gw4K7cj8RTjL5UApTLQZ0HmEZtIATBGhzereIyDtD - GHLu6EZW1mJBhy8926Ifw4ahwHyxPKmJhQURiGoRKefIYA1GncapdL48OsZQHvXcyIMywFmMTkO4 - M9wApznhk105Pv7Ga68sVn2/PCrjlPuUUqqVYUG0MDEkAIYKnA3rtOgXi4VX+BBRCpEJo5rsEBER - EREREQHMzGDu5uZW88c+8Ikb/kxdI9O6HKWeTRwWizwxnG4gAFoAtV3/3HEgDlKfce/zbjotrGVI - tyHUfTu7cL9w7SAOun7Q0wGgtXcaAGDhsAp4nd9xItVSj49vfN9Hf+AXf+1zqcdmwmIFDBB5cxTd - LSIiIiIiIiIiIiIiIiIiIiLyrtYep9dTfyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIicim4+zRNLZ97 - sViUUnLOJCNiuVwCmKYJgJkBeKO53U3f53EsP/ETP/GpT33q9u1bTz95Y7PZ3CufsDUu/BCjFkVE - XpfnQF8DsDGTQGsMfVPG7ngxlqHP1m+3K4z5dH0yTZlbt369ZedLHxiLVT1evBpjSt3+EbO+Il3i - NqtFRL61gDP3MIxTGSulv1EAACAASURBVDYlwfqUHWasDiORLaGju5OcYhtDParRRYBuzmzZjEYC - KMNgRniqqAyjhaVccj7FVLw3DwAkCRIEwj3BAiRJbyEBJAFDeqizTLdaA2ZwjgxH5Fx8HE7Gsqij - IcI4pkS4R3aamU1dfvFsY8sefR7HUiaklIxuBgK221PMjydrxyEil8YcERQGP4wIImOqRM3mvVua - CrabSsv9SUoLONbTcHscukU+Mq/jsOr6ibFmAexKv+rprMEtUwuRksshnHTWYimAmgDACaMfXVm9 - evPmSZeX3t2+9aov0naoXdeRhAEGgDQEIwxh3vUdGdyuWdBbn/tuk6yiHXM82nkUEREREREReWRa - tXjLyk0pAbXWajW98OHvy+suV7hFztOIbYrapz5KBJwGotWtp8QFjEZP96xvud9JNxVx+8gYwxHn - 9WPztYQ7orvnSwthDsC5i/em0SwsA6gwwhHJNumFD33iH/3q3y1xu3YbBtRmqjwIMzsM6jaziFB0 - t4iIiIiIiIiIiIiIiIiIiIjIux0NYYCBBtP9aCIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi8hgj2XUd - 5vadkfPcQulyuYwIM3P31uiqvanHJNwxjuXP//n/7E/+yT+xXp/deOLaer2utebs3/rDIiJvHwcc - RA4HotCqAQANxUmU1Fu3WT+x3v72pz/Ns1durBYxDt1idTpUorfojr/zIyd/8JNnHQoJZANSoA8Y - vTqqHjQTkXcoJ7gdupRSINNW5stKL2OsN0tPqBUMGMFAmbDdxmZTbr5m0zRNUxmnzTiO41inKSL6 - vs85e8657/qu61fLfHSE45OnnnoS7i0MNmCRjF0XKa03Q0moniJZeAp3mgGI+nBnOcFYmZ0MwGuq - 5STK6Re+8LVf/fWr09BHoXF0VLdU8+iLdXf0wo986uqVxSss22mbcpfMagmYO+eUWxGRSyespQKh - 5S8DiDknCKUUd3SeE9lHdMAid6uAn93GZovk6A1eMGRUw2Zb/axcv/qNHreiTlE7WKIZjPaQC3R5 - S4UZW4aUBw3VQUOudXvr9vu7Zf/qLeu6Z8oaYwK3GAekFdD2ggECCLQIeHeYYxNgRl6gDF9bEU9c - HSoIVSWJiIiIiIjIuxQJT2AlADevNVCx6Prnn34fN576PI5bI+Ce3aahJHcDKoIG0sN8XxFtd0R3 - 02EB3vekmwajuo+gCyAMfp985AB8392t3fbC5886wTAQbowFkpf03PGzH3jiQ5977dXjk3htGJZ2 - 39B2kTu0rO79nWOK7hYRERERERERERERERERERERebfgwaPw7ea2FtodugVNRERERERERERERERE - REREREREREREREQuiX2zqrVWACmlYRiWy+XhAK3F1ZxzKeWNtr5qZj/yI3/kx37sx3LOZjZNk5n1 - fY44bA/acfB0hojI249ANZjB2VquDxqKIwzW5WG7ecLT8a2z8f/65d/7K//z8c2bXYft+FrOXpiK - rbi8+uonPvY93/me4+eeOrOOYIqUwxcF1TEkrx457tu0uojI5dVFXC3T0TD0YYmBoeD2Kb75Tdx8 - bfid341Xb25fevHsxRe3r90s600dtihTYiAIwDkfi7YjzGF35FkYJOGWUmLuJs/5+OjkiSdO3vPM - lfc+373vvXjueTx5Y/HMM+gTUneafZN9SChu1dzvyB15SxmRAwh0ZqVMKeGo1hvb4fc/80++8jf/ - znNnm1UdnIUGGgr9lf7Kl5553wv/2h86uXbttsUZa5f6DGeEAUakNlqAmKdbqaQiclm0HOUWIHRY - 8uack4G11u0I2MKTbSd/6Zvl07/0xU9/+sXf/dLV5VHAz87WvXV+5erX+vQ9f+o/vP4f/Tv5PU9O - ZapTRc0p50pVEVwa1XxISMSieK7BFBUx5ppQrtlm8dLNX/sLP3XrV3/9iVUex1sbrK9cu1Y2NLpz - DgxzRpjTsK2xWCzTBIYV+CvX+uUf/aFP/tk/dfvkuXVL+xYRERERERF59yGRHBUgaWa1onNcu3E9 - 5VytnZh3lTkRS3R1HLvsZvMZOiwI8Pwa6Fwb3e7QgAEIHEQ+74WBiLA5HFrdt78LeLX9RYPYrzDM - keo+d4E5yNsAIM2NoXogABqq01ON7I4hf8/7Xvjdb3w+SvU6IDnUcqq8KYruFhERERERERERERER - ERERERGRO9GgZ0JFRERERERERERERERERERE5MHZvdqxeXjtktuDNXp+xzD3nMhvZ/yPucNZePB5 - FxERERERuSwiIqXU4rSXy6WZmVlEtLhud4+IaZrexJh/8Af/wM/8zE+TsdmeXb12cvv27aNFP02T - u0IJReQxYrs6n2puCCONqOaET+N45J7X62XwC7/wix+8+dqzY6zOtsiY1jUbRtuuz6Yv/to/S1/7 - /ZPrR9Ff2SQzhHH3WJmhZbiq5fMHcViVSCAsAPi8gpxAzC3UBxCHEbetWXqjw2L/cczt2u/eBfh4 - dN/UotllYvK++9A5O3NeiLFbMt767xfVPT9693juw/cDt+/ZL+dWiWq8sLTPJxuIi199j694gHkU - 7H4XabeU9smp7c3DBRsHv6iL/e9YFwHMwZm7Vdo+6fvxA5EIZ/SVfZRliT7oESgjbt/Cv/jK7//2 - F176F19Zf/0b44uv+GuvLbbD8VSPSllO03HU67VkMpHOC9uB+fmWFAEzmAEOEpUIgAQcfDmNX/3a - xv757yU/7frtsl8vlvnpp1fPP/+ej33s2Y9+18n73o9rV+C5GjddHpJXRzGvjmq2/047WA5hoLV4 - Et9txr5fVhcuBFhcWHj0ZAZPlUOGr0isx82v/cYHTtfvPVsflSFHAKAhDKuVvfLqqxi229dSevrK - SfJtnTbDeLxYxgTsrqFw98ty0C78HudVEPOvI/Z9DJF2k3X3j+lw1QNxYZnTH2r5tv/qi8VOXOxe - ZIFWeu+79996X9+9puc818R5oQTj/tsP0e85nreoPPdWEiYUoNIA2P4b5+8/n5fA+Q/wsrKDjTZa - CBDgiN1PzA/29TSCducC2bvn0j7Y6i4cMLS94cFP+0LZ+ODrtzqw27XdcYiyG9dui2Lrfz7xvOuX - uPvNOoCWuNOK34fn7u0KD7Rs563uzj2LRVwYwg2BFr18ULQCgSk6w3Icj6dpScdL3/zCZz7z5V/6 - pWd/57eefvXs/YbF2XaamGApr149/ebiZPXBiaDfGsZSc8qrRGMNpG9r9uXbddeB2d0lc0v82vXI - BIyRiGAkMjFWdbi+3X7zb/3N/p/9yieHYrfPjhd5iKi3biZDin1091w+0wDP5LpE6bGc3L+wLfHS - S0fkJqhNQkRERERERN7h9ifjFji/EhZGEHDLNQUrzGmwZVo9eeUZ0jx1U61dXlhY1BruOS84n7CH - gYc1W/e6pX9f+TzXpra6tX2NEHZ1Auq+7d1Ws9oiuud1YgcrkXAQu9xu7CtYLlzGm19PEWET3fwD - 7/mOVBfjhv0qWwnu6jZbFShhtLbe5782mrALFezyLnepc7uh6G4RERERERERERERERERERERkTfk - 7uZ327P77Z42UO3zioiIiIiIiIiIiIiIiIiIiLx73d2slfG8ux+mMrInMyulAEjmAIZhOF6u3H0c - x4jo+97MpmmCGw1m56NuOWqllH2gmpm15DOSAbq7mZGMCJLJ3N3rVNoA7p5SAoCWzGbRkthImueI - CDDnHLs22O9oaclhd83lnfM4D0kEGBHm7Pv+7Oxs0a8AdF2/2WwA5JxpBDCO49HR0TQNJM0eo4a3 - 7wzKMACwuxrTV7z3g6M57vpdtAXIXb6CnTf4fumjKUQeUMvLbK+naTKzlFLbR4iIiIi8JfZtp7Yz - za7rWs92OtlOAFvP/XFI60YcnAHdO68M7k6SZEopajUDiY9+9CM/+1f+srEm867L42bdJ6+1Hp5v - AjjPZxIReUSc0Rpo3mRPQMLo4YN3AVuhuxb12qbgs5/d/Navv3+42SPDsS5cdmmaYtHlaTx7IvDF - //0XPvSBP2f96mzg8bWjs9NbMPOcgUjhqi96fRXW930dth5gFJJd329ZpgQAiwInCIblmJuMrwDn - bOiW8Uk3wsOsPeFnUR3VIgzG9q6/0QDah9d9HftK4Fa72+bFnBVzvDBRsas9C9DMDAlABWEWcDhr - ncywrzqmIeBmVmurZm7RC465nplECY51d1RgboxW55zI+XuTuZkBHqitOjrB3D0sCjjtK6WJHEgM - J8KiGgGkAAD6PCUAUrRw03YMsAsS2Ee5IpTefT9h0WrUPcLogFfzaohd7uU+QrVFR4fFHIpJNwB0 - GsI8YDn30zSgRnbrLIzVorqDJGlgdjd6VwIFzPCO9XiKq+OA9QZf/wY+/4Wz3/znt770pRc//9lF - LQ5cCV4P5ECOaJtB6xqYAt5+sBdnh3ccZhIBRMwTT4MRKRxB85Icq7DrpU7DUPysvvxK/cIX15/5 - f38TmBbdtWef+fDHvjt97KMn/8oPnZwcYblYZz/NvkmpejZLmQmldjR30DDWqViYmdFgKZLBE6Nd - YrFE26WkcLfYIwAagxOTb4HSLYDSFcOLN9ef/dx7yiZzMET7nYdhctDrMU5x68X8/PWxuhUepby1 - WhHh5pz3Di3EN7M62moFrQBuAVqezMMwlc1i0SNIeIEzYlVLn/IULQF6H+U+JxbzPFGYRMzBw3Sj - EQ+rPGybHHcB4buw5Bb0YbC2Uuet4DwzngTYugTbdnFYVBK+D05uM3ghhvmguLh7eqpZzInO1v52 - Q8Z8PWKfMDGnhhveyP7ifmcxrQQG2g+KrU8gTbXrsnc8HYZTX3VEovXZepRqBC3C5mj29pMJxOW9 - RDLPBQPI1XxeXFZALsyj1JTT6bBZHK+CI8roZjV6Go1t330+Ktr8d0eyvUcr06I6nSDRER50ugHF - vTpIA+xwhA+4fovHZAAitWOM3VTRUOc9GgDO/RFzug09RdBQvJVjAaDv+2EzWmWfOwcKMc3HM/7w - 0rttt68H4iBx+UKK+dxr96NsMwQ4DbGPIwfI6gkVrBGWvF3UZqnJO0R40GHwVA0EQR5lX7x260lz - fP2l9f/2937n03+fL37zI+N0vZQFkQKVzAYjWQbv+s45bofejgMnyKxkcnuAgyZ5mCzi/EcYu6So - 8+IoLBLhDFg7MAkLr7W6A1EtsITngudHw//zy1//hV98ZryVSyBjZMWE3twD2B8NAoC3fQWigLaw - VDk6bZVXm7JEnBgfo/sH5LHSdrj7sycRERERkYchpUTS3Gqtnpy1nc7r8rZ8a/tazbveOIhY3nGw - Ve4SqdWGzf2JLmGaiiV3s+0wrhYn5dSfPH7O0VmwM0MpoFsCOVbffQXdEXFQewz6riL0vjUvrYa5 - dUG/rLWT7xAR1hK5Yx+pfrDZXNiCnLBwANX3/R0MWC1GX1iEV6YbTzy7XBxvhnV33C6zIhG7+k8H - POA0wibA9tngMad3vz1zLY+Xdl14/xpAzvlS39yu6G4RERERERERERERERERERERkbdAu/NsRt1Y - KyIiIiIiIiIiIiIiIiIiIiJ3ag0nmVm2NOemkO5uyaPUq9eubTcbTFML7R7HkWTqu8rwNMdy11r3 - yWqe09zkvxuBEhX7VO8IB2iWUwIQEaWUftG3jDQEh2Ews5wza621tHg2AF3XufswDNvttu/7t2Su - u66rLNthOLlyZZrqMAxTrSmnlNIwDP2yH8dxebQahgGIvu9LeVjt1L8l7pm01BrrlzdNC1De5Wqt - 7QXJiOi6juSlbtpMREREHjellJzzMAyLxQJAO96otbp7OzmNiOPj41JK13XTNL2Jr2int0C4g8Rz - zz39cz/3P3Rdl9KcnrNPrVOUjog8hhKDQDUUixQt7BBowZ+np9n8y//gHy5PXzs2YpoqsMg+TjUj - YRxOMk636y//k1/90B8/pa+Pnrhxe32WktMsWgSuG1T03V8YAJvqGLVms+uLxWI7LV5bw0AbgbDa - 2oVPMIc5DEDZRwuADuL89dxOfcBjjoulI9q7jzqy2w6eOnyQIVtupQF13C2tBDdY+3NGwA1ApU2I - FrgMs9R3NJCsZCUJr+Z0M8/VKsGAB0rQGKxgSpa8S0AF21GBw9xzppkbgIiolZWVZubs3MCoNaYp - As7sOSfLqZTihDOcMIZjv+Fby2DmHLjrmMN33XZxvC2jONpAyu2+v7aJ+0GurQPFz/MZjGj/1flN - 2GG2sQVa+Wa+Xd9OXd91nUUAJVmGWa2TeYYhBfJUu1p7chHMdcLZ2fq3P//5X/mVV/6/37CvfOX4 - dH29lKu13piGHLsv4zwNzcXIYYcFDTiYmHtWtu8/GXBvcRGAR6R54F01WoBYI2Vk355hfOXllz// - +dt//9Nf+qs/f/zd3/mhT7zwzMc/fvT+9+LqlW3q1skjLaYShWHJww1uKWd3T5FKKWOpQXN392xO - wiwuThxbBm5YWHIfx8k9pZxtuo3Pfv7G6WZVpsS4Y9tNLF0ZsDkNMMxz0CPMSAtaCmA3U7ZbIGFM - LeQ8LNySMTpEnuqTfV9ffnVRkTzDMxAYBhjgrVS8+M2GXfT4LoCcmMPT6WhB6g+jfPOLWTS8a6pa - l4fTdldEjO3+9mPgbvC5/8VLSIfD3MEB3717EMV+cSnt/23lT54He8C5fsDrGmYwY7e6ucjrWuq4 - ffLGjZc3t7zPRlufnh71qzmxfp7Vx/oy2QOiIQhYK7Jsv6ESGKap92RELrxRbbx56+m2a5tG4DBA - KOY038PufrtqW7UFEmjRYqNR2kL0+ZjBdysrYt5I3sj2HAmw8OqI3de1Mfgu8Mhi3rpIwGAO7Nbe - /NtEOG7Ha7hyPC3SWSkl3HLnloLcF2gPZfkDdbd97rfT++d27+bbYAzAHYz9/IaZW7aUvJYaYdXM - PBkNY5n6lD2nMow9cNXNT0+v14pbp7/3d/72b/29/+P5081z2213trm2WnCobTufR9vSnxjGcILo - qnXghLZE7Z3wK7jUnAAi7Lwkt4vvthAv321p2dwQtRZEIKcOcWMK//LXP/s//e2j3/vGdWcylJb0 - A2Qkot5Z1rWdIXG+F2nBUdEhOh0fioiIiIiIyLuBc64wOqzzB2AGEGS0q0Ze2bE77q96mO+rrXYf - unAz9t3Z29/qFJsHXXnkWhVNq9Y77F4UaNWWAIA61/K1+vEIuFkhQbqnftmdHC+vdFMPDNXcUGm7 - elt6wAkPizA4ubuIY2pSVfb2Md6Xl6K7RURERERERERERERERERERERERERERERERERERERERERE - RN4Cd0dNHPZpzZGzRmW0gLR9+zWe0+nZaUoJfVrX0d27VQ9gmCa4t8gUM0s5J3eSLfgnWox3S0KL - AOAp1VpgRoKGyrnVLkteogZjfXq7S/no6Giapu04HC1XHbpaay3F3YfNdphG9/+fvXuLkuy66zz/ - +/33PudEZGZllUqXkizJlmQbycjcjMF2A+MBejHA6sbNohcLaPfbrJmHYXq6H6ebNdPNPPiph1kG - VpuLgR4u5jYYm6Zp37FljG+yLdmSrQu6WFbJutQtbxHn7L3//3nYJyIjq1SyJFSoyvp/llYoMzIz - MuKcE+dE7hO1v7Kxvr6stcnBOXZ4nim5zk0vK2BE1qLAkEvZmzHEZjpVmJGDqkYxSjHM+n5tMpnP - 5/MhRQnPfcFfKLT9h8/97sjKzGWLgsdyRdOnDj8/4znTwtUSxWI2dhungFcuUvfOvRTUIwIAMwsh - lFLath2G4Rv+oHPOOefcsxRjTCl1XWdmu7u7GxsbJGOMAFJKTdO0bVtKqZ+Oqe1ncG7uS/XIkSNn - Tp+uX1lba9/5znceO3ZMFtm5eoP1r2BPdzvnLkYmpAZTBQpaAEEhLBqsheKRRx/9xGeus8jAknID - QDUCMcS+9EExgdhDj+Xb/nbjZ37mNJKZhdA0ptkUkDKGQN15MUDVOAlIWU+feuLTnz/1lx+Znji5 - NiVRaGq1+AuICgAVwBZNXxPUPiihXKaANRhoGgxiKBTlONZ0MVxinDT/7MuaJKVBYQJCGCgG6aZT - YyAJCUbW8HChbBzZZBPDpG3W1uJ0gukEkw7NBJMNdFNMOkxaNA2CgEQwEL1wEBtENIrGYEEg7bxX - SmuxBm5VgEA2KmneR0oIgSIIUIoJjVrSvCEkNiaNFpjRkiGlKWvDcRwupSFoUI5BRzGEXFeWlHF9 - QRSNCg1j1Hksenqt87xo0qjWjR9AXZJGgPVKDaZhsZkVIBjaHAEo1SQTJZhGAwyTriuWUs5UirEg - ki3i+lyti2ysP5SGydDjyRO4/fMnbv/s43fe2e7uhvnuNX2aGiZAW4xawuLO7Be7F31uXZz+sLHX - HgCFmNiBjAQPPMD9wfcwftt4xVk5CgksxbRkK5iKtEQe5jKb37q22z/51M7HP3Vq7VC8/rorXved - R970psmtt/TY649szieT7ZIGtRgjiqbZLFAaciIiYiaq1g9WtCDGIEaawMQQFaBSYKKppbQJjDpv - koT8+Kc+fflga0mjjgvBCFFpoE1BUMVslza+Hjaz874eNoHBIEZJYgZQ0GqZ5nzFvD/+Z+8/+cnP - HDkzmxQtRIwBaS80cQDKald1ccPjShmXP7AS6AUu7P5t/7cvzpXsR6mpQA0Djx361UTCchWP+8nF - I6gPKtTlR8VzCfpq7YkvGvAADKKEQQrHO7a/AS+OIc91f/4M6roWERF5an391v/tf51eddUOwtbO - oGxTssCyvrk+DLq4KaVBYGXsrF/CFGKiAGKBmEWFUYvACLYxK4LycjSHHzv9yXe+6/GHj1+Wh4hM - lGVA2xZrxRYf04xGAYLWFWpGTVIzz0ogqIhBKYWSBYUQaFDUPWcRKOTZr1+l0BAMwfaf3UlQb7mu - r2AIOu4jstSkzdjFgUkw7sX25Ob05T/+w+2bXqcba0kDGSTphX5xqEQWGMdlFbReuXhWLr5nlRiU - KlTAgiEY66PIhbAmhiBgYylZn5mLUM3C+lovut3P1kUPKzdO7eHJU/M/f8/9H/5wOHnie9bXy96u - zYY1CfNZ3y2f9+6iR0NUGCULC8e9aDCtZ3J1sesrlFxfwpkICs3IRrrYhyw72+t9ue+P/jR/6e+u - 7UNskUruGpihAOb9L+ecc84555xzLw3n/QPYBAfeiQ6gDp9SFCoRkOXXWYfTDVogAhiCphbt+qTx - d1i7JRuH++oZ1cXGZbXeHa0AhTFIF9pD00NdaWbaK2MhySJUtSgSqKGIFC4H1PfHS91L1rmtbjO7 - pN/35e/ccM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOuRfYWRnv5fT9 - bdMOwwAyxmhmWUvWklWbrlVVCGPTZdOd1JOMbaNqjz/+xFe/+tXHHntsa2trb29vNpv1fT+fz+fz - eUpJRLqum06nm5ubm5ubL7v6msuOHrn66quPHj3adR1JMVDqlP/Y2NwEcGZnJ8Y4XV+b9z3UmqYJ - Iahq0zSTySSVPAzDcqod2oGEzLNntXyg2k4mDOGXf/VXHvrqI30azMwIqLVtm4cUY4wi/+rnf/6a - a67pmsbKxTVv+0qT+zzf8AzTq7nnZbmViUG/UfrCuW8CJFW1lCIiZiYiKaUX+04555xz7ptNnTi1 - lLKxsWFm8/l8MpnUPwPrV0MIpZTnl9aOMZ4+fToGlmJNw1/7tXe8+tWvSrnvYlj+6trtXr0zzjl3 - kRjzsbVWCBQGMQlQmIricJAz7//gxsnTG2xySoEgURSRhJWWYa6lK+GGdnr3X33w2//5P9PtoTu0 - qZQMU2OQxrT46NEzEEPJpWiJTSPguoT+9Cn9/BcPnTwdbE9QlGqEAYRGBQBDVCLoGGQ1QoEiYw26 - 3qbYWNOkIYeLbgU8QyiUakoEsF6ahL6ACEaYECYaaMIiOF20EBpYYMpFhlYksy0S0YTQte3mocll - m93hw7KxNrn8cLOxMbn88JGjR8ORQ1hbR9cgThAOAQmULMgiRZBMM2xzMk1Fk/VFxYQUgkItgWJm - A0rJSlIgkRQItACiokqAdTxZDVJbzGOS2aSWvBUASJqSAqMJDZRlTODiGiK+eNgyvGowirEGa1GL - DLLoI0uN3FrNFoMGSO0B14CrAijoyaaNhDGYxAJRDXv5aMkbQpx48uuf++wjt922e9ddh088ddWQ - rk3DJIFAAAIAwlCDus98fwFwv7X8tN9tBwbZD36LjLdSG8Zj+BkArNQ07tgMaAALzQapu4MQsLCz - Mzv11FPH773/vg9+YOfokdf++I9uvObmzZtu2JhOtillumZNHLqJQhthVGguWlIRMEqMRNaxLl2T - pRQaxGhKkjFQy3xtPsiJE4/dceersrZqwZYPWgAElaYGfbd3Vx/UWZED2v6AuFEAKUQmjQgqxgIq - c9p86skTt38+ntqZ9DlBmyakPItdkxX19pbNaSya2YtCrwActwwALBf0+VUfysGMhygVJnVbrYda - wGg0iCLWH9ovgWD88tm3bABqt3uxag5eGhUmOHhJM9nfqMaFVNPdgvoMAm0ZCDeBPtfl87R78roP - r/vzehkpPDT5yubkln/184O0OtlUoWpWKznnusxsXIJWAFoEUTv3lygjsggNgbUnBBPo8qhtkJLX - KFDO7vrKoXsfmaa+5C1BqT++PCO5cpKINBNjDWnTYGJGJbUIavU8mIhBIRRAJFBpGkyj1j3GeCx6 - 1mJNdy8VIhIiKIvtNRhojAqjUYbaMCZAEzEJGoam3e2a9tZbm+8pRTEoA6wzdDEMJV+41yfGs0+u - Ler1UMJ44KURF/V6gem44QlhMAWkmXQ5aUpJwECLoZOmYyvzvVmb00TzeipHc8YDX/36e//bw+/7 - 0OWnTr1clbMZzuxsCGLbMlsTgpV8wR6ue4GJiZjCkBfPGAKiEKBA69Oovuo2SCFAlL6fNpNcrCEl - 9ZelYfjox4//PWAY9AAAIABJREFU1Qe+Q9rDEaXfjQARkMv4c84555xzzjnnnDuIdSwXVARYVIhR - jGKoJzHHbxMARWkybafPOD7vXnKMWgeUbcy9C8dTBgVmUEEJAWHargUEy9CghRqoBaColad/u77Y - OKjuJ+/c0iX9pi9PdzvnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84559zz - ZGMuYtHAWJCVqbJWZ0jf2dtrmqaJTc65HwZpYmgiS5mlNJ1Oz2xv3fHFO2//wufvu+++Bx988Pjx - 4199+GtlMcFNFAGQ9cDkR2ddWefQJjBtmyuvvPKVr3zlLbfcct11133Xd3znLbfcEpp2Npt1Xde2 - bZ9SMUQJBkJERIrZfDYD0DQNVn6LQJcBBIMsH8vq4z1fX7kYhpxnff+O3/zNRx9/QhfpigDGGIch - 1Rlwfvqnf/q6665T1fPczItpNXpxljo9+TjfPfcv3bNDJZbzs+8vOhvzMwDgy9O9BKjqspoJwMye - XzLTOeecc+58Ukpt2wKIMQLIOU+n0+VXaz6wXi4b3k9/Q+d5hWJWANRu99ve9rbv//7v39vbW1uf - aBq82+2cuySMY1w0BQ2NAgEarbRFceLE1/76tmsGbdW0IAAQBEFWM8vNdD3OdnWeLg/rjz70YP7U - p4+98fUnU9lrOENhYESIIO3CplIvaQSm0qZcoIE5K6WkzO3tQ6kHeoHRuBjyFKIAWsfqaIo6YlmD - qwAW40vjTPSLAT3Vi27hG8co6bO5hI3jsctjqIJGhBAWc+VDYWamBMlUBogwCITlODOtgBm2FyWD - RTWZMUi7tr65uRkObU6uvUGOHN08dmW85li88kocPYzDa1ifzrbOlK6VpqVQIKYiEIE0nBRDkmRi - SsA0Z5VCkaiQQqhoEQULTYMVaiMmAAoBjuO/AtTUbg27Ltq6pJmPrz6DIjWiKbEsx02VQDANtaQ9 - MtSAOjSFHAxBFTSa2KLyHiTOU5+stE2IIpKH9T6v7yV88e6tj3/ioc/ejqeeuiIPL+9nbUlTAQyM - Y15YFaZS70KsvwdY7uXGxPIyHb0M3AKodeJFh5sr9/egZVt3v7OrVLGw/O4CDQAlwqxoKYBChSJG - Gim2FhhRNvszw+Pb6eTjp37pvq+vbRy+9bUv/8c/vPbG78HlcjpYDtKTOUinhKlRKGE5Yk1DsFqu - hUFpoEkBBqg2upbzVfPh5Ef/Jj71VEzzUB/+wQdCQweUre1ABNAIBSNjLrrImR+oCCu1UJVihFIg - MgCMgqhiqjtbnaZ1QVajwhTs01T2z1/sj6+PlfZxUdfiC62WyDN4npf6F8pKWhvAslZuMJYCGOJi - J6CLUvPictxEdOWcVD13oKDVS6unraBGUxy4hrBgWo8FCqjUGy1i4xFkXP62LNNqPco8p4d3vr03 - hOM+XE0BGo5CH/pv7zt9zVVHfu5f7OZewvokNinNcs5NjAYUotQMiiGqhLFdfdEdwp69QoBSDwHB - FNBggLKAk0lnfdqebV9u85y3GmyX3dNtazXOvXJcGC2S2DqW6Q1KmGkhRCwaAARFMBUdv9+YFTVX - j1Bvre48ni2ppzPF6pFLzz4JuP/8ktq9Pnj8UpoCqsA1G4cOsxtKa7mZSCeglXm5wAc7GqIqgKAr - r4ggKqgbW22oy/Kb6yHbkEUUARCy7ki02J41kIY0KSVkRRrIpOsxhBMnrlbgkcdOvPs9j3/842un - z9zY92ultKYiCCBFyjBkIOK5VdPdi44mdSMxgFBZPCtNYJAagKcJbXyqmiVG69XKvD8mtvbQI3e+ - 83e/ZXuvTSiaFWhCh9wXIJLwU2DOOeecc845516qFu+ZePqRkiKhAEBUBqUUSiGTEIsBTYIksgYt - IUjnIy5uHxWwxT9XUWgEIOMYJhUwUE2toJEWEIoJByHqG3kIJRORiCxQwmgUG8eIYJG45Mer3d/f - 8n1ll/Tgnqe7nXPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7gWzbDyP - c8cvJkCvuskk57w3mzGGtcOHcs5fvueez9/xhc/c/tnPf/7zd971pVSgi8nfQ61eEGIogKkqEAnG - YLlkgwC9ak0fRCLGWEqBWTHsDGnn0eMPPnr8gx+7DUAA2ibceOONr3vd67739d/zxje+8cYbbwxN - LAWkqGkpqZEQm6bOpzPWBerD4dOHq1eNEYKDFGjbdpaGBx9+6NHHnygABGowQ4ENQwoARKg6WVub - TCaz3d0o4QVYBy+cZ+p2n9Pq9q7MNzQGMc7Jci+fI7Ky7Tn3EiEiIlJKmc1mGxsbMUZVFZGLMC3m - nHPOuUtU0zRmpqo1y900zTAMIhJjJFmv7LpuGAYzO2+3+/xKMQkQ4N/8m3/9Ez/xT/thNpm2fd83 - cnaxu07hKuJTSDvnLjImIIzFCGjNZ6ZJ0aNDv/3Z2+XxxzbmPYEokTrU9CyBSGC+F8DIMOyevmrz - 0D1/9V9vfdMbmpIxaWvGrpTiu7xvKOeBsABpgsQoUaxjasscUlCTkwYgGlQJEKI1Oona0QzntHKX - DAJqvKT+vF49dC4yx7rsAQtqedQAlNokXRljI0GCEciqg5aavgUYEIIMu0kEkKCqpZie7sNjp3Ib - 977ywKyJj5M7tHkb7cjhyTXH5MrLX/att0yuPnbZtdfi6FG0LUiImEy2U+oDtbEcmRuYRIYgIigA - FMt6ulHG7imwP3CqNTBsBqUaUUQBiIkYgopAdayzu6enECMoCKY0CHRleVE5jlorx9imUQsghBhr - Br4QSvT9/PD6elcG2dk+lHqc3vrapz51xwc/Or3v746c3r58r19XWwNjfQ1HFCxi0PX/IhEBADTX - VQrIIkdd78vKh6trdD/XXU9rHBxUN1GOJ1bG3zMWSSA2Bp8NADWQAIrmenuNUCWQTCnXyGhQdEQ7 - QAc19FcAzHryM7d/7nOfyzfccN2bv+9lP/CmIzfdOHTdbog5YkDIQhVqsZJzE+LiwSqgtFqWVrBJ - LBnpSil45OvH/+rDVwjMhpXHu8hmw2hozfrt7YntLxmS56TKVz/XusQaBS0QKBSjBJiYihYWayyo - aQsCxjJGu2UZTyWUuv/MMxWTOu4OKP+hagorq3UlxY3lshnPKBWkmlKm7Z+FUQJUsf2G+soNKkwW - S+zAZW2JYFxbAEzGpP344zXKLQDG62VRcV6uHXuhuiMGsNhifz4Wag8nvWlIX/q9d33H9a942Zt/ - 6AlLM41dmCColWxUNREgiQhEMD67L+mzTmGRIFIqoIQaDWApJQ0lmHUxoA0dywR9x9woagZYFpvE - wbVfN5Xxz1UD1CQQBVr3DqzHEeNyPSrHpv0YqH7Oq3ex9RoMyyo4xOoerNQNenHYXv6UAFoT8ipJ - iDzbwnxuxQJjY0K1hFwAI89Xafr7q0tPFk8iI5QYX1csNqplH30Z9oYo6+F7cZ1Ssw1BSApMgtlU - 2qCynoZuaxuPPPbIn//Fwx/4yNVndq6zHGeztUY06WKRmGkRoBWY4Zxdn7t41bc31BcMuvImgSIo - giwKk+WhhyZGZReH3EtoNkw3Tu1+5ff+pL3voWOQogMgAgEsGRoCUXLKwdNizjnnnHPOOefc09Da - WVYqxnMoZnVUN0ALBCIIsGgaSO/PugPq0LpSMA6xEjCqQDSSpkykmYVI0gIMhmiggIZgEMvBdDEq - q8DyX3MI/N9HvISRPDfU7elu55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - OeeceykbQyhi4DKhclCdt2gv9etrh2h6xx13/H/v/rP3ve99Dzz44GBjSsEIBgaymJqiLKYyFwlC - aCkGZIOlUmsYBoIwg8GyIacM7M91vYggAEABZql8+d77v3zv/b/3h38M4FU33fS93/36H/7Bf/yG - 7339TTfdNJ9zNu+n02kMHGZzCcsSwnhDi4m5dVnaOHsiprNDLABkGIYQm09/+rMiomDWAoIxmipU - QSTVBkgp7e3NrNhFOFP3ohRyFtoiVbJ0SWWAXgTG2jNAEV1JcbBOAV+/RQ1S6zLLH3Hum90wDG3b - hhA2NjYAqGrTNDnnF/t+Oeecc+6bCkkRqfVuAG3bLq8kSXI+n4cQVJ/5z5rVP9j2vzMItOCnf/af - /0//8/8oIvN5DqE1k7PKm2P5kf4q3zl30eFYNIVxHO/KgmhFnjzx6Ic+fCj1LYspAgKDqKkaggAh - DKlEREpjJW9YfuLOu3D3V6bf/Z1PIcdGdCgoBvIiHO+6eBiVbQE0D0WG+TzPc9ltQiZTkf1SICAK - SaEAaKCh1jOJIvsDd7LS+6yDjEoCQYBzS7kvovPdlXqI1P25zon6GKnLITLaOAQNgEIAgmBWM981 - M8w0FAGDhBAIQFVz0aFoGxsAlkmTYEYiWJBkZb4zCZAmKjlTzU+eKQ8+arF58t0ftK7jtMOh9fbq - K6545Q2X3fxKvuKGzZe/EpNJlrBF2TLpmbIEhTa0qIgFBhojrKkZ5hxKkQwgKOqk/8EMQBZkQREY - oVaoEJAG0J8w5zXmExRiCIblE0GJQpg83YkJKoDCGm8WBbJAmafTLmxvHd7axcOPzD781/d96EPD - 17/28unETs0u69B2jfUpFkrbwaykIUJgIAGqwdSyWDbU0Ov4q8YN9Zxot3D/41Xj83TlZ1VgAG0c - eydMdDwfUXvNuvgVtXLMACFIAKY5zw2TSZdVWZBUoyEIIutDl/m8n0r/qvWNU/fd8/hX7tp993vW - brn52p97a3vtse2rj/RrTW+xZGmtjeyKIQOkCi1qBksWzSIMUDWxPD2znf/iA2tffmCKAQFqCOc8 - QAFCsbK7uwhdiJkJxSwvms4KE9r+QhOYmFIRVKgCwCTQ2EgzbSacF9UCiBlb6VQNaoAK6u0rUOpu - EAdO7xRbNN1F5R/gmFQL2Fb3QBSg5sOX55rGhQOg7qWAsZE+HovrsjGICQ1St7vaTl4pHa/WvjEu - LAArK8Jk+etoCKoB+217Wfw5c3DLfKEWztiSXvkUrfLQTn9tKnf+8ju+75pXbL7ypp3Yrjebs93d - 0GqhBVNAQolSS/Gml/Trh6B1H7Wsd4+vsgxYm6z38zlTjgoMvc13mXYPRZQC7J+LXGSxV5vuywh3 - PeJToYu1bIs8N7HSw9bxiLl/v579MuXTfnPtGcOU4y9VsbPPlhqgtCKqgiH2aCZotI0USzobQjR2 - vbSh9BcwERIMUZUGoxSiLtflyTipJ5gNy/pyEZlH0MI0QxQqWogiCmBNJtDc5960TBgO5732yW08 - +viZP3rX8b/9RNiZffv6uqbe0tC0TZ9SG5AKGoIRmpDra5Vxrfh51EuDAVmkELryKrSIFWIQFgGA - piAqgkohi4g2lubzDdErd7b1Pe/f+a8fvXU6SVs7XdPAmHK2+ho3BuTy4j0y55xzzjnnnHPuxWbn - H56iBmTQFEU4JwaiJ4Z6iisCSqhqQCADGWDyTLfmXqrEnn4zMyGoihIaUSqApgAGhMVwa5GgEhRi - 43+0cTjR38nvzGz1vV6e7nbOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjkHrLQx6hzoxrFSoISBd99737v+8A/f+973PvXUUwiSSqlT+I+BCgOKrbYCalwta0HNqpFmtpzz - RmEw2Er8zMyUCMKiiy8BIgBZigkAARUFuO+BB+5/4IE/+JM/Xm+nr33tt77lLW/5sR/90euvvz4P - 9fsImtSauI0P55ktS+HL2ZoEYGwtyEc+8pFcmxIxaCmmBWaMsaQcAAAxRlVdX1vLafh7r4EX2OoD - X84/ZStfWp2Uymedf2Z1QrAaI1kut0XGY1FiUIjP8+VeSpqmAZBSapqm7/sYY0rpxb5TzjnnnPum - spxEtf6BqaoxxrEPqqqq9eNSSgihlGdTUVr504dQxY/8yA/+u1/43+uXYozz+bxpmtV25LLbzfpX - rXPOXVwUgJIKCYuhoGnOePT49u2fu2x3LzSt9jnZ0DKUghAxZAQtLQFptKQINPP+stPbZ/76rw+/ - 7ttKP1ufrCcFRUxI8xGjZ5KH1DQNSIlNYGaMEqAKCYvy6epxgysfHBxBUqK2Ycc25NkT03PRUr1I - L2t928Y8sRCog8HjvT/n4KlqJAoyAFvcDoEutqZUVYUZjGAjLcmSi8EMKpAggaSqlpION2KqOs8A - NknQkFRtEDY2nw/bs/lTZ3YfPX7yzi8db7kzmeSXXbt2003X3/ptV9z86qPHrsF0YjH2wkGQhVli - ZigmBqktCaAsw8Q0yGJgmyaERl0dGBSf/f+ZiaEtABBMaePgNACARqlRb+ynbRU1j21QgtCo2qmu - 5zLNeWPez++998EPffSJj3380NeO3xi4ZtKfmK1NMMxQkBoBwDT0RIicYNxAUz1hEOo2KtCiwMEV - txKi3r9mfD7qyuVysziYGydWcrm6vKnxpMPi0xBAQssYABWiCSAw73sTRKMIaDBFIaAw00nAhMy7 - Oy14TYj2+BMnT5y67fY7r/jvvu/GH/uh615787C5uR2aZBwEJYZCARFMIcIxQQ3k1OX+aBnksSe/ - /J7/clPW+TAPHZAA2z8ZxEXWoskFszm1WD2vU8/y2JgtX1lEUkvlWFZ1F4rAgH42pxZCSRUwmwos - 6dAgLBapLveVB/aaXJ6cOmspX4j92P4WaTVXXhfa4sGe+/xe7tnOOvOy+KmzfuKs48Hyal29qRUK - hMVtGkxU9g/HSoidvfnxwCJ8/peErO7V6zWiNgWu6It+/alP/uL/9cZf+r+vvurYzmwvNFE5nhcT - Q6zBe6hRX7iU+IuAgOh+t3sZb6dhGAYRaSdTznahedJKQ8sDguyfjxvXxeoGsfLx/j6HGsa1Ou7x - lFx+Q61rc/+vV1nctWe5Pev+naBK3Zcu90U2bnu6ci/FAKgCRjWBClA78arJVCW0jXSNZMz39va6 - sPn3WcLPUt0pJYEtF4uBQFDI6nPQoIgCaL3XVhekAGqlNKVsKg4rsLOLe+976H0fOf7hj776zJmb - U8q5aD+XGBibknOgmGobacVyQiRFYlZVW+zg3CViuc0s3yRQ3zNQBEYEBRfbD2GADsNwKMrh01vy - 8Fc/+87//JrQyO6ZlsgpCVGEIQgToTYzTJvGkge8nXPOOeecc865A8SUQIASKqbBLJiKIShIBKGq - 1TFHESHDakbXOQBiUIgd3DBM1KwoCbPMUlA0QFHH64Q6/osVUcAaIAKy+G8cTqydbyXEz3c7AJd4 - txue7nbOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrnnrWma+XweJTRN - M9/rAayvT+fzuarGtiGlwFLJavbe977313/zNz79+Tv25y5aKaIdmMZm5RNdmerIzM43383q9Qbk - YvvdC0PR8UYVMB2nlK+3K8DuMP/MF77wyc/d/m//z//jNTff/Naf+dmf/Mm3XHXFlQBo2oQYJA7D - YGZt22rKIQQaVHU5UX4IIaXUrU1ns5nCuq5LKZlZbENWmPFDH/wIgBBj1oIgqNWQnJd3mGZtiPni - q9XmnLuuyzkbIRJSSs2kK6V87OO3/eIv/mIxqmpooqpSJKUUo0/p80x0JT2ybL0DYENVRdEQQoTs - 7ey86/f/4OXXXucNb/dSUGdRrHuPusPxnqVzzjnnXli1zSkiZiYiInLWPM7L1x7PtdsdA0ux7/iO - W9/2trdtbGyk1KuSpEBpy79Lx/tw1u9yzrmLh0TO+rlKYBsFYClBy7QfnvqTd79KKQqYGiyCEAFL - MUiAGExhJQMMQKN6WZ++9slPrz/1xKFrrmz25l2cntYSYmN6oDfpVgWVmLtOpjmEnGYIouzmM22E - pqasWUwAKkBUrdVPBsDGcc6m5nQNDFCiZr9LBqHBECSa6aLofVFc8ht/dXzIMBDa2IFrD4wj26Jy - SgOhMJpZGQAcGFWzbLZ6TYHBDAREkNQAhJojHePCIMQsAWwUArQDNpOUGfqdvHvmoXTvIyf/8qNP - 0LqNzWO33Lzxj944+fZbJy+72ibtqS4ObchBCpU2AEhD33VdBBVJwRJgRAgNQOQsQ24ZQgjZVGGI - wWf+fwYEYkHNxxphtNqKLhQiBIlFbej7tclaGoagkBhnqk3XlTITlFbnl+cSTm3jrnvv/6P36ENf - xeOPvwJ6KIgMs5wxEdgcESFCoAAkjGF5XYlDKwEYDDCtg7zKRaBYhAE0ZbFCCBEWAWYCJE0xOyve - GlaHiQ3FIKbLfK8IVAEFCdZQKCHjGQbI8uWmQTMITKTelI2NZi5LwJIVYhCwBdQKgCOqr4Vtvf8D - j3zkto3X3HLNj//I5T/wRhy78mvat2F9T1GyagzWNMhiOXXQJs+vKcaHn7j7P77jiiFpvzNpxjtT - F03hWP0VYzDEXPLuHkzJuhBC3/dNE5PBuHwGj31rYy3+SgmACQVGmJQipW1MkNqmMEGtJwDJVFPk - /Z0DQYEBpYABRsBw4MW9IbBWhi/Q/u1cWtdRlKAFxSBAiDVlPlbHxy2HJJHNwqLQLouNBuO2puOS - OpDaXvlYxlurN0jWP4IKAJNFVb1uP4baKKZAFcVAAQNUEVT5Qi+fmrsdT8oZmmzXpdw/+PAX/+Mv - fdsv/Nt86NCTzK3EoQwM0rXtbHcQQ3Oo297damL3dEv10mBAEgAIpgKlam3AFGLQ0k4n8yFvl6Gb - tCVGSDDmRBgR6uHJZFnfFqPBWJ//1HqqVAkGlIJICGEKNRAqgNII2tOk3pfnRZ/VurNxI1z8sI2f - ru60igGiWWACKQcS8jS0BZkQASyUZpLaScnsc1KESbtuenBv+IKquyMQWVAEhWODOSiiSgMRLVqK - URkChISuIQw5ZQSJgSU3kAD2w24OdsTK5l6PO+4++Wd/8cSnP9vsnbmZup6yKih1tRQAUaPUPns2 - wAKgRpQigIBPs0LcxUq57HaLmNb9u4IKUE1IKdaFRjXHSTPPQ0JuY5xubW1s7X3x/3n7FbtbYd4H - AEQAClGCZeYmSFZ0hKV0nqOGc84555xzzl2kSC5PdteP/Yy3e56oWPy7gyZ2wzA0TQPAzMjArE1o - jd2g83liyGHCyVD21BCbUDTnDIoEiJk9u7d2uJcMkzo6ahDYgYEXDZZKjjEygIHGrJILEWVtPgxR - jDSykTixvskWFdT9N/j4SbuXujogX499pZT6ljPVS3jD8H/n45xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc89T3/cARGQYBgbpuu7UmdOTyaRbn86HYd7PT5058//+3u/+ - 1u/89pMnThlRcDAn8ILP4MbzXNr+b1u91BqI1SIgxO6+555/9x/+/S/8h3//3//A9/3cz/zsj/0P - P8r1MJvtTSYTIfdm87XJJA8JQJQAoRhKKbPZrG3b3A8i0oSQc1bVpmm02JDzh/76g6GJSMg5j9lw - O3B/iQPT2V9UYoypZIOFGOezfrqxPuvnTdf96n/6T1+4++76UEQkq4qwqHlp+htSHkh3Yz9bMdY4 - GgKGk6dPXXfddeTFu20455xzzjl3CRmGYTKZDMPQdV2dZLdt22EYnt+tdV3X9z0FpdirX33Dr/zK - Lx+7+srt7TO1Dh5jjE2jqoD/heScuzSkkru1Kck+JStpomVDC544cfpLd1158kyLmm00A6CqBrFx - D2cCLGambgxrJdvXn/jaJz5x9Vv+yYCwl4cY26LqYbpnFtmVZL0VZs00LRR2RDabi2ElvbkIBhNF - AYOExeTahkYAYsgYMtqArhFIRMqD5uZFe2QvAI5RW1BhsgyZ76th2LpkZDke/AzjaYt4Z70UjGXf - sSi+f+hWUSGMQFRGhUEBrIluzIcQQseAouXM3tbxxx/41Gef3JjKt7zy2Bu+57o3vOHoy471XTtv - mKP05Np0LRUtQ6IhtE2G9SWVlIXsJHbNNMJU1QxFaKoce77uaci4/1GjFjGjas07A3koIVAkTru1 - nFIXI7WkMhzq1mY7O5vR1vrd9TTHAw8+8K4/Pfk3n7khyfr2LktqYRZUFUFqsVYAEAqI7ScZtJ5e - WHay64aEugsURAkgrZRczGACxHaCrEWLwQIaMqjpYH1z8OVhDS2bIQTQYIpAwBAWG3PKNfwsIKEK - MzXQSISipT4tgoSa+C6aw3Ljt8XdHRsV+7+YsPptIZc2zy4jdG9+8pOfufuee+z97zv24z983Q// - YErDbmxKN5mb7u3udhLXQ9PN8sY8IenXf+P3d2/79KvWpgo0AX1Cszj/YoBxPEjQ0KqVnV0YxkVM - itauz/6TWRYLdfmZQcYzKTACAhSznPN8jtYgEamgloxjs0hCL/LhJBiQMkQAICuEaJpgZpr1H+Dl - eb3jdScjY4MZKZeGkBCslJxNFmHkBHQMYEg6qKFlZGyQx92SwcxqDB2hlrl1uZQWcWVCCTPAwBov - t8UPq8UoY+a53rdlEh5IZby3gvpTwrPOXV0AZmgB25tf3XWPfeHOR37zN6//1//LTgG7dqsva5PJ - 9t72NLTT6fTk1pm1QxtlSBf0/lxQynrgECzPBy2elW3bllIEAATG+SznxCDIWDxhDYvnrAAAhVbU - VK3UNRQIEZknrU+BUkCDBJCEGQqURlueIhWj4rmfiJQAtXH3R0IENEBQ8ni7FESiEGbIGW3dH5su - fiuCIRRp4xTgMAyzfq/FdL1taZ3lAbyAG5wBRWBE4djtxvIuKawMCoRAlZgsDWYikX1aa9veyt4w - mxROiKnZmpqkcuazn/ncn/+X+We+8PLT26/oB2qeNMg6vkirz3SYyXjsEMX+XgzUxZL3V8SXnsXK - rXtdCDANTUqpkybN5l3Tzku/neeXH97EyZNHEU7/7u+Hu79yuWlrKk0zpL7m3OsLziwwoC3izSfn - nHPOOeeDHA/ZAAAgAElEQVTcpc7M4OfB3d/DfD5vmgZQicw5d9O2JC0lNyGqse8z1xpYNJM05DAN - DJaGLEG6SbCk2VJkDm2wc08auZcqAmKiWI7KwWgKBdUEQlFYn4cck6qqmREZJpOWUUsa1DSaWjRG - qeOKtjqgSvXBPfdNw9PdzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 9zyJxLZt+76nBFNNJbdra6Fpdubznb29t//Kr77jHe+Y50RSAQjHWd6XVvPFTzed2ws2b/t5Zooz - giIAtCgUgaJQGj728U985La/ueLI5k/91E/9y3/x1ltvvTWE0Eyn875vQqh3jMKcixGT9bXcDyEE - M1NVERERBhn6Ybqx8Qfvetf23rYtJ4RSqyGNs+8U7QJnEZ4PiQGqpRQRQRCFSYz3/d39H/ubT+gy - bAMWwIx6ET6Ai4kt/lc/WE7NbmP2gARKsdoZ0rrwS3mx7q1zzjnnnHPfTLquq5cxjtOQpvScO3Ak - QwhW8tD3BKLgyNEjb3/726+//vqtra21rhOR2WxGNSNNSZ+x3Dl3KTBAUWhRC8Rk0mGy1x8d9IkP - f9xOnOgMEjmUbHUeZ7MAwMSoSsjYVSUAKlqxtb29r3/gYzf8wJvLkcMphkDURqs7HyUsqiokhGnT - rKUyM+kRs2qo0VoThSyKwVBC2KSsBGNhIIslgAEkY7J+CoZmmuZ7GcMEaElbiXdeDJf2XH+KqnVc - GRACB+OjNb89Zr1NVgKZ+5ZLb1yGK9lbAMGghBFJoItiM4EABAXH+wwFjALkRoyWS8lCsmnWgoim - 9Z00fO6O+Rfu/NJv/W5z7dXXvem7D7/5TXjFtdbGp3LKzbQFKEKjwSZhyknMRdVsDpgpKBLEqDnn - GOozyz0N5ZhiTYIcxjUbFKIybRpT5iE3k2aAJpRc5q2wzOZXwDaT4u8efvRP3/3YbbcdPbNzYxpk - niiYxICipSBAxEShJSiAYKCVmtoFUOvIMBFDTcnbIusdm1jSkLTUGHMEGIAos34+IUJLFOSSaYCE - NtDK2RuwEeTKMHCN0NuYWW5ig1KKFqBujQRZyL7kIAwhwpBKRka9A7a42eWl1HiJyeIWahl8FFrV - AhS9vJVpv/fU7Z977J57H/6jP/uWf/ojR77r23HD9dY2hSEPagOmGnDX/V/+1V+f3vfgd60fmW09 - sU4Mc0xaII0rCFQxiNUetHYmp06fhkg9bwKADFBbiVws2yoCQzAxQqlioFFMpUCySJzEdl13z1AI - aYsO9RmZBgRQKAQMVEMppcAmYQJVQNsgMCtDIRAZx8b1hdq/LVYrIFZPA9TFjgiYKRWGuiVFhMCc - u7a1fpatkNLEWFQt92FMbFuNJo+VEQWJMfa8+nsNAJoYi2VVVQUJkiQIDFa7wgwwkqKmCgWCSAiy - 2DmK5qywgEjohVw+QkFWlcAuDceeOPnIX/zVkVfd8LJ/8qNfm82nhw/P+1lsogTbG7bb9Wk/ZOE3 - wf5QjYutnFCgiOU85KEc7qaHZQrYVNdZpsEaaq80OedsabYhMEhoBAYrVooZctbpdJJSGnIJRNNI - UU3ZCDRYLrf61FBFqHsBjrf9jdeXQVJR43JPAkGEIeXShs5U1dRUAIiyo3UsVsrywdYPggEI8/kc - Vg634UhDLUMoEiyXUjTEciH/ZF4tdsPA2u02gMoYAPTIiZpbZCFLmRb2s1lYm0zX1zibHU6pffIU - 7rnvvj/443z/vUe2Tx8xa4b5tA2Qbm+vrzcSzYLVB60gFFZIowQVQgWFy5aZeUrqkiEGpdJWd0Ei - Biqo1ljMw7wJoUQbtHSb02Hr1E1xsveevzz+/o8c3d5pQlBRpBxAmIFoFCQykQVR61PDOeecc845 - 55z75jcOiqxcUz8OMapZzrkO7+71e400jGGmSkoW0aZF24V2zUqkxKJpd8BkzciSoRJDCvOEuae7 - 3SqaCFD2two1KliyZoqQQUSapothEtiGMNtLu6ElaCqIzCZEapIkYzEWhQjAOsJo4uFut2Rmdim/ - /8HT3c4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOfc85ZyHYVhfX+9T - MpJN1FxO7mz91u/89q+94zeOP/kEAAMEZoAWq3UJ4BtHuWUl2nAB1Ql0asfAoDACCmSzGOTEma1f - e+dv/9Z//p3Xv/71b33rW3/yJ94ybbtcshU1s0C2bRvAfhhCEATJ80FiaELTp4GFTdfe9eW7/+Zv - P1EfCEUMhuV8PSt9Cjs3KXNxqEWNYlrM2rbd2dvbPHL4D//4j2Y5NU1MKRsQWCNPl/JERP9gFs0N - YIxg1CsNKGo13EGChmI6DEMXwvlvyznnnHPOOfdskcw5xxhLKTFGrV2758jMVLMtglsbGxu//Mtv - f8233jyb7ZoZydls1ratiKSU6jUv9ONwzrkLgApBn3stsWmaRvJkmOGpnQc/9OEbKZNGslouJgCj - oNRxi7HjqIRQzQSEEqJ2RWyf/PJ9uOOu9k2vl8nEcm4kZqqPGp2PAYPmmo6el3Sqn51s4umrLjcz - CzOlwmKNvxpBZAMyCGUwdOQUoSmlTRZKQe431o9imPfzvY6hCSw5hwArClsmfS+KSyXEnv2lLH+0 - drXtYNOUBoBjk9TkuaUaapG0DkSv9NGDoTY4YQIUoI7ZKVCa+t1EURt0KAkB2CSm7aTv50YZ+p1H - 7r/78T/+3WPf/e03/uAPXfnGN+PQZdbEXeFeHJKEzAyZGCWXQgaSgKlZCEFEAf7DjItfompFe5Fj - F9TArCHl1LZtpKglYWrFuqiHUtrIxFePP/Tev3z8k586fPzJ67e3Lye0TyIIoS54AygSzJgsj3Vs - Hcdssdjd1a2s/m91h6Yp11o3YSTNLBdo0WkDKCyNJx8UUBZQ5oFGqhkgJI0BQmNYCcwrtA4PU6BQ - C0GihRgYDFJMVa2UaTcpmlUzwRhJMytQw8FXn2LLsMQBY1oX1GFAE2CCMuTpkF8GXpG2dofhnl9/ - 5+yqy47c+prrXnPLZVddE7u1U8efvP/2Lw63f+nq7fmR3b2gswkgG51s98OAluP6qFXuGu2loTHr - t7Yg430wMyHNdOUs0dl3j1AxAComBMREpXk45UePHNkynlBYiEPOFBNBtEAzzaaaA2MXpDGGpH0u - 0VJjIRQl1GpVnFgcjS7U/u3Ag6Gubi4FCFakaaRY0lI0ZyD0syghx9gTPVnamEWyqoIQkQARIako - qqpjQ/7cRIiqqlmkQQIaCQKaGUtuyKjaZuuKNmY0BqqYJdVozFYMaBgIEaiAeqH3/6qsz7dSroDN - t7a+9Du/94YrrrzmTf/o0dmMUYqVIfciUkox4zlbxyWmHo8UIiaFUGrdILrYtPr/s3en0ZJdV53g - /3ufc+6NePHGzJeDUpJly7Jl2ZbBBuOhGGzMsNqUgQabwRRFLeguWMCiutbqqlVNQfdquoZFQTfV - Bbiq2gztqgYbaDB4kgfaeMDG8oBHWZZlWbLGTOX4hhjuPWfv3R/ujciXypSsFJKttPbvw9NTZMSN - O5x77o194p1/CEYzkbQ73l5bvXt5eTydcAggNeoHD3V+rAMRmXIpJCUh1FVM4AiazdqKYmICzESL - ggj1MJVZZgPZ3lHWvU3/4R0v0iqhKBhgqkR0JqqIVI+2TXMVJFDpOxglIgQUmXUrrwQhMBAUTai2 - RquHqqq2wgRBKQKQUUj62B9fmuegs4HmY7KqqmwIbIGZiUkCoYpcF1qKTDvjYW7rZjr51KdvefMN - Zz5049WNbDRNkrZb32YmDKlCzBYAJi39ADPUCCAFMSDKYJvfXXQ9pF/bLym855Jq8xODDNbmqq6z - mUXKWgJbbPPBYrj9ize9/o8Pn9rajIksZ0WExlipNF3zA0GCAnv6Wuecc84555y7FJw/3O2D4O5R - IZBQBQD1Ur29u42AVloChRQ4xUnZaa2IqYg1TeY61ElDCDmXEFCnhJmptl/tjXCPO92AkXBXmAVD - lTRwP+gXKLIGbc2mZoGrpMQmihBRM3M2VpDJoqw6L+/zvCzvBb4nusXlz6O7nXPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz7oloabBEzLu7uwIbra0eP3niAx/80C/+8i8d - vf/YpGmXhkuz2UxNmaOKdrOUP8SE1P3M1wAe8RRHFzUZDiHEKCKL1GkzIwYzEdCKAoiEIvbBD33k - ox/7+L/5N//mH/34P3z1q1991ZVP2t3eGS0vz2azna3tyw4eapumyZlCSFWtqhxTjHHczP6P3/iN - E9unA4fSJcMxUYxWymIVFrE5CjAp2fm5C19NWkRZiVi6vRHjiROn/t8/+TMCSikAiEhVOQYVAdPj - NYL88aLLSVnMXNgHedMD220gTqmuqgoiX9H1c84555xz7mtRN4F4jLGbR7WUUlVVl659UcshqClS - TLnklOhXfuVXXvziF+/sbq2srJTS5pxVNcY4mUyYuQsIf2w2yDnnHmXGxEZMkVVkd7wmah/8YH3v - fWnWohgZEhCqaGZqSqB5TiMAgKCkgmCECBnmfOjU7ol3/dXmC59HKFQQEisgnuPwIJTAVQQHCUEC - IYX6Bc87wNVoOkFtShqMg3JXVCKYss5knNjyzmTrznuO33Evjp0e7jQr42a/LW2PdwbQmgdFZ1rA - jFmxyH2C7OOHEdQe1k8AMGajLnfz7BLmv8xbFs9zvVXpnDTg7lV7i5aMPr+TDNaFc3dxnoYwj5mn - s0vvYzfn0cNoMgIocggRQ4iYdRW/tpnVETC18fRJCVdWcfKxj3/xQx9vVv/4Sd/8bZsve9HydU8d - HVg9nkyIM7XSGiPEGCLHbKWUDOMUA+TxdbAeh9g4KAh94TQoYKgGVZOzWkFpVutQ52mVm9Gpbbzz - g3e+8a35rvueVPKgbYamIlJ3qd1Fu+BuYyokoD7bFQYDhFAYAKIiKHd5DwBA/ft2dfwMpJCYyDSr - GjMiEcysoBgIiAFKnIuqItfpdBpMYzImI1agGApZARUVI6LAzGCOXYB3QEm5GZrWgtSWqtWhYRhi - TSk3DUO76PKuhBwYIcHynv2EoGDuAs4BQIGuP9bFGVIxEJJKFiAGcAxcStnauZwQmzK788SJt713 - PFgpatNps8KJd6cHh8OZblOMiWm206TIEIMxYEGVqD+b+qxchUxnMASGwEw1clicWZ3+TOwuK11n - RcJGSgC4Den4cDj6rm+/7EmXL2eJisIMIBECcaMFgGUrzSzv7OwePb575z129PjKeLoybYbTSWXN - kJAqQNFm5XCRQ0gXifduTvcIgQxmCAQCtORs0ABUoeKKJUyK7gbaHVRbdZitLA8vv2zjyitHm5sW - 61DVIaYQkoLNTKyExIudBoBgwcAGbQtK1jaXWTPbGe9sbe+cPiW7W3r/fStNu9zqaqPLjVQQAIYS - QsqkrZgaYKWKIagVbS8QC/6oUkUVeCYaUhi37Srx4dvuvv0/vu6pG5sHn/7UM4mmkS2EpkgMFGOE - lC+/0Mcz6j4QsgFGDIAhQUGljRSLyqRO4dDGlT/xyn1nzqyptFIMEIYQC0H6l+h0aye22c7s7Nx9 - dHL30XLqTDWT1TZvpmqgRYsp2gikCDHsTHIVYYZg2p9Pi2CZizy+0oIJaihoGamKK7shnSC5P7Ec - WqsvPzQ8fGCwvjJYHg0Gg6qqAg+MWMFCKAEAokCJl4zl+medTtW0KIUA4gzAhMH8GJ+PAIKC7Oxt - gDKo4lnJMKk4kYrNJqxWxarWONieDLfG+NSnbnvTn5/+zGf2S3u5SSqZ1LKCCDFy5H5UL1oh7frY - fgeTKUPYVBkGdLdvNr9BSWD2dJ9LB0EBsHF3MeoeZANzUtW4PNye7A6GMbZ5sNMuC9/3e/919Ut3 - DSXP2rw8rHNuOARFxrzHZkMlAPQxbfbOOeecc84559zjiy2q0zBSAF3FiogAK5KLSJZMAQo1LhaQ - ranTam6nZlm1JCaLSUViiGWmKAgRIi3amDj52KPba1Gcx6K9wQAQkWQl40Ax5qoqw4EuR0IrjUqD - YjEiwspUohkajcrdONSe2iqU4FWdJyYiOv+rZR7d7ZxzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc09Es9kMRAi8PFr6zM2f/Z/+5S++9wMfbEXUAMJ4NgHAHLNoClFhomez - I/oQlfOmr1nkozzmE9sYJBcwxcAhBBEppctWMwKYoYpiCIEIaHO5+95j/+pXf+3X//1vfPd3fddP - /IMff/43fOP66tq+zf07swnUFDYcDovIzu7O6vra1s722975jj9/85sUgBkFhgrMTPv88kVCeQCU - YKQ6j3Z4/Agh5JyrQVKzSTNb3bfxtre/48577mai1vo5rdS0iwchIvNouofhglM2URcwZF0QgpY2 - i0g8P9bbOeecc845d/Hath2NRszcfeQrpTyyqVSrKrZtBvDLv/zL3/EdLyvSLi0tTSa7AKoQB4NB - zjnnvLKyknPuksKdc+5xTgEzCzFaIRarAYjd8o53HmxyyKoG7qbQF1VRA2JIJmLGe9OgjRjGxCiz - 5nAcfPEjn9g8cXowHOaQMkTpMc4CvcTlnI2LahARxLB61VUr6weHjDG3SloJyJit24cEyIgashKL - XQFCqDBucevtuOW2ez/woVM33zKczg4MAo21shwrlol8lTfvQsge7s/++fOfD37xVvThmA91fd8z - Zf/859kda2wgY0Bt8X4XUodKhYq2pn0NmQEzxAQpMMMwAgrZKiPD/op2T5w8/uY3f/7976qf/Ywn - f/dLD77gG3V947RmXl5rwbOSC9pYJaaguXhu90MzsPZB1BqU5jEJrIARtXm2f2MljHN16vSqGu6+ - 87Ove72++4NParXOYpoHHNHlplLQkg3EYGIupCJChBhBBQCE9jQA4y7xlvY0IaBvkSmywaSUAiMi - ijGbTbXwYDgjCHMOaUImMS7v2xgcPLx25ZNWV0bLq+uD/RtY38DyCIMhqojBEDCIQAraFm2LNqNk - nDmOrTO7x05M7z+RT22dOXXm3hPH89bOaHklaB5Aalgqwq0EQSr9as9Pnz29dP+7okvEnq9/owhZ - iEIMqmrSFALW6rhmVramkQKYpzvHCai4Vp3FUKHZSVWYtqUGBgOezLQONUQJajgn+pnAULFc0DbA - iIgExiCzLkLD+lXbe4gJZDp/XI1YESYxrV77tI0rjiyHaEatUWCuRGAyrEIxpYJUJBFBgGnGeIwv - 3Zk/8Yl7Pvax5p67h+PdQTOtFYMIswc7sx9bBnCEZTQAAkoMM5WxteM4kvWN1adccc3zn8vPvR5X - XoFhAgUlFk4UIjgQEpiUYIBoY3S2k2QYGRggMTYFGNRlBSuKIDfYPYM772xvuvn+T9+ydfvdOHO6 - VlmCcNtEWDWoghWdqYiAIUB4jPdDYiqigaFFAqMyXFHs7k9//tbf+69P+x9+/uDhzaNMTUqNTpeH - dTOZMl/S9w9KZgFEBgMrzfN6SUkRK2qKzQJJVa+96BtWtVSqEcEIClaGEHcHnYBNZp41cZZBCco4 - fgI33XL8ppvv++iN999/fKXhfTyMpaUyI7Y6QecnP3EfJ7M3tObhSwlFUOrUxOpk1p1E69c+9Yqv - u/6al3wL1kfYWMFSDTaYmhmFqBJgDLAQcoASooINa/WgSXESAVBkMoOAQJEf43QTnfdIZAgGAEJQ - oEDjIIqUdjIeGR2sRoNsvDOhPB5/8MZPv/Ud+TM3XzYZH24bzu0gggImgrrmENLOpAnAUqqmua0J - gFH/PvO2akxQtj7LR7C4mlzSjfmJh9S6y5Mp0KV3IygrgYNlkhmpDJjIBo0cTKuzN/7Zyfe//4rp - OAkA2ExqpmzFFAn9hY7mfezj6msAzjnnnHPOOefcVwUHarMCGK0stW0bQjWdTodLtWrOs8xMw7gk - slvrsMpDNlUCx9DmvBQCC2EWalkeYZ3UI2jdA+h8/JoXQySqamJ1qGBa8mwgw6EtjcftkGqwqDUJ - QbKmNozqfQNbjqVOksjUCF2RVkm9vvcEZ2bdF8CISFU9uts555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOOeeeiGKMRWQwWPoPv/WaX/31Xzu9uyNdggUhVJW0hVPSNgMABSnN - 2cCLLhvCHiRzhWD2oPkoD2o++fVD/vs5QiAREzUpSoTAfayyGQiBydRUZJ4BwuAQxk1545vf9qY3 - v+2yQ4e+7++/4lWvfOXTr3na+spqKaVpS0ppbX3f0eP3v+51r/vXv/arAhggprzIbFMF8/kpLN00 - 7o9DMUaoESGEMN7Zef0f/CEANQPAxGoGhG6vUT/7vXtwptgb+7L3XwyBCWZBAYCZA/GFU76dc845 - 55xzF8PMVldXSykAuvRuIiKiRzCbatsWAL/wCz/76le/uq6rnd0tZh4Nhl0WeNu2RDQajWKsxuNp - XadHf2Occ+6xoapqmkxHiOWWW3c+f+tl08kw1KwKK2qqYgYQsRFs73TnBBiCMhmHEBQSTGjrzH3v - +dDBH/j+Mxs8NqWzAcnugRg6TKmYgCAEa7Maz1JsCLPEShp0sbuZjcmI8qCqUhu4KU3RHIaDet/1 - S897+pEf+q4jR4/d+xdv/cTb33lI4iGJeTxZesi860sC7Ykf7spquqf+uwgl7arMhAuVWK1/bLEg - my+HTYOdzVC0vbHHe5ZjYAWEwQY2BdQAMJiZrTt9AAUzKXErChCDCIy2DHhyZaj2bben3v/huz96 - 89Z11z35u79j/7d+s2wdy5sbQjKTEtMwBc6lENiI/Hx5MEowIgDBmNBnPBvBSCftpKp4duLk5aZx - rLt/9uYvvvGtaydPH6RQoTSahzXntpSC4dJwOpkOEAggZhCCaQXAwAKzAMRgINXQZYJD2R6YKG8E - KIMUompKQIyxCbRLNI3VZLB6XCxcdmjjaddsXveMy695anXkMqysIQXEgECgACYFFbVsVlTBgciI - iEGLrFkyZUBLqVWWAyMEtA1OHM8nj5/+0pfOfOG2+26+xe69f33S7GMst4WkkLUEZQKZEsAkNI+W - RR+MPT9rFEaoq1hEVJRBzLGYZBgKoAgUWyskMkhBVYs0VcVNHhsjGqoILeCsKdZtQY0IFDIx6oPP - g3Tnq6kWTKddqsH8JvzBjjB3x5RNyTiYQhkMUNzREpeWNVSi1hhFDlXbkpVpKkShipyKVYgMKiPR - fSO6anPp713/5MkrcesXj/7l++5+74dG951+UlVhciZZfozaJwDqT14F5sMABhgECJpAFgNJXU3b - Zgpqjlw2fOlLrnrpS8Kzr4PmcW5oddmGS7tNE0JSI5ipGaBK894J9Tmdep9BghACVEyExMiMgUiJ - qcLm8uDqK6qXvOiK3SnuvOvkJz559MMfPfq5zx+cDtOJU2sidR0z2mwICQhAeWxHqSgk0bZmaovV - VYBRhl6Wwhf+8q9nm4cHP/lj1f6VLYTR2uZ06/SAg1zKV7BgYAOZwSAEgI0ACIBAprlwSK1aCYzl - ldMQSCENZNwd7EVLYmBqWi0Pw0itmcVSqidtpqsOHvjOFxzQHz1+wzvve9f7t2+9a+PEZD+4otCW - NgQoUAggROU+393AeOC45ENrM3JM9zOfWF9ae8Hzrv9vvpOf/WzUwx2jEmriSokKzAgUGIGQpXuh - MDKTEioBGaCa26YUpMCRWECJQ4xRc/to7vFzKaFwv+FE/VCbMoQx01IhJuJaeF+hwW7GvSfxhVs+ - 84bfpS/dXu/ODiGOsg6MAlez3FLGIHJuNVuzMogQlNwOY7AiIO0P67ylKpiN1cAKJURa7HNl44se - 9XZfJTq/JwQDBgPIWInIrDCagC3kaqnS8Ww9A5+97TNv+PPLZ+PQ5CHXFJZamdUxNbmtYnfSc3ef - 2l3jnHPOOeecc+6SQ+QfaN2jrIiIyOra8ukzZ4go1EFZtUhFNVtKTY0Q2jy6bvP69WYwww4shJDa - LGlQW7E4SoO8Uu9spuX6q70p7nHl7DDgnt8RKDJzhbptGwp8aO3Q0+2ZO3K6ltWAUGhW1dy2LSHV - 1fqBdEWVl2MZAkVYmIuwGKH/249Lt2DtHlUe3e2cc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHNfC2w+zRo95Kwy/dOMBHZqe+dnfvzH3/P+97WmAnAIogJA2hZgLQVAiDGX - Bnumm+8WcG7MwDzQe/G/F++icrsBqBgTmMnMzKB7Js1WVUOXSE3MLCqiEJUYWUTFcPT+Y//pd3/n - P/3u72wsrzz/+c+/9tpr11ZXt3d2Pn/LLR+68cbt8W4IIYswQwEVA0AxWilQ7bLJFQhnV4zpIufN - f6yRQUsZDAa70ynHUFXVLbd94V3veTe6wACGqAIgJjMjZhX5aq/ypYqYARXpUu8RfMZD55xzzjnn - Hj1VVYkI9uR2yyP68EIEZrziFd/zMz/z02Y6me4yc1VVTdPEGImImUMIZra7uzsYDMz8I5Jz7quH - FMb9z8Vj3X+MQWp9/rECYGZTY7KB2ujM7i3ves8+K3XJpF2tygxggEMyQlvahASA7WyCcjAAaCVX - Va1ts2HVF97yjste9p3Vet1CIqUAJePuJYu3TspAl2cJdPnUpArwEym3mAy5tJJLSDFx7HZOTlEI - bRBFCGTok3eJjYNxFYZj1SLWxoFUA+ECWMpxlPPqFYeO/OxPHfmOl9z9x39++/s+dLi1ysClBIiR - 4mzJl7sgSwDzyev5vN8fLgMB6JbWJQJTnza+d2b8B13mA2q2F6qI9QtZ5G0/6Av2Bm/vfQvSxQvt - 7Jp0q9w1vEW5e/Ei3rNo7v/JAGjWEgghsIFUYGYMjkQARFWhxAxjMzJwAIJlm7Y1sBEH053p8Rv/ - 9gI8RmsAACAASURBVNO33iZ/8eav+75XDF7w3CNX7D9teaLUqKlZXY3adrFW3fnHSoxzQsp1sVFf - EyHffds72yMZK539Ceh8q7sN5+55SfuYZGGAdMi60uZ9UuyTN33ktb8fP/vFq6cYzqaEtoUOKspZ - Dagj8mQ65AiFQotmBQKDI8igAgJ3hfrQp9zO2/CiOfTJ7qxEQgEhzghtDJMqniJr1lYOXfesq59z - /XXXfz02N7GxjhB2Am8NqiaFbBphMO3i3o2DMhFHIjo7y7/Z4lgTkZiGEABVyVCJw1SvLw2uvnLl - +mceVENTcPQkPnXzsY9+8o6bb5NTJ5alWSrtUHItJZoEm68wnV3zftADgFnbFmbQfPsCBzU1ChxU - RBMFYiv9jTSarEQAIWcMa7QFIjBIpABTXGB0Qw2Amk4mQdQqIyKdRxqQkZIxQKZk3ZHVeYOYN2xS - AhsgMSpRVitAJqpDsJQAapgpACEUskZIGDmGHOKMZMDDpdFg3+g5h5/+7MPf84PjG9772bffsJ/y - ejsdZq30nD6q6xn+7hX5vledL4iVCQSgYgOZmDVIZzieXKmveO5zrvrhV+K5X7dTpcKQatDy2syK - qIbhEtQYMDMyM+pC65UQoP2p0e9eCl3fJSIcKMSaCASoKqkVQGMUkSBleWN53/qz9j/9Kfu//SU4 - cfrUW99+9Ma/PXXvvftNUiUDM2YqjQTqulDG3u6QdHHt2Htwz3vkyyulrQNDNQFtKwSEkMpk56pQ - 3fGmtzzpskObr/q+pqbTk+lyqBbjiIvued4nnNMT9uvxuOwMyUBGBjB0HutOMOYYci5MpDCiMCtt - ZmKOzLG7WSIDL+7iSM2sCSCFDupAdUxsopa5Mhx41fceeMl32Nvf+/k/fVNzz32XhWjjrWiFaX7V - 6uO/u5uJsGcQeLGOmF+99x5oajnmwcqdlsOzn/b8H/pefNs3jUfV/SCMVlQCWWQNZqbERmpmgMXU - j3IKoTAboRCCITCsSCTjQKRkKiYmahc7CDZv+czW33kq6UO0ASPuR3kBgs63XFeGddneWm11P9c4 - dnr2gY98+t0fGN/0yafL1upsNygHaChGgIEGiIgsJafIgM5mJQIxkBah8+5A5qcNdd04W3edOts3 - XsJxLk88Rt0pDKA7jsTKRqqBG2s5BptMVya5avTzr/2djaPHV9q8HFCkYVQVxVJKFRF9pNc555xz - zjn3tcM/1LpHovt83Q92AOgHPxCYM9tW3n79W163fGDpqdc++ZprrsmZBnlYDUZNOwbCqBq97EUv - p6WpoGGrYqyatuVUzWaz5WpVxrwSVqnlPQvea1HY74aH5mUvDRdYy8WI6jmvPDsu4y4VthjsA9Fi - ZASsYqaqXERkbWXt+de/+PrlZxZuBmUDymJNqFBKAUcptIJ9YafC+cOR/YDC47EQ7b5iur/ssO4v - ji5ZHt3tnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzwDwDpvuVCWSK - +XzjMcadnZ3R8rKZTZu2GgwAalU+/LG//cc/93N33X1XqmppmxBjKQWLl0G7SduktBd4u+4/ZzNQ - GGY4O51NCJEkl8VMNwDOCbHoXk1ERNplSBORoZuJPXCfIG5AjLGU+XK6YApmACYKwAwiF5hDZz6j - OxSmejZxrZR+bq4yf9GJ3Z0b/urdN/zVu3k+M1P3jCxigO1JrLBSzokn3xNWHhQMfujE9K+8EEk0 - KzTVg0bkdW/4gzLfusV2mRYAZnpuEru7gHMzgOZzeBkIQUVpz7ReVVVlKRVdaKo455xzzjnn3Jej - ql1Q9yK3u3sQ80+XD+LsTLsppVKKmVRV1bYtADO84PnP/dV/+69TiqqSmMxgJXdvhC771gxAjOy5 - 3c65ryIlBVkwhdk80ZDZELQrPbEyMquRGhlBowYrypGXpi2Ontn+6xsPjXdjAnKGQedBtibZwAln - ixV70ruLgoXRIkdCPW0O33cCH/ib+uC30eqASLVwXRAQJFJLltEGs6gg6wJZEZRBKmQGKPTxmcH5 - WDAwCFxV1hUbmQGomRJgzIuE5nkmpRBmUGaANJCaKhTBELUqFneHwzM2W33WM674F/905Rk33P7a - P07b05FMAtQCSkEdAUWrmsLAJIOEFhXQeZ4n7a1mfvn1D4qKyMRmqa7aLEUxRCKYYsYAAswA1S7S - mwhmsmfCfDKCqqkhRTYzCBmMAAIREYhgAda15i6HlIgNRAaF9rVkAoigCjub+6tEfQgtGRR94ZlI - A0c2UgX3bwQlqMEIBKQUIdRKjkgAL+LCGcpqIO1LzKLda/sAZOvflahLKxYA1FVLrS8JqrQc8kbg - 1e3SfO7Mlz5/a3r6U6/8hz+48Q3PjGn9dJ0spHHTprCcc64iGay0eWl5+fRuM6iHUCJDMEGfVGpG - 80iJSxiTBQDCaqR9OjrUiNWCGasxQ4MKQTWIkBRpU0oVBZ0WQuAqbbeTpUSr08nGeLL1R3/6uTf8 - 6YGdySELOhszqhICgaQYd3tKEOcFbQICAYTCABANAVBkIDMlAGIaQEZxZmXYHUUCDEVBUIux4Wo3 - DE7E2G6urXz9M6/51heuPOeZ2LfZhjTua71ciNrAmZBNhQEzAiEEQnd+QE323pwuskCMuuYO1W7A - JVAgAWawFqA61ESpljAYDa+66tArXr65u8vHTt79rr86+uGP2O1fOijNRp7VpY0BVgAgUIRGdJkV - JiApZrELpwUAMy1ECAS1BgYimMF0Hq5OCAQALABBW6R+lyjsbIYFaf80ggFgSqykZ05vBj4xa4xJ - A1uwYGykAhKywIUMZBXvSew2gnTd4WK3dLfZBCZAcyEFKIBVUMyY+1cbwMpDAitlq85UMUcMrrs6 - XnHoG77/2//2P/zG7k2fe8qO6uTUMAaoFgVz15sEtm61uz6wu9jZ3tjdhzbP2O4SphH6wbYKKKrZ - VGO1vCO4Z3X9yf/tK/b/8A/I8mgrxVlkJRhIAOIUARWwzeNiqcuzh1rA/EIwbySs8+BlJoYpjKzv - rwhETFzAFDhSUNEtxTgth0NL9YGD+5721H3j8am/et9n/+JtgzvuOtyUtelsYA1MKaItWnGiuppM - xwCW6qCtUJ9kz4azWTsXdb1AdzOhfVBOAoTRUkbkStt9u7v3/OEfPW1z4+B3vsg29s3GQEwABVLL - M2Zu2IAUq2GZ5gADwagIibHC4vxW5+LW5zFlYCHFnkz67vbGSBtTxKhWIrOWPODu9qw71v3lWDHf - z6Sg7vrFIAggBUDgOGy4vnfWHLpsPfzg91773Gv/+rdf295096FpibQNsSqiFMQAgzLF0nc0xN0V - ygCwgRWwCDWJAFO3cDVwrle+sLQ++KZvuPbnfhxP2bzbps1oNB3nVdQxC2CFtQQIZ6Br7TofEAYb - omp3VQUgCmIydCO5BO46iYsbTewC7AGGMRlFAWAllMympMzRslSxbpsSQijShhjNYsliZIBpaUPg - mKJJi/HkimD16dP5XX9951+8E3cdu9zUJjvLXIIFNmM16oPM2WBWlEAoAKHuVkZtMR76gFFdJqUL - hNy7Sw8ZqM9fB4NgTMYGaKR21g5KvZ/Swd3xmT95Y/nUjYenW7WpKQIYKDAwKUu/nHO/O+Ccc845 - 55xzlxgzxBjNDGZMZKaLAfE9/FOPe1BGSn3ziAC6T82aSxzQbrV9rLrzw/d89p3Hx6s3rV+578nf - 8vSXHh5dtbHvcNRBaTTpME2Wa45alIiWYGWqIyayZByk1WRMgBqZAcwhBDMrpXDo2qSCBFDrPqUb - KdWL1Fru/z6iH0vFvMw9b9xdPZQ9vftSYejGFLo/f1lU0bV7BAHZGq4wm7aDsBJ3K5CSpr77mhn6 - QVIKEgEtodG+PItFEPgTZ/Da7aWqIYTuW2fd5S+E0H1D7GItlrP4Elr3l01fYR7d7ZxzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc2cZPXCqcQCllJXV1el0GlKMVWWEpmne - fMPb//kv/dKxkyeYuajYPAhtkVt2vsWjD8jtphitz/wmKBGzmUku82fb4rkMUlgKUUxN1eZp3zSf - IZ1AButyu2OICuvSxM1s8Xam/ZxKex67+B113iOy5+dDvcbmuS6LDGf7Kky+83B0kwQtLy+fHu9Y - iq9/wxsoEJk9okmH3IV0+T8iISSokGlFvLG+fuTIkRACzC4yv8A555xzzrknLjPrZk1dPLL39wuj - C3y06z7S5py7j7Bt29Z13TTN9c+65jWv+S1mqJZuMlZVNbMv/y7OOffVMa9DEWAKMBnYWPdUoQhK - BhGtYmQrIxH5y/dunNgaCsT6+NVz+sk9OcF9wLLN34skhGAmCDxQrGzt3Pvu9x75/m+rSilkjAQE - ESnGFBEjk+q8Rgbra2MMCEEZT6x+1fDAqd5lb6XwvInghcwIwZSgZBaASog1VrEaax7X4Uyc7Se7 - 7JXf+/XLBz/1f75m80RzABxYQlzUQkm1j60Oe3KCQVCcfeRhrn6MDKgV5KYtwGi4hBmplZAiLLcC - AImJKKlRVqGqUmhRE9NMZIERE1LanU05RI7JKCisKMwMTLkoAjODiBgwExOFCZsGpopDMIUaqTBR - IsRGkoEQIEKKPj+ZQQQwzKClKMBgAgzKIbAJMRTIBdKWxCFwVAUD1sUzkALGe9JHzq+f73n8ApVT - IxgpGwYiSTOMLaQTn73pb/63Ww+87Fuv+dFXDS8/NB4uNYPRTpnFaqClMdWVtbWd8biqUoisKgEG - 9Km3DNJ52vqlzsis6wn6qNQwr/PvfQ66vms0WpJcJrvjlcESc9w9c+ry1eXlyU64/c5PvPb3m/d8 - 8GrVtVyqMkOqcs5A6PoWXmSszjuuxTINPM8OQUgM1SwZQEIUqJoNlwazyaxiqCATdFRPYnXKZKde - 2njG9c968YvC33s+jhxsKtwXeTdVRakKVVCOCjYoaQkqrEZQ4wec0g8/+aPrKwQQhhFnZoumSZk5 - xihrS/Xq8pVX/cSVP/B9uOmmY+95z20f/0g6c3oduhRtaJybtuq23hRAazYYVDZr9y5fqY+b7vdS - F+zd9/YMgOctcIHOa/DzJ6h1Z5moTaah5ECJOWkXpQLA2LiLzbAuR6V/I/TvtTci5dw9pmf/1Zi7 - TA7a+wTAmIhAPGWdBeKadZhWBvS8f/U/3/nvf+vWt7zv6qUNmp0OilTDCszO/1xgeORjRwC6AO9u - HIi5wkxxKs+Or+5/wc/+NF70/O39G/fzeZ9W+rN77yP9/10wEuTcxsMPeCIBwboQ8S5FnjMjJ4DU - pFkZpEOv/L5vfsm34D0fuOVNN5y65QuHLK2WmTZtBIvKdDpOAfWw2tptR7wnyZywiGS+yOvFBRgB - 0DqlpaZp7rrns//X7z/zqVcsXylLBy47Nm5CCIMqcUyAMlNbWm20ijWMDKa0JzS+D9F5fMVEnXt9 - 1/542d7jpmyLhnfukV/kdp9d2jnPyYRcZHl57c7tdnV5lJ521Tf/r7/4iX/2b8984Y4w3RmpQRAA - AtSQUZiiGp1/whohSwmBTdEKqkiFY6b6ttysfMuLnvo//hMZlNsmk8FVl02aph7WZZaHGg2Qft36 - xPFg52zvnu1arPwDt/EiKRmM1AjWxSb1g7FaTAcplmkzbZuQqjisc5NbFBOKKZAIQZfqOklDO9uD - PAulveOGt9/7zvcObrv78MnJploVINIWIyME7Ts6I/TxPF3vZyD78g3swW4D3KXsnHY7nU4P7d/c - OXF0Iytu+sLNf/gnz6I8VJn35v3RP+9G0VuFc84555xz7pLWfcj5ch9tjPeWMpwD+gp8mI8najcm - ZSCDWmmpaatpu7o9iad38okzx+//1M2fPDy68uorr33Gk5/9tCPP3Fg+YFPd2ZqsLq1rFjYeMJei - RYVIY2QrmWNKXIkh51yKUgwpJdEMLD6fc+gjnbt6dFfOAubFbZ5X4voyNWDkCc2XpEU95mwFds8Y - q807qCApSHrIJanwnt7svGEd5x6BlFLOufulbdsYYymlywL/CvPobuecc84555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnFswOj8uBhBVaZrB0mgym4Etcvjt//if/93//uu7JYcQ - RKQLSHuwxO6zS3+wx0sBkKoKalmzqcYYtZDBFskNDICMDAaoFAMiQbpZlgJBzqYcM8hgOo8SJyCm - VEp5QAxyiFFy8WTkh5BiLbBZ21RV9WdvefOJE6flwQ+i+7tQ1UAEQzF9yUtesra2QvNYeuecc845 - 59zDQUSLiU2JiIhijCLyULOdXuhfVJWZzWQwGE6nU2Y0TXPFlYdf85rX1HWdc15fX9/e3k4pEVFV - VaWUx2aDnHPuEWLjeTJqH7LK/VzzEFKhLicboY/zhAQysyQZO6dve9/718ftEvfZhIqzcd1dzG2X - sknWT3a+SO9mAxlKMYNFphGHz938uSO33L5+7VU7w2FJ0VIqpGZgoyQCtRJsEYYnBJrHIn5tpBE/ - ZnRRuWXrY1P7X1qJRJVVYVTtsA2TrL/0uc+2n/z8b742HT22SkjaH6wIgomdnz79CJJqSbfLeABU - A8CgLbRMWsOAMcsIACfA0BRTgDnYcHC6HVsdKaU28AQ249CkmKt645nX8fIorW2klRUajgbDQRwM - KXFaHhgbEbEKsmjbWJMp52ZnLOPJ9NTWzsmT49NbZTJBkaWS96fJSttEsYqQ1CrrQmaVCDnDgCoC - HKEKVRhUVIFgISaSUMSQIQEIYEC6Nl9YQYiKrnL+YLndD4INyIwuojUYyCCETGWg8clncnvDjZ/9 - wC1Xvfxlaz/y8rKpzaCeqQ65aotNZkUtVGySdy2YGUMpKJNF9BG1eolXD/v8AzLtE46N+mxyE5h1 - h6ALvRYKACbb4xTC+mht1jZN3rly38rwrnvwNx+/9f9+/cqtd1xlYWMwnOaTE6C2DNao3dL6tm3U - H75FFjUISbq3hpE2WRmoUt0FeBMx6nBqMhtGWIS1GId0LC0dW13afNELvvFV348rDraDOAlhxtKG - ylJdc0yIyAZACYUhDCV04SD0wHzoR4iIML/jNTMRISIZDU4tyXDtAB1+4aFvfd6hk2eO/n/vvv0d - 76zvuffgZHxoVMm4TQQDsiHW9ZlZs9rHlrMBSmRghZ6T2z3fV112c3dhuahDbCbNeJJEKRE95PY/ - ip2/mXW7CICqElEIAasjTMuTfv6n1jcO3PGmGy5rdw8kNE3LgAbAQGSMPrycVC82B7rrHxRICu32 - M4MVBGiL2RB3rY+u/4kfwbd+U9m3OomMcH6M8qMpGFJRsrNdFhmSsjA0VdvcZisr+4dr3/3ia5// - zBPv++An//iNV53g5Vk+EFJINGxmomiadqkmtH3qPUgXmfdsZ0+oh2nPk7tk5z4geZLzcFBzw3Tf - iZt/9TXX/dI/2xpUS2tr7RRiEKCUdjQcphAak1hzzllJjQjgKGEeAv9oNqHHPzakULWzbEaoIlVr - COHr//nPf+hf/i/VXbzMVsQY85PWwIH6qOuzB0IJFAwUgkrph4aNd9p8crXa/+IXXvHTP2z740nY - 8mj/ZDzVWTMarqqWbqkMREVUEFAJABSGPGaHoLv5UYORlsAEZagS2LiKsZ02KdT1cDCeTSfT3Sbm - GHmoiqJKPJvOgpaNlHDfsfye93zuz98S7j16JMv+WNXRQsmAIMzbD/UpY10HbvO9DQUbCDy/uXZf - 47rPOEIRxAoDJKkoYRDrvLWzn7g6et+X/vPvXr01nU53BnXSnIM3DOecc84555xz7uEJIRQiVe2+ - y8FMudhO2V47tP/Y7K777rjrw198/+bS4adfcd3XP+0brznyzBNbx0bDtaRVadoIWqkrM2vaaQyh - SJNzJgqBKoqkMNEMqJGSEVsKGklT0KhcSpwKZzaAmIy6QUmAgwHGRuiKygZSAmAMvch6uHPua1k3 - 8GRmjyByu8vtZuacc5fb3S3qUV/JL8uju51zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOeeccw7oogUuNK+6AXVdN7ltS+EYsug//Se/8Adv+CMBqlQpTEQWiWhE9GUDvOfvd/bX - qq7bWZOblubB21YKzYOFmEi7+WkUAEL3kvkMOABI+slrIsesBTAmZqBYvyYl53msd59mATPxfLWH - ZISmZGaOVVTg93/vdQYQiPhhH2L3sHVtkkCA/eiP/qiIBHoiBU0455xzzjn3d9Y0TRetnVIKIYQQ - HnGodgiUs02n07pOTZPrOv3mb/7mwYMHh8OaiE6fPj0ajYhIRJqmCSE8uhvinHOPAuMudxTzUG02 - NYKChWDE1FUCVQ1AitJMlnO7/alPtffcvapGQCAYAOpeCwIbqQFKysYXfE8twgQCoFppWS3llhve - ce01P92KtUEzFIHZCKYsZoAwhNClihrBADJimNqjkmz7tYyggCoZ5pm7BuRSBisrM5lMxplidS8m - zdrSoe/6lv03fuTUW965RCFBwFDtjqqyQalP5wW60HTli9/1q8PUznI7QwioB5i1aACrEg9oou3Y - 0HIwHmWqJ6Cx6drTnjHYt7555PCRI0dw6DA292HfPiyvgAgpoqrAEUwgVmYNaKUoKRExEERYjFRC - F5dgAEcQQww7OzhxBqdP6Bc+N7vvnuN33rN1/1HamaTcpiIp5yVYxTogKqaaS1dOTgFBjEAwgyJy - n8wtBYEUXeA9Q/usTgRcXO5yn/vYnzEcbJ73SaqKpRiXM2ynGY9P3PWHf7r18Ruf85M/cuWLXrCd - dCpSLa3silTVQGVKZEABQTgaOCijq5Qb+ojRS5gaGRkxQBq6FqgEIQVpVDAgxELcpcqmxKzStu1A - 9YBieOc9d/6X12+/630H7jx20EhQyu50mFaAdpYbABX1+9wwzxvuYmv7fozmXRwBZsaDOpScJ7lJ - QKorlTJpS71EY7XTdb2deLJ//+Uv/fZnveJ78JSrjje77eZoSkoUElcmyK3AKEYyYgUKw6g7W/UR - 5b4/lG4shpnNrLvplYCW6GhuB/tWoiKmdOQf/Njhb3/p5N3vufXtb9m6997NwEszrQRViLtNs7a0 - JLMJ99HO89Dark9BfyC423d9UC+wyP59GLolBqLZ7qQW49QP6Jxf9X7UG3EX183MixwFVZ3A7o3x - wL6V1R/7Qdxxx+zG2eTMyaUqlFbYoGR9l/p3e+v5NZdz6C6aBkIMOAkMX/i89Kq/P6vr46QSrBSh - EB9Br/vw7c3t7jauSwuPqd4ubY5RhymnuL463Nx8+cue99ybf/P3dm/5Yjx1cmk2qwlVhXELZkt9 - QzA9e/B4vsyL0B17Mp6fjxxUlZAVJTdDHqzsjptPf/7Y77zu0L/472ZBYtonIAvBhEspVYygMsu7 - RMEIAHe7mgwMVnrC5eiwgTnFQRznZmxty7b/6Vc84wdffuK3f9c0G1qgy6QHrBtLPf/kM/RjsiDq - 7ifCNIWtQ/uu+Uc/hKs3Pzc9M1pflbZolgP1Sh63VYymfXe66Na6G7nHljFBuxasQGEJ8/YoWSLX - TKltSwhhdZimAc1skoCqKRvKS/UA99z9xbe89e53vmvj3vuvnpXRLIO0nbUFoAAQZgUx9pf4vZn0 - SgCUzrn1ZSPFo9qfu8chMhiRdqcPKUjVGKSmqGazzcn4vje9tfnkp65CmFb1tGlGxE+0Lsg555xz - zjnnnHvEzKz7OkeX3o2u8s/x+PhkCHGwNDDke5rb7vv8HR+99QOrcd9zr/3Ga44848kHnroUR+0M - WatEVUhMIQYBQGRsaiIFQP/dDWMArJEsAKwIBsCYjbtxAQBKzEBfFSaF8WKEIimU6DEveTnnLk2P - LHK7G9Ejom5QbzAYqCrz33FU6qJ5dLdzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc871yB44r3Q3u8zOeLK8ujqeTsfT6U/99//43e97b1UNpG3a3BrAzKp9uDYzd3NpPYi9 - U8zo4g3aWZNSUBEoqtRNyKVnn222sbp8+eWXP+UpT7nsssuWl5eXlpaGw2Fd16raNM3u7u7p06e3 - trbu/NLdR4/ff/99R3ebGeYh30pIKXbxDEXM038ePjGtqnrcNLfe/sWPfexj6I7veY3EPRJdrE43 - QxxDVbtk9EObB174wheKSIrp4rKAnHPOOeece2Kr6xpAF6RtZt2H07qum6Z52MtQACmlnDOAqopN - k0H4f/7gv3zd1z1H2ybnLCKbm5vb29tt245Go6/8PKrOOfdlkTFIpYseBABwF/BLENqTtmgIykLI - KsvAcGfnlr9813o7q0hMTAnKABCM+6npjZW7/G/tw1zn2c9hHusa/n/27jzctqus8/3vfceYc65m - d6dPzklOOtJACAl90QeUSqlFqUUrhXpLsbs8T91bapXWtVS8aN1rPVVeLfSCIEVX3kI6ERVEA5FG - pFXaAAkkQNqTnJxz9t5rr7XmHON93/vHWGufJiRkn+RAmvfz+Bz3JruZa865xlx7zL3HlxlMlmSa - 1pe66rqPfhI/8oL+vtOOiKjlwLUSwySYhRhazkqIogzI5pyhEciH1rtDBhCUYOVfQAOYtan7G9NJ - jKFS1RCqYXM4TRda2fVPv/emj30ir29QHhtBgEgwUzquOXny+3w6Sb0GANoW7RS5Ct1w8TbVUWq7 - 4YJsX6xOP215/3kr552//9wz6x27eGUnQo3AoJJvZ2PSQBmmBoEpTAkGA8SMOPRKbdQIXIEKaABJ - yibCsJpDWOnZ6Tu12z986j8ZZDvLMtoJ7jh48GtfPfj5L2587fpbv3bdjmk7nIzrVgYB/R6M0HWI - jCAEgmRRIDKIA0HIWGZ7WIOBgGBg21qqUwnCMCAYgqIUwAGwoSF007YGQujVYmdYv/rsNde8IArz - 4QAAIABJREFU4vdO/77v3f0jz+8vDjeaqqFqdX00WOypaVAAyIwuKIKycVTMY6IP2NlaUpARlMFk - IQqzQRhG2kYFELKREghGrAiAmWpdV9K2/dHG4MDa1/7r740/8fHdk/H2QCQajRQ0TRsGayKYYOno - dzvm0JVhjUqHY17fIAJymxk8qBjIXdfB0A/YkGq0OLhhONj9fd/zmB98DnbuHocm9AZcN0k0xsAZ - knLN1UKzmAwb3dQqZIaSAgiGqLPzpzxn7z0zKy93j61TM3EMQcGtkMSoKztumbZLZ+4fvuRFl17x - zNWrrvrqO/585dbb97a5m06HEe14HAKEEFTJmI1gCAjKYgSh2U4rSROZP5atxkoYlscbwZRhZsZm - HPhUn7PlJXq5Y1X2j6omkCyvfGO0unMlPOpnf+LTn/y3O6qlLq3XDaVkmMfdAfCsQ6xbTfPOP77c - mGIAIDUgUzUZNo988QvWh/Vqbyi9ptwRO6X7QQg5zo5a0Nm2CbESxuNpXQ+YbDwe58wae/3Fenhu - 8/Bf+cVrX/uG1as+1ls9kmViLYhQBWIxgIRJiYNppbOn05ZOBiMkBsCVACjZGytDqzKIMUnTxdij - yeQbV32Qz9u254XPv2PYO8xaVWFYNSJp2rUIhmBqMh8xSjkackoT6PdXqqiqSpJojJn7zdLgpoN3 - 7Ptn33vH//yL7uYDAWRIpsqMCFJRQjh6zOjoQVSRQGAmEQtWYXlh9/c8FY84+zpMaedK2wm1eTn2 - G6vGJKaUA3QeYlcANH/7VKaMCGDj8u1ACqhCgxkZyJg5qrJK7kXK6+sNxtt6kdrJjjbz9Tceec/f - fP19f724Pr60rtPqiMQyNFQUKkhGK6gjhoOQpwKgjH7BwEBUhDIYkh69Xw0IMYCw9SHCPVCwsUJR - cvasBCUrP/5oQtoJw0c+fctb3nWOZZCkLg3qGil/t7faOeecc84555x7wMg5w4yZQwikZGaqljQt - Li+mTjvJiTL3iercyZEN3Hzoq1/+wOd59/DMS89/wqXnPWnXwv6QF7hrqsyUASAExIgYAquRGCmV - mSoDMosEydySUZQm5AZQZTUSJc2sgIESgKBMBjYKBjImQO6j+Xzn3INJuUO31c8KIWx+Yowx59y2 - 7cklwO8lT3c755xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOefc3THCcHFx - NN6YdulHf/zHPvHJTysw6aYKhBhVVXVWGKjruus6ItrqajIxEMygICClzMAghgsvvPDpT33axRdf - /NjHPvaMM85g5pxzCKGk10qPrXzrEAIRqSo4mNloNLrxxhu/cs01n/nMZ/7uY3//hS98oe1mS2Yz - YXPTQqAsvrLW3anrGkyhiq9/4xumksHIejdddneSVLWOleREsGdefnkdAyOY2qksHTjnnHPOOfdg - Y2YiEmMsrb6UUoxxK93umZRSjExEXcogvPKVv3PZZZeON9ZXFhfatmXmw4cPq+ry8nJ597uymqpz - zn0bxsbYDPqSATADbJ4eZAPAQjBSmGwPjGu/vvbJfzg9T9gUxAQpnzyvYRJgfPQrHlvBZSUEQ2DK - ohEIketsTTtZPHR4+oEPLb3kBUdCBQkGSmZmCMQ2j0+XzaPyNcFK6qPqPXHMDlQlVgNYJ5oW4zBw - laTTDq3QtD8cPuKi5pyzNz579UAJZEaAgY4/hCj/mzFoyyHZKmDcoiHUi/HWTtcXtqXd+4bnnPXo - Sy/CmXtw9hnYvh0cp2Qbg2q9P0xaKYKqSlmhnFQJREZEBmUDgziAiEhFFWwR4HKqiJlCVE3JmIl6 - FVCZGUOJKPSYeXhrpwQOZgELvZ07Buedc9EzLsd0itvvwGc/d/BTnzr8ta/dfuh22RhFyQs199e1 - D20oMJOpqSKQGENQTkWmkroHTi7RYKQwpnnw2DDbycEwqKnrjKkLZBh351aDO24/fPDP3nPg6i9d - 8nM/s/Kwc0MzaFaWRpKzUM317OiQGVQBBfODYvaQDWQUtJStWUiFoQSGCSGwBYNa+V9Qmw0n08VJ - W339xk/9+m8vX//1h6n2mXPuBKDIojC1pops2iap5oMMNjPDttn4PTr7aoAwAArKzJRSFoBrTJnW - EI4MF4aPefTT/vX/gkecf3NuaXlbUh2vjXbs2KVtGzSwgbiyrBNtLXCoYiJTUiMQlHWWgIWxhvto - pzGXJ9DmrZkSqJZsENTMbEFURhzHkaLqymlnLL/wxY99/FNv+p9vueaDH97bq4fTUUOa50FxkIb5 - xrEGJWNS3czxkiqVy4Fu5YmgZoigbn3Ms3T0fIQpo40xQcrRuW+nwksCoewcmlOiO9bG27ftXJuO - V/adfsZjH3v4qo/sijG1iRhseh+Fh0vfl9lEyRg5E68ZLTzsAlz8yANVTbHXTqQOHAOrymYP+FSQ - zYsslW+jRjBwU1WggCz9uNA0mE4n6127NBisnNk//9//bzjzrC++6X/sONLurgKmKRAJq4EVBCNW - 3kw2b4kSylCqRGyzZyUDIPQixh2Gi3UaTRett3uq33znXyzvPm3HFT+gTTVpO+71LFQptzFUxCbZ - yDRoJKPMbKRKCuhDrd9NocoqbdcuLC1kyK2jtT1796C7ZdslFx85cHiFKOZkBgKYUW6/wk4oriuA - wJzVGKywqelarC+64lm31SzDYSvaFyw0g5BpOpkgRImUyJRmL97KPu8CUK6Vp/IQkIHBZLN0N8PK - MNLvD4+sjoaD5WHV0421lZxWYsQNt2FjesP7r7r5Lz+wfPNtFwrCaC3DlmMPUZN002SkiBWYkTto - kpoBIBGMQAIGgsIIWp6j5RI8u04BQHiInW8PNWwRBiXF/FWEktYqSyrV7bd/7g//+xnr04FJ11kT - GKa89VHROeecc84555x7yAohZBMRUdUyeWtqUBuP1sEUQqDAamIs3ANxnkzQLOKAfuPKLx74wKfe - v71/5iUXPO6S8x932sLehvqBYu5s2mnIoUZVIUYwDEaqlJUhrMoGC1G43NM0zG6xlXttZVJLWMkA - AxvDBEandPLWOfeAUO6+HfvuyaW7RQTzP7MqfwylquUvob7DPN3tnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzM8cuMFPWlTFiBdZGo1jXL/2Zn/zIxz4uAHFQNWLOORNR - CUUwc9d1AGKMKaW7+x529BvMmiVSVsFCE+jRl1723Oc+99nf8737z9hHhvL1Z3WFus455+m0X9cA - VNVKJlwEQCBKXdvv9wfbty0PBxee/7Af/hfPEdOu6z7+yU+8//3v/4v3vucb37zp6AMUO7FRc6I7 - L7z10Fp6O4tO87RTefs738FMSa0sQlRWHZr3Zty9sLncf4nQg573L5+bu67f9NQS4UGR33HOOeec - c+47gohijEQUQijLnppZWTj1Lj7j2J/4FMf8RCx59u5/+A+/+E+f/T2meWFhYTweM3Nd18wcYxyN - Rk3TpJS+K6upOufcXeN55/hot3sziTrr18673RJA0MrQWx+tve+qvUfWBiogwAIhALL5RctIGmw2 - sUd3GlltPucnghBRxaqnede0vebKDzzqB57dqyoOdavoSCRQBpllzCuPJYpsmKUQH2rpzZNg86O7 - eSCEdCTTwfIgtSLr02HVhDpU2qM0wWCw89GXHvnsV3ZRTJKqAAKhJNLnc6O2eQ08ps9+D4lg0Asm - eus4337aaY96xW9i/zkYDHK0FMhq1hjM6hY2DiKtRYAIgUIgAsFYxaxMkZkxgAArp55aMLO2nCrM - RGSBiCiAmSjnzMSRgxmgpKqAqSozB0ZQJkGr6Cis92M16PPSYn32GTuf8/07RxN85brrPvrJmz73 - xYM33XR+3eXDh0il4RA5i+oUsPm1PYqScmkbG0GAQHrn8/+usCEqMO9+WmlCEAjIih4ZR2RSVEgd - GpssgfTQpLs6Xf0f/88L/uUPLv74i3XtEOphNRwmEYJF6SLMSBRqFAX8wE6HGgNKFqKCDcLIrF2A - EWoBGWWGKvoCVmglbLrNsHTgCP7xi5955R/suO3ALu2ipi7nSDBGa0qMSJimxEBv0E/tBEDQzf76 - 7BAoAdBq1pOGMNoIGJPqcLhcjdamZlwv3ARtH3HBpS95ET3xn7SxSbzQDLetdZ01VX/nypFuY9hb - 7MZdJ6mu69CvUkrJElEELJiiDHEGKr3w+26ut7zKJSJVnc3VE6mqSF4Y9jGZpo1Rr2mMOVnsbV8+ - tDFdFRledNG+3/iVnX/3kS+9+c2DT3/+tK7tE8iQGQDYhIwBIjAbYBQAJRNWIwQ7mbA1AVDpNkbI - mYmYGaLA7BCc8AX1Pg2h3PlHgAq8u789bXSHxpPTYr39ERdd//FP7FRFSuGYe1VlG5QAY97K8/0Y - TEBQBFIAk8Djxf6+xz1hNE5haSflqp+6im06GYfY3IuHeA+2w5gALk1rMgUJwwBTDQLqsoppiBE1 - 15XWdKAdLS1US8+7Yv/2we2ve+vtX79ud783nUwpwkhZOSgdfVnx7e6u3dnRVyPza/0sJi2oGUfW - uyaAtNuG2m5ZvfrVb7xs9xm7Hv+YVY5HUtZeP5MFkW48bZommAVTRRBGZjBA5RR6QA+JW6EENQ11 - zSlN2nZK0vSGR1bHO3v97U954j/+/SfqqSxkBIIp5pUhnd/2BejoEaRZl1gR6w2pwmm7ceZZ46Yv - E1uqqobQjqYUK6qbDUkUqo4EQKWlYw0lCANA1FN7v5CsvL4t11YrpWQhiEj5qb+bjoeSVpLhK9en - v/qrL/31e3qr62fnetFimLY1+nXknDcyrI6ogJxhishUE5sZmc6eICV7b+DjE91KJTZ/345V7v6J - yRhAZRmAEhtYSBtJKwdvv/bVr+3fdNNSzqxWRWpFiR5avzzgnHPOOeecc87dSyGEXH7/f17ADYEC - E5maWUZWBTMLxS7ljYS6DmrUYsLVtKrHHa/ddv3Vf/2lN+4a7nvEOZdedsETzlg+Z9DbHtqIFEWC - GIFMSUECgKCx/Jp2mAig5V4BAQAZs4EQyq0xA4RMZjFvAxTmE0HOuZnNjPdJpLsBNE3Ttm35fbOu - 62KMIvKd/30zT3c755xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOefcTFnX - fjPCYAQFjBDr+kUv/pErP/RhA4hDViEKAMp66GUNmrI8elVVJeB9l45Zr2azhcDAQq//g//in//0 - T//0Ix9xMZmZaeQAVSKCqJiSgQJH4lBVlkVhZODABCpvgyiESqZdNmOiihlqzFw1vac/+anPfNoz - Xv5rv37ttde+7R1vf/vb337TLQc4oJXZZtjJhAYe5IwQ6iqn7t3v/vPRaCSKqo4pycktOeTukiEQ - m0okGvQHT3vaU2oOUPn2n+icc84555w7hqpWVYVjlkndbBluVV1T19kLX/RDL/2pn+i6rq7rtm17 - TdN1naqKiIhUVZVSqqpK1StNzrn7lzKhB2OQss1mvMpQxbM3ufw/ZUTFUhbccvC6D1z18KqyqRhB - VAJFgDeXqlcwSBhgOyHsPFvgXkmhqAKZmmYFOgaGE8ONN8v1N3Cs4rZ6QqygWFWB0HWZjclQKqDl - OxlhM8ro7gYZgwDTYAxSGMAWInfSIeXlhUGTMR2Nm16lIUiMuy695PbenzFIJ6tGpJphAM/28+yE - mSXft7zrY0Q7FSZg0F+tKjzsvNxfOLS0NInWqZhmNlQUmDkHNRNNxiaAGWBmqmZMTAwriUxk1c0s - MTHVAQoxs6wCLWeIARpCZWZdFjIwM4VABjNTUpjCmAGKlXDVEa+RTes0bBZjJ8x82mMfc+7jn3Tu - rXfgK1++4X1/fujaL+Vbb99muqTM3RSEGJAUQUGzZiexcTqa8Lynl/5ZLhpH9/NmubbX442pDhqk - BAoYNGBRythV0XS02p9Ov/j6N/L1X73kZS9b2rdy40aHuhLWoEwQIxhZKv31LabW72+4dC8MCgir - MIQRFFGZDd1sd2llamKLXV5abcfvu+prb/rjXQcPLudxowqgqpDnKVXJiIRBFSA0Hk/Kivdl2fvS - zy7jldEs2l3qr+WGiLL2m3B4fbU3GKyHeFvVu+i5P9j7kefJUv+O/iDVPcpBJccQxGyqGZHXJ+N+ - 1YtNnaVrpaNgFKLZbLQEwFbixDw/9PfN8co5xxjLgv7l+VLeCHUYjUbLVdUfDkaTMVV10+sdWluv - ewuTWg/p9LZueuHTnnzZBefb29597Z++e3DkyEJKUSWaCoNMg/L8MsEEZsuzeWoqDfKtbqmSqI0n - yMoGIjJSs837Qkq2eXPmviQiAJhnz7/ycp0ROCXkvH3b7u7QoYVHPmKtH9fumO4KMJltEI6GqU+6 - yKKGgM0oNZCD3s509qWPpG07u6n2hfrNYDS9fWHYn2Y9dZc7tvL8UgKXc17JjBSGQBUrqlhRgAmI - zYBR21msW2B02o6933/F4oS+9sdvzTdcv7uuOiQ2sClbaWTPUs2MrZ0SbGpgwAzzwAW4HB81LPYp - ZyModdNtQD54+JOvevXjt//S8nkPW1WF9mKsmjggQdRyQ1CVOLMaGUxptnkPIRQw7dpBr55Op71B - Q2QsSLD4yIvuGMTTOlpgIiqntxHBjE64zJehT8QqAji2St2gt+/SR2WKCbEX+zpOYrS8vDyZdpM0 - rRYX1tI08Cz2XRLsdh8/fe+CMcClmk3Qcu4JK4Cumy4Oh13XBssV54++/a0bb3n3uYeOnNut96eJ - oIQAsEEgHZPFMuBLGeYi1NSEA6sCBuPj72KX6/jmYyxJpwf4ldfdMwSYUhlLQQaCbJ9O7cMfP3zl - hy4Q66mCYpszsbfcnXPOOeecc865rck5o0ZVVXVdc8ciZkBgSgkxIjBEkZNyQIw1BwKQTYgtVCo6 - nuRxiKiWcEvaOPClG/7+C3+7a3jmI89+3CXnPe70lbOiVJAQlMkoGIIyGQctdzPLHwxsTmkxyh23 - +duzO27Q+V0z/6HfOQcc3+re/LOpLSGi0u02s9Ltzjl/57vd8HS3c84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPObWLmyWTSGw7MLIsZgMBdSi/+0R/76Mc/YSWio0KBTcrS - M8etPlMWlClvhxCIKOdc8t4xRlUlolL4JiJTMBAAAl76r3/iZS/7ubP3nyUpwTR3qd/v564LxDAj - QyAmwAyms64GExFgajBQKW2IAVaK4pgvlGNqAJoQcs5V4AvPO+9Xf+mXf+Xf/fu//fCH3vimN7/3 - b67sTMlmKSIFqlilnAwIIcwCD6EyM3tQx9hUNYRQVdVkMgkhlCPFIRiTAq/9o//eKUDcdRkAx6C5 - HPcH8z75DimFGDMCiOj5z3semalKCIHu+2SJc84555xzDxLlZxYimkwm/X4fJVU4X9V0s6V99+ul - ElHgKkvHzLOeH0MVMaLr7FnPetorXvEKUguwbjLu9/tl4VRV3awAlndP4eN0zrmtUwIMRrOeYiEl - OUxMamYwE8QgZGNNOwPvGKVbrvzbwXgSckpAnn8pNmy2S43mtUIDz98wAlvpPipmgTuDzeYzKgJr - XhxvfPnP/vLiX/i3h9PYFoYsoW3b2FR1rERzieGVrwYqSV3vdt8dMmZjnU8ZBZMoDGhUBkRIueZW - J1DmhgViZKNetXzu2bS0tHr4pkEVTbMYKj5uXm8WKbAtz0WRwRQVwxRsSjGi7WSpGpMmgwSOFMqJ - kUkUGWRaqc378QAMrGRqZlyCmYwQZmebMUFDFhAACgiYTUcyQKYEEBODYICVqDwRCAKAWBlspAaY - MaFPwdqkhthvVkUbmVSn9ao9F+97xgW8evjm93/oG++5cvHrN+6GDds2ZkSGGshgUEY0wNSqEADZ - 2i7ajHYfexChNtUBwRKaEhAVmCISyKwi1N30vIXedX/zoc8fWL3kl/7dvnPOuh3W1TGEyoSsm8YY - jUxIjgZwH5C4TOdnFiPNQRWoBUGZhapQW9fGOggLp26nUP/Q+qHff8PhD354eOCmlT41pApQhUkG - MWAcVYnAgGZROuE8ZwBKUIIRKoJlEEEZyQDGMNTjaTeGYLl3bUZ8xCMue+lP4tLLDrKl5cUpDNDI - KZAGQ8qcKk6MUPMECQCisilIyaQU3zeHUAUDENqMfNwHrx5jjJgHqnHMi14RCU0cQ8eWqRcB1W7c - rznrqBeqJgSKzQHV5e276he+8IKnPf3T/+X/Wb3mq/vbTJNRR1Y3sE7LSQ8LQclAJCBGAPiEu0H3 - TGCMVkcIESonFAG2HgK/p+iYO0Sb77JpJdbU9WjUIkScd+bhHu9v2MZHL3D3nlG50QSGlktbCpju - XMJZ+7tO+7FXU9Su61V1yi1Q3Qff8i6QcVQGOIeceR7bNiMoawJxIlaAmUBGhoDKkEVqresDje15 - /g+ctW3h6t/+vf76WpNSv+GUlFlNkQk5gBlICPd4p5UrvpKCoOBStTfMrv7MsNYCQIYIGHipTeNr - r/3SK3//4b/5awvL2ybZsvFYUhV7IXVkmoJ2IQszA6wIdjLn5wOawJghuasiSxI2VMKKgB3b67P2 - tYevrkJISSoG5rdKT1Cu/lWFLqEGUqAjDZ1x0UUdNaw11GKsYVhPnUQoV620HIkUwRAVwUCGYAjl - un6qD4CRMWx2Q7cEyaFAhLGKpK5i5Yg8Wd/Z5m3rbQBRqEgNljK0qniStA6IQJdBQEUViFVbBYyU - Y0hJAA6hQpZATASxjg1EMEMZxbMANHsx7B7EzJRjSBZDQJ2oL6mfW/rGTZ949evPa7WfkpgKyq87 - GPQUXtGcuzMzKzOTZlZe5PjkpHPOOeecO6VoDuX3sbfeK3XuBOVvClRVRFSVGSDKqojoyqQhIxAA - Ne2Oq2crDKAIxWxiP8cuNUc2ML72y1f/xZfftn/3uRfsvfAx5z9hZ7N7ibaFtjGpIuqKa1VVycxQ - QBRGoEAAxARgMhARW8UAZjNpauQ/bTnnZn9iU35LDUCMcfP23D1Xrp6bczgn8RXuK57uds4555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOuZmkUvd7qtqlVDW9rJpEfu3lv/53 - H/v7SdeBOATOkomIYxCRu1oLv6qqlBJKCy2EnHPOGUCp/DBIVRlg4FnPuPw3X/GKs848Y2VpaXV1 - tQqx12uQBaoM2lzqmuzb/4vjl4bX+ScagUQlpYabfq/fti1i9f3PvuKZT3/GNV+//nWvf+M73/nO - Q0eOoCz3nhMTAxCRkhtXmRVQQoySu/tuZ9+PlIWEptNpjDGEkFJi5rZtheia6772mc9/jpmSKjOr - qYpsxnXcfUhVv+/7rmCmikMg9nXfnHPOOeecuysltk1EpdstIlW15e6dGWXJg8FgPB4DaJqma1tm - 5IxHXXrhf3vl7wIQSXVdV1U1Go1KItE55+7/lEEGNpSC7byHTRA1NUZQJoOqynBQ4/Y7cMfarR/5 - 6OmmlkQNGsGEbMclgaksh7+pvG1MpaJcJuWOrxiW+uZCSrd96gu49SD39nRWGXixP5hORmwaw/yL - lUwz6X1StH3QIwODMmYpTsCCMlijMtiMRImEFZil0EeBlwf9avu2fMttAo0nHqhjnVRyo0zPbr7D - JWZgIAQDQUvAmDDfJOCYqcVZun32+VROs3kgmBR3qoCUT1A6PpppDNL5v+UDFASbpeWP3ULNAcKY - VAiGzNUkxGZYnfW8H957+ffgY5+8+X1//fUvXj3c2BhkWeJQg0jUyMCIalnaik5yP80242jRWQ3A - 5hbOv6ZkgLDYx/qhIxcvbv/ml695/y/9H8/6+X+z5/GPPdRp16sTcX8wHLdTqkIk+i6u7X4fKYOH - zZ7+ZKREAFPMOWsWBCN0i5r6h8Y3vvr1dtWHdx68vVejMSntVWYwQ4iDgoyDoYx7AMogCAOsnHLE - ZgIlAylaYFDDMihg3CHkVA8HRyq9uYkPu+I52573fOw765ZePw2Ho66rKRMgLDBVgwFkHJSPxsFn - 3e55rxsAgXQ2vgEMIyU79bPqaoRMRBYIxmVDIcFAplBWoOXqyKBvzXCpN3jsy19+2x//8Tf/6gN7 - AsfpalRQORgqBCUEAlUUATGY2MncFQhAHk+QjdVIDQYCy/wJycDdjUn3NWElk6AwMPrMe7Z1hw+a - HXu5K0Pr7JFuectmlzNDOaEJwdAF1HtPx3AgRGYmXMY1NbNT+tAZJZWNMuqUtGwZGstILAQDyrEg - AhsiN6ppYyy9/vCW2J7+jCc9qpXP//6rdh9SmkwHdUidVA2jUyLkjPBtNuE45S4eA0pleGcrb4MN - Wrby6A1BoFHZ2+Hrn/3sta95/fk/+7K1hZSrnjB3k3ETgpEmhpAGAxt4tr8fWvVunl1kSySeAbCx - EKOpm507NBA6IUDnl9XN/aOEYJtXZKggACqSm3qtIpy20yiylCT6rK1eRgTMK+lBQXbc/8nsqXPq - GSlDQUzl7NWKgnZtFRErXp9sPPHyp3z+Le/t9XrciUgXSJkRFCoaGQokQa/uwzilSScpVDE32BAh - rjqOoaprYxbpAT0QYAmpJgJZJwiEuoIaUi75KPdgpUZkzCqUpu1ib9Afr/fX1z7/R284fX26Msms - KsSAEVGA8UNs8HHOOeecc845504Fpfl0ugF2/J8GHD8PM5vjAndQC2IRxF0KspHWJ0eO3Lh27Yc/ - c+Vp/b2PPuuxj7vwyXuWz+jWJ+jCoB5GqlNKkpVjDBxFREwJIcYALbO3ImZsiBwoclb4b3E7505g - d7p5/cDiv/fmnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzR8UYRxuT - utck0abfe+0fvuZ1r39DAhSoQkiSAagq7O6iICUZUgLeJdrNzHWsoDllIdPFXo/UfvPaoFT7AAAg - AElEQVQVr3jJi/9VHTnG2E3bpqr6TZNzhhqd7Mo2m6vPb2a8FahilCAmmtpOUhZTCQHA+eec9zv/ - +bf/zf/6c6961ave9o63HzqypoCZVjFohuRcvkYVq5SSSjrJbbrfU1VmzjkTUUrJzGKMYsoxvuGN - bxYzMYCIY9AOoIdaAeGUM1gg7FzZ/tQnPyVyEBGQEOykYijOOeecc849+KWUqqoSEWYmohgj0ZbD - Wb2mN22npdtNRG3bVpFz1gsuOOd1r3tdJI4EriozO3To0GAwOAWPwznnToVZIJlMeVYFphLFDWoM - IjIiZM0xEE+muwX4+D/Yl6/tiQGoCAGgwKKqVLKvIONSGiWUd8vMEBOo9DYZ8yk5my2svzmz18+y - 7dZD7fuu6v/Mc+temG4k5NgzNjMy6Cy7CBCUQEAwhc+H3ANCMAaBTRUwgEHzBjRxDkDpHAARjF5v - ePqe/NXrZDqtrYSyiVRnR20e7yyftKXNMIKiHH8rSVZAGCkaswBkpehpgIGDQogNbEcv2npMqFUB - MDKOnj+GWSD+hO+KcMzc5GwSuLRLoZu5eiEIz08w6OysMpN5LDqBFdzU/WBprcrNSmiuuHzvs5+2 - 59Of+eKf/eWRT3+5W51slzTohcl0XQXDpcrW5N7MWpcIKs0eGivN8sgEBEUwJtNQo+sAAQHT9UOn - Ly6Fb3zzi//3fz7vR5+//Z9fMW7oUFUfSik2vZRbM2v4AbzEuoIBZqAWAQFkmWEEM2SSqg6BGNoO - KhmuHr7tv71JPvCR/uFbGqBSaAIBgcEGUQQowGwlJUxkwvNBCbOux+xUKCePGQYD1rEKEGJYGTbt - WA9M9ZbTdz36J17Se+Yzu/7iwaaaVlXXpWAaYIRspB1rZgasztoIyBSkmPXBrTx/jNSIBfMwtc2+ - LwF2ilOvpQlfEuFsDJSYvTKUzYQEZMnMhAOFdnGp3/R2v+xndz7y4Z/8w9fsu2lj0GUNiBWQQGqA - AAwQLCjUoETY6lMgMHdrI4iRQVX5Ll60fwf6J8KaGIxsxtmAKiyeuVe+8rUTPmzz8Z3ksSIQVGg2 - 0LFCiFfOPgu9vhIySaqzWa4MvLXy9ZYpkJkAKJigwUA6H23K7ma1eRHHoAqeTNulheVuOg4cJ9Td - sbK04znP2tduHH7dn1Q3f7PKuYrIrRrQ47rrujsPz98Wze95KOu85Q2A5y8tZgxg01p012q6411X - YefDll78Q4ej9a0fQ5WDCiMzQFaLloejD72OMpfeOYQARgQw2y2h2r13n0FNxRgCMMO0XKnnjoke - iaKKlLNKoHE/Yt8eohCFczxurwZFmD8vNnPpRiXdzUFn9e5Tx8iUqNTohdhg5SUMZW04GjSZxUHd - nHvmmU97wi3vef8elr7MXxoBqqjqKAqqadpNADBRaIarobqtimuDkEhiE4lC2MgLJjszc5IIqcCm - eUoCRgwwhQlq/o4MW+67RAmCVBFHhF7otRurO4OO/+Rd+YMfW+zERAUEsji7wjrnnHPOOeecc+6+ - YQQYCCCNbEzGIGVkQMttRJR5HouGaIBSogAYm3ATqjAIkvJ6u8pxTWh6yzXX/8Wn3r5v6awnXfLU - Sx/2GAz2dKtxob8SuWqnybrUVL1e6AGc2o7NiIgplBs5Ip10ytUD+P6Lc+7UeUDXu31cc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOuZlYVatrawtLy0lETD/44Q+//Dd+ - IwOxqtvUdTkBABMRmRmHoPlbB7xVtXS7AcQYc86q2nZtBAIQQefuP+uNr3/Dueee21RhY30k6AA0 - vTp3KaXUNA0zp5SYt1wvKMvhKKHUiUq7ZTweN01DRCJS13WM0cySZHCQLu3fu+//+q3/9FM/+dI/ - eNX/+6Y3/3GC5SwMMHE2JVB5IA/opXbunog0TQOgdLvLsTPiw6trb3nbW5umN26nmBfZmVnl7sLt - bksIYMAM3/99VzRNw0BqO2KEEB68Z5xzzjnnnHP3SlVVAEIIAEq0+yR+Xpu2UwDMHAKJiJkBumPH - 0u/+3u8sLi7262oymYQQYoze7XbOPbAogU3ZQMdUmcv0AxEpI5N0Oa3U/fbA4QrVN97znjOZsDGN - VW2pI4WSEh8b0dxsQgM4sdtaKsib/7WEvMtnAahU90Vc+4H3P/IlV9TtULXKadLnijgkyyAosW2m - bXWeAHd3jQCDWWl1Bi6ZdpDBuCSEQSXWO0taZxBiXNp72m0BAxgREcj0WwUnZ5+4FcYlrU0GBRnN - k6xmAAxKBiM2sIJL5Bu2Od+rhACAzDDrA8+2imEAyEr/2JRmdVCedRuObmeJE8+j3TghpGl03Ltk - 86x9qeoaA0iTNJ5Mra4H27YdnI4qydue8pRHXfIYfPrqr/x/b51ce/3CxpFhoIUa07VUbyZAt6jM - VG8mUEvE2cCzLTQWgjIxGEnrGl2HhRi6LLa+tn+4eMM3rvvyG99w+uHbTvvxHxtPp7a0PGWo2aDf - z13iB+xThqHl8OlsbCE2Kw9HNFXBmsaajXbljtWvvPbNuPJD+1aPDAesnUoGA1VgM9NkXE53m39V - wEqndX53oAxS5c1yLBAgSVtg0FRrbcpJ0s6d67uWn/S//wweeeHa0vAQBevXk67VVrYvL6XJBgOJ - ocSZN+Pxs243mymBFEQwghobQTE75Dwb4owNeorr3WTMsyGby7vzDTUtJx4TKUSSUh5RnNRhuNDf - /uynP3G5/6VXviredHN/OhkIKoYZDAYzMyhYOBAxRLeUqzUgwNr1dYjGEjInsmOevwTl+Qlgp3j8 - VyAHM7MYQs4kTCv7Ts/HpcTZ5hchmn3GyX83ITAghExx8bS9xpxhCNoFVRgJ1Yhmduoess2H1GB6 - 7EOZX6Q1KBsBpAIYmZDGus6aJGWRTP3+4TzdqKv9P/wDS2vtNa9/Y6WtjEZNAAlkmurYWE4nsZfI - Six8thmzbvfsv8wGdJT7Jh129Whpo/vSm9+y/8xdey9/yqiCMicyKU8uQzBjIwNAD61+bhncCACp - gQFVRJBmZiPsPPOMAwQzWITqiZV4tjt9EcCADKPlAZYW1IgoHDuKBpu9VNssVivNzqVS7z6lIxuA - WXkeYLBaqXfPTh4zIwaDW0tCYcS054d+4Bsf/fsda20wjDMGNYIBHdou51itwXRlIUXeANHyzm0X - XnThox9d79+HnQvYtoRpxuoGbr7j8JUfvPbvPna6xuFkHERCICNTgwoABOZv/VLKPVgws4hEpSFs - MXf4zOevfuvbLzCqUp5fq4z42BHrAftqzDnnnHPOOeecu5+w+T0u49LtLnP9wPzmF078+TtEImII - pSSaRQNxANc5VOHQ5I5AWNq9dCB/852festf/cNf7hzuffIlzzhj5zm7t+1phj2uqqyqqZWp9WMv - cEVEoilLMrbQxCbWXZu/s7vAOXe/Vv54qvhub8vJ83S3c84555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOzbRt6g2GkpWYb7z5pp946U9NUzagS135AArMzJIzDIHublV+VQUQ - Y9T58uVVrJBTE/hZlz/zVa961cJgUDOtHzoyGAyauk4pQZGzhBDN0LZtVVW6xaXPNz+6ZAk2/617 - jQFZMgCITKdTIur1el3qRCRUFVTP3b//1b//+y9+0Y/8+m+8/KOf+CSAEDknDSGY5BBjzg/adbhC - CDnnsqhQr9dj5tFoFHu9d73rXaPx2IBe05u0HQSxqnJKx36ur0d+z9ldvBOYVO0FL3hBN51WTRMC - MRER+Z51zjnnnHPurnRdV1UVETVN07Zt+XFmq19kOBhujNfnP72yiL7mNa+5+KKHi0hKaTAYdG0r - OccYzUwfyKuvOuceUtiUZqVYNbDN8pYciAC0LEIAW5W63WjwhS8d+dzn9oewaqYIZMFMSxma+bh5 - HyOU9DLPYsME8Obs4LFD5LxQzEYaDDYdVXeEjU9/ZnnxqVYt5bqnnYQQQDAgMwAE1WBKmCWZfcC9 - S6RGRyPQQgAzQjkQs4YlG4KVUPtsdjSDhnt2TFhXCEyE8qHYLA8y7k1x0xhghRhxJgIHpSBERlAK - Noszc0lusoGhoZw1ZCdm4HFceNUQFAoYoCAGVKk0Q3nz44TmHzD7Fwq2+ZlJhgCUfYJ5nRSzVG4o - BVKC1osDJVpLwtajwIcCxR0LfPkTLnziJQf//H03v/sv7evfrDbWqmDMsONmRk9qhwFKEEZp1JYI - tBDaCICqhJBQgaEUgATYeP2MAdsNB1bf9R4dp70v/fGNYToAsUjyAO+GkiGqGNBGBTgYKikJWK0C - pXatrmzlyOEb/usf1R/65MpovY55mhWEAITACHXqWgWaiiyXpns5p7TsYSMQ5s+IWdB6dpJtZFQD - gGiaUWEwWVz5+lm7nvjLv4CLLjpYV1NSMctpPOw1oanG64eaWClx6ePWAjIoaRdmtdpSrS9n2p0T - yUpaEs7loZ3C/QmwQQhKUNKoCMYAFLELlZiyoWaqOStEOAvnnKuwMLxhNN37lMc9fO+vfuI//Zcd - n7nmbFW1TOUpw2rKgqxWhcCqGrY6QKvJtEMSBhEb7tVwc68YwagMIzElbY0XlrdvlFj3Zrv4qJM8 - UmTg2VdiBRSaOQx37JnAhA1BhUXMDKww2KmuTVtQxDIGEhSUuewHnm1febVAEIaQEcmoHfWbSlUl - aagGHaaH+rT9Rc85LaRvvO5/nB37vaAkLYGRZevbUx6v4rjzQNlYCcKmhHKKBNMylKeJ9apu6eBt - X/2D1166b1/Yu812bWMLwQgAlWcUbX7lhyIDQKrG5eVBZkxMF3ftugWmhPnpyAQrH4nZS0TAOBiU - lQJMjUGqOtixAkgLAZESCFqG0GOv10yz4VTmR1GZAbCd2kuSUQYxG0BsxOUizsYhUNsl9HtMtLox - 2T5Yxnln73rmUw++7R0rVTCRcQc29GI/525a17cM6un+03c86cmPuPwZdN65CBiRSsWV6HS80T99 - NzNPHra27akXb/vEU//hNW/c/pVv7pHYj3XXjseGfkOcLWcN336T3QMVGwKxiJikYcr1gUNf+KM3 - bF9bH4Azm5gGs0AGgs6eVf6zi3POOeecc845d2+xMTTO7zwqU/l1fS0zUTafVTSCUQYyGaxjJmYm - ihAVFZiCGdrKsAYQRmkkmNQr/XHQg2n1un+8ZqD1GTv2P/r8x1+871E7+3uasNAL/SgQyblTDcZN - xRWN86QdbyzGPp/yKVzn3P3at/xVNE93O+ecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnn3INBqKKYmalk/flf/IUDdxwUgDiYSowxq5iZyGxF/pTubml+ESEiIioVtKZpctsu - 1tWP/6uX/NZv/RbURKTL00G/H5hHa+t1XYcQIgeOQUREJMYtrw9jBJs1MGbvHrs9qlpVVYyRmVNK - KaWmri1aSqnuNV3XHTp4xxMe97h3vfNP3/Gn7/qPv/qrtx85zECWHENMOVdVldK9brPcLzFz13VN - 0zAzgJRSXdcKvOlNb2IOSaWdt9vvcr2h72Z04wHPzHZv2/6YxzymjjGlVIfABslCFMz3qnPOOeec - c3fSdV1d1wCICAAz69bTlUS2MV4HtK7rEGgybV/1B7932WWXlRB4VcXJZDLo90ejUQhBRIh9XWbn - 3ANG6XYDmFUwrRSdOSEnMouoiHmjY25ueu+V25HTkY0GlWRi1IyOWQDceeJPykBY8rQ0yyEf2zdV - QrASimRDWVUf0fKgm3z1vR+49MlP30Bn/SGFKosgspKW7mOYR2dBpvOutLsLykAwoIRNj52rMy39 - 4NLpnFWESbNp3Lltyiaw8j9+iwk+stn83hYXGyeokcJMSZUAYiEWipilFFQJChghmBGUj0lon/jA - SE8I2ZbgMsBspHTMvydswvxfm8WVZwlz2Cw7Os9+l69Z3uDyoAMZAeOUVbDUG5hhlJNVMff64361 - 74f/2c4nPi7/yTuvec97tyHF0fpS4Fq28qrDZpPVrGwglGclzTITYR743UyANrHKKVVEqqpAryaQ - Tce6v1fdftvtN773r0Jd7fnRF+5cWeoWlw+sbwya3hY25n6GoQydp6YZEgEFIZj22Xpiw0OHvvCa - N8X3f3Tf2upiHbosqggBzBAxqDIiQUx5FuogKUOXEey4GeujbypBCLGGKYPCETVa2bZxwblPfMXP - y/7TbkiaKcRYMSlJmk5GlYZ+U6no7Mwx5lkIWcuJDWMQyn/eDNmW80w3u7aUAcbRYfOUMEDLiQ0N - BoaWqqgQKFSsYDHNRkSBCGRqCrKxSLVz163taHDm3if88i/f+ruvu+Fjn16Rti9tJASGkkIAE2jY - 6vYTAfb/s3fnYZJc5Z3vf+97zonIzKrqvaVurUgyIAkjgUBj8Dqe5xqbGRs/j8d47OvnXnvswR7f - a2yDl8fY453x9YJZjQ3jxwvgBcbGy3gZ7LGBAbyIxSBAK0ILFmjprbqrMjMizjnve/84kVnVrZZQ - t7q6q1rv54FSdXVWZmRkbHkiO76ZJaOZPp7by0bOIlI4gSdm9aIZHAYL21bhlWa7MSIoMwSUTy/D - qrMHIrATUoKCM/xo1+4VEDkQC0tWFVVKSm5ja68lzQ2FlN1qOdcw3xiCuWxgSYUUXiFdU1UBTBCH - VjKyr4aHU5TdC3u+9RvHd//Lg+/9h50rRxfhau/a1FWnNj08C4WX4vLaXxCElfPaST0pMyYJQvAx - TpfIVwcOffJnX/mFv/qL3HXLPkQKNNtfCAHKs/3Fk0ffrhaCEkRnu0yiqaPR7h2ZmAgqIIIkOLCW - zLcCa7tCsLB6JBEHEuj23bsgMZImJ2thdBx31nW+ZZsn78uLuaHrr5IIFMhOmAmZoMSkIM0ZUMdd - FmVeGmyfZPHDhSte+MI73vu+ww8d0LquF5aWx+2UmPft2f2sZ97wohfiqqfggv0HoEdyomHtalZJ - QUl8IDh4ly7YtSB59xdfd8OFP3jPz7/u0K137Uxdzc5JViVVO3F3niMAUUfOkTaD1B16xzvjR2+9 - qBrKyjI57mPd2m9xCCAQWb3bGGOMMcYYY4w5E0q3GxAhmY1nAnrcvwWYD1URqUgC2AX2weecVOEY - KSFnKGX1yCqriJIJ0IHnJvPq4SP3/eOdf5P//KKlS6+/8sZnXHndjnpvTQsklSbEDKLgqfKDanae - 0xhjjmPpbmOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDkfeBemkzG7 - 8JrXv+G9H3i/AJ6rThLAWQBVlItQE6oqdF2UR7n4TAmbqWppXQ8Gg6ZpAuEl3/mffvLHfoxUHdNC - PVpeXl4c7ZiMxwuDIRE1sRORQFT5UGLS3ruTP8CjUFCJEKz/CiCmHELwRCmlbtowc6hqJsS284Ed - o2umRG5hOCy9im/+5m+68Yue+4of/4n3/O/3timmnOo6tO352e0uSmcdQEop51xV1T/ddNMnbr0l - A45dkgwwBZ9jAhG0VJgYgDy5cggbQhRf93VfV9e1A3LKOWciqKrV0I0xxhhjjDmpqqq6rqvrurz3 - PL07mV9Ntes6JvzsT//EC17wgpRSXVXOUdM0IuKcq+sagPc+n3od3BhjzglaN1ZTCotewcqkgKOW - lTyFLHVOuOP+Bz7ysS9wJMCQfBQCOaHsOauCjh/3E0IZqtDyvXIZeaPZo64r1pWcJGfKQqgCfDvt - br4Dn7xn9OyrV3KqfF2G4ABW6vu2rELQ9a1dc1IlpVlllhI772vQDECpn3lCpcrJgLjysx3bEkP7 - 3R/R2gv2hCrpBOFZy5OR+45C/9BEWsKqQhBSCSIEVdBaOPb4freQgI4LMEDZKbOClJlk3dfZrxw3 - Z0qrG6Rw5fmL9HlFUkDy/M6V0WezuZ22w2E9cKGlNKXWMbMkjnmEMG2ao3t2+JoWXvaSa7/y+e97 - 81t3fPZBd+hAlR9XhPi4GaWsoMwlpq5cpnD25AkSMpc/quQq1DG2PrjgQtdEBgae0cWBYP+Row/8 - 0Z9K0+3/jm87PE179uyZprR1Lw8vJMllIRAcwNFRhCPkYVZZPrbQ5Yff8Pv13/7D7smkRsoaQRg6 - QKAChZImTzXgc44MBgmh3xYRQMok5JBn81lZSQiJAYATAG0Fcc+eA1942Q0//oOre5eWHQ/rxXHT - ggJ7kiR1NRj6ero6Ju/QV2zLwsM+A0AmZOK1gshMeVBWQb9g94lPAW9c2laIhZkFdYLTBGjm3DkI - iRdmURbSrJlVmSCBVTyEgz+wfGwwGPhdl0S/c98P/+Atv/Rqvu22cPQo4oQEIBDDifh8yptnIoiI - U2AyzTlnZQKITjIPzkII1ymqxATOzCrsXV1XC0ougZS03KDfqem6KPHjNk8aM8AKn3n2Exd27FZ2 - RORzrjOBHMNlyWDa0Nq0EhIhlaZy/yMWKtOIRP2mlBXDKIRcOVKWQ82qUtg2XEJGjB18OJjHXNGl - L//PH5+OBx+9fbh8ZLVbHTr0e/LHPz0oRw6zt2/rZnLpza9fCoTg4HOGZywFaQ8/tMPJZ177psu+ - 97sm+/Ycq+CEnfS/nrl/0TZu/dqMlDJz2W8qQCAvrOB2ELBtSTwTIWd4j6ziqBIkzJaE0nVnhRAE - ogyXwczbdmwHS6pc50DSb8QACEP6YHa/k/W5b7+XvzoLMz5zYuWQRcHiCGCnACQpuKpEIFH2LGxf - OXR4Mty29NSnbbvu+sP/8EFH1cEm0VMuu+Kr/83u/+Mr8JRLJ1mmLohz6qttTDmrruYIL3XgarHu - EJvUuLjiSXZsu+gavuibX/ip3/mD9KnPXObrOrXaiQKevYq1m85fyio0gA6m4+amD971Z39+rToe - dw6sZaUgZOo/hMAE2sqpHmOMMcYYY4wxZrMgAXV5NmaosxM684F3np0AgsIJC4kbUkqasqQkRCyZ - VZVYveckQgR2GBAkAwwfgnZwzkfpJt30MB06GB+8/Y5P8CfDNZdd9/SLnvGMS66/cNvFbjLAVCtd - 9OwjYjklZ4wxc6pq6W5jjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4zZ - ekiPKyIoYdq1VT285zP3verVv0LkmNBJAq3dyHkvKYkgprS+2z2/RflZVgHBeZ9jIqBtmorpB7/v - B37qR39UUmSi1EVJeWlhcXVlpa7rpJJiAjAYDFJKk8lkMBpWVSVymg22E4QQSkScmb33pVEdY/TO - pbYNgxqAKDRL13TVYNBNm6suv+L33/qW3/zt3/rJn/7ZadelNnpHKW/hq+08BlVl5pSSqrJ35HjS - TP/gHW/PgIKy5Lqu2zYiCwAmOuGqQ3RqDYutY+OfGM2+fv3Xf31sO2UeVFU3nXgfnMN5urgZY4wx - xhjzhKgqEY1GIwA555Lxpke8T/m8iJSZJYMI3/M93/XN3/wfmFmRRdLq6mTnzp3T6XRlZaWqqlIH - J35CcVNjjDkX2AmIwCpCiMTwjjX5pKHDSP3knz4wOvKwjJtBgMRI8KqqKqIggEsBd7ZxZQXRrBQN - lIotZtteEiitT2ZK6UKyIkYsVW7feHrwL/5qz7Ov9dC2m/qqQj+2KKRwKqwQhswCzOakSjedFaRw - ZXQXitLoJpDO2qx9QntdW31xMQev7FQEgGMnIvPKO0Ohp5kf6JcQBanMy4X9i0gAhBVC4lRYdTZt - csJ0rj3BvoLAWIvncnmI8uxmX08S+i0/JC2LI+j4ZzS/vQJEAoWAHTAajVJKSRI7zppEUDF7sMY0 - Gi0+HFs/GgbXXfzFz/vyK6554I/+9NPv/O8Xj2lbFwcpMwSzmcjrJhInPq21R57NL2E9LnlLEC8M - EIElxhB8E5OLuQqco+QkAJYcj5R50nzmL96VJF/63d8xXlnO1XDqHQCGOAHAmY5LWayrswtIoBt8 - PFP61goFz2O6pMf1dOeruBJyH95QVoncJ9UXYtqddOXtf7z6v967+/CBXYOqTZoU7KAdADgCfEDU - rF3ZVp2AAQjNwx4MEfR5+/lkaj1crobp2qff8F9evrpv55Fh3ZKH5MqHLmdRqkOlKa82qyGEcpy5 - fsHrF0WACVJ+XuLgypjFPMoz5Q2NMz+CEpzAoY/s9kX4OPUUPHlhCDOYQUIpaUrCWBgsZOJjGRgs - LO3d+YwfffmHXvEToLzj8JSzwoEIDkRE0DyfjY+z2cyilQqaMSQJvMJh7XRSSZtDCQTZ6A0/KzsR - IuTyUGEgoSLnj38TIU9kDzT/TSqvuwLEmRiLo46ZGZoQVLlySizyhB7r8SibgrIYCIH0uBN5Ou/c - A6TsVEW7LnYLi9ubpE3TVOwkd34YJIzGtfhE1/3wy+7+0Z9bXl0ZRTgHOeUlW+brf1l+1j9/0n5r - NV/LRAXEUYBO9nqsTlbv/Ot3737K5Xu/9Rsaz5FYZ40JhfRrH2T+rEthGoD2mwQAcCrY6Pl+tki/ - EvrZNkYBUYK6CsNB8pWwk5SpHCg8ynaIVZOoc9As6pgXlxCGCE513f5sviA9cmt7VjEAkJAKg6Xs - RomFNWWpB8NW2vHKZDBaGEvXDEf7/8O3/Mktd1x+6eU3vuBr8KXPx0K1vH30gOZ6aUfO2Sf1qpSU - RAP54P1YouTsJTD5Kvjk4kTiAfZ7v+YF+aZ/bj93oB23nHPNXkWyJBsd2FqEhJWdMoBMsranfsRx - USZm8NBTvXq0fvDQ3//GWy5rm+G0vOJEuu4oq79n8Ppd//Hbl0fZY9riY4wxxhhjjDHmSaQMngNg - nZ0zgLKwz66Ow1Gzw6sn1shNF6ZglDORszOI/Z30w/EKrDvx0XRCBGaoQJXIOQdSQkyRHRSQDFIw - g5ImiQ4+alJWHiFRXpFV1lXn+cOf+9+fuPeDf8t7v2DPNc+98nnXXHKdd9pMhGbJr/gAACAASURB - VH3A7MwUAVDi2XmB/qmV/5yQ956NNvSDBj2Z/3B2+u648ybrn+wc4+T3bIw5y/qzhLOPpekjV9et - w9LdxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcaY81bJUyiVLEqfzQCg - It57iclXIWX13ncpglwmzYqXfNd/jioKElUCiQqoD/HklABgnjcoj9JfZJoxLxsQoMqBcwQDDnjJ - //V//9gP/5CkSArN4pwDEHPyVcgqANgzgJgjCL7yKcXTeb5Qnk0PrYvTSE6uT3gotG8xMLOqsq9y - UoBLEKYOFbIMvJ9OJvVw8F3f+Z3XfeEzX/LdLzlw4OA0Sf9sAXYOoiInufrO7Gpc6/+wWay/RDit - u6qXY5dzVmVfhaxoY1xpmj/8sz/J6PM/bdsCKGmf+bOe/f7scmB91YkBiAoTE1FWOaGfx8zlTjbw - eZ6utUAIrf0IABRENI9RsXM5577KcmoP4KBKDpqFCFBUjnOW/Xt3f/Hzv4iykEKyelepQFXt4u3m - icg5O+fatq3ruqxxzCwivMmSk2U6U0ohhPITZi51zFNSnp33PsZ+9yHS72uMmSurQEqJiJxz82vq - nevp2kSccyJSmribds7MN2UxxrWC2madWmPME1QOFTDLdc+/ISLvfTkAmO/9T6Y/8nHlGB7wlUsx - AmACQSVnz/jar33h97/0eyvPOXUEUaLhcNg0DRH5ygkylWOKTfb+zhhjHpsSs/AgQUmSy9HlVA/a - 2NWKpewW2xqHD3zm3f9jb3topNAEgjjEMnA4KyUfd4ekCOvHc8phWBkfOu72CkQABLhZ3XuUVePR - +z74gT2HXxK8R+UTOgdmhRewosoA0DKEwGIjIo9FwUJ9FXv9vknpxNE2Li9QVnYVdu6auiDEBFIS - UQFY0YcGnc7vHKd8YL02DewU0FJgl34KqRTcWcFCJdO7Lkag/XTOrX8O8673Y7RCT1hU+tyBihIy - rb+JQLkUlOdNWAaU0KnAgfpAuAMgikjKzkUVTwEKCf7BjOGFO/Z/x7fue85173vN6y+9//5Luqk0 - DRNahQ9QgdcgKTs/QI6dSuWgADKwNjLPrsR8y7OnE9YyAbi8qJJSRSCFJCktBlakLB46zHkvTQ+9 - 5z05H33K9780cjiqqEeekgRlVUnBRQiVFqV4KHN5JEqAbmy9m0QoO4VTziSdYyEmhRdUuXSv++VB - gMxQMAg5p+BzklR5n6btIrnd44g/+5uH/+CPdhx7eNeAum7qajQtagcikEIViLEf0i+zeP3qoKUB - rEIiDFVA4Ksw7ToQOIMBR3i48gefefVz/ssr4sWXPiQNETGQVEBMxA5ABsE572SWCimLUKnRRwfM - i7YA+kS3lCVwNiHlPzxvh/NGHk/y7HGTkwQGRAAqc4ODAB0SHACoChTE7LwXBUl2UCeuU1revt3V - /sZXvuL9P/4TvHps1DbCAJBEScURgLJysTvhLMP69XT2NEW4ojDMYxy6P1TXwgcV1iz9wTWL9ms9 - l3m5ofNHCB2EiSEdV7Scu+179kSJgebhY6Xjz6OdKibKWdmxZgEUxAqmwQBLwzhwEBAHUtacI0fi - DX9/URYJmdVwsDaHRfrtj7BCy/qoRKjII7XZg52DInvPkrInF0VXhsOlhXzlD730w//vS6+QWtr2 - FOeSEJgVNF9b9cQ9Tl/vnt0tQVSlrOeaEZrpVa6+462/d/WlF+z/ii85NHJT9pzhvYvS+MpL6ncx - maEkThCESZGJM/XxclaQSnIiWB/O2YrKfhZOHEBKSYDMrApkgh+50bA9csQzXEIgpypMUjaP6HeN - /QLvFB6UoZHcYM/FEzckcVW/Vhy3y6bZjxRIrmwMy09EaGPX37ITB5D6nTl4vrYSOWhqG8dQn1tk - cjgS/MIXPv2Lfu21F+y5UIeD5a6V0WBCPPBBUmQAjAwFwIwMARoPAXHnSYGETDkNMjMNVxTXv/j/ - vOndf78fsugHklqdH1KYLUJIlBQQnzwA8ZJJywaQM6nScGGwurpaewdXTaPUlUuTwwNp733jb1xw - 1307u45JROF9HcuRqfY7MgEUnLkfmCYi0v7gQKFa9ivlmHztYPu4xJcxp+GEAdJzPTnGGGOMMeb8 - x8xEJCKeGERQJSLd4HEtcx4QgpIooGACszIpAQyFcxxTV9PC93zDS+9++Pabb/3IvfffvYwDR7Y9 - EHnaj/snECFw/6ltmp9WKQVwQVYJvu9zg0CUFapwANgBAM3/aYOAAEflFBgpSDKYmEgIAEl2TVM1 - RzC5+cjDN3/g74e07aqLn3bt5Tdc95TnD2QpcKBMnNlrgABCjryqqipYySGlnJFDCEQu55xzZPbO - OSanuS/+9qfM+hEtVRJSCHg+wnbcQFx/vk8EyvNxeGXd0PM7xpi5defcpXxWTcuuECLwPpzG59s3 - D0t3G2OMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjnnRqH1TVhTBpmroa - xpy6rhsuLQ3Iveo1r73ltlsBFogAjlnkUS4xQ8elBY77kwiA2HQAKk9f/vwv+YVXvjIQ6ammjk/d - +rbQafQVyq/kmHZs23b48OHBaPSlz3ve+97z3m/7tm/7wE0f4uBiys77HFO5ffABQDyt0PhmUC7s - parMDHYxZ/be1dXbf/u3VqbtrML+eO9NSwanXGZMpe9+l4uVE5VL+M2j3SWzN//d9ZeW1nN3bb/H - frrBh5hif9Gl05hIVcyjg6rOUc7CwL/9mhc6YiVlYsmZiLIqO6d27X/zBJTIZV3XOWciYuau66qq - Oofr10nN++IpJeec975klU91OkVkMBg0TRNCyDmnlLz3OKfbkzPCLrt/ZpVut/deVefZ+LKoGAAh - hJK/LYHbcngwj+ZuHmW9LpuOUu/uug5AVVXnetKMMWde2SKVDVFKiZnLxcHLHwEws84Osx/jfsox - PDOnGNk5yVkEjsHA8573nJ/7uZ9hLplwErGdrzHmPCEE7hO5AogSmtxWoaI21Ym2tdL+04f8wQcX - WvhZyBOfLyP3iMzwyR/5hNsL4HJeFFTLRx9893v2feu33C9dIkfEJdTKs5CkzibbPLZHXL7/0fQB - WoGCnPggs6QgZsnhMrdn85/nv3VamNZSvqXSLeteTV4fOX7UuzjJX5/C9Kz/9ePviU92/3LCzeZ/ - q+ASJy5/nYHs0DjXOt79rGd8xS///ANv+m+fft/79kizpKg8uhaUwSwRImnKoEAOTrtO1r9LYQjW - Mgwn1cfH169rJfpIziFmiFYq21vFkeX4kY8dedvv73zJ93WM5cm4XliMXedALBocJ+RyXzx7rUto - +TE66GeO0KyxKoBXZhWnKAUNoXnsmQGkJNu3LY2PLtdVlXJckLxv3KR/vOnOt/7urgMP7qwoNtIC - C0wDr6QnjN2ebNlYF/1lRZuwsFDFcTft2uHC6Oh4si0g+/Cw4IHdO5/zMz++smv7wZxzPRx6dM1U - P19NmWcbq3ySOSmsjzZVfHa2bCXVvL5bv26pfsREAZkBwGmfVM9MLTtQvWf/ni/7kZff84uvOfzp - e+qurTMGdRXbrvyiUAnewvX93OOecr/EKgAmOIj6HNGOISqgebqeIaLIrFCn1E8DNnIPUKZICEQZ - 7DIcKo/5NrEnwKmdE1mPyvmv2VkPIgITggMjEjkwtFRjZo2Ws9JZOWlQ+YRlVYFMTBAlsJbNdZkV - wgBpEOXO07gOi3WYVEGmpzdS9Pnfaz3qST1FELDGfZPph97wpq+47PLdV9QPBAmDbcem48Wdo6Mr - x+owAkCA0/6kpgDcPySRglRPL8q+Cc1nZQmQCzIYUBFiJwywep+Zgq5b69ah+d1oHxUmQMAx1B1X - AJzO1vR1TtjJrt8Mbmy3u3/I9WH3E7e0Zes338c1nvOe3cvepW3bmLlpnPc+50zan8Gc31UmAEIQ - hkIlkwpDSLxqyAz100G1tHff9ksukbvuTkkCGJRF7Xh1iymv+vxYVPvgF4PJu7A6nYQQkJJjkpS9 - xIu9/9yf/EH82C0XTfOIRBXeuZha4QD03W5SMEEUSv0ZY1WdR+4BUlalEw/qBASA9awckRljjDHG - GGOMMZuBrp31YCWAU+oGw4VAnpNet//G6y977pGVg/ct3/X3973v7oN3HFk+RF5Q5YxWcsoM59Bl - iIJZSQUAEVXONU12Dt6D2ZWYt+aE/vOWXJLda8NoADSBGNQPANPspAnXyB3Gue1cy0thGleWDz50 - 68Mf/6u//6srL7j6umuu/4JLn7o02N5MqEI98gtdl4f1SESapiGFrysSibnLsQkh1PUA4JSkfBTc - OSeaMDtxRlAFcT+4VT6c0o8z0XFzTGZj74ryfxtKMOZcONnnt3lLr5D2UWljjDHGGGOMMcYYY4wx - xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY8yTxFp8RURKxrWuayhyzktLSyvT6YMHD73hDW9o - U1uuLF2FqotdCakCs0vNnOwK7HJ8VIG815yhSsBll1325l9/k2dm0KM0wM+Z9RfGXn/tbBGJMY5G - o6brhsEvLi6+/e1v/76XvewP//R/hOBSSgR454moRLtPMku2VACgFLVdeUYxJug73vGHKImKkz2R - 4392XH5bIVnBsxYJE4mIqqy/nxLlXd/txmmFsM8mBgFKs6n03qecTuN+iFklEbOqivRpjhe/+MUi - wkTOuZyylXrNGVGCxCVti1njFpsvBd00zWAwAOC9jzGmlKqqKhXeU1K63QBijN57731JlW+252vO - LREpRzXee+dcWfzKonKuJ21TKN1uIso5LywspJTatt1s3W7MOr7lVfPei4i9gsacx1SViMoWm4hK - t9t7X97PzsPeIvLY6e4QQoxRVQkkKXvviTTFfO3VV7z61a/esWNHuYcYWyKy4wdjzHlDWDqCEjI5 - Uq1EAmsi51LEsWOffs+7h9PIG19bdIAKyPs64c6/+dt9/+6F1VLdVKF0WkmZFUAZZpn1YM2Zo6VM - QNiEx/ZbTmSMR277Zbv3v/yl7orLH37HO8N4TCvHhgQGnA8uskKFcxKhdWOH8wIETmWNU0JmZCq/ - qAI4qAcNldHpwXseuvcv/m7hgisv+Oqvwq6FQ10K5HYuLMmhw/UwrHrODFYh9EOQnD0AgWzoGs/K - UAaIlENmR6iyeIFTySTCkFnouTS8F6uwcvCwdyF1giC7U+tuvvWDr3n93gMHdoaaWQndIoM6SlnD - 5+tqP1LtqJvEir0TGY8niwPkBg8DD151xQ0/8nLs2z0NgSnXzN0khlCLbOz82WxKUtQJCKIlc6xI - zO3OndVVl1/xwy99zw+94rpp2D5pYtt6BgSZoQQFBCAC61oFtyzhJT/CAM3OvjgFxhOIQhQgIjob - ld+TYWaABFCCEvkqbNCRvwIEKidFvD8fOgjlTRIzg7nMNCLa6JM6Sse1vjOhdahzvuqzx277yV+6 - 5lU/tf3SfSvkwlJ19NhkcbQzppZVnIJU+u0nI4EJAmWnIEUmgEDKboudxDtFROsXPPm8r9TsBs45 - Lfnh8+JNcV3XRFROcJfllplPOnSgVLZPUCpbRi4d9EyIDOzcdsFVV7T33Ft3uQJBz+uF53zEyoAI - 0Pqkfb2bAc4EDdzmLkMG9VCyTlaP7b9gz/DAAXz04w+88693PXS4IkZGBGpSAhhZCNrvMkFSul/9 - vnD2XyggLEL2rsYYY4wxxhhjzJMXK2Q2vMfKAFi1/CMEFUpt7DRlARNVPuxcuLDihWv2f9G4O3bv - w3d97M4P3/65m1f0SB41Y11pJXEF50r/Wh2BFZpksapIKMcoklVBjEBQciqqxEJQCPp0OARgAav0 - 7977gSAAaDowe2YXRYMjCppSM2nHR6pDDy/f/eH3/93Oes/T91377KfeeNWepxMnQpVj1MzBVa4K - kiVlJfKjUZVzjm0LIaYqMAOQ3IK1/OMJVpAwK5N4ZYmchJVFAHYCAE54bf4RhAQkCgg5bPz5XGPM - YysfJ1PVx/582iZ3PpyyMsYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG - mFMSJVdEOedBtdC2UVUFIOfe9KY3HV5ZBsDMnqiLnZt3ux8HmV3sXlNi5yRlT3jj69+wZ9cu79x4 - ZbWEYze/qqq6pmXvBoNBbDsGah/e8LrX7b1w/6+9+c0MMGGt3LzxiYINJQQiEhESccE30+ZDH/nw - HXfeeRp3RY41ZxBE5dEuXT8v4ZUIH5XcNxTaX5jtXH1dm8LSKVgXqifAM6csKaeS4elSPI35A6Jy - wSYRIYAUg9rv3rHz+mdeBxFVMAPO5Zw9OxGxa7qbJ6LEOeq6FhEiKt+IyGYrdQ0Gg9JRzjmHEJxz - p9HtBtA0TYl3MnNKqSTAUUIjW5mlQ88s5pLS6JeKwWAQQnj8xznnPSJyzpUZMh6Pyw8fLWlzbjGz - iAAIIXRdN51Oh8PhuZ4oY8yZV7Lc5UgGQPm+7Osxq3GXm63/40mVX1HN83tW1Ssu2/8bv/Ebu3bt - Go/HIYSybamqqmxhjDFmi+JyHXoAgFDJXpYL4kvlXNc03g1HWXD7bZNP3rIPwWHDj4fJU5e0Et7O - nu65Rz9007Z/82VjYgBCTMqkAkBJSZ2NhZxZij6yCyIfQv/D86TIeQ4kxorIlLHz4v0XvPgbL7j8 - qo++6tUXSlwgkdVWu6b06AEVBSlqX2k6hVGOEooQgtPjfihAVhEAjihJEHEuICsfOPrx3/zt5+7Z - vf2LnhN3bG+IJtN2VA/a2LILEBDAKv3wp3rSUlTesEMd5XLnClYCK7wgZDgVQMBaQrxEIIAgBM1t - tzhYyHAjz+nQQ6Px9IO/+EsXPnTgAk8hSttEDzgfUhcJIARFAk5h+kmdaip1zVHAuAMWFo4sbXvG - d34HnvOcz+bUDogBj6wg5OOHiZ80ykZCSZREiSPhQEy0e8+i4obv+k/3//pbFpKiSQ4A931uggCs - RFBV8LzZLQQFSLmkbanESKBxdXLyrIgSStt+tvBs7DMlKiMcpazgQsAjzg484YdYe6zyTQihT7pu - 8eWrP7Oz/vzOWXlcBdO6UnKd026u7v303be98dev+ZHvSxfimMalhV3tpPEODOmXNAUplKEQUmZI - yd4InaWF7ZwLs53+4ydQ7z31p7G29NIKAF3XMXPOOaU0Xx9nz+4RlJVOPCenQCaKmlGFHZdffA/r - kHQAQLf+3HkSUg+SxAJSVoJ6BQPoJBNT5app16p2u3cspQc/OxxP733bO7bd98AFvpJ2LAADmsUx - MoSV+7dcCiWUNzJEXMLdZfURgpS/AI47IadsS48xxhhjjDFmy7GPrpnT1g+1KAglSc3lA9nD4ULM - TU1uGIYxd5PlsQu8a7ifGzeM23fsueAZl9xwqH3grgN33nz3h26//5bGjUUjORGOKTVZVJ0Gjxgz - MlSFGM4xM2tOIpkZouBS+ub+jEI/bEjgMkg4C3gLIMTO1QyO0nWxZYcQwBWI2ja2MbkkkwN3f/am - 299/8fbLLtvzBTc8/cbLL/yCXQsXTldXpEXgQQgVg7qu88TBBfJUauVZlVTWj41zGQ+fjU+Slh8K - CFwGFXQ255QZLGDMEuPlCW3kK2aMeVw24YdpHz9LdxtjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYY - Y4wxxhhjjDHGGGOMMcYYY550nHNJxVeD6bhh75xzq5PJQ4cOvu13fxcgZs6SmR7Ryll3qZm1i0HN - utWy/ppQCkmZgJd9/w8859k3MKiZTBdHCzFvrjpm35nQte+LFGNVVeR40jQhhMAMoCL8zE/8ZO7i - f/vt31JF7V2bMjNAyOurHrPYM46bYZuPllCBlO+dCwBKqe5tb3tbl9PJJ/6RF+JbdzvJuZQbVKTc - 0juvqiW5x6CUU8liAGBQKWQQQAQGlOFAyqRJhMCKs/kV6141mbc0CKxQQHJy5bkSFJkA5zmlU78O - 2uyCTd6zJGna9KIXvageVKyQlFOOnn2MkX3IOZNdwd08MSkl59w8u0tEpee9qZRuN4BS3S6lzPk3 - j9+81llKzOU+VdWuH2pOICIhBBEpGe/Stt/SV9M7s0rMpsRxY4xVVXXdKXTmzqayoYgxquom3LgZ - Y86IchhDRGVz7VwfPCKiwWDQNA0A731K6bG3V85Rztl7n3N/b87RwuLota997a5du5xzo9Ho2LFl - Zh4OhyXyvUatIWuM2XrK5eCVRIDEANhnTyqOhGIKkCrL8rv/btfhw0MiZOqHxjaGEhSqQBbUUS9p - mnvf9a4rnvfcejCYkhMCK7Gykkr/7o3tuvNnGFGGgshVoc94A8Bxo2H9Dc/6pG05mRwNlybTaQZt - v2BveN6znv36X/zET74y3XffhUNF04mCoE6pYogoclz/66e6qpGCFFyKkVzqDRlMItFFLDn2SQcH - D/3za153wy/+1yXyfmFbIzpp02BhIUknBCALgSBQVzLKQD6DM+Rk08wAMjEAViHAKRgiZWtAQElQ - CAAmRXA+59wRtk+73Q1u/umfv/Dggb1pKl0G9ZePn8ZITAMe5JRO6ciMlEXVwwMChURgwd9Vhad9 - yzfVX/WCu3Oudu1S6UijSHJukJX6Ex1PJjw7ORJdFiIoFMzVaNW5Y4vdRS96UXPHZ+57119fXKFK - yAQBnACAEDIpmEjLnbDMzhWU3jz6bjcqoenqeBsxH58DPrH8fFYOvGdnJ6Cq2IDBBFo7cwZRyTgf - hiy0t/ZHoDRqz8JjszAAYoUT1FAgd2llTx0efPc/rO7evftl/3G8Y9f48PLSwnaVtkyTsGYqZ3mk - 9OPdCVOr3G+Ozl8+BFUlAq2dlTq59cPnJfh9foyUzscQiKiMAwN4tJMO/TnBsv6S9ts0EhA6aEQO - F180CT475BQJcPR55qrZZMqBlDhkqJAEBRROCM5BYsfeJZI44LZb2Zfi4T/84/FNH7xSpM6pgzhm - 5yTG/l4AEbjZzlCZhBSl3w0AoHLOWwgiYDphMmb7Plt+jDHGGGOMMVtT+ayvqtr5BPN4kKJ8HJuV - y6kBAFBumkY1KyFnEPmR3w7VPIWoMPPQLQ7zQs1Le/ZdccNF/7qlyW33fuLmuz5y+798IvqjfntM - YbWRacqgOkMd1JOogFXB7Fgz5gODKA/roR4AoyvN7HImsgwFMeCYNLetEgjknWhuEpwgKEbepyBN - HPM2uGH6TL79rgO3vu+B/7Xd737qvmf8q6d/8dMveiZSTtNmgIWgNYkmEUF2npxzEEUSx47Qf9ZF - CJkl9+luJsWsLZ6FpXxYfZbudgIGHAkzlRl4Po9nGrOZrf8g+nHnS7agLX/KyhhjjDHGGGOMMcYY - Y4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY04DM+ekWQVgF8Kwqn71V3/t2HSSgWFdr04n - olKFqo3daSRUmVlFnnrlFS///h9IXfQeIYSu68htjexZqSkcO3ZscXExxpiJmslkYWlpcVD/3E// - TNe2b/3938spMyACxdlpFGygJJm9A3MXu8PLR//qXf9TAMckcopXF1IQoCIAQgiqmlMCQKXqDTBA - IOdczlmhDBD6coACJCWiopglQ87mV/QXPEO5XpzOpg1AAGR2vTZRaBI+vasPzq7WVLo5nkhVv/Eb - /r3EVFW1sKaUXFhrCahdu908AaVPWb4pKx0RbcKOtfc+xhj6lAiVBG/Op9yRijGWcqeIlF+PMZb8 - 8AZMtdmqSta9LHLlexFxzp3qcc5WcapXCVTVuq7btgUQ41phbrOtR8659WHdzTZ5xpgzq6zj5WAG - s1A3gOl0CmBxcXE8HuPzbfFyziEEESlXUK0qH2N6za+8+jnPeXbOues6IqrrWkTatvXeb+mrrBpj - zBplZWQGtL8Ec0x5GPxiznjggQc+9E+XadY2MZiUNrRemTOC88jEMV5Q4dOfuBn33re0tNRWlODL - aIz0TV+mPt16fh6in0tM50E+dhPgYyvtzl17jx5dHjta3Ld3d10/8+dfeevP/tf46Tt2dN02T5xV - kjrnGDmqntJMJ4WuG6sEwIqygpKDAFHEExGxauYsddadUdPBQ//4K697/k/9VAhDHo5iTSmDweCU - WYTA2q9ZZ+EQR8sIax/NhVNRgiiS09y/dWMnIO3zlUqkKqOYl5aPTt7+x6Nb7qwPLY8ClEAEeBZS - TUqsgEvoqlMZlFWAucoSWTNVONbhELnFL3n+6EVfe4DZ7do9blYJGoJXla6LdV2nJ9m2p7TKFaSk - CmRWEgHgaDDOgsHihJsLv/1bH7j7jpX77smHV2uGU7hSOCchMKBrsVIA/UC6KoFUASFRB4qrqyAl - xaO8g+ezs9lfXxUq6e4zfuRPNCv6EpVVzpUW8izpPQ+W0xZ8z6Gq6LvdZ2XqlcvLVY4MCPACJSgj - tHGfxoff9TfTp1588b/9d+PhvknOQiKAUB+xKZNIOi/nilJ/gvLEbPz5hyiE8PhfpHmI3YeQiYCS - IdmCy+g6s8a8ltMi69sqJ789zU4Lziv1YCWQ46aRcNH+bjDIzUTj+b/4nH+kX5zZC1gBRSbkEvTO - xMQxRh+IHRZXxvjk7fe+888u7dphli7Hivqz3gI4B+RyDKNCpKQ027CXoWrWcuK7f29lg9bGGGOM - McaY89LnfYttzBwrZuOxArCQsEIIjgmoBKpaTgWoqkaJIjGEoFnzNLP67cPtInl5fOTGi77sxqd+ - 8bF04LbP3PyRT33g3gdvU3KhltWmgYdzrICIEJI6OM85C2g+tsWkPBsQ9KSiJIBwP8pdAt5ZMpjg - vGd2SVVVg2du82SS1MONkBkH24kAgyWI5mNZP/bgP37i7n/eO9j/tIuuvubKL7xq39NGeWnoF70P - SJo7FYUDwXmomw0Oi5Bk1tnQ5XxWKUgAkePWrfL3LLNi99b41xfGnF/KhO152wAAIABJREFU2PIJ - P9zSHyqzjwsYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOedFSInYu5 - GY1GTdvB47bb7/ydt7wFoFCF1enEeS8ibezmaTR8vlaxrruNitQ+fO93f8/S4qJTka5zzkk65RTr - 2VGuyrW+lCAi3vs6VBBlUKiqgQ+TZppSHlXhVb/w/x0+fPAv3vXXAEJwXTzp89oinaFSbdEMQBVZ - 8Yfv/ONJ0xGIyAFrXczHU0VhhmNKqVxmLOckDDgmVS2X/q+r6sI9e5/ylKdceuml27Ztu2j//hDc - YDAYDoeDmRBCXdcb82w/jz46Uq7Rv26pCM53XcfMAJJkMFXDwUc/9vGX/sDLTu3ySyQgKguFKAC9 - 8tJLrr36GmbOOTtmIhLJznF5FGzlqzuZc845VxrYJcQbQsg5b84+cVVVXdcB8N6XIi8zn+rVzZg5 - pVRVVekNE9FwOCxRzy1tS1/lbRPy3k+n0+FwWL5fX6o2AJi5bC7KejRfiTbbcphSGgwGMUZVLZ3d - uq5zzs65cz1pxpgzjIhijCGErusWFhbK7p6Zy/Gzqq6urmJ2LLH+res6Mr8fAghgRuzSG9/4ui/7 - si89duzY4uJoOKyPHj1a1zURDQaD8XgcQjjrz9UYY84kgvRV3JJUJZmX6WrmpdXJg+99t1s+WEsS - UH9R+o2kADlGpoBM07hQpfvf+55LrrhqeXuYVuRFQaK6FRumW4OyEyUQXOivxa2Pa5zPnIQT3j3c - NV1p/aBO2q5k0dHwwisvu/YXfvbWX/6Fhz92czx2bIeU9S0TUTj1t1MnvDSkcAIHiMIDCcgMZlAm - KEjFCbaPJ/GWT93/q2++5BUvW9XsF3aOmzY4gDQ5ARCiI+VEEJazkHYQsJaSBCEDnQOgSlTS3U7g - BKxQQAkTTdscLjw2wW13fOK333JNTtsWq3a1qx3aDBEhB8dgRc5djVpxCm/hhSBO4KiLSAnVzm3H - dmx/9vd89/LunU1Vj1dWt43q8epKUvLeh0qzxA2ZI5uYUiltIxNlVgGY1Ykg5zjuRqPFaeVo97Zn - /ejLbvqRV1wp4lebKgsplMpYugBgZQLp7N6UVNC/ylwK7FnjygRZobl/WOUy/K50VgvWUrZ/TAKQ - KvgMrxCq6yoORAolIu9P0kEoiZqti+gslZ1ZwRnlkcpsBeAI5OGApZWVu179m8/fceW2L997VCY6 - rDKDtC8uz3d2JcOTGTo7YUflvNhWfgkegxBAOGGUTOgkaZ9HzgHnXKSypmx5qlqGCMq5hvUN75Pf - HgwwKbjMLi3bNFbnG8LSvv1tXSWeAmAmlfNhFj2pCIMVdYJTzgAxhAGFTrvtOxYPT5dT1yxJXFxe - /dirXn/5sek2qEgEA55ypxBkwDumLEoQmi0Bpe5FnOo6Z627xBCoUtnOl/dgetyejjbZSLsxxhhj - jDHGGLOReP4ReiHpB5DL/1QYzrETkZyUiAbVyA14ZeUooo4GAxVqpyuOeffC0qRrXMsVhRsv2X7j - VV8yzkdv/dQnP3zHTZ8Z3z3BSoxT5Ug+C0sS6ZK4vpTNUKYyjk3CAJQVvkwBQQBx1H/CxDEAiKQY - IRnsWL3LXsLQqWrbZRGMApidZGjO03QUxPVS9Tk69i+fu+V9D/35nuGF11964+V7n3blhU/dHva6 - POCuqmhYuSrlCFahNJ8bTiGgciZFoKzlk/y8/l8dKEEgwp2Ay0C6l36o0xhzThARgDLafK6n5fRZ - utsYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGPOk45wrCTQwZWiO8W2/ - 97ut5AykrgOQUiqXmCnfnPQqM49xZX5PfOVTLv+P3/7tzerKaDAgxXhldWFhIckmrXefoKQrvfci - oqqapWma0XDYdVFiGtaDN7zu9Z978Tf988dvjjE7R3krX6VdCc65rCqaiegtb32rAiDq8iO7d4+F - ABIwoQQBKIkDLty1+1nPetb1119/9dVXP/tZz9q/f3/lQ4kHEympMnNZwGY/fKxL5280V6Las2qI - zAPsKTvnmFkJbYzkmL37y7/8y9N5jNlTK3Ppa17w1aPBgIGmaRaGQ+ccFN77rovWHzVPUM65bMbb - ti09bFWdF3k3D2buuq70M9a3NE71fkTEe18S4M65nPN50O02Z5yqDofDnHNZzMru/hzudzabMh/K - Hrmu67ISbcL5471vmmb+fSns2n7TmPNSSimEkFIaDoeYbaDmxwyl1d1nuYlO1u3uqSoRmCACEbzi - FT/0lV/5r4l1cXHUti0zLy4uqmrXdTHGuq7LAxljzJZGfecXBGEFQ0q6G12D5cN3/c3/vMa5nFA7 - n3Pe6HIlEWKMDO+9SynuIrrjve+75Bu+abSwtFIBJNDSwGMog+y682fSfJgLADPjhHAnnVDnlBNv - YE4kXdeE4Ftt2VcuIvnwAMfte3de+8M/+Klff/PB978/jKcLMVNGVmUmnNb7qfKSldDj/GsIEEVW - FSgBgckpHMA5uZWj97z3Pbv+1bP2vuCrHhDvlhYlJ8FaKlIJQtoPeG7kKiY0i+OSAKKETKooLVSQ - cNngaP8ckwe2tx0+c/8Hf/nVV0KHbRM7OEbMCB4aqEvKAAkSOucC8qlNfZs7kA4qNIqH2D37//ne - 5oK9RxYWU9LFQd1MJ9uXFrqUYkq+r/0+ybZAyrOEiUCFSZ0IKZPmpW0L0ulqVN29ezjwV7/4mz71 - O797pYvDJIkBAulsZpGospRiAakSACk3KGMKXqhtGuRUhhfKiCXNK8pnHTNTaTqXKTmjd66q606c - kQLs+/EK6XvnWw8RPeb5wA1+dAjKFkMZAJQlCTkAWOzy01Ruf80br96x49Lrr/2c5o6cU6Y+ycMA - FOXMkyiJAEpMCqd9NWhrviDAo9TfS8KnvwEz+m3yKTxPnvXs5TR3X5tICCHnXEaARaScPnjsQc6y - r6L+yBkAKyFDIym2b2/rKqsASuQF8Twtv5+vRAis4oS9AIysYBUhHg6Gk9WxC7o9Y2+T7vytt+54 - 6OBw5RhB1IEDJo3WDqHy7TR1UWtlUDnOASkUiOQa7/PSSGLyIN8lILPCMVj7887rj+uMMcYYY4wx - xpgnDyEAzLrW7WaClCA1eYWklJj9IARVxJhizI58qEhVJSfviJlyTANfxSYzqqGvNSWvS8+//KIb - n/oVn23vvuNzn7zljo/+y8N3T3nVD2r2kajL6D/QTyQAuB8tROZUCtkAszqASRlIICFAFazwDHhS - cSllZaQ2AXDOeU+qmmP/kZVq6EVTkyLRGBWmgkl35MFbP7uI3XsW9l+17+pnXv7cKy98unIej3Oo - q/k0uDJYCbDy2qgdzQLns2GEeb27n5HlFIudwjPGPGGW7jbGGGOMMcYYY4wxxhhjjDHGGGOMMcb8 - /+zdebRtd1Un+u+cv99vrd2cc7u09ya5SSAhIYGEhEYpsADBchggIPJ8WjUc+pQ3FBGosqvSeqNK - rXI8X8kblk9s3rMoCi0IWlKUQSEBpVQIIqB0aUjfdyS53Tl777XW7zfnfH+svc89t0lzQ25ybu78 - cEfG4Zy91157dXut+Vt7fp1zzjnnnHPOOeecc84555xzzjnnnsUO7QPNAFSViEoRYuGQpm3zoSuu - mD960YF/rYN5HzbwaLhvnE8EMyY21Uhspj/19p/smnY8HJkUERkOhxs8/2x94+y+mXu/BAKxFqli - klwih6JS2m7z8vIf/Jf3X3b5G26/5z4RA4EYZsTMWgT7W3YfG8wsVdVkNvvraz578+23KaA2X1mP - FsgwHA1mkwZAilyKAmAgASYagDNPP+3yyy9//etff/7zztu0aZOuS9EjlXn/sD4Mpc9NWGsqZvYM - BgL0WSNr+TFrEaCDqpqsrNbDQUwxq6YUOYSP/slHnsRLxJRKlwEoEIHLLrssxpDbrk5JculfWkRC - 4GM5NsJtCH1cd5+5KyL9LzdabjcWGZzrPyCe9IfFWmDn2vt17iD9HtFnPPdJUVh3wuP6RdHvQW3b - rv/lhtLv7P3aLKX052xrK9Q5dywSkf7gnHOOMRJRfz7Q/zKl1EdthRD65K3+Wf3R4KDDVP+Y/uc+ - 2xtAXcWuK2ogwvd///f9yI/8SD+1UnL/Ev1T+hyvDXjcc865I5VSattWtFCqgipDIwgkrepIFF/8 - u60PfROrk4r6w+hRr9cxQmdSBZLSjGq0K+Xkah/+6rPD733z8pbNkz0PxbrmUKmalBxTgmzoEuIx - x8yMCeBqODAiJeqrcIR1xaf9FUBf+I/FSJE0kyRE7jAsSoCBJ4NROWPHuT/9rm9kfejzf1dNJlxy - n3VLBDIIEEOAajZLIUD1MU45bJG5zutSHhmwAmIQQwEOKGqhr2eqjVM5OU+u+53/76UnnXrKK19x - uzZIUUpZTsPJZMWqusCkaJUqKd3TsaRIyfq0XVMiBXddWR4tlyYH4rbkGCMCBSnjdjZYmVz/nv+4 - /YGHRl1HgBhiYDZVRS6mACsAJILq5Mgu/EhDBAWaNrZvaXj6m16Pb3vpnmqQAwU10S5GbnJj4EDM - plCT4yz5QqkPCGECRy1mEgygoqYGzl2pYmrNJvV48+Vv4K9fP73mS+NmXxnEtsubuB9qQlYQq4HX - TXM+fTFJMVXgPXv2ARQZi9PtA9YkL1KWj/YhyIjULJgZwIERQx9U/FSFqhKh37cZUJG+XpFS+pZn - /BnWXyU9/WWX/SE1/WuTKgJAMYRcJCVoxjKVfQ/cf+3v/PYL/u0vjk47uRtUZVYSUVUimLoAAxee - j7woI7MGRVKwQsOjvfIxgw/ZaOfrSbUaDoAnNNrW77P98/oLZONnQ5Gtv8xfX154vNxuJiCYkkH2 - LwAmEw4JeTI+6SS950EGRHKgYOZjEMcSk5Y4WkhiamaBTPshamMiq0lPaDv8xed2/4+rz+tsxMm0 - iEmrQI2ikFw4BjImomxdCMgFw4RZQZuqlbo64yfedsPv/u6grqTLASC1AKiBDEZQAox5PhreD4sf - ZycczjnnnHPOuWPZ2n3UAFQ1clBVv0PHPRE2LyHDAEWf3m0GUCA1BZQDwzSrsnEgi32adVEjI0L/ - eKX+OYkBCIAUSUlgZXAunXfW6Ttfe+Z3P9w9dN091/3DLV+8Y/ctwvu0bsCd9bndCjIOGo20cCmk - rAgcVYMWY0MICVRgwtx/DQKSjSjXMXSS5kHapjAFqS4ybzsrZOA+T7uvWgbtqmaFdk1l5c7bb/qr - m686abz9BWdd9PwzLjjnlPPrPEwyYKlU2YyJI3NKBVATUyM2NjBpMAPU5jf9Qi0Q15wWN8g9I6vR - ueNaX17uR9dFhIiIeIN/H+qxeXS3c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPuuGNmMUbJuU9K+MTVV+2brD7ZSQG2aKKvfaoIti1vuux7vmeYYjdr6iqFquqadgNGFPQt - s584M6tT1ZUsRbZv3/6r/+7f//CPvY0ZuWhVVW3TEdE8T+6Yyl3OUiBhMBh88INXBI5FiwExRlkX - ub0eMfrc7j4Dj4G6SpYzG1758pe//e1vf93rXkdEIlLFNJvO6njAql+3yDfQciJb5BwYlPZvG2SQ - 3G0aL5lZ03aRuXT55htuuPvuu7nvBfeEcQglZwYxLDB27jjtJZdektuOn4pcEOecc845545Ra7nd - /TVjKSWEQEQABoMBgLXrrMedlIiklHLOVVV1XQegqmLXza9rXvvaV/3yL/8SB1R1lXM+9pPanHPu - cEibrnDgKg4AwGCiKkrAUlUPVrubrvrE1pW94xAki1ipU7R8+PrPU4WZgwoICmiLOmK8e+Wuv/rM - zsvf+PDuXZtHg1ZLkwtTTFUl6sfno8Yes6k/YeOU6TYuUiUzCFsKfSK6qRDnwCtc48QTzn/nO24q - 5aEvfHFbLptSnWdNxUQhoBQRYSARgaiYPfZ23q8KJYAQ1rV/Z8AMvAj2BmBGMaDNtoVL6GZf/e33 - XnzOWVtOPWmFBgW8ujIdDYbZCnGMVWzbNoajmKmiBANAGkzJrK+dCgDCeDCeTWZL9bhpmjgYztrp - uK5pZXpyDPdc8UfxlttObLuoGlMlOUvRuCjMMtgAg+ripyNCgpas27S898QTz37zm9st425QqyqT - wdRIQTof3difHn0cYWOAlYgNUAYpAwrlgKJdrGMfRL1HELadcNEP/MD1X7txVLKUZvN4JCtTMoAQ - E4oC1meR7s8A7pcqmXEp1jRQCYpyfB7iPcroSelD3tdvTgBgXEoxAAgBYrnbbNLedPOd73vfmT/9 - bglpxcxCiBQm0zYuDbN0fagGQ82gdBzu6NDH2wKVEI7vcwC2RYS5AVA2VZpvKGQgIoSIwQhEdKTj - ym5D0BgDFNnACApR02LFzMzSGDZcbfj+h67/z394nnGarRTTgAgzNtXFAC4rw6LCmFhEh2NYgzbx - N5fH577qlbjgXNm2eXLvboINFqcUawcbA/uZtnPOOeecc8654xIDMFIlGDGgixu0ATLqa9GkZKyk - bAzjRTVQ+0cbGYMWT5tfarMGAKQcERlLs9yR1q84e/vLnv8d96/cffvDt3zh2s8+Mrlvpd2NKDGy - qpiBE0KAEczQ5RJZYlWZWdu2IZIqgiEEBAJFiKDphAMB3EeAz1967eqeFhUD23/vN1XW6MRMwihF - C/eVPd+88dbPXX/VqaPTztvxgheefcmOrWcv11sijbVI12QTHqZh5NiVLIxQBcDatuVUQSUyh8Ao - Im0hwyBVGcWrC85tBGbH8L7o0d3OOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzrlnrUfrH25mfXq3MonoFR/+cFbd31+qf5bt/xH7f3EA6qO7AaiBCWYEMtPXvPrV2085VWez - uqpKyYE4VQHQDdjQ/KDI5LW05sMKQNe1sUomJYRw+Rve+O53vuP//s3fGtSpmXUAJBciIqKN2Zbn - 0PfVv98UKyO64867/+Iv/zJrAcAcS3nUfv4mAFBXsXSljlSKlS4/Z+cZ7/m1/+vbX/ayLVu2rKys - DKqKidrZdDwcyoERUMdKTPVabzUjMrOcc4yBY2hKvvLKK7uijzeBg813MjIzkOKfvO67tm3ZurJ3 - bwhxbZn0a+Sxt0PnnHPOOeeefUopfXR3jFFEQgghhP4Uug/tJiIzY+b1F68HGQ6Hs9kMi3PvwMhd - GQ7r2ay95JIXvuc974nMgai0XYqs+kROuNcHux3xJYBzzj1TmBkGySUQBYoh6ADA6orcctvKdded - 1gkJjEEKEzmqc0IGUiMAJoHQGiJhk8jN11678xs3bnvB87oBOgKMBRaIzcwzTp9aRjDyhfoUKgQw - CMQ5MBmTKRlqStOAaueO5/3iv7zhF3/lka9dm0qbCI3akJQAZiKQqHIpj5kbqyAowWieKL0W/ECG - oCCaVw77SG/m0EmpB5g0GNhubqc3ve/3n/eud0nWsHVrQzYpecQwKTMQpQg9urs8SPv5ZAP3KeMB - ZGxd2VQvTaeNRQqDaEWRmzNiwjWffeCjf3bqnj0DTp2iVYkcSJWgDERhoVCYjXIyJTqyjHk2VIxH - CnYtLb/ox37UTj/1/kTVuLZ9q0hJ1iKBWdggHGBsx9P5Xr91wSAEJURlBgsLE4ip6Wachqo0oEoV - u0x3XHLpWW++7I4PXnG6jWVfUwEc0AgigWyeWUKYBwCv38i5aJ7MUHT/w0zXyuBBmeyA+JOjTWn/ - EdHoaRqzMvJq/5ExgpCCEAxkINu/6zMqQoEykRTToWHrnkfu/dSnl04764S3fm912ta7ZhNKcbg8 - 7KZdjPPNMRg0GPVTZp1P6dnoW/nQ16drj9jAdJHNrYoIIBoRgJCq8TKYmKAGEHkM87GFoGLoODIz - ERsVoz66u63bsjwpt/7Ofxnc80BanZIJh2TCbBoVIPQfUKysQEZJkSvS6QSDiGa8/MiZO573o/8U - o7An2LLqJgrEBCnob50AACgBRmEeTPYMLgbnnHPOOeecc+5pNa/5Hlpx6i+2DSCGoS8OC6AhAIH7 - 2jUkmM4fSGZQgIVYCULcl1ub3NUcUxhu5gFaaKcn4KRzTnjB91z2lnv33XXd7V/+6m1fuHvPLW3a - J7HtSte0qIZINUmwnK0rbQioxgEgVjLVTgQKYqSAmFCsKM1r2qwMYzYAvLi61/3vBTAgspoUERBa - ZVhA5kmL3fvKfbfe+rW/vvXjO5bPvOCMF59/xsU7Np+9NFhKKU27ljRwXZnQ6qQhCnU9sKJmZNmU - rKIUElS1SEY43it3zj39+hvVDvqlR3c755xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOefcsYSZsxTiANDtt9/++c9/nonF9En0c18LyyAig/X989/61rfu3bV783gEMzIYLIaQ - cwaFp/idfGsOykt+XMW0b7hjZrntxPRnf/pnPve5z33ui39PQF3VTdeaGdGx1CHLCKZW1/WHPvSh - WdtgXfrJo2UlEQGG0pUqhFJk6/L4n7/r3e/6yXfUIUynU2m75eFoOp3Wdb1pedO+vXvrVB00BTY8 - ajD4RtK3XQsh5JxFpK6rrGKEj338zwMhH2nzJbMYopTS7zVvfetbV1ZWArE3anfOOeecc244HPY/ - dF1XVRURMTOAlFLOOYQgIlVVdV33aFMIIcxms/5ZpRQAZkgpzGbtueee/Xu/97v1IDFDJDOTiBxb - F27OOfdEGVMgBbR0MKpCIhQSqU2WmuYbV3/yRJFBRmOoEkdGKXq0GzT3xTQV4cSsqoIh0+bc3nbV - J57z/HMe3rcvLG+pU2pFcmlpkZfonnK+XJ8SwQAoIEboGGQclBkw0c7sPtjOE7Y+/xf+5fX//v+8 - 9ytf3l7FqmgxVSAQgUgVCqQQTB4nQtuAPhNCCYx16d2LXaT/jZpUzNZpBEywnfS2T//Pct7zT37j - 5ffNpoM6TUsGQ7WooaoG1h3l6G7M540NwbQPsWAgcmins+FwMM2zyXTP1mHFDz6EaXf7H374pEd2 - b2MmKWBuNddhoNos3izBWIlBdESh3WvMSJZG9aUvwne++r4qNIPB6p7dy+OlXBSkrACZQpUYYF4k - bD7Fi2MDI2Wj+ZbG1o8FsCIGMWbWYFkkckh1PZk1K7BNb32DfuXvp1+5Y4QcGMaFgrUFg8U40VrS - fB/HQgCZBJg0HXIhIiJSVYAAfZYdlPqPL163lfZb0reSo3w8U5rHSPdh8LA+sMcAMAdVqAhzDFTY - 9IQAm7U3fOCKV5713GF94dZtS9NuWlAGqdYiBmARMN9vn0LHXfKyPalD6HGKVMlsUS5gFQaBuF5e - 1v2DygbwcfV5caxTVTNSgELUoKows0RlicPmyap+7FOzv/uH7W2OJnVdd13ur4+CAf1xwwAoA8TU - ljwM4IDdXN0V08v/xU9hx0nY881JyQZOKXFpC8CLY3+f2734yPNtxjnnnHPOOefc8YQUBxalyPr/ - 8YGPM4CU0NdezEBQGJj2j4zMn95XZEj7ZwyHw65pJNsoDSHczmaBRieOt832NKfhOac+/7RXXfqa - u/fe8pWbP3/DXdfumj5Yokwmk7zapgGlIE0xEyhJ14IDM0fiQKTEJlAVA88riovSIrMxADI2UoCV - tB+o6cdxSlMCo0qEgKJWCJxAFaRAcqvl4dua1Tu/cvtnvvIXZ5507nNOOeficy/eNj5hUC1JS4x6 - uLwcdVBmhRGIODKrlU5azYWDcYwGw8GLzjn39OnvMTMzj+52zjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc865YwkRhRC6XGKq//wTH590rREzs5oCj9fAfX3MgKHvXm4GM2WG - KeqUXnLpiwd1nWLcu3vP0tKYmdvcmBlv1IiCg1KB1sefrP9ZNI/G4+m0UdhgNFidTkbD0S/9m3/7 - hje+iWJou5ZBCiJiMz2Gev+LyMrKyof/+I8EIA6BWERCjFIKgHkn8XXvJaWQs4yG1WzWveSiF77/ - ff95x6mnQg1ko8GwdLl0uU5V/8PyeOnQdL213O6Nk89xaH620byHuqikurKcs5RO5da77rjxplvy - k3kNKqUQkJh37th+ycUXJ2YywGRdlrn3VnPOOeecc8cXEQkhNE2TUmLmqqqYmZlVFUDOua7rtm2Z - ueu6td8fZjoqHIOJmln/lLqumqY75ZQT3vve9y6NRpvGS03TAAghtN0sxnUtSQ/T49jPzJ1zxyQl - GMjUIlOMkRFKJ6QS2gYP73rwr//6/K5kQ0xQGMQS0VHvLm2SiIoZiQaKnRZRWarjrX/7ued83xu3 - PufM+5WIEYizlhgDSL31/FPsiS3P9VVQd1hBETWCdBa1MAwMoBAH06CZzZYHSw/s3n36Wdsv+D9+ - 9mv/6t88csut20eE6UxhrWhgRCZVM3uc4EZeF0Qxz/Ben06xbh0xMQxqiAkZCNP8XJnc+sEPn/fi - F41POaWRpWppedJNhGgYKmkaPtor2PZHmeq6wm8KsdNWIbGGdW3YtfcUrh6+4kPTf/jqOUZBpdFS - xUEgg4r0jeMNABhIoiDjflkcyewLBa3Gk6UtF/zT/2XfkGQ8DlXKkxmpEcCKYFAAiyq+Hn/nf/MN - jMUMYiCDIcBITWNMhYGEaTMdRIzqwcTK0ilbn/v9b77nG//vjpIk78s5h02hnYqthZsurC/7M0Ci - aFsmCClIgXDIvDxNIbh9oDie9kRtBYg8PvlJWbfjE2CQVnMVKylGihhSJzkYtoR8/iR/+T2/cckp - /3rL+WfyePPUSjPNW5a3zLrWACMGtJJ+42Q5MGf9ePPYb/0Ij7XPJgqokRmpEgPKxjBjA0DV8rJi - nhy1YQY23RPFFI2NlBSS1dQsqQ2LbGknuPveL/+nPzh9z+owEhL92IwIAAAgAElEQVS1bRs5KBQw - tvUfFAqomoxG9Wza8ubxA2lw7g+8FRdfuGJ5uRpUsY7cobRFiwFgMHDoGZ+fbzvnnHPOOeecO65Y - X4WdXwgzGxR6aB1e57X5AlIjg0EIZqxMbAxwP87FBkCDaZ/eHRE4DKVYlzVGHmxaKiaNtgg6TAMR - mu0tz4kXnnfJC1cvmDy0+uCXbvv87Q/ecs837yh5Ekc6iu3MZqUr9aDKBlUojEIkIpEsUiKBME/v - 5nU1cCMFoKRGqqS2KPwOGUEhMAGUYYa2g3YgQApqSBgVHqw+0uzete/261Y/c9VN45M3nXbhWRdd - dM5Lti/tLE1btcNhWBqFQdN0xXI1jIihSJdZ6sQ6o+O5pOncxnFMR3cfbyOhzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845h5xzSimEwBSv+sQnCaSmT66VzP6+1QYiArBz - 585tm7eM6sFsNqvrWlVzzkTEvOH6vbAdWXf+EGPOmZnrui5dV6eqmU5f+Y9e8ZNvf3sfyUywQIsk - uWOnNc9gPPrUpz51z/33ARA1EQHQ//ewcpYAlJx/4ed+5s8/9mc7Tj11NBgybDKZmOh801pE7k0m - k8NOZN6wbMMwgi7+rc1b/4OYgklMEUMc1h+98k+7JxddYBZCAFBU3/SmN63tFAdthP1LPx1BJc45 - 55xzzm0A/VlxVVUhBCLKOZuZqjJzCKGqqrZtgfn15mNNJwQVMbPBYNC2bT+ppaXRb/3Wb+3cecam - TZv27dsXAs2aiUFSSk/He3POuWdIfxQF0HWdGWJdDwNPP/WX23btGQoYCAFmZgbQofmpT/XMAIhM - QFaQcsWVgrjrlnc/Uv7qb0IYBKWcMzPFeNRn5ri04Uqyxy4lBoIisDEbyBRQYxWGKOrRcNLM4qal - +0lx2qkX/dzP7jn99AeathFLdWRGUSBwCCz6OJVFWhSuD012PKigambFJIRkAjMMCZsm7eCee+77 - 4z/ZLGWgmsXaEkIYEpSkO9rl2LVQZGEIcQkQghK6rhsvL600K0y6FPRkVXz5+js/dtV2Q2zaoEZA - kS4yd5Ijw9ayLVEYmaGwI67HthzvQNj+mlfhwuftG1dNKdTqtuXNXduyrQWLkyEAgY7LXUUJSv1m - XISLMgCGVaC6KJW2DFPFALQLVcyJ7460/IqXnfjyl9xV2o6YQd1MUgCtS/rt176uq28zCLDuUYYJ - eotN/SiuBH0mBiOekRd9dmADA2RQsCEYgoFtHv0OSkSwFgKzxDBFle3E0mx98KEv/cZ7Rw/s3tK1 - o2Cp5mme9ccTISgQDFH4+AzN9a3xiTDCPHJpcVRjUwBqNhwt9efOBshxG25+bGIgghKFYKqlFNMQ - wpCrLUJx1+pX3vu7p7TtNiDPZqVYPRoUFZAYKw5IshdlqeuwZ9rSIN1dSnXpxVt/8PvvRWjSGFRH - CySqKgyOBABZAYCVcZisbh8Eds4555xzzjl3POireYq1IQ8gaKTFP1j/j/vKMJuy2dpXEhRkYKH+ - HynRosCl/dSapjGiWEclm3XNNDdZulI6Zm5nXTeVsW5elhPDnk1L0x1nDV94+aU/8BNvePdPvenn - XnfBm060s7BrnCZLY92qE0YLKJFBcsltR4a6TkHnid0AFFBS4bL41xmVPrd7v4jMyIauQAoC0SCm - caqGMS0PUoyYtO3ePMuDkpfLymBlV/3gHe2Nn/j6lb/5337ttz7yH/7q+j+/Dzc3y7se1DvzaMWW - uomtNmVKMQC0b99kY91w79zx4dAb1Wx+j8WxKj7TM+Ccc84555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc84555xzzjnnnHNPNyMqRWKs7n/ggW/cdGOIsRQxO6B19BNp8hT6ptUGov19yi+88MIQ - QtM0msvy0vJ0NlHVwaguKhuzEzXb4XvWz9NB1jXYCSFMm9lwOA4hTKfTWFVLo/FsMnn3O9/1yU9+ - 8oZbb3ma5viplnN+/wc+EEC6WI0x1SXnR4sfTykMq/o//d7vvuGy15PodHVSYhaRLVu2TCcrbFzX - 9axpuq4bDAZLm5ea2exRXpk3WDOxw0c2cIhdLgWIIUxn0//xsY8B4AgpR/wCKaVWhIG3vOUtXddx - jIHmeSSPth0655xzzjn37EZEZsbMbdvWdb20tNT/UlUBiEhKKecsIuPxePLomX8qAqLA3LZtCEFV - RezXf/3XL7nkEiKYWQih67pt27Y10xkfp3ltzrnjAnE0EZCqWskY1DWTYPfeG6/+5FnFxkgUTYsG - A4NUlcBHNT2OABQJBBjYmEJqGYx8spQ7r7nmuW98SzzzLFJRK8SkVgAP8HYblBDPAgMIVuqiwqIk - hmCIlup9rcQ6Nd10M1M3rPS5O5/34z/2yH/8TdxzvxFClaTJqsqPdwpCNo8vDv0jjbEWiQ3ogeHG - GVoPRrNmWkcekOVskbANevPHP7Hj0hfF171mn5aAcVBCaWOAHDyBo4GFQBBjCEEIbCik0k2HS1U3 - 27usmWbdrb/3/h0Pr26mUIA6hKgwFcmdAohRigEIEAJAUMAsARyQ6Qkfr1aqsOus08/7oR/cLVON - W1KHUEyaMoqjDp2RKtgQDUyGpEqmwo8Xq/4sIoQSAIAhMC3MZJokAkFS6EqL3A0qqjga8axd7Uiq - 4bARO+nN333HP3x5y57VTbO25DyIAGAEMhg4MwAEU+6HjcwAIqLV1VWIIuw/wtsTX5fu+EOGoP3I - YxBiMoAKCCBUAKQ1himKWQyBTYhhZbZFQDfcdd//8/4dP/Pj4RTipU0rTTfkyghdAMDDAlYYsRH6 - eOZnK6P9UT4bbBhu41ICwUBQhgKsUEMwkMEI9XAwgQFQIDCZ+HI9ZpAxFWVANRM4UlVzjE2p90yb - j16d//7rWwbDbnUSgHGqm2kzCKRmalCCAbTuzGnWSL1E+zjs3rrlpT/1tmmdNC2PATTfDEIsFMCc - kmmbFdbfO2FH/cTLOeecc84555zbsMiUARgTAOP+57W/6lrlypiBYEymfeEOiz8pAeu+2mAA+lo0 - GY+rRlpSxCoMQ1RVqMUQ21k3Ho5jqJquzZ1RGEbm0rWjDkvV0tZN28952Qu+69vecu/eO790499+ - 9ZYvAbtbWy3WUk1G6ERMQRYM3FeDhADW9QU3AGQgm4/jkEIIuw2ckEJg41CAztgMEFONVTBmQInA - FIxYLQ9HddNOkGalmt7c7bvt2uuuumHT1sFJ33Hpd5510jk7lnZyU6NJ4zJaps2jWMTyUR3Pdc49 - QR7d7ZxzzjnnnHPOOeecc84555xzzjnn3NHS35tzwLc35/+HARiUSI1gYP+WunPOOeecc84555xz - zjnnnHPOOeece2zrc8nqup5Mp6Gqr7/xGyvTFQGIIwFqR3YXCoVoUgCEwKWomTFw9s4zVTWQjUaj - rmmYOcYoIqISaGO1fOmTkh83LqVnhJxzXdellFLKeDw2s27WpBRP3LrtbT/6Yz//r3+BOLaqzKyq - xGy6oe/qmbc2A+65957PXPPZAlMgcCgqJedFHvv8LazdxcTAWaed8cE//MCF5z2/m85MdDwcdF03 - rAfT6WpVVaWUyWSSqmrTpk2llNWVlRgPv943bGP7RYS2AdRvHkQ0GAymTXPPfffe8I2bCwFHntsN - Q9M0ATjrjJ3nP++8OsTIVJpOmUH7Y3v6GADv5O6cc845544TZmZmRFTXNREBiDGW0l9pBhHpH0ZE - k8mkz/k+7HSYmIhEhIhgAsOv/uovv+Y1rzJTIm6aaYzMHCeTCYOIAo7w+tc5544tRCBmBNSBec+u - vdffUD/yYL0yKUACg0FA4GBqBlur+9CBh1jj+dfbaN5J/8kcOTmgFdSRAoIU0QJFrhPqpjx4153T - b9x4wulnFLaZFIWu/x6dHlg4mpdo1ubtSczKcYlMyTD/NiLhMEuOfGEeAWWQgZXJEEwIEACkqlzX - 9Wy2smk41Nns4bapt590wqu/w669dvfHP5G++cg2BjPAVhR6YOnv0P2LnuAqIa1j6prpgAlqUGIY - pYBpt4Px9Q984AUXXXDK2ec0FmdtO0hRqQP60AtmKBnzIpZysbsxr50gkQIHpGg8AdzHYPffdRVW - ITZENQ4oqYq728kJqTphb9tc9Rf5huu35VZkWgGAmEqMLKJVQNuVwOHQiQPcHwP6qvKBS6nfwrV/ - IwYWCvuq4dlveH3ZutyOY1YNxpE4pjjrWlT9w6AEMuozNo7D0AsjBbQPFynrVnVWCSEErbQtVowi - srTVeDgt3SMUTnvRhVv/8cv2Xf3XcWaDEFQF81jTfgUZoDBeW54GMJmurkTJqsEQjGDEZMIHRwsf - xVXANs8Xx9M4KqHcR8vwwTsSPTs2NjZSAEoI8ze09kb10fbT/q/rf2uLXRvzTyTtfyIDA0J66IFo - 1iExBjGpailiQAIE2JQo7dt75998Ts/cfvqP/cjdsne8dZs2snhRBsDGsjhiAPNwnfVHQrYDTjbW - zkae4EjiM2KxB/HiAwXan+oBAAhq4Eeb/438vp5e/WZJfSYT91svKZjErBBbldY2DSICiZ8/PYOC - qRKEeHGoYZ5/lO8/Gej1W7ipEAcwQTUCVZOXH96N2+/72of/5PxYrT708Al1CIWk6yoAZmRgggBK - 84YeAQAhBOwD35riy9/xk3Lq9j3jpRAHZe8eUKhCDKwMhVkWGFDXpJ2BlCz087lhh8Wdc84555xz - zrmj4wi/j2Doa8kM1v0VVO2LhmQMUoUCzKRKEClEICY1bXKBKIOYwyBVuZNWZ0ZgCgCZWcUpCFOj - ubPAcZiqs5bG21925nd9+2V3PnzL9Xd+7frbvrpn38OIWpMoTKRIyEZFCSD0ud0H1BwW75AVBhiB - EglMRYMhEccYWc3UOJCZsHEMJIquVQocOM3ahghcS0ajOqNErU5X8q4/uub2lMdnbz3nkvO+/fmn - X1zibFqGVRiwzYeTyAgA9z8v5metUrWunnn4euz+BT5/5toAIpTWqoUHDhWtObIxI+fchrOxvsfl - nHPOOeecc84555xzzjnnnHPOOefceotvGCMAgBrW3SdnAEGRg80kUGaqEUWL39TmnHPOOeecc845 - 55xzzjnnnHPOOecORADowGAzI4gqp6hMV3/qkwrEVLW5Cykir3vYo03SFvkLQJbCIIOpgohMLQIn - bNtGbKSUpRCDQGZmsEAb7vaWtezqtWbZjxaL0j+AOULnLbckFwAxsJla7v63H/6h3//937/r3nuy - FlEFBzNdW1AbBBHlnKuqAtB13WAwWG2aalC//w//a6PW37BEMCIyA4OUKMQouRBQx1CKMPDtL3nx - f7viw3WqLJeKWQHJJXIQycyxiIFCTMEMXVcAxFg96vxspIUDgMwI89hsBUDKxgSUUgaDQdt2g7r6 - 6H//U2D/XnBQl/UD3tAhf4uBTCwA3/eWt0Tm3LSUUoxRVQ2spH1IBPYvmQ23yzjnnHPOOfctEpEQ - Qp/VXUqJMRJRH7mdUgLQ/7z2YAA5Z4DNsLi6pRijiJgZMZsqSAlkCoOkEIsUGN7x9rf9sx/8fjNT - LTAJgcxMRAInAKJ4vPPtZ0ecnnPueFRMR6NRt7IvcYzVkKeTE0r+wkf+5NR2tQpgBaDzEDtTA4zZ - yNQMirCIX1VoiGgL6homLMUiQl+7OKKIRyOIITCKGlthBIIGkIoSYbmT2//8Yxe+7CX1sJ4Oq6qq - mqYJBJD29bqDgj/JwMZk8/74tr8xvju8PpiZARALcR8je0ABqw8bQJ8sqxutWLfRrMVaK/Ux88EA - NlYgkErXViF2WSVUNKgmRcJgsOXH337zN3dv/7sv2CMPRQYYWqFt0D+5DxJmo7XQ2sMVk9dFemNd - wi0Ag5WcCFADGEgM5FJSxJauW7njtrs+fMWZP/yje084LVexFSaujMXIjBRmtWhQCHEJUGIlBGUl - zLcTGBnscKG5j8EQCIhSjLVAASYNUXnAaGbTuGkQ963irj23ffC/n6TTYA1T/y4kAFaUARNU83c0 - f6d9fCYsA0Qw6w9jpLx2fDAUWKrHTbsKhgV0QOGlcuJpp77xsgfH4xZgJTB1KMXUEhmsf19s2u8j - woqNVcs/6nh/WjkBgRXoa9Sk0QADMzLAMSghxVqyBo4zrvcO0jk/+L9+9W8+v7UddtpQEZ5PMBCQ - NC/iPQJMQOAYSUpY2bNcZFegElJh6QNEgupaxvO6UJCj9pbX7U5K+/+LAz9onipGLMRCgCIqdB4V - /7Rmhx9Fxn2ssbAaYRGaSwATIZsaIQRYQSDA0Bki+uhbRT/iRiCFgRXBKMKIYIyOsH8RkSGYoR+5 - 7FeNAYYBYAaTTGsZOYrMgNgwyZbZ3vs+8mc7tu/c8d2veXB1opy4ikQ8nczS0rbpyjSNUpszE4Jp - VAAojMzzAPtKEQRkbITCMIKByaCkGyrluv9I6iO6+8FIMqxLL4aBjQS2fmefW+tU4EHCa+bnxn1u - txIWYeeFrITQhCJ1BUomTSJAip8yPYMIGtWEUVgLM8BBOSiCzk9r+4uIfh0pIZgSmUJahGo4sly2 - TCejR/Z97dd/Y/tsmprpCQGUpb+06KfADBGkOjVFOtFBxSoKIAuapU3Lr34VvvM7d403r4IGaGMl - CDwpGaEArQpi/xHTWT8Pa4PO8/k3HPiJ5JxzzjnnnHMbGjObGQdW0RCCqV8SuydqXXQ0DPOxv/UW - tSllAFBZNxbRV2mwqGPPh7psbQLMUIDXfhPACAzAjPuLfObFGGI/GGrzUQCAokXOXJVqyAPhTUv1 - 1otf/G3NpZM7Hrj12pu/fse9t+9e3b1KuybjB6VWAKogQkwAIAVFEQKISAkwyzwfuiCxKjDFYFKy - ilDmAIS1YqfCwIQUGBAouM8CNxiMiEEQFOMi3Gjd3DLZe/Pn/6G2pTNOOfOF511y/mkXnVKdHdsh - aUgUg0QRiQiJA6ClFDEFE4UAgvbDqIoUIoCi2cz6TqbQwsx9dYL7GsW80gshNvTrqL8zXgE9pGLe - L0PGEY4XO3eMMuv3FjYzEQ0hEPHaLW3HIo/uds4555xzzjnnnHPOOeecc84555xzxwyFAtx/S1kE - zAjUgWGmWSWFGDialmd6Np1zzjnnnHPOOeecc84555xzzjnn3DFAVcFERF/44hcNaHNHRFKe8M0n - +zsuMZjR37Vi8z5bW7ZsOfRxG9kT702/1vL+oF+qGRn9u1/65R/4kR8iIMZYRClGK91TPK/fGlWt - 67rrOiIaDAa79+458eRTHt6z+0+vvNIADhEwEQUQKIgVkBIlAMwoRYYxvfhFF//XP/iDKqbEIQKq - tmjMpbY/TuVY1acZzRupk8JApgBSiGYGs1LKVZ/4hPWBJaqwI9vCRSwAAfgnr/uuQDwcj7tZI9R3 - lTsoNeng3zjnnHPOOXesExFm7hO7mVlEYoxt29Z1vbq6unXr1rW/hhAeo9XpoB41bQMY982EiUBk - anWqS25USgAuf9NlP//zP9u2MyLisO7JR5I96Zxzxygq2moTQ0h1tdq0g9LhupviN24dZ+VFTCnr - unb5ZmpGjJDABisAwExqxoRpi2GiGBOk9CHc30rBwvrm/NCgIMaolAe/fh1uunnziy/aBWmmklKC - 6qHJprRo0O9BiUdqrXW+0SLleG59ADSD+nAEDxF8HH1U6mIhHhAdsfYQwXzpriZ0w+pF//wdX37b - deOuk9W92qIKqCogH25XegKb90G7wIHx9gxAwWRCGSeb3XT1p8981XfF0VIzGCwPN7Vty2ZKYvsj - cNftaQeeJj25fY2M15KYyfosDbBBurw0GGjTnZht9SNXbt21r5o1McwPOId7XT3kl/uXcD/b65Oe - E9ezdrWK3KoyU6O8px5c+L1vkkGapACAFmVsoYM38gPX6fGF1205/eo3Uqw/bgBC8w2DDCZIg6U9 - Otl82vbnvPYf3//RK0/litqc4trokC4mtYgGNsCMVHg2qbULBiUGDKRsSv0rzn/5NL3lg8Z3lBCe - iiPfoQHkBmh/gAWCQpmxfnSJ7Fmx2dE8V4cQ5mG0bKYBLKRmCAwRMFANRtpkzEN6AOzf6+ZBufP/ - x0ayfhXR+qPBuiV26DEqRgAoGSfVFU+6z7739/7xc8/eccG591Kr9SZp8jBVbdtSDGAG01p8ezgw - gIYMfSL4WhAV7T/Ub0SLAzqF+XwSjG2e3g08ysgmFn/y9O65/tPB5sEl83NOUgELQwJZiEYBAIPs - CAcH3VNO59stk7Etjh99KNX8JARK4H7Y1wAjphhVtFldOSXEUdM98qErxnffs6lrkyofdI5sAJHC - ujZzDOPRoGsbUkhCu7z0wKYtL/vJn3goVXsNoa6ZS9PMRmAjKOnaiVV/RrQ2w7w45/JrGeecc845 - 55xzx7M+wPtwf3mcKv2BozD9tPpo7sMUlhfTOfDCfDE60AeKB41sDEpK9SAu55UmoD5/y/K5r3jh - vnbvXfffeccjN3/17mt2Nfe3ZUYVlKRtWyXlOgyqUESKqpkxcyQjIiIys5JVRSn0MeKwg0pJi1on - Lyp681vWF5V5YxUgG4S7MORQc1dmN+59+LYvXXv1FzafPjz/Jee9/IJzXjBKy9ykOg1Jq9J0gUIg - iqEyM1Mog4kQwAE5d6oaYwwhqaqZEMXFgIsq8dowkQGAkh2wHthYoQcvYr/lxrljmUd3O+ecc845 - 55xzzjnnnHPOOeecc865jYvQf1d5/Z1t/df9Fl1OCqMpA6JAYFDRHB59as4555xzzjnnnHPOOeec - c84555xzzq0hImaazGZf+9rXmFhMmVn0UaPRHntSAMyszyEgYDQaPdXzu9HVVepKfu13vvq8c869 - 8dbbRA1kGy23G0CMUVXNLKVUROvhSEyv/tQnb7nzDgVU+9QWBsCRJGNQD5qmCQwoGLj00ks//KEP - jodDMgT61pKajjV9fOCtt9/21Wu/DqBP8l7/gMMsi3V3f/X6VJmzzjzrxS+6pJRSxyQidV2LyeLv - 8IQk55xzzjn3bBVCwPxqlAGIiKrWdW1mW7ZsIaI+rjvGWErpWxsfdjq5tIAOBoOmafrz5xgiBSql - A8CEl770xb/yK7/SB4THGEXzIdPwc2/n3LNWMFDRuq7b0M0kVxFjyEMfv3rrrumgQIHCABCt/9qa - EhAQyEAGNkAhAGDBAlCqIZepqipZZ2YBYGbTIzh+kh3QNX8eHA6QoShGnIaPrOz79N9suuT5gVPk - pMWYuH8jZovHGwBQH4m6mIgtJrhhozSdKwxeTqDNl7zz7V/6tf9w3vJ4sDLJUwziPNEXygARnmRt - 0Ah9wm2g9eHWaoQ0oNme7iRp7//In5107s7h5oG2swFFFahRXkTt2v6w3HlmxIFp3keGDYoCWGEQ - OPSh3RAlMw7cyRls+brrvvHp/3nWZPqkW8MTlI1hrKz9MYEMqjmBAqwC2sYwrpuzTsZr/9Es+Tdu - n0oJnLtmUAWpwvKbXn/DNZ/Z9s1dSwAZKyFADIttcl0ccF9Ln02mm9XIsO40n7EIe35G3o57Ktj6 - GFqDAlBITEmtzQXMCAFFQM2MYUaAcX/omefUkCoDpmSxPzL1+zWOPOA2EaYthimUQtys7EzpS7/y - ay/5pX916rdddN3qvq2jLWyxlAKYlsLMbRA2DtbH+iIYoGBD0PmpRb8R7w/2puNpSMwdiIhSSkRE - /THNPaMMnIMCCIq1j3kjZFYDjJQNQTUKAJQAIRYkMuaEcdEtq3vKZ/729k99+ozJJJgKATYfwF2H - GcJgFStto4IUaTenO5Y3v+xnflY2LXfDasCkmmdtt2kwwmzv07sMnHPOOeecc84596SQAdrXJ5W0 - j/HWLBxiYrByVDlpfPLm52w978zzX3vRd9/94O3X3vLlm+697pHugVS3MugycltyMQGQOLCpZSMx - ANUAgcARzEFVSzFTcCQgoB8UWhQYC4MMZJGhgIIUtCipG2LNRTSLkmmMyCozme2RPXu7h6790jWj - L246+9TzXnrOKy/c+aIt8UTSFEqsMAgIZFpU2cxMC4mRcORACQItGiwQRUAFxViVzCCAks1L9GwK - mi8cGAOxH+RdV6edV3TZoKTwEVp33Ohrwv0XpnCMl4g9uts555xzzjnnnHPOOeecc84555xzzm1g - tvi3+MKf9rfg9XfsTFvAMM2xy8FQJMeULB/aUso555xzzjnnnHPOOeecc84555xzzrmD9d3F7733 - 3qzCIUJURDgGLUeW3s3MfQMaM2NmMsA05wxA+073x4ecc0xRi/yLd7/rf3/nO8VAgc1sozXyNzNV - jTEyc9d2VV11Xfe+970PQF2nplvcfTTPzNO2aQiIxAa94Lzz//iP/mgQA9QCsZmZqZkR9c3qFcCx - 3IwIWEt+OjSdhql0uR4Mr7zySgAxcHskIVVr+h5sr/+ey4iIjUrO/Y7TJxQ655xzzjn37FZK6WO5 - Y4wAUkpm1rbtYDAIIZRSANR13bYtHrPVqYgwcykF0Kqquq7rn5uIFTjnnHN++7d/ezQaqZaqSjln - 8ihA59xxpg61iVIM2s6WSPHAPfdc8/nzLKS+/HBI3YP6juwGLcA8QDUKhamWkaWqUpJS1BgIREcS - K7yY/uKIbgRhAMo6b08fiuxM49s+e83F/+zy0RnbtRpMRRB40fZ9Xl3sW7/3dRtZN//6pBOGnXta - CGHG5QEqp77qFf8/e/ceJ9lV3Yf+t9be+5xTVd3zntFo0PuBQIAlI4F4Y2PxtoUQkE8cP3JvDE5M - bpLrOIlzfXP98c3DdnLtm/gZx77YGIwgBhQ7GIwxyDwF4mGCkUCg93M00kgz04+qc87ee637x67q - 6RkkUI+GzHTP+n7601NV3VN1qqvOqX3WOXv9zvzaa++77rpzm7qOOafkqcwSPfwmVhDAs1mkxyYB - YKgoYq9bqxA7+drHP7359S/fsXluSdDn7JyDgAlCEvlw6CH/sWAAACAASURBVIJTUUCIlWRW0yYA - pGuKXhCAQZJJCAhSVvgIOFfVOLhc9+OvXfeB4cKhKnYD71LMxxasTbMptuWfzMiS66rOfeeYHGjJ - hz3f90LsmhsHxqpm+ubJYIVzbjye8Kg5hLztvDP3vORFj77num2+yRKBDIIQhBhKDlreyURQgBTd - 8hgK1cwKq4ZvBCRQZgUpQEekrxNIUwLBrax55JLmisGAlIQLAHBKWQiAgADS6bEZZSEBwLSG9G5S - aELFaGOuXLWtasLSkmq+9b/83oU7/9kZe04fJ+kkOudIRFJEcOWBMpVNIgCwThcEsygdlMM6ZVNj - G5JTValOhBBO9IKYKSEoMSmcMgOkooRMUCobGGEFK5SEACcAsfrBwtKhbVur7Uj45m1f/cN3nNZP - NuUIepxPJCUA7BwkthlVhdY1j9TN2a+/Bs+5fB9pR+o1qqCqairjL2OMMcYYY4wxxpzEhASkpWzN - JACmGdWAZjj2DNascVmkVefCHA2chM3bTnvmiy+buEO37v3657/+mW/u/dqCLFR1jpzYCaAqXZYe - hFBx14sAQiDKrPDEYCalDAXABFEoi5ZHB0MZyox01OHOPglAjh0RKSlARORI2jxmj47zHUs3f+MT - X2/i/MVnfs8lF1z+rHMvqXTgU6DEldaVq1SYBeJIVaECEEBRIxREIAeZHpNSJS1HhWhaEBVAy+Eh - BZQYOq3RlgJqOWJEKgy2o7Tm1KSqFt1tjDHGGGOMMcYYY4wxxhhjjDHGGGPMd005xU6Bw41NBGBk - wAccXHjPD73x+VkDgwhdjNWJW1JjjDHGGGOMMcYYY4wxxhhjjDHGGHPSmvaX18OXRURI77jjDgZi - TkR0bK1kVIRnMWiqWhrpLy4tCeBmD/3Ee+uvL6v/qivpy69+9avPOn3Pffv29llc8DmmE7qMRyup - eCLSdV0I1bhr73vgwc9/4UsKtF0Ewdd16joAIswgglbOxZzPOn3Pn173fqQY6poVIgJVYCW3G/oY - edfrHWPlVWZywbexf99113l2E8lASR1R4Dvls6/qW1a8/vWvyxKbqkkxluRCrIoM36jrizHGGGOM - MSWx23vfdV1d1yXDe/PmzViVp9h1nfdeVcse1uNR1ZR6ACICIAQHQGI+fffO3//9/280NwzBxShE - lHP2zMBK5+WV78ccimmMMSc7X1cHDhwYbBrOs9+2+OjeT3x8brwUugmBQUKKkma3Imt2RMqqAgaI - CepapbRp6952+TSiCuoYIgBREjm2qF0AAi796IXAgA+82MU56vXBB/d/6fM7dr9mX+58MycaSRkl - aW9VlCcDmSB0eAPOahtzc1JTQq+52Tq//6Hl0370Rx7+8lcf+PotZ1aVT8tY/e4lKJDhAThdW9gB - zYLtWUFQmt1DFAwGjSxNto1x67Xvu+SC8yfNAMN5lDzcMl+VylRVuOk2QUqGrhJEcYxrOiUlUQIU - Gc6pOM1QKHQrB3zihsnHP30eUqOJ1R1zfnPZgPHsuWcGMU1iVwFRwXNb0tYtu658ycFh6CxK87gS - SU0dutS5YbUw7s54zSu/9uG/6h855Eq2cXnvqgMAisD0pSIiT+gWFwFaUxS8WQdIGEcfI3HEnUZH - qBpIhy6DQ+0dJI7dNAPGAawQISjNtjYkQmBxrJSnhx3X+CmfQcBgsHlhcmgT10OJVZfv+uJf3/47 - 7zj/n/9MP+gWmrphBPaQFHMKcCVspsTRrKR3C5cjP4cfnWy8cWpTVSIq0d3lePqJXiJTtjoMgBRO - kFmEoSSkcKKkEEJyIGAQ4QS946audXmxPvDo/ne+Z9dtd27V5JHKjgXL4dECKRRISiCXcvQOQ0UM - 7oFM9fdedtqb3rgvBIya2LfsSCCBvabJdzpUbIwxxhhjjDHGmJMDCcCixABIphnazKTMQkxN4AbQ - HEVEvA8VN92484JLtr/4sle95JGlB+99+I4bb/r0gwv37n30njEt8sCFulHWXntqmFRzUskIjMp7 - Eur73jknJARhAvRwK1FGT7OaJEo5UqEAMpwLYC+qMUWFEMERhsqpl7FOJq4LmwcRSzcu7fvC5z8e - bqgvPuuZl19wxXk7n7addsY0qvKAs9/kRuPJOGqkxnMDFVGw8z72PSnPzlSXTABnVpAKK4QEykoi - mP6dypk2BLCyAKzTmgzIqqbmFLWuS8R23NQYY4wxxhhjjDHGGGOMMcYYY4wxxqwXPJ1DWK4R0HVQ - N5xEF6MqYkYVNl73W2OMMcYYY4wxxhhjjDHGGGOMMcYYc/wpTfuM33bbbaV5TLmqcix9lFYa0Kiq - qDDhoYceWnmgU4T3PufMzIPB4Md//MezKAEnW243ZsupJWXdu9Fo9PZ3/GFfXngCiFJKAJz3ABTq - gJzzfN28+9prd2zbNjcc5T7mnI96YUVERNZ1K6JvL6Xkq+q2O26//c47OsmqYOdwTM/33LPPeebF - F9eh6vteVb33ckzrnTHGGGOMMeuUiNR1rarOOSLqug5ASsk5V6KwUko555Uw729FRKoZgHPTXRgi - SjHv2L7lt377N0/fs9t7jjH2fd/3fckLfxzWktQYswEpMI5dGDacaS4qHzh07/Uf20JZtWMlKDth - AlbmqE3/F0EZyhBARDvJBwnbfuBl7qKLDmURARwEUCWazXFbAyoLdvT/kiQDQm4XtyPd/bGPu3FX - JyUiAStNO9eXxvG00rO+LOopU3I0G4Bzbqy6PBxh27Zn/tiP753fdKBPIJ69kxXIWlK01/7Gpmnk - NhScGUoClNBKDDhMlhYH3m/TFD//1/j4Z7bmWFdOSGYrIwvxyoOSCikYgtkaV35n7QXQ6ZoKkBAL - Mat4TdxOXN/d+YEPnb64NJgsVwxJ+duM0h7/OWP256ISF1E2CErKDGZ0zt2VujNe+kKcdeYh5uz4 - 24wqzVqllFzwzNwqLQ3ncdZZe573vAclJ1rJ+QArWFlm22pSlDD3uLRUkprLTTjinWY2FtUAZkbu - IQJyfpn04XaSiZQgjjKtSqiZvmcO/2cA5XhVWcefuAS4ehAnywNwil3NwKQ/U3X5+k8tv+u9u6Ns - Y+eV+hSVCZq9iBe42TaxpHcnRiZkQmYIzfLobexxCiMigQLw3msB2AfLSWBl+yCg6RiGFE5BSgBl - ot5RYggRAZLSNvZnJTzykb/a94lPPgXCXXIKJ3BHHqRVQIh6Em6ClGGGw0NdXjj7zPN+9Ecm85vS - lq2LXfK+CnVFxO14Er5d3ckYY4wxxhhjjDEnhVJKoJWSY4mdJgHgiEhIk0qnOgG1Lkg1dCPtOXVU - o9nidjTdQB6ird3O79l52Ztf9dafuuqn/9dXvvX7n/7qPdX5bmGkC5XrB3FZOXPjqyZ4Io45RYnK - Kpy0VMlX0KrvpVSuYAErSFH5ikGao6ZMRI4r56vgm5zI++Arp146t9xVS5N6YbF5pNv0yJcf+OTb - P/Jbv/H+X772U3/whfs++SDd1W069HB/P8+leovrMV4YH+pTnyW2kwkpA8yzP8VKkaUUSBXlYJPy - 7MhROSYDiJAoSWZJLInFCvzmVHDUQUYimhWJ1ysrZRpjjDHGGGOMMcYYY4wxxhhjjDHGmJPbqhPs - Vk0yFjiGd0gaxpOKmT00IUYNJ2QhjTHGGGOMMcYYY4wxxhhjjDHGGGPMekNERHTLN7+RZ7d472OK - x3BXCi2daFZu+do3bjkey7ietG3rvCNmp3L16173H371V7qU4Cjnk6s7T1VVk8nEV8Gzzzk/8ujB - a9/9bgDErFCoImdMOw1JOWEpEH77N3/j0mc+I3a9J3YhEKAqJe59pQmREogJJ9fTfVJKcEhpl5ZV - vep//7M/y7M3+uHE+id4dwoCHHDNNVeX/v4xxuB9+Rse+at8+H6t+78xxhhjjNlY+r6vqqpcXhkJ - E5H3Psa4+pZvaXVawpR45TIBktU78p67Lg0G4Rd/6d9dcsmzRFIZZo9Gg67riNYc+WaMMeuaEPqc - 5gcjnUyqcYvPf7m5617XTggEMCuAjCNLDo4oQTMBDpSRgOyo27kFV716z20X3nv3XXlxUQQZYGYI - qaa1VixKFLcSlXoLA6TIgtCE2MZ5TQ999RZ88aubX/iSBUlKkgG3Uh2ZlWhKXi+UlVAi+sr3bw0F - N+YkQQpEDVVQlQXnN33fi3d86Yvthz7SHdzv2BEykwAQQMGsK6HXa3wImqYpEMA0zXiAaIDLSHXG - U1v/jT96z0XPfzaGtfhRJDB41eBqOrJSUlIQgVFCxde8Zq1Ka57FkhOgvslp1HeLn/vcws03X6yQ - BHiogNmrpLU+CqjcLVgJylABAQl1RdJrW1X7tm966quvHDNHdY5s+3A8EWufY2A/7jseDRZCveU1 - L7/rizdu2v9II0AZrCuXWA8BHAMCVeWscXGMLKR59f2tunxkdKpZH2YR7CsZMwCAjOzqkFPfZTSE - 5H3atGnb5jPTvXdzSomhlIPACVghs21QSe8miIKhbnpPa8DB1cvdZMhEle9a0SSjgHbcnh35jmv/ - 69PPfsruFz3/4fn5CSlBicjPInszAwQlRJ5edQJWdjIN70nl92y/8hRAenRM+8pVclzKFFpu3EDH - Q9cjmu4niE63FEIKr2CFEGdC4jIOkciskAq5fuQAbvvm7X/0/vMdj8ftsIJOT4s4nAKuBAFn1kyI - nJ1DVkwU/Wl7dr/xGrzouYtRFh8+uHnn5qXJUt/BuUAikroT8CcwxhhjjDHGGGPMmijzUVVomoZP - E4eUEjKcCyEEAKnP3SQiUN0ESO7jmJHn60rIT7peY7XVnzO/e8/FZzxvIT9694O3/c03vnjLPX/T - DpYmcUl7ZWahmDXDIQxczEfUOacHccpCTY/pcInTRjk8qkIQYmLvlZyqpk7aHIeDus9RBERMGRIl - EJxnjZ1rPFXdg/1t9991+2fu+8vTtu45fdsZzzn/irNH524f7Wauw6QausZL3aXEDkKqlBgiClIw - nBISC0hImZRZyQl7BcBKACQThFJyyKxCwso+kxMrmZpTkUV3G2OMMcYYY4wxxhhjjDHGGGOMMcYY - 891BAB8+x246u1MZEEUmRIiMqoDFnCKIENjmpxtjjDHGGGOMMcYYY4wxxhhjjDHGmCekBKHddddd - ALzzOadjy+127LJM44ydcyKSVG+99VacYo3LvfcAUh8R3HnnnPv8517x6c/e0J9kud0A+r733jPz - pOtCXV9//fWPHjoIQER8FVKMAJz3qY8lZzoQ3vqTf/9Vr3hFN2kr51NK3rmUs3MMoKR3l3smImbW - vDFPYKqqqov9n33og1nBxFkFWdg7SWuKjoACV1/1uhyTAzV1DSClVHLPvzsLbowxxhhjzEkk51xy - u8uuxMrehKrmnAGklJiZiHLOj5XeXYgqvOecpvGWXZeZ8W/+zb9++ct/IMaoqsysmlMSAMwssjH3 - U4wx5vFUlZ8sL46UOKf7/vKjp3Wd7zM7IJdkTIHyEWU7ppg1Z1QezEjKcefWfMHZuPBsnL59/Efv - 6vrW9TEwwJRyCk9i2VgPF0EcgJQEqCTuXOr2f/TjOy5/Tj2oJp6FpnGdJbqzJH9jdZg3zS4YcxJj - YK4aLhw8tHPTrqWFheRw0Y//nZs/e+PcZHmQol/JMFYGUQm4B8lai+osnJlAWtYUBkiRod6FpF2l - Ksuxup8PfuJTW696zT7XiBMoWKeZtSW9W2eXINOYUiEGMAsUX9OTFlLMgjBZSaqM0bj90p99cFvX - o+9rBgREyJJXhYg/UVI2BQQBM4QAVrBD3ykDY++2PPd7ceE5SxxcGCCvPRrcPB4SF3zOue1jM5hf - XG7nhnO45Jn6tPPGNx7Y0gMAFARhIK/6mFEoi0jXQjIpiPTIN7lle6xX+tiHNQRQ5NxnOAKHeqGL - zZ7d1Rtev/dXf30Yu1q6kKAEZZCAgbwSjaxlhCKkDuA1Rncj5n5Uz7fdomtjPUA3gSic6nw32T12 - X/mdt122+/QtF5yXt8yNSbIjN7t70ukXAGGoAgzKKEdudLaEZWtjTgVHvdCqKrMD6/YWOEkogSAs - IJWyLeLZ2EPBQqwkTkGKxPAiW9J4brL89d9+286HHg3jduihEUQ4/IrOwrpAArBj6iZxxOgcHqmH - 1bO/d/cbr74ndlUYzoXQjpcrz7FLvg7OqYhYgw9jjDHGGGOMMWYdKBXJo5BkTeSYHZFQjFGVHHHT - NJkl9Z0iV94B1MYegOcqJm2oyqqp7yo33LFnzyW7n7Ooj9x07xdvvucrd9x7x0SWqUHHky63sc/q - ILPyRamOkzIgKzeCGOoBIlVQqVCKCrJmKJRcxV596GJLrORIlUnh2EFzTkIOfUpACiPiEY/78Z3d - ow/sveOmu7+0ibZecPrTL3vq8y7Y+YwovU+hHoxyIirHWLQch2UoKyFzUAgU0LKEhZBCqSzs9PCP - WinEnJJWTnJb1+yYnDHGGHN8lFPeAZQjyOUk9ZRS+dHKOevlFrMxHHW2gKp2XXeiFsYYY4wxxhhj - jDHGGGOMMWYDK9OPSzMRnnUbAQAisAKiOasqM4jsXDZjjDHGGGOMMcYYY4wxxhhjjDHGGHM0XR2Q - QIc76YsIMy8sLABITyK+IktefblMQ/7Grbc+tP9hmnHO5Zyhio3Q0pxwZMqykigJABGpmwoikPSW - N/891ZUTf04izrmUUkophNB13dvf8U4FZYCZS273ynlIDHji5z77sp/95//Cs6t96PveMacUvXcr - SXvlFWdmBm2A3G4ByDlVTSl5ds65GCN7n1Vvvf2Or379Fp3NtWdmyY+bG7E6hrDw3jNw0fkXXHTh - hU3TiAgRQVQke+/wuCkXxhhjjDHGrEtlv6NcLl3IVNU5p6oiMh0PA977cmGlU5mIlJ5mj5fbDcCz - K7nd3qOMyn/6p//x1a+/KqVEpMzTX2PmI3O72XqQGmNOBazglOYrP8gx3X7rwVtuGS3FEcPxLH6S - kbkUDAWAMtos7DDyQAcVpOHwVtbzrvmhOPLYtfXs73vpI76SQCJgdbRqftsTpIcjOdXpNFe4PLxA - USMLNrX93s99AfsfbSbL1LeuclmTsqpjddwnATtZVTwhBc++rKZiTlqknMf95mqY2gnXzfL8aLxl - 09PecPVDwyZWXgTEYEZUUSn1Q1l7ID0TEAROIbQqAReskjQrKwLQxMltH/iLsNCGbkIVnKOUEicJ - SpwVZctAAGRlDS1HFmSNK1jOWZWIHCu8kleC+iZJ+sxn/C3f2CTigSxQgoDJuWM8YDBL1yhJuk7h - CACcGx6MesHLX9YN6yURqA3/jr+cc6ibnJWAGKpFT8+85nVdFVoFhyorMiKRhkA5IwMZcEyOuT1w - CGCnYtG3G8m3bCJKdi5LzoHBjDZGGTX7OOKSi8/+J2+9Y240zlyBXYXM6AAmT+pIGIAShCA03XNb - 6+e7B0s39g7kIB0cIyqyQ3RSTRbOuv/B237xV8Mj+7f1Xe5a8RwDjylGpKrynAR9GoaBZuhsW0qz - Ycbqo6tmo1qJb/9WzjkRcd6rKhM5x+v/cOgGIAB4Nv4Rmr6CAi/sEsDq64kOxCdSkXaum9zzjncO - 77x768LECZSQFWXPCSAmJZ6eAsCKIFLnPCKwYNHV+88798y3/sQj3vXDuqcETY6ySvLe55wzlNe8 - e2SMMcYYY4wx64lzbvWpsKrKbEVXsy4RQMqkDJ2eUyKkQpqRM2LWlCiLAzkoSdYImRAnZk3QBFJX - qasygz2JRkpSSRj0mwdL2zcvn3V6e/H37776f3vJz/2rN/7Sj7zgH1y85bLN6fSqn+NUEZwolABH - 5BhCyCBxIERCYo5EUQWeEShJVM5guPLl4F0m6jS3gYVJSQUkwsikiZEcIkEDtEKE9prJg7xEt9Q1 - h/bz/Tfcf/1vf/RX/+11/8cffP63v7T46f31PUv1/hwmziOAvcAJGMkp6tRw2/iurmjouM7QiCRO - MmdxUg62cGaXuI51I/WqeG9jNqwy32r1KW1ElB9//sjJz9ZbY4wx5jgoZ8OXIQIRrZwi770H4Jxj - 5pSSiJRbzMZARDFGAOU7EdV1faIXyhhjjDHGGGOMMcYYY4wxZqNRIK/K46aVWwGBgGYtBkhwuNuA - McYYY4wxxhhjjDHGGGOMMcYYY4wx31nppz+ZTABQOTOFCHTces9/9rOfJaIuxphTzjmEUFUVHb/7 - P9kws6r2bScpVVX13Mufs2Vu/iTs1K2qvgoAiPj22+688cYbmRiAiIzm5gAwc45CQBOCY/zyL/7S - ts1bkIWIHPOp0DdAVVW1pGv3fe+IY4xg/ou//IgAxJShKN2XHr8FYYk2X7la13VKiQlX/eAPMUhi - gqrm6R8zyTpu4WSMMcYYY8y3SimVTG4RKc27c84lk7v0MG2apuRqp5SOIbovSy7D7ZTAjB/9sTf9 - 5N9/M4BV0y+eIJuGYYzZmAiiy4tbyd32sY83S8sjAgQSH+M3lSBAVVFwFDswEHw1cby8+3R+zrMP - 1b4NrnnFDzw4HHUcqiq0qfW8ttJQeYhSimSdhuqVCEzPyBlRwEAjGg4t7f/Yx7YlGahqjuyQVaLE - RArvZDqprmTqWWK3WTeC9yLSppgCPzIZ6/bt7sqXTs47c5kRPJJACI2vHDTH7pgTjQkgCGZrnBIE - iZgd4BzAqFI/2rsvX/+pXcx5vCyp9448yAkH5xTIBJ3GXgoroHxsUbVMPviahJCy5kgx8rhtIu74 - 0J9v2v/wHBOYmEFEqppyWvO0WJ1uSQBVlmm8riAnqMMSuy3nXTA4+5wF56gZytqjx823JzGpqoLJ - MYN6knbLlnDRRXHHtuw5Zw0UvK+SprbVEMq2mqBKKVHbIWYmneatToPVLSNgHVtZv1hXr8rTlxiz - zVF0vBw8hhVe+JwL3njN8uYti1kmPcjBO8oA1EMd9Kj7XtvGQQGFCKnOIsBXsKca2DpeHN595x3/ - +XeqvXt3kc8pTlLLo4oHftxOIGm+HkwOLVbKq/Ob6fA2xxgQUflktFLCyYAUXD5QoEpQYiWOMXn2 - iMqig6qmrtvs/Omi+OSnFm/4jHtw7xbvA5CFQBxFGJ4IgKoiE6bjCoVTJMGSbx4cbrr8J98y2bVt - eVh1kMiSXAIpoEqiJADUPsuMMcYYY4wxxpj1gJVZGcoElPRuLV+kSppZhERJMufMKiRCZfcfUuqN - SlAicU6cy8GLczmEHEIKPoU6DkdxR720faec+8Jzr/yJV//jf3LNz/7tF/y9F5z5A5uXTtva7hiO - 53jByRJ5DcFVRJQEBHDwrnJwuc/LSbvM2md0CUkggAA5QwmhmlU8CZj+BADLSl1idvg1l3BwxkTb - rlqW+TbOLz9Id3/uvuvf/on/8kvv+Vfv+8IffmHfp/a7uxebh5fdoeiWNUTlpCID3wRXxbbr+9aH - 4KvQ5hghSQGQg6vUBwkhsevhxEoixqw/tt4aY4wxxwER9X1fJhIDKGfDq2rf9zlPJ4h670uk98ot - Zr0r0+MBhBBKejeA5eXlE7pQxhhjjDHGGGOMMcYYY4wxG5M+3hVSsAiLzegzxhhjjDHGGGOMMcYY - Y4wxxhhjjDFrRUQCLC4u0qyBExHhmLNBgNVpOQr81/f+MTnnva+qCkDOOaX05Bb55HJUbEnOERDv - PTPnGM/Yc/oLnnfFSRgLkiS3bdvUg5jTO699V4JmzQQCeLzcomR7O3JAjPFf/oufveSZz1peWnRM - Ktl7F4Jn5r7vT/Tz+K4hIiIRJaW+751zTdOoas75uj/5b4pp0zYAAENomhb1nYgIAcz8hje8QUSy - JOdYVYAjEr6t178xxhhjjNkYvPc5Z+dcCe0GwMzOOVVV1bKTWJqSlTZWT9zKANw5dg7O4cUvfN7P - /ey/nB+OUtd+p/8tR34ZY8wGRQLopqy48+79n7xhGBN5YLrhE2Aano1Zr3kALKq9hjJDLecx6IJX - vAI7T4vOLTNwwQXzV1wx9nXuE0DgtdUPhZAYiYHZNnwa5g2IQhVe4QByPNB05/Ufw8FHN6mgj54I - kAzNyPBISJiFdjuZXjDm5BeTUF1PArdO5+qqRcZTdl/4pjcsB5cFidAlEMER6RMqNH6rsj4pMEuZ - QLk4vaKEyPDQuYcP3v0XH6PFyaaYneYQHClYFMqqJMQrcZVPhhIARlSnrg6+VtmVsfiZG5a/+tUd - sQ2QXjQBUVShjt2xPYpTEKbbFiEoISpyXT/s6Kwrnoudp3fqxXOPbNuJ48uDPDkRAGCnXU7LjrFr - 1zkvefFDVT3OHDXDKTsw4OChzMSqClXtIiYtoPJYnyQ8S2A164VQSbV5nB+XAQYAcCYeB8YgYPf2 - 7T/2twbPffah+fkuw4Gjoiu9rGcbn9l96lp32YQlupyckIIEmZAZDDhF6rRiZpcH7aH8yRv6939g - 86Hlpu+rYTiUJof6sWv8sBmg6+adDzF5gTsyvdsLvNjA41R0xGs+S3hWVToJDwCfYsq+gEw3FwDQ - O0TGsG7SYjvyvgKN83g4qIb7D1T3PnT7H/3x5nvum9cUU8dwgGtVQDVKVpdCCL1D76b7R1Ggo+Zu - rs696vV40fP3zw8TiUeODp0r+1SSXUwuK0DKFnljjDHGGGOMMcasXyXxWkmVJHMSEqWUWRK7xK4c - OmGFU60yV6nyceTTyKURSxDS7Cd9tdBVSykArmGZ9+Mtmxb2PBWXveopb3zzs3/6/37dr/zwM37i - kuaKp+i523RnFWtNWTUH5yFIfZ/6tpecGa6SwRyHhnxNHJyrggsODlHQJc0OytOSLCtYwMI+e589 - C7OAZHpkqBw6qYLzjlSzUJ9Cu1wfeCjcc2f42ofu/ePfuOHf/rsP/dy1X/29r/V/vTj/cGzGicca - 2kzLkSYIgkARKYF8aJiCU6+JKTFHqpKr1Vdgq4aYUwEdWQumWZX4BC3OceBP9AIYY4wxG0FKqaqq - lWRuZp5MJqPRqJwcX+Scvfdt2zZNc+KW1BxPzrmcmzS+rQAAIABJREFUMxERUQhhPB4Ph8PRaHSi - l8sYY4wxxhhjjDHGGGOMMWajm57AIzy7mnk6CRAAwNZAyhhjjDHGGGOMMcYYY4wxxhhjjDHGPHFL - S0vTS3TsfWSObFw+jf/+1Kc+deedd15wzjmaMwARERXv/XpuVjNVunQdfaMIVJ1zAPoUJyn92I/8 - yJ9/9KMM5BOwjI9LVbds337g0QNgeve7312eR1VVbR9VBEyaRYEAXH7ppf/op96aJYUQvPfj8Xga - TJ7zSoeBjUdVidmzyzmLSB2qJFlV77jrzptv+boAkkUBIippI48Xp8PMIkJEZbWKMXp2551zzgUX - nKdtz8E773JMMUZmXv12EuAY82qMMcYYY4w5afR9v9KCzHsPQEScc957Iiq7Fc45EUkplcHzWh8i - JQFw6aXP+PVf/0+j0WBpaaHsjj1hG3OPxhhjAJDCSRw5tB/+6JZ9+zcRI4kSwFBRQErwJK2K24wJ - DJCvYop9qLqtmy+88sq9UTCsJv1k7Pmi177665/89A6uiDVKDMe4ZDItIyqUASAJKodMSJlFMR/C - w/fegy9+YfTqVwYCMQGUHAQgkgxlUHmCjGlFssyqW//lRrNhKRAh6ggu9CluqevxeHywHZ9/5csG - f/onj37hy5tDkycTiYlJPUOfxAiFFZkOB28zIBQz4Ihy1obZZbnn1tvTF758+ktfuE9SpAxA2YuI - shNKDAK4BGEKHWOGt6pKyoHYQYkoxH7QyQ3vv+5sSfOM2HUAlF1KOYAcscqay+dlI6CQxAyCzwBA - DhMOB0aj8654Ligo+yiZmE6u6vw6R8oOEEIvCfCV45zzOKUFok1XXrnvv/3ZdkDGB2IS5xCUkFQB - ZtacHRSxx7h1INVMmKWcKoNsWvR6pqsDrbmML6ZXFDIL5E5M8P4AcTNq9vzUT3zjwCPuplvqSRs1 - Nc4hCZX3gM7GJyTQNb8xpgMbZQCsUrZjrAhEUCRFEN2+uHDrn/z3s84889yrXnnnwcXR/GhQD5YP - LNb1XM7Zq4amWZI4HWAQoNM7ERtvnGKEDie4CwAmEJVcFiFAocf6QWmevLJKAtDZ7oAAieGEEWWu - qnLMnbSDkU/7H97e6r4//pP+5pvPiLmqQtf3RAFEAiJPKUXSTIAK4EAEImRGZuwDNVc8Z9ObrjkI - akPFAKlkZgYyT8ddZXFO2B/CGGOMMcYYY4wxayHAtEunMkhYWSBKSodLPQqQkgigxAqeVilVaFoI - ZVIqv6ckwiXkOyt3UJ5McuVGlQvQCr2gy57qyg2HPL/j/NOe97QX7u8evPm+m/7HNz9/36P3dzT2 - IxqnRXByNSFIVEk92iQ+QDJUhZk9O2JiTRnTSmwpSbEeUZtiAOBMUp6mggXo+wyBEpRBAXAkpAkA - xZwm96bJQ7c98LmbPrOj2v2Ms5518dmXnL/nIoqO1BECiYOwR+W5cuSgK3nFIiqiEBKxk93NKUlV - 13V0t1UzjTHGmOOgZHIzMzOXqbaj0ahMQy3fyxnzAJqmWddDB3MU5xwzxxgBDIfDrus26vxqY4wx - xhhjjDHGGGOMMcaYE4UAt6oTa17Vxnd67l6ZDjg9l67MarZTYowxxhhjjDHGGGOMMcYYY4wxxhhj - zNFWp02XC6qac277TgE93g3nBWj7dO211wLouk5VS0jbBphvflTK8sr86unk675NqS/J1i972feP - mvpELOO3471fPHiwqqr3vve9y5NlBRTo+ljazUPUs3OAAv/6F36h9sGzc861beucCyGISIxxjZF4 - 64mqppRKuGDlgoi2bed9+OAHPxgzyp8LTEevMoSjMrzL1Pvyh/LsGJQlX3PNNQw4zygxWiBNmZkf - Z734ljs1xhhjjDFmnaiqKsaYcy5jXVUtexxEVBK7yw5p6Wf67fpWPc6guGkqAGedcdp//q3fmp+f - zzkPh0PesLspxhizNk51JIpHDjzwsU+cOembmEUgikwQljI7bWXjWmodBLgQcuoi+4c3DXe84Aps - 39Z7n8VnCuP5kXv6RfUZZ8vc5qiiyKA1tBwsvePpiGjPaVWNABAjklMvwq5Pu9r27g//OZYnm9iH - mIKqA1SzEqhMn1PwrCu90BE1T2NOQkJA5bsUPTMp2smEg8fm+VzxU37wtQ9v39FzaOAJIqpg/ySq - 5wLACTvhstI5hioSIGAn8InmHIbjpds++BEcXGpijHEiyMrUa2bvMrHMpqaWFMwyEOM1LhOTgsS7 - AKGunYxSh7/5ivv610axyxGiqENFYCZ2zqUc1/5MuTwICCsVeiE4Xx/IqXrGRbjo/GVlQvDEDCXL - hD6uclZXJjdrdIzg2RN3IeCMMweXXtL6UPtBBmIEACl1b1UiYiIvguUlplWRBjp7y9m06HWIFaRc - PoRXQmLKuGL2woKBoHDCpGX+Oy3Vg/b8PRf9059aOuOsQzREM4Jj0HT2fBktkJaP+LWtvKxwupK1 - TE4Q8nTWPZi7LKwY1AyVZmHhm3/wDnzyxnN5FPYv+D7WdViKEzQuqUjqg4B1OlgqI43pgj3pP5pZ - l4imWy2iVbedsMUxBSsToAQhEpAShCBA37bzdZPipGbRdvE0r7j+03s/8BdnhJBSlr5v6rpnjaSN - cxJbRRaCAg7wGS4BGRG83Mzv37Lzgrf83bxrcwx1oKDORUjI8AIFcknEKh909ilmjDHGGGOMMcas - B0pQkiOPLTIpHfk1rXaWkqAXduJZK2gl8JmQXE6uy345+2XlVjgCQhJYwnw9GDiQxKzjzK34lEME - Iwsa2jyvu8+sLn7VU9/0T1/7i//na37lzc/7mfP4aafJ6ZvGW+pDA3fQV+MwlGrIgXMVeOipkYiu - F1FHXDExCUjAwi57UmYFIzESKKH8bPakoAz1dTU3qAZ1XYfaOcel5koJqYUKsusmfuHg4KG7wjc+ - vPdPf/OGf/9/ve9/f9/Nv3+rfHlh/v44v8ij5AO0T9orJWKBc+xqkqHkuSjDtNYSrjEbxrqeD2Wl - TGOMMeb4aJompVROhV+ZQVpOkS+R3jlnAH3fkx1b3ihSSgByzmXeeM65BLef6OUyxhhjjDHGGGOM - McYYY4zZaEhRugPo7Gs233faGUVXrtq0X2OMMcYYY4wxxhhjjDHGGGOMMcYY88QIICIppdI5hjAN - UTuOD8HAu971rr1794YQyDEAZv52kWzrnIhUVQVAcmZQHUIdqssvv/xEL9fRmDmrEtF73/ve2YvB - PoSVqeLlwt96/etf+uKX9F3b933btjnnpmlyzs65qqq6rjtRy//d5r1PKWUVVWXmGKP33gX/vuve - z3REL34ieoLz61fe9ldffXXOufJBs5QJ+8xMREetF5Y4ZYwxxhhj1jsRYeaS0o3Z4LnscoqI9x5A - +R5COIb7b9t++/bN73znO3fv3tV1EwBdP1lba9QjOhcbY8yG4gXzUZf/+ivYt3cut5S1bIO5hNvx - dJ7aDAPMniE5Q+Mw3FPz7te8fBI0MVLm0MyPSTHfXPjKV9ydY4vk195usMQJr1wtqcBK8A6aJEM9 - 15489f1OxsM3/Y3ccec8uIrqRUiyQgBhZsI0BXylb/40tteYk5gCzoXcpoY9K/dZ/Nxwr0S86Pl6 - 0YUHowBMgQXQlGjt/dJ1VYY9K7ESzVJzS761Knl4EkVOcxqXvnwzvnH3SASk6imzZIWCpq3alWb3 - Kaxwa17BxDMhp5iTEmrna+DhD3/w9HbZ9wlARQAjpRicY+fXvv7ybO0HrY7pVRbBErD7hZdjy6Bt - mihSARTjWqPHzbcnAiLnHUGzpKg5MSMG3w8HT3vlqx6JnYg4AISsROQJTkRAREQBjKVFOvIVUbKC - +DrGChamWXKtAkIQQiYIATSdIM/lZ8pNNViE3lfV6eKLn/aWNz+4c2tbVW3XgqRMls/EAnc4+nst - yjahBOpMY8V1us+XcvYAFA6uZrerrsJtt93+B+/ATXec6+b6/YeGgzoFjDlz47sYabb1K0/nyCwf - c4oiorIp+5YUb3PiKJfRy2wUxAypBvWB8SGqaFjz9hhxz/1fvfY9Ow8shOXJACBCjFE1AxmUABCB - CEyO4J04EhLCcvB314ML3vgGXPr0h4cuOqdJScjBeRUv8EKkzOqgDoDVl4wxxhhjjDHGmHVBSErF - bwUrAGZlJ8w6/UKpMyicrByUFECUREkyJ+WUOQupEAAm9aSelVPXp9QTZeeIGYIsIqpa+xHnGuMg - BwMdGm7qdp09fPqlu174Mz/88//gB//ZVZf/8LN2Pn+HntMsb63Gm5o4TxP2Qo0PTV0Fz5qT5KhZ - WD2rh3oFK0EIAghJqY0IgQ4fOmGnSKnvpO9i7PscO5EIL6gJo5pJ0fdInGUU2+HSweqRB+mB/c0D - H/3mh/7T+3/5P77nF9/36Xd8Ze/n9tP9snWcBkupHsfQtlheSuNxnExiF/PGnRBizCqrZ1eVy6pq - 0d3GGGPMqa7Ecnvvy/ignB8fQigXqqoiIu/9cDjcwPOoT0He+xjjyqDQObcyTcIYY4wxxhhjjDHG - GGOMMcYcNyWfO08bouTDFw9HeR95hMbOhzHGGGOMMcYYY4wxxhhjjDHGGGOMMU8IEamqp8MnnKjq - cew1noG9+/e//R1/2HVdCSdOKR3fdPATb1XYW+nCw8wl+xnAZDL5wde89kQu3mPp+3Y0GqWU3vWu - d/3KL/+H5zz7MgAiknNm5wDkFOcHw1/4+Z9fXlgMITRN0zTVaDSIsWvbFgB7x9596z2vZCata8zM - zDlPO6apal3XN9100y23fDOX5u+zt/Bj9F1a9dNyV5IyAQpV6KWXXHruuec6YlUlorJSlEn6q9YL - QkmowMZaU4wxxhhjzKnHOde2rXMu59x13cr4WVVLaHdKqbSxOob07hDobW97265du3LO8/PzXddB - tPLf6X7KHpyFdhtjNroqSziw/M2/+rRPYy5JdkIBs2RNQmYIUWnjTgqAImg5iye0Hs2lz8LFT21r - RImKSqTquklsHF72ov2nb4sDx7K2EtBKiCYeK55VAEIlmQKqobomxWHuvvLpz7hJ73MOSlB1oJVH - 5NkdFiVNU6yOYk5iktQT1wlV4to3Keu4G2PTUHbsPOPKl8fRICMrFIwIkPNrmiI6zWY4vBYwgVeX - Fj1BIxzVBJcyBqpbDrWLn7zRx54Z6jmqkOPSVBbg8j8FUALpkff1BDBAmhSp16zEDXu59759X7xh - e98OAMcgBmIPwAmQ+rWOAhUQcNmCMeAFXqYbhBSl2rJlx+Xfs6/C0sD3CpfSIKlTG/gdP8rsQlZV - TYTMUTRmldiSHBwOB5ddXm/f1knHhOAA5kxMRAIFEbIwAUuLtDEOJJiZsoko26LMlAmJp3HXqzlh - CEjyZNL5an4vAr7vuRf+1I8+ymiCA0EZkZGYMrESsR7LMRISsKLEbQM03ZwqfIAbuAyMu8jqsbh8 - QcPxa1/98q/9Lg7JGfXc4oGDqXLLXpc5+1GzsvAK5FkSeblTc0qbHctb17ksG8N0laRybJrLJ33I - 8AKpaMmlPmTpluqDyzf93tsHDzy4HdJn4Sawr5JInTWIZEArKOAINA3ZcqROHS3PNdX3vWjzm67a - jw7zg+XU5SiceCCVE3jROqGOjsQLPDCN7zqxfxNjjDHGGGOMMcZ8e6WYUOK3hQTg8sXKdOTXyi0A - GALqQVG5E+6EO+UspFCCBpbAElwOLgdSV42a5GUc2y72ADduUFHN2aMlbcWJnxvMBVd1XZdz3jzY - jgfnzuVLX37RD//dK3/mf3nFz7zye3/4nE3fQ0uDLX4LdxLHC5qWA8fAmaGeAUjJ6s4smRDd9Csx - MgMAK7ygytKk5CV11MeQXe2apm5C01DlE/uedCKU0DgMKk8ZcSyUMDc3nMh47A5NmkP79J4b7vnI - 2z/xm797/f/z7i/87q36Px7wty4O98t8CnOhruYGtKXCHKs/sa+pMf/THFUTXtclYmtVbIwxxhwH - zjkAZfIwM8cY67pemTUdYwRARJPJZNOmTWQ2kOFwGEIgojIRous6ZhtfGWOMMcYYY4wxxhhjjDHG - fPfwY15myKqrNq/PGGOMMcYYY4wxxhhjjDHGGGOMMcZ8ByVywzlHREdkpKnimFvJ0NGXBSDg3/+/ - //Hu++9LWVzwCvahPvblPvmsxA6RwnnfdV3f92UKtiMeDQbPv+KKI0KYV19RrLT51/+J5/0QkYgA - Wtf1j/6dv/0XH/7wDR//xD98y1vOfsoeytEDDvhH//Ctu3bsrIInRd+3fd+LSIxxOBwy83g83sDz - yru+dc7xKjGlj3zkIwnQ2UtGRN55gqikw1kNesRrWgK4BUogJmbgqte81imIKMbIzM65KBmOc86l - aQNWvaPwWFlWxhhjjDHGrBfM3Pd90zRluFvXdelSVfZA27at6xpASimEUNqUPTZl6GPsffzar/3a - 05/+tMGgZubFxcXRaKRKYtMpjDEbGQMACVa1kl91y2EEDFPCA/sOfvGvaTKhJhA4iqyUn0qsnIIx - 7T4PQEWEHMXAB5guufp1B5kXUmqaxgceT5ZGo/lF77Bj69YXvaBvhkmQ+TELF9Ol0lWBnUpQMB1Z - O2RhVjiFCBgILiRtVXtPiC22knvoUzdg/yMhJXgG4MixsiYFSjP98kwFECVk2rB1KrMBsCJ4H9uu - DlXs+z7Feq7pU+pd2Ke69WU/IE85faEOE1HmUll8Uu/n1SuaKohAQEYCKTEpUCu25/6uz34GBxbr - PgESkbx3Ky3mFRCCU/UCJWSCrnGRskQ4hKoKmkePHnzoxs9XBxeGGSRIgpQBQgUA1InQrC66licp - pQhLCidghRCio3FwzZ6n0BlnLYeqI3UeIhK85UYcT0og72JOOWZP7P3/z969R0l2X/Wh/+79+/3O - qap+zIzmpZmxXqORR09bD0sGIdmysTHmYUx8VxIgLN6QAGbd3MV9rJC7wGFdAzeXlZBwAyEkgRgD - htiAHUx8DcY2lo1jS5YsybKe1lszo3n2o6rOOb+99/3jV93TM5aEe6yRulv7s3p6VVd3V5+a86hT - v9/Z+xtjjMTWQhcY2DS94+pXL/QGmQgCE1HNxKwwmJhKZYbxmKEAlCYvGQQFdYwM4FnP/N1atjQZ - uPIuA+lp0yVsSgAMbdNsmd2Ux5Kr/qHZ2Zlvfv3ut77xqel6GCErH0MnkdvLjJ7lY6Xle07NhZ+k - d+cOeSSJQp+qxCGJ8ljP49B78KH7/p9/HU4s7Ix1UIlVyqpdzqc9TSU18GoPhm594uVNa2m2jsuW - peV0ln3qbk3gUwaLlJfOCsgwHLeDulepntPkEx/6cP78ndPzx3uWZ3txOO6aru33BxGAICWIwCbv - krKhM1gb0tz0zDObz7nyB79/fvPsqE5z4yFXKcaYECyfeoixMl9++jsy55xzzjnnnHPOrVV62ngm - WRlRLOMMvHTnyZHAMi9pp03IGpXMb6AkfJfbGDZjI6ReXa6H6boudwpjZk6xTpw0G0RTiETUjdqZ - sLXfnRMXp2fana/ccs1br/2ffuw7fuZ/+Yc/9/r9b7lk0xUzzTm9xf6g6ddN1WtDJYGgoGxcFglK - UGIF64rBVJ5UKihDQ4ARWulKdYOIAMwUjbiqAgVqmqxZexXXMY5HQ+GsNXKvW0zzJ9LRI/zkvcdv - /+SDf/Grf/iu//yRX//LL33ovuN3HMVTo+rYYjo+xLxyt3KqWunkx2meZUyNVv74Kb+hxOXja16t - K6bOnXN/F586dc45514YZlYuiy+12U3TlDtX/gCWYrzdhpFXXFBC5FcPbCh2xl0V3BrTtm1VVWa2 - vJOuvO3WhZUNF7quKxVovh7XqXJ0FZFy4pRzjn5V90axvFeamaqGEFbuvG69WHl0VVVm9uPtulNW - HJZeNP1I65xzzjnnnNsgCJOL0owJKCMOk+v8iEEEQ9Tl+k95rodxzjnnnHPOOeecc84555xzzjnn - nHMvW1Q6Mi0lTK9oZ291ijm3KdI4GwhEbKvPOlvK9FjqeVTaVAEKZICBn/yZn/mTP/rAzPTAQmja - LhIRGwBmHo5G/X5fTEWkFAWUjld0akf+NWaSCwJgZacnVRDHyLHLiqWCssv27z//3J1PHDjYAhRg - CgAhJBVZ7v9VMs6fLVTgrAgUNee0VP2kbXvFvr3v/uc/9/P/+//6N3/zN+99z+99/vOf/+kf//HI - pKLMzBwBiFiMlYgA6Nc9zc9yqdLaW1NnIoXYNONBr59zVuJUV8O2+8AHPzSJmSAAMNVsp/YWs/Id - xooOaEYBpGpWEcjwXW99awUmFQ5BYTrJgRAKXLYWspW/XR7hbD9d55xzzjnnvl6lvw2Apmnqul7u - jlJV1XLrG2ZOKalq1wnAgJY2Zfi7+pIFTqISY8y5jTGWnlc//wv/x1ve/EYAIh2AOvVyK0xR/873 - s54F6Jxbr0q3cQV1MCarDAFQZSVWaAYSIYQQRDtk7Xft4T/+/StzS9kEMFgAEI06CAEGNiaLAAOZ - IMFghBzCfKh7F+yrLr382PRmEbAwMEx1B67mNLSzU1e8/btue/+f7krJCFlzEIMhJFiHMdCPleXO - wMIKIBhQqt0IQUHQ5YEvggYDJt3wYTJKIEDMLAFTQ7ngqSe7v/hQ/we/94AJKAaq2ianKqq1AkRE - ggYTM3SWjNlKSqhza5PkEEJrgoozS+66mHqdoJ/6qOzCb/uWu//DVy4atf1Wa1SdNPHZIgyeC63I - kiXDKRWmBgiYUINNxwAiYJ31sRiPPLlw2x1bt73hae44VrAuhaAGGOeAJNrPEOJRYCViCH/N+5cC - GplAzWi4Q5AW5x790J9fkBlGAgJrAExBBNVcgU1WueuSqqGqYtdqAJjQGVCFBeajKV5y441Zalid - qFVtEaqOgpqnab6QskoIKYCg2kGF1QAis5C7oLOvv/nzn/rMxQtpi446tHXIplYRiRpH9MjGx49W - BhCbBQWYlKgjKMAliv1r39jc2jDZvybHIjPik6/Iy4ktytkAEAOWmFIWMB/PXX/b1s0//D2PzR/O - f/2Z87q2F1PTthUR1dWw6QYhmXQgGEEBIWDp7KLgpTk1I3TMAJIun2zo0vydYqk230wA4y5XAAHt - aLy7e/qhT35k/lUXzHzbm87ZseWo5UAEKAxEAUaAgDQymyDnHLyb2QbHKFsaaTAlAwhkzGJsUGIQ - K5jKhmcvxpSuex6s1u/3FuaOxhSiRWNqoHWs+4owN9qZIu59+KHff98rjhze1q/aYWuEEBGEdTRm - IBGkRQJSoDZbFcxMOpMTVXxq05bX/OQ7bfeuEzEIOIDYYKTZFKFkR6kSK4GhS1dh+HCTc84555xz - biNbGbVQpv7N7FmjeJ1by9igS2/h2VBGDldcpK0rPp/+qwBgYTLgaGA7OfZYHqGEZ0cKqgBMbGlA - lMhgBitTFUZKICiIiBhZGxACKHQUci81VRV6m8OOC6/cn68eHTzx6F0P3P6lr3zxyPxTmdu2GqO/ - mKEwDcygpAJVNaYQ2JBBEIMYuAxVENgAhRrA4ASAs2ojmQO6ElsewAYSBbRiZGMhFlMh4QgNYtqJ - juOm+oHFOx+6/UuDO2d2bnrFvvNfeenFl190zisxt6mns0RBxVRhxrQk5xxgMUYqFwWpcYpSnjiV - EV8QzEzMjDnCVIkNUGIDG4EMSmA7mQv+7OsFJy8E4udcg86dOTMzsxhTzpmZAJQcopd6uc6cz3M4 - 55xzzjn3LDyicsPo9XpHjx7t9/tYUebk1pcyHj0ej3u9XqlMW1xcnJqaeqmXy61O2QFVlYhijMPh - sK5rT5PdMEo2cAkMJqIQQknvfqmXy61OSekuZcAleb3cU7reuPWitOgCkFJaPvkpK/QlXS7nnHPO - OeeceyFNrtArV4mVt0Fe2+mcc84555xzzjnnnHPOOeecc84551ZjOb2bzAy2bdu2p555ZnL/CxJx - YwxSEMwggAG33fnFX/7Vf/mun/8F6fLM9MCadtwMy8X/pVxuOBxNTU09f2DbOsXAa6697om/+HAg - CBMMMCt5crzUq4vwYkcLrbziKCz98Zr429705m9705tHo9HU1NRoNCoFceu6u9AZUM2DQU86EZEY - uW3bhx7+yn333w88X7PB8h1eDmIHQlVJ1yIEVlPVKy555f6L92nXxuDd251zzjnn3MZhZlVVla4L - dV2LSInoBkBEy7nddV2X1O3VEpXyVwDknDngx3/0h7/v+77v2fvtlnejzjm38dFSXRnn3A56Pcuh - abpOJRGmDThy5Ngdt28/fDSBQ6gkmyFDzKx0pZ/ka9IkEVxAIAP16seafO2bvxmzs4tmFFIgMhKK - 1nbacdREeevm8177DUc++clB7voMMFiRO0RGpaS5o/KAz7LIp0drn1oQd8rRu9/xHm4f/auP7fue - d1CKFpOqVjFmyZPe+Kc9tpfXubVNCYAGgxGMFAAZs7ERL6pO3XLjwofev/CVxc0cYcTGqx0tfp69 - aem7uvLLAN2k+dFPfPqKW94wmMKiCUDa2XIkrRGDMsBGJRdhdc9XOq3rFCL1x2N86jNTTx8cIGTN - oABaWphyw0pHIF5VlEKJ/SaAOcJEYSbSBJ4b9PiSfZKmCJG1IVYF1A8OL7SyqgLABiFIyS41JRPr - Jey7YLhju8hRmR/XCZLBJqBAkACkVnmhIVGAYSU9pDOgpHTAEq/IWnbrzmSWZHmns5Vrc3KvBR63 - Y2T0ZzZZLz3TzMcLznvVT/zY3U8cPfrQIzPzC7McRXNucgRllYBJbredOjtTgluWZ9dKastX7e7P - uiGdTHipRGsb7e3F23/nd2/ede62G69r+6nlaAQzgylgRjDTDAQKKSX1fnQbWjms4atPNQEyKEiX - 5vfI48leesqgpmmoirGucptNQApVDbDtVQqPPHHPf/797XPDzRnStjGhKxnbVNp0MJkGhRJGYv06 - SCtWQUJ1kMPub3kzrnv1sTp1zDh5WNMVByLYInMMAAAgAElEQVQWWlqMFUch55xzzjnnnHPOrXHP - Pd/xPLndAE6GQ+NkXvQpPz8ZNzBeeYG4Ac91BQuXkXHuALAFMg4a2YBcK0mHnqSpCwYz59+w/82v - fdvTxx+/+747737kjsfmH4z9DKbxuBXrKHKVWInGTceM8gGCAQIQIYYgqsxmBhFV1cioq1iu3pnM - Li2VjihAxpMgB5o8qRwhllvNXIE5LMr80ePPPLJw360PfWwGW163/80XbXvlzi27Quxxrnqhx+hp - I9Jqv6oZ1DatmVV1JKIuZ8SkZqYCzQACUQohhNB1AjI2VcLSnNHpV9pzSfKGnvyeLa+Q5f9kn1xw - L5J1HV7jEVnOOeecc865jUxEBoNBCGG5LYJHkK47zKyqKaWu65i5aRrP7V6PqqpqmqauawBd1w0G - g5d6idwLqaSwE9Fy3nMJD/bj7fpCRKPRqN/vl+T1sjaX91y3XpTAdREZDoczMzMASpn3S71czjnn - nHPOOeecc84555xzzjnnnHPOOeecc84559zatWvXricOPcOAvCB9xk9tMlWlKnetmP3Gv//N66+/ - /q1v+dZjJ44PYoop1b1ezrlt26ZpZmY2LcwvrKzjsA3U4fqWW275wIc/TEyT/2Ii01Oe3xopQ+r3 - +4uLi2Y2GAxGo1Gpg1tcXEwpvdSL9qIqdZ0lVz6rishHP/rRJq86V15yhoHMVJWBt7/97VUVWzmT - tELnnHPOOefWLDPruq501WDmlNJyy4Wi3G6ahohijGcQ4F2lqu3GRBQCfctb3vSzP/uzHICVp9bl - faid3rrXOec2ECUr0ZURBCaDTQ6DbFGzUSfMjJRS120TPfixT+RDRxNQxaQiWrLkDCFAgLAUPrf8 - mQxsWDSML3gFXn/juArZciISmCJQiNJo4pBI03S980033/G5z543oqitqIUEaREZIaSma796FIlW - f3wmQ+z0xKNPjO/60qZrXt3NzgzBbTvu9/utGBtAKlDlIAwCgnkfdrd2KUFZyZgBUiiVzGNlgxmN - U5jas2PPjde3TxwYj0c9Zj7LTauMYIae4cQd9+DhJ6a2XHqYyMBGHIVARmAjaTkaiAxhlY/PQEIK - mWAC7R77+Cdn58fMrExBwQb6+kb+yRAZWWAAAls2hgQjIsL2c3DZvi4SQSGgENTsDKLH3fNQ0kme - iAKAECmBldkoZGRYtXvblisvbg4cUrQBJfODyIiYgqJuoXNjEiIDLAJQioAyGCCyCJCRvLTP0Z1F - BElJUrSuG7WLOXGb5ajJnldceOU//elbf/GX9jbtbBYDqgSqeqPF0WQrIigQlCe7M6mUacSl1382 - 2BmFspgCJ07sFbvj1//dtVv+t61XXHqkX48phAAzSyWfnqOYGUlgQt5Ak5fOrXMWtc2ZY2yNGunq - 1KsR2ayjxdS1J97/J/lv/sdAEWKd2yYqyh6tBA1IQoREpoAZaUfSGYB4Ig7qi/fu/t6/12ybbqKP - MjnnnHPOOeecc+6sMlAGTKEMJqgSszGAOvY7C+045bYJqbdnesvOa6/4pqvfdvDoY/c8eMc9D99+ - tDmAwbgLzSgvZMXsdGqz5Q6mIXAEWdd1nXRVrWYWCIkJaprBhKQSDAYoWIiF2MDCIEMlSlAARlAu - iwgAlEAJALGyqC3IwpwMD9mRh79w/+belgt2XHzlBa++fPerd9R7qvGAujAVpoKCjCpKmS2LCosx - WhkzM0dm9IJCTTrTrhUiMBQEEILBUKYgwMa0NCYMgKFkxoSykCizCSdnosm+KvDbubNhvScQeWSL - c84555xzbsMioq7rAIhIqWIqud3r/Y3cy01JkO26LsYoIi+3DhcbyfIabNu2qqq2bXPOK6sN3fol - IjHGsrdiKTlYVX39ri9t2/b7/eUy4HLsrevaXzfXl1LXTUQlt9vPfJxzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc86552FmxLR9+3Y6O+nRRNR0LQG9VOWu/aEf/ZE/ff8H3njL66VpTPPR48cYNDMz - o6o55+np6VIUufFcf/31vJwgYJNwEibGGksVGo/HVVWllMbjcV3XCwsLIYSXZ1Vj13UhBI6hXRgj - hg9+8IMrMiCWrNxtTt1/Jl+JouxlQCB6xzveMTc3N90fqKd3O+ecc865DYSZq6pS1bquAahOToNV - NcZIRMxcqvhF5AxyuwHtclPOx6++5tW/8iu/zAE5t5G8n4Nz7mWGFMBSim4GMcBsCLGStiWlWNcd - UdW0ODr/0Ec+eiGHQQoqktUCwBRKGCpbGblYHucwEIwApkNdd9Fbvhnnbp1TTakCRNUyU6DKyAAj - 03Gw3lWXyd7z8wOP8VA6U9CkubNYJuIyLEJLQyUlFBwgAn/14MpzYUMQ28rVvR/+yDVXXXW8lVEK - BIVJadFupMIQigaQKaC8+oBw515kZJPQAIGxaTCYsfXrhWbxgltuvufP/tKGuZW2Ijrb3XI4gtt2 - x0jmP/6pmasurlLIihgjZWUFCEokDIABDavcuci4F5KOxz3LOHjoxJcfuJCCtmPjAPBy5AOAEqp9 - Bim4RDCFAVnFTCOYiSzE2f17cc6mlkWVmYwpZCMmUnh69wuKMgxkMIIRKzgYsykbjaSperT35tc8 - 8tFPbAVxtpBgnSlMCQSqOtP5EWAMLS8KQighG+XFApg8stuguJGcuR97oWlaTr2p6dl2ODyc222X - X3LDT/7oPb/0r6qjx2cqWIYtjvpVsFYAKDEANiIAMDMGQaHl9KKcdZzZVtNBZ0OclnbxkUc/9+9+ - +/r/859v2dU7XDEYBgHAgY3YVBUCJSLyUw7n1gRSJYCNOHadGiIzQzV0zVaMj33qE0995MMXiMZs - XFUBTcl+CjYJnVIwgwnM1tU9LIwx1YuHKT45NXXDT/1jm+0fr8PGvGrBOeecc84555xza4aCYGyk - VGZMYDQpK+CuaSlwFQYV+jlL7jQS9eM5mzfv2HfD5W+54dufOvHIXQ997osPf/7Y+JnZaVo4Pp9S - rAKrUc5qQC/2B4PBuF0EZTOoWARChAlythKfwgQDBAApGTOUkIOpnRy0hwJG6FoowSwTMhE4RooB - pDSVD3WLR54+eO/jd83oh/ZMX3DdJTdcffF1Grag4yBVrPox9GAgZRgNYpVNVVVMrAy0Khk0MQsx - WxnsNYIEE4BglSEQDFYWEsBSbveSMgM9+X907ixYmfJGS9O46zr6xKO7nXPOOeeccxuWmfV6vfF4 - HEIQkeX7PUp2famqajweAyjlZ1VVdV23rt+Kv2zlnImobdsQQgntfnm2LNmQzKzf7w+HQwAiQkRd - 1/n6XXdKPTARAcg5xxhTSr4q152qqsrqKyc/RJRSKve81IvmnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzq05JURt+9ZtYSk5h84oF6Q0O7KvSt+xpWzjtmuZWEz/0Q/+wB/+3nuuv+aaQDy7+Ryo - jkfjuu6348ZkUtlx8neXPtM6r6i7+KKLtm7Z9Mzc3ORrszMNETi7Qgiq2rbtcoVUSqncfqkX7UXV - dV1d10RhNBqp2eOPP3bHXV88Wab7vFujTvYCBsCRVCRyhMrl+y/dt/fiPB6JyFpc984555xzzp0p - VWXm0s1GREIIAMwsxljuLBneX0No91f3w1EAMXLp17Fv395f/zf/tlfVKSWRDpik2AJLZ+l+qu2c - exkwREBBGVBY6aTBTIETq0kejreI4dZPzzxxYKptIGKKAA5VpSZdFgYCTZqeLw0HavnXcshbzjn3 - Da873Ku6wAwQa2cQi7AYAlQ7I52j3Nu1fffrbj5+33u3GTEAARMEaKAcg2aEEtdd/pCBVn+AJqCi - MGjaRz77eTz+dLiwp4FnBv2FhWGsBgCEswJKEUCwTGZGCo/SdGsVGcgUFg2AMZEwjE0BtEwyMzN9 - 0V7avRv8zPjQwYrs+Ucgv35mCF3ezt2BWz878wPvqOqULRgZGwhqgNEkKDcqyNTo9JH/v+sPRG5l - e+KnP/uZsHCiDxkDqssDpyeHWpXAVg4hq3l4ARE0oFMlosDBREdku667JofcBgDGFAykZsS63ucX - 1hgtR3ZjwAjGDCYjshACt9oeq2n71Ze3mwZtOw55HAxgiIkQFAiQbjgPyoQOaIwY1C69JJVtw4/k - GxwzN11LMXCdTNQAiv227j8+1PNuuemiR5549A/+8BXHjm8yCxHSCgMw5lPi3AkwJRghE4IifB3L - UwUSzc0o7+iFcOeXn/y1397zcz87PW2jijtSwEgYHJhgRuQbqHNrhk56fjIbIkIvxS53jbW7rO09 - /NB97/mDrSeO9HIXtKYmKxADTECGpFBSo6STPCrKY2wahIUhTmyZvvD7/yGuvuxgzWMOZnS2T8mc - c84555xzzjn38sawGlADl9Buo8lV6L1+JdlUDMpEkUXUDEGVY4iDZP1LBjsvfc0Nb71m7uEDX77v - iTtvf+hvh92JJi/m0DLDCLkZN8OumiKLwcS6TjsgBKYYoMv1GgposLw8jcJUluF0VSTFUu2DMWDZ - MrJGcJ8D9YLpeE7Hw3z04Xu++IE7/8tF2/e+at81V+29dkvcQcMBt73aBtHqmEMyziRgIJLFpDBT - alTYEABGDiaMzBBDEFSKyNCT1wPRafMIpGAD68mo8VVOaTm3estJ3uuU57U455xzzjnnNqwQwng8 - Xlm8FEIIIbRt+9IumFuVkttd4mNDCCW3ezmR1K0XZsbMMca2bZfX3Zl1sXFr02g0IqJSRCoi/X5/ - NBq91AvlzkSJeVbVUhXsud3rTnmVNLPSK6rc6bndzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 59zz2Lt3b7lBwAsbCxJCEMtVVXdNm00Hde/4/PwP/MiP/ul//eNL9+07MT83Oz1DgRcXF6cHUyJC - agB0w8WtVVV12WWXHfj0367xJDkzI6IYo6qWxO62bUuO9Uu9aC8qZiaitm0VNpiZ/vDv/PfWlio6 - V6auP8eusny3ihDIRAF7xzve0TSjflVp93cGFjrnnHPOObeeiMhyRHcIQUSWc7vNrK7rpmlK65uq - qs6g6U3p0XHOOZv//W/9xu7du0bjxa6zrutC5SXkzrmXGwWYjEpeNmBKysa505hSByPJs6Ak+vCH - P3xu21ZZTUEAgdS0layGmJYzc5czdAGgYxxLaeu1r5Y9exb7g5CBtkPPjMCIMCairE0g0xhGVW/X - 61/3zB99cKFtZyjlrgMmYyZmk27ppcN7ydk8s9BcU03jbufxxfFf/vXMj15wghRCkQMbhKGAMtQC - mwLGULXgjZzc2sRQmJFR2SOUyr4hIAY0gxquuulNe1//ukd+9/d2V8CLMnxYM3ejOT14AI8/ObNl - kwRuBUrGgBIUvHR80NWOaRs4m27ihOHwsU98ard01HW9RDmX2AQ+ZYj1jBjADGPuVBOnDIhJrurZ - qy5/mqARXNq7gUCCryvV1z0XVTAIUWEEGMOQIRpZej1smt56+aWLt97WJ23HbRWWB82NTJvFeZCA - BJSBSAYQgjJQYpHJ1vY0ivs6pRDJVJGYzFrVkJVD0+V6ZtORxbmtf/+70qMPH7v1c1OLi+2w6TPU - oACZGUF4efsgwGBsBGGlpVSWM2BqzAiCKZHYDp+69TMHfve95/7IP5oLSXtRIKICMeZAxLbx5i+d - W7cYII4ikjUnqgIFbcezFc2O5p563x/RXfdsVopqgVRMKiITg8EIOsnjLu+GFLAq4dhQFqc2D66+ - dsd3ftuR2akF7ZiDifHzLoNzzjnnnHPOOefc14ONFTwZ7YQqlJeGLNrRkIiIAlki02DMHChQaxa5 - r9nG486GNtubunrXtv27r/iON3z3/U/e/YX7P/PAE/ceH57QKP1IWue50QnugUIIgUVhYGMGM9TK - hBWX6WRbmhOi0y+NZwWAbAaACGBiwAiJAKagIWc1qAWhYE2EGWLi+4eLX/rUHX/ysfft3XnZay+9 - +bILr9lSb+tGqS/9mCNMs5llaEdiQYGUEhlgMLCRwtigBhgpkHWyUAwCGyspWyk2YaD8/3GZePLB - HPeiWQ5AWY/8ejvnnHPOOefchlVKj1R1Ob1bRESEyK/6Wk/MrN/vL9ee2WRkyiOf1x9Vbdu2pDuX - 1ef56xtGqSM1s7JOS26376frTtk3u67DUoD3S71E7kwwcxmwTimVzlClljsEr6JxzjnnnHPOOeec - c84555xzzjnnnHPOOeecc845505nZmZ25ZVX6lJYxpn2kXn235Kcp6anFxcWypejpjHgmSOH3/b2 - 7/rt3/oPN3/TTW3OKSSqiIhV80a9+t9Er3n11Z/49N9K6a9FRCBbe9VHZe3nnIfD4aZNm8ysqqpe - r3cG6XrrWoxVztrmrt/vj9vm/X/yAQOYSXTFGltxk06/A5NIG0OqkrRtL6W3fed3mkhrbRWDyVpb - 884555xzzp25lFJpthBjbNu2qqrl5jYzMzPz8/MpJVUVked+Z/Fc7XMZUJj1e/E//vZv7b/kkoWF - hZiitO30oLeyIcBSNOwpMbTOObfxEEAGOpl6q0pgMwM3lvtqO0C4+57Fe7+8a7hYQ5kAkJo1uVVD - YBARThmRmozpjWI4sm3zq775lhP9vnBMKjBkVQvMBibKIhaohcaqPi6hf/75e77xtcc/8tGqs3rp - gQJh5ciilUcnsJ1BSq8qaNr4vBZPfPxT+97+7fVMbzxqe72pThSAMoSYlGny4OrpvG7NIkMwwCAE - IRgYpEKAKhEYcTgcSxxMve7mQ//1fTuUrTvrrd6DAsEMWuX2qc/ftvuVr2wH1DEJIEu7KyuXiIiS - kbCqx8/AgAPuuR/3PzQNJUMwCzbZSZeDmdlOH1T9GhFgIDMFwMxd1o54cO652HFu068RQIAosSEQ - G4T8/PCFw5NXDjaioEgCGDJrZoyhCKykLafzv/GmL3/23pk21DgBBZuU14LWdHE0hKlClA2GqDEY - orARtVwJKS+9gLiNSK1rq37PRKFxEFKMMQcMxy06WwDz7OCyf/rOOw/8/MN33nVhP8hYjGDQYBAg - M5Q4KAgISkaWCbZ04Cr7Oa3yqJINydCbCqNFqUK3rV04+L73n3vurpm33DhfzyIlkWxZgjFLraoa - zMi3T+fWAOPEgYlaNYVog1mhrfPzcx/92KGP/OVFHWhsHAGYZUGIkjMThJAZAKIqW8miUkiUfn3s - vF1X/fD3d7Oz88RVf3o4HqVQvcTP0TnnnHPOOeeccxtfIGWQAgSCAkwCaD1VadeKdLAupYop5G7c - DgUpjJvW2Ko6EXHWTkfSC7OjuXDlzI1X3fja483hBw/e96VH7rr/yXsPHnt85pyZsS6KaAopRcqq - IpmZlSbj8GVwlQglMmfp2huUlG8AZAxwD2RmMBUVhhkbFYnbRsys4sQBuWlhoEDjbp56UQf8aHPv - Vz57X/pcf+95+y4/71XX7bl+KmyqwjRboi4FqWqqqpC6ti1zy0YAoiAq1YCCOqMGxkCARSAo2IzV - yvySgtTIQFqSyNXAfoW+O/vWXg3Q6nh0t3POOeecc24jK6HdqkpEzFzKnDyLdN0ZjUYAQgilMo2I - SrKsW0eWo2SZuSTIisjynW69U1VmNrMS111yu8tR96VeNLcKK9fX8h7q++k6VXK7ATRNA6CqKl+P - L6z1PjHgnHPOOeecc84555xzzjnnnHPOOeecc84555xzDgAZDDCzvXv3AiCAGapnFpbxnCa53QQC - qRkzZ9XDx+d+8Id/6N/861/71m95i4KqEBdHw37dE9mY9Y8xxksvvRRAZM6iRARDaRu1pi7QXy5B - nZ2dHY/HIQRVbds2hJdX9JGIhBB6vZ6YPfr443fcdbcBWVdTTVGCIpi7tg3A/n2XXHDeKwa93sLc - nDKtPq3KOeecc865tavrupRSaaZRVRWWOjCo6vz8fIyx1H2HEMzsDIq+Q8B/+k//8bLLLl1YnKt7 - dduO6zp2XUd+Zu2ce5kxMJWwXQBgIzUCmTIHomCssbVwYuHJv/rY5rZJWQRQIJJxoIRgTGzatZpO - PXwaQQkLqZrftR3XXWNT07kVKPVSPYcRIZgasZpkTiwWQkzzbbc9xq1vvOnRWz85ONL2KSF2EBCQ - tfRDnxztV0ZprlaIrLmbaoGnDx2/+4v9HVu0mmklAyBAwbDIQLCSUO65vG5NI4ORGZ1MrTZSJQYb - GfXSYNw2vT27trzq8sVPfeqcs78wCljWuqpCu/j0bbfv/u53pJQpBGUAJRO3xN8yKNvqx7BVpWpb - /dyd2+aHIWeK6DrEFePhCoDOPD6BiEhNFTCEQC2xDKamz9+DmLSeki5HVhVhTgxTUT9AvJCszCMh - MxQcVcNkUwHIwJxbbatedcO1D27fdOTEwjaa7vKiIccYG2As4UQVzucEC6BAxkZqS3uEUTaCknq0 - xgbGsMghN1oxRebR4oJU1K9racah6h0z7u+oXv3Of3LHr/7aM/c9uIMbgrJBCSgfJbvFGDCAyGzp - HEBhq87tBpAiRNAuSr9XS+7CaH5/6n/x1//fV1163iydu7j9nKbMjxkRMUDAxpzHdG496loJIdSR - TBR5vFUVX3n83t9970Ujmc6gikatDVImoMs5UhASJSiBDVTeqRAyYYRweGrmld/7D3DZJQu9fiOZ - m6afKvU2os4555xzzjnnnDvL2FQJgC7XE5Sxi8XhMEWOgVWkyyO2wMxVHVpFVVXGJpLNhJkD1ZQx - qGbacduN2s11/zXnnXvFedceGT5zrDl46xc/9tiRrxw9cRjJqMdkDaihYIqM5Zlcg2FpcNUmnwgM - MIzLD6gpkRFbLNkEBpgJLMs4VIE0dF1HmWKsGJpzjonU8kgXxjREj0zp9gMH7n3y9r8cf/CScy+7 - Yv/V+3ZfvnmwPea+joddmyqqMEkKhxErYCiDNx0hLwUN8/LPLDMyQJWUoQYje3nVO7gXX4kiwjoP - 6fDobuecc84559xGtpzSbWYlkdRzu9ev5UzZdf0+/GVruXqwrMfy2XNkN5KyNpd3z+WjrlunzKys - Td9P152yykr99ml3uhcQEalqmSQwM2bGUhX9S71ozjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 555FSQRZ2auIDEYgokB8/itesWfnjscPHnphLsAvf+WrMtQMBoKalh85sTD8iX/8kz/3z/7Z//xT - 7+zaFkRggnG5TF1EYgiqmiXHGLHOq+rG4/H1172GgJyVApeuVfxsIQK8tGpeEsulATnnEtdtZi+3 - 3O5CTBWgwB/68/9mAIeIkitPp8StP8vGTie/V4IkGPje7/kezXlxfn56MDit7MU555xzzrn1LqVU - 3kos91ioqmq56Hi50c1zdGA4WZscQhARIiIiQEsWeAj07nf/4jXXvrpOYTxuEEMAMbOZeXS3c+7l - yDiqAsjMSgDECAhocoM6VNLh8JEjf/vZHe04BGTAAFVAlQE1kHIiwFCiJ00gAFGQEI/HdPl3v22x - jo1opVSF2OYWKZIxkZF2FZOqgaNkcFUvSLP52qvyxefb6LFm7kgtzKRdh4pPjpzoUkqxEsIqx/aM - 0GVJKVgndW7u+uCHbn7j6ztgxIgqbAREgNg0mH31OKRza81ydjQZGTHAZAyosbVNV8cpY0O/t/26 - a4af/R+K5kXo3hIJJm0/xbkvP4AjR6vBDFCVRO2ywwYFSGX1+xdBKxhUHvzYx3c0mQyaEA0mSlAA - MAYpbDk7c9XTEp1ZIPRTXGyzdZkG/UPU7b/26o4qySBiVeUQVJVhzzoO784YAawMQjPJyEAwLWns - UY1gweICmezZ+qb3/nocN7FThD6MgE4YoDDu9Z6qB6q9yljJctCWJUQlU4AJzEYr3ya4DUaZRaSH - GEQEEnpsQbOMeyE2jVi/Pspxy5WXXPlTP37Xu361d+DIVLs4VXEnWXUSJjEW9GqTTIAFK+ndzzIp - +bUwQmsAI2nQcUekMwxbOLS9N7j7F3/lyl/6FzTbH85Od50oYoh10w5jZKz+qOWcOwsYMRBzMxr3 - GNPRcOjg0d/8nfO+cmhGRA1ZiSOJKDPIOJMhsHQ6CJVIKwoCQo+GjR2enpq96cb6O7/1UL+30HX9 - Xg1tIUIg89cj55xzzjnnnAMAlNl/MwvMBimT9ev8sm7n1gIFZz75BQDASBE4BgHMjIiZACvh3mYR - gsyKaMwWIVCwERrNxiBKrIGGadYGm2yn9PZf+U3fMJePPXLggTsfvu2BA186lg+GGo2MwKAKUOQM - Bnohkca2bQFNVSAElawKZhjQIYdIoiIAM0Ao3+IIyjATI+HEAAQ5A4jcQUEgBpsSAQFkecxd5tGJ - 48984dZPT/Omi3buv/ri6/ede/nm/k7tpiqbJgnaCbFVKajmthvHFE3ZzABjZABExCF2OTOIuMxP - WElHeCnWoHtZKGEcWArmMLMY07rOIfLobuecc84555xzzjnnnHNudUr1O5bmzkXk5dmKyznnnHPO - Oeecc84555xzzjnnnHPOOeecc84559Y1MqgZM+/fv/+Jg4cSU6cvRjc1BWLgUe5+4V+866EHHvzl - /+vd01P9heEwgFJKTdNUVSUiXdf1pwZd163r/B0yTPX6W7du3bply8Fjx2zSHIoM3rhujYoxjtsm - 1XUj8oE/+TMFRDKHILq6LktqGoCpunfL625OITCzqopIZC/BcM4555xzGwozt21bao1Lu1JdfVfc - Uq0sImZSHiGl8E9+8ife9ra3bdo0szh3ot/vp5Tm5+dB6rndzrmXISUOBp60bY9W7oOJSMUpqG3J - mj/2VzNHD/dUs0ICyBDKINQkH7fkdnOnSkAMFC00zCdiyNu2bbnmmvlt2ySrdZBUKQcmVjIyJSiD - g7IgKqCsw8SbBmnfW9785Bd+c3u9ydp5U6RA0FOSGoxwxgNgIaHNEoEpMn70MXzutvqGG0MVGApj - NlZwMCWoGYE8VM+tXUYQACBSZWI1lNO4QWoAACAASURBVFhiNhaIcTCjxjCX4vbLr/zK1HR7oqnp - 7MZNMyBAYLDkbU3GnXf39+yJJFoCu8vhghQAG3SVyxJU65zbB+6r5+f6XZMZXZ4cswJ0+ahgk7M5 - O4PE3cRQBdT6YCaeb0bz26dxyb7MAYhABrTkggfjctvH4l9QDJRVp8oKBQNkRkRsFizmGI6mWqb7 - ZkZKyfoACbKRKsE4GMVoAdkYurQ9KKA0idhIL+mzc2cVKxjgYBpM22ASVIgD0LTS6/VO5GaBNM8M - dr/q8ld9/w/c9Ru/dXEXhvPHYyQESwQYQsKosRgM4KCgMt1mDFI7o4OngQ2spMEQACPMdkN54vH7 - /+9/+cp/9e4jw6ODnbsaVEePH9u6eUvTLpyF/xbn3Jkws3Hb9nu9qWa0pR0d/6v/78itn76kUzUI - AUQAjKBWzmpYVQOgbSYgATyFuUUbzlTj/Rde/GM/9AzzM5FmqhptS+zxc84555xzzjnnnDv7SAkC - KMAGZpACRgxjgEsYdZkPASkbFMZWJloCrPwYlQngMi4aDEAICjIOGoxM2lyFmU3nbr90z1VzduTR - ow/e/sDf3v3oHS0Pm9G4Sgi9KCLjYUOqdS+FSK20OXfMzImJDUAkFlNjEE3mB0AQQ+4QaDLHY5Nn - gbLktnSvMMjAZbgG2vHYbJwijWTx8BMHv/jY7Tv6u3fMnv8Nr7rl3JlXbJ/ZXaMv464VRMRYp24s - KaUQ2DSraiCY5pybfq8nIq1kFYCJQwwUiGiVl/k79zLl0d3OOeecc84555xzzjnn3OqYWb/fX1xc - DCGoaggh5xyjD7k755xzzjnnnHPOOeecc84555xzzjnnnHPOOefcemJmIsox3nTTTR/75N+YGYCv - I1Jn5UMDeLbQDWNAAWTRKlVd1/7uH7z387ff9sfv+6Pt27fXVeyalphBZECsEoNMlHh9p++o6uz0 - 9Ct27T507JihZNkRvOn3mqUGNTA/8fhjX7j7rlDF3GZbsTEv33z+VVh6p11+6WVXXHZZ1zSRObdN - XOcbs3POOeecc6dRVSKqqgpLud0pJTPLOa/2oUIIIh0zE5nBvvvvfdc73/nTVQrD+TkRYeYuN8SW - UnrWB19u/uuccxua4mTkLdiIQVF1qs3p+LEH//rjm+YXakB58jNKk/TukwgEBALUxKwN6QBw8Rve - gJ3nDjmItCFETRANYmZZIjKXpE1D1JAZDfMo8sEqnvv6m578L382//ThChQmjdhPGQ4kK0dlsxKd - txplmRXoZT3n4LGnP/rJXTd8wwmToJqJyUpneAGUDAZP73ZrlwI5gA21ggWZYUDQABIhCGtnWSPN - K81esi/t3NMdno9djqZnb5EosIgGRlRsbrsnP/PZPW95Q9ReZgKYTQEVBhvImIFVRV9XaluzPn7b - 7RjPMVlkSAZFGGBqVAZXjZUUQAAAK0e21TwBMphkDRxhOiQZnTOL88/vKLIuHw0mMxF0JuHg7jkt - r61KUDKTGRYMgOYSK2IKYw2RqEdEkYjVWFEjKMVxkMxsZmrSBWYDa4zKwYKSZjYjgvkY+kamFJUY - 1BnlHLQLUFRmFKuUmUPWDM0xHN80s+07vnX7Uwee/MAf76LIZqzSGVIAA4nAdvKtX0nvVgJQguS/ - 5qUxDuXXoOXhjKCEaNg0nM/33/f0v/3tC37mpw8sNnMh9wbVsJ0P/obTubVCDVb3UjduZnPGHXd9 - +Xfec2EzhBFZCAYyGJkwQIiKYApFipVl6YCqxvwi6qn0+KbZC77/H+DyvUfGLYUKhpTCQjuKKQG+ - xzvnnHPOOeecc+5sW54fIRgDcekGAzCoQgFjqBAIGpSDsRIM1DEDYAMpEggo2d+qBCNtowIws2Ax - yEwlgz5v2br5vKtuuLH5hsUHnrj3iw/e9qVH71y0Y/WM9VNqqRVqh62CwBEgzYBklCzsEAAABlIQ - IRCpGQxEYJoEd8MUiJPp68kkl5bJoeUnGVPVSdYUQgrtoJtbPDSHwwfbr9z+8b/e3tt58c79l59/ - 9SXnXnnOzB5pqBlar5rOYmQIFIlEckdMVUzj4YiZK4qUmMAKsgyFEXtthnsxmJmt523Nc0Scc845 - 55xzzjnnnHPOuVVIKYlI0zR1XXddV+703G7nnHPOOeecc84555xzzjnnnHPOOeecc84555xbd5jZ - JDPzTTfdBEANASRnvQs1x8BZctu1MUSRfO8D97/mtTe85z3vee1rrts8O9uOm1ZyZBKRudFcr9c7 - y8tz1nVdF6p4/vnnf+HeewwgohJS4tamnHOMUUT+24f/3ABRBUGl9B87PeeFSoM0QL7qewQE0Ld/ - +1uly4FIREQkpSSyjrs1Oeecc845dxpmLg1JiWh6enphYWG5+nhVQghtOwbAjCz2utd947ve9Qsp - 8mhhnpkHg0HOOXe5rmszE5Ew6Q3snHMvF1yirCcBuiWPlsnAHMJofI514zvuzI8/OqNCBmbWZ8n9 - VQN3olXFMO06KKit+wtbepve/MZF44W2i4Fi4MYkG1DybwlkCiIYB4WCAckUFmONc7btfePrH33P - H+4JcZNCJNOpC7wiMXfV0bw5o66pacxGoz39/qOfu33XgUOD3TslxBxKFJ8aqUFp0r/+LOYcO/f1 - MGKDKkCmbGALCiZjIzM2AJ20nOomB9S9rZdeNv/Qo0EW4tncos2MAFXUhumsj33pS3sW56vpfhej - cRnwNCERoiiRDKBVLE0SDU17+K47d7aLAQiAGYwCSEEKA8BsWBps1TOYjlAxBgTImmOIoV+nPeei - VymFoGxsupoFdqtV5jZCCU6mkzHJZDCCMEzLxk5EIRtI22QgU8CM1UwMJMSEoAADQTkqhJFZdWm8 - 3W1QREblpEZIhU2YSKFgCzzq2hT/f/buPViS664T/Pf3Oyczq+o++q2W1HpbsrAtYRnL9mADtrEx - NjbGa/wi2PGuGQZ2J2A2eOwyZgyzBDED7C5edgiwY/F4zDBL7A7gAJsB2+JhjAkwll9YRpJlyZIs - tVqPVj/uoyrznN/vt3+cqrp1b9/b6iuppdvq3ye6K6rrZmWezJuZnXnynPONIpkQTuSuXhwc+sG3 - 3n3vncc++7mFldVdsRqlUcVICXUFzQCUJlHd5QJpu8/dCGCbPOEhNRoneAfDAiGdOHH3f/34hVc9 - +8DrX2P7FoSyGPzhnnM7Rx0hyycvrufjfXff+X9/8OKTy32DRbYEAgcTIcX4GFcyREByF0JTIyy3 - HRaaOwlXvP51c69++T+ePF7vOpBVWsmIZgAzq19NOOecc84559zWzB5HZYxz7hQWGVAQgQ2RjdSi - EZf6doyr4VXBIGVjBYNQqubZFJNG7GRGgBkbAwZl1fEBqmYUNUaqY2pU55TyYtw7t3/38y990cn8 - 8B1Hbv3S1z779Qe+upSO5zrFnmZOWSSpMKOp2QhJ1QxEAKACNliIAQRKrFae0QYbPwyerUAtp4my - OgBgPBx1RkjQ1ZxCjbgbSfSR7kQ9hwd05djhI7fc+4Xd4cLLLnj29Vff+E2XXie5DdpAjY1rCrFp - SLTtcl33GYGZTVREVIWZqxg6k6f01+fOJxuyuj262znnnHPOOeecc84555w7X5QO8yEEEYkx5pzL - h1VVPd1Fc84555xzzjnnnHPOOeecc84555xzzjnnnHPOObcNIQRSIaLnPOc5B/cfOPzIwyEEFbFt - xmVsOfW6H6wFLmTJTKymnWQAqjZoqje97a3v/qmf/smf+AmG9fs9SbkX68whEMsmUUPnkipGI776 - 6qvpEyBiH7RuhyMiYs6qv/d7vxcDt1lBABF09rd2RvtkFeMb3/AGTbnp1QwbZVJV/+0755xzzrln - GCIiohjj8vIygKZpcs4i2xsSVyQBiJGz6LXXXvW+9/1GXce2Hc7NzaVulFMLoN8MzKwdpaqqz+lR - UJ1z7nEoY5pnhhGEMsCszAYTzBvziaW7b7qp3w6rEhFsYGIAZdD2Mkp7mYMBYkoCA2Izdzxg30tf - hEMHU1UzR46cTIdpxKGpKDAMJYqVjCmTMUPJAGKLvZO2tPjqb3/0Y3+y68jDu0IkFSboTJJrGaWd - bftRmoZQomEZophXogcffvQvPrn3bW8+2UQNJQMYCgUhKI8z+Tys1+1IZCADAzapX2Qr6dhqpiGS - mGZLiGGYdOHFL/raxz4xCBH5bKYLKEWYJNQRSImPH8eRI/29u0ehyWA2EFRZATaKMMZ20rv7kvHg - I/b1u2M3hIE1ApmUVCfnAgNA/MTqyCkwJCgUpFbRriuuQFUJMVC2NguP62HZk6CfbCUZnQ0AyBgo - 2aYciZWQmS0wyfi/AiO1oMlUTUHGsACAQBwgGSAFE5fzuYGUUWI+/Lf2DGUICjLkgLITkTIbwThL - DoEsgpI1iVDPPZikvvTAFT/2zz7/iw819zx64uiRPbEH7Qwq5aHN9MKGTQnBwLaWJX+GaPysRw0Q - Gl/DMJAFPbbLVtov/OZvfcvllx18zlVL++aPmVDome+fzu0EpJLzHtPew0cf/aM/SV/+x/1tNzff - O3FyNE8hmADKhgBg+n8WM1SztBQrxOZwbNobr5/7wXcsKfX78w2H46Oh9Xk4Gi3WvQhOpl7x5Jxz - zjnnnHPOubPIGMalgh1AeabBUIXyuJG6AgZSQAFVglEEEEwJGmBkpd6DFKzMChYKRjBUCjAkBtPU - dbljDiEEJg4UYWGAReukot7iRQeff+nLlkdLd959x20P3PLZuz/F/WETiYJ12rarrVLiAI7ExAAr - wRQhBwYzAmkL0pLPPQ4SpwwApJMnYiBjI5AygBhjrANIuy5pB6oRylMjplass5VhTEtYuv/InX// - 0McHtuu6i19yw7NedPUV11bWX1q1KIMezXFoUiYmCgmBADIKaiTZEhD8+YJ70hHRhvdmdk43WvOD - xDnnnHPOOeecc84555zbhhLRXZ4NqCozTz90zjnnnHPOOeecc84555xzzjnnnHPOOeecc845dw4p - A8eo6vz8/Mte9jIAqrrd3O7HR00H/YEBIUaFPXpySYH3/vr/9brvfcM37r9vaWkpxnjyxIk6VtvN - e9uBiEhErrnmGlWYKswAkOc372Bievjw4dtuu60rERAAznCIJVqLnGHgmmuuedaVV1V1zF0iohDC - OT1Uk3POOeecc5sioqZpzCyEQERt2z6++zhmZNGLLz7wu7/7nzmgbiKRpdyqakop5zwdAjXG+GSv - hHPO7WgEMBSkmTkzjAQQMpAGKOZDhbvuOfq5L/RVGQjGZMRGQYGSFgwojWODKVISwMAhjESPGa59 - 3WtWGu5igDGYkikxV1UwsmwKkBBS0C6YsCpZMARlsjgMFS67cN+Lv3mFOSdhDmKmNF5WSb4MNg3F - 3B4mtK2FgJpBXbef4103/SVODivhzAwoKOtaMrnXs7kdLSpYIYRchkI3FmIhFsvMYLIsHXFsA+G5 - z1men+v47A6ZbgBRMICNKskD1eO33TrImQyTeG0wBFAFK5Ft5wirxHDb7fMnTvbG+cshoJ6eBqaz - GsfuEmz760oEVR1H96qspvbAFVfkusnMbGAjMkYJjS5nv20vwZ0OTzJCdLKzCLEhQIl0/F8G2AJp - tBwgxGyRcyAjqoRrQTAFFKQgK5HJAGBExtvNXXbnGLIACaYKEopkMWhgZTZEWAyUO6mqOo0EYBo0 - D0iHqy75lp/88XuaqIv7l7ONROte3cn4YgMAxoe4sj2OqwEFDLMnCRt/ioB+wJ6ULl4d/u2v/O98 - +Ehz9OhCCMEf8Ti3MwRFH7S76/DZm+/5w49eqNbPmlZHIcBIjMplAghKUCXI5I6BgaWclubnHtm1 - +wU//MNywYFjZk2srG0bMjZUVWUGyeoRNs4555xzzjnnnDvL2IjXqiDIQAIShhASIzGEkdkU0PFE - pOM/sEmetwqbsgqpsBpZeTgcTMlAalVV9fv9qqogKl3SlE0QtIq53+TFfto7GO7fz1e8+Fmvescr - //kv/Mh73/qt77pm7psXRwfnhnvnul0DWVwIi9QFbQ1JGBSJzURVFDBiQzRELetCSgaCkiFYeUwM - QKc1/6olSTwxEAmWQII6MHPkGKnPuZdH1dJyc2K5d2xp7oEvHvmr//Cx//MXP/gzv/vJD9y1/JVu - 18k0v7xaHeddhr5KLSkaYkCMQpwV23qe5dwTcU73B/F6T+ecc84555xzzjnnnHNuG1JKMUZVBRBC - AFBVVc756S6Xc84555xzzjnnnHPOOeecc84555xzzjnnnHPOue0pLcPNTFJ+y1veUsWg9niidGbR - Jn+YNo7xwgCvDEcA55zBRAFVHYdduvkLX/ju17329/7gD0aj0d4DB4bD4RMsz06gWSB6zdVXT7dC - CZxzO9lHP/pRVQPAYeMv68xHLHr7W9/GBqhplpwSsfFZzt1xzjnnnHPuKVZyu0tct6oSETOXDsjb - ZYYLL9z//ve/f3FxsaqqY8eO1nUtIr1ebzAYlPdmVldV17bkMa3OufNMGdM8M3fMykrIbBw0RooY - tkf/4pO7l5frcf4pBYSgzEblW8Ioo7wbAUxGQICCVnI6eO01eM41J+b6LcxEsqoRqhgjB9XxIBJK - 3Ea0EW3MRjkKaiHqwLE/7PHVr/o2np/LMGHKWDckOhkmQ7Fvu8rRDAoQEIBkMh9iuOc+3PwlNmRm - IyUzJTUCQB716nayYKiFK2VhTQEl8DgzZQYRqaQaWpuZaq4b7Jrfc+013SkVkmelYADMAGtI77vl - H1mNAWEA45xLkApBaHv1mVH15Oe/sDhqa4AIGURUmVLAeN0NIHAJ69USnLv91c0GJSgHATJk/5VX - dByEoAQy5snJx3O7n3wlEYQssyqBjVmjIQpFKEEtQQViSKxtramSDDO1oBSBUCduOmIhUiNjNirf - VdRGkTWQBX4cce7uXGHKloNlQ4Q1QZoodVCuVBuymDILBW46ptWc6ywx8kP9mK669J/85P9w30KT - B/MRTTvqelUo2TVWLhUUwQB6fLks5TwEmgTJZEZmmCIlBM6D9uTB+++7/b2/1stWrbbhiT5Edc49 - OdisWm3x0NF/+K0PHFpdrlZbM1RcNYGVIQwjkI0f6QpxJpbII4B7lTTx/ia+8L9/J77pefdnqgfz - 7crQ0mguxqrT+diXbAK/w3DOOeecc84559zZpoAaZaNs3BHKn5bQMiVC+SME5UkFZlREVTI2okxV - G6pRrNoQugBhBTJRG9BGG0UbReskWWqtHWlO4Nhr+nOhalRgSjlnESOjiqugMWjdbxf3r17xqsve - /OOve/ePf++/etMLf+C5e2/YlfbrMZrnuSgsSdU6i52GJLGVqm2jjqJ2AZmhABt4XEhEQdRJ5S3G - ieNVZKhZh6BccxURkAmCbphMEpsGGCIQIMCqtCv9R5cWH3yk/vrNhz/xgT/5lV/50E/+/qffd8/q - zQ/p7SfCN9rmeFetrFo3VAj3Qr0Ai0/379SdL87p6G4/TpxzzjnnnHPOOeecc87tKAzomb0+PYio - BHWHEMowbTnnuq7Le+ecc84559z5oPReXt/jMMAMrJgOZUCw8ZTs42E555xzzjnnnHPOOeecc845 - 55xzzu1AZCCmyMzEbde+6jtfsTA3/+iJEyFQlrM7mkxd1V3qANR13aURzEDouhwCqdhDjx7/yf/l - f/7Upz79i7/wby65+JBlMei5PTw2UyC+9NDFFTNUwWAzhfJaaxuESYOcxxUt4J5MHKPC/svv/74Y - mCHlcKC1mJdTu0+c+kn5NX7/m/6bnLNlmZuba7uhKqgkSDjnnHPOOfdMEUJo25aIMBmZlIhE5My+ - vXYpzYy6ib/6q//Hddc9NzC6nBbm5nPOMcau61S1qiozM7O6rtu2PSsr45xzOx4ZiErGJCuBoPOa - 8PCRr/3FX1xbNfUoK6CQaCDAYCW1DiiVUAGAqpRM7DbyybmFq1/9ylzXo7rpocpZAIZmg2ZrRWTQ - b7QTA8jYCEYQRlAlY4ZJ5ON1c9ENN+QLL1hdGQ1yG7hUcD0JA2KYoR/JxJKCAB6NDlTVN/7szy99 - xcujZUCMVBANrARPeXU7HBnKEaRQQwCND5AY6zwaVmRVqEY5ZWYh7Pnm60af+ZyBae04WndMlRhK - Ah73gcZgNWFmU1UgaF6662600gyELCoBYDaGsUzKX7qLKoFtXZF0raaTg2kwgXQP3/qP+9oUBGZQ - UwAE0XU1/Ep4AqHaBCaAICZWISws4tLLl4yEAVKDTbcw1pXZPTloqxpuJhAFIJvaeBoFgbSkpzIM - FIwABqmBjWAMYox3pOm53McveiZjWLlWUFAAeLI7pZSIqKn7o66LvT6TdSdPDOb6y0S8d8/+b33x - lYeP3PNbv3NlHReA1LUIMIIEDQoyBB1f8JSw3m1Yu0wa79vljF2FOuVORBebSlaWH7z19tt//Tev - /Z9+DFxlbgAosW1yhuHJfMa7sZ9+nNsWnRzIQQGAbO0y3zgDGCdTaZzvZPewu/0//27vG4cXV0bB - KFJUyTBjRrkXGh+Bk/+z2jbXFa+mfLTf2/Pyl/Re9R3H5nojUG67xbl+245yTnWoRiurIUZm9vH0 - nHPOOeecc26qNAZwzj3plBQAY3b0TgUAAwhsXKonJo9fwTZ+NKNgIy6VokrKxgQFGRtK1DcAgI05 - cAWwSMpZmZWImFlhVWyMTUQk5cAMJmiwYVXXUUK/iv2Lr73kZdd/+30n7rn74Ts+e8vfPpIfXOqO - myWL1ukIpEqW2UodaSjLNCYbL338HIgmD5JMAUC0YgLDDCXgoExZ9aKZZDVTA4OZzEyAk9rFHgQ5 - pVGkOtvKZ+469tnbP3VgcNE1lz73+mtuvHT/s+reoo6Yra6oroiCzT43nlTvTCpsT6k9Hhd7dprJ - JwyokYKmlUTsz5tcUVqvPd2lePw8uts555zbQSIHUYkhZsmEYOPGC2RmIKLyZnrlQYRtXYVsd/on - bFzgST3C7DXTqZ9s+GJ5s+kEG2oliOjJepR7+nI+8eWefr0ec8rp9nwiTalm12KLmc9OPJ1y3T83 - 2GptmLf86exefPqZnLmtZlVWefr72nRNt5jhxt9+eb+h9cBpZkhET+L+ec7wasNntu0eqtvdH87h - m2v32Pz04J4w7yJ0Dtlp1z++85xLJpcDfMav2/Xk7J/Tu4DZbvNmVm4Bym2CmTEzAFUtb5xzzjnn - nHPPGDZpBsczTdAMEVBVDSEDQIgGdJJjiGIaydO7nXPOOeecc84555xzzjnnnHPOOeeeTmRrrwUb - lFAagTNTZOLAP/DWt7z/A/9BxAgAhdJQ3EwAxBinYyRNZjrz3jZ9O7WxNXubRuVN143f2Lh9OhOb - qNa95r989I/+5nN//0v/9t+97jXfHdT6VZVzzjnP9Qej0QhqRMQcpn1pjSAiaharYKLThc4mYc8O - 8D3ZGgTAznowuKnpwX37DiwsPHziBCkiWQcQ0K+qYUoAAlAR5ZzrWJ3To/nsQCVoARt3BuSc5wdz - o9EohJBSijGCyUAJdNc99/zDrbcqQAgENRhsnCcx+8sp8+NQi2SQUowmGUAVgyV56Y037tu7O4Zg - oLbtFEQhqPc3dM4555xz5ywRCSGISBnMt+u6+fn50t14ehezxfik6/oah4olZxAxSFU5QBUE/Ptf - +7UbX/gClVRRBTWmkFLiGEGBQxAdD2mUcuYQ/K7JOXdeMcCqSlIaVFgeDgcLvdWUlGwh5IWVk8f/ - 8qbF44/OdWLKIKqCJJEIKHFmAAiGIAzUAIBhiNCEYY8fvPTCq1/+7cPeYspGpCCCaKDIBqhVkaVL - AJNpk0sWJhsgrICa2UrOzWAXtLr0da+9/bc+eCW1c0MEUhgTAmCGEtmLxxHmTQQVg3EEQIo83Cf5 - ti/cfOjer+9ZvHqooy6Gph6sDpUDTOUxZ+jc08WAHGAAGQdAWTDNis0EqywGVVMRNM0jqTf3nOcd - 5UoDQ5THuQagchCV/G8jGHNJPSCA1qUJnBEiGGCaAWJEzeHBh3H/4V2Lu05IygQG1VSxkopWVURW - gyaOBgAKsIJBADIFTpIDN2SBNVfdEh45vHLfvZfkVBnIEJGnI2WWXAaClnMC2fgacVvV80bIihgZ - koVsGehffAmqhVTNC4lR0vHm4Mkf7LzxoM5lxkbKhmglcnt6ni//OyAqIgIBCs3MSiAYk8HMSEcl - 15iIbByGUSLqJ1X36rkXz3iCoCBAuXRXxyQQpaoMSJqYoZJgGvv9TsFULZnJnsWD73jL0r333vfx - my4ZaR8ggCNWRpjvQ0dgA4eYcg607knQBqeebdYH+4Jt/PzUtANAkbsu9SLvOnFc/urT3a7di//8 - h3N/brUd9ebmjo1WOAZiJi0j9rIRk43DvMmy0Tjh2zl3howgxEYg06DMxmRUhbg6WqVGQ49N83A1 - 7a337x6O8JE/DX/+l7uWVmtUghGFKDkzIRJWEuYaRkZSiFodg+ZcgzvwcYp2xZXP+pF3Hd3fO0Ij - DU1tses6iaQAa65DFCCbj97onHPOOeecc+sQkY6zi4DxOORPc5GcewaY1B4ySCcVmwEYR3lrqTyf - 6fugxABs3A1ES4XndCZc6tsRZhPFxDLAKDUu0HIUE6CaoWAAFGGAAECMMO1YaYB5E5PRrmvC/isP - Xf9tV37Pg0v3ffXeL3/l7i/e88idQzuJOmfOiUel7GbCVjExYKLZSCmQkIoAhhiJjDQrCCWLUNnM - YAARiExMAdgkxWBcStZQtoMB0TQkUWnDKFRhVY4dvuuuv/3qJ/c0Fzzrsuue/+wbLzpw5ZztYplH - rqk8hTBVUyKLkSVJjJFBmrOqhhACMQAVBViNzIwDM8NMRCygKU9DlJNwMtIyzirU07vPU2bGRMC0 - 7xWf0519PLrbOeec20FEBZPcL4MFDsyccgLG7fGBSRrw5HJkq1mtJQ1Pr1TMxk22Tr122WYmcwhh - UiLDTJ+BEkU2/XC2L8EpMz7dWphRDAAAIABJREFU9dM0LHmaM00zl18bvj7NQttQmNM4NWt52iN9 - Q/FmY5un0WvTH9EW222rMmz1+TTC7dRNeiZf37AWG4q9oeSnBpOfxoYFbrX8DbsV0fiTM0+sfnyX - 0yHQZKUwu6efMvN1K75ZQvlj/x63CqHfdIazPzqnbxWcc8455x4X76fhznelvz0m93qlB/7TXSjn - nHPOOefcWVda7ilQ19QNR2hbTHK7DWDynonOOeecc84555xzzjnnnHPOOeecczuVmpUxmtTY8Pa3 - vfVDH/qPq1kDByMWETNrmqZt25zztMX42VPm3zTN6rCt6/q+Iw/+4Lve9f3f98b3/rtfsrm5pmmQ - 88pwtVc3KaVe0+u6BEBVRYRjqOs6i4xGw6baWUPKGKGKFRH93ac/bUQhhOXVld27d4vIiRMn5voD - ItKc9+7dC4ACW/a0oSfZNL17GttihF7dDIdDy9Krm9KXP4t0qqHp/eknbpLSMkplnNwQ2HScLQOs - y6hPkgGAqEzATDlJBXzv61/fxAo67nNdVXUWyaaVj1nonHPOOefOQWX42nL/Vde1mfX7/dMMArYl - IklaLrDVDDQeqennfv5fveSfvGjQ1MPhMBkgamwx7qybO+ecexolFSKylPpVLZ0EinVd6dFHsXTy - 3r/+1H5V7pIhwEx5LYGy1IeUTEwFAQjMOSsIJ5ku+q7v0Av2jJirEg0Mxdrw7rNveLYmpLzlSEA1 - yna0033f+YqVj35k+e7lPTGoCEhhYfqNMhL841OiMBkIQBBZ6NIdf/6Ja591MTXUquZRF7lmhhrY - fMgXt3NJORLHB8J4XyVDFSqFqoIIASQg6w/2XHSx9QfdyaWKwATTALAhY1oxWQ4Lm6mr3Ca1XN4E - hjI4S3814a574tXPol5gjmamKmRERCKy+cAxxiAQSv6BkTGyLpiN7rm7L10tk9WcGY5p5lSgp3yy - DQQyJYaA0EWuD+wDVy0zo5x/bJxvYd6z9ewwxiQU3QDQOG+7/DKnkSAGlsn+OT1F5/HXePq78bP3 - +WWcK1N+/7Op7euUvaLsUV2ypj+3Cjmysnzpv/jhWx6479Ev/sMlkbtRWwvmBuhaNAQz5JwrCoon - 9HytnJSCgUKIpiBSQx14H2PloWNH/vSmg9903d7vfCWiPXT0kfkL9meVtDKs69qMjBRgA5WyG0HJ - jNTPRc6dITImK0dMuchRBfMkF6dumuPLxyTqFQcO5jsewb0PfP33/2DhyCO7wxyX2iVoAEIFGHoM - ZB0KmCsmMIiJRyar1eChhbkb/ukP4ML9jzKjriEwQIgVamRSLjLgIUzOOeecc84555x7aq2vRTRg - nHG9ITdt5v1m1Rd86mQAJg9lNq+NX5sPqbCxKVlgi1AOQgCEpV0dXj63ePkNV3/b81/54PL9X73/ - 1ltu+8Lth29dXOiNaMSMqqo6TavtiAN6g54gi2Y1MMMMKRmzxUhaQrkJsLWowLW4t5nVpMlqTNvs - G2kOmgMICIo2LzfNUHn4yN1H/v6Ovz6w+5KrDlzzHde9enezb1D1oRQ0MAIyRq3UocpdDqAYYwyU - JWUTZqYQiQIpJ5UkiUxiRTFG7da2FWNcalj0CBI3dU7n8XlTPOecc24HiSFmyQarq7pLnaiKMlCu - pCcZwCWl+LFmNY35Bk3DvinnPJ2AZsx+PouZN73QEUnr5zON1l43f5wSdbyty6bZaWfzx2dnvmn5 - p59v1fX91FKMN+3M59Pg8Ol8zDANEz/9/LfCa+2j1uVJn9r7osy/dG/eLFB88/lvFSm96WbfkF9+ - alD6mQeun5rwXbbVuCHZ+lh0TNb31PXabhS6yFa7E2+axX4m22HDD08pyXT6tT1wuohpmvsT2e3P - vrN/H7ujVtc97Xx/cO6s2e7htd3+BTvy8PXaWPe4+c5zjtIzft1ZSjf7lZWV0vc+hJBz9l7xzjnn - nHPOPcPQpFf0qcMipM52H9yLWJugDuN7gSw5BL8vcM4555xzzjnnnHPOOeecc84555x7Os2OfV9a - fSgBQIxx1LUiEmNU1Re84AUveclL/upv/japGKSu667rUkqlh+nGTsHjQOMns5y9Xm80GpVeq13X - lQ8/8pGP/NVNN/3Cz/38O9/5zqY/WF1dTVnqpre0slLXtcFCFWNdpZRGo1EI3Ksbs7V+0JNWLhtH - xrd1cSlnV2q7KsSl5aUDBw4kkRjj/Px86a+9+9JdZdvWMa6urkYOkvJW/Y7dk6v8CuoYYZZSqqqK - masQksiHP/xhlAwYrHUGP83OQkQGG0cOqjHQVOENb3gDEekkeuS0Pbudc84555zb6Zi5DAlV13X5 - Z3Fm6d0zkY0UzQxqTKymzDDBj/7oD73jHe9YXFzshqtlXKPp4vwq2jnnxkSJoSBQkCwxsHXDPUT4 - 0pdP3vq1i0uKrloAq2oMKNVjrDACG5SQSQBEVQISoIu7r3rltx6fj5rRABIh26mREjOo9qhRBg4d - uODFL9AHHsonTzJBCbw+ONNIt1sLRwYjGI3Hn1RAmKLqfZ/6m2u/9/XNlYfK/0wx6NYj8jm3U8zG - J+jMgTYdJZKIQggiEjnUB/bN7d+bHn64GmcSbDx6yABbi0d+HFX0BgsUzIQDxEBmvaxLt9859+rv - MDKGgkhFmTlwyFmYocRWkmgBNmUwyrCTapWRmDFTFJmzcOctt8cnlJz72MZh4QCIE9PBiw9ZGRJ2 - /ZbySnbnznVKqPrNcLQ8qKjevTAEX/fud3/pX/50c/jeA32kVdSKRoOYEFAxw7Z9vbGVJAKASRXI - OVdNHbuOHz32lfd/8Fv2HVi84dmri3OadLiyun9hYTQa5khCIGMGw0gBYRitdcl3zp0eGQdlhhpl - wColgDsGYJpHTT92SYibwVyz+tDRg6PhPb/92929914QA0drR22EQSgDSFACB6QMAmIdunaUBSDm - evF+0IVvez1e87IVopBoMVWdmhIyjy/QhAxEtYBMhXfmkKTOOeecc84555xzZ4UCyjmTsoLMggaA - yZiM6zjIbZtacNx1qNl14bOveeGVrxqOjn/l1pvv+MYtXzvy1bYa1vtib3cc6WorIzKYIIBDCGDW - KEZqMCXwOLabTUMolS8mRAbSacsiA8gYtBZFrgQDlMrjY2iFTOjyyrKscABxPDE8/I37vvzpO//w - 4K5Dz7vyuuuf9YJDu65ouvlo/X5vIWrPDJItZTIWQVTOTGzJ2ASBuAocVS2PdGhKIfbJQlAGaVAO - YGitREbYmakQ7imzVabhucXHBXbOOed2kCw5hqiqXeoAEKium7YbzrbMJyIiIwrAYzeU3JBYzMyA - mpFNnP7rW3UJmKQyUwksni5iq8+3q5QTWHstZQZQkpE3zDyEYCbTac5k1U6zXDOazGPL7OrTz392 - O8y+nlkXC8yuwrY241ZR61uUcN1st3p/5nOb/W7p+3Emq3Amy92q98ipXe4nEfLb2G5n8KvccrJT - d5Insts/VdjvY51zzjnn3LngXM3trqpKRNq2bZompVQ+9Nxu55xzzjnnnpE267qsAEIV7n/ooatC - Rczl7sDMKvb7Auecc84555xzzjnnnHPOOeecc865HcrMqhBVLUSGIY3aH3rXuz71N39LBLNxb+sS - lhZjzDmf7fKMRiMAXdeVnBIAe/bsOX7s2MnV4U+9+90f+8QnfvmXf/nySy81YGU0HAwGANrRKKUU - QgAQQgjhDHPjnlK9Xq/ruoWFhRJMnnM2s6qqyoY9fvx4VVWac4yRQdN1d2fDbGfjnHMIoaqqNicA - FFhhZnbvffd95StfAWAwBQKxmOrWv5Rxp+xJJ2tVDOrwvOc+75JLLtGsTASCYvx7j8zwkd6dc845 - 59y5qcRp55wXFhaw9Qhdp2eqFMBgEen142iUX/faV/3UT/9EVVUnTpyIhHKvREQiUpIsn+z1cM65 - cxKDVKXqVaurq3Wog0KWliLojj/9+MXMIaUQQqnIUAGDDASAMamHIAVlwFRAdXWS455vvoEvvegE - aQMLRrLNUSxUFQJEpX5/afXYta9+5ddu+rvu5KiilgCldVnFT8A0n5g1oFGZe/Ah+czNzcUXVQuD - oCqSzZirCPMh5ty5J+fMPM7A5hBEJElGrA5cflm6605pURkUCjCB6EzH2jwTBGYVYUUGWLGAePTu - bywQiMxEmVmZxCxGtiy6MRR7XQ/TaGQqYK3N0Mqx2+688Kk6FjNsSFQduiTDjNSwFpHLti4l3Tl3 - rlKp63o0Wua6WVmYP5Ds+f/6Zz73nn+NRx8+EKEZgQNMAgVEki4/WTnZVQgiEohrliQYrXYB2Bdi - d98Dn/vf3vvC3/ilPmQ4oD27dy+fOBFjIJTQGVVCABtBGUqI4sndzp0pAkgRyYwUxDqO8YaxtalD - rOabuby0fLBL3R9/5Oin/uJKDr2AdrQKoK6CZokAx6BZTEMyiSGWQbIDYxT44RAH3/LNF37/m5Z7 - 8USiuf7iaJjrqu5YlFApFLASFk4azA9e55xzzjnnnHPOnV+MoGAhlMxsYZCBoWQclAP3AlWSG1GL - ATXt3h0PHLru0He94LVHlg9/6e4vfO5rn7n3wa9TkF7fjIwiEVHO2XICE8GSWqjYABjDQEQwI0we - 7oyfaE+b2CtmnkaxsRDDAFKDDhN6PYQeRiMQYdBTs9HJ4Upd0/1YevC2Oz/5xY9fNH/Z86960fVX - 3Hhw/hC39aC/GKxJnQIcm4YQu1E7P5iTnEVNTDVnIwGYYzAhGBuBAIBhREblUbT5s6fzz6a5gTs7 - m+8x+NDAzjnn3M6SZdxhm4nVtO2GVaxS6elKMBuHYhsEwFaXo6Wl/Xj6ySdEECltuM70OpYZWOsh - u0bNSjw3MQhr4dSm48/HJVsfXV2mND010nrjq6qWqOvySuUWgQBDCUaenScxRGRtuacscaulnFqS - yXI3KT/x+HX6LWKorFuKYTJnm9kOtvk2KSUv39p0m6wtC+vXl7BVf41tRYNjErBdLnDXp1Bv8nR8 - q/xsbNxDSig4zEAUphnhG7470xtk3DOEiLYqfynsYy137ZPS/vJMEtxPjTA/ZYabXPqX+U//OZ2m - RKefC/cG3vrhyeXt1N35bHvnk51/fny6nd3zyc7b/tvaf/xk65w7Z5S47jJG2HRQtpRSVVVPd9Gc - c84555xzTwEVBpp6sLhQHm2Mx4yYfQbknHPOOeecc84555xzzjnnnHPOOeeeVrO9rMtgRimluq5h - KiKlc/X3fPdrb7j+ui/ffvtql3LOVVWllMwsS15rATLbXefJ7rpTouCm7dKPHTtGAAXOoh//y7/8 - 6+94+c+95z3v/G//aVOFVjPUqrrmMO6oS0Qp527U9nr12rqeMrL27HZ4akZQYuaUUoyxaZqUUq/X - W1lZicwMDFdWFufnRaRXN6PRiJlLcvlTUazzXuk63UkWkV6vB6ackwAf+9jHOunKNGXcg7JbnqYR - VOliT8xQDYG6Tt7+1rflnJoQTRRmIcaUEodAs+MgOOecc845d+7ouq6ua2auqkpVy3BDMcbSv/jM - EZmJCQAgpXzjjTe8973vBaCqqrnq9cttETOfZtAn55w73xAQmJOYgoyDURVzPhArfPmWo5/7/A0I - ORlYE6wJZAJVU2IjIwOjjKuOQAIgMo5zeHDP3ue/5rtGVS+D6sBmDMi2ihQQQh2GbWsV58XBwjc9 - Oxy6NJ1cpS5XKozZodWf2JoDUAYRjPs5H1odfuOmP7/i5S+vBwsJqkAgDsSyzfI791QqO3I5IGZT - 7dUshGBmogpmYxIRaN51xeX3Ru61ZbhOG+cTbDymDOsjtM+QEQwEJhOYgQw1UZVx9P4jMAvMkpSJ - QTFpZlUOZNgYg13WQtUAg4INBmuI8eiy3vdwLWf3Ek7LGJ4GYRpxxAUX5OmGMF4/YJcP3uXcOYwN - kGwE9PptqFLqBnt392547nP+xx/5+q/9xsLSylxEyl0VGkBzt70708daNosIZYkxEKmaqcKGw4tj - Tw/f98Vf/tUbfv5nHm2qo6NcNRVAbAqDsMAUxIRyaoQ9KZdDzp0fynAUUaGMxGrgaEoGY7SqTaxk - efkS4u5zX77zD37/yjTqi5ppBOoaqtIZYgiiFFGrAhAxkTY3AUx0ksORC/e/5J/9d3nPnkeMQ3+e - e4N29WSvYZgxlAwBEIMShGBBT21j4JxzzjnnnHPOOfeMxiVUe/I6/VxzlhBCpBgsSFYFmANCI4jM - dkl/z8Frr/7Oa9/4yPDBL9/9+S9+/e/vX713NZ9IYUQxRxAZBa37xl1nwjDLFgTIiIDCDFJyBqfp - 3TTOcRk/ITM2RBgDBAXIIjpNapFjrTljaUWZUUWI4uRoGHTYm8v35Dvu+fLX/vzW/7qnf8ELv+nF - V17w7EP7ruw1ixjW3DU19WqqVlcfYebAAwAkCmOOdUX9LABYkRkQUpCBEsD+1MlNndPt2Ty62znn - nNtBCFQCoOuq7lK3e9fulFKINKC6tKHPOXddl7OZ4TQ9Usvnsz89/eUKbdFFdqsk6HHLh2kBbBIW - zuOM8JLcbCVtmjCZaiaT4LSvIUJ1cg9Ck++WrOvpciefT/Ktx6/E6346s+xTXk9ZLofxd83Gyyqv - qpNNqmtzmC53mrawrfWy6dw2W6/Z1+mcafaf28Fc7l42vqpukjNNRDYuGQNKFMwE4PK66Xym05T3 - RGZGJeF9w7fKT1XXfT6dP1F4zPmXOU8/32K9dNPPp68zJdxymun8zYjIpssyk03nz4zZz2enP01J - /HX7rzuN1ws459xTw6tinXPnjOmIbACmXe49t9s555xzzrlnunHdhQJKONm2J1IfZqJWVZWJEhFU - y7DOzjnnnHPOOeecc84555xzzjnnnHNupwkhpJQocCBuu643GAD4lz/24+/80R8FQEQ557WJY5SZ - f56l8pTm6Kqac54mWHciAPr93spw9O6fe88HPvCB973vfc+//rpAlFRyl3jmuwu7FlM7Oqvl3K6u - 65qmyTkjQ0Raanu9XonoVtUY4+rqajdqY4wxlkRvd1aQrQ+wJyKi8S4Ha9u27vUC84c//GGADUrb - zL5hUEmlYeANb3iDiMSm1+UWZkTEzGXffkry4p1zzjnnnHuS1XWNyVV0uVlT1e3mdpf5tG0LAIQr - rrj8N3/zNwZzvdFoFCM3TRNCyDmLSAiBiEQ8h9U558bMjIhSSnXd04wg0iO682Mf35tGurQ6R0FV - QFCzyKSTpDmejHJOhlAqO4gfVe2uuQovvnEYKoAzNDBvt8KCADOziCXp5gY9NPnK17zmq1+98yDH - RqUstNSrlDlPS7K9pdh4DkYgaCPoab7z9tu6r929eODCoyzWVIFoMgiec+eYcmVlZiJCQKlCFKJw - 6aHlGObLNCAABlPF5ofp40jvXlsiAgAz6lJ79BhWVqu9CwCRgZjMJkN28jh9dsNRPD7TmDE4Q2oz - 3HNfc2IlPlWHo3JIdYN9+6RsJEAJwSbD2jrnznEEkBrH0IFErZlrTrRt7tcHX/fdz/7GI7d96Hcu - p9QHEDR3SYAYgCfpDjKnND7lijIhxGhmKUlN7Z6VbvULX37gP/3uRf/ih5bSqN51QZuNlRhqJgBA - AgS2qMZkfoni3BkxUmMYlIwANlJAg4KMBaFX1ZryPnD14CM3f+g/XXz8kT2ay3jpNQOGlAFAQSPJ - c6gDUxNimzMDVFWP5vTAoL7qLW/CjTc8okM0g85CSjkMelmEuQRCwQA2GMgIAg5+MeGcc84555xz - zrnzCRmzBVaePJtVNhAUhCoQoDAQEDkCpIIsZFwZKBoFG4Bsrtlz8LmXvvT6lx9eufvzd332lrs+ - f2z1KEU1U82CCK4kUTIozMaJeDROKwTWtfAf5+PRNF+vRAhyeYZVx6ZLQ+20atAECGCGQOiy9eYa - IlodrSqht1AnS8eGR+/5zF3zYfdle668/qpvee5l1+9fvChLLyeqG7CxiUJRhUhEqtytpoobBZhM - SQGAYCQEYaPxM3h3/pmNODQz3SrV8lzg0d3OOefcDmKwpm7aru1SV1Xh3//6r730pS8lIkgmLpnK - YwBoq8BtoGSDiUjOubTCL9cr5fNy+VJ+Ov3RppaWlsqyZq9+ytQb5jCdf5k455xSyjnPznxa4Ola - LC0tbbrcMlsRma5sebNhvXLJMAdijNP1mn73NOt18uTJaUlmPy8rMrvRygRVVc1utPLT6XfLes2W - c3l5edPldl1X5j87sZmFEKbrldKkr4Qh8PjWZzYQHdiiyeAkgn2TmHbTEhtX1nL6SpM5Yyamnday - wg00DRg/3WsVWHSywU2mBWBMmhVPpzchQiA2wEypNIQkTL8LYNLSZ+bVBLDyflI8BcBlk6z/Fk1a - DQJKBLPZ9bVx00uy8tdOu3aBoGaAwWCWZz6n0qBgOgcmEJltVjZ6rK33zHu1s74U55x7pvJ6xtPy - sVicO3+c9aa62z3fbu8qNKUUYyzjsoUQRKSqquFwGKNXxTvnnHPOOffMNB5todxrkApz16ttcR4h - cCADwKRt4rp+mgvqnHPOOeecc84555xzzjnnnHPOOecA3axNeWn7TZOMEEmp67o3vfGNL/3gBz/9 - mc/UdWzbtRg2nemRC5yVNvAiUtd113UASsjxtGu5mS0PR0wM07vv+8Zrvud1P/j2d7znPT978MAF - g17TDkcUmJlNREQAnpbu1K456wd4eipG3Y4cAIQQQxWZ+fjx49zvRw6BgxAPV1bnB3Op7QC0w9Fg - MGhT9xSU6rw1eyDQROlED+Brd9315VtukRJlRSSmmIRjjftTb7LLKMgIVI4mE/vWF9148YUXadeV - jvnEJJJD8Nxu55xzzjl3biuh3WVwqnIhHWPcbnp317a9pjKzXbsWPvTB/7h3957RaNTr9XI7Cszl - frCqqrOzBs45d64yQElBYDFiSKDagH+849hnbr4SxgZiI6AKUJFIFZVEXZqJryyDnhMyeDSYv/i7 - Xy27FjJZnSEBiTRspzwEkFKSxP3Ksi6NuuMcd7/i27qPfqS98+RiN66SI1s3xvo2V5kBZYPSeHy9 - YCATIvTb9vAn//qK513Hu5rMFExMJwMROreDze6jpYpxWgfOzGpGAIgyc7jskrbfz0vHTKGsMCaD - GYgm3yQF1LAxS/sMGZmYVBSgEhjBoJZD6lYO3x8v3CdcZZUQIzOXmvmZVVBgJkLcGAEQYYZYDprw - 1bsGq6Nazu6oieVsZgaLlc4vYPceqmpV5fWnAX4q6v6dc2ddoIi2q2pKNqoiVq1a6tUL73hHdfiB - pb/5q8HyiSwpAbGCKRnZGZ4YT3+JEgCqa5hZStlAXWYCA5alB1xy/Pg9f/hHzSUHLv++Nx4ejlYt - NlUTzKIOQVkIBmYNDGYfRda5MyacYawcASUDmxKUDBU12lmjeXF19aHf/p2F2+9oVlpTECBlRHFF - JIQqZqoa4pxzMArQhsBEy+DD84Pdr37FgTe/9uGgIc7VEk6YdtoOBgMdjhpDKINsE8goAJkYgJJf - SzjnnHPOOeecc+48woagEWBAQRlgUGZTkBmTWi4thRiRiCwAin6sW82dJI7MDNPY2EJf53c3Fz3v - +S8Z3rB890N33nzHZ75yz5eO52M2aFE9Qpy1BKAZw0IAzISg0wrb0s5+/DRs/FdLcDimXVgS+hUT - Uc4iIxAhMKCo62rUtargQMS8mnMA6n6v7oelleO3HPncVx/4yp99dv6ifRdf9+znXnvZ8/fGywe6 - l6AQqKWG69qI1CKykSl1DCkx5kYKg1rlgTrnuQ1PTs9RnhfinHPO7SBM43bzTVO1bZqbm2PmrhtV - gc0wbaz/mFchpdc3EVVVFWMsX2HmnHN5M02bLrZKAZ8NpZ6+ISIwlyjr6SfF+CaBebYM08+BM00f - jzHKuE84pjPcsMql2NNuwNP3s+tVvrjpep0aSQ6gRGjPFq9sqxK6dvqtdCbL3bBeszOcLdLsupSN - PO7qL9J1XUppq1TyEhm+6aqdGjo+W2wRWR+1TrMTlERzM1tZWdl0ueXrJZh8un+qatmeqppSSpNM - 8rJVN12vrfbqacT7VlHrZean7smzQe9l4g07SSnG6urqpsstJT91vaYR8mWjTbLW16Lry5Q5567r - JkHt55Oz3XL7fNuezu1om45U45xzE8Yg3RGvzj2FpreQIYRyh5Vzrut6q/s455xzzjnn3DPDpOra - MmM18qv+6I8QYzawITBRU3vltnPOOeecc84555xzzjnnnHPOOefcjtV1XdM0CmvbdjA3GI1GTdOI - 6c/+7M9+z/d9X9cmAEQwAxM/BY3Dm6Zp2zaEwMzT+LcQgqiEKpqoqDKoE2li/H/+v//3o3/8x//r - v/m5H3j7O+oYk+Re3QhR13UhhMeXWXKWiEhVVV3XdV0nprt27RqNRgBSSk3TpJSGw2G/6ZWOusPh - kOO2wpLc40GGtY7hVWQOvbpaGa5+4s9uanXcgVrWDzWwaT/iaZo3M6tIJJDhzW9+s6QUQxiNRlWI - Mca2bauqyjlXVXX+dcB2zjnnnHPPBCJSRtOKMeacy0hT283tBsCMtk39fvX+97/vkksOqWUTSynx - ZJSwEEIIIaVUBmvy62fnnCuEQGpNiKN2VPUGfU3d3928++GjtDLsNeharQOM0WWAZPbUaTQJ9yUI - YSVUeuHBQ698xV05NU1Dihhjp4btnG8NMCCEkEWaurc6ym3D2I0LXvbilXvu3MOjAH0itXNGgEEJ - QccVMkYIYgQosMtw6yc/dcXb395buHCJ0OVUh2jq0d3unCQizFzGaSnvU0Bz4QXt/ECP1pY6Awgg - EDFKcgFPcgseN2YSMRAMgIIJDOsxVh58qOq63NQjswBiZmYudaSnHtFKUEIgAhMH0v+fvXePtiWp - 6zy/v19E5t77vO6rXkgVUEA1Ly1AHiPgQlAaG0Zx2a3SKLavZautorJEgbWm/WPsmWltpx1Fu6WV - sVevdnw0too2akHbOA4MyFdnAAAgAElEQVSKaFm85FEoUEBR7/s45+y9MzPi95s/IjN27te559y6 - t+reqt9nVeXdJx+/+EVkRGRmZMbvGxuKzfQLn9+og9dL/iqBQRGQgsPWJjY3UTjVCGLMOj0LEGcY - Vz7KKtCgW+VgGifea4xCfvN0CHzqxFO//3s+9oVP6+0fOVbFrQJVDQDlxUoZoKYJqgwUzCoChQMC - sOlpkzA5e+6jv/qfX3Td9aee/0Ld3KiVtQtNqQQBnADKygxT7zaMw0AigLIoPCk7FVJWAMQa4kbU - k9U0vP+vP/eHf3Tj3v5QQAQQfAQcVMFAXYcJwuZg5FU1NhIAghTFfcznHnfDF/+zbz67s7k78DyJ - nlw5GoZYV9V05D03NUECQ1NYb2Vq7yKs8RqGYRiGYRiGYRiG8SiCFE5D+4eqskAF7RBJBJH3rARI - iEoEkHONRCJyhQcAAYFdZFJ2UagiotGTt7ZvfPHTXun2PnHnxz78mb/+wN//ac37TQwRCiKwCmkk - BYkykF7xtEMyS+MzBACs7cbYtJu8R1LuDiISo/cegKgC7ByJoA7TqFM3cMXAR+yfieOzZ+76xPv/ - Zvjn73jiyWc94/HPedqNN5/YOlkEleBLGvGgjKKAgCIAqCMFiMnePBkAWo3FRQ3BKwuT7jYMwzCM - y4RW9Feh6cN6ACIhxsY5Bygg8zra5ye97kxHAUlyOFmIfSPdDitYSCtrPYsKAOI8tVbTvulLrbS1 - /cqz3dAmoN13q9nUynRDrAGwy17EbHDB7WSf3SypbJ+Ada94tbO2IMYt6RGI5nOqKV9rvZ2tPV+6 - C/nqHzrvkupiWaVnGgxH5XC09kuYY8e31206GmoPOw8F+/v7STs8h2noC4RnMfW0ta9KviBJ3tdi - z5LkIjKZTFamu05qPQnVA0hq6FkQfWH2TpJL72uWL+cr+9DPV19qvZ+vvlD9AVLrWUJ+PF6br75j - KV/ZgeV8JWn5LLWeyjzGuC5fu7v76BrmefthZqTcU9ef6Pw3+fl8nteUcxBZu1s2nv/s/05bDw4D - smDh4D2JEAUgUOrwNV1dAErf1iF1mP0162YOMK8ok2x/wc7CbyZSqMS5dBeWnK472i5n/gCgOWtp - zcHWlvN1YcuUVn/Ncukt+3yAtezVsm9p63nzdV4PV/rZLluE5m9Q+q0vr0k/DnMTs9DdHdVO2if7 - kJa5vZ/XAVq4M5jnkDdhOfWFkjkSuX8+wI3D5+vwifaTWOfAg8xX335/0/kNLt2fLFs7zClet88B - mw7YeshCOyrLVzGcr+YfPt18Xcb6cltuCweU24G+cQq5AwXBAUTkFHnOCl+KJYEA1sX1QPtJ96GW - RE41rlyff2s7l40BYfZpzcqjLtYypd5P98IsALzg5zrL/fwuH/UgfSbSNXlpn/wIpOt17aj3fHjA - bivRNc9rzrl0K5hugFU1RYM6arPOLaJ/Y5nvPNHd3Drn0u+Drz6GYRiGYRiGYVzmKEEISnCSfkhk - GrNDWbQvjagdUVsZr9YwDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMN4iFmYoKIEJH2dEJTgvW+q2hFD - FITnP+e53/zqb/r13/hNAbzjJohzJM0l/xSkqioACxMeY4wAxyBpuoRACJiEwMC4mr7+x378t37r - t97042980YteVIdABHKOfSFNGz3KeRdjbKp6c3OzaWrk+SMETR/4Y+18wIsFOQ4S0+f0HqinVZrh - x85LiI7YOQ4hAFCC6XY/BKQzHkIoyzJIjDGCyTG7svgvv/12bSfMt9ViVjuW6klawc5JjDFGB6hi - wPSKr/5H3jsJsSgKAEGi915VvXd66XVrDMMwDMMwDOPBkKcAJ/HIPEc4xbcFkB5eAMjBgV0AAGXp - 6zrkcDTOkUT1Hm95y8/ffPPNRCRRBr4QCSCKsX1uSknjQsM7GIZhPPIQgi8G1f5eCd0uiv16PJhO - P/4nf3LNXrVBkABmBAUHlA4RgDqnAkUE2EEFrKACY8G9A/ekr/pK2dhoyiF50hCdKsUAPkL8PSGo - IwL5EDU2pRtMSM96veZrX/E3b//tU9NiIFWRtHVTwA5eF8hwPTkuu0JTEA4SKAgYBXnMeLz/zndu - fc+33x1iWXoNEWQhBI0riTTQnkICAhARIZB3CgRmMB17whOaz35OFc6ziIjCze68lBXCnT7BBaDq - GCpKYJCoAohe49lPf+aGKsRN5kghBIAjzwbqU3JJNTzNLSX2TaxLQhMDM7nSfeqjH7ta1V3iEVBi - JVYIxk04+YQbwa6R6IpCbTKrYTyyUAJxESEcJwOKIoB6jSLeP8Aob7j2qT/y/e/91//qCZ++Y2MK - VhAxVInRPl0SAahVS+d0TZzVdVDqopMbvSdfz1QHFcSTGyjuPvs3/8dbnv1/Pu7Ek590vx/GoZ+O - hT2T8wynVXTMoqLWMRnGIRAgSCwGZR2ih9PA5bDYr6YOtFG68r7TdO+Z237xrdft742q2ikkPRwQ - IgACBB68DZK6AkkAigIN4Sxw9sSpZ33nd8jjHr/rOZLSwDeQEOuC4BQcalIIIRKEkXS7nY1FGYZh - GIZhGIZhzDOLJc6sQCfi83C7ZRjGxYUCuCEVANpp8kk75YSFWnkDBpSRRlSEIgBScsKkHiAWBok4 - FyiC2GGA/WKbRl+6c+pZz3zeq5/92rvu/9QHPnnrhz51293TzzUbdRjVDYL6NDIDKJwQK1jIkUqE - 9yBCVCDJPAFNA+8h/ckWGgHAQYnScC4pgIYVjCy6h0ZZCWBGAQEmUn1k8p6P3Pqnw1tP3HjNTc98 - 0rOecsMXnxre4MOmVm5YjmLVDIuNeleG5aajJsagrEo2C+BRR/sdnSJp3BCRcz5/O3clYtLdhmEY - hnH5081sNQzgoagM7XPOpRIdtGVabu9sqsa+xOOCGOTC+v4ySSdmsUMizfKH2aZzxUopRO99jteQ - pWHTcw4zpxk7fWntrFzY1/kWkTyVaBntkWV003T6vsRsVuxeltpNo4399ZnkIZRB0l8yedEgEYpI - cMSqQorI5NmByYMkbYUysUJZEVUo/QZJDCoaCj9YsJyWBAcSFUprUioAmFlkpraedMHRU3xMguVJ - WbxfJv2yArC7u7uyMJPSeTq2X7apfJLeeV3X2XjfZiredLrrul5pfzwe56OWT2JfED1VCe+9oM1X - 2po35XPa93Pv3Op8LVtI+2f7oSNtyuWZ85UObJpmpf29vb2V+cpl0tnu6WSjXRJD59eAVqw5/JKo - nTHRptVbtqNU1K6bObvGGjuozmy0sSl6drKfB+drId253/MepmU/3WyBCBKzDn0v7Iaqc3OSt6py - 8MsD771qFJmrvWhrlAJzOtxtQJiue8h9Ztq+cN5TvxUP/anokeYrMiOlvjCPMhvpuQGiWW98iHmX - i0XRJ1s7b76IcKTXNrmEmZOfc+ciX2tiXDxHyZ90FpZl3vs+9P9YzmCyts7n7nSvKOFVO68VPj9A - IvcAAeyFmpmlr5c7zwOMnzfR/o88d3fB5krJ8yNV3b7Nw+Q3wWumlq2rq+kqqdAupBUr0iQw6fqm - pNDsmBlwUWLe8zBLAmnvN+ByWl1VbO0TESg1vFV2iID0bmFxSbS8xotIrt79Y7uG3aVLjoiI0voV - 9lX1/HkkUp1bozpLN9kHoJrWtx6mEjjYft6a86iz69yc/zHG+UbNOfVkZ8FDZl5Ive9z8jbv34b1 - WuFhi0L7+tzoScVr77o0twPyZemgRrGuPscYi6JItwqDwaCu63V3GhdGWZaTycR7n3W7QwgH3FQb - hmEYhmEYhnEFQAAQuwErhkRCJEy9h/dp/Ci95HP56cowDMMwDMMwDMMwDMMwDMMwDMMwDMMwDMO4 - cvCEN/3oj737lnedPnsmBCEgNLHVWrsMPgXRNgQ3qhAI+PP3/9XXfcM3/OOve9Ub3/jGp/6Dp0ym - 4+l4f3O0EUIg0XFdb25sDAaD3bPnBoMSeVoKgDxjxWLIPSpJcxyISAlRpK6mX7jn7g9/+MP9fQ5T - M1KNGg2GdTVl4HnPee41V52CmFqUYRiGYRiGcUVCRGkisHMuaWmHEEaj0dHtYGNjtL8/cY5i1LSM - ogT85E/+ry9+8YvZYXfv7Gg0UI1lWV7c2c2GYRiPMFhRV9WgLKkJgxg3Y7znfe9zd98zaqYFz43X - pQBh6QcR1QwBPECMSQ0c2zh78uRTXvRlZ8DwXkiFI2Lk9UFp1qHEUPFKKiSOhHl/szx2fOuxL/qy - M+/+k2N71WBYNNPGEXzBTXO0+IGkUJrJElP3Qxkq4CDHx/t3/Ol7nvbabzy+ffXZya4blBYm3XjE - 0DBjMJBj28qpaQrawDK0EIpTCNxrIBcOASpOpbr3gWGM+1GEqFQCKKgqKaUuRQHqYqt1fYYSFAyN - XgXjie7v+rqiS9kgKXnCAEGY4+YWCh8ZqpHIAZwKJHUjhmFc6UQGoIwICk68gpJUTOVxZyOPf+4z - X/i6H/yLN715k2grujiesvNVbAD4LpxdAeAw0Q8PQdvjcRwUqMY4gSlO7932s7/4rB//0fiY6864 - AQ+HlQQG1VW9NdyS5mh64Ybx6IZdQSGEkRtU46osB3Wj00au2tlyZ85uk971K2/bueOzO3U1JIjO - HoBS8yYwAwR1KmAUHqHBeIjPl+V1//AlGy984V1FGciRQkjSHYJT8cJOBYAQhBGJQewEJOmzCDYp - JsMwDMMwDMMwDMMwHk0oKChFgBQsxFBWImhPt1sAEs56Q1Ag6XYzKyVxKyFVkjSu4oSdOGDghAHx - 7tRWeeKJX/qMr/nyb/jUAx9/78f+7MN3fICa+6uiabhRhnPkHZMKSCGhKFhEYoQShIAI5zDcdFU9 - P/TaDRWlN0SsAKR9sZYCorY7JPmZdnSocghSuy2oyu2740/8f7dt6ImnXP/sp9747Kc98RkbvKka - FcGPTlB0FOCJGqzWvTKMKwuTBjEMwzCMh52DJ5wqKCmLXkavKtlmXj/sPASvrhVt3bPlpVmSRCIB - WEmhClKCIv8mBjGUQYCSAhIjSDRKko4mVmolpQlolwCDAaVWpLezNlsCGhpS9YROGBtJqTWEoELK - SecSrpUmhcSATg6XmJmICcoEWf0VFDOjs9n/mD3WFTrlxj6OCJ3qJ+X4DgSVmKW7qa2Smj5s7aqo - 9JeEyCrJQWYHiDKpal3X3QCGAAyNRI5EiaAqCoIIMwHqPKs6QBYspyUzdxKTqhBAiRSAijDATK4s - tPDoJF3RU1fty7JmTfQ2E13e10lIJsnq/lF96e6+rnk/6fwnOiH2daqQfc3XvtpxCrXQ95CIZN5y - nyzBvmDWr8lXf7dZ1rqCWhasTeWznK8Dym0hiQU7yyq86AmKJ23vpBre9zbna39/f12mkqz4gqh5 - 3pTVypPK+0JJpvUiUlXVSuNZyj35lg9Pfy6roafzuLyp71g/X+PxeGW62onQN02T9elTlwBABDE2 - IUgItQiSCHeWqQY4xiZGTY731+dlVTV5H1UChMilrSIh24+xSfaZfd4ao4ZQJ3X7QdlKgM9OXWpf - hH5J59wvV58kBrtuEo1z3C88bbPZKaT3otMQgWiWEAFo9ctn+y/jPXfNfc6ltF4VWRpYVZL/xEk4 - W1VIVHWmKQxCu2wj6NDa2QVF4aSvA97ZJ0r2IYqulkhSo++lopp6NgJUVy6ZO6X5eVFv7xgkEmdb - VbtvAJdFwNvmPLv09DvSXPK9TQrocr+0sOdKFvrS3I5yb6y6aKTfIa+T6FaVNetXu7FyPRGts7OO - dB3JvzvjS1UNICJmzl0fdXR5D0dKN7u/UCDJn1nPA8T5O4qV2uQH2F/4na6P2ktDFdCUbly+XB6+ - PIlIFc7Nrkeqs8uE917nOE9NO0BCvrcPurskXfZ5pf3zVu+FHfKfzrm589L5n/LVvyCqrrCT3evX - k1yFAPS6mMUD1zi6WoF7oT5Qd58oKvnPVPyrzXYs1/9M0zSppaRrcf8u7sETQiiKIl+g0x1a0zRF - UVysJAzDMAzDMAzDeOiRNMG4G/JxCkArR3AexOiGwNpv187zTtAwDMMwDMMwDMMwDMMwDMMwDMMw - DMMwDMO4tKybX7NSu4IBBZ5ww/VvesOPvuFNbyZg4F0VonOunRLzsDCbswF0k3gE2BoNx5Npwfz2 - 3/293/v9d3zf93zv6173umPbO8IUJG6ONlDXddN4EVf47nOXhycPqbSPdC6MS4r3vq7rIHG0uRFE - xk31x7fcMq6DHLGKqAiIqnrKgANe8+pXl77QGJfnj6Szb+faMAzDMAzDuJxJU4BTYJM0NfjCZgSr - YjyeMCNGZYeidHEaALz+9T/4jf/k64vCxRiHRTkqB9PpdFqN23gv2p99kH5fRsHHDMMwHkYoSDEs - hUNR15v7+7ff8u7ju2d9Gm3QtsckZMVrUaTA6ABAnmMQBzqjvP2C5+IZN9WOXRtcDULsiVWPpi4p - gCdyyqrqg4rXqnTVzuial33FX7/vL0d1BaGABgBHoZ663pEzrmBqFfWUAFDJTupp+Pxndv/y1u2X - fjkdH5yN0V2ofcN4GFk5Wi3EGA6Kq68KbfQndGHquI3xtXgIH+l+iTTP9uzLW0cvYe9zdyIQxQBf - pDsxEclRyRiAIlIKKAl0srgKMGkZBWfPVufOAA1fvJA1q7MAsIIJ4lxx4gR8EVuNc+pPZW2zRzJ/ - h2kYxhWDEAQCkphC+hGnex5RIQq0WdzT1Fc940ue+b2v++Bb33bTXrUNDdoUnpkZojFEVqWiqJqm - XJPEund264gSvefYyNChjtgej/2f/eUD1/znkz/wbft+q9k45sqN6XTq3UA5NFoxW3wtwzgsUZ0D - +cl0wK5SDaCNnZ3puTNPJDn9B7/zwJ+95+rdM6PC1xEFOVIoIgBWFoJSut4LKRSoAwYFzvqyfuZT - rvv2f7q3uVkVQyB6ESUI1KkknW/WFLgTkThSipgB1jacqWEYhmEYhmEYhmEYxqMHJYRuPETAQPoo - ngHmTvuaoK2IFYlT5vz+hQQUhaK2P1L0USEFKwPqVABGQ1v+ZD2tsT+9aXjypuc+/4EvuecL5+54 - /8fe++n7P3HXuTtlWEdf74UqOIxGTkIEgxycc0yIUaZBJyF679OrHwZIBSSkwgqnSWQckSHUKnZ3 - voMVpCCFE06vkBqVyAA0uIluVeNm9/Rdp//qrj/feN/wqTc+/RmPf9qNJ55xw+Yzz903GYnfGA4e - qlNhXO4saH5dcZh0t2EYhmFczmj3UZZ9Om/0eAh0u3MqtrxkS9GA9ME2UVqjiFCoBgIpAhRJYzI9 - b5RlCSS1y6QgSEnhu5VvRISCVtmfWwIqc0qc6PDe9/WSs5TvSknFA1QMkzTj8lF96eh+EktSkbqg - e72ssgmg6xVnS5Ggqqk8VZucymBQdHaSdikRJf1LBagTv0wz/6UnibrCft8fAKoBAJHrlkLEaUmk - IumQRYniJDmc9gTSl/qu+15/haRxljomUsBRknWHxChEmuWN2692SWOc7U/kidQ5x5wkKlfY975M - PgDSLZP9uLS/Y9KgAIkKg0SFiBVJQp4cSAiu/Tw3L9fkq19ieT1BgoJY0dpp7RNrDDGL1kMdsXKS - aO+nNbdMftLCepG59dn/GJH8Zwci7zx57xXRcZGPTUel5fHjx1em67hQRIlQxFQ+BJdzke0oYn9N - LjdFjEFFg3flSvvelWmfvn2QMPlsIXmY1vd9SOkm3xbsJ3/WlGSbrmhYzBdRv4vIrTi31tyQVTUJ - fq+TkPfeJ3HxbCHb6bW4OaH3fipZuVx74uvJWu5YspG0Q9IvDyH0ncz7r5NmT6LpWTo9m+0kzCWE - UFVV0zQL/Vv2M8u6r7S/t7fXKf7OyVyLaPI6xpB+p31UoV1XJqIxhhhFVWKUBeHrVAx75/aWBLEJ - 0BBiOjbZT/MT0lHJfghN2idNYFBF9iGlHkIMoUnHLtvf29vP56fvT7KQ0o1RRdBpwqd/Fpc0r5md - C9a5fiVM+6SKBED7kuoHk7KGXmXO5315z7Rzb6/ZQeuuj95nyeqZQny+Wq6SA5/97ue6f+BKEeUl - JO/Qr3vLJZOaas8l7U7Toj/LLPvAnJvV3Pp5H2ZX/CylfMhR1iwB3rej8xLj/e4ixtn6BzGYqwvt - N6e8XE96PujC9a6v+X1QYqtqGCV56u4eQKRf4dt2d4BxZgZEtd0z3T901/2Zz9l+P1/d7ROn7j/b - SY2udy5aH/rlnNf3S+OAckjdwLICd9bqbvuRrnoTSKHa3lkt3nWsug9ZXaHTdS3VxlzHkqT9uiI9 - EkVRJGnwEEKyjwudpW8YhmEYhmEYxuVJ+v5MCYEZ7Eyo2zAMwzAMwzAMwzAMwzAMwzAMwzAMwzAM - 40pHQiy9/5bXfPMtt9zy3//kPXWIpeO6OZp+z6VGASLsTaYAGpHhoKyq+md/8d/97jt+7w1veMNr - Xv1PXVGcPnvm2LFj+7t7m25UFAVkcW6FrplMajwaSNMcIDHNAfPe/8Zv/IZ3WDMNbg0EpOkYITIw - 8P4fftXLIGJVyzAMwzAMw7hCSVOA06OTiKRwGWmy8JHsMEMEzCl2BKbTQITXvOYb/8X3/nMiGo/H - g0HhPU8mE9FQluXFmtdsGIbxiISA0vvYBAfZCA3+9mP1Bz+8o+LmFXxboe75qEVExOz2gmycuOpe - hOe98hXnILwxQt2QVwIRs+DI0pUJSQK6EiWg9jhXuqu/5Om46Qn1bVWzt0uMKEB8sNGf8+Q1AZiZ - FAVwtaNP/tEfPvt5z44b22dJbEKb8YihYYy923jMdRU7Ioj2wx/1JBAuDqRo49c4xOqe+xHVBU2N - log46XLrvHglKSBQJiCFcWPBIEp9772YTjzipZ5hmiN5BdDmyVO19wpmQAFuFTdZjqhobhjGZYlI - CnMIdsKC9EZGAHjAOXfffnP8hutHL//qk5+68753/vEghljXI0iIwinQHECqFz3KlQrgvUPgevLU - cutjf/CO4knXXPe1r7y/3Lg/xAF51RhEwGgDWhqGcQhItCCmKEXhpzE6XziJJ2PERz78t7/+m/+g - nhwnOJUaIOdjqBkMBQHcBiEEAGU0EW7D3TmJ0xsf+9zv/vb941u73teiBQMAKZxCSUlBUKVZ+HtC - q96Ug/o+bGVhGIZhGIZhGIZhGIbxMEAC1qSVoq1uNykjDcCgHTbhrOMDUKdppRChpO0i0r2w7oS9 - mRRCCkiM6sV73tx2WxDINAxk68TmNU954dP2+czf3fPRv/r4+26/66MjGgeSejIRbeAEkKaKkeEL - Nxg4VYpBu1dRAiDpdneSLe2H/drpdvdfoBPACqcgZYX44bCJYVKNJaIYYLiFGCdVNd5v4gN/d+eH - bv+Lp1/3P732Kx978vgXDaccmgbuoTkRxuVImhiyoCV3hWLS3YZhGIZxWcHA5TVh27gcse9ODubK - ebW/TqN65XJBOrF/SP7dn8G+bio7uyQ1TX0xRSJaJyWbpvQky30VxiRVuy5TCw6oLkpa5q39KUl9 - LcZ5oe4Vkt4r0+0fm37EGBdMJfr5zZlK6owH219yIKk/CpDkJ1McAWX2AHVilpJVwFVj3hNzApO6 - cumcT5+vAEkTNwkGxyQQntPNdpwrOiVXUY2qSVYzSWXr8n8xNp305rLEeC4c6ZRftfRpahmpAo5U - BdQ6hqR6roL2834ASrQi0aQPm/KYPFeNyQfnCuqsKUglAooopS9UW4liIkUS+1QBDloSuLXQetWd - X0faDhgpSLPnSSma2AEEZoBElKgTD3bUrc/VYnGpEgjiiAGQ5yy13jQBqkSOoCAmorQ+hNj6RkmO - V9nRrBou2YcEaEzfRZHjJEusqhKbJLNKEGIHgJmIuJEIESKQKIGJAJ++voy5TNrzPHcGF5exqdKZ - YlIQU+dw3dRElNxlolZSlZKu80zsl4mIoQrIamnb2EQApNrltf3SU6LkBphKLYm/pn6DaLaKAGY4 - 57tKOycZ69xsDC839tyuF9THDwgFkmVcF/ZJoUlSOeQeMkm95p2zOLGIrOtnvPeqKoJWzL6T1PW+ - VI0iyC0FYOmJvvcl4ZPkfBaG70uzkyy29Nzes/2crrJCmR2yqHyyww5MPsnAE2uSjZcI0ZAl5FdK - zkvEyvXJ8yQ5n/Oywk4rna5Jl31humkq5ySOnlXbUz/fP7/pqPF4vLL867rOyu4L9SddxZqmaZom - 71AURfqRdjuvNPvu7i56V9XsWxKDX85Xun7lrGXfQggLV9jEAZLziZ42dqtG3C+0hbws5CvGWNf1 - SvtJcj5bzr6FMPNZZCYfPi8ErjOp9VVq4gfgGCIquQ/LrW9O2ryzTzP7aZlLel3knxXi6KoAvKOu - naaV7f853SzrrnO+tbcBeclr0vXeiaT2uMKTnKIqtHtspPny7F6FrKZzXXv7t/70kpjZz6bS5Sbt - rz0L/X16juriCdXZ/qpC1JbJunLo37alUk3IUmgwtAklme80rUCJka5JMS6WfFouG0kUhWua6ByJ - qIiUpQdQ16svXhdAvn5575umcc6lZmLq3YZhGIZhGIbxSIIUQmjIgzxAKR6KYRiGYRiGYRiGYRiG - YRiGYRiGYRiGYRiGcZnQl94573cdpNje2Dx9+vTm9tZbfvb/+tLnP0+rKqwVUZtFPrqU9OynvKRc - MGsU730MYVzVnlhUPv3Zz/+L1/3wL/+Ht/3UT/3UM2++WVW3t7fryZSIHDOomwWYDIkqLrnEcj/q - 07yE0mzN8p7GpaBftiGEzc1NME2qihzfec/dt972wUjdvJFDnwVilhgHjgvwzV/8xddcc41jYpAg - AhBKgjE2R94wDMMwDMO4MkhzgZ1zaS5wmvt/oG736qfCFHoiipYDrmthxkte8uI3v/nNzrkYm9Fo - MJlMvPfE6uBmD2UpepLFmDIMw1iCiLQOhVeKzblb3n3qvntHTQBDlAEwBIAQoGkgQqkVn3NRVSEN - cLfGnS/5Ynr60ydyjtEAACAASURBVL4Qw45XrZpBZCUER6JE7QjGof1RVZASg8VpG7Rm37vjJ3ce - 97KvvOu224+r80MN0+CSLvARo1cvj48JALAjjqF2gB+Pw4c/jDs+R+Xj3Ykd0sOP5RjGQ02/cq4b - iU4NUAhCNHa0dfU1+1BViMI50NLoYjuqfEH1PrcvTf0GgRlORPZ2UTWFkgMJmEBA6HmXkPQnAU5A - TKpwKpsB+3feU8SmJAd9iILKNirDkydr4kBK1GVr/k5SbGTWMK5kGEEA1UIBp0EIkQCgFG3G01PH - jt+1X21edfVNP/S6D3789gc+cftJ7yjUdR0LoBwUoWpiCIX3Gi5OKC3vWUQKV9ahLshFirE6+1g3 - +uQv/eqzr3/c6FlPP3bttYjYrxraLlB6CfZwaxiHghXsXGzq0aAcV5UrRj40fnd3ezL91K/8p8d8 - /p5BqMhBgpTgLpxue6Ak9W0CFAJQ4R+g8gsnRjd9zf9Mz3rmA6PBeDzdPnHVpN5noIhgpeBUgEhQ - SvdF7ISJ4FSctndHhmEYhmEYhmEYhmEYjyoErOrbWRpJfULBSmglutNQp4Aw05/ipLsESZ/6EEPZ - Saf2rQxASSJBSZRAQ3e22nPCw6Jk5TCpPPOJjatiszOQrZ3j1zznpV9+Lpy+/bMfve2jt95+18em - w3OVjAM1BYtyrEPT1FEAX7TDrgQ4Feq0ZyIxiIUESSuc4LQdRUrvkJLCd35zVE2mIhh4+E0XNJ6d - QmU8GHg/cnEik2p6Ts7VZZhMpwr1BJBJHhstR/344bLC6rFhGIZhXH4oX/q52cYjgEXpzYu3vMJJ - Mp+XPX2dy/76rNPZX9P/sSBEnaQ384F9IyvT7St3Zgt5uZAoESXJ7bQ1mT04+MKyM4kkndv3MO+/ - Ml/rkDWBLfoprhTlXamJvpyX85bb8noiIkpL7fSFuWnqnC4RuvVeRLpYBQQkXWcA6STO1uelSACQ - KkWn+Z3MiipSusxElBQfXednKyiu2g2ELIlW9pdd4bW/AYqxAcCcSnKWr6qa5KLLuU6K2ista/vp - 8PKytczsiIionT82nU6Zk+J1vzx9CE3P2qwcvKeV5baw7MqZmqbq+a+d7DqcI1XWdvJDnG9f6D5F - nvmvGlbm1zmXz6ZqFFHVoKree6Ks+hxERLUG4H2SeE+itm3JELXnfeWZymck5S6VSVG07UsEIkmg - NxBRWZaqSZ1XO5+T/ynvEaD+GVlXQ5wjwHf5SkLCjaoOBmUqKO3KTkS7cuj3ZKnFYT2d1Gsnzp0o - y0JnSFZsXVifrTRN6J3fWfuOsVnZqbYfnM0UhbUrnNVfePb1jFM6af+y9Pl3clJEVPudOXV+KTPW - KHdjXjF6dnZSu5ulSkSkDhQ0tFK1WeKXlcCiARHzNTYC8NSf6rnYTvstPRmrYqNgIkmWmVybtgoU - xEpEICEi58Ha1hDQ4jJK0+ZLQaQESpfpJlT97BOIWIlYW81yzC+xMRhqJ2Kd+/n+mc61JP3p/eKQ - V640K8vfe983jrmqMrvK5ETzdSGf/SS/XZblSvvL1/dEkgDPSeccpetmr2q1O/TXo9fS1l2dU76S - onl/tyRJjk5RPu+fpe77+RKRdfnKbizmyw9Wnq+8f/Iq6YIv6J3nxqjrJcmrqsp658slsGC8t0Pq - YZBUxWMMdd2svHDs7e2rSq+L1v6xIcQYQ4yStyahe1WoSowSQhNCFInZZraWlvv745XpVlWdLIvE - VoebONsX0ZStEJq205V0oackGd6ppcemCSvt7+7uLXiSL5TJ85R6jEFERWJRlCmPyXIITVKBp07q - O2uxL2ui9ytF/8/+73X3fcwzUzMd9O7wrI/eqzOzrZ1A+YVMXgohAohRk4Z3COsjql0Q3vt0a62q - g8Fgf39/MBjwuquCYRiGYRiGYRhXMpIeQrUNvdA9DwOwCYqGYRiGYRiGYRiGYRiGYRiGYRiGYRiG - YRhXEnu7u8ePHdsfj68+ddUv/bt//9rv+A4FPCE8TBI4+duThdRVhL0LIZRl2dR1UBkOhnU1LQt/ - 64c++LJX/KNXveKVb3j9jzzpxhtPHj9RT6btVIuH2PtDc15VdePiUhTFtK7SxCgl/OEf/qEAcvQq - nmcY1TF827d9GyvqaVWWZa6vQuCeIg6pnWvDMAzDMAzj8qUoCgBVVW1ubnYxOmaThQ9PCFIUTjTW - tQwG7qabbvrpn/7p0Wg0nYyHw7JpmrIsRYP33jm3u7s7GAwuSX4MwzAeESgQBCNfbMUKd9/72b/4 - ixti4DqCCVooQdCAeqF4kqI3g4RIJIhgVH4mhi97xVfXcINj29NYOw+FQklEFHxgiKSViCqneDpE - 6kgdUWS6p6DHvvjFd7z116qqJq2ZUTDHRi48wARBqdXthhJECGDCpuLkmdOnf//3T7zpx5yQOAth - YTxCEEIFPnbNVRHUiRsASMPHS2OXmgNVHSVSTM9SHqgkiIuC3b3i2lMeFFSVQCnk3+KYuiSdSwZY - IAQvGEW967Of9wTiS67c3XpFEKXRiVNVChSpixLdNgZrGFc+QqoORMrQFGY3B+cix+Xp3f1iMNoF - bcbi5je98YM/8RNy+8cfA90YlXVdhxDYcwiCePF6pQB2XkUIiBSZEQTHEK/fG9/6v/2bL/25n3aD - YbNzVRgU+5O62BjKBUUDM4xHJxSDRmngUAyo5GJ391rg3rf/dvVXt10/qQtW7a71MdSOS5EUbxCz - uH0EBcbg+/1w8Lzn7Hzdq+5j3/hhual1PVZwJDgCICwEVkEbbBRJOzyFyADSrU53l2UYhmEYhmEY - hmEYhvEogdPbWIK2QtcA5RFOEum/dlEGARTRvo7hdiX6ut0LoysSNA4GpTSxqicDN9ja3pAa4zPj - six3ylMRYbo7PkaD537R1c993IvG7tytn3n/hz71gds/89H9eI5H6nxVUC0uBlXNumwEbVVnIITW - cQJp6y/Pv1vTlBdASQali0FEUNdRPYoCURE0OIJQFKKgFQBlZWbvOVzBYs3GhUNLCnQHiP5cEZh0 - t2EYhmFc/lx2IsT2CdbDjC7rWV7c5ZVMeji8Et7ue3Zrt9FMtBJov+x03ElftxK36BQ3de7IdiPW - Sc8ydXZoTsc6qWb2nm2ydLFLfxGRimaRkXUSoULrno4om83PUKqapJHR6jLOct1Jhy5aY15tX1dp - iufnt5yvlX/291/j/KK0ecb5OaFQUVVRIvKF6ymPiipU9AA76zpWak/T7LPerKWqqkAyPdOjdc71 - tVFnkTh0zaWkaywLec/SrX3NZACDssS8qGpON+8/J5665mm5L0bbPx2F9ys3tUq5SwLzcc1XgNnI - gleDspxzXmTBZ6ZWCz2dqaZpsHRyVNWtOY8h7d86y+jORQihXUkEIt8p787lccn/g+gdpaqhabKC - MRE579NfdVXNFSARMy+UGy3J3i8TO/+zhXRgVU3yegJSybX6xFBR6fLSfX61JiJNZxCpr0kdFKBV - PZnznynNbgmxnh3LrUtE1E1x1NZUh2sl3hd7gLxP8jmVOnVS1ssw86z/ItLOVBOaXCZdDSIiijEP - n3atFWnsck05zJxPKeT+cLEOJs9z9zPL0RoJ5xzbZGW6ufvFrGZBVQvmdLHJqyiNvKpCNZdxLod1 - /RsRKdqLTt+3OK+I2xpff5/ZTJv8O9UEAhEoNKGtmYAnyu1O4tKUV1UC1rVfaWrk+6GungOIMVIn - NTy7ChNBpKv8aQHvHbyTNUq/7QV0KXuxrtJql7NEABDS+ly1AAcQE1LLylf882kgJ/utHnvnLoDQ - 1Ml9B7iu0hJRXdfUA4BzDMcqB/W3WOpAmp7kPM+MtS2IibxneNbSLx+L3njrVSePr0zXe79wpVh2 - qW+5q59pgg2n+XSq0fsyrVlYEjlAVCkv83rVuLAnkcaoRJqOSuvTUXlNPmph/cLS+1IkqJJIAJhI - 07EplWwhW2P2fQuqMeXLueKo+VrwM6fVz5dqzMeKhBg1hDpGTT6rxsFglPaJsQlB8tZUbtla8lMk - TCbVSj+rJkZpYlBFVCGQqJBogHL6HWLd1DHEOlXM7vy2IuVZ4HyNhDl29/dW1isR2drauv/++3d3 - d7e2tj73uc+9853vrKYX7bv/EIJzLl2FY4yj0Sj9Pv913zAMwzAMwzCMKwJlzM0cZihT+93cZfem - zzAMwzAMwzAMwzAMwzAMwzAMwzAMwzAM41ELK9KcnzQV8mDVikFRNFW1MRpVdf3yl73s9T/4g//2 - 53++6abAPCyRZtIH9DKfui+K0DS+LOqmBth7P6lqz27aBAYK5t9/53971y23fNd3fOcP/8D3n9g5 - lubXtNOyOiMPTegcAbhX/suYjshDj/d+b7zPzN77/Xr6W2//L5TrGfqTg8+HqvM+NGHDF6985SsB - hBC89xaYwDAMwzAMw7gSSY9Io9GoC+8jzrmj6nYDcI6aJoJQlnzixIlf+IVfOHHieAhhNBqFUIuG - zY3t3d16f39/e3s76YUbhmEY6xCCOvKC0Vju/rM/p9P3lTF2WtUOiuAaELyAFSloVyRA4RUCctDa - u8HTn4jnPXtfqXSjSb1LXmsBsYM4D1oXv2UdykRREBmsQqokpKzE+67AVSef/BUvOveOP/Bj2SRI - cyFTzDQJj2ubFwFYiYQFwQEgHpG/pqpvf8//OPHd3+OvuzawRhuKMS5X0sj8miCCi8OQCm5IiuPH - QS6Nx1OKfTU/Uplsro/neFjHAgMACVjhBTh91oW26SnBgUQiMwut0MZmAUMVWggQcObOu08R9CJK - 5K4hR5Ikoo2dnYlzgggSqMNcRNEjKpobhnGZwQAUpOpEoC6wE7DTAEgQLTc2S9qMTVXGZlqQf/xj - b379j/z9//LmvTvv2SyaEFFDt4dFIY3KEW5yDnpbpxwhnvxU62FZhlhHxXCEvXF9cjjcv+/0h/7V - v/mSn/yJs7Fprr1mFLeqceUK64gM41AQBNI45ydC5WhUY7wZpvibj3z619/+JBGKMvAkUckhiATF - iNs4FsKCHG1SERxX5UZz3XU3f98/39/YBG9uqB/HqbKASoWvnHhBEeGjBGYFIgPKTttbHSFpxalI - roj43oZhGIZhGIZhGIZhGBeF7jWWkkqrfQbhbtqDtNtIwAArMcCUXsQo+i9nOO1Os3FR147fMKra - OVcUA5SDGML+/j4xl9slSPaqCaDFcFjQoK5qqeLO8NgLHnPtc254+V513ye/8JG/vv29n/j8Byu3 - XxzjvTiOHISkfSeeE1ZpRcMUrK0cFbXuiRIAEUqKOQKgmsayHBK7EPcRUJTw6kMVQh0GghH5LR6W - Wg5iWTSgqOztfbTRYtLdhmEYhmE8GGReL9leSRrGg0C5//x5mbNSLjepCKdwB1kGNYlT9o+lTlpY - l4Raz/t8kuUwE0n2kpnTEvMynP3ffR3ldakQz0km91PJlvvyrv189beus7823U7cNItJ9/3vL1ca - zMV+cLr9rclaljTOK5P/rdTrvG9YVXRZy/SAfGFN+S+oqy7Uk4VDVtpfh2RJ2s54K4W7VA+Xs7Bc - J1fSz0K2lgUsF5JeaCOHzNfCViJqmqbvc66KM0XZrgxjjLlFLLu9Tpo3zYLrq4On9bll9evnggMr - z/VyjhbyniWTqSdUn05f35+8aVki9DDludwXdZLSbsHtfFr7vUe/xq60ny0srFkoz366/UJOJ2th - fd/Ocntc8GehBNb5uXD6cntf6LW0Jyec91mZ3MElsDIvy3sue7vukMP0A/3+ZF196/cP/Ya8VrJ6 - qW6nHwtXnHWeZ5yf879rr+p8ktlOlkVVoSCi5ZAu3F6h1khQd82diACR7jwyc5YDx6xAUpCgVpQ9 - fZHclt6aYpY1p4DdQr5EVFW1HBQ6Q7rK2eV95vZ56lWnZk5tiXXq49w2367f6MrNF7m9t54cnC/k - ejK/Q//85pOV14scVIe7nKZ9Vterpqny4f16m9r7cv+fej/VdNUWAMwAXFcfFpeqoSuHfNJT7xd7 - XgkAkZDypYp5a6kR9ddIr8BkwU5aNk3Va1apzigRi0Sg9RyQrs4nhW+oxry/cwC86mr7fR+ItJuY - xHn/nCIAgFXTPgvrHTOrOhFRLdD1dfk6u3BR6//IvaKIiMjydbYtbe9ijPnucfmSh969JTOn1qpC - xHm6E0SD46L96re/bE8P0vyo/tKz25+MN0cbAo1NYO+e9pSn/O//+mcuVjS1XBXTjbeqOufWdZ6G - YRiGYRiGYVwpsLZhHVbpcwsp94I+sIWgNQzDMAzDMAzDMAzDMAzDMAzDMAzDMAzDeNiRo3zCwYqm - CVUUXxaq8mM/+qN/84EPvvtP3wNA+urdD6GOt6yaiB6aBkShaQB470MIRBQkenZRokAFGIfm3/+H - t77tV3/lh1/3Qz/yg68jIoZ6UJqc0p+YuyCqvRydP69ZJ799ADaL/jKkqqrhcOic2xuP7z19/623 - fiAA8BcioxCbUDI9/3nP29nabupmZ2u7aRqAlWRZksdk2g3DMAzDMIzLmTSLOU+3F5E0k/3gqAjL - yogxJklFbG1t/urb3nb99Y+FKEHSFGPv/ZkzZ0ajkfc+xrhu8rVhGMYjmTQs1cWC6EYLRMGdyi87 - BSCRAAhxxHiK0+c+9cd/cqNzocFwQLFasqpQQMCAxAgHUWgsivsJ177gBTh2zG3sTPenPEBEbIiZ - qOzicBxpxMsTR4qBUrAbVY1QUiVXDM/snjn+iq++/d3vGk55h3QM3ShYlwS80whJHmdLfwrBCdpC - oLkhtaSl18bJYL83rTcLdzyEz7/7luu+8ZvuoaLxHlRzmw+CMsBOJZnNuRMCIGw6fMZljDJhYyOw - E/YSG0l6lm2DaHuJdtSxDSlz4SFcWpVugCCjWOPcGagqcStvQEzSSnYrpUgxDgoiAaELZ0OsgljX - 99/rVMNDEvCdFAwCO9oYBseKyMoCQCEkUM5jsNbYDeOKhhSsRAqFShfaixXiimkdaqhneKaz07o6 - vnXtzc944nd862d++Vfq+86cHBSoG4g0osWDe8HX80Y8XIyhcK6u63LA40pijY0ScTp9zGhn+ref - /Mxb3vr4f/n6+8eTscbRYKPRSrtXtKy8+LZ21lnJwp2hYTxi6Or/LNpEd7Pe3r1od5fjnQPIF2Uz - mYxkfGJ/8pG3vu2a02fctN4cDepJleJWFgWkRpSGKAKzQNzpOWjsi88Oi+d+y7fgcY87Nxqycpw0 - RQH13ESk9hiJPYnk5tZpOPWDZszutQzDMAzDMAzDMAzDMB4tCOV3TwCrgHT2Boqg3ZtbzSOuygAv - DLrKbG5G+oSe0b3iGhVDEZE6CkAMXxSK2EgjIsWwhFI1rTz5YbkJofG5yaA4Nix0xKNj15941pO/ - 9Fy87yN3fODDf3/bJz738eCa4Jro6kiqHISCUvvGXQFSCDErUzsE1A0fJd3ubrdi4JsQSISdJw6x - ASQU3nOMTkkQRYM2wQkTCBBAH8wrOeMRwCE+n7sCMOluwzAMw7gckHTzmt5Zxu4LTOfc5fmS8rJ0 - 6tFE7ynrki2NS87cs0RPYJJ6YpXr9kk79Lce/slEETF7YG61NglQCKhd05PTnPudP/TQA7qBNVuy - gCNmyqf99au3Hp4FjduVOqAHlFJfEvIAls0yeWAu152AqVtZFEm2cmnN4sqlrZgrqvzNjbb76Gwf - B+1ry84OORLLBXJw4SxvPer+aSXxbLBpeefZUf36dGiW7c8MrqqURKs+LDxQ/DguqG/O+9mp2/YE - TbvdFlr0ulR0yWaWt+0XTrZ/sD/nX5/TXfKNZunONvT2X/PngSdswQkCJPa3zAxKnK3s/6OClU2J - qfcJZl9ieDnYx3n7gP7J0YX9qf8r76YrrqtHiCmyRtX4yFFJDj5kpX72uh6gL+Xe3+EAyfPl5M4r - ZL7CzmJgpO506Kqucm0PjPOWf96NqdPT0sVj+5V8oVasg9ZsXVE8ABFi6D6q7MlYL2dhZRtcTqKr - +HPXhZXltrCSuhI4wPiBSc+uy/0ackgh+UNuzarw6NXPBTfS7rnrOm/qvYRmZg7YYaUk/LraTetq - A5LUek5u4bq3orks5Ou87WnlDssrz7sm6U+jV3XS5HDmtZ4s7NntvPrRQ4MwwKvqZb425Cu6Smzv - Utv5ToCKI3ZEgOQ1s2Uy00187y/raXXi+M7e2XPFcOBIi8J927d+S13XP/dzPx8CisI1Texc6dc0 - AebbwZoTkcslF0LqPEUk33qJiHMub1ptyDAMwzAMwzCMywcFAa4LrdB+rKbp7V4ER2VPCAAAr2TK - 3YZhGIZhGIZhGIZhGIZhGIZhGIZhGIZhGA8zR5UKFg3s4MuyDk1ZFiLxl37hLa981df+3ac+HYDZ - 5CcHiIAYcelr84uKdnZ1YRVmn/6HUOe/okQAjbSbIrRuwk/+zM/8p1/7f974xjf+46//OnVMqp6d - SHDMEGmaZlQOkiJdjHEwGOxPJ0VRoCs9pdln9Ezaxm9aRZqed3D0/+VjH5RagHFotIveDqCb2sDO - +f/6O7+rjmMUROSpVVg/fWg220kBoCBA9Fu/5bVVVW0ORwDFGNk7mgnn9GdhmPSCYRiGYRiGcVmQ - pvrmuKLpacg5p6reexFJO5xnLncKF+Z9DCFNeRcR50iiElAW/Atv+bkn3fh4QoAIiSgzEamgLIYx - aHd7vvIm2YJBGYbxyIUkxT5P8cqTKDVrUNJYUBNqpWLIfhAJGieuEQSScAIN/vy9pz7/hWJ3f8iI - AQFwiARxkGREGREEZRJiRGKJjGpYnN3aefpXvWJ34/hEXOFQUxUZQgWJJ4hTxKNOAIuBiOuSo8oo - oAAJKCpUuPYjPPGGwfOePf0f/2+zt7dRomnEUerxZ1GwIgkA14WmEUIkKIGlm7AGTtHdHYEISlEo - euIqYijYdMPdULuqvvMP/utjX/6SrRPXn2UuCijVLMpUVAEOzkcGSWCJxKSsBKWgpBBT7zYeOvpR - VQ8eBmYFSAhAkJ2rHzN5YNf5JghKhxiF0zB1ajtpTJ5ESY46tpzCJpGCFV6STTjV46HCnZ9j72sQ - OXhC01S+8CqCVmuBUzh3UmGEyMTsqiawAlLH++8u+SEMGSMUVHBie8JRgg684wBN7Z0F6qkTyn3I - PDIM4yKjrCQCFidZFkYBIVYIAyUBKpWCh6NK9YENf/JVr6T777nvN39nY2+8Uw6qqhq4QkWEogKk - zABBtFPo5aXXcwf0qEptPFsWMCE2UjIEaCIKQj3ZvX648+n3vv/eX/61q1/76o3HX3tHfWaz3Ngb - j8vNHVWtp2HoHYXKOSdKSgx1AqQgYoxICiUx9W7jkQOJAEIMwAk7TfJIEhmAMNq37RHt0rEPdYix - vho4vlvf8R9/3X/ik6eahhymdeO4bZ4SUDCAwARVsCIqIlAUvgpyfzE48TUvx0te8MDW1kSxAxem - VTlwIWrUTnQKc582pE8Aui8BUmcjrLDGaBiGYRiGYRiGkUkxw3MUcccsIkTu4fbLMIyLjFJPyIDS - G5a2pXcKLpxe3/bHURfHUBb1aSQPvIhKTy8szfpgBphYg0C5dCWAEAKAsvRpRkgRB55KDTsjPnXq - i258weNeMdFzf3vHh277xF9++r5P7MYzwe9LKQJpHOqIguF9KY1KVMdwUOc5hKAKELwiJm8cVIJj - gDxIIGCCMkRCeos/9fXETbwHKm0A7520sVWNRxfpq7mkApM+q0vzRB5uvy4cG/c0DMMwDMO4QjHd - bsMwDMMwDMMwjMQhn3cEENYVy6Jw9WTMDE8QCZO93WPHtr/nu7/rO7/zO4nQNBHAcDi86H6XZZle - g2Xd7hCC6XYbhmEYhmEYxhUEKSi/XknfFGn6kYIka/omzl7AGIZhGIZhGIZhGIZhGIZhGIZhGIZh - GIZhXHEok3MuxkgKjRKb5rGP+aL/+H//6s7WpncYFp4AX7gugrWs1Te+uF5d6FEKRCACn7n7zu/7 - ode96p98/R//93ftTyZBIhyLalmWzrlpU3vvi7JMX7ZvDNZ+SL8szi1rvpPhC3PauKjwGjF151wr - Ruj4d3/3HTGmiGArajMfGKUo6UsNffGCF7xgZ2enruumaZzzFy0DhmEYhmEYhnEJSFFEVVVVk4B3 - VVXOufRAFEIQkRR4FF0c0jUwuyLtmW6wmRFFmaGKf/szP/3iF325aiRR54nsGckwDGOGACLtOETX - 3xI0Bu+940JEm6ZpQhARD92Q4GL87LvetXHmzIbAM0QpBWpgiFNwG+ccSSGPUHg4ECqHz8f6SS99 - Ka55zC4XkVhzohcqR8cKVhBECJFbmW1SkLJG5a3tvVFx48teepocFcM6gLjLXWuAAAix5GEYancA - kFaSzgZzksAwqN3BA1CNKgoeCnbuuxd/8b5yWpXsVUJsKmaEEFzhnXOEmWR4p3msR9U5NoyHAcdu - MIzOKziFfqf0f2o1ygBR+uOIg/O9hqYEZWFSQEGQIjSYTqASSfu3fwSAkqqlKByUWUGqCgQosWci - VBOd7qGJD13UGCXnCzACJ2WH1A1K0sFVkpQBMt1Nw7ii0XbmupLkd3Fd9ySswgoFR+JI2C/8PdvD - x333t2+98MvuLAb7QiVYRWqNmt/zkChd4BtH0rkul4VzD8PeO+iwrq6rw9/99n/De/9ydNfdJzTG - pipGG03TNE0zHJUh1sSeiJJWjZAqtZPxAVILu2U8Ekk3L5ERidHd2CshEgIL2oAVAKiq6o3BsIzV - 8ckY73nvvb//R1eNxxuqvn0Hz0KIhNg9LDQC2ij2IMVgVJSje5qwu72Dpzz5Sd/09dMvuvp+lcAs - TMysQk0M1HUarF0gjGRX21fJeQ30wh+UDMMwDMMwDMMwDMMwHhkooO3ACWt6rZyHQxWskv/L2gfr - p1PMRmN03ejsytEYEoaweh8HRbM1rE5sTq7Z3r/2+PT659/w0u965Q+8/jX/8pu+4p899dRzj02u - HY6PjaqtUMy4JAAAIABJREFUbRkNw0Amoo04T+y11mZvWteKyABDCY7AAAWwwvW96X4rIRJHEgEI - cArWtY4bxhWHDX0a/z97dx4tWVbXif77++19zomIe/PmWEUVRWFBFRTKjDLKVFUgKEODDGI/bW1B - ++GAguJ6/RaupbZ297NfIz4Hql1LRBsUQfo1KCCvnWfbVlBAZFDmqYqiMvMOEefs/fv93h8n7s2b - WVkFmVRl3qz6ftZZmZHnRpw4ERnn3B17n/37EhEREREREREREd2ljfXUAAzD0HVd13XHjx9v2/Zl - L3vpd33XC8eSU/1i0bU5JUnp5Gv8v4wxo1pr0zTjDgBw95xzKeXLejFERERERERERERERERERERE - REREREREdHuo4aUUVc05T6fTzc3Nr7rf/d70G2/UQJgDqIOlpKkd84kdsrOccVjIOROBtsn/86// - 9l9+y7/6zn/zXX/113+d28YQR9fXc9uurO1b39o8tn48d+361mboqS9Dl4lGuzJLYvePTsP36ltB - ANxdRMzshhtu+Jt3vwuAjOmCOHWuxK3WEgMAJCCAxzzmMV9xj8v7vgcgIruybW47+JuIiIiI6PxI - KamqiLi7mY2zjEUk59y27XiHMb17DPO+NSLiZmUYZrPZ+E8VaRLc8eM//iNPecpThmHIObs7JxET - Ee2WXDUUUIcCcKBqmDiqtS4Z4ojapNpoiHSh++YDPvDhT//DP86gEnBHuEvs6nTY1U8FIMLGVYPK - 0dXVi6+7BtOuRjWtLh7I6tq6Zx/jeM94/wWeHMkhgapSVRVI4UD0yTZaWX34Q6eXX74h6gAMLnCB - q4f4uGO7Q/LG0u9jXLfCZScdfFcXzdg/Y4gkKcLh0YRMLPKxrU/96Z93sGldTF0bNIFUIeHL8OEQ - jBHjVT1ku8D62fynEZ0rKlCdrM6gstPDfAf1NC8PNwCAmWGxGM8tACLilu1AObnjNCKyJvHA1qLO - +zA/ZwdXCJpJN+7UuCc7P+IBTnTXFIKaZZ71iu/+7uMPeNCxppWcq5rk5WidwgE41KHqekpL40sx - 3t9kDCEWDTSOFBhKnUwn7otmvnlPwx/99KvxDx++eMuseElplnMHwAfXqE3eDBRVUzcdXPvQHlIN - 2dAwLZjuZFKgNWRHVZTkYytBAg7Ms8yzmkhyaUyy63Syb/P4+mFxfP7T7/+l1172uc8fAKx6Y8gu - ySWQ+oQ+wQQINIphs7Qt1vu5V9fpvg/vn933Xz0PV1x2o8179JIxwGvO1uZo27P4ykNERERERERE - ROeNuEu4BKQCrhEayJba2uX5ZLU/NNm8eP/i8kdf/ozv+YYf/b5n/PvnPvTF92sfemR+6cr6ylqd - TQJlKH0UzMQmsGmDbqVK1w86FMCQgGyaLCfP4gogBIGx6ykjskabPDem2b1xz+56W5MJ6E5u90Dk - Lf95YeE4BBERERERERERERHdpZVSmqaZTCZmVkoZg7TNrOu6H/qhH3r2s5+ZEgAMQx0rUt1ezzvm - dquqj5M5I3ZWEhEREREREREREREREREREREREREREdH55e5d16nq1taWBMSj9MMjH/nIN/zar5t7 - FrRNsuJeKi6cyjMRKG4BOPDO3/v9ZzzrWd/xohd9+rOfWd2/tjX08/li/5FDzbRD1slsujnf2v3Y - sRy/xIll93ray8ZIhlsWZLdwVfWId7zjHQIEoKrAqbndX5SqKPDN3/SCUkrth7ZpANx2uiERERER - 0XlnZn3fi8g4s7ht27ERW2sdhqFpmnFOsZlFxG3M/w2vgOect7Y2AIiEWdSKF7/4Rc9//vMjotY6 - bn+xWEyn03P08oiILgSndCtVhQtUVTy8GgBpcqTs7nko+0p88B3/Y1+pnVUxSCAL4MtUXwdcdro0 - QsORosItsEjp8Fc/FFdecdwKFKEWAiBJpOzI7gj1ZYj2l7zngAYEnsOTw0QNCSEayA02Fpt9m7E6 - u+oJT7hBU7QtgBCYIHbtpwQk1Hf1oKRAGgOD5dS65y7LEtLuIRoOU3hGdIbZon7+vf+Iz3xqsrXR - RTSprUVT7hwx1OoC304Jd0GIJ5fkIozGpD1NINpMZxUBOamjUWI72P6sNx0ATnPMjxG2ZdHDQ0Q0 - ltHdtyw9v3PwqOp4HwlgcwvVcLq079tdAAE1xWQ6BeBAiCxv3NHPTUR7mAkWkOPddH7ppY94+Q8d - v/SSGwTzgAfGttKu+wowNgbOsD0wNim2HyWABJIjK4b5olXd3zXd8Zvv7f6/Xvnz+OBHL88TmQ9i - tc3S94ucczULqAtcvSYLqTlq9gjREHVh+4TuPMZGy85XHtPxV/ZyxNZFXZKJhqi6Jte66I+kZvXY - sQ+99ldXPvPJS5oUfc0CgadYHsYm6lCMB29IzigFMtWbvN68b+WKZz0Nj3v056NsRu26RtS2vB80 - 5laGUp2RNEREREREREREF46xP3fZtyQOcYmQcHWsNiudTafDylo9Mt04uLJx0ZWzh15332e+9Dmv - eMmzfvhZX/Mv77v6wAPl7oflyGrsq8eiQefFF0PvQDtrm+nEm1QhIeMUg+2u4+UIWpbIyZN4khBZ - 9i1XSD0P7wLtSRHB6G4iIiIiIiIiIiIioguYmY1V1SKi7/vJZNK2udR+sZj/9E+/8mlP+/qmWU62 - V8UtpiIsZzWdqVIKABHJOZtZSsndx5VEREREREREREREREREREREREREREREdB7l1LrBwh0REcMw - TKfTrus2jh9/8nVP+vVf/ZUuqReb5ARDUjnNJeWCsw8PuSMIIMhNMoudAA8D3vSWt3z1Ix/1kpf9 - 4E3Hjrumo+vrFtjYmptHN5nuVA9fbuNWQrt353nvrDzlsbRnKKBjBEJqsoVLTq//9V9XUQfcHWcU - LTNm5Hi0KT/+8Y8N2MrKirsPwzDGH4KfBCIiIiLaq1JKXdcB2NzcTCmJLGMhRWQ6nY552zlnAG3b - 3vb835RSrbVtWwDuyFmf/vSnfv/3f/+Y5jjGdddap9PpfD4/By+NiOjCsdNl4CE+FgeXlMZCz4Jk - Zl4r3KfV8LnPf/Yv/vKwWZRBAUnQW+nFkADERTwSimDeTe/1dV/nB9Y2NSTDxV0coclzNmQHcDZ9 - F2NQZXIIEMhVl2GTou4afZuPJ5FrHre4x6XHIyQtg/qWQd3iAh/jh8f0ypATgcTbT3Ai1Htn93ZX - kVaVjGiGur9i+oVjn/wf/99BWJShr1BktaSq0HBR1+3nhQNIDnXWo6Y9zSIQkSddCYiIKnAHh1Iv - 87xVh2GQHQGFSJz+qUOWgwDuDg8cP94ENM5FfHYApjBBtzobz6MiySA75wqJPTdAQUTngEPQzDaa - 7gtrK7jqivt9z4s/cfiwTbocUAACEzh0u72hgXSmTzGeZ5Ij+UnNMM2oAMTrYuNwoys3f+GiT3z6 - Qz/3i83Hb7i0ot/aqCkiaYSoS5My4KZhWkOsM+8MAIrKBZz1QXQywbJhXxUmSOHZPcTH3+Ahml3V - 1USLaogmRyrDfq/9W94x//0/ns03ogw5wQMQhLipuUZyGWOTgIRIXrGS4BE375vG/e936Te/4KbU - LGbT1DUKq8Pcs2LaVoim5ny/JUREREREREREdEYUsTPa44BDKqQoTL36oo95ndR2v+xbqfsmm9Nu - fXXfsUP3sPtcd+VzXvKMn3jZ0//DN1z9bfdJD7+43HN1PpsO0kRNqR+wWLfFpkSZ5D57zbXmIdQR - kIBazpYbk+Q5uQIwdUtWctQULn7be0x3SrJrNGDnNqO7iYiIiIiIiIiIiIguVGNm9mKxGG+Pvf/H - jx8HsLZ/tR/mP/VTP/XkJz9prBm1UzrqyzdO1wcQEV3X9X2vqk3DS5yJiIiIiIiIiIiIiIiIiIiI - iIiIiIiIzjMza5pmTCCezWY551LKYnNrdbZipVx3zbWvfc0vd5pqtQSoXzClZ0qxAJomaVYDAKSU - Fm6ved2vPu6JT/jZ639h8NC207aJrDU8dqV77I7l3r2SLmjF7IbP3/ie9713CE9Jwl31jOsROXDt - NdccPnhw/DyYWc75gi7JRERERER3BbXWMZB7ZWVlp7ToGLY9n88jYnV11czwxeuNeoQBPgxDSpIb - POABX/VTP/V/ichsNjOzvu9FZBiGtm37vr/DXxgR0QUhNERCoIEUAHaypVHgJSApJdUoVd1WmnYf - 5Njv/+HaTUcnQz8BckYYSoRqPs3GxYEIuAHrquke9+we8uAvTDqfdO41xEN8LMictqOy/YwTbse4 - 7UjhGnCIIwVgGsOwmEzaEhi6CS6/+8FHfM3RikAaoy53d7ucSOaGIhQ7/W9jEO/2L5+dwO/xp6oY - E7ghrgKJOg3sK+Ujv/e7WMwbt+rW5c6Kq2pkNYVvP60CGsFi1LTXhQKAoJlNLNxlzMLeju++wzod - JZBFyzAAUAgiImKnlejb99GTdsBV1d0VwLH1TtI5SMsezwkuMEEznUAUgIhERAhCeIQT3ZVlj3Ze - dCulzyTgax/xwBd92w2TySKpLZsTut0ACQf8bM+pO3ExY9skBAi0QDgUsGFrTeyi+bx/19996lff - sHbT+qEmAZ4kmVmbmygDAMA1NLsk1+SQcOW4Et3puMB0V2N+uVolNBtSAKEuGoIc9e5J8IF//OBv - /rfDn795AkiHTUNqASyPOoGnQHIFMqAFkXM3VGzkfMNFa/f97heu52ZrttJHFs8YaoLkNpXwiJh0 - 3fl6E4iIiIiIiIiI6CxoYOxHWg6cwSEWaq6lRN/OdDZrw0u/Ofeh5EhtdLaZV+Lwqh1p1w/cvbnv - 0x703O955g//4HNf8aT7Pv2BB77mUH8kH20nW5MVzCYyVTuRsxDiADRUAVmOg1eIh8AkqmJQDCrB - MSgCgHEQ9QLuz+fnmIiIiIiIiIiIiIju0saSam3b1lqHYQCQc15bWyuld6+1Dprwqle96rrrrmkb - qcVOfrTuWs5MrXVMDR/3YTqdXuhDDkRERERERERERERERERERERERERERER3DkMtmtNkMgEwDIOI - JJXZyrTfmifRrPp1T3ryG17/utW2mSaNsdB2nC44RHaF8Jx+xTmV2yQJQ7FafUzvHsxSUs3N527+ - wo/+xE8+5vGP+7XfeENq2r4YVFwQkNi9x+IQ110JJWNo0GmDvQGMYSG0d+z+nxpqVdXffvvbB/MA - cmpxi1TCW0vDke1lnFDxghc8PyLcfT6fi0jbtmPG4S6KMYYqWO+IiIiIiPaEnHPTNABEJKXUtu3Y - ps05jxmNGxsbEdG2bSkl59NFwwJYJjv62Dx2i/tcedX111+fUlJga+N4znlsHrdtOwzD2trauXuF - RER7mAuqqImmQHIAULiGC1AVC3FRFQk1X0l55o6bbvrw7//hkaE0VlMDADXgOE1H2xism8LV0QPr - qytXXPPEeuDg8bapqoZwGXs7XJZhwGfZU7HT5SUBDQW0qpogUBCWDUNqN1e6S699PI5cVKDJkR1j - RfPd3WgScppete2N7+R2Axh75HTsrlHU8JDxhzHzOvn0p/GnfzYNz7kRkwbJzKBSFSbLh2eP5AiB - K/tnaE+LCKi0s6kJIsblxHGyK/ny9jGeNzQgIqUUbD+X+Ino7hN33nUIu3sWBEyB4eh65yJ+TorG - hARgima6jOEUkcAy0hsnnWQc4udil4hoD9BQqfnA9GBszbFv8pn9bfvkJ979qU+9YTLt04lxPQAQ - t2SW7ExPEbvGmGI87ZSEougLcrPdsBKouJTFFao3/b9v9d/6nX1HjyUrSURDU3hYgXhyTEruShto - qqYUnqMKeMqiO4kAXDSgIePvYle4BpIjja2O0OSawjXcxDvv02c//Xevvn7fDTcc0gTFZsjKvpX5 - gBAIkDA+MGT7C4xAHBLd7LO5e/CLv6Pc69J+/z6PRk0nkabSruQWxcp8K0rt5wtlZTsiIiIiIiIi - oguKhmoolkPGYeqmtaYqE18fjq6Xo2kF7X4MurGQYzqz5sBsSNpbVWhbkhxr1taPXBEPet4DX/zd - T/ix77/uP37jV/3vX9U9/ND64dVjOttCV7K4Sqhsp4O71tAhdBHam5qpuWJQHbSp0rpwiJmWLugc - DX6OiYiIiIiIiIiIiOiurtYaESml2WwG4NixY7XW6XTa933TNDlrwF75yld+7dc+Rm6/MmkiMhai - UlURGXfglrO2iIiIiIiIiIiIiIiIiIiIiIiIiIiIiOgcm81m6+vrwzBMJpOIUNVSSh3KdDKJagoJ - s8c/9rG/9Za3zibT7UL02xeb7+FCNLWYqqoigGoeCgd6874WB4awj33ik9/70u9/4pOu+7O/+POQ - NIYD+S0SuH1XaBBd0JqufdObfzMAgQy1nN2nd9K0j3z4IxQy6yZt06jqOE3j9t5ZIiIiIqLb09hk - HSf2quowDCklbE86HrO6x/hGEam13up2EBEQgQguuujg9ddff7e7XQygbfM4cXixWDRNU2vNOc/n - 83P08oiI9jwXBCCuOxmNKSABTdmTGMLdXayVmBxbHz7wz/kzn1uZL1KpXlArkqLLyawCLtux1rsz - sBVYqKwfOpKvve5okxYqvdfxVA9gfFKHOhSAxtnkRIYgxAFIqC6DwNF1nfWLLnWLwY9Np/lB98/3 - vuJ425mk5NAAYqerzbHcc9ne5ZO3j5Nyu5dhmQ53mKAEXCAJAW9rvQfwvre8pdsaVpHK1qLrujqU - 8TlCoKHNGBMImMBkL3dh0l3d8mMvSbqJJwkBHBFxLjqkPaIaAhJxSvfm7uNTd0V3LxuTQN2cZ0eY - n5tu0RCpqtK0u58tgNjeU+FBTnTXI4FUkao3OW+U/vi0OX7pkX3f9i3xkAcfa2dV1AUhsT3G53q2 - OdkhbgI/MXSoEFRDKAZHCMS9BZrNzcu3tt7zX38l/u59R27eXAmoog5l2k0kIJGyJYlkyA4VuIQz - WpjuTHY35mWnMb/9T12Ot3vjdWK2f95vvO0d/u6/n25tJq8JjVva3FjkJo9XIUggBcajzqQaPOfm - eLVPtc3dnvjEfY//2s/vmw1NU6urZ1T1YlFcapm13f59a7CzPN6JiIiIiIiIiOh82d2hFCImaqJV - MTfTSStds1nmm2WuXZJWNvv5xmLTxFOTFUieVmS2GmuzxdrKxsFD5fKr9z3sKff/xhc//Yd+4Fn/ - 9pkP/KZ7tw9Y3bzb6taRyWKtG6aNteOYu5/o+xVEQgggGuOIHdHSBT1PhNHdREREe8t46dXONf0X - dDuDiIiIiIiI6IIwJmcDiIhx/vxYW03CJGy8j0hMZ91Pv+o/P/rRD5fApEvbj/acVURUz7i/fedb - v7uPtyNid29ARIzZ3rjAhyKIiO7KxposEeHuAMY/iYiIiIiIiIiIiIiIiIiIiIiIiGiPG4ZhNptF - RK1VVc0saxIRd/MwL3XSdhJ42IMe/M63vf1el12WAAXapLKT4R0nMrxFZCcWCIDgfJYusuoegCAA - DwTG2xpQiFRE8fjbv/u7b/7Wb3nO85/3Dx/8gAlS00jKfRkcIZpLdc1pfGdSSqra9/0y1i6YCLIX - FbOm6wCYmcR2ooyqRXz6s5/7m799twOq6u6qGqe73nX5cRYRVQBt047rxxtPfMITLj5yZEzsdnfZ - ji0EwDpdRERERLQXuPtO9nYp5ZSfqmopJaW0M6sXu+aFxS2CG8dpxW3bjo8F0DQSga7Lr3nNa+5+ - 90vDLKtuP6OnJGaWUrIaSZs77mUSEV1AQk7tNJBAck0Bd59OVkq/qLWsHlwpG0cnvf3jW9++trVY - dWsEUCDBgeoWIgVFUvYAABFYQCEe8MDQdJc87rE4fGgOTaKNNrEsNC4Kh3hVraqQs8vt9hB3gely - /9UhgHgo0kQaG9za2SI39/r66z63OjlmJrPVfvyV0ogpQpaVJ7ffgBO3ThRhBwInp5LvJH9nVESB - Q5DdZ+tb8vFP2fs/uLY5b7NuDItJ1+WQ8d1OY0q5A8BY0t3ZaUN7mIi4GbKaaESILBtdtzx13L4i - ou97iERESklEdrcPAeiu3G4AOedSStM0YZ5KzbUmhdzxB5cktQgTSJNFRFzSctBBsfsvIrqLUfhE - EX0fUNHURByHHT2yduX/+X8Ml121ITMDmknaCkduskDsbAb1QmACUzcNIDREA1CtiuJICRqIgAgS - yorOD918w/t/5r9M3vOhAz5U9NKEhXc6QZF+8EitiQ5eVRVuZ9cqI9rLxs90CiQHcOIrQETkDPNB - hvmlIfHu937wv77hnlvzqcIgWnViTUYLCwQkwR3VkXMevMfESip9nZd9+2644rLLvvu71qerc2ki - okmiEEChbbi0oU21Yb6QnG5rL4mIiIiIiOg2qWpEnLjINkLOQUcwEZH4sss01KGB5GgiOpdJ8a5G - Du1Cu+LioZJSVlXAzMIFmi282iDqgpLq0A26b9h/cH6PK9PDn3b1v3n501/1A9/wH5585XPv7ldO - NvZNhi6bQiCKKrBIwKTVFVRtTRuLXFw5SeQuaaeU+vhLcLwE7oKur86BVCIiIiIiIiIiIiKi04iI - pmlEpJTi7vP55sUXX/yqn3nlIx7x0L636bQD0DTNOHP+dhwqaNt2Z5tjdbZaK4fkiYguOCmlWmvO - eRiG8UKrvu/HWcFEREREREREREREREREREREREREdCGSQERkTUm19kOCRLX73+8r/9tv/uYjv/pr - 2pTMvE2qQFJRAYCkSVOKiDHhQ1VVNLCXChcJAIhqyhkqEOSmgeh8GP7sL//iqV//tJf+4Ms+d+ON - Ieims0harK6u7QtBbpvqNp/PzWzt4MFSyikpJrR3dF23WCzGS1tVVVXDvJSSmua33vbbhgiguGGc - HHHr8xciwt1VdChDTjlpGsogwL94xjOTqA1FAIWMmfeSeN0sEREREe0VqppzNrMxW3F9fR2AiEwm - k/HGKbndtybnjO0872EYAIgEgFqjaeQXfuHnr7rqyqZphmHgPDIiotu2k04d6iEYa46P6d3RF+uH - adN1k+bmjZtXGsFHP97//fvXhpJ9mTcdY4IvAESrDbxWwB3hEAApacpDajdmK5c88Ql+cL/n5ENJ - ScIMUAkAEeImMBEAgjOrFxECF7jABAENcQFSeONwd0k6zMukm/WlbOWkX/2QzUsv0gMHbWsx1Qyg - WDjgCQHblUQsZ1om2hUOuCAFZoHZ8eOf/N0/mhRPPuRpXmxujfXTJaDhKZaRw+POE+1Zy7r/KhCB - iELGnvmd4y6WR4oG4ALH7ZfnHbH9dwDw7XDNL0oCYp4NCYJzcXxJiLqoawJUgIgQLN+f8QS7PPzP - wb4Q0V4SqIEKD7jAY8jpC2sri8OHrvrel3z+8MVb05Vj8zJpslmBITdn9Rxy0slFAhICQEIBxXbb - A4AGWrcjUlf/+WPv/blXtx//5OHFPIcVt8Vi6NrZbHXVAFNIoyU8lCctulMZP9AKSCA7UiAEJupQ - dxeJ0m912Q6q4aOfeO/r33TJ5mJ/b40DQHKkQAqXiAjUAUnQaq5DnTatm9eE+crkk9P8sBf+a9zt - ovVmakjFLcJC4CJVk6umgEZAnF8BiIiIiIiIiIguOCEe4sDYsaOIDGREg2gQGdFqtBpZI0uohKpD - Hcl1HHR2jEPRy40ASJ6aOu36tdni0Mr88D2bq5/9iG/+t9/+o9/3/Jc/9iufdnG6spuvdv10xVen - vqp9LhtllroWaEMaGa+QIrrg8ZI+IiIiIiIiIiIiIqLTGGdSSRi8Tpp2ZTI9fvzo3e52t+uvv/6+ - 971XGXoBailtk3JWnOFUzNtQa22aBsCY2+3uOedSyu21fSIiOjfMbEzvbttWVYdh6LrufO8UERER - EREREREREREREREREREREX1JYndmznaSEHZqzatYLZOubdo8lP5+973vm9/0xud/47MTIOYCJIiq - ADAzuO3Ee7i7x+128fkXfxXYFRJ+0j/GNbqzRFSzYbyK3sxyzg4sStno5697w2887OGP+NlX/8LG - Ym4RIfqFo8cWwxACSTpdmUFlc2PdEZJ09/tGe4iImeXcKLSUEhEppbZtU0q//htvcMT4v6aqgCrS - yY/dteySVSVCgdXZ7ClPeUrEeJxEhIvA3U987M/NayQiIiIiunVjzHZKqWmavu/37ds3tor7vgdg - ZmbLL263EbktIuMU4K7rImI6naaUzKLrkgR+8t/9+JOuvbZrmvnmetc1tQ7n7NUREV2gUrgAtky/ - BoAQSGCK1FYTq0lMk80wHP3t3zly47FJrbsfPkZWh3iEe3gGchIZQ3zdFoHj0+naA+6Pr7zfjYJQ - SWY5iQMaulON2XUnAvzMhQbURW0Zg+etIRvCU2g7hKlqLm5IuOqKI1/7qJsCPRSSEWqGkO2euZ1X - JMtIcmy/G65fvFtl5/5ZMe2Hm//4r/DRT86kauPhNYek7RBfHUM8Ieytob0vQkQEWUMFoRJjIizO - Uf9zBLZrzuAWueA7gwVj/uV4IoK4FFM/+zPKmfEQERdIUgCKMdL8RDtWmZ1AdJfk4kWLZUsiGvCQ - EIU2W6urePTDrnrxiz433R+TVYepw285bvglSw4FNBxwIDSiMRmX5DpmeEuoBMzRud9Do/nHD37g - /7n+0NGttNiSSWsifbW+74ehr9lqoyUsN60zMoPuLATQwNgUT4HkkIAJqqJIeJKU0aZoh83VfvHp - X3tj+Z/vWimmgcbQGBRVUBVV4Qhk1YjOPTdoUQYboCv4UFPv9fxnTh73yBsnk4I8aWZmhoSiGBKK - ooiaIGRs/fMrABERERERERHRhcdP5HarhIgnCc2u2VP2lE2ya3aMS+O58Zxi2UkboiY6qA6ah6RV - UdVNB0tzS+sq/aFmX7cxzTetfUX30Oc84ntf+pxXvvC6V1x79fP2b15yoBw64CvTIdpapAzhNTj2 - RNsiIi7kDwTHIYiIiIiIiIiIiIiITmMcAMg5N02zWCxSShGxubl++MjB173udfe+970ANE0qxUop - OyWr+bNlAAAgAElEQVSlvnxjbrequju2Z3ONK4mI6AKSUgKQc661Amjb1t3N7HzvFxERERERERER - ERERERERERERERGdvYjwam3bjteZh3mt9fjx42urq6/8v//zq/7Tf+qanAAzFw8VCOCOiFDVnPO4 - EZU9V+9F03Zas4i792UIIOWmBgyYD/2P/NiPP+0Zz/zL//XXvdVuZZaa7EAxG2qBirt3XXcbEXd0 - ftVaU85NyuO1rFlTRLj7pz7z6Xe/5z014IHYDikc5zLcGlUd4+eHMni4ij7mkY86dGAtqrW52Xl4 - znn3dbMsBk9ERERE51fbtgBqrRExBm/vfH8ZJ/COk4jHKWC3tpFxKnEppZQCYLFYmNlk0pbeXvKS - Fz/3uc8dhkEk2rYVkdtx3jER0Z2SBiQg4S5uCheYaEAAmaYuG+AVQ7/PKm644WN/8id3F23HU/Qt - YiY9DIicElTHAtEWsmiaz3T58qc+tc/pWHhKqQNs6HMz5narLMN4PZYxvF9mv5YDkcJTLEP6ctv0 - w7xJybKsl3qfpzzp5umkrq7MLVRTEkTAHSGA+PIlhQIn/fq4tfDd7Xfv1PUrjv2fP4o/+pMVG9DP - V9pWxndEHOIuHli+bsb60h6nAUChCXpym+r2+OjGduT2aX60PGTEIgCIyGmTwiVOPFxExuZjDFUD - CXKHV6rfjuh2BNLydkSISAAhJx3gPNaJ7lIC8BSeAgkqIiHhCuhWTp+R2jzj67/iXzzzM7OV4xKR - 0XRpqGe4/RMZwEh+otXiAsHOclIjRRS1IM0Xl3lZ/NGff+H1v3GxeTp+dH/XZkFqs3ZJAJFwaKkc - TaI7j9hubIy53SEwURcFEBFt0rqY74+4pK9bf/aXH/mtt18p2lkFkBwpHIiQ4mouAARpElADVLMB - bYMbC5qHPOjA877x5pSOeZhkEXF3SerqJnAZj1k9bWOGiIiIiIiIiIj2vlM6TDWw7AD28YaniBQ1 - RU1hyR2AQ00Q4qbu4rGzLAfIIiQgBjGJiEWsYO1AOrzSH2iO7js03PPBFz36Gx74vB/59v/4rdd9 - 16Pu/YR77r+qbBQJ08Yr5iHswr0rOu0lcIzuJiIiIiIiIiIiIiK6s8mpDZe+75umgXi1YTKZzGaz - o0ePXnLpxb/8y6+5+up7l2IAkgK331DBOGNfRMZKVSkldx9XEhHRBSQi+r4HkHOOiHFQOe3UtSQi - IiIiIiIiIiIiIiIiIiIiIiKiC1DO2d37+aLNjYiY2WQyWZlOtzY2M+Tbv+Vbf/d33nm/+1ylY6pH - oGnSmC3i7u6uqoD6HV+p5hYZRif/IHQn3mOURBGARxKVpGNx/aGWlLMDCzMD/va97/mGZz37ZS// - 4X/6yD+HymLopyszqPalrK7tO7Z+vLqd9jnpvHP3lFKt1d2n7UQ1lVJrtXe+853VEVjGKTjipOJK - ckpcFLBdaKnJzfY//QUveMFisdA0ftAji0a1lNKtRBWebqNERERERHe8ccbu2KBV1fHLHYBSStd1 - ZqaqwzDsRHrfkruPOd/uPuZ/55wXi+EFL3jO933f90RYzrpYLMatiQjgt6ijS0REJ6RAGhOrARcN - gSkCCHMxz1lzHQ4en9/4Z3813TqOxfEUBiAELpCABsasb1URAO5ezICUsqQ075r55ZfjkY9Y75o0 - nUaIQobSi2yHZEdGqMAFZ5haeTIJVUARCndxBxwpQiJpFddGiuLGUnDlfS561MM+k6XkBpJbkRRw - x+7eNB3j/WJ8F5ahlykgWAbvnfruOdSRtn/VWCBVu8j9k3/4x7jpC7PFVpdysWXCpombwhQOHfuB - mN5Ne9zyYIUCJ6XD3qGtKxHZ6dWM7fTuW3vGABwxBtmKSC3DGIpwbow7pikhkJBOCQwXpncT3VVZ - hAOmBg2NrNaIeZWwA2s3eL/6Hd9SHvXVN01nVbMhbYe1fKlcUBRVTzTGBNjOfVmOTAZgGstG3XYD - xoCuH+7V14+98b9vvOP37jnUydFjWhae4Vl9MTTF27YdguOMdKdiAgCNQQND0j4jAAnNSawOjdfp - +qZ88qa//8VfvWzoJ/2GIGyZtw1LXhKWDXjVvgwCbbSZ+5Amsz63832HH/LtLyz710rTdbnRLPOh - hyQLcUHIeEi6C5wjs0REREREREREFyrdThl2hQtMYIKiUhRF0Yv040pItWSDos8+JB9SdS2uBVJ0 - 7GQKz44UkkI0VANAMmtqSVGjCemKTLd0/3ztouHSAxuXP/DQNc945Hc8+9oX7p9cYjBrN3W1uDIi - 4a5uHEjdqbJ+gWJ0NxERERERERERERHRaZhZ0zSllFrrdDoVkWEY5vP5gQMH5vP5xRdf/NrXvvaS - S47c7s+bcx5vRETXdX3fq+o4mZ+IiC4g7j6ZTADUWkUkIm6l/iARERERERERERERERERERERERER - XTDG6wMXi0UppW3bnPN4nfm+2cq+2cp8Y/Pqq6/+g9/7/e984b92DwVKsQiklLCd3p1TPt8v4jRK - WZZSGrPrRDU3DQTVagCakqZsQABvfut/f/ijH/OjP/bjfS3HNzcc4Yh536+urnZdd15fBN0WEalu - KSV37/s+59xOujf+5ptk1z18mS94WxsZCy2ZmUAEMmkn1zzxiU3KWZOVCkBVI2I7qP6EM4p/ICIi - IiK6HdVaIyKlJCKqOjZ6a62qmlJq27bve2xXF71t41ennHPf9yJSa73mmie84hWvSCmNW46I+Xx+ - R78iIqI7AYErQhFjgLQLTNShIVqKdV1X4G1gslk++s4/3G8lwSQQy2RrRSQJTQFdZkTCIwzImkVk - q5ZjEVc+9ck4tFanE3cvpeSctdHq1cUBARKQBYYxPjvOrj6zSKgENFzgIWGK8ARorTV3ucdQEprV - /YtqVz3luk9JGXIKcwkkgeqpHSbju7Gzcgzf3XmLdvIvx7DMMftctu+QIBqY1GH+iY/jff9weHAx - MxlTe90UrjGmIKddgd9Ee9N4EIT7Fyv7fpZF4V1O/+CxuYix6DwQAt/O8F7eIbYzxeXU4zeGqh56 - x6djjke9BABVVUAlAL+A6+MT0e3JHe4RYRES4xktBXQetR5a+0IbD3rpS+L+D7hxOruxH7qmPdMm - 0O5T39ggGU97rh5AIFzCBS7u6gB6Qztp22lqHCv94pKNrfe/9vX46789iNraYmtzPSI6bWNhKbxV - jiTRncdOY2Nsq5vARcfvDtVskvN0YW20H/751x785I2HbZHqMCZtn/aodISjoBGHfSH8n1Pzlf/b - t+KhX/PZ3A0ibaOIIm5dM7HBAAg8oaZwXX5jIiIiIiIiIiKiC9EyulsDAodURVVUoAIOiVimeash - G1KIA47tZGKBC5YdRLoc5Bp7qEQiITS3CRoQz6JTaRtLOte00azWA+3WNG+tTHGw3xJBV0OPbq5z - LgBhV3r3+d6Rs8fobiIiIiIiIiIiIiKi0xiGIaU0naxYjWEYzKxJsjqb9P1cFbnRw4cPv/GNb7zk - kiPuuB3DWGutYzEsAGY2nU4j4oIeiiAiumsaT9211pxzKWWsvTIMw/neLyIiIiIiIiIiIiIiIiIi - IiIiIiI6e8MwpLadTqdmtrW14V5TksmknW9tbm6sr6ysSKDN+d//xE++7pdfe/FFR9p2GdTdNM35 - 3XNZFs/X01abUcika8as5XAPdzMbf5SabG7FagC5abf6QXP62Vdff+11173lrW+t4W3bNk2zublZ - az2HL4jOzFgmSVVLKRGhqh/72Mfe9a53IZ34cIxuO11m3I6HAwjEtddeu3///qZp3H0MtnH3cU7E - rnkQAoxRNizZRURERETnQc7Z3cd87vFbz07b1cyGYRi/r5nZysrKOL33tJZRjruKkD7oQQ961ate - 1XVNrcNisQX4wYP7RSLClGU+iYi+NAIIHNs5uC4QVRMtYRML/M0H5H0fzZtbOZ/0CA3VEAkF4L5M - kVQAqtXdgcndjlx0zeM/3+Y+LGqJCFPkNtUY5/kqIkuIhgsqgJDTd5rdhp0nTYHGXWE1oSo0RMcg - 7yybKH2OplvZKoGvvOrAA65eQBywCJHdRSpcTrdxbKd3BxDYTjfHmNSrEqqu6rpdY11bSeF9a/N/ - +sM/lWNz8bAkIQhx07Bxh+PEe060ZwkSPCzcELjNXsVbRmh/OVRVVbHdkpOTS8nc8hxhcJcIgYiE - mQRE5JwcXbHT3zvuZ0Sk7b0V1qchugvLkSRUTAHdjmlRQOtQetTFwZXFRWtf9fKXf+KSS5qD+2sZ - zqhJoNvJLrpr/CfF8izt6q4e6oAv87wFCrhhmNsikFKzv9pln/rse3/hF/GJjxxqZCaa0Uy6FXfo - UHJ1ZROF7lxcMCRUBca0+3GtStmcH8mTm970tuGv3nvRzRurqCLQ7ZxvhEogxfhFAznQJTUMxTdS - iuNto9c9Ds9/zo3RysrBrajVe4naqrTSSKTkSOHZvXFvDOqqccZfdoiIiIiIiIiI6Lxbpm4v+2Ad - CJflYgpDKpKqdEW7XicubXZ05p15Y2gsJWuSNxJJIknsbEwDOZBDYLKoaaNiXrwv7oLU5K5rcvgg - apqi1mpVw1a6fLe1lXsizvN0GDovTpvVfUHnZbCrlIiIiIiIiIiIiIjoNKbT6cbGRkTknHeGB4Zh - GLNXF4sFxC+99JJf+7XXX3LJkYjbrYKUiIw1zsYnioiUktyO2eBERHROjKPIOefd1Vvatj3f+0VE - REREREREREREREREREREREREZy/nvLW+HhFd14lIzhlAHcpkMmmappbS5WZY9Ar5+qd+3Tve+tsP - e+CDJ02GWXjVZRyPj2E8eynH2ANRShnjltNOFJIIRKxWiECQc+7LAJG+mgMf/vgnX/y9L3neC17w - vg98YH2xtXpgf3W/ZVAKY0L2Ak0SEWMGoaqurKzMF4s/+IM/WFTfqZskIghIeMCAXZ/OMRtqeTNy - zgEkTYFQyDc993mlH7zUUkrTNKra15LaRkR2otzHKl/LLeyZDz0RERER3aW4e9d1qhoRbdvuNI9T - Sjv3EZHNzc3bmM87PiqlVEoBcNlll7761T+/MpuMc4G7rqu1Hjt2LOeccx7vQ0REX1QAIVDUFDWA - gGrTbm0cX9M8G8o//fbbLqm2quoVAMasbg0ADsDUXcYeLMhYrdxsHlYPHTz80Ifg0IGtNherk8kk - N828X1R31Tz2zoUgJHDWdZlDt3tPfHuBCUwgCg2klMzMwi2wGHpdXcXKgauuue5YA29SBUTgDrVx - cxoIFzvRC3OyW/vltLuDsYRJbiAx9eGzf/rn+NxNM7OIEPhOB52Lb4eEsx417V0yfkAj1EPCHGEQ - iCB0GTu5TMc2ABJfbhd0iIfABS4aKuPBLRq74mzVBQ5IhMZpurzHFWP3OM5Ncvf2mVBkPPkt+10F - kFjGmQtO9MoS0V2EbJerclEPcfGQcTUmk8ncylaXbmwUl939sT/w0n9C3lhZHRIAhCBwUrLv9njf - iZUhcOjuER+MJ8BbrNFd55/JdLpehia3E216K1OVQ/PNlY985L3/5Rfbj3/iIkTd2pj3i5TbWTsV - qxJx6olUfLlgZ3/GGGT3c3XKJToLGtBQHSORBMDYmoACGIZ7TCZ49/v/+c1vOXj86KEkpUdKyxaF - C8YWuwaSQwIiElZz1kXE0bbpv+Ie9//OFx2bTubTycYwTFdmfS0pJa9WrDZNI4CEKwKI7QOZiIiI - iIiIiAjYHls8efETXXDiY7ebL8dN4KLjstMvd7otnOZZTvlz+we7nkJtp9/vjrq0fPu5Tu5j3KGA - Spy0nL4jRRxY9tjs/Lm9+O7lS9mpnc6fE5sHZPcmcere3vL9ie3dGLuefBxbCxEg+U7HFCQUu5cT - r2552zAAJiKAQoGkHnUx9NWLqjRQiZjNZpA09DHfKONgPdEFndsNdpgSERHtcSLCaC4iIiIiIiKi - 88LMdpJWBWlcEOqlZtE2aaOi8CvueY9f/qVfvOiiA1hOABu/yWvOrUjadcW/Anpbg4rbdgYexqpn - 45px3v64cuenO7eJiGgP2una3Ynr3l3JhYiIiIiIiIiIiIiIiIiIiIiIiIj2st2BH2N5+mWRehXN - CSrFas5trS6hqtnMIkJVrJZJ2ySzZH7V5Ze/7c1v/pGX//CsSbBIiCyoVgEo0EijUIGqjiXmIUm/ - eDmrs7Wdv+ynWxCAbV+fbqUiEObwgAdijPbGmMQc46XtSQIw4A/+9M+v/bqn/MzP/dyNN9+MnEuE - 5qYvQ621aRqvJmECB9yiqsK9KqTNjVdTyPg+3/LdptuXVwu3rApEqPRl0KZ981veuvx/FwAIdwAe - DpyU2y1QwU7RrlQtIHCEALO2feq117aaAOScq1uxmtumWA1ZXjorgZ0DCMsa8Rd2tSYiIiIi2st2 - ArP7vh9vDMOA7Ym644SvYRh2Zn6Z2c6jdqb05pzHn6rqOFM4pUY1j1/Rwk2A/WuzX3ntaw4dXGta - jYgxFBxA0zTubmacSkZE9MUoQhwyJFR1RZ+jSKgjecRaavavb+FDH9r4wN9P58eaSAIFEiCCgJhL - lGQloSS4jP1amqGitujyB7rJ/m983iK35pDUlFIMobl1S4IMAGIuxcUdyaPZVVcdZ7BAHTo+0ERN - ZKyKHhomNWCImCJ3kQ22oXp0dmTtMddsXrS6sRpzoBpyIAckUkBKclOH+Ji0LadEYAZSIIXrMvPv - NP17ijaqS2A62L360r/9d1Z6mwbUa5MwkYRq5jVS1EguDUtS094VKiZA8sU8qRcJS1rcVST5MsMS - WB4v6qquZ9TjOB5TGhB4iLvABIZkaIrqZHUF1mt4VolSVHNAXRACHYOxAdPldgSaJYU5VD2JTFIx - v+MPLVeRakMSiYhQDFIlJYsYI0KLwnR53gBOTYAgojuxAAwW4mNY8Hj60ggJt6jS5o1hUSZ5Y5Jx - v/s9/Ad++N3NdD5tClAC2k56eAWkhQtMYYJYDlWO6S8KIDlS+Imz7vYYn+5axnP1eNsX8xVFteiB - SGmIkiUOD/PZn/zVTb/0utnRm2dZ0OhCwkMUCeJA7MoEwv/P3p3HyZZVdaL/rbX3OScih5t3rpGx - KChRkUERKKXqQwEtoNKOrag0jbaNPvTZ9LNtcUBx+DwVaXBoQFCxn9rQqN0qioggSMtQTIpMAhZD - VVHTnXKI4Zyz91rvj30yb96qWwVZ3KqbVff3/eQnPhGZkREnIiNO7lj77PUTd0E28TL6ggd4ANTE - XZzp3XR3+kKm8bc+LACK3mupIoIkG8UYxLvcVUGbWatH1655xasu+ty1Kz6Bd7XAkqipuCZFCrZ9 - ujxbCtXIkk+1vvbgypd+7zPnh8+fjJeyehUspSzaJBNUVUbO3qubuhokqZRbw+b4h+jeh/ENRERE - RHT3UNXSGHxrCMqBKN0TlYnMbV9QN4ULMpAhvUlvkl3cxVzgUBM1BIfaZtB1+V30ObpEieoqJoqg - GgEVwHL2lINqpZWUn4qoCMTcLSOZZNfepcvoyo0GuMDg7mZwh/tm7UXdpXwBKhK2Lpbv3Hq689QM - csA3q4hbX0M50TNgIq7qQT2oVWqVevAMsyEUYCuQO8MysiFnuMFN1KGQIEEliGs2mMFc3NUzvLd8 - at65lmfG3W04nv7kTwXDX0HhiqzIiq1HOEwED8ffQ12kfAGCEs4NU7foOXqvyA5kHSqrJnCx7V+3 - nWIWBCCo1QERsIzWxLSKCNHM1YKatjaz2CG3o+DqrMeei0oKRlnxtHW+HHF3D8XjJIiIiIiIiIiI - iIiIdmA0GvV9X5Kzc+7N0kMfetkrX/GyQ4f2AXB4DBFASsndt+Jav3hlQmJrWkJV7+lTFERERERE - RERERERERERERERERERERET3PqVJfXAE95WFped8379/99+/88rHPVYAOMZ1Vbq9ZM8Agoahhc1p - c912awftnH28sJgBA9q+/4VfftHXff03vPltfytVnLTzhaWlqqmn06mI1KORmZVmPapaVZW7z+fz - vu9Lsh3dDWLUEIaQwrIM4cjRo1e/5z3AHcbD3/bv4+5uIUYzGzfNVU94QhWjWJZd+0olIiIionNJ - 3/dVVZUc7qZpZrMZgLquu64rq33NLIQQY2ya5g5uJ6UEoHx4KZ9lcs5mKWgo/bdHo/iKV7z84vtc - sGdlaTqdlsBUIiLaOXWoC0oM7xDICBjc2nYs4bNvfmu1sbYoYiln2GbY3Gn2ujHWDkAkZ8xidejy - x+C8g9MYTYbf2TxVQNUBmCIBdsp3Trnmzk4BGFRdAagbYOomjpiHrvdJdaIjHDj8wCsu/5z3VkOA - ACjkZGto2Z6we0q/aPGTX7f7bJZATcHIsbC6cd073oUjtyy7Bbe2bVPX17FqmkZEDJ7dmOZLu517 - bqdaeqro8HoV3yxnynAqw8nOWqyLq/itfkVd1ERCU0FF1DffburDe3O47Ke+d9xd3OGOoPlurHiL - iHvO1pdtKFtVtrmEOWztMZxvdqJz2JDdAljO6lBVi3FSa9q7KI96xMO++7s/o2gXIOPRpJuN6nGl - ah1w6oylQ0pudwm8Oe1oZPtY5ZRxy7C7Pjl+U8dCwoWz/oY3vmX9b9563tpa1c7qUTyxthqrGq4A - gg8DKqBEBEFdNgeOW7nIO9/7E929xuPxxsZGXUdVTbMuuqhCpxv3HS185r//Yf7wRw7O500GgOTQ - UJVxjcut/3eHqlrtZ7lZOrGweOHXPQmPfJgdPHhiPi8fOkquU/mstJnABJQwJ+jWJC5zu4mIiIiI - iIjITxYOFF6io6NBSlEOrqXatr0yoW6KLChJ2OZSLgCxSpBklsyyu5l5Npi7SV2NYj3KyWdtm908 - qGjMZgAkxKC1alRUiiqExgB3T+JQoAJqQeM+yvM4nVWTtt5oxxvt4vp8YW02Pr4xOjpfWp0uH58u - H5/uOTZZOraxdGRj6djG0pH1xVvWF29ZXzi6vnDLtq8jk/GRycKR9fEtG6NbNkZHJwtHZ0vH50ur - s8UTs8UT84UTG+PjG/Xx9frIRnN0OlrDwlzGHUa9N8lj8pgQTAI06gACGNxzSn3bpS55hoooFAZk - RIlNbMRcHSIiIqoqIu5e1k3IkLeNcn7zD4HyxzGBiaFEp9+2FOt68mv4A0Hdtr4whHwPX7fvZBEJ - rvAA11NjwoHNfHcXc0mKxNxu2u4evQ4onu0NICIiIiIiIiIiIiK6J2nb1t1LgHdVVWaWUnr4wx/+ - a7/20u///v8wmcxSTgIsjBcms3lZn39SmVC4U+uaRCTGWOYkUkohBBEJp+3ORkRERERERERERERE - RERERERERERERERnyfY8jLW1tWY8uuC88/73n/yvV//+f/8vP/H8tu0BqKjG2PWdl9xuVQDlPHCy - W9LWsee7rreNyMZ0IkAVq5RSVHzsn//527/jGd/y9G/8xZ//BXEf1U3V1FWIs7aDBBfN2TtPIiKO - qqqqqhKREiNNdzV3zzmLSF3XySybvelNb5r33emv/PluzcwESG33Ld/0zSGImO7CVygRERERnYOq - qiop3SmlGGPJ3i7DYBFpmqZt2/IZJKVUGuOe9nZCCDnnnHPpnBtCMEsxVqnvVWGGF7/4xY94xCM0 - oO97uYMMVSIi+nwECG4GOKIDwQHPSbAnANd97vq3v+twEokVUgKQ1QAER2neroatupIhORyOjDiv - Fy55wuXzAwt9KHGVu+MU5uhRh4NXXPnJN73pcLsqXS59zwUGeNhsoO5Sqos7bn0uMPdsQFCMJa5d - d8OR975nzwUH2sVxKzrceDI3hCAAwO7qtIuVqOyubaOhclG3gM3B2+bga3tY9U5ttX4p+xOTIc8y - uzTjBYiUuNnbGzFuBWqWYAR3h7tW0baH0971xJH7BDuZKb792bjVRSI6d4hDBACCIziCAcBIJCkM - cPGZ2GqNA5ect/9pT5xe86Gb3/XuPSc29gX1NDfz0MSuTXHIxnaHZFGICbL6znYsLsOARtwDMhy6 - OfXZpbw/4+O/8epH1SsXfMMVn0p5NKpd1D3AETMg1gckRYIoQpOiOBye1UwhsGiiDhPmEdPuUl6R - JWNp2s8WVhaPzdb2jBYwySGFqvIDCrzlbTf/2Z+fN10LUa2FGLSWtusqBADq5uWNvDmq6Pu+aUY3 - Bc2XXnLRdz1j/eC+ST9dqqNtDQKIiIiIiIjo7lWOQzjbW0F0Z2RFdh0KiKgAUw8Qh5csZwuOzexm - U2RxE4cpDOoiWTSLOlREzEzNNUhQFTdBFqh71ZlkTxANTZSgyVJKXRAViGRFdpiIeZAoAbnOyTuD - mRg0J0lJ2l7aalHnNpl0k0m3MZ1vbMzXZu2sS7P1+Vry3Pd9n9p23vep7fvebHv//1MmakbVQsnP - DqIx1jFUdahV49LCYl2PRnXTNOOmacbjhfF4vBSWx/24siYgIgeHqldB6gox9y4uAYiqAaLirgKr - DCIucBEEh5u7J3f3ECt3cyvPZhYRlRiCIyeIb84zqUEB5HJJxDbLr1s1n8DqD9FdgNHdRERERERE - REREREQ7E2M0s77vR6NRXdcbGxsx4nGPe9wrX/nKZz3rWfN5UsV0No0xpnTG1lXFGPu+L9PzIQQz - GyYpVc/UXRARERERERERERERERERERERERERERHRGbRneXnetnWsckrf9Z3PuOKKK37kR37knVe/ - u+0t9Z0DMUZV7boOjtF4PJ/NbpXbrYABssuykYf4upz71Ktob6ZAHcLr/+INb3/721/0y7/y5Cc+ - cVQ3G/MZzBYXF1NKVVUF0ZSSZYsxApjP51VVne2Hck4wsxCCu0vQINJZ/sM//MNRVa/fKr37873O - NATL2bNVITYxXn755SK77bVJREREROe0uq4BlE8cMcaccwhBRFS1bdvSkBdAWZ/7Bd5mzj2Avu9V - YIb//J+f99SnPqXr52bJTEIId9mjISK6N3Og1L3UXRxAZUAAFCm7rVQ6/eu3LN10dNmjp7Zc1wWr - 2NIAACAASURBVMR9s0G5wOAazABIlHlv44C5yUbVVA+4f/zSBx8fhU506HO+C04BQPJa7vc88EH7 - HvnI2VveKoLy0ANcYNtC/oYw4J1m7hrScF8ZTYyHmtEn/+bNj7ni8lBHbUaazQ0pZ9NQRU25022b - RrSruJgHhXqatbWIWtYMQETkZI62b727zsArWR0GA9A76qWlrWhuEbHTRXdvJ5tBtlXd9G53T1yL - u5c78pRFIX4yffyUq0kJQT/9T4noXkkdJsN+qeygBFCHqGpGcqhICmHNk4e8//Cei5/97Pdd97mR - XTc9sbo0qtp5v+AhIJcdrosZAgCDKnIZpex0iAKgRBCHk5/CtWmq3Kfzjhz/6G//9pc84MB9vuyy - tbhwou8QGoW5mMCDw0xyUHM1aHRAyljJAKjr5iQq0S5lYp33VVP3ySrXMaSZts2N13/493730NGb - D9UBbafQ5FbH2HZ9BVdHCXRSB6AAHBKCHlc9ev6BR3zfs9ql5bVm1HWpaoKZA2w9R0REREREdHe4 - bVC3u5+R6jTR3c5cDaW25gC0zCOoC0TFAEnqKGU3wbaYacAAFwMAgQMQd4WqiLt7dnMRMc0wiTGo - avY07+cSNI5iSp0rQpnq8QyxHsiaU91v9Gur66snNo6trh49sXH8xPTYtF+/8ZbrOsxbmyfpoNmD - G8wltdZacHFARSFQERhELPcuw9aKuw81UgHUTdTLxEoQEYWKhJRSlAjATcrbOYhWGB2oDi6HpaXx - ysrS3j1L+1aW9u1d3L9Q77lg//mKEL2CuRjgro5gTS0L1iMlEwmqqiEK4OYptbjtrsNd4fDth93b - KedcNp/toebD+R3aDU77T9A/3xTqbsbobiIiIiIiIiIiIiKiHSi53V3XVVVlZimluq6bZjyZzb/q - q77yFa94+fd//39o2wwgpVTmAwFszngpsNWWameH/vd9X5qylRssbQKapjljD4yIiIiIiIiIiIiI - iIiIiIiIiIiIiIiI7pTSKWl7t/rS/mljY6MZjbqcJKjkfMn9HvDHr/ujP/qTP37+T//U0ROr7sgp - JaCuY9el+XSGbb3c9JRe27ut9bzm7CKhdNuKGkSky6lDbo8df+b3fd/XPfGqn/vZFz7k0kurqjpy - 5MgoxrquVbWqqiSpzymIMuLu7lRV1Sy1s9msqpsjx46+7x8+MN96Ud1h6yQfrqYAzPoS7y3uVz3h - CecfOux9l3MOgX3hiYiIiOjsM7PSLdTMymrcktu9dYUvMLE75xJ9pDHGktu9MBrP5jN3PPvZz/zB - H/zB9fW1peWFlByAbG9UTEREOxfcsqh75YCjF+QFSzh+4rq3vu28WTc2t+Rw24zhPoV4KNG0EYBi - HuW6hfohV12JfXsnQe+44nG3M6jPI5ZX9t7nyqs+9ba3p5AE0XNWGARiw/8TxxC1u8NoTBOoiAeF - ZfRdtzyy/OEP4ROfaJYf7nXTZRuFqq6aPie3lHPWwJbUtEtZiZlVT9NZDURD6dvi23JQXE5WLb/I - oVh5o5X6ZgfI8l5AkeHBS3S3q9y2hCqnxLIogDhuEgRyd+TIuphICA6kXELNRcStxNnutqkEIjoL - SpqLCxzIZWdlEtRrD27wUHVBjrhNR3rfBz3gUc973gef/4IVCesbx6PA8rAbcUGvADyYKZAVAKIh - fMHjE3Goq6kNgxwdIrzh6smRpnuDyg3XfvxX/9uDf+LHFu574ep47JAswYIFR5MRAUfMIllKWHdS - eG1m0Cy6ufPmfo92HXWYmEZJqYs6chertd9YO5jaT//Ba+TjHz7fe525GSodZWvblKsKlhB8CLkX - H8Y4Bu0Rj47HF337N/pXPGSyvGKh7rAqcD/NCIWIiIiIiIiI6PaJQXoBXBUegAioewBgiIANs5MO - iImbi3ZhKD+UCQg1qGR4FhExB4AMd7g7XFXNUgeYZTERjdWo1t5tlmY6Qq+zOSYb6djxyU03nrj+ - xluuOzY9+qlbPtV6m3N2GGAi7sjJc91o733OHcREVQNKQriH2ktsMJA9G1wcDmjwk9HdsDKH4wBC - HIqT7kNKtwmA2MS+zMhCALgJ3N3XTuBocJEJsC7IHkwjYqV1ZdXSeO/BlUMHVw7vXzl0aP/hA3sP - 7x8dGM+WqzgSCQBgggR3QLWuG0UQaNnqnMzdPfm2lRMnq5oClCcfgLnCYwkdB6DoWf+kXWUrboPR - 3URERERERERERERE54q+78ta/dFoNJ/PU0oxxul0I9ZNTvnRj370K17x8uc85wfaNp3Z6YOqqvq+ - ByAiKaUQQows8hMRERERERERERERERERERERERERERHtUuJomqbvuvF4vDGbjsfjruvc/Rnf8Z2P - /ZrL/+Pznve2t71dVduUckq3zRc5GZi8+9pul+PbS88dVU2WAQRRcwMgwN++9e+ufMJVP/3TP/nM - Zz5zz969SDkI5rN5CKGqqpQSgKZpykHydFcryxBEJFTRVf7qr//atl5T2zNmHCjdyvz2E28cQdQs - f8d3fEef2uCIMbqzLxgRERERnX2qWpYAhxDK4l9VjTFuj/QWkRhjSukOWoiW7EMzM0vlO203A/D0 - pz/tR3/0/2nb+d59e06cODYej/u+Ddsa6xIR0c64KswE6jBXh2btq2x7+27+gQ/ghusX53NFqEM0 - ywIH/DZRkQpY6lFV8ITpOKxffP74yq9ZDZogQWQn0dd3ObNko+oo5OBXPEwvvnj1k9csI0jqTYcC - 4JY7KMzcAYnSJasyVNXMw3RyoeuNf/mG8x/xyHWN05RSkCpWXerdLKrobnpyiG6lpD20k429LmoO - wEVMINsi7V22rvtFhncDgMAB64Niz0rJCHd3UXF3EXXHVsrDbakDrtV4lKXkfN/l3KEQccttD4c6 - XFCen+EKAhPAt54lIjpXmNz6vCncAfPoWolmyz0MVciKKfSmKpx32YMf9kM/8N5f+ZXzVC8cV/Pp - fEErt7w5UWkouxdXALqz+SAViJr20YAh89uhgObUjiNqtTSZ9B/6yI0v++3zf/JHzxsv3uK5VxU/ - OTqKBhF1IEtWh7gJDKK9DP8AOKSh3UmBnPumbmaTNK4a9XxQBX/71pv/6k2X5BQ6r4AMuPVVaCbd - vK6BIfAKBg3Df3VtQ7w+yvhRDz/89U+9aXnR6mptY3rw0IGjx46Mq4Wz+QiJiIiIiIiI6J7HFQY4 - 3DbLfKW5/ckJBoeYOACVctXgwxwKAChMDBBTMwAZ4u6QoDGKBJfs2jYLaoJ5N8/uOebV+fqR9Vv+ - 5aMfPzq96YbVa49s3LCRT/Q6d8m5Sj723pOZuUMUAQBEHAnuvln6E8uAZ5hArC1lT5GyzQYJgCVz - nEziPqlPSQQiUIUEqEi5RrK5K9whkKAqUcwsmyHCBSKAQQziiED0kFpfTTffePSzerNaEkFs4ngs - Sw84cOkFey+8+MKLDx04f2m81GhTy0Kl9WRjKhaDxWBBXIOEKlbloCaUGS7XskbEZFgvUh6sQsW3 - fmp+q1lkorNn60C7kt7N6G4iIiIiIiIiIiIionNFWZbfdV3btiml0WhUmli5Z4eHKF/7+Mtf9KJf - ee5z/+PiQj2ddpu/t71t2p3R932ZlqiqamFhYWNjo6qqL/7hEBERERERERERERERERERERERERER - EdFdxaxp6q6dN1XMfVfF6F032Vi/6PB5f/za1772j/7oRS960Sf/5dNwBEBVsjk2jz4H4Ch9r3ad - 0joqVlXqezMAKgE5W4wxpzQejafzmaT+x37iJ//qjW96yX/91QMre/fv3ach9SlpiNk8e06Wgyhw - SnzIrkpUutdQjV3XhyrWdb0xn//ha/6HqMLyKVe61TO/dXH469jWN8Xt0N59j3n0V1vKKqpB86m3 - RERERER0tlRVZWZmBqCu69IqtFwcjUbz+XyrE25VVeXMbW12FzVVFYe5meGrv/pRL3jBC8qNpJSW - FxZDlM0MNCIiupMM6lCDqKsBvaLqc3P0+Cfe8paF+TQiRahCTWBAcKgPtSMXYLMBuwEQZMc0hsOP - vxz3u3jDu4BqV1WZTEzU5+IWqj379t//CU+47vqbqsl8sWRqArdK+3Xothrh5+cCRzYgA1GCVojW - X5Dix/7+3ed/5rNLS1+aYmyze8gAokIkGOs5tIuZGCy1G+uakgIqmlWy5XjKdQCUFIedk5PVTgxv - N8uCvqqwZ8U0lMBNAxy55CVs7VLK/epQKQVEgQxVHY16UagCd9O7S7LltkM2N4OG7Zs3PDjmdhOd - e4YZN4cJTJAUANRRebScGgQxWHJ3oFJAZ+brywvLT3jc4Wu/Of/Pv7DV9RhD9iQQca3MTIfbjAZA - xHc2RCn7WPVhj+QKc4NjHCI0J/cmYM9kuvq+fzj2+/9z/zO+bXLgwJpW42QCtAEOjRkB1gW4GOBi - GAaHAgPibhrv0b3eTl9uEdLN5guLB/Nk0synuP66f3rV79x/bb12ax3VWHVqGTm61IAmqMMEGcEF - mss7zzbqsH7pAx71A9/Xjhe60OTUj8b12vG1pdFy5gQtEREREREREe2EuITN+p7DTACHQ02GQ19M - ADF1g5i5u1hwhQukTIgApbe+I8NVFfBspjFoREr9pFuzerpqs0m3du1Nn/qX6z527bFPH5vetNGv - pir1Pu+8t+ASNYQKQUXQpw4CLYUXGw7AEUjOIiJAEBEM+dWuMIgN0yFblRoXQKIoYJBbVy+riOEg - IIcbtvKG6ygQONzNxQyAuKvD8lAOFYcMtVbNLqEKJgL0fTBEAZB0MtUTN534LI6LXKPR6+V65eJ9 - 93ng+ZdesO/ih1z0JY0vRF8IJtKJdJa6VkxCCC5bU+RqIiahPBgVUzd1U0Dd1NUEyU9ZZEG0ezC6 - m4iIiIiIiIiIiIjoXCEiW0ctlyzt+Xw+Go3a1Ktq04w2Njae+tSnvvzl8TnP+aGqkq4/Y7MIIQRs - 9jgbj8eTyaRpmjN140RERERERERERERERERERERERERERER0ZolIN29DFWOIbdtm78dNE3M299z1 - 3/Wt/+apT/pXL33pS3/rt35r2nbZSurQwLed7jYxxpRSSgmAqLqZiLggpRRCmM5nAtRVnfruLW9/ - 21VPfPJvvPQlX3v55ftW9tps1ltWEY1RIZ531N+f7iRVVVV3n87nq+vrH/jAB1pzky8gZPA2Db8E - iKpf+ZVfuW/fSq1hPp2gN1W9azaciIiIiGgH3D3nHGMEUFVV+WbOWURCCPP5vFys67rv+9vL7caw - djgDMDMBVHHppZe89KUvWVnZY56qOszn0yBeS21mVVUx+pSI6M4xMQAGAVTcoAAwygk33Hjive9b - mkybEJDR5w4KM1QlJRfqJXNXzD0AiBHzDI+YjccPueKKYzH01oQQcxqCa30XnAKQEGZdl6t6ra4O - XvXk469/49Lk+r1B+lKgcUjJ6xY49E7kjncJdS2aq5xTZ6aKat4tTyc3vutdKxdcuHDovGnqJKcY - JGrouk6ULalp17LgQEp5NtOUREQC4G7uOH2q/Zkpome1to5YXPAQXcQFIgJ3ka007OHdvO0eFYBC - IPAq9AF+xjbndrmUHAqT7N53yElzzkGdSd1EtE3JAHZBlhIzo9ZZUKkk1vDo2iZP7qayHuJkaXTf - 73329dfccMP/eee+Lo26kn9jAqgjK+BQLxk6O/sAXPaIpwxsJAOuIl3nGRgtIGbY+tonXvcnX33f - +xx+8hMgJq5JkVSyILiJKcQEBhdADYCLibnAzXbpTCqd88QhLuNmPJlunF9VKye6f3rlq5au/9z+ - ru8VFtDPDEAVQp9TjZIilSDDcMMELtJqvGXcPPg7v9Xve+FkacngKXWj0UKyOiUT/ucnIiIiIiIi - op1RWCWloCamUMANpjBATaCuBoMY4C4GKJAEqlu/MUzUiovn4Dn0nbdWeda01q3dNL3h4x//8Cdv - +Ocbbr42h5mOug4brcx8AR5KtREOuJt5Z1ndPQhEt0KyxX2YWY1RAXV3N2QkAFL4bSeJDDAbvn1q - 9VKguTxsGBAcrhAVAJaHqqIDnt0FQSABipOH1ouX+qgbHMHdewAWbfNO4YLcwB3ICBbmef3ELTd/ - 4saPNnm0gD0X7r3oQRc9+JILLz1v7wWLS4tiUbPGPBKrhjvxsgZDAIH4UEQVg9sQ7y2nOYyfaJdg - dDcRERGdeVIO1bqHDzWIiIiIiIiI7n1KXHfpWhVCKOvqc87IFjS07ayuY8rdFVd+7Ytf/EvPe96P - DXN/m78eQijr/Hf6iV9EUkqlXKCqIjIajUofgRBCKSCUbSubdwYfMhERERERERERERERERERERER - ERERERHdgdtL03H3GCN8yLQGkPskAjGrRELOleMXfvaF3/ZN3/yjP/Zj73jPezIQVMwcQAiSLaOk - g0DcvaqqEi9XkrPvxsd3a8O9l85RZhiaWCnEsmUI3NH2nQDmuPn4se965jOf/W//7Qt/5mdGo5GZ - ucMNGS6C8rTM5/MQQl3Xbds2TXN2H929T84ZKhICLP/Z6/88W+l9BuDUoJmtEIXT5ss4pGTRmD3z - e75nPp3WS0tVVeX+3vzHKrGOMUYR6ft+KwCS7nHKCiAzK0tvyunZ3igioi/WVoBcObOVWEx0zhKR - 8i4oWd05Z2wuvN3+EaPruq3zZbHw9oGBiESVlFFXddd3AM4///DLXvaygwcPmhnE1FGHCLGyvNes - dCsmIqIdc2RRdRd3jJrYTTdGlezp+qN/+vr7zfuRA249coC6iMAxJFyfwgRtgo/RVuP9D31o/YAH - bLhIPepsiLIruZVn/RTQZDlU0UTapsbBg/u++jHdLX+eN2YuEAFcHQaHlu7s7jvtxB4CcnZkFwRV - gwCCcc6f+Ms3fu1Tvn5tNtGlBW/bEDTnrEOPfaLdSAGxjGyz1bVKBJ4tw2OEy2Zs92kDvHdAhv2J - Yiv1QGCCCYCLLppvDvCG5i12ytvFge3jPxFxF1eVlT05qIn63ZKk4NnGsbr+yLHztAqqZh4qRYI6 - DCdTP4noXFN2jgFAGQU5IDBFZ33VBE8ws4AgGbWLKqDWwiU0s5Fd9J9+8OoTN9cf/dRit6oBfUYl - gMAzQkCC1RpPk4xz+3zYHlXPAMThJZZYzDIqICpSi6SovXvgVD7wkt98xAUXHP6yy26pZT6uw3h5 - MtmIVSOW1bM4xIcBjAkUZm7i/DxOZ19VVW3bmtlWbVZEgND1ebmOY5ktHl/DG9/c/u3fX5z6kj8v - AAQCNcsR6nAYoCLmQXIV61nu8mj0uVhf+K+esHzV429aWmjVHTJCQNdCKpFQgqnO6kMnIiIiIiI6 - V6iqmYkCwLZDEM/2ZhHtlKt7AwAwlww3wMqMS8591dSePedeVCFmlmJdueWUevMwjiOx0Pe9Q6SO - iD6TjZmuHe1u+Ph1H/3gp95/7c3XrNmGNd5r1n0G6YMnSBrKIA4FLEMAEzjEg6hJZQjJMMxouAMu - BsDMhpmOMEy6GCC3v17jtHSYXob5MMXk2Dx6fvP96wIVuJT7Hg6VH54rAeAmcHVDhpjLtpkXARya - IV4enYsmg7eSk07ntnZi/caPfeiD8kFdqBfuc+F9LrvsoZdccNn5en+dj4PFClW0BjkFV0UQc/fs - nhHEgme4IcE1SBATMythBCXUoKoqrrOgu9NmGMfJ8IsYq3JU3j0UD7ElIiIiIiIiIiIiIjoDmqYR - kZxLurYvLS1deeWVL3zhT/30T/8cgBg1JQOszCncif4+W79SJuzNDJstA0pvsnKdcrWy4P/MPTgi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiuvNKP/qt85UEVe1m7YGVvcePHLvswQ954xve8NrXve75P/lT - Nxw9EiAGlIPG4a4heDYAfd+PRqO2bUsQ+K5ueSMogUolBDoBr/q93/vwRz/y337jNw8dOrQ4Hvdt - 18Qq5V5M5vP5ysqKmfV9n1ISEVW22j+TQghdO2+qKuf856//yx5ugIaQbWcvIYEIfN/K3q961CNX - lpfn02kQvRcvXqiqquu6qqrKGo2S2831GlvkHtV7sjSqK9mcuFPreoiIdpsYY87Z3be1RIzl4tne - NKKzzMy+8I9Lw8cuIMa4tXQ35TQeN7NZC2BlZfHXfu2l559/nnlZPsxIJCKiM8bdg2qU2Hd5Nt8Y - qY0mvRxbPfr+f9x/dK1RIATtk8PchybqQ26vQB0yBNxhYTy6Jc9vGoUv/6Zv6iE5VNBgvcUg5Vdk - F5wCgEE1Sk69yHT/wUue/OQPveEN50WoRDMLgKpCzA0+pGLuLJzYBHAEKLaFCo+S7T1yzP7+75e+ - 4aknch8lI5vlrFXNj8a0awVDsIzJVC2fEpt9aqL9Gc+fz4qwdy+qmEVQQhYEMIcMQQ2lyG8ld3YI - /4a7u8DgYc9iFzTL3VHZFoE71MzbHtmCoVe4l13OsKlEdA4qOyjxYaSkAgAuyBh2X1kQcDJdpkzl - aRXbnI6EsHJw76N/5qeu/t7nYjrZk9qFRlNrsUGdkTMqlWzdndzHuYpbSdke9qACB8QgCgjqnBf6 - uam8/1df8siff8GhSy9ez+103cdLS5PZpAmhzlAXFxUHXNVNzURKODinFOksa9tWVUtu91ZxCcDi - 0t68vno4dfHT17z/d37nvl23r667jXlQZEGWIIBaGEKj4L1509Sh6+Z9V49Gn3WkL7l0/7c+fWPc - rFdRXMK2/+8mdsbHQkRERERERER07+aiWSIAyGaNUEwd6oixScmQETSGEN2TObo2IWhoomecaFcB - 1IvRo89946aNGz762Q/+4zXvvX7tUxNdTdUsN/O+yr2IAQoTDFXKUtAQB7ZWUjggEBhkc/J3mHXB - Vjb2kNu9fV5oc2rmC2fb7m64hds+J0AWAMhDvrh6qTeKAWpiW9O12yepShm2PK6STW5ial0Oatqb - a5choqGOcJ3ZiaM3fe6Dt7y3tsX7L1/2iAc9+kse+KXLYY+29WJc0lx3cx+FRiAQTW7ZzQQeHO7o - s7qKSFlbUdK727blcex0dt3TjzRgdDcRERERERERERER0RmQcy5HToeqsr6bWz7/gsPf8q3f1Pf9 - L/7i/5uTCdDUTd/32Yf47Z3eRZkkK22ecs51XZtZ6azRdV2ZMyuzaHfB4yMiIiIiIiIiIiIiIiIi - IiIiIiIiIiKiz883YzyA0rlpsP04b+tdY0xtZ02zvLAwnc+aWD3tKU950pOe9Cu/+qKXvuzlUaU3 - b5pKgs5nLRxVVfV9P5/PAZRDyu/ccelnyLb7vcMD2H3zqgK84+r3XP74K171ylc86aonxrrq+lRp - NPfl5eXV1dUQQl3XTVWHELIzD+9MspSDaDK75eiRd1797gxAZHtu99bf8I4bKSlcga+9/GsuOu/8 - 1LWerRpXfd+L3DtbgPV9X/qdbX0nhGBm9/SGU+eaGKOqlnU3ZUkO/4hEdO+QUsLmckJ3TylVVcXo - bjrH9X1fPla4e1VVZdnvHfzf3/6Ryt3LeXcXoOR2i+A3f/M3H/7wh6eURqNRP2+H3xR+YCEiOgMk - oO97QV3HaJ6WHPsn3dG3vsNuvHHkqDSYWwZiaXoukuEOmJzM6xVk9ZBnfVuP8OAH42EPXYuKWHXd - XETOXt3s9NwlOCpL2eQW0ft92ZctXfqgyUc/Nuqz5mxwdUCGkHIV3elHV/WhsiOw4IBDHONkB46v - X/fmt973SVc2EXWseksSK34qpt1M3cfZ/ejxkLMjC+AO9c3A6jMf0Tp8hsqC8eFDCDGLbgUwqCPD - b+9jlruXnyVI2L+/UzUXQE+pn98F3KGAZLdpiz4HERExL8/RXX/3RLSrKTAk4oiZOAAVHeYuXZDC - MIOZFCaoY5zO5yqVNs26yp4L6kf/+H9674tffP8bb9JpN6olt65QwGUUu1nfbGbSfIFcDQBMhgwe - GfZRJTVHXdWkchd1RV7uZisfv+ZTL33ZA37qh/ZefF5VxyNrx5f27LHUmblIgEOh6iKGIMjC1G7a - LVRVgJSSiATVEqY077rleTtaO/HRl718+abP7RedbLSLVbSUHEgKhxpUYUCGWBNH03a+EIGEmcT1 - PUsP+/f/Dg+5ZL3SEhblQFLxITWKiIiIiIiIiGhnDLBgm9HaLrBSrFNXy2rJo1aK0Hc55RSqUVWH - tktm3ocuLXU2mn+uu/Gfrnn/Bz/5vk/f/IkUZ1ZlX85Qz+gNObpoBgATBeBQFyTZSsLGUCN0C24A - TNDGrVLhKZs6BGNj61eHCHAAtz9PZJszJMOpCfy2Vz61rrL9bl3UoeKb0ywlt1s2N8NR6pNwdVFx - dUmQtDWjZArA1C076qbKKaXUQ1RigItnzGzy8fbEh69+R/OOxQccesijH/z4r7jfIw805wnqLqVa - GpEontQzBF5iDkJdorvdve97VT2ri0foHHXa41Hv0cfhM7qbiIiIiIiIiIiIiOjMKNHaVVUBmM/n - 0+l0PB5/z/d8z4kTJ379119eVdJ2ZVn+jtdJAkOftZwzgJxzVVVd123dr7uX/kEA2raNMZbzRERE - RERERERERERERERERERERERERLTbxBjdfc/+/bPpJISwvLy8urq2Z2VlbTb5+Rf+3Ld8y7f9+POf - /673XJ3avnRXCiGUdMZyvHrf9wDuKa2XSnq3AZVKl9O/+95nP/+//PgPP/eHcteJS6VhdXV13759 - k8kEQF3Xk8mkauqzvdX3Kjnnuqk3uvYtb/3btu8gcDnZb+y0uTMnf15+XJqgCeD4zn/zbant2vm8 - aZp7dN+lL0RZJwLAzGKMJcz7bG8U7czWzrPsOcvCnK2LRET3XCW028zKmLCsNyyJxWd704jOmqqq - to/WyhAu53x77wszK+tzsTlIAKAKLz14Bb/0S7/wqEc90pGbUbWxsdbECmBuNxHRGSIWQujaNmis - pIKjns9wYu0Tf/nG+0OXIrJ7ShYBHdKsFTLsq00ggErZJTt0NKuby77+aRjXeWkxSRZgIM9ckwAA - IABJREFUoW5S6krO9y45FRHxHF0QY2va1fGBT3nKxz5xzaHcLWkGsjs8A9jqAH/n/uMYUHI64UA0 - X5l3n/nIR3DNNfsve1AHdOb1QjNtO3ajoF0rOBYypjcfjXD3k8OzW9lRduxtDSGygPrwlstqy+cd - RghJVUTcHA53qArcTxsEYQKIqkr2OfbsyZX27iIKx90Qny0O63vM52FoO2Pip1R71U8+RiI614hD - HdGG0G7bdiqAC7IAgnnXN7ES0T6lzuUGDRc85pEPedYzrvn1V1xaV+snJgsQkcq969q+roC0o40w - cXUBIHCFGHzYhs3dlQg0uCGbKJqcL5J83Qc/9M//32se8v3P8hU/tLx0YnV1cWmPIeXN2G8Rh0Ad - 2Hx0RGdX6fOWUnL3GCMAM6vMwmx6yHHsD/6H/+M/nR/gs3axavq+jUOK/UmmBsD7biE2XWrntd5S - 11/27d+KL73spia2ovDykheFAuqSABiU/+KJiIiIiIiIaAfETJILDFndZJh9UUDdUcVGYpUst9ZV - oyhR1vtVW3Af9Rt57V+u/8j7P/bOj13/wQ0c0+Xc7Z15lV0sZ7cENwQA8KAAEExdYBgCvId7EYND - YOqAoMxomCAL1JHl5LzPybqJDxMcQxXQT97s6eitTx0Q+GaVUhwutz5mXk5J7zYv1x9mV2zrOpsb - o4DCFWXWyNUCblWeMQEEs3Y4Plkki5iohBiD2lTXfRkB+dOzj1zzd5/40/S6h933kY94yKMfev8v - r1LjHZBDFeoqNIqqz1lczC2EUI4SLNWnsgjldp4EortcOTbvHv0iZHQ3EREREREREREREdEZUPox - 5Zz7vlfVuq7dc87ZgR/+v5/bdd2rX/17QFZF0NCnHff36fu+ruuu62KMKaWU0ng8ns/n7i4ipTvA - ZDJZXFxsmuaueIBEREREREREREREREREREREREREREREdFpDHM62fk533Cy+TX2MsWvbtu8156W6 - XllZmbftUjOeTqaPfNiX/68/et3//rM/fcHP/sxNtxwNIcxzHo3Hs9msHK8OoK7rvu/PVtebbWnO - p7PtB6WnlQuqOnZt6q1X4AU/9/Mf/vCH/+uvvrgSiTGurKxMp9Mg2rddL7awOOoTw/DOpBijmVVV - 9ZrXvAYoeVcOkSEhYfD5n3N37F/e87jHPFZExuOxwLq2res630v/XE3TtG27dbHv+8XFxclkUt6D - VJJidz8RGY1G8/kcQFmSU5bnnO3tIiL6Ym3th6uq6vteRMr+bXtuMdG5pqy3VVVVLe+RlD5PqthW - O9Hyi+7ubjEiJTz3uc95+r/+hqqq+r5NbWqqcMqY+fTpjUREtAOW8qhp3GPqO0ntYtfiA/9Yfeba - ukuWkdyCIlQB2Tw53NTVSmSjIAscCIoM6+vaDhyuHvu4o4uL0wqTyfreeix9716SvbFLTk0dKUtv - oQmj8Wh9Mj3w2EefeM1rl6+/cSWEqPCUPQ/t5M1tp6M68fLvyQCHwzcLPxVyvbZ67Zvfcp8HPWAD - /byqu2yOIVOcaBcKhlGSW266JbgBEClB2L49q1scuhlA+8VzgQiyYuG8Q62KaYCqOdRdIArJp17Z - T01yUNUEwaiJCwsJa66CfGY27A6II4jDDBuTANGSNb4Z1638XEh0znIF4GK5JGQLxC041E/+688K - F/iQIqMGaTJSygtxFJZH16X5xU/5ur2f+sxHX//GBy1WOk85d+WGTUoAzxdKHCqlgKWAqAUTz2IQ - BIM6ylY4AKjAFKilb47cvPoXb7UL73fg6U/8nPnhenGyNtemyQoDFJYVEFNXdTMOZ+huVEbXt33N - ubuZqWpJUer73sxCSudZxj984Po/e8MFxzdGtUBgqQ0QwNWhw3vDhneBwMT71Fb1eLXW/NiH69Of - vLG8NPUAEXExBaDBFEAGXFzccLtRVUREREREREREt2WQBAHgJUJbXNUNUImh7RM8e5Q+9m2Yhtpn - C8dv6K/5wEeu/seP/MORtRtR5Tzue8xT7jwA/XAMTQwhVBUs5Nwn7SAooeBqCQ4pcdfbuJw8DaZh - 8/AbcUAMQ/nFsG0OqBRkTCxrmQb5QglMBCWKW25nXmnrOyW32zbngIYq0FZQ97BNBrEy8Qsg2OZ1 - ZKjwDLfZ6JAXYMg5m3tCFncYsmHD5yHOqwN1svV3rt/8vne/denqlUdc+pWP+ZLH3+fwJZiFbqOP - aVxrk6XL1pbE7rquc85mxoMD6azYnoJRnO0tuvMY3U1EREREREREREREdAaklGKM7t62bYwxhJDh - 7h5CDCH88A//sLv/7u++uu/dbMe53UWZkMg5Ly0tbWxszGYzAKUXAIAybwGgNNqIkVMARERERERE - RERERERERERERERERERERLtRaCoNoZ13CwsLddNsrK93XbewsJD6volVuzGt6+qbv/4bv/GpT/vl - X/7lV/z2q2I9Wp/NSvqsmd3zcmcFfZ9iHVKXm3HTztrX/vGfzOfz33jJS9u2rYKOmxHMzaxumpzz - GYpcoYGqTtrZpG3f+9739uaQzQ5kt3f928/xvuqqq5aXl8VdISnnEIK731v/Xm3bljDUEv0oIpPJ - RETuKZHVVLh7WYCztLQ0mUywuTyHiOieLsaYc3b3vu8BlPHhVlwx0bkphJA3lYsAyvk7sLVEF0B5 - B+WMpz7tqh/8v56zvLy4trYmIhoQY/y8QeBERLQjKaWFhVHXwfq0p44y12vf9DeH513duyAIsgiQ - rU8eABU196EXuwAYwiM7xWfT7JKrrsTKgUmszLFQV9HFco4qWU8GVZ7105RTU9fBLHeWqzZVigMH - 7/fEJ66++vcPpT6ru0MFIhHudyK6Gx4Ah2Q4AMXQ3d4UuiTymbe97T7/+htHF1wY6npjPq/HI0/5 - 9itARGdTcCDl9ZtuFh9CtR0IDvdTqpBySoHnTr6YXbAVeunAgQsv6ESSistwXyIiDsXpK6Ai4ps3 - giCL+/f6Z27c+t27mogopD2xCnPmNBDRFgeyAIpSCPfN3cNt84bVUTXNdH2jqcdRRcRXJ7PxwQPH - 1lfv993ftXbz8ev+7j0XiddICxpCSG2LsNOdjZRdqMHDMD4B4CUWCC52MvbGAYdbPqAYH1/94Kv+ - 4OH3u++FD3voZGEso8UNmAMCw5BKXvJydpbTQ3TXMbNYVQDKDH5d13vNcO11V//ab1ySbJ+Gadsv - 1FCTlDwAGIYfVpKgSiCUu+dYHTc/sf/AV3zvs2YH9myMGoRqqPe6ikMNLibgRBcRERERERER3RnB - 4XB1qEM250dMTMR1LDOfzTHRRct1+7HPfuQ9//x/PnLT1et+orMOY0PlEgDNQdAnhICowcwtWU4p - CCToVk1SHPj/2bv3aMmyuk7w399v73NORNybr8p646MEKUAW3dIgdquDjaALHcXWnlF0pFsdmxZ6 - zZq2l8PCcRxkfCA9bQutMtiW2F1IC9g+QAopwAIsBIQCqoCiwEKkinpmZVY+7r0Rcc7e+/ebP3bE - zZuvojKzqjJv1fezYkXGvXninBPnxtlxzt5xfl8shjsVBlcTAObLcd6qTna063Lx4PhOPzua9m0P - NAbjitrlKAZXqc9zYDnIc1wW+PFLWfambk0al6MP6qJtc6haHbBlMHkdma2dmAIxKwXFAEAVqoA4 - HGVA00JiyF5mNkgzSANkTMv913769g996vonX/YP/vGTv/0pX/WNO/TCYT50oY1lNAxDzrlpGlXN - OasqR4XokXTS99u2/iq+fuVJiIiIiIiIiIiIiIjoK1FVVW2aJsYo6qIu5upwL9PpdDQavfzlL3/B - C14QAgDEeNr98zHGlFLbtu6+vr4OoOZzm5m7t20bQqjDGHUdHurXR0RERERERERERERERERERERE - REREREQPRPy4vBC4HL0tJzIXFPe1jWlom1zKoUOH2rbduXNn1NDG6FbGo64RaUR2dKNf/cVXvu89 - 733uc58LYD6fTyYTLMPnVM+PujG+WSrrmMeCo1W94IBIzgWC2awHMBm1b7/mnT/0Qz80DEOM0d37 - vo8xothXjNaj02Vmqvqud71ryAZAFlk0R9+sD/KdJMCP/PALPZdhGFJKZta28dH996phqO6uqu7e - NI27C4nI9qn7FmOsa7u+vl4vwEkp8bobInoUyDm7+2aDVj+RmdtNj3FmVuO6RWQ8HtcM73oOdVIi - sllI1N3rftR1zTOf+Y2vec1ruq47ePBg13XuJYRQs5eOn4Or+PlxXkZEtN2II0A8p2RZ1FcQ7Qtf - PPCpT++cD2M0EloFxFCyG6Aiy4LQAkAdWsN9gWmLQ4/b3f6P3zHVMFjoTHa2HbzUkuUBojhP7j0E - jSoxtoqA2bwo1kbtZc97Xrt7l4gMBeaARne4n/6ni9cAy82z9SAIteZ+URvD27vu9Q/8dfSaDSiu - 2+a8nh6DxIFk6/sPmlkBTBcRDVvetVs6nsXOrjC81LgIFxTF7ssus6YxuLlARVXVzVHqWp1kt1Gp - JV+gAsHeiy8yFXukukvroeyhQ4dQLOCY/rrNcYoav0FEjzVFkRRDwBCQ1IoYxCAmMIEFt2gW3dRR - knXd2EWz+Wy2MRm1G2trqZmkiy972s++7ODllx7aMfE2Qi316MLxw6BfgSxGTuuzDNElwhv1AMDr - 2qhBaixxU2O8JyOZDLPL1qYfe+Vr8cVDK1lnyYoEQBc5x+IullWLKCM26JFncjRcqtocsjeznHMI - QVVx4NC+N1x9yR335ENHLMZxAzhS9hgAbB63F4iJmDqCoWsxlXTfnp3/8Md/HF/71fvbRlfHs6GH - R3ij1jQlBEdwCwZxvvuJiIiIiIiI6PSoI5bYlNDmEEsTS1ATcQVs8Nl62p+7I9i9/rkDH77qz199 - 1TtfddOd1x1M+0vIoVM04l5yTsNg8zliQMmYz0vOJuIhFtfBSi+OYAi2uJqgCIpaUksh55BzsKJW - dMvlFWquZlp/v3lDvWVFVl3cJBpUHNEe4GZb78OJnZkONagtr3fw2t24uKkh5uWtLOYpMJEskrHo - ZT268iZ1PRerbQJTmMCBYoCgaWQ0il3XxBjFtRR0XQMPNrhkaIENcENsYU2OFyDtPvKp+z/yhr/6 - T6+59v/589uuvmPy+Xund4lIjLGUsvm1wPrNKKJzyH1bJ3eDlxAQERERERERERERET0ERKSUUgO8 - RRejB6o6lDyZjIZhsIJf+7VXlZLf/vZrcj7tEhg5ZxFJKdVaADHGnHPOGUC95r9pmlJK/d9aCuqh - f5FEREREREREREREREREREREREREREREdDZcIXD3lZWVlFJUHY1GOeemaYa+F0GM0YvlnLuuyznP - ++GpVz759//zVT/9iRte9rKX3fzZWyZtMxtS1FCsyJbUbOCY9JJj/+P4WJFHrFrO4qvzqnCDQ4NY - dheszYcG+MgnP/n9//wH/+S///edk8lo1M3n81HbiTBy8iFmQDsav/mtb60/uuG4t8DWLS6bPx77 - phFg18rqNz/zm0Qkauy6djpbLwY/4d31qFEv0GiaJqVULxLZvKbjXK8anYZ66U29DGfzwpz6SyKi - bU1VaxhM/WwqpdS27lyvF9E5Vkpp23YYhtlsNhqN5vP5dDo91cSbx3UhhJrbPR53lz/u0quuuiqE - MAzzyWTS97PxeNzPZk3TbJbBJSKis2QCdW2ClFJEw9gwObj2pfd/aLy+tuLFSlaJDohAHBFACCnn - CK0dGgLAF3l36njS478GapN77v76lRX4HPMZYgdVmKH2nZ0P9+po1OdJrIMqNAEGBFj5uosulPXD - ZW1DFQrJnhxozqz/wRVSQ4MN0Jrnlw1tLpdruPUv33flD36/D/NJuzKf50bq38IgDhcFZJH/DRcA - BjFxuMCgANN/6ayYHP8WqnuGibpYsKMdjA4EzximWDuo5m6LaYsCtpiJbE5aH4mdWX+3bz4dMCBL - xAUXDG0ocHiBq6gDjpIlKBY9qiruWOw7AMzNXGLRiKYNF16YQ3TMzniVHiQRuMNNFJbWDk7STL0V - V3UVAGIutY/XuOcSPaaoL4JhsNnEAcDxedt1snqcYMXbts0pN23jEUMZVic7prN5aeLe1dG3veLl - H3nFL2p/pE2IApSj81g+MGDZptflQmuqcfATz6BNXBeHHFK2/sfyQ0FUMMy8AyTNHnfo8K2//ton - vur/3nPR7kM6mjcA1KTUls2WSxTXetgTsBgAg6NojTWuxzbHj6YSnRlFPWZQF2RRcUTP6oBHQAVB - 1FSxIt7t319uunHfB66/Ym1NQ0ipF0MXEI/ZMR2yeHuKo4gORdYmo93P/lY851vWd+0Ymnh4/8Ed - O/ak7OJQh7gvR3EFQD10P48oisC3HPQVNQBha2PgiuU3AVwMqOcix+yjLqhHWwAMwUWLntCQPcgV - qsdsMK2L3vqbLVuvHjcxDJ2IiIiIiIi2NcGx592nnM7UtZ5nK1DESkgppDLOJW7cdNvHr7/xvV86 - 8PnSrtnqfEDS2A7FUExj0BDMPYo3AWZQRQgQ1O+tuYjHoCiLJStQ+wk3OypdFv0Ai7P8Ld8+PzoN - jk7jAnFdjrWqAC6oadzHTrPl/oQR2pNtiON7AOok4oBg2YFpDqtL3DrOYnLMcxx1jEmP/Ro+AKhC - RABJKZcCACFIG6OZibm5OCABjQrgOQNu8zwLPmvGXbDp544c/Lu/ufkvP/oX3/2Uf/aMr/snF+y4 - MImFAMlxM3TAtnQ06eYKbPZ4bL0WY/FL3bKtjH2mdJa29bUUjO4mIiI6v9RjXHe4I4Tg7ozZIiIi - IiIiItpG6oX3boLFxU4eRcuQuhiLeAjy6lf9Supnf3HtdQa4LQo5hdDU2O8Trts/5setV1duFtGo - keG1eNAiOHxZa6P2LWBZLsrdVfn9YCIiIiIiIiIiIiIiIiIiIiIiIiIiIqKHmJ/iknA5WclscQSB - 5RRkUUReVUrJoQkAaqaHxpBKhiA0sVjuNHzLNz79/e+69o/+6I9+7d+/+s5795mVVsQFyVxDKFZq - SSxIQCmAQQCRWro+iCpgW6rk10eLb6iffvmlk0x+wq+O+UVZFNiy7ADMIUACBLjxs5/9wR/6n//4 - LW/N5jtWJnlI5mKeALRtm1IatePZbObuo9GoxukdsxRZbNLHlEUR8xOiF0opk9G473t3j7XCV9Bi - nhyH7z94/Yc/bEAMMZUc2yanVCtzba2dtJyfagjF0tHfGlrF937388ejFu4hhPm819AYRGNzNCHh - 0WUzrvvEX9K2Uy/D4Z+PiB5NNi9F3GzcmNtNVHeHYRjqj/P5/IGn3zyatlIEaFvdsTq5+r/8l9XJ - CCU3GizlRpvc56CNFZykgK+cGEVGRPTYZWK1oTyuo2Zr98WiS0rUAFHNfR+auCMV7D+y/7oPXDLM - RV0NjgGqGQhi6vCcY11CbYu3ZPeu9lj/yCduv+Enp7HLqoKMY0PXTlm6/ZG+t+X6KLColi6OSc4X - zvpJLq0CDrcU6iY6sxPYox9MpaZrimMUMPTD7tDe8/e3zW/+xM5nftOGt9DGAopm02Ka1RWmjUWx - 4AKDuxjgCjNXV8DVhBnAdIZMagb8IsZ1EabqakAJYSh5oqGFWk7FrUAaGPrDa7fduid7q20ZMgQD - TNqguQSHmm6md59ZrVYREZVZsa5tdDAJzXpOOy/5Gly4dyMEQIJBoFayKARucBcpCgDB4UB9rPAo - 6mYpdGtto1dcMffciNfG7tjO21MVeznt40lZpnZmgVku99+7WmYbeSyhhQdA4DDJXmNxPTB9gegx - Rd1OCF3RzU/w+u9mvLcAQdSHrMBgJSsEwfoSNcwa37ez+apv+Jpn/O8v/uQvvHqnD13eGCugKBkA - VKJ5CiJovAyo7bIDBsmqgKkdc0wocK0Dg7UW1jIcaPnYBFCHS3AUa4Ey2zUfZl+46dbffs0Tf/Zn - Bhn6MDYNcG8yYpBpnrdtKxlwLRLUQ/QEQREUtSLqilKbexdxP9VILtGDJLBg7oKiljVmCdG8yx4N - GRLQeXYN3o5id2jfji/edtNv/ual62tdKeIFgEo9PIcXOAyQIKj15wWQEAqa9biaHvc1j/+JH5te - tnu/hn7w3e0enzsiTB2eHOaGIlpEHbBjs6POLQfgg3TNbBDIGD7LDu0wDBgpxOoxmzoMroC5LPKl - lqHaAniN1fIAKxB4BkRbk7b3jOiudmLX3AMwBCzPawymgFjjgLfu8DzL46Y195KHNgYzgzC9m4iI - iIiITqnW+gYgIuYOh6ryq4h0PtgcfVieYqMOIZiYmcW2ATAfBlUNIeSS3L0NUTWWlIuKdpKa4X7f - f+u9N3/wc++/+Y6Pp3Ymu/tkyYGmQUqDBAVQUEr9rpovvnYui8f1OgWgfpnthF64YzoJfctvTrEH - bZ1G3CDLUG0AbgZAoL7oGFncL9OzT7w/kZ3iez41a3x5b5tzXr7UY9fwmJU/2Qy99nS4AhoWvzLL - qBHmonXr1U1ZO1frZObJtEinA4YDNnvLp1/3l5/742dd+e3f+tTnXja+wo4YQnCXAhFRjZJSMs9t - CGpFEAwwUSBDXL2ORytg8AiExbZyN0CRjZ0hdLyjbQi2jGwuP++kDrH6wiO/eg8ZRncTERERERER - ERERET2Mapx2zrmUUorEGH77t3/zJ37qX73vug+NRu18PmxOeUJu94OyOUxRk7/rTOoYRt/3XdfV - aepkNc/7IXhVRERERERERERERERERERERERERERERHSmTitqWhxp6FW1Vf3Jf/Evnvcd3/Ha3/zN - N77pv230c3N0MfTFNAQrBSKwAl3Wyqnl75eh3Srqfu4ylv2YKlgOFEAF7vjUZ27+4f/lR//8T/8s - FetzWhmPY4wp9TV+cjabxRi7rltfX2+a5lyt/vlmM727blMFXNA17Xw+F/O2aVwEQCkluWvbve0d - 1xgUcCsZgOUCkS3l046pI+ZbA6KCoHgT4Rn/7AUvaEPM/SAhqqoL0pBD2wiOj1QnIiIiItoumiak - VACI4A1v+L3LH3ep53SuV4qIaFvaLN7stS44ACzy2I4royAOdSQvMUZ4Xs3F3vP+XfcdnJi7QeTo - E4MfnedmDPZWjeGCWdkjM/HZ5jTHLetch3Y/UE/g0Wlsseab639a/YcAFGab5de3KAUKRMd4fePv - r/vAU575rNlsJqutururSQLcxHTzD+iKrTXrxQBWqKCHgB8bJSsOiLpAY/SCXLK4qGoQDYNh313t - dBoNikYEWXJRg+Vmy0zqznK6e8riue5wBECsAMhmGHXthZdAY1aEGt24bIiwzLh1qLhuRjW4QKyo - RrMwwLRpm4v2eohuLjg+yGHzdS/mdHbEoYAHVUN/4D7xLGXw0AIAtEaDLhoTMZwyNZyIHp2+YpTv - 0f/3Rap3UduaWOOi5jaPek8XL3vmNz79J3/s1qv/8OI8dF681HAcEVUt0TxrBhQuMGwG0gDLnJst - rbSd2C7K8Qk3KqLiVlvpFmXHxuGDn/zkgT94094X/4TA7uv7Zjzx4nBpoCgGwBVFgjvUVGGyaKSX - o2cuDnVxbOsgETo/1Jh5IBggvrg5MJSysrr7yP37Vjtpc79j1u//gzfvvfPunUOKJysopyLuXqOs - gircrZT1EO5dGT/1X/24fc1F93SaRCfdRNfNHYhmYoJcFABMdBmqZCamrufDvcAQNBQbtWPkWe9o - ah63wPyUpxMugCmwJcYbCBHF4DUhyAUmjWVYFseDXx/UxlBQYJAM35w9+mHedNEFfUbbdgHqeRCI - Bx4yERERERER0fbjsoxndgUgphC4mJk1XZtzHoah67oY4zAMlsp4PDKzHHMJucc8NfO/2/+5j3z+ - gzfe/tE1PTCMZtIlE4dCHEOGKBZn6ccs9UxW9SS53SfMR06Y8miG95almxx7j1PeP3jLZ9lJ5vDQ - 9yweu0m3zN/EgEUCuguwY7g33X7tp//kE5/72LO+/tnf+rTnXbLj8sP3H4zaNRL7WZmMuyBNHnqV - mFLWcGJkuQCqruIAVOv/ihng4g/DS6PHim3d485+QCIiIiIiIiIiIiKih1GN06652rt27TKzvu9f - +9rXPuc53zbvh65rAMSoIg5A5DSH9YD6rDr/Gsvdtm2MUUS6rhuGoZRiZnUa5nYTERERERERERER - ERERERERERERERERbTuj0ag+mM/nl1xy8at+7Vff+953f8/zvyuIlFLgrrUYvns3amEZZjCDOQAV - BWBAcXfATqjJ9AjUXZJTJJW4o4khAzfceNOP/+RPDjnt2LFzfTo9cuTIMGR3GY9XYowppZRSCKHW - QKcHYGZN04jIMAz1WoZ6icGb3/xmAKoKQETqVQYPPCtRBRAgMKhj5+rk2c9+ds7Z4Gbm7rxCgYiI - iIi2tdGoFUFKpR7YvulNb7ryyitTYm43EdFZqV1PtozUVYdBTRY3QINrYwgOSHCVVgrWD/3t+z+w - ujYfm4Ragd2hbpvBky4wOXq/9WYnu996O9U0j/D9qW4PsP5+JpUnfGvP3+YiJIiLrxgOXP9J3Hrn - SpASzQTiqqbBJNiy/1BRFL61JL1rMJUHkQNK9EAWkQDAMvagPoSXJgR1mFlRmAYAagVfvrNNuTOo - G7woFn3cwaCOmt99FntK7Ur3WAMnxHov/Xh0weOvgOrm/Gp6QVFk0RqSsGVxNQkD2Q0qou7uDlx8 - 8aUhtsd1vy/Wc9EN7w9Jd7ws4i2lhR++8254cHeoYJnqXSvO1+70usWIiE7kYiZW1LKaqTVmbUER - GBASdsg4Zc27djUv/F5/zrMOreyclQZaG+FipZ47ixsgSIKscIHAopVoDpx2Ky0AigWX6KoOixiJ - rN529763XTt/97svWDu8JyDn3oPqaDSfDyE0RW0I1sfFbQhm6oAHNzELvmjJy5l+XhBtUZOotb7B - uuKtwRRDkCQ+t3mzI4Yyu7gv+97x3jv/+oaVaYknie0GAHevg7AAIFKPIvLO8eU/cE5SAAAgAElE - QVQ/8Bw8+2n3xDJXn8/nlgsCkiURF3EoTJGDmIqIKxzqUPfz4z4CMI9H1my6riojIAakHnWU+cQ0 - nHqIEpabyLfspP0c7ght6GIXvbTDsHdwzEvj9uDXR8Sj5IgUJavUk9ECNVGMQxiHpu1WsjQuI8jY - Sqc+qglnRERERERERNuIidVb7ejDllPsdtT1KRVY13WWS78+b6zZM96rQzPMh7XhyHx17a7mi390 - 49W/c91vfPDL1x5q7imjPjZAgm8gJIy8CQY9Rf8GPSJingX3Jk3md/rfvu3mN/7GO17xZ59563zP - GlYNwfesTvLadHrgUCyh9No2qwAUBaih7g28g0e1KA6BC4qgmGYXy+pFTjvgnMi3dWT3UjzXK0BE - RERERERERERE9GhWa12FEEaj0b333rt3797ZbLZrZfU1r3nNv37JSz784Y+FIH3fq6q7qTallNOa - f9M0KaX6rFJK0zTDMNT/EhF3L6XUelh938cYWRuLiIiIiIiIiIiIiIiIiIiIiIiIiIiIaHvZ2NhY - XV0dhsEBhcyns6c+5RvecNXv/dX1f/3yn/8/b/3iF9VcADj62bw+RUQcDkBVxaVYMXgNNZFHIq37 - QVFFsgJAFO9+3/t+7ud//lW/8itN03ZNdPec83Q6HXej+jjG6IU1wI6nWxKZ6lYKIczT4O6hiQZ3 - s3vvveemm24CYGYGRA2pZLdTbsya7V0rqdcSS7ng+c9//mg0StN517ZWrLhFkRCC5/KVQsCJiIiI - iM5HfT/UgqJd1/7yL//Sk578xLaLfd+DB7hERGdE/Gg5eAdQw2L9aCi1OKJBHdFQS4FL6ndZWfv0 - p/Mdd+wuHhwSalz0cqauLnY0RvrBUYfJ4v4xQmAO3Zqu7QKDAlB1VU0p7Rqv7r1vY+2d1+34tz+1 - 33pBa4C6wmttaq3diwY7LgJcHMHhsrUXiug0LHfGmoZoAEzMBQr1XIIGd4cE1ZA9qyMU37j9rtXk - LQAvhgKRiEUzshkBfjZd3LV1iopSoIIUZZ/4hVdemUXVIXB1CAziJmLQ6FvixgW6bPEWc1sIsnuP - TlZ6HOjUwsnWzzeX/lBQSOMyvXs/hhLcCwpEa0q6OGQxGsAQSiL6Cmp6d43RFTdXANppm6Z9260e - tLlJeeq/+defv/3QfZ/67KXu3TDAYF4CVKUxT3BxKQ6YQIFFYPaZrQxKQBBEwWBZVpo4Qg733/eZ - N7zxmRft3ftN3zhMJoPlwxtlvGNnKtkVLuZSsqjA4O5u4hocMKjBgCIoUHhhyS06W641kj54iaYK - mEgRaAhDP53E/kIYPvnZz7/17VfM8k4Jcopx7QJEWR5bm9Ufd+1aHX3fd2J+6HJpLndgKrCC0MES - 5hmaUfuwXABdhEyLPWRHFWdvPsOBw1872TnRNZRkgCcEQduKDccfFfmW1a4nMgYAEgA4gsIdlkux - AjS7mslO7XD/oR0h7pj3D3Z9DDBADFqADDi8gQ+AQtMsH5zvuugIQm/rbjIOoe2anKYPxYYgIiIi - IiIiekS5OACDqVhxldppAO2nKXYNLJuVrmtDp8O8rE0PaRfialiPsw997v3v/sSf78t3xF2+kQ6P - Rs0wTyFpGzq0cPdUvJiEIBwfPFdMTGIckEyHZkdoVO9Y/7trPnnwk5/96A88+4e+escV/Xyya8fu - YOPcYzJZWZtuxMZ8cWWBAmpQFRgQ6ttk8ac0A4oCLvE8uZ6EthX3bZ/fzehuIiIiIiIiIiIiIqKH - Udd1KaX5fD6ZTC644ILZbJZzLvP53r17f/u3f+vFL37xDTfcBIEq3KWUtHyebrmvTj5OmVJq23YY - hhhjzjnnPB6P5/O5u9eru9x9Y2NjZWWl67qH9ZUSERERERERERERERERERERERERERER0cNhMurM - sntpR13JrqqzjfVuPPrWf/zNH/vwh37396569atffWR9CiCVIoLiEjSYmbmlkkUEIosUZsHWcjkC - BeAPe12tLfPfUoy7GARog1oxB37vjW98+jP+0Yt+5EeHlLyU1cnK4cOHs6QgCsFmbrdsVianY7l7 - CKHPycxGo5ELhn5uqu95z3uGMgBa09wXBZP8QaTEiMBcAAF+5IdfOAz9aNRGDamUEEIpBYCZaWAA - DBERERFtP+6IUc3spS996fd93/d1o2Ztba3rGtg2rzBKRHQuSE3nBVxhQDAFoI4iAFAUDq1xiYvk - XUGyvENkvL5+03vfs7OftZ7dvWS4AoJlZXnAFWJ1bnA9rjNDfbHo8/z+VE46/XKTnl5/3dalLOLS - 62NzdStAW/LjvLvz/X/95Bd9/+SSC+YaIVFq+B/gIsun1OTNGn+uEKkJxObsjqMzt3hju0LgYosg - CSAKYO7FQghFUMza0Kjh0BdvXxmsWabIq7s44Ed3kDpXE6vvYDntw7calykCh8DauK+N3/CEx6cg - i1mJAQ4xQ+OiLsv0x6NFYBQoImJm4h5UDUDbxgv3Tu+8K3oOZrKlE9u27D56dBFnsOb1uXAH3Fpz - PTzFoSO6d4ehAI3CbMuG0mWTS0R0Ur5sixRuAoWYAK4ukk3aZrS20a/svXSqh5/0f/zMJ37xl7sv - fX7PDG0TpMDMg4h5EPPo6mpFUaTGwFR6mrk+prXBcoGrlCKSGrU9ZnL7Xbe85nVP+U+v6qz4aFfR - tneLIcLT8tjSXKwuLMDUBG4KCOCii2FQtod0dkwiJDeWTAAxW3ymq4h0QDNdD/v233r1H15696Hd - ydROL79+lNL6L73yvgg0474vO3VVkg/D0HWNBXex4G71wAUaS3QgBzM5X6Kzolk7DP2X79hVDF5C - HV0OyL2H4ybdkjheV99kcchkrsFNlycyQaAu0431L13/wckdt6WmKQ96F1bXWFRgkKzu6gZvsoyz - hKzD/tX2ih954WXf9IzDq+MjKFnkYL/WhvYh2BBEREREREREj6ja/+aupQBAEQtAVAsCRGmH3BdP - bSPTnJKVyQWTabvxib//2AduvPbzBz7Trx5Jk7UNK90KhnnyhBgkapOt9KX3CO2ClXyuX+Njl4uh - 67WJqcf6NLdNaduQ5c7b0/7XX/P5Z339tz3vGf8cITRpvDLauTE90gWFqQuKAKLAsgsLKApd5na7 - wAXKcV96DGN0NxERERERERERERHRw6iUMgzDzp07+76fzWbj8bgmau8/sG/37t1XXXXVi170oltu - +VzOBkdN2j7dRdSnlFJWV1fX19dnsxkAVa2/35xnSklEYuTQABERERERERERERERERERERERERER - EdF24u4oLiL9dJaz7di1azablSHtWFmZD8P/+i9/4sde+KP//j/8v69//X8ugEAMbiWrqGjIVtxd - gsKWSUH1/vxIowtRh2yjJg4pQ/Bv/93PPP7xj/8fvvmfTPu1Usp4PLZcSilN05iZCAtFHUNOyEwS - kVKKqhp8SCm2rcb41re+VSQYanI3ipV6XYP5yeu518sQREQhpRQBvurSi5/+9KeLiKqmlNw9hpBS - Mvemafw0q88TEREREZ0ncrYXvvB/eslLfrpYms8LYKrK41siojNT02EXNb+XqY2y6I1YJHbXkNoi - cDF3v0AVf3fb4Y994rI8C55tOfmWXMVFxu/ihxMXuk3uT+V0p/9KrIZ2A3BoERFHdIGgDbA8jE3i - vvvmN9xwwXd++/62m8YoWzI1HXCBbMkaNwEcx4ftEZ0RcShgXqPizQTqFlVgxUwkBkMRkU4E0/nh - 2+64rM8Kh4ra4unH9WWa4PRDYZcrI2IOcQfgjqEJuPRSXHLxoIoawylYzlnFwmbWOMTgi2mKiGos - xQPg4u4ClT1f97VHbrllMpR266s+dseuPyrOwiIK3YP7uB/w5bvjEy6HuIkbRB3uWhsEIqIHwTbD - thftlRi0KVEGg3TjacndrgsmX9v9wxf/+C2/8etpdsdex1gkowQIAIGoixtMAIFJzTM+E6IwMysm - CBFALgZE84vyfLjjzo++6tef9cpXTMe4L/jgbijBER0OFFGBAsUFvjictNrOL44l/Qw/MogWvGbB - qwkAUxR4QD1scJ+UssflS392zcG/ueFpaEJKjiKnGIwPANytHm6ohlKKuxw4sHHfvp07Yp6WHUVX - pVPXvgyuLl4ADw4TFBG4NhYBJDVTq4PF5/y+iHrTXBq7Nsw8GxzFEQVR6mFJbVxs6xcU5JjNo8sJ - oAIXlFLPCvMkNNYPduuXQj9XPNjXC6jUvV5ycG+Lw+Mg46yqnRwa6cp3Pq/Ns5IsNeiacVC1Yupn - dYBGRERERERE9AhTh9WxF6iLAfD6r/jKZLK2dqTtmraJR/rD2nlYDbfPv/Cuj1xz85033Te9u6zM - pjhs8Nhgto5dI9hIgoVhmPeWvRFtxeGw407h6RE1Lw5PTdAdO1uFlNQX8RxswPR9X3jXp7/4uec+ - 4/u+6QnfmrxfXV0pfVEPgIpDYSaLfpLabeuoI1wKhyx7Zs64I5doW2MnIBERERERERERERHRw8jd - J5PJkSNHAIQQzCyEUEq56KK9aZhPxt0fvPG/Pv6KK8QRA2IQWXzR2B7k1/1jjCmltm3dfX19HUDN - 5zYzd2/bNoRQy5M1TcPcbiIiIiIiIiIiIiIiIiIiIiIiIiIiIqJtJ+fc933UMGq7yagTL0HEi+Vh - 8JS6EJqgr/yFX7jxho+94PnPD44d3UQAd2tCOFk8hy6qL53ryjMS4O4OzFM2IMQ4GH76pS+56567 - V3fuWt+YplwkhNi27u7u6qwSdRKbf8Waty0iIYRhGOr1C7ffcccNH/94OTbZxn3LdvQTc9wNYpu5 - 3Qp853OftzKeBNGUUikFKmZFVdwsBuY3EREREdF21XXNhz70oSNHjri7qq6urvZ9f65XiohouzpJ - Rqyri4qrugZHsMVkKSApOsVoOj38rusuu//QOM1NskBUAmomXg35Vrgs+rCW0bmPndvpMhckPSYk - 0AUuIvAQIGaKNEF/23uvC/cdHOVsYg71uoFdAYibwNQtONTFIb7ITSY6K1tiUzc5xMWhIgoRkewW - RJuU8p13+f2HRlaCed0XfJmB/UAzP70VkgJAIAJ39NCLnvY0rKz0cRFuAaCouVgwDaZb13xzjxCH - AwaHuLubABL3XPnE9bbLenR6qX3aYhBzqXulQc5qx/Ll1ohmq4bhi3/feQHMxVxgi6XAlo+JiE6l - ZnUHR/BF0xEc6ui96LibWU6DjTE6sjHcuTIKz/2WC3/ge2ZP+NpZaMw8w4sWQVEsErIbWxzvmSzS - X06riXZBdnf1gixw3RziVHhAc3ht9WOf3fe6/zqZbvjsUBuKW46GaOiydlnaomoC16KwZVKwuG9Z - DeZx0NlxNWgKloIBUDc1FUdw69Y2cP0nD7zt2sut2PRwDCcZfN0kIm7LI4E6tgukedmloVvL45nv - LKL9gDK0jQSUTjESH4mPxSfQsUgn0omswldNdricD/c7zbuNDRw57LkXhTQhCnJBOXYzPIijEk0F - bogNmkYBk9yPZ327dmSnncbrncBDzNpkbSxEDw1izE0cOs3NfD0MM2k8KXKjJcbsYPtARERERERE - 21RwU0A8wDt4Bw+QDBmG+ZrCYmiLaO487cw3H/z47777Nz5w+zW3yy3r433zsN6NmrFrnGNHlGED - ae6pDDkkGbmOYLBZX87163usU5EoomZpvR8OzUPyCN3ozXbGfOFw3+rf/9EnXv+mv/6tL8xvPBQP - zJtcpKa5A2KKIkiuybSYlqwoChMFNJg2po0pR5DosYldgURERERERERERERED6NSiqqurKzknMfj - cSklpaSq6+vrqmjbdjweX3311U984hWlIOfTvrwq5ywiKaWazx1jdPecM4BabEtVAdT/Paa6FhER - ERERERERERERERERERERERERERFtByGE8XgMYD6fq+p0fcPMxl2nwMp47KUoBLlcevHFV7/h99/5 - 9rc95conTpqRApbL5hzO6Ss4OTcUcwBNG0UwT9kEX7rjrle88pXT6bQZdSJSzACklJqmOdfre17b - zD9wdwkqQUeTyayfv/+vPrAx9A53dwMEEjQAMDv59QsO2DLnW4BGZRz1u77ru5oQ6sy7rosxllLq - X6QUlmYjIiIiou2q79Odd971Uz/1UyLi7vP5vG3bc71SRETbWI3Z3mTLat/BEAzBoUARDAGA7SwZ - d+//0nXv++oYtbgJMkxc1LZG5KotYhcX838wtwc/5fl8OwM1o3fL9quRmQ6HDXAgNBr62f0f+wTu - vm+SU3AzsSLL9O5FaLrV6HRxLIO967wY4U0PCYXrMljavGR1hBBUA4BGvJtOp3fc0aQ81iAwuJkg - G1xw0h5uPdMaKvUNLQIHesOeJ165HpvhaOS2ucCAYBJcjyngLobFzqKAVGYG1xIbfNVXHWmbfNwz - fLGeZ5IyfgoCQFzNV4vP7t0Xc46o6d3HLKPU9O6HbLFE9GijDnWt4dYmADyYBTfAN+ZTaWO7Mt7Y - mMXRSj/u7kC59EU/Gp75jEO7d6+30SIQzcQycp2ZOILVeUJN9YzScDWKwhwZ8AJAoBFm2NuEx63P - 77rm3fe+7R1XQHf086YMgAEiLsE0mAaLtdEtIpDFoWlw22yHic6OOqQIivrmkbE4FD7p88df/4a9 - 9x7YG128iNoDvOPM3YEgIkAxc3cNoVXR3sKAnd1ESm4EXtIw7xsBsmkGFrcsKaP0KD1y1pzk/LgP - Oe/QOIG0bVPM5qlAEQCFbgnsdsCOjmijnvWoOmTLftqIABgScrECKDAKugoNp7M+kpOmjGzI8AzL - KAYrA0rfwidtg9jMzUwblc4GRxZ1RvYQERERERHRtiSu6lFNxVVcgymAgjLe2a3boTU9NJ+sX/vx - t//uO173xY1bZqv3z8dTrGRtSp4NSNJKtN6bUZAWpQFaGJB7k+KTKA/huAadPh2FDj00YbULkw6L - gbKIaUkbNqzHjWHn2k33fOTqd7/+ulv+4vDovmk3TbEvoQcKYHXAt/4RbTlmBEBd1VRNwf4Qekzi - +56IiOg8UjO0lo8X38M6h+tDRERERERERGcvhJBSyjnHGGuQds3SjqIBUoa+DXrRxXtf/zuv++qv - vnSzJ0CXF2LV+miqD9Sf70sAamg3ABEppdRUb1WNMdauhs307s0HrJNFRERERERERERERERERERE - REREREREdD4TwEpxs7ZpamRyVClpEEdJGeZNCApRAFae+Y+e8a5r3vlbr33N4y651L0oEIOUlDfn - FqIu8kVEANQg54eV4wHTQQRDyuY1NBoOvOVP/uQd77wmtk2fF4ndMTbDkB7u9dy+al2ttm03NjZi - 2+ScRWQYBgT907f9mdeSW3AADs+2vIjghD9M/UlURbWUooCZX7B7z3f80+eYFQCqmkrOVlQ1pdQ0 - 0YyXJBARERHRtlQv6Q1BP/7xT/3cz/2c1mNgVXc3M1UNIbh7vVz3ga/zJSIi3wzqdlVX9UWMtAvc - rNEwia0NCTAL3kcLYns3hrUP/k1z/6E4DFBYc7SXQk1PUoFRUYDsyI4CmKAosqAoisLk6M3lmB/P - 81sBXOGK7Eh1uylczzC9e5M4YqnV+QWxASBAwqCp/zpt9//p25vZbKSeyhBGbREUl5rYHRzBrIZu - bm5PorPkx0W/u5qYAzHGPKQYm2EYGg0jYCWle2++uTV3MwAGmMIjANix89CzyGEdLHcx5LJodky7 - S5705I0mZhWTRTh3EbhocG+KBZNaxX25ClbDHeuxontZ9Jq2EU94/HzSJajoosbLAEgI5ogaILaM - RQDOIsm7ABIAEbXS9Gl6192x5FgMYkXNapOIZSN8hgshoseIeqqrLrI4DHBEg1pquzh4nnrCStOL - eXaP4yNh9PUvfUn/D550/94d1sb5HE0rGfDa2DjgR3N6/QxaIEUpHhVB3CxBYYpcEBxIZSVg9fCh - L//+m/E3n77g8OGxZUcpIXiIQ7GuGad5auLYTYvUUVMTt+AWFlW27CssnehBq5+w9Tg5uK3deafc - ve/CZNLPQkTO5QEqygsgy+JvdQ/0UmAeXTqo9/MALTBVHwVIMZVaph4KBEEQFzEXuLorIOfFPcTd - sovlnCwgKrIvts9yOPpog7DIi6rnGqjbEGGZh77YMgoAKhDA8wDYaa2PCFoLoxJGObSG4Kgp4RAx - c/MwTabdiuUmpnbio1FphFFV281mBUUsu3mJiIiIiB4mIlDVWtC79glvLe5NdG5p6ODqJTeibcFE - OslBvfFGD+X7ZW9/d/nbN/7l69/x8T9ea+8fxrPBkwJSINkajYpQDN5oj5IiUsAAiKADutS2Q6M8 - Xz53gpn0wxgherRBiscimmGiCApxiMGgqUv32O3Xfv6tv/WOX7k937IxOpBGs0FnAmu10UE7aYNH - MXF3V0dQP9lQPNED2Pz4M7MQwnw+39a9MWzXiIiIiIiIiIiIiIjOgZRSvWh/GIYQwhOe8IS3vOUt - l1yyF0DTSL2qH7Aav2122l/93xzFV1Uzq5HemyMcmwnfpZSaDk5ERERERERERERERERERERERERE - RERE21StcC0OcQT3oPje7/6ej37kb17x8//XBas7vLi6d7EVCBxerJbBjjECKOdZ9LIBDvzsy152 - +x13dOORq/TDYPDxeHyuV+18l3Puus7dDW4AVI4cOXL99ddvnebB1At0M3cXIAYNwHc97zuboDAW - YCMiIiKiRxV3qGIYLEb86Z+843d+53cmk9X5fN62bQgh51yv8AVQD7PP9foSEZ3vXGDLILqqCAxo - Q7Q+9RvTlfFIRMxyoxL7OY6s337d+3e525ABuEMEhqP9VOpYJCw6ABSDCEJAjAjhURXNVV97jIgB - IjBDPv3uuhqMJw511BBuBRQocPPigAiaqJ0gHLj/vhs/g8Nro/msU8xmG+4eY4yiakXcZLHxFdA6 - WwZd0tlbpneLuMryHZtzrhVRSikqHmYzzPqDn7+1tVzfd8ckXR+rdoafmVYj3AXIQIqj0cWXhAsu - KF1TFssyEysKX+bZH12Q+OYOsXgVKgBEXULsJaBr2ssvH4K6e3EH0GBZ/6XY1qzxM155F0AxKyil - tCptLoduuxNr8wkccBOYGAAFxAQ45QYkIpJFXK46AFdAFq0zaty1CbJLNjETBIMjHAqjjcnKU1/+ - 7+64aOd9ghAxmDcNIOZADbxwg7iIiMppDyttaW83A8BVLKg2yYDUX9rGxx1c/+gv/ir2H7p4SCOz - +TAr0aWJs/nQjVdns14kYDmiVTOFFQYxZ3tIZ8HrJ6x4cAQTExTVIuqC4N5YGeU8LiVaPZA+k8/f - RaQ3YLI4cBJH8MUMi6Lo1n3k/DtCl0UrYpttiW8e+9R/T77Oi5MXx0m3mevidlrqIVw0jYZgWreb - ugtM4YAVRZF6wrTYqhwHJyIiIiIiou1oYz6Dymg0Kv08QKZH1sfdaJbnM52WPcMHv/De37/2dZ+5 - 96P96uEjcnCOqQLREGzZ9yZ1IMaKoOiiQ0MAcY1FN8+p6VxRmCzHy3w5ZCaO6AiAQgCkkGbxyH58 - +bb02f/vbf/x0/s+NqysTbFmwaz4pF2dHxmQJEAU5iWlMhgKhH9bOnPb/Ut07AkkIiIiIiIiIiIi - IjoHao2z0WhkZo3KdO3Izl2r/+0P/+Cyyy5MyceTZvlVYzvjaG0RqVep1Tm0bRtjrL+sGd7z+VxE - tvtQBxEREREREREREREREREREREREREREdGjmy8KVqtDxbfecOINwLjtBLY6Gf1v/+al77vuvf/y - R1840lDS0AhiEDfrmlZgOfVBpYln+JX1M34xXzE+2oADa2u//KuvMiyCWwDMh95E7NhcprMJaHn0 - 2byIIMZYSulz+sBf/dU8my23+mlsKncAUQOAH/j+729CDCdLxOL2JyIiIqLtq4mNGZom5Awz/Pp/ - eO27r31v03TT6TSl1HXdMAwiUkqZz+dm518kEhHReWaRyusQh4n6MvUZuUTVICglDWVom9ikcmEy - 3PiZjZs+PRIxIAqCIYoIHFKzFQ1AcA91vo6oiKLqggLL8AItiA4t0FKftLgt57E9blFEDJbhGWJQ - lyjS6umVS3eBQeEaHI3V3DsTmAEeQxK32tmTbSVgZFbuO3Dwgx/aPQwrgiCLriQbUhDFMi9wS9yg - nY/RgLSt2MneQvU9pqrFLYq2QDvvce996UtfbopjGe99ghrsKIuIxzPrnBTPxUwwaDjctHuufBL2 - 7EnAMaXaPQKqboqCLf/ly1wEuC6rtZiIQHUuwKjb++SvHxrxqAVwqIq4mQLuLsv0zbOkIdTO3qg6 - Umzsuxf3HxzPc3BzsboQcWjtEz51/DkRkVptSLWm2BZFEZi4wKNZYxbNBBbcopmaSjdZW9l5+MLV - Z//Szx+66IL1th1crKCImmCZfqvuUtO7T2tlasMlW45D1BEsqMdcbBxblNKmfjJd23Pg/i/82n/E - 7fsusLwyaeZlvlHmcWVc3IKEIHExQwBYvEITFMZx0NkpagC6jKYoXLJofVMFt+BZUQQeHOEMP+tr - 0LwUlRqUZQJdDu4akAVZlkO9rnBVU/X/n737j5Ytq+pD/51zrr2r6vy4P7qhaWia34g+G2jFvAjy - NDIMMUQc8RljzIs4RqIYY2I0oo6Yp0YbFUSNMTokQQQf4FPb+CQKKBBEkEbbRtAGmiDQtIBN9+3u - e+/5UVV7rzXnfH+sXXXq3Hu7+57bwP01P6NG3XPrnKraVbX3rrXX2mt+QX5BXNPKojoWPw/pX3vv - iNP+Bt4ikZvgtDKUvWwy1XAqWzzgwZYHCuR6cAMCA41ZciU4KIN6UFbuVXKRXKQ/Y3s1hBBCCCGE - EEK4kBlh/dD6rMx3drcObazrvD+8udH5LB3CbHTy99/7+v/+J6/78M5fzNbvK5OptyYiSdEWiIEd - RqZsyma1i8OGS+2mMy7G5Xy/xAAnMy7KRbk4GRxkzKVJpUmayJ3FaISSsBr23jcAACAASURBVE3H - 7+aP/cqbf+F3b/7verif8syEdnemh9eONMZjklaEyQBVLpm1kN/PSFwID+6iTrSIsYIQQgghhBBC - CCGEEEII4Txo27bv+67rJpPJiRMn1tbWNjc3r7322le84hVHj27MZtkczBCRUs5lnLJpGnevFcpU - tWmavu9LKTW3u4Z5j0aj+t/6ZyGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBAuATvbW6MmaS4M - fN4Tnviyn3zp77/pDV/+zGfBHOqj1FgpAAjk7rnk8728pxJhA37zxhvf/o4/mnXzycZ6Oxr5RV3j - 53PFzNydmQEw82tf+9pRe8BodoKktPzflYcOfckXP0OIIqowhBBCCCFcYnLJwpKzAmBG06QXvvA7 - 33PLe0ejyWg0yjmPx2MiqtN167TcEEIID4pXUtYqcpBbM2l2+xkRGrO0NR0XuvsNb7zGLeXCktiR - HHRK5w8ZwxjLHgmGOYzgIIABIWFiIRGi1Ws+7ZYL+ZooMcAgBhgCc3ImOmC9aK9Jl0OS8WqYsRMc - zAIGNIMN601zhPGhN78VJ7bb+UzgIgIzK5pYfMjNW6ToLULyQjh3ZCCvaYhc1y1nBzsBLAbS4qOm - 5aIbVnDrB452uTVbroT7Irr9M7My1lIsRMht+nRDR57xRWiTmS32YOZUM7rJyPbnOA55lEO+LJEB - ZqbuJJyBbjx65HX/WyesQg4o3OAGyEp+bX0NDyUOwd0bARGs9COidUP++CfHsz6ZLd+fRWhlCCE8 - MFvdwdb07rqDYngyb82TQcwAGHFXME3pvrX1/tpHPeNF33PH5lq3ttE5lEil7niGAF+DuQ2Ru2dv - mde7dwtqw4/JnIGkuu52jYi+74PHXv0aObnTHj++2XA7kpO7x7lJo9SgKDljGQIMAEMIjUUUTXhI - HDCA2Zk91a90ZauHLXVtr9sAn7YmnwXzYRUd7llbQuT7VmUjkA8HSstQ7AvhehU56qa2F9RNNrSv - 6musL8pPOeSxlWtg/zFI3XgPtDzDcQ3ZcjEIQ7D6snVX89JBCrhHdHcIIYQQQgghhItQ18+aUVrf - 2Di+fVLWpEvTbdxzd7nj9e/+9f/5vt87pne2D2u2NU+zjtaTmS6HEY3gZEbmBHIW45rbzQY2GJlx - KVLiePk8MoYuLlj2jXhiS/AkzERkVhxFBE0DapAnu/nQybe85w2ve9Orp2nrpN6HNVPOxOZqVEyI - JRHghVT5wP23IVwa03kiujuEEEIIIYQQQgghhBBCOA/ms1mTUj2x/8iRI7u7u9p3XvL1X/S0V736 - levr7WTSmEFVARM58In/Oee2bQGklACUUiaTCS1mcxGRmdXKWcuyXCGEEEIIIYQQQgghhBBCCCGE - EEIIIYQQQgghhBAucIsy0/d7AbCxsQFThpOq9f1a215/3XW/8Wuv+5WXv/wxVz/CSna3RBByc2ua - 8xFB56ddVn6jcAWU8dKf+ikD91m7rksredJYlD4HLve6UatpTLUcEjN3OXOSre3td737pnmvjqFo - 11nSUgAkQs75a7/ma6644opSimt9q2tWzWX9nocQQgghhIsZr17UFECTGjPM54UZ3/u9L/r4xz8+ - n8+Z2cxKKaWU9fX1nPP5XvIQQrjQ1X3rENJWc+0IANokfd/PXWnUNGPGzs6jlPHhj999yy0PJ4jD - nE3hekrCIrDMXXN2cDEr7gYnsCARWN2Lmbqro16bu11s16rFAAITiTsMKK5F9Zw+BDrlXwDFLJsy - g1sQoAozG2VNd3wK733/ZtdTN7O+Sym1bVtMjRZV4IlrnJ64kUdfUDh3hhqa6CAjBy0yqx0w+FDh - 3Rz9jCQd+7Nbruj7ZIs8RceQFeFghxGUyc6wph+YACDqUnPvkQ08/bqpFWaIg2A1BlMskSVjK7xI - 8j49mrJGw7q7uzplovlofPgJT+wamZl6IyY0VLCnM1SyP+f0bjdjwB3mYNN1ae78y9taT8mAxb5X - vL6cEEJ4IDXXlmAEA1gJSlyYlGCAOJJhVCCOLOgFzZhns5nLeHr44eWLn/qYf/GCO3mE0eGOqIdl - ch2ydr3upQ6+QHuxx1YDhKBAIZhZYSI4SNV2Tj5e5G/e/Lbjv/HbV/Vlc3d7nVUSsnYl98mJATgb - sUUGR/iMMXEDLDOUWIyTEcBOMDLluroOCKBTc6kfhBOMFaTi1pg1y+9xGjaKZSg49o8O70+8Pp/X - ToAPLRA2Zlu8AysRX8rD+QyrAee+N/Q8PE7NDCNnNmaH72s1ndXyeD2oISixgbW2SLESjO6JXJKm - pJyUxM/Q0AshhBBCCCGEEC545mVO0LlmXh/fq1v38rHjk0/+6lt+7p3/639sNZ/GGs1yIYAZZd4T - wQlKwxG6MQATgxi3JbWlbUsrlgAoo2uQBRq9a+ePA0VQZOgYqZ+UOBMAKiZqqS/sqrAC6oECF+z4 - jh7uPnDX+/7zb77sTtxRDs+O2905ddk6K4WVxBgGJ+PkFsNI4SDqqO4lkN4dO7YQQgghhBBCCCGE - EEII4TxYW1srpbRtO51Od3d3Dx06xMzuvrW1dd11173yla8EvGmJCE3T6DlNrazDGKq6sbHh7rPZ - zN3NrBYsc3eROpssRslCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgjh0jGfT/u+FwLDu9k8MXsu - G+Px1zzvee9+100/8L0vEqCRIQnbzB740T73ino7aor7u99zyxt//03SNvPcm/s5Z5lcJkRE3QAw - MzO/5S1v6cs5VkdiEXMA+IZv+AbtsxVtmmb5W6N9uTJRyjyEEEIIIVykkrQA55IBtE3rRp/85Ke/ - +7u/u+/7+XwOoG3bUsp0Oq0TckMIITywZReBL7oOGHDVlLgn9ZZUdaTe8von3/S2zdxhdzam1owd - rRKBQXSGMN4a1ivtSNoRpZEKd0w9UxYpIr1InziLZOHMkvkiuy6cVFLPPIf3zJYaNGNuWn/IJaNr - xF0jQoZSAEMtLGFmbbGrZ+VTb3mbAIdSKrkD0DRNzlmJC3GhIXhziAmEc6R3h4fAyVZTG8nZQEZs - AKdEqTHXZIadnZMf/dhk2iWzmhZAvncB4ERWsy2HHcU5rpZEYIK7T2HNEx+Pa67aMRUQOcTq43sN - jFT2Inp6dEHdNIxg8FqzxcxUpEuMh1+5fuWVM9fMAJHRsFdbLmt9tIcYh+AOIjAA89bszg99BJTE - 6/tco0RNLHpuQwgPxAFlUzbAaoauGBtBiZXIaWjSKUOJjdjJ+m66ubHW97gv884jr3n485536Mu+ - 9O5xmyWRk8OMzMWJATrHMb2arbvynWFO2kO5FYM7ICzrJPnkfY+CffQ3X4933ny472V3a3O9VesY - xqDhWwPLbKHhFo69YngIGAYyIy5MRsTGKxHa7uDhi9cxtKDPrT1Pe8dDq1sRO1/4K3Bt3rCvHtLt - a63VjG4jAEwg2n/sV48iba+lBwLgDDDO6bUbASAnWt36HYCTgwEmZ3YCmPxcP68QQgghhBBCCOH8 - YecRT1jJSae841f2n7Lbf+43XvqRrfeXQ3Mb9b1OLZfN8fokJSugOupKy8Pzeuxt5DYckTuA4di8 - JnyH88tW+lbImYfbSrasKC7gBBKQAUpicAUnzHnrON11p9/+6jf/0nvuvEmvmOta9hbCSaxhTWRE - 7oCf80BbuMxd7OndsW8LIYQQQgghhBBCCCGEEM6D+XyeUur7/ujRo5JoNt/t+75pGiInomd96TNf - /SuvIiJi5JzbNh308VNKOee2bd19Z2cHABGllJi5lMLM4/G473sAOedI7w4hhBBCCCGEEEIIIYQQ - QgghhBBCCCGEEEIIIYQLGN3PZU8tZl0vKaW19bGbNSkRrHTzjfEairbMk6b5/hd9783vvum5f++r - zLG5uV70s18950ypS6e9KiZwLYbDSbo+mwOMF//4j2/tbK9vbnzWF/KSwMyqSkSzvvu1X/9/WcDL - 6Qj38ymcAVENdH/ctY952tOeVkohIuaoUxRCCCGEEC4xQxO3SSOC9Lk4qG3Trbd++Du/8zsnk0nO - WVWbpllfX68t5BBCCA+AfOjYWRR3H6JtSynSJGtlR+fZ8jo3uP1Td9z0ZxviDFDWxhLxqDCDQLwv - qbcmtzmREp/ou62SdxnTxLuCLfHdhufjZruh7URbDW01tN3QdkM7iXYWP19ol7psq9fzVuatTBNt - k+0K5q3ssJ/I/YGq4dNKmF/t6XOCshuZOCUiOLTACSRglonhyG5/3/tu8499fENSQ1RKX0ohYSMo - QxlODIAd4i6R2x0eCnIj80V0N9vKys3kBGYWUEN0/LYP6smt1vP9rf6nr4i1P/yg3FEjCebEj/nS - L9FJKg3DTbzuygxATZ4tbIXNhyDO5XMZwPBhMZmZiAwOTj0BbfOEz/88F1KgkANgIrd9XbNOcNT9 - 24EXHgARuYMZTHCouE3vvAd3H08rWd01hffCz/gMIZxHRpbFC7sTxNEqWgM5K8GIlSgz98KzxPPE - cG4U3M+S5nE76TVt53F3+OGPedF3Tb/wiWU8njgnAxuUvIg7n2Pqi4EzSSGBMzuMoQJrMbeiTsIt - Ujt3nay1o3722BPTP/vZl+NDH9nI89nxY+tro5bZrQAGGna2DqIhmPwz/AaGy5MRDFwoKXNjaNXF - ffH9S3BxsBLpAcOmafHFXQ+ClJAZNrTtGS4AAQIXgPe3f/hCuB4O3AA2JufVMekhyXs4soMR4PvT - vQEAzhiORIYtF8uTCMiJfNn0OttrcYhDDGJDlDowLIAS27BjICNWYovyeyGEEEIIIYQQLkLkyadr - a3K0x3Q2uucDJ979c7/9k3fYx6fr3dRn2cpak0bs05O73pVJk7TAwUZsvDz6rgffplyUi0rvVIZu - BwdFf9p5RUPPBpMmtgSwAU5FxWrvqxmI6mgRMSfhNhk3Ip2VMpnurB27I3/wxne9+i8+/afHyl05 - qTQTwYhKaqxJINP7HY8L4dJ24KiPEEIIlyeHASAwFk1nWvnp1Fv8tBmbhOUvT7t57zlOvfX0W+7n - 4UMIIYQQQgghhBAuOjW3O6W0s7MDxmg06rvi7m3bquac8zOf+cz/9vKXv/Bffru697nc/yPxmeaX - odbGqrHc7p5SKqXUGwHUGgGTyaTruqZpSikpxZBBCCGEEEK4cDkYixFJJgC8Ol8PRLUUSAghhBBC - CCGEEEIIIYQQQgghhBBCCGfDaS/B4pJUShERM8s5r62tlVLm87k0SVVHTdPl8oTHPf7Vr3jlH73z - HS/6ge/P867PZXlW+l4prlXn9nbRuVUHYFMF0DSNlvzRT/z177z+9d/8T7/J3dLwiOHMuq7bOHxo - Z3s75zyfz9/3vr/ICj14dQYicrUk/KxnPnNzfcOLsnvpMzGczhD3cm4BMyGEEEIIIZxvBjCBcskE - SpKKltxrEtx005//8A//xxtuuKHveyKfz+fMMWchhBDOypAePfQ0mRNk3E61cxfKfmi0Pu62u5ve - ubF1r067tCGznXmLdUrcZ8uOVDsZCO5cw79BBmhh5NEmPexhcvVR31wrzMVUCimzLXIZCWY+ZLWt - 1F/gIWT3fF/XDkkG4LyoeA8Ac9OUmAFXI4IW2zlxsnz6rnTy+GZfl3/553sva9kbs+zkJNjyMZc9 - cgwvuW8lsRQ4wFCHaXHYOrC2u3PHO2567BMev75xeJvcirbtqKPOCI3V3G4CYGTxLRgeoppDCZiT - ZIYRLSrbcldya956OdyVW29572Y/GxOrn7kTmPdq357hD5zq9nXqlkK+ugobAGcoUKTtxpOnfPH1 - J5mkHau7DXfhvYxughNYweTktNz0jMwZ7LAa3e0ENRfPMBdurrtu+s530cmdkTmcQGwwZsD2cipp - 8fiMg41WkMPVa5SlOhzauI63t/DR29tHP3zvtS8eP5x3q5+CuLEvskvBy7GqmnFcf158lYXwOeKL - fSp5PUbGSgMGTlBmAGxgoBmPVXW3L+trh/uu35Lx0c21637ge279rh8YuY2nO6wGAI772ZEPz1i3 - i8W3w2Ix9u+xeWWE0R3CSClZKbnvJ027O+3HLSaz2aOE3/mf/sv/8ZIf5Udcec/OVqPjJKNCCrYh - ZBkODMnKMZ4VHoq6PrGTAaBSWx3iZxg5XXGgXfqw+QArjZ0hBpsXWVkGEPa1bWhxyHBer+si0XBI - svzuG/7dSy/Y26yXL9KWmQen5pGvNvhocftZLQ85O4wBggLMMDjgDLLFERMvnsHql/JBPqkQQggh - hBBCCOGzZW9QkPYmO+zrfCADnJzgLTvxGPd1d+vR3Vs/cfONf/zak+kYTcpUZ2ubk+nOrCtl0nIa - WXGoOkuqj8yOMw47YvWgftH/UEcLH3iZfTW18AHVFzWMxcDIV0dUH+g52IYlP9D1QZanvuoHv8vB - nosAP9O8ldUkRwJ8pV9k0d1kdMoI194d24RiMIU74MjmyY2Zydn60jZwRqZupp3bHb/6B7/8gq/+ - jidM0PIk+YiMpEkQzTmT1EXgYZUgGz5MZ4AXXTT2IJ9OCBebyOEIIYTw4BxmMAwNIlaAAPHaVC9I - PFdN0jT1FgUA0DBUBgIWp0UZoObCtGgTqoDgTiSaITw0CbOWNEoEwBfTQBv4olHuNDSZL8nBbnev - 1zVSS0TcnS7JlxpCCCGEEEIIIVz21ExScoCYAZRsdd6+gJhld7ZLo/Fz/s6X/+Iv/Px3fee/mfdw - Qtukvi9ENJmsT6dTEVHV/edn7xvH8pVJDKWU1RvrfZm5aZraC7H8s5rhXUph5iglEEIIIYQQLgQO - ZICBRAY/Q6EEeAsXwEDDDOFLu7ByCCGEEEIIIYQQQgghhBBCCCGEEEI4qGVZpXq9rD1Pvne9rCWN - lbntSw9czP2zf77Kgz/B6jIQJ3cQJwC5GMAkMKtFA4jcRkTzrM/98r/zx3/w1t+48cYbfvIlJ3d3 - DGCmbO4EJIL7cIo6C1ThYGY3S02Tc15WDD/9jdkX/k2n3PoAr2rlfHhnEco5A2gSv+xnf+affOM3 - JIaZESyJsKMvNhqNZt2c5LI+8X2oD+8A0Lbt7s7OaDSe5f733vDGnXlXyz+c/iGt3nCGsHYzAaD2 - Ld/8z/q+b1iIyMyYhfbqtdnKvS7NT6CWfQCQUiqliAgRLWdnhIsIM9cd4PIzDSGES8DpO7f6hXW+ - lyuE82nZbFPV+nNTD172Wak+DGTtlz+b6uJGNI38P6+98XFPePILXvDNarlpmlKKYKgJlnNOqWXm - nHNKySw2vRBCAAAlA8DOSbl1NiommgUzIZMRZv3DeaO5L6Oz2//gt66e371GyFMdgYGZZ2rhUrvv - HE7oQYwWcLKSRmXL9Nho4/P/wdfKC74WTelGYys+sRGyLwLaDLCVSpjLLo/zH9oNMMiGXhQFfCV9 - mAE3JAIMWUGCnfmRWfcH3/eip+WtcW8tN8XEURppXHeHgDuCEtfi+bxXOt+xmuTtEBgAAcPKcDRs - IEKiGgyb13vc/tY/fNw/fP64HZ9sMOaxmzM73NgTGyklJxQBuxnkc7IehUuREw/576asmdkY7bBN - NMTK1k3mM96db938vquKwnW1935puVbX2EXCvr8ZtgsiMabFVFOra7vTYkBgyO0ujo4xH2+sP+7J - /KhrfTLpZplGbRFzMFwYrOROgAs5QAYHO5sBgBGb1D0e2NncAEvMBoPzNI3Wn/VlH3vVa66b983O - DBCHQNJcuxHvvZa6d1CCgwV2oCEGrnV/a/88i053Hz9qp++5pf3SZ/Bm617UDCJGAJmbUWy/54/R - Yh2EEUzMxc2cC8PElEDO4mZwcWNY/T6L9O7wucHOsGG/agQTAMaOZMs/gAFpGF6EkZmTA+24yTpn - 4RlRWd+4+pprn/rD//7mn/jJR35y/nDrG4Ir1DCSxjWDlmOvwCK3uzAAJKstGSxzc5mMATY2AsHg - 4DoYNbQSM4FbsJV+QrCCJGVtdvIRH/qr217ys1/w4h/q1jetNJmSj824uJmYtq2jIBe0RH428UEh - 3A9Fchh5EajAiNhhOjQ2QCggA4QAcScnOmD5fHJZ/ADR4cu7xtzTYiy2hlzTvipz5/tIZzjzwtjd - wEZQrolUEAM716Wt51rU3QuvplnVJlp9nQ44ZBkMBt+7fdgN0Nkv1RD9Vb+CHcNxowvB2RMDBCPq - QUmJHCweGVQXk5rgcL6XIoQQQgghXC7cUSt1uzuLqCkTx1mI4bOBADEG0IsVHrL96hGrZWLmdtzs - Trea1hONfd5aW+6Rv+aH9+/6y7f97rv+v5N07+gIb9schK6bpQRxZBuOxpW09sKxMzmT13Pvh8Nh - I1tOlKg/iJuDHbw4jB/GdZad10SLw3WHO8zgDh5ywUGEZd6euzsxEdGim8/dgXqLONRtr+OuDqMO - Y58+PAUcXJ/OQUZwEIFAtXeR3JkY7vXn+tt6XUvrax1U8b0XLAJ3uC9CxxeD1LSc/UD1QkOKtq1s - 8osyp3WUti6DEFE9w97MHS4ggjnMUceBCOSLeRm+eJq9ySm0/2EBODNM2ZxAbuZgMDnIWAAoJ5gT - oDACMwxuyPV948JOMDEeYRfbvdgr3vhf/vnf+5dHH3a07dbHsqFu89w3k6ZkIxA8GQAykDIKwOQj - uMATANDeOVF1zkK43NR+GGauiRVE1Pf9+V6ohySiu0MIIZwNXgzOAcuRrXo+IknpS9s2nZuD2+H8 - P6y0JfdO+GCAmQD0vTatMKTP/ahJALhB7tEIYEijNIRz1xHG/SMgdOnmdocQQgghhBBCCCEAmM1m - k8lkY2Nje/vkZDL5u8/5yp/5mZ/6nhd9f99BVcfj8Xw+n06no9Go6zJTMl+eMXwAqrqM666PCWB7 - e3tzczPnzMz1tIAQQgghhBAuEItBRwMBy+juxel0cF7WSjYMkw4jvTuEEEIIIYQQQgghhBBCCCGE - EEIIIZzRMnZ4NfqAfbVE9Bksw78vaiJSStFceDRuWUrXX3Xlw775m77pq77qq/7jj734jb//ps6U - ARIuZZEnlBJyAcBJyCGShtzuAzngyTxEpOo1h7IUu/ueu37zt278p9/4jea5Ie66rmERaXLORJRS - sqIHXKCLW11dz7hO1skCgvSGN7xJzc+tNIMwkfo1Vz/iSU960ng8nu3sro1GKSU7wwc5pLufy9Nc - 8JjZ3VUVQM65aZq+79u2Pd/LFQ6szqBp23ZZLCwyvEMIl4Ca272M667NvPO9UCGcZ8vc7vozEZ3b - dsHEOWtK/BM/8ZJrr732Oc/5ylKymSXh3d3dtbW1yWQync6bphmPx7u7u00TUYghhACjoXw8AHaI - gwCDOWGe+7XJhvc6KnSFNfruP5O7P7U+y8kYIGOtfz8gMMOpZryxOSemrivpyHh29Ig8+9nTtfZT - hzamo7H3fkWfWpWevRdzMgJGxQD0UheG+YI58jMaSpknYzGIsRGMkRkq7mSk2pgdUmqa5tCRo494 - 9rNO/saHrx6j65GoTZ6KzgkQwvJw1mh43xY54KdWnFhMr9vXo7NIzTR1X+d289P34u3v2vhHzz+e - xtabDbXjwW6ALP6YlQ1+4C6+EKrVrkMjGBsAsUSwXktiY9E1cvzp+zY+fd/YtADpfla2M67Vew8O - ApjIZLFVrC6FL/8EaFtMIZ8mfMEzn4nxeDcXbtvsMIaTJa1RBHAy9r3Mb9SY25VNYf9Oxup/55LW - Dx9e/4Kn5JvfA0OiVNyStGL5lMowNYF7uS2fPWImMwcVeCO8Lj7b3jp+223XmFHWhqWQm1BRFWKG - Xzg7w8sQOwBWWJHhgybfWznJWbz+lSmxuJHBLs3u9nCB4r2I3NUb9/+82Pc6YMQOkBsAcTfCNLXH - 1vSqpz7lyf/XP/7Eq14zuueetWneGDXW5Zqr4wQ4jO53JKmGeftiJ18DbpY7xnrjyn7SVm90wSFG - O+s+8efv/8ivvOZJ/+xbZpub93qvlmGeXGDSubVeg8ETLD+k9ytcxurK78TsYEDcATUiIxjEFklU - NTqqxkqx1+R6wsGvad8tdtotp/72Qrim08644GGkmr0GgNVkAd8rA3LqSLfXYPJhr7N6jEl7e6mz - XyqquxcQuN5Q9wRQcpCD3RjKgEMi7iCEEEIIIYQQwgXilDPDnQzuBmqahoi2trYOH9mYzU/23m+s - bd7bbeGq+Ztu/p1bPnRzP5qCbTfvcuPMcAUI7kwOJ1t2LiQzrPQK7sVy718GAAATAF906y2O2Os9 - rI4cLm4RgYhww1oy+3D78hxdd7hZPWKvcdo8pHhTzj0ziQhz4+5mxQrMsDZKDoOTw2DuNQMbIBYm - uDvTInGbQD6MGzmBiZcLSYvz65jYyBKRMyUiJ3czUM0XBxNsscyJ2d3JXIcsca+R5MTLMG9AAIfb - kMkNJ4e7ma50srKDiIXAcGfA4YArqC6eG3z4RM6gPjXtn9hCMBgvP429fHNbuYYN0elMNQ699n1g - F5trN775NRtfuXH9I/+2zjsvTMzzviRKe09QO3GoflArswOcQb4a4B0uc5fA6fcxEBdCCOHBEUDG - ZAxnuAlUoA4FA0ypacThRpmwTTrzKVIpopkpMxWCAe4gAxkoQ3fLSBIbobdRal3ZgZ2SvUV20wZz - 2NR6hRd3TVyE6zMNS1NH1y76r+AQQgghhBBCCCGEMxuNRqpKRKPRyN37vn/+85//Yz/2o0QA+Xw+ - b9sEWNfNUmKHroxm4Wy6/ZkZQyEzrQUIuq4TEXff3Nzsuq5pmlqTaFmQKIQQQgghhPOLgAQkQAGt - c/AIStirtmuWLLK6QwghhBBCCCGEEEIIIYQQQgghhBDCAZxy7rUR4Azfd3Ot2rw8L2X539MvF4u+ - 75m5bVszq6eRT3d319bWHv/Yx/3yL/+3X/u11z720Y9iAO5tk1ISIq653QBMVU2zlnrC+dJndfZ/ - Lc01m+fXvva1qupGKbXM7Ew1k4+IhnJcAQDQNE3O+dixY+94xzsAcCklGgAAIABJREFULOqEHYTD - 1QE897nPvfLoUTNjZma+DNNAVbVWbQOQUuq6LnK7L0b1c6zJ67TYHE7Zj4UQwsUopbQaS1z3bHXy - YAiXOaKhxG895DmH7/1aY7QUa5rmhS/8jltvvXU8Hru7EUZrEwCllJRYNXfdrG3Tgz1eCCFcFlYD - 2JStF8sCJSHnsaMxgIVLQTf/yB++Vab9EO/tXu/otHJxwNCYkRcXm3vPwFa2Rz7jejzlCZ0kgJvi - IyOYO8FoOHinfeXQL6x2Ee/vRawpdKtV8N0dRJm8COmkuf7ZXzaTUS/N3LWnHskN/epLIpj4IouY - hgc8e0bI7OJ+xXb+2Jvfga4XtuKFmdtCSVkZRRxkiyW/sN7PcNERY3I2YgCNaatKLmypEQepwsHp - 2NvfdfTEtFFADrY+L+0F0BIAkIF9L4DWFhsLAOuhqd3aGKe//UVom2KuIsYE7O2RGFbvTm4Hir5W - Bkbpic/4opnVmE91ZM09LyIYuO4TaqiDg90e+AHPxAA4iQFm1nJKRY/dfgdO3DcufSrKSkwpmzJI - Yvs9rwgYFbTKBs5cv/0ah7BL0jQqPCqclAunQkI6EhOOjyxcqIzgZCATt9asVWvVxG0ucvzIxtGv - /eorn/ucv9k4ZOP10uVWpJQeABxKcLAtLgCSIdleS6a26JwOtj+srSkzTNyPnNg+8etvwFv/eNJv - p5TFenYSapxHc8acAYZr5HaHh8SHGGqWGtnt5EhGopQc9cJKUih1wllgrCADLo/rRVOLYeKW3Boz - GirjGciUoIzC0MWRy+ntPWMzNierP9S9DWPf45z98jhg4MIohMy1EVgbg8Yo4iruhCKurWljJbK7 - QwghhBBCCCFcCIzhBHYWh7hKjeBGMoMZkogVS+1G57qb7suHj//pB9/xJ++/6RP33G5NLpJ7N08o - jv2h0DWAGWJoDSM18QIqyqUegxsDBPHFxUDOjgRPyWykZaQ2KmgKN4VTSamkMdoWzYia1ttkDfqk - M/S7mZAM5PVInAACA0JYn7TjVsaMFkhmokq5WF9GLTNDc+nnfe4yzMdN2pi083np5lZ6tQw3JgiT - EIvCi9vyevUHhQ9H/ouLwhM3iYVB7OwKy6a95s5Q4AZfdjkATEgEL+RZXBNbk6hJ1FASbkQaIWEj - ZENvUAa1LOPUk2VWTW4NpEnSpGbUjtqJm7gJmZA2nJOUhvuWciPasrVsY7ZxKuNU2lRa0STKojUX - kpWhjCJmDIDZmJwdcEIRq5ecSk6lSFGuHSbLD5qN2MmUrX6mSZEM8267o63X/cGrPrL9gZPpnlna - Tm2TvCUnciYYQ9lBzuRCzk7ulJ16J/OhM2fZ8RIuU+6+PAn/Yk/vjlP9QgghnIVTvuzcQEZgB+Vc - 2qbtexuPWGGCImTYOZHSZG9acr271Um3nPqMkuBGIpgVGrfIeWPcdihN4nmepWY04jYBztIDvvi6 - cgKdvjAhhBBCCCGEEEIIlxZ3N7NSSkoJQCnFzL7+67++m+cfveHFKXHfLwqfmZ1plIKBBxrEMrO2 - bfu+r3XKalkuAMxsZjUvXFVTSlFSKoQQQgghXChqcd9FRRI+pdqvo5775sRxPlcIIYQQQgghhBBC - CCGEEEIIIYQQQngofCXkZjWQu8Z1XAJEpAbXzefzpmnG43Hf9zlnsBDh2c/6sltu/rMf/4mX/Pwv - /kLRUisENE1jZmoKIriDoKafm6VdLfHz3ve+9y//8i+v/8KnqltqGribGRHVSOnLLaXS7qegubqN - x+Ptnd0//MM/nOWeALgfOLobACDAP/o/vz7n3ICTSCmF7vdxLuU3v24jbdsS0Wg06vu+aZr7fyvC - hUhExuPxfD6v/2Xmpmm6rju/SxVCCA/dMrS7zhCsX1h938f3VLicMbPqcLRSGwB1Iu1BH8fhANbX - 1nenuynxt33bC3/rt2583OMfM5/PU+LcZ2ZOKdXNsG3bOks3hBACaqUDAggO1IxeONZS6qZTkdFa - dnz4tu2/eP/jPCVkqpm4p3RxONzrTDIjFBKaqa4dPnRC9al//6vMOhuPNBdxiJMQG8wJIFs+hh8w - xPpzZtmBskyq8/pGmZGwEztRcUMj27AjT3z8VV/4tGPved9m22pX1EsCU+JSitRHc2DRaalsAB94 - Zh3D+u5KWvvQh2/Xv7p9Y/26kwYSpsLs5sOzGMHZycAUdUDDQ2BgdpizOJgMYHgyWNt4P5+2Dtx9 - 373vv+3RauS9JIKdy+pGDgY7YFikQvjQtrOa8Epggjmyo0vtldd/AR5xeMYsKc2tpKbxAobZEMwJ - djuHEIJCvCt81dOefudorTSGPGvAxfshKGNvW2I46JxCDswAgERYNauOmMfgtu/v/sBfHL326u1M - ObXmxM4CUnciiu33fGEHwWpkt4KVQAQlABAHOcgBghIT2AEf0klDuEDVRloyiANkSgyCEo47PDXX - fMu37Nx1/K63/8kje52g48W+d9EOouExnNkBstXh13MbaiqK0ajpu3xUfNNwyy/+1y953LVXPfXJ - x9YZ7lrIqSFqzLMrCiCfgfcgXL7qTnvlUIONIF6rvdVV2AED0XJPXsvpXy7Xtkw3xxlbHvU2ZZAN - 79feUdyK5Y2nPg4dZHmWz3dmvHgKBphMCPs+2hBCCCGEEEII4bzwRZ8COcRgbAAcDOdi2kiatJOu - m6lQOtTc63/zwU/e8sZ3/c62nGyO8Ay7Kj0LisENTPsOq9nZlj3PKxMl9g2q0t6Ntbe6duItD7EZ - gHPNhy7ZiJiIREBEtUK+k3TaD3evdyGAmR3zaV/HQxggIgYREcBlyg1EmAni7lYMimK20a4DYCeA - 3d1t+RRYdMUYkSx/PuXaXd0JromGTgjn+owgIicnIitmZgpdna0gIsMLdribM7Grk2suxAAlSXBX - M++yqVtKcB5yFc2K2fKF0zCU4/V/JA3D0+opTE40dFg6W31djto14mT14yPj4UURnOyUvhRe9H8Q - UEeKa6eL8nBWIRuSgxyp8Q67iY//1//x89/+9d99VXuNaNPw2MyWg84AD70qZKAyPIPvDXGDCtAg - XH5OOR/1Ys/tRkR3hxBCOCuL0/sMYDC5QgEGkUubtrvZxmhCXkQz+h59j3n52E//9KigMJThZADE - TQzW29pobbufyfraCejT/92/QQYmLeUyatq+79fbCWC575HGRMMk0DqwXRuPaWilnae3IoQQQggh - hBBCCOGzrw4/qKqqbmxszOdzEL/gBS9wwo/8yItZYIqUeFFc4/SpB8Og4BkfnJn7vq8FCEajUS02 - ZGYpJRGpceC1GEGtyBZCCCGEEMIFZq8BTLWEAgC2wr43Dc+YYmp6CCGEEEIIIYQQQgghhBBCCCGE - EEI4O8vSVDUvpxYtkpXQIPJ9f3ZGF2Yg0OlSSjW0ezQamVkNvW7Hoy7nvu9TEpj9++//vm/6J//4 - B//DD731j95OBMvZABGpid2TycZsOh0CSxY19s/sIZTlcfeUUtG+ZuY5YV7sNa993d/62Z/t550Q - M0itiIifVg/o8sNYrIFqmrU049Fv/fZvJ+J5PY1qWSDpgT+RZfkugIBrr73m6U9/OmoZMtWc83g8 - zlqWz7XYIi7l3G4A8/m8bVsAOeeUUkrpsl/fLj7uXnO719bWptOpu3ddd24pniGEcEFhZiIys5oZ - 7O41vfsSqI0YwjmrTbU6PdbM6jf+ciLt2ZPUltLXe5Vix48f/9Zv/dbfvPHXDx8+nHOGe0pJVYlo - PB53Xcd8ibeKQwjhLA1pdWQGFAbAYkncBEDft+1oYjr/n2+94t57x+5kxEPl8eHutizjTiCAyJ2U - SYjoPqejT386rnvKJ9CNmk3MtDUkJ8DUzYgAI68LUPPC2RjsF9b8stqXUl9jLexZZ8O5EztAorBM - 5E3qu3xkfXLNV/+DP3//h9ezj/qe3JgBM6/vGAGLHDsbEjHNCHLWLUF2sIHM2kYns93b3/K2Jz35 - 88pau+3mzDXuDm6EmqyJWvL9M/6ehMuFs5LBOdXYAIYSHAxCUW/dr4Lc/e4/wfF7x25uQPZzCJom - ZwYUMGLAaqrECjOC0zALVXhtC/KEv/sVdmR9hxypySWDvNZYWdzPCEPnqpOthBM8CGVM27T+2Eev - Pf7xJ2794MMooesbIsPw7DzsEIgW+4SDvl4iuAPO4Nats6INywbszptvvuo5XzGXZs5MaoLoyjz/ - jIaQjFaTEQzcD19XRgZyM0aR+m3IhWkIY4kp0uFCZWTJ0BoAnzYorMkIzkrNfH00S5On/KvveP8n - jp386O3c5TXSbACYvKbsAIAyAa40tC2GJpyfOcH3gZFzA0IBgBFbf/Kexx6+8n03vOz6H/sPR5/2 - aDRQN1dqKLEVZ1B0moWHgH3IU+IhAAmLtoaJmXgRFIGzFwVkMYp+5vCoS/V6ODDZS+c6BS1aWX5a - aPcZ73JKA8kPsjzLh0229/OyGAnASo1SY55gyZHgDs/DKRAhhBBCCCGEEML5o1yPYY1g4vVwmAEw - s4hon83JW97yY389/dCN73zNPeWYTLinrvO5tIAjK9q0CPkDau9cjQ5UgiYAIAN7EmcxAMXJjKEM - 1P46h5glLwAXRi8gXzmqhwGWErubu2Y1rI72juAEcpgBiuJgNbYk1CYRhpAzlNydnJM3G3mNSmNm - RJxSGo0mk9EkpZRSy5za1DRNm6StZfMFMkoND2HYTiREXoO6zbDsG3CnGt2t0GKduqpqKSXnXLRX - 1WJWSjEuqlpU1WoIgGbqSpopF4MCMHInU6hBUxq7afFiRZlFhJsGRF50DgctsoylvocEZnI3d4fB - XbMNvf4isDpjBQywgZ0Yi+Rscaup7TxkqLMSdJiswcOgEhlWu00WGe3GgBuc63QYENggDjGQg6Tv - tLNx2ZnuvO5tr/y2538XdekItexMPiSEwxOcUUu4UgEVgwDGnuAGrq8hulgvU+6+Ouh4sZ+hGtHd - IYQQzko9va+2q4V4cWqkIfebbUKeISums3f89E8/DHRFlx8zL8kKCFZPWiRjr00xwc7siHtBv8b4 - 5E+9tBP+VO6+/Id/jBobjRKsh1GTGriVeS9r41O+aR1x+k8IIYQQQgghhBAuZUTUtq2q1gjtra2t - pmlGjRTXr/u6r5vP5y972U+bDRUEmNnO6XTfeveu65bPVYPAiaiOfIiIu6tqSjGUEEIIIYQQLgCE - ekoer9zAy5/EipiDuJYbCCGEEEIIIYQQQgghhBBCCCGEEEII4SyQn57bPcQFnbGczDnkhVxoalx3 - SqlpmpxzPZl8urPbjkequr6+Pp/PR03zeU944mte/auveOUvv/SlL93N/aQdzfoOQGqb2WwKps9B - 5aXVgGQiwPG7v/u7P3nDiyejUen6cTsyMwCqGjl5SymlWdfN+/5Pbv7T3s0BHLQ4w6Jq+fO++u9v - rK1pLqWUJMJNk3MGX161HoiImXPOTdM0TWNmzFy3oPO9aOEAiKhpGlWdTqcAanjnxV41LIQQANS2 - EDMzs7vnnNu2rd9W53vRQjhvasutJnaL1OBFHDS3G0ApBeCixsREVIr+1V99/If+7x+54YYbHnH1 - w/O86/u5iDBzDfD+TL+OEEK46DmxMuAQAGDVPE7psCmO3XPHu/74UVakGAwYuuOWrZe9ugnMKIRi - 4NLTZHKH+/XPe56K+5HDU9UkTXIhX5aENmBZwRxGcLrfuLjzhVbi6IwA38ssFxA7u7uZFQJErPFd - x/rf+hJ9zGN2PvSxTYbDzOGGtOi3XHRU8qJE/oExMBZo6Y40k796+01Pev4/PPQFTz7hxVkAFjPA - an8pI1qY4SExgiM5ID4kJxi49kLmkg+T8Indv/6jd1xtStalJpWc5Vyfq+4HQGzusrdp+JBkAIOj - MFs7mR853D7taVsba7Me7iRNMrPGQcspqg52qxEF5AfoEVeirmkwGT/yf/+Sj95222Ei7zBKTKr7 - /7CGMeiBu3ABYsBQigkJgxwGp1HO0w98EJ/8VPvYz/PEYt4guZG7Rw3f88pqFn0yqzEby/WS3JxM - 2Z1AMPJkxMp7XxAhXIDqDrIOpxZGZrA7O1oaFaK7xB999SOv+3f/9s9v+FH91PbRqTbC4uBFqIfD - AVqE+9aM3aFVUx/5oEOxBIZ6QygZ6wzaOX5kVu585a8+8vv+Oa542ERkl5jVSZ2FiM+t0RQCsIit - AgCC1oOORbRSzVmqJx4QoaZqDccm53ehP7dsNaYLwP4tmr0eAgJnN3xd7+t0asj3Qe0dyZDtNfKA - 5e7AqH627rGPCCGEEEIIIYRwYTCCuDHcnEEEZ4BEuOtmKaXR2viu/tMn7K5X/94rTtBdcgRznxZS - JBSDEURgduaxPachn1sAmIkDYHY2gM0WkdKwGj4NA2wvq5kAGMjqMXspQ0B1SolB7u5O7lROaKIk - lIiETcg5USPeiDdr443DG4c31w9vTA5vrm1urK2vNWuH0uFxWptM1ieTSduMiIiM3IlI4LwYo+Q6 - 2MGAqC87HFbPkavn0S1P4PGKDAInr73ui98a9voxzN0NXoO9e++m5WTn067vu34+77pZP+vKtNd+ - a2dr3s+2p9u7s535fNZrUctmZWN8xJGLKsjAcHYSOHtf5g4lIU7kCeQGBhGV4hje0uG9JQxdFozh - HQas/qKGkS87SeBMQ/+G7b0Ji1R1AhwMZ6V9/RtiAKDwMsLMdg9fwR85dtvr33njt3zFt3c704bG - Aq69XjRMoUnMZkPce13G6DC53NXEiuWJ96s/X6RiHkgIIYQDEMDBPVjIBIpiYo6TO8h+63/6uSuz - X480n+1OyMx7oIeDizMZ3EAAwQt6s9Gho5jNj7iUPhv4UaONv/nBl2wd3vj8H/zXEEM7gWWUnCZj - ALpogg1fWotByhBCCCGEEEIIIYRL0nw+39zcNDMzm0wmtcxT13XctJNx+8Jv+xdbJ07+0i+9omRN - Qqq2mJmwOiT6QCcBm9n6+vru7i6Apmn6vh/uw1yHPZjZzLquSylFPakQQgghhHBBGM4eG8q11BFD - IdurdpC8lzo7N+qAhBBCCCGEEEIIIYQQQgghhBBCCCGEB3F6qehaa8hAexW0ae8PVgo6L/69aMvN - ENF4PK4VpkSkbVsR6ft+Pp9eceTQ9vZu/W3fdZPR+Lv+1Xd8xbOe/a+/599+4H99qJ6knlIqqrBF - xMjqe1gff+V61Tm8X6UUAMTsau4Qpvu2tt/6trd93fO/9v9n786jLbuv+sB/996/c84d3ns1ax6s - sgZLno1lW7bbJhiQjePEhkDSpIG0Q0z3yoJmbHrRJIGENIthQRrSpoEAixBgEbAT9/KILdnGI1ie - LVmyZbssW3Opqt5w7z3n/H6/vfuP373vvSpN9cqyVKXaH6119eoN555z7j3nnvMb9tdiAhMRlXBK - Mzub0/K2anIBYCait73jHRttN39FmM0MD3rHPsLrxUAAXve615V5DdBcBSHmvu9DXS1+BWdDKa5S - WKpMrCgxkG3bDgaDJ3q93M6UMx4R1XWdUuq6ripR9M45d4YLIeScVbXURiwfWGfzRZFzAKqqKsdF - VVWqmlJaWlqKMeYTUxIf3WAwiDHmHJnYgCrgrW9915VXXvn9//Sf7N+/v886amqNmvu+rutSAtg5 - 59y8jWKRyAtSMiZDz7QiYXnaHv3AB/S+u0eaDEExPzlnBozEjJWVwAaDRbUckA0C9MJy1RW49rn3 - CdJwoNPYcECCAQYFE8MW08yoROiR8SL39LQ7RWspec/Aon0mIGhOwkwgAyUzrqu1qOO9ey59xd87 - dtshy5oDyCAAMeO4zx0qeZnAztLKyRAYGcislcW99x7NN34oHLyE6mEmAFwpyLQXLYXenfvGsBHD - wEgAItVKxEiAgmjUEf7uJr751hXLhgzwqc4RVSpnnwffFZGyMc9zDtCx3F/zxS9/CfYsbwTJOfA8 - rv4hy9yWo2AHYeJG6Iw2JCy94Nr2bW+f3PO13QA0m22PZOZSJebUbuGsxGaosUGZ1bKa1n2/dPe9 - +OSnwiWXRaRaOYCSqUkgO+1OhmcVIyUDgWAgMBPElEyNc2ZNokqoM5OB5ymw/nq50xQbODOANkBJ - MxtKRi+pRLUIqwaHazrvOVc988ffcNO//eWRCM9i0HL5A2B+fYh57DHImEl3Gtd9wkolxBAQBLMW - oxEOdP2hD354cPDcPS9/+cjyjC1kYkAeoq/MuZ1hMwMya2bkkkCvBGOYlPxuLAKuSkg1GRhn0aPS - 1qVO3rb5wPxeRjaPwW0lQZS3PvVOOBuUOLFMMAIZgoLtZNfHCEpmpKW9nA3z8SDzZ+oFUSwybJ7F - DiPojm6pnHPOOeecc865bxoFZQVgFWlgEMhi19WDqre43h6LK2u/919+c616oA9toqwErqFAzCAg - EHIGEba1V+jmbXu5+1YCWEvbtRmTBVIWJSNTUuMURUu/LWnNmQEFlW6dxSyM0teZ2SxAa1hFFAZx - sCft2h127VnZvWfPvr27D+xZ2bdrac+oGi0NdwWuAwZkQlnMiAwsIPQoIdEdrCUAZgRjIgFAJcMb - 88YENhDS5m7aHtS9/Z8n2NY1Y2ZWSvmXqQfExswGmJmaqmotlSEjQMdqBCU1y0aWLRkbCUOgmtt+ - NpvNutgePXb/tJ+sbaweWz96dHp0dXr02PqRSd4YjtChTTkmjcoxogfbZuNI2Yelp2DRarGYzELI - hDRvPoUopDR0GEAKU9sc+m+lqYTZmGzeP55ZybZ17RoAVlIIYgaNcaRbXx4vffJLH738wBXXXvqS - XbKfc6MAW9g2O4A3Z88YqYIYBmMQe2CkK0NVn+i1+EZ55IZzzrmTUvq3jOZB2gIgKdqI6eyzv/3b - 41l7sWK40daaVpoqpT4gAgpVqMIMZaQOgYBmUNuRw1VVg+uKA5Rtbf2Cenm4NvvUv//V5/zsTyL1 - IMFwoBpZmuMGTp3xn7zOOeecc84555xzj2I4HE4mkxKbvbGxMRgMSoZ3lzIRpZR+8qd+HMAb3/j7 - j9ZL8dCzcYhoMpls7+QQkVKMAEBd133fl/TuUovtMd9A55xzzjnnTsFWodjNq2AFbDGyyxIjkzG8 - FohzzjnnnHPOOeecc84555xzzjnnnHt49vD1ghbp3fMv8rbaQraI8S7Fmglb5avKjx5hsaebGGMZ - o05EzNx1XfmijGOHmmVl0KBuCJSTPvtZz3rvX7/n5/71v/njP/nPrcZ2OuMgj0NqRBnlDgIRGaCG - aNYwv/m//7fveuUrQ5iPgReRqq5ns5kPfS9yzlVT/+Wb/ooBktDlxIZTKJB0/nnnP/Ppz7Csgbhq - qpRS6uNgMEi648jDMxoz55xLSHxVVQA8t/tMVLJszWyeRg+UJO8nQe0w59xZLqVEROWEVr5IKZWT - nnNnrXIUiMjm4bC6uto0zU6XIyJt2xMZwOXuSdUA/MZv/PaBAwde+9rXjkajlBKAwWDQdZ3fjzjn - 3PG4pNWxgaEl5JH6CVbXDr333ecDOWk9CG3bb7a+2by5bZ7+KJBkiQFisMgq6MBLr8O+Pba8NJn2 - Y65gpMhERMzGtqhLDiODQRcNd6dn7pot0rsLKlUhooWajKuMHHOWqppwfziEc17xivv/7C/b+6bB - UAuEOGV90KcOyaltqSIaQgPp+qcs7/nSDe+78ntfPRxVG4ENXBL0jNQInAOVcvDOnSoyUlgmKEEZ - mcA5C1IgqqeTo3/zkX1HV5sMBqUYA+NUk6YNlB86NqBEwxoAdBLuG9RP/a5XboSwkXJdjZhEuz5U - 4MU5pNBFBIXtZN6qgrNpDA0uf6pcevHk7q+dU1PsTeZL3iwFw4AazaM9dyRlVAGmYgBpiaSwIWH3 - ZLJx881Lr3oVmhpWGzjDwKx6mp4SzwZGyGAilQwAbPOX30hBCiibkkGUASMofI60O72V02EmzgyY - MlmJchFTY5am2Zh1d9d8/nXXPvuHfuCWP/zjS5IF7Uv8jJVrCVKed62yEdRYgFNOrE/I1XCpm60h - YjjCxlQbdBc2y7f8+V+9eGk3rx5hmwXSAKYS4XPmdOm60w6pEYyQqSQkMYwBJlMyJhNYAAjKQJ5/ - vuv8HbcZX/0kfsRiS23bXV4Jenrwr20ynHj1tfUjmkdVbS6w3PTtZH1o/sG7WJPNJy23ZaAEghGs - nJX8A9g555xzzjnn3GmATUFqpDAGAiAEhWEwrDfiug4s1+1fvOsPj+S7ps0kSjaDCPoIM9Q1VJEj - mkBIVvoF5o1ymEc+b84BMNqaOgGAF80YpW83k2YGGWrVkgwNCmxMBlEiY86BralosDLYu2/fueed - e8l5By7YP9h/8eDCYR6GEAgCJTYhC2KCjsmCWCBjNilR3KAcaWYS2ZiI5t8EzIhKNvhmq8HiRyyN - WSYlJWXj8piRBZKRN79T/tu+Y0sSuW7r7jQzMwMMzEQAiIxDDqRACTQHiM1IzYwCZctmpqREtEJq - leWQeHxNpqjIFmAhR05tnsxset/q3cdmRw+v3n947b77Vu85un6knc2iJZXSNZAYGaSgCKhyAqA8 - 39zSSqHz/qN0QpNm+VfpyS253YuA9sWLWXrEFjIBwCyiGmKWwYIYZ22o3vPxt56395zhnhEZRJvS - TkvG8zeMBSU1Kv3+pqQMIgvevnqWI3qSvAN8iK1zzrmTYIAqABL0uQ1Siyast+j00K/85gVdOyYb - IIESkDBrQyBYhip6xbTF6jomExhQMeoau1ZoZRmZkRWVgpJWEOmGiqes0j2/8JtxNL74x/4XDAQh - xNwGGeQ+i4hJ6U4zEMEeekDUk0CZD2NmBJRZMT5yxjnnnHPOOeecO6tsVnRS1RBCSqmqqpRSxZxS - CiKa0//24z+ac/6DP/hDVQAIgWPanJoFbNYpeyiltFB5jDEC2P6b5evNmh2lbAcWBQvKF8zMzA+5 - cOecc8455765tg+DK/U+jEGGvh+ZhvloL5WqsuilQJxzzjlNdOqXAAAgAElEQVTnnHPOOeecc845 - 55xzzjnn3BabV4rZPnG7lFaieQxbkKc85aAMajXLMAAiQmo55yqwEH/ippuWRmMz421T3c+g0O5C - REpmLYASfV2+n2MS4lDBNMGYUKpOkWpmsl/+v37p6c+4+qd+9n+HKlkJrUMZ7r65WFW1RRDuN75X - NMfFFxmLCfdR9T033tCnVA+GyCoVpZQ4R5Ez7WX4hsWcx+Nx17U558CiMGLOZkZ0dHXtgx/6SAJq - EeSkqnj4igXbXy8mNjOCCfAPXvOaOoRSAazv+6aqqUJKCUzb3vNlNNeTeaRWiXkGsDmHYnOShTvj - lETbzX96brdz7slh84OpnNY8PNi5zfT6zS/qujYzZi6HyXA4nM1mZQrt5sXeg5WZtpvXC2aWbR6p - +HM/968vuuii6178QlWtqnrWt3VTk1r5q3KTtblwv3R0zp1tSruTQVmqCmDSipBybxKarPjUx+22 - WwcxBoKltP0UuVU9fF6GHgwEhRJyPZyMh1e+4luPjZpeMeKaMhQAC6CAwZRsKwg3M2Ao3zmt2KK2 - vNJxGcBsgOU6MGUFgYiEQu4z1XVbMfbsuvi6F6294x17VClZ1odItSsV9E8h+lcNAkjCiEjX11W4 - u+VzS7uen1aqVnMl3KWUmUCc+1yHSk81S9k5NnAIfd+1pFSFNsbxeAndVGKq+xlWV+/4mw9d3qWm - 4g7WVJzigyPqH0VptCRTBkrcNi/aLYkRFXUNJPSKmqQNsudF34Lz96dmxCxmlGIch9os2raG1JLb - rTuvBssGyxaJWsGV3/6Kez/+KWs3gMyMXKIetinRC7yTQ9gIxEhatpEBMEMB0XyA8MUPffiZ/3K6 - sry8kXoMGmM68Snd40vBferG43G30ZLaqBkksmmKEphVK0jVWzLlisBCyVRNxV8zd/oyQIkBZmNF - YstsICQj4mawPluTumpjmo1WRq9+5a577j789ndJ1+6ta+27bKgb4mw5g3R+djUiMxB4XjdghytD - kNjOKgIIqUMjUIDj9PIQjv7Rfx4aVigj9QoTIxZRfeh6XM49KgN6KRlXRgYp3zKQsWUGN31nQAMj - hYa6mvWTBrTIjH7yP5IpDKEKOSYlIKCNGA+pn1m901sVghHKZRkzW1ZSCBPNm+pOcn2IUavBuFfk - zXsmwCRYl1NnedhIspRyaupx1yUh2Rbw7ZxzzjnnnHPHYeYyGEBVmdnUaPM2xbnHEKmZBZFJmwbD - ce5BBFYlzjFnHtq0Xr3xU2/7/N0f68ZrvXUSgsVkGVXpf0wQIBCQDODFbfKidxIgQDIqZgXHpAkk - IsakmtrUNwMS4RxzNggzWJCiSNaUxELDS9zVEgfn7br4kgMHL9532f7lA+ftPn/3cE+lYtnEEFBb - FDJCBgAq3b7Gi6+JLQMGy/N7cFMmNq0BmG01IBBoMWRn61uF5mBUOlVMF4+goEagYCBQWZItRs/P - mxwNqoTj+pAJRJvd0/NOamUtvzJvvywtlgTLBgJAbAKDgQkQCmYqVhErEixrgDW0vEJ67tIltqw4 - 15QUbDnnyWR9bbZ2xwNffWD1njvvv+Pw2j3TdCzKNIU2SZelN1USsEENIA4mkJwrzQYyVAwxWAQy - McAUVJWIKIhZjpozjBlsJaEcMLDCwJm4pHrnhCAwg1K2Qbuu9//5u//oJ7735w6ML+3W+oCqDqGd - zZomKBEgMAHmf0uL/eMJimctmufbUxmG1/c9Mx5+5N3pzqO7nXPOnZyuh8CIRkLop4h66I//hA/d - eU62EajfWAVlALCEINiY5Xvu3jh8dP2ew3kyqbPWQChl8gO3BB2OVs4/d/niC7BvH5paANMYKOwe - LKVZtz5NX/mN/3jZz/0Ej4mrMOs2hs0SgGilj8sHozvnnHPOOeecc+5s1HXd8vLyxsZGVVVZ7ad+ - +ifuvvvud7zjHapIaT6Hn1nMjCmk3J9aAayc82aFjsFg0LYtgPX19eXl5RgjM28WLHDOOeecc+7x - NC+cvOgqJEMZEQYACiQbrk4HQaQepH4SY/TLVuecc84555xzzjnnnHPOOeecc845dzKYGUyWck5p - GlukPpomgAhmYKBiNlUAt3/5y0+/+moR0UXN5jKaZREK8sRtwzcNGQZNs7a+Plga/MA//Z8OPvWp - P/DPfujo2lrNFNVUS2UnMHNJtnvsbaakL2pwTaftTTfddN21LwjETNgshHfmlv45NXVdz2YzM62q - igyq2bJmU6qqd/71u5KpAV2cx58Ts+WH3UFExKCSvWQwIVbTV7/qlZUETTGw5GyqmlKqmvoR0g2d - c84555w7beWc67pOKc1mMwCPnNv9sAxEqGv54R/+F29+85uuueaaPrbMwcxSjABEZDAYqGqM0cyq - qvLrZ+fc2YU0ZzAzca2quetBAERgNfFyTl99+9vPaacjCdEypb6pg6aEEqEGAKYEA4iUwKEUiRe6 - O3eXffurcMG565XA5gUtUcJ0CQRmKC9akJQAKAhsTLY1E+30ccIqlX8xQIti9KXQQwaMeEY8G45W - XvGy2z9w4/LErO+kHvR9u71lsvzVKbdMVgFQkBqhHcfm1rf/9bNf8C0bbSv1kAykpmpcCwXOOXuM - nftG9H0nVYgpVizjcbO+dnQp8NjSXsPtb/rvy9N2bJb6rhZkVZJ53sJJMiqH//xNyos8BQAkNMs2 - HCLNYICwdFStVvVV1/+9tHelVaurRjOrZdIMM5Aag6Cb4Q2ncHgRMKwGk42N0e5dK9/yvK+MxrO2 - 5zTjzaO1xBtAtZSFOfXOhXkT/fx5DdJjuU2Tj9y05/rvTMPhVMxUc4bg9Dshnk0Gw3FWGNAMBl1O - bd9V44bILGk2DVTXgXtY7HMAhVCrpSd6lZ17FEYgQzDmRXmrPmTTmZqNmrEKjrTT8y648Iof+oHb - 7vr64Y99gjbS3sGobafaWQACwxRGGpnLvTYbKRnAXP6/gzUpJ1IGdJ5JQ1orqi5JioGy5cW1phHm - +TrOnQrddr3BBkAVyYiSQAOM8nA8oNmMOJB2KSUGbKsWxlnxGJqhdR2AQJQVFZsaKZkS+Ph7lu1H - uT74qGRkwBgG5KgwBIAz7XB/crlnSmZGgEGBYASYGUk1AIcuJq1EmJCsAm++YM4555xzzjnn3BPJ - EhGHaqDGCg1ERspiieOU1r9w76f/5rPvSEuzmU2kCX2fagBUOkg3MRu25Xbr9g5KBlKvIIRQiYSs - mjUpYbgc+lmKfa4DalR5QiFXjaxIn1ealf27zz//wCUHz7/yon0HV+oDdRpWeTCwwQBD6YAumWow - IuFIlFlhXCKx6SHK4+s8DdpgJUt7cUNe/k+L2QOlybA0Rc6/Bpft3B7r/UiPtH0/zLtmFt/ZfARo - 81GNEh6ipv9WBvnmv5VKc4cAKrkClGxryWRWQo4BCDER7aFzu0F31VXXRG4jdW2eHGsfuOfY1756 - /6H71u/5yp1f7NC21iplFTJSM+tpFsZllyFnmIIyAoEpmBEFUU0pJhVITcTICmSwgXW+zgo23gxu - p2BANgIl6jfkCCnd8PG3f9eLvq+q9nBKiXKopY8tBwIJ5ntbQQpTIMAWWebOYT615wzllYqdc86d - nGYAKHGH3GFt+uFf+PcHc3WO1H2cthYHu5cwXUffoWv1s7eu3Xm3tIm6biVlIqMgAsCyZaVMDZGl - Pm+sHf7iF2U82nP5QVx1JTVVYEt5EslWwvIuC5/78X/zjF/5RexBVfMUHcBsFDgAW9euzjnnnHPO - Oeecc2ePpmnW19frug4hVETT6fS3fvs//NiP4q1vfQeA8Xg4mczMspklVSLaae8FM6sqEW0WTeu6 - TkRSSsvLy13XNU1Tvt/3fV3Xj+nGOeecc84594gMUICQGRkQQAgEoMyw69ObXvqt13Fj7cYkteMq - IKUzejSPc84555xzzjnnnHPOOeecc84555z7piJT4Lja7ESkphnIpgbYIvwmG5hhCgY+f9utT3/6 - 01GCQI4fnfKkmPvOwAkZIQZgMtlYWh5P25nCXvzCF9zwrnf+4D/7oZtv+6KA6rrp2pkClYSo0Qhl - /PkJi3kMa+ArkIEb3vu+F7/oRVAQERGl2IUQHtPnOQPYIn2QwX3sSJiZawkJ+Iu//K+5pM2bAmAO - +vC53YWIaNJFsA1ddMGFL37Ri2LsGTAzVQ0hEFGZdIAT6j2USmRn1+53zjnnnHNnnhhjuaYts2ir - qooxPvyvP/QltBraPjPw+te//i1vecu55x0oQd1NVaWUzCznrKrlWTy32zl3FsqmzCwggCqVSkgY - klXW13DojmOf+dSVOWvOAJg552wAG8TmaW22qLRuyADBwlTC3XuWrrj+2/qlcas6MCZTZWSC8lZb - VgmB21Ysfd7693Dn8yfKvPR8aUUhBcDKJbSbDGzz1SVAgAwm4nXh4fOu4Wddvfa3nxuis9gzwwxY - 1LlnA51qwJwBYCp5lgxrUrdx0yfxxa8sXXNlW2eLVlMVLJkZ2LLNY8WdOwVKCibVxFRDSbvpIFDT - 1PWRCb56571/fePlOUPYMojRJ4hQ2OEbex7AsHWIzc8PqtYwtAMRxNCCNgaDwZWXV8975v2N9D0F - 5VaVmcXMTNOinZMMKNEG5asdHmcB3DOvki2du/+SF1539IYbx7mH5TA/bIu0g3zyh9hgbJ7leBFn - oUAd+6+8+73PeNm3ShMioQmifWTyEvRPGDbkWWdMIYTeIgLVVZVzFApNM4wxT8sUalWSYCxRNyND - nDs9zS+5yKjJzOBeLIkmylUTGLmbTYwpKb6e9KLzz7vqx97wwX/1i7u/tn7syOHdo7G1Ezo+0sNo - XkVg69x98khp85y6uBYUg5FmQDVlKwlBYIJl7Hj5zh2PjAmoVAkGWOLcCTLreo5Max1tTNGO0Cpg - QN1A+7PrTdd2MwOG0iCwdTMAqde6IstWPtvmN26EyAAgetwl1uZP+4xM4JpFJERwBgxmeYero0Cn - ZCblQlFJS1oXx5REg0htGgIPwKyzLMwmlL3L2znnnHPOOfdozMxvHdw3mZoq00AziBKFFPsuk8XQ - Tfjof3vfn22E+492q/Uyg5giMRHshBi/zYzqeSfsJgOSgAgEEFHpnWQQEzQmBmrGSEdh1lRpeP7K - JZfuu+KaS689d8/Fu1ZWkKHJBhhIDNaDjSiTWk9KZCAOBjKm+TSMeSD3ib0redFPumgHZ9j2KQDl - L1UJi3Xb9kgKKNCTzWO2H/XRACW2E+d58MM+kiayzWHy2/pw5oPnt+/neZK3PfQyCSAQCKpq2Yip - kioE6bp1BmodVDRaCedddM4znnde6jkp9/dN7v3qPbd/6c7b7rj/y6vTw5GmTV3PJmvCqWJmZoOB - TQlgM0a2NO9zIkRYVgjAtNiLYJTWV4ISzEhUWEVMDWaUWs6WH/jwre9/6lOuvuq8axsbTVbX94x2 - baxNhGoDs1Ge7wLFcdvu/RdnNTMjOuM/Cb3f1Dnn3Eky5AiN2Ni45f/+f67ksJ8YG0dlyJUwNlaR - M7781a9/5pN1Ox0kBEUNCLOZtV3MhopQCRhISSn3EmqIdGsb65/5bH/77fu+5bm44Lww0EiMNMtH - 4zVLK3/wHa/+53/zltAMAWRQIGjOahQCn/GfwM4555xzzjnnnHM7lFIajUYppa7rUkrj8XhtY+NX - fvWXAbzzne+cTGfMMDMzA6jMVt3R8lW1ruu+70Vke92BUl2raZpSNSCE4LndzjnnnHPucafzQgko - lVdKpY9SWwUguqgZVYfvrLSMBoSaV4J1zjnnnHPOOeecc84555xzzjnnnHMnRVWJmIiYGAARqxEx - maVS6iklFYCAm2666R999/c80ev7eKuqKrAIaDQa9Slecdllf/Gnf/7a737d7Xd8rWtng2bQdq2m - ZDAz7HQQ+84QYCDgIx/5SFVVOSYS1pQBqCro7AoPyjmzSGApAYSBJZuq6uFjR2/65CeSzUuBiYjq - I5WEJ6ISzg1ATYUla37Na14TQmjbtm4Gqlp+WlVVyWUvFB7X5JxzzjnnzhilZuj24qGPmNv9sEQo - ZwuVrK6uveENP/Jnf/andV2HEFRzietOKalqVVXMHGNk5kdfqHPOPWkYi1DOOSMyh4orIZDGKvZ7 - +nzohhtXZt1QsZEQhIWljzEwlCAGNtssHF/yuxUAhY1quHLt83DFwSOaUDWUIKYKKM3rnhOUjI10 - +1wyMgUdH+V9mtme1VvW0gi6CC9nQA0CzaBUh25QXfIdr/ja391yTr2U+41agLzIJzbeCgPceSgg - AYimBiIwU2hnFwyHR97x7r1PO7gBTX0eLo2rgGnfA1UIgnQ2BQ+6xxoFhbEkomhm/XJTcdsu5bzx - 1rcfeODIMGXTXAXEDABmO09vBZczB7YdYkZIhrpC16MWUBhO1O4Z1s989Xf2lazDmmqYkyVoEwKl - rFBjAmj7QXpq7/uUeg4yNUxU97zyOw996MMXU8yTjc0ACgNA+dTmwdIiZYLmpwPAGKQAAqPp0/Sz - n8eXv1ZdfSlVXNd1Z5HorEoOPc2QDppKprPdvbHmOKpmYi1LG/ucBsyVsUTL2aypG2P07axi2mla - vHOPJzbAIGZsAJhNYSFpzzVCJX3b1jJqxktt198V40WXXvTSn/2ZD/3YLzxrz/mrR+9pgEHD1il4 - HvBC38C7nQxMGQDNQ4G5JNdsZQOVEyTPs4jM/NBy3wgmY4bSvJCFEkAAQ7nSaiAqqapAFYXOuoyu - QyNnUXS3Eg3qoSpi1yN3ABomZYpJhbD94k4XlyXlJggA21ZutxKaQeizxqyp0wQ0CMTSW2x4Z5eI - BCOClbOBgRjBmIwFzMaijGiZe6tqZq7rwUy7x3CHOOecc84555xzp0ZEUjYAphAxkGbqVFI/mL31 - hjcfjnf1oykBUvH6aj8eNJYjbfWKzr8wKrndx0UskwEEIkBgSfvUMoUQatGgHVc0okRLvHzpvqc8 - 6xnPueqCq/ePzq3jcpP3V1iimamq5WimKMNvShFQImNiCMDZLKuBSQlsutmf+6DgZ5RejjLlgLbn - e9P2UGjd+oK2/em8R7X89aM+EubzD7bTR3x8MAJQdvJiVY/rht7s5mbDtvRuBUBERKQgNU0RxDnI - IKAmqogCEisoqQYkGXAlK+defOELr3xpCt0Da3fffsdtd9z3pdu+9vkuzzrreusUKUmvoolyigYB - lW0zEKGi+eqV4HMyGErn0WK7tLwvhCipQAl96CZ85Iab3n7B3z9oWcaD5Y1uMhoNUlK2Rc76YuMe - Zue4s9SZ3tju0d3OOedOAikYaHtAb/79Pz5nrd3XdqCMgbJk9BHHNo787Sdmd35tX11bRiWogkAJ - OatZIFSMcjFoagwyWE59TlCgTtQorX/wY8vPew6uuLiuKApJPU795JUvewnU0OVQQWOUegAWI2RA - 4NX2nXPOOeecc845d3ZhIpilGJumYebpdLo8GjLzv/ulX1xdXf3Ahz4swn2nAIbDZjab7Xj5zH3f - l8zvpmm6rgOgqiEEEVFVMyup3iJeYss555xzzj0xHjRIR3POkjJvTFaMmqbWpDH15GVhnXPOOeec - c84555xzzjnnnHPOOefcSSIlEgJgMCCZ2mZ1IQWLaMqlsNHHP/7Jqmo09WRPyvpDBMAeVCA/hHDk - yJHl5eXpxrqq7tq1fPG5577rbW973ff+45tv+0KOqYQ9M7HCtqrwbMWPPCYFycv+VgMycPMttxxb - Wxs3AwjnnIPIY/QsZxIiKlMAAAybocLa2dSE3/e+962uTzdrMZCwPWKe+mZ0NxPDtLz+r33ta9u2 - reuamS1rEypkJeGcfDaBc84555w7g5XrZyKq67pMoX1Y2+5otispkinlGPGZz9zy0z/9M2984xtV - c4y9CFVVVdK7c85m5rndzrmzEIlozoTMYDPEPhvl3Vlx5Oi97//AhbMuZogATJpyQ5LnZdMVYCOU - wuYMEENBCWHSDA9++3fMlpc7Q00CSpnmud1kIIMsmul0UXS9NNwZAHq46upPmLLmbFsrRlCl+Sbk - bZ8bbFBj5BRGo8Np48Jrn/+VCy5Yv/PeXTJIszbMP6cYpfY69NQyL5kRFWAhIsupBs7t063ve//e - 7//uldHSGkyJOUMULGdb25t7zGmf06gZooMYjUfjuHFkGCPuufeOd91wSZsotj2sJsBQM9lOD19j - KtGZ0Hmw/QIHtD2aGrGHwdaaQbziMrzsJWssCI1wE2eRK8lms9jXgRRQMlbeDCFQ6IObzR99g2ER - Wg2GfbbxFQf5qsvXP/+5gYhlKz0RwHw92cr672yb52tEgJWzCJVsBmXU0XYdm3Q3vn/P035wLSlZ - FIX5lekTycDtnjidvun9999y21U/9D1L54y/vkSj3fv7I9O6WqrqCsS9oNWeiVCRqZ51fR7uTFNr - ApAECmaEoDqUQTvtc13JuLY+UkRgluXlOzbaS6+5+iU/8aMf+w+/c2EY7go8bTdGFaKWS0AARlbi - 6g2nXmxcAdn8WzIEIJfrq1IGXSFmTKx4pF4z5x4NKTgzGGBFyGADK4agoNiYzdqInK0CBoFMLZ9N - J/MEun82HQ2HAgRwIwGmYtrrvPDH5o0PFkd6+Y5sy+3OjEyIfWKgMWZmpkB10yPHLhGZ7CQTiAFS - hHKfqWAlzM8HWXMeGA/qJopOkaJQp1PxCiXOOeecc865nTAzj01z3wyZQtJcszCZaso5hhFmdf/p - r970kds+QOf0vcVmEGaTFBjQBMBIt6V3b+Z2l4hrYPFOZQNsnuNshKoGWWbNdRo1efngvqc967Ln - P+ey5+6u99MMlLie1bU0OXFsN3LOdV2HJgCSUoKhamoAmmFGnem8bU+YkIxUsfXsiy4K3lqXxdoS - lJFpW68uz79Zen1s3ndsYAIZjKAEO+lDjwyMjBObBB/+z62s96KJ4LjOlXkP9bZtgRESp0VEuvK2 - dgvL844rlkDMhkxKZkKJACp1/jMimCAIQoh5REPLteaksGU6cPDSZ9nlaWbrX7//0C2Hbr717lvu - 2fj6Go7GaqZVStqGBgDiFJwxCHWFOsZOQ1TCPDh8ntc+35+AErTsRiIwwwJ0Od9++PN/8+l3X/+C - 1/VrQWTcJRUISEHzv9qax7FYsjvLnem53fDobueccyfFgDgDE9ZTc+fRfSqUMiQBSXprb//y4Y/f - vNKmCwYjbadSh75P3bx7GkIkQQBYzkmtoq2ebKFyYWu5b8eo7vnQh87la+VZTyfNxBlMSxL+7pd/ - 9QU//7PIUg9HMEAzsfi4H+ecc84555xzzp2FiCjGWNd1SglE4/G47/uu65aXl3/3937nn//wGz74 - wb8tvzmbzUrG9qk9C4Cu6+q6LtUBUkpYFOQCICJmlnMOwbsYnHPOOefc44XmY+jmo9DmY9cUAItA - aPewwQNtN+sNKoQQYOmJXmfnnHPOOeecc84555xzzjnnnHPOOXfGUDPK24vImJW4nzK+ugQYHzp0 - yFImAxtAOLVEnDNO27Z79+6dTCaDuqmbau3YMWY+sGfvn/7Jf3nt9/yjr9zxFSIZDoaTdkagUFUx - xm/KepT0JQIMk3b25S8feubVTzMzM2OWnPPZVgGPiIgoaq5DZapt39V1zYP6r978pu2/VqYViEjO - j1Qqy2AVS86aLR98ysFnPP3pDFQS+rZjZpEQY2SmE6IH7aw5Cpxzzjnn3JOAqtZ1bWZEVKbQliTv - nS5kZWVlfW2t/PM973nPr/3ar/3UT/3k0tJSjF3f9yLSNE2MMaXUNM2pzfN1zrkzlBJUzQhEhkVi - AVdhkKp0w4277ntgmAEDC4gsmQauWW0esE3zkt9sIEAVkWyVqbn44pVnPuveqhEEzUnn6Y6KecBb - SZTmzDBSLSG4ZW3oNA2qLRXbN+vjl3XOi1rp2NwDBEBFeBb7VDc477xLX3bdHX/yF1cHka1FkRKM - sm7NttsxAxhiagCWgrQbq+NARz/2yT3nX9hWw1ZzzrGpAwXpuz6Q15dwp05EUh8bVAFEGYOEXeA7 - /r+3NQ8cGXYzAEqIESGAVbLlHTf3lkN+W7pD+Y6pisAUyphmXW/qq177Xdg71mZA4LZPvea6avpu - yhoHzdhyt3UiWcRjE7CjU4oBESnUklKake3Zs3LVd13/uc9+ci8xkEu+rPKiTVu35Y7vAM8zEmhr - o42gikbkXOIvvP/9z/zB79u9vDTtewkhbSUruMdbk9Po2AODr9x103/6o329feRTH33hv/gnF73y - fzh6/717l8+fKta7WQ5S1xVpn+K0qWry2wh3eiMooMaWiWCgHARS1VXX6zyyKqWsibieRabByhG0 - e1923cG77/r6n/3lUh8DYJlANo/hUd4W2r3z3JdyLp0nf6sBSgYCK5iQCTCYAhnmiVruG1PuRRRI - DAIqMCtCFjKKqhsymJx3/p3D1VmnAfWAg6YMJj1r3nJs2gTMJu2+QVMlBdDnvgI3tJWOpQS2eU5Y - ie/afhejhFxCxTKCBFYAHFWPzVbXK5a9S22G0slfzyigjCxIZGxWKepMBEByvHfXmFT3xaQigEkt - MalC+bS8i3TOOeecc86dDspg8k1l4METtTLuSUzBpYs1CJGijxQpU2OH23tu+Pjbwl7c3x5rVkLX - JTOsDAbTWSsBSuB5L8C8eU1J7fh3KCsIYGMytqxEEtBIrvYNz3/e0174/CtedN7gKWE25FkV1ptK - 6sCc+zjr+7qW4XDIzKrapwSAORBzO+uYgzHN2+ZImZlYVefrsO2uf7FuxovJAOV3mGGlsXGz25S2 - /S3ZfOHb0HwB8409mccH96I+civhtsaBh20o0MVvKs3/YLPLuzR8ggSqWZXLdsJgbKSU1AKEQ2BB - MDXL2RIyDAozKNc8EK4AzjnnHJeb8dW79l9+7TNebqt3bnz183d99lNf+rsv3fuFpV0r08mGsQ6b - WipKfbbchVoSxW0vvZZdQAZmIgPDrOST5/leaGkyXKCGRacAACAASURBVOYPfuqG5z7t+ZcMr6bO - YpdDCGZpsZMYVrq4PbTbHeeMzu/2cQ/OOedOhoIMXXfo1//jgZZhCcQIFTY28IUv4dBX98ym6FMP - BJauT00Q5AwBFFnNYiKAwRVxsswgKtfNi7GDQmSm+0ZLhz7+6cvGY7744vbo/YOVc5s+nlcNEQ1j - AWlKGmRAgDzK2jrnnHPOOeecc849CTGFru8Gg0HbthJCjFFVR6NRUlXV//eNv/MjP/Ijf3fTTaqW - E05hPr+qjsfjyWQCoKqqzaIDzLyoaMaq2nVdCMFzu51zzjnn3ONN5g+8Od5NAWimHFTXNlYbqWAA - GJxUfeasc84555xzzjnnnHPOOeecc84555x7CA+ZYWNmm7VjqBR6Yir1hXJKBKSsAqxPNm677bar - r7icANg8hGi+hCfLaBXbPu6GDEDVhFk3FSFAN9bWVsZLMXYKu/Sii9/ylrdcf/31d953d2pnQULO - OcZ43MAdOm7R3zAuZaLU9NOf/vRzn/kM1RxCUFUzO9uK4KlqCIGZRaTrOjWtRe68666PfvSjuvmG - NJgqwNDNb5yovPUJtDkH4XX/4B/Wdc0p5ZxVVURyzmU2QZlc8HhtonPOOeecc4+9nLOZNU1zUrnd - x11GM4CmadbW1pqmSanXbCnhd3/395/ylEtf8+pXDYcNgL7vQwgiklLyi2fn3FkoqxIJkakmQh0q - UWuxunrrO991YduOZSBIWROXhMbSXrE4WSoBYIEakIEZ28by4KkvfxmWd0eEckrOpJkBEzKIgRVs - rKS2WR/dQGYAMgOnX+7aZm4327yVkqGZURpzSvONGcrWAaAgbdeJ1B3z/m/71tve/dfH7r3nPDqu - LPpm4PcpyIoAZquS9YGo5BPvZnzlfR/a84LrcPFFrZhmqtVYwbDTNhDdnRFqphgTSUUZ7SReSEN8 - 7pNfePe7nxdqwyxUYEWXYfNK6Tt7T5dqtwDI2Ei3GvsBVTRN1XaR6qpHfeAZV42/9UV3sVIISLnL - yIxAlk2rQR2RNvM1ycBGi5jOnW2skqqAg8RpP1NsjAdLL/qWPRddpLffvj2/c1u3Qon0PnlcEisy - A4AoEdhggDKYso3Z4j333vfhj+37+9f3gJ5treenmZU+Lx1rP/pvf/lqS2FtdflYe+uvvxE3vvea - //UN/bnE+w5kDn2Ku4WHyKmPAvQm5lHr7nQlBiX0IZdrLSrz/i10rdYystQGaB1ERAzStilY0xnd - tzuf84PffeTOQ19813uvaAboo1guIb4lzwY4lZ5Eo8W1EINUAShxFAVQKVgRFGAhNYU+WiSPc4+C - oOUd24kpYKDagmQxhFlNfPDqZ//0z+zWOGlGRnXVgpNy2PYOf7Ib9DOsH7n9937v2OHJoGt3UQgg - IiJmtePSwqh8yNk8yfu4AQUEABUTZ0uWFZxClUbjc57/tOVXXY/x3jYMT3J9MnHPzNBBSpVCwb1w - G5iQ9lg+wDS55rnHVnaFFHM/YzIB/IrJOeecc84598g8q9s9LjgZCbPlXsABIRFN4urHbv7g19cP - Tepjw11LG9MNIRoPmzhrqwqJYAQ1wBSLm+sT78QNBIgyWahooJ2s1PuuvuTZz7/8pZftv2oXdktf - 6QNouBGuqKGkucuJpB7sGqbU98g5JVVlY2bOyppUpCEyLonQpKpZtbNMwqLgE/suKW2fwcEGkMGo - NO4ZWOnBrYPzRvLtQdyYtyro4qeP/kjzZTz0wh/iBZh3hurm42IlH0wBBMUJXdJKCoCZs5kiMRhq - VhLNiVGFaB2pWTQCiIiZiQgZZV5Al2LbTdWsaZrxaGkymVGqJNa7ZbQy3n/wiqtfetm3raXD7/nI - O+88duhIe09GTHU/Q2c1BsOo/WKyTMkRB6Q8sxkAk2QGA5sRqxkhCzpMOazf+OF3/s/XX75xdH3/ - aH+aRZZMUFDZtAAAlACDeWikezLwaA3nnDt9PWSXMT34x6TzWabb/7n4BaOtzmGZXxtt+93FOMPj - n0Q3B+DNRzSmjEn7yV/59ctaXoFCW1SMjWM49LW1z95az2YEDAJAEmMWAEpqoDR/IgFIBEZZU5DK - clYYAQSUkY5kZlDruj213PmxT1y4/5zB8gpyqkNYartP/MqvPe+XfjZXFKohjEy9q9s555xzzjnn - nHNnqRDCdDodj8dd35tZCCGlpMR1XctQfuu3fuv1r3/9Lbd+fl5pa4cTEohoMpkQ0WY5gFJjS1UB - 1HXd932ptxVjFPF+Muecc84593hiQA3Mxw1/YwCBBWxVVeUcAQiRWakb4pxzzjnnnHPOOeecc845 - 55xzzjnn3KNjZpAAujXl3gA1kIhQjonm0+JBwM0333zVlZeLnRgBTvbkSe9+sBjjaDCMMdZ1HWNM - SethPc39ufv3/d7v/s73/eP/cdbPck4iwTTbgweyn2pc3XGD4jdjnEwFuPULtxlBkw6aOsbID6rx - 9aRnUDMzMyKC8LBqZl33gQ98YHUyI4IZwIABhko45YRHfB2IyEwJqECveuV3Wp+YYLDBYEBEXdfV - dW0pmVopPri9PoXRiYeDc84555xzpxszY+a+7+u6BjCbzUSkTJjd0XK6rgO46zoAQShnI6Kf//l/ - dfElFz73Wc/etWvXxsZajNFrdjvnzlpCgdiQYs5RuAlMenR18qUvhvvukvUJsagZL1oSTmhRmDfu - lEBrwZRpdd9u+faXrzMnDhQ7qSUCBt52klUlXjTKMUwBYyM9Q5rqNsMmH/JHAHLqm6ZS5WOxP/fy - g+PnPH16w30556RgYzFmQ95Z3O/xzwJIqJCTIoKrFGMj4Mnqxqc/hbvukXP2y3goSTX2Sr0ImTcB - uW2UFGBRxlYChJY0Alu0IIpyCbkUQ+p1VDWUiNiGpjxrv/imN5+/NgldWxob+4yKJaUkQR4iQ+Hk - bBXRXfyrkjDtYhPCGujIuLnmO78tjsbt0lB6CKhuQjKUVtaqqdp2RkG2nUAM8zPKjk8pVPNGOx0P - liXbWkpLS6PLXvbSQ1/7atV2VSkQbOWsBZCabVYG3tHW8iI0wtjmf87MU02hnxwY7vni2955zouv - a/YOZ0aMoARAjaBgI5AxjBd5osrQclL2xOiTw4CWV63sMSUGwIbNfBGCNllHKS5tzO5543867877 - 5Mh0GbSLsbq6fvgTn/nQ//F/Pv37v3/Py1626+JL7+ozpY4YYkBWJslnwOeYOxttnR/Lqd5QTiwA - VLVu6tT3QAZT27agELgOmSgMV2tFyFf8yzd87oGj933sc4LcwNgWZ56Te8Of1K8tYpKJqHRhsQFg - AgxG5D1aJ29R1GF78tAJ++9s3Ju6+R5b1PznTNwZMBhe+JKX9rONOBorakQMOPTan0XR3bMJ1o/e - 98Y3XiDUVIGVSSlZFiMs3jubPfrlaH5wB//8nKBGQIBQqDPZJMWwZ9fy856DXef0zfgk10eJIwsA - SdkyjBCFu0oZaX06Cc2wa5Znmvc0TbDyqWtmfhXknHPOOeecc+6Jxywwjf2MQyVVsBr3dnd+8HM3 - 5qbtqbcZxuNR10/7vq0IrGRkpc/RaN6ARlvdB0AJDVTUqa7isEqDhnc//xkvfv411x0YXdTMlpt2 - qU4D7hFEyExj7hGN8P+zd+dxkl3VneB/59x734uI3GrTikBCJSQLI2PMojHgBi8yO4jFe7fXGWzc - ttsfd0/PuKcHQ9ttxp6e7p7uHtvt6cYIgQe7PzZtuzG4ARuxLzKbBDYgIySV1lqyKjMj4r137zln - /niRWVmlKklVqpKqKs/3E59UKioylpcvX7y499zzoxjUbDKdxsQiJVCs6xrgktXMOEYGVEvOLUiZ - iciYCAQz5vWJBtoIwJ4NAqx/9u4HTAgM1vVoxP7VH7U1FAyogmHr6d1Qskea2w3w4RjHozzcOIDS - bMwfm57zUQM9DLDyUWNmPLu5ESGAmfo5VjICMxXLMAIT92OYamYGUSLKOQMwpsFwCCBrHo/HdZwz - I9GipUDqQZwb0fz2cN7rX3TVXQduv/krH//s3336gcndS0uxpGZ1rY0VjKAMtllq++zlGowBgjJM - 1UDBWKFC0KiSmr/d8/lb7vzM0y58Vp4WpqAwm41rGdnhFHSQPuymc1uBneWlA96p2DnnzlC26Xxr - cwEQb0xTzgKsFaYgaEecCJIRCcQwWAOqoYQpOkIM4GCAQgBhYyYAsZ/jMz58h6SimTkpOAvqAIJi - Mv3ym39jd1cqKkJt5BZiuOvulc/dWnVdJBZGNg1iqa8a0dmpI60/bRPpn7xJxqZz0o0bMDFJGXZd - iJZvuTU98zlgVeuWSr29KzCFCtRAYEYrpQpxK1SS9CX4sxWzzjnnnHPOOeec29rEChiM0K2v21dV - ImJTNkhXti8t/H/vvPG13/99t33l9rI+KdnHb/cdBIiIiI7XSqCf8Oi/9nN1IocXavbf9/dwuMMX - UEqJMfbfMDOzT54555xzzrnThPvaOu7XMRKIAyzAFEaqoBBNipmR53Y755xzzjnnnHOnyOb+VpvX - jG3+/sgeWJvnoQ43ZHxwj6sTarPlzhAP/j0+9G/whH6/ZKDj/EB/9YMXb9GDrlt/hse7n7N7AZhz - zjnnnHPOOedOBQM2N6WaDTqoGoXDQwcMKBTGMAMxc1QtgVhMQwif+uzNr7r+FZGjlBI5WJE+OBnA - MSKrzzKzePIjr4AJqliXokRBDQTiWOWiKQZSfd61z/nVN/3KP/1n/ysAFQFs1ktgowu5rTcceFTP - 7fDYY+AAldtuu82IjKnoRrX8o3qAs04IoUgmQt9zrc1dqOr/8u7/2ufOz3K7AQAqhQHBLAudgNn4 - bf/PDKgJlIAIPOmJT3j61VePqlRyB6CoAOAYigp4to1PdKjQOeecc865M0RKCYCqqmq/SLZfgXvU - zfpVtA/66aNX5hax2VfBz/7DX/jDd72rGow4VVaEiGMIsCPOlNcj/QBgI1vROefOJWwgaDCIaghB - iaiZXgT77J+8e3uzMgqACag/GiIgGKRAU4ylFAaYtAgSqowSjKcpbXvB83DBjnEdlYkCBYAtwAAw - G5RUQ98/UzFLfWNQH+atfIZ2DO9DXqHrLTgN3L9D6KYhl1kLdVKGacmmUeo6V6PLr3vJHR/9yLTN - nFg6FmCAEKWEijuRKpDJCY3AcQBZyYAmQEUZbKojsyeOD+398z897+lX7Z2M59J8AbW6xolJqlO6 - NdxZTEmNDFC2CJAaG4uSKhugwqwAGwflKEhWAKSUSmc5l7lBtcAyufkTax/71OVr42ERMIqAOZDG - BKgK8YNPvh4GoWz8Acz+/llhgKBCKsrjuZq+9So8/9kr9fxU04AlGCCFiZG7xJQ7CSHOQrVnEdcA - qc2yaU+AkVmkjM5Ii2q0mGOdXv7i+z/43sX7H5gbaxapqC6iHFAsc1DWY4y7PtRDgAENCiUYqxpY - GUC2UgWC6WiyuvOrf4ebPjJ//Qv3M4JyApmZpdBAO6UB17ktISWQEIpCkinAQlDwg6M03Sas4GAI - EABCKhQVAWAiI0ZnmlLsJqsXhDi/spr//C/2f+CmJzdlEBMVMZMhbOfaWj1Zu///+nf7/uKmK37u - DZdcfeXeYZyGKBgCwFab8HBnD+vfAgDSsH4NKwnIAkHyhMhAnA2IFcBmmoK20GkHTgsLF6an/cLP - feF/+dVDd96zE4XylJkNBgpFSgACo/84Pjsb2rxmgWftBQ5fbwyAVXHkeVTqc39mH8nNTPrJMALM - 5Dhl5m7Dpiijhzqjpi0Y3D07jTeO0u91bKQlZoVUBCLdb4nmlgqzgTLxFAYEIDzeT/wxUsugDgMZ - LKaDDwwkkzKAAIYqz8oFZlUERiBTYPbpsGdgNo0KrE9fMwUpbWJKg+GKDc4fLI2r4VpKj/D5KM3G - 4grPDhHCUAog1lEUYoIOCTm3FPouJn50cM4555xzzj0MM9uoAVjvzv04PyV3LlLWEgNl7Xg4GHfd - Gq/+5Rffsxzv7UIXiIKxNo1FFCAoR4mLMaxNplYhzg2yiohFBMumrGlATc4wzIeaVtJFfNm13/TC - 5z/juijDaFWc1EEiGZOJBSskAMAg6uMQ+9IXglqgyAbNBQCDQYCJAUQWQgBCP1276Q9iNsdzdCA3 - qc6uOjxgYkfkah89OcQAji6w0VNTtU7HnojaHBapm5tZ9KORD749PbizxexWRgRj6Z8/MwFmCGCs - TzzZxtKNPv6bZinmMgt5ZAQW7QykZBYJICnKGI1oIIfyZYOnXXbtVd9xzfd88ks3feorH11u76+3 - jQ7ZsiSQImdERQp16aQepK5MDZCN5SJkagZC4mCddjRtRwf/5HPvuPR1Txg1CyzzxkkAkJIhWTEj - JTIyOsY2cOe+vo6uD7bo4ye6riPC2fs+6Puxc86diezIk8EAEPpTpwfV06yfgXFFasiAEffLJikA - hjZPI6KAsokKYAgRgelwwR4UpLMZz9mZmSlmJUNagCIQ7GjyouRYWrYObHjgnvGtt466XIlh9qOP - 6j1FzTggCFLTdnsPYG3cl2AFzTsGNQ4cDBYBQhYiioF9Lss555xzzjnnnHOuV1VVn6XNjNFocMNb - //Pu3ZeAkCJjfWKjD9U2s+Pldj8sEQkh9HHdg8Gg/2Z1dTXGmHMWkRij53Y755xzzrnTyI4qwVMQ - gxgGmMF4Nv9JOF44k3POOeecc845506C94p2J62ve3/kX7HRdtY555xzzjnnnHPucdaPWSiDVERV - +4gPA3Uin/v851Ndd1L6yDdm7iurT7pO+6xjNGuLbyKSs4n+2I/88I+87gciCDA6HaU7dsQ3pgLg - rj17zEhhRe1YoXrnPtUSI4cQcs7MbMDK6uqHbrqpb3N+DJuu5E1XmCrF2F+fGK96xSuHddVMJ6f1 - yTvnnHPOOfcYo/Xou76FaIwRfac1VaxHeocQANR1fRIfMZYPrP7ET/3U8vJBKVbXQ1VVmd2hc85t - HQRYLqUtsaoocM7tqGTcde/ks1+YK8oA1oeV+m8BSxwhUoBiIEUAEELgekphMprb/Z3fMV2aa0Ms - RUOKbc4wZpsFyvZLzYz0iKO2seGhUwbPCHbEUjkAYDt82dD3uw8hNIIDTItXXTW47MnTQezUOCCF - CiEUGIpUBD2x3O5NCEZQghIZoVJcYHbXR27CA/t2iLXjqYXIFFV1CwY0uuPhWVd/CKus/12zgQza - d8c1DetZ1AoW4q4tZlbVHNtxuPOOL77zXRe27XwrfUAjLJDGR/GM+jhX64MWZo9LUIJAw2Aw5nBf - TFf/gx+w7QsTCmy08dfGpmzKGymwhw8gevQR5gSQdLmOKRKCQQlrMeH8bU96yXV7mVcEVT0oWgIi - KYWIk+7UQjp7GUazkAk1GAzAoOSl5YN7P/xRXlkZ5hIUpiSmTTthoCJim52v9r8Bnv13q8x0PDrK - UCMIkdHhQ7eSZikimkKlTTcChisruP3Oz73t7RepDLvWSlaomgaTRcVFgis60y9/5e1v+fXp8jJM - WtECQnykoaTOPS7WD57M68dMIzUqQJkdQ+yIk5pG2qK5Hg3bEB+oKr30SU//hz+977zthygaV1lN - CUVLVSd58GPR7CL9Qeo4k5CbW7WTISg23oPW+cHt5PCmrxsbektvzH4TBOWgs08lQmpUGAXQNoQm - pEJBiHNAG9AG3kqXAEpCIRgqBfeRBwAAsn73OUaS1RF7lPGD8hcsqAEolMB1y/GRP5/MXBiF0QZu - IjeRM7MQC0ITUubAhmAKqPnnHOecc84559zDIW9q6B4rbIiBmsnacH5uksdNmD7Q3veFr/91rqfC - haFsStD1D9ms4OkkD0fDVMe1ppm2WaSYYJDqKCyrskDVKA/i2uILr3n5T7/ul77rmlfMT3fNNzuH - 7WLVDqKkoDybEFm/bHoy+uDpS0A3Xdb1Q4VHTLIci22eEZ1dHm6TbExePOhBT4/N07iPZMTAjn+Z - bY3NG+c4m8hwjOljALOXTAIqoGJUAJCFIPUIS3E6R4eGF1dPfvFzXvuTr/i551/1Il4epckoZbKM - ukKqw7RrR6PR8oHp7FHo8EoQAKwsHcyIok6xurfZc/PXPslzJmwKBgKM2ZSsD2w/C2bh3WPprF7B - 47uyc86d0QIQ+lJCXZ9kovULz6oO+9npTNYEdClNwBkAFDHDJqMUA0oNqilQgiUoupzXgiH0M3xA - gfZp31AgSwwRQGeFCQGCafepX/s/RpFBFglcFG1Z+fJta/uXqU9+mtVHzaruQEoneKpqhAI1NgNM - rdm3jOUVmCibRjTTyR3veT+mGQQMAtSsE5/Rcs4555xzzjnnnOu1bVtKGY1Gbds2TXPxxRe/613v - 2r37klKUCKoaI5dSzBTA3Nzcid5/H8hNRCIiIv0jhhDMbGFhoW3blFK/IqvrulP94pxzzjnnnHPO - Oeecc84559zj6ag1J+tNcwAcsS5r0803LkfffrNj/aw7020sxjtqVd6Drz/cY9dO4AKY0nHXJz74 - QY7/DO2Yl8doMznnnHPOOeecc+4cshHtRkQbyW233nrr2tpazpmYxVQJxgRgC6ZHE9H8aMQGZv6V - X/mVHdu2D2NNMGxqw3367Nmzp38OPT7pbJOzWb+LEpGaZZUPf+yjTT5GSf+sUdnx99BAs/G2orj+ - +us9X9A555xzzp2T+qBuZu7XyXZdV0oppQDoA7xFhIjatj2JO2fGnj33vf71rxeRUkq/6raZHnV+ - /lg0EXbOucdXoIjAqyIl8iBhvuTJez6w477VUQcAQtCjin7MxIyAWJExCmDaNcgrg2rnNU+jK69Y - LS2MGRxDJbbljqJKLDCjYExFW1y469LnP29/qgxEuagWY1VAFUjxxEcnZ1HcBiihsJUgCgRFEq4O - Te79b+/b0eS5OjWmsR5g1q7UOQAg46pQMLRRp1WWkMk0KqJS0BAFg4JBKVGLESYxTmLUqgabYjyf - x4fe/Z75L3ytHncIZOv50wxVKkqP+k+djsg3SLHa16yujIa7X3QdvvmpexfmBZJUwuk8pJCBM89h - kDqgqAWbVLS2OHzCi6+bbttJC4trbWswYhXrrICOjph9GAYoqZL1tZpHVOoGGIEIkagWvffzt3Y3 - f/lCjcm4Y7K6EuKaQi0au6a2AhKQBkPof4GIwFEhHO5YqChpG2LLCeBgCm5BbUpcc8TKdIeFC43C - vn1f+Ff/ZteB1brrBEqwwGxEYoBABVO1lUBP+57vThdf0ImNUj0YVG07frxfnnOnjBKyKdchkGnp - EMOhOuJ7vv3SH3r1vkE1DamLPBVjgnaZARD3B3CyWaSNok/bBQA29N3U11N9lKFeIe6cc84555xz - zrnHnpltwbpx99gwycPhMHeiZhi0f/2lTxycrOrGJB0VAGxghZGWoF0dDmk30RIShiNUNQMlt+2Q - eRvmhsvz37J07Rte8s9e+eyf3BEuG4Ztgaq+9h6+J58NjAuon83JGxdChpaKQrSY1yi2o8t2PfW6 - 5776p67/hSsXrplf3bWIHdqktYnUC2ncrS1uTwqGzZIl+5jJoBVpxcbMTCEYYdKMP3frX6/poRJa - kFK/SGajbwyRnv4VIs49Nrbi0iPnnDubGKAKVWiBlb722jb/I1jBCjAsrZdmE9BZhjYIBpRUSl1y - 1WZaHdNkzJBBqjdqiQwQQPqSbgJmCym1Ig4o6BqMJ09MVWIDBF0HEL52+9pd9y5WtYn062bZQIA+ - iiZ6BhQ1DkiE0GXs3w9RM2m1A3T5G3ehAwyiABBjelRb1TnnnHPOOeecc+4cUlVVjHFtbW04HI5G - o8lkUtf1W9/61ic+8SIAMXIpOhoN+sZxk8nkRO9fVauqMrO+A1dKs5EZZjazuq7NrG9PUFXVKXxd - zjnnnHPOOeecc84555xzzrmz10Y7yEfy1TnnnHPOOeecc+4MpOvRHX13KoUBaHL3pS99iZlLKRv9 - qsxsa0ZHr62tmdmoHmxbWnrzm9/clmNF3NFDZEafDCYm0LiZTiaTPrTbzDZy1reOPlHezMDU1/zf - eOONiddTtx/BRu9vQsylywDqGC65+MIrr7xSRDZWDTjnnHPOOXduyDkTUb9INoSQc+5X5vYf5fpP - diGEk25JbAYmfPazX3zTm96cu1KKMrMvuXXObUEhReLYFAlEw3aKA/tve/9fPomqJNA+C3b9QGuk - WB9/qxIxs84aY0qX6IFB9cTrrgPZRCWEEEBtyaHacuMVRFSymCHVlQZ0ZVq98AWHtu+UKkWgs1ZJ - 637wrehJjY4pABgbIKzStxI1IOslo8VvvP+D2Lu/Imk1sxHbltv+7uEwAIIAYlRAxQhsTMbBqBKL - ZgwVQg7UBUIMaJsLS25v/sye9/3FbsJAClOEMRAAKClgfXtbxQk3trX+wLLxU8b9pSuZFxYPXLB9 - +6tePlncvq9oUan49I4nB+OBJc6kpQBqbLni/SHgkksu+a7r7h+OJgiJQ9GWACaInOADkNp6bvdh - xjCOxGYwQyLMM8+NJ19/z/uxf22gaEUpphQrBrhIQGZ0bCWYkjEsAMEQYFtxsuMkGMHAChaCkrDl - AIGZ5TwXw9x4Wh84dNsNvz/ac9/OtuNcCKDIYFYoFEQohJUY844d3/a6V+9TLRa0lWayVg8qePqF - O1cYgBQKLLcThhnxCvMhtqXve+UFL71uz6heCzwYcFHAEJhMycAAA0wggIz6gnQGQLp+scMX55xz - zjnnnHPOucfGFixUdo+Lvia8zZlqemC65zN/+7HBQq1gGAPaJwP2Q2RKEC40ii0LIkJE20AaHcQ0 - RIzjuL3sfNWzf/D1L/2lp+64tlrdPpCdZRwJcWNn3lgK4bv3mUzJZomUpKACyqDS5amJjtLcYr0U - y5DW6iW6+Mrzn/HjL/7Zay99AS/PLfL5QXg6yTzgsWQjCHOfVjmbBzJmI2YmMtFMARbp3v17brnz - C3kwNVI27qfrjGCk5vuJ2+SkS+zOED4b6pxzVQmHXAAAIABJREFUZyjb+I8BJkA/JywC1fX07vXz - EQ5AkJKKzHeY73+OAmIFUZQOKJgexAN3f/3Xfv3Wt/xbTFBKmKV8z+6jDwEHGFpyLjlLDpCoBSrf - +A+/NVpZodyAFVKwfGjvLX+7WFCphvWnQFA2VVJhPYnCPSUgoFNwABlqpnxgH7REVgpWVXGRI7Sv - y1KcaF2Rc84555xzzjnn3DmtaZrBYDAYDMbjcUpJVefn5y+99NK3v/2GCy86T01BmEwaVQwG1UnM - ajBz13XMLCJ1XeecAahqjLHvU9CnessJrwZzzjnnnHPOOeecc84555xzZ7TjtbUyOtwVsf9+8zXu - XGWkRrr5N/6wv3e2R3pZf4hjXHqb90Z6yNsf7+Kcc84555xzzjl3EgIHAKpKgQH0cR4f+dhHB6Oh - qm5UU2/N6G7JZVDVVYpr49XBoHrtq1/1nd/x96oQjjkSc2p78xgMwP79+1UVgIj032wpqmpmRlBV - Ipo00w9/9COtbqrqP85GN0BnzSYUmPWuiIFKkddc/+q54bCPpX9MXoRzzjnnnHOPkZRS13UASikA - YozT6dTM+vNqVa3rul8kG2OMMZ7o/ZuBiFIKf/iHf/yf3/Z7RVVMu5Jto4fc+i3ZlG3LfX5xzm0R - BmTTpsh8PTeYlh0rK+2nPl4d2JfaLijDEAyhbyJPUIKRMjEDEMutFEOKzIy2is2ll+DZ3zaOsRrN - GbOZNU3Tj8VtIcamYI5FRczAtkKKJ1123rc/dwwOgWOAWuYUCBDVw61JT0z/g9yHMMMAYwbZoZXz - DqzgszfT6qEYqHRShUQe6OvWGVCYAR4WHZVCyIUtMzKzgWGzZGxhK0H7PAnVsk01ff2e29/+B3P7 - 7rfxwQGzFSUkQxSGkTCUcTL1fkbQ9Ua4pOgDX9kIFpSrvRye9H2vxBVPOkhxOFiCsdnp7Y7ChoHV - lC0TaRU6FWWeajgQ6/O///vu3LENi9ugpkBI4Ko6qbPDWUPho8aBVRUKM1jRIHkXqL35FvzVxxbV - WE2zBoTSZZCGxGSlFqlEAQixIQKRjP2P/aH1b2QA6kKVUAmagwbTuoCzmJbEeTg5hD/6s/qmT809 - sHcIqfuWy5Sn2sCsCkwUu1gdXFp41g+8Ns/PrYYwmF9IKZFZHbfY+50750WatpNAGFSp6zqamztY - DaaL8zt//seXv/UKWVyUjAjwgMUow/qa8f78hMCkfZI3wcIRaRq0fnHOOeecc84555xz7hySQlxb - WR2MRiV0n/6bj6xiX2MtKAD9BCsABGXSaKTKOi4TSqRAyagZFcBTm+tGT1l82v/48l98xTN/sDqw - DfvSgu6sy9IwbA9I/QN5rfjZYZaf3Q+NKkhABSSL83OBkaedNYhdHZphNRkOJtuemJ7ygy/4iVdd - +0Ph4OIF80+0jKYrqFCC9lMbbCBDUACkxKoqsCJQUgraUPOxW2/q6omRkIGN+0FYJZxEHqU7V50D - Rw+fCnXOuTOfggBWkAoggPVnJQYY+nljBqAGKDFIUAM0nqBVdIZOMB5/8o3/+/Jv/fZlTbdrZRWd - RuP1e2ECCAqYQUHgqo6xZmaSglywulqvrixYCVCUghjXvvyVatrNU5KSiWanRWyHM8BPAhuYGQZS - qIBhzeoqVCMoRJLSSdOhaaAIgQGc/e+/zjnnnHPOOeecc6dMjHE8HpdSYox9jPd0Ou2mze7du9/6 - 1reef/6uwSACYEbfWeDk9ANBbdtWVRVCYOa+IRcRMTMRhRDMrG9b4JxzzjnnnHPOOeecc84557aC - fnXfRsaLpyO7o/Rr8B7JV5xgDDx5PblzzjnnnHPOOeceExuB0H0yUDEo8IlPfKLP6o4xEhERnQPd - Z05CVVXM3DTNwtz8oQPLVUxv+Ze/biKMU53UfSQ1BRCJDxw4QOtO4+OdqUIIG8mCxvT+D36wyevr - BTa2/yP4RZgZg0wMwOte97o+CHxr7tLOOeecc+7c1n9wCCGsra0R0XA47JfH9undbdsC6FfOnsQ6 - 2RijiOUszPjN3/zXH/rQTW2bq6ryVp/OuS1FCVklxliHlMZNbMvX3vu+Bc1sue96SQo28KZRByMF - YAozVIGNaU2wTHrFi78X25e6wVANWTLFEEJQ2XLjFaqIsTKznLOS0vzCGvHlL37JSjUYm4bEktWK - MDOBmE7+TYcOt2hngAJxKPm8tr3jvX+xqHkpEIup+puaO0wJCu7jVWO/c5AqQYn74GcDGWA0q/RL - qoulLGZd/sN36+dvOY81ACQZIAPPAqg3ElgNdFL7mxFgG/WHRkAO/EBd09VX7XrpS/aNRmtFGVxX - leZymseU2QhqRCFQDCJZVbkarXGNJ1z8lFe84l4tTSBiwJDbHDjaozhvNGDjxwkgQwowAJbrtrmo - yV/5k/di7/5dzDEXU5UCcFKmYoVR2MwABcOIjIzYi4EfASbjqAhms13WmAxVTPPAwqEDuP3rt954 - 4+juPRcPRlQECmKImJrFFGDcFFkurLsvTy/53pUqhcHw0GSt67qU0oEDBx7vV+fcKaMEVY0xRGJS - Y+YshnruXsF0+9Jz/7d/+sD2bavDuQlQGo1VZWbCUOonuBRQBoIiKLOhf9fYXHDuxyvnnHPOOeec - c849lvqqA6+wdadVJDYzThiXlS98/dM233XWiunmoTAyDv0IGqGIxRA0Iyjm4yhNwmJeetZlz/vp - V//Sk+evmdyNWrbtWrzQOqwenESOput3soWL8M8eDES22Kd3z+beAACrq4dKKSlw5FAjjngwsFHV - Ve1eXcznX/eM63/0xa/XfXFnfb4VhITMMFIYs3IUkDFgRmIQIkMEGMKqVfnKvbfeO75LqJ1N6xvr - +iSUj8e6c4aXPjjn3BmKNv7D6AO6OyADszNYA2zW5S4CgCKVLCsWAQbGpcoDHGw/9U/eeNsb33Lf - m//Pb25otHdZJ9Mg+fP/5t9iMobN3gQCuM//ztAOGGtuVRMlKOPQ+Gu/+5+GuQsoiQTSYe+BlT17 - hiGa5AgyLX2lXV8RtVHsdDKvV7Rm9IuXA61XVYpo7ogIgVFXs1cdicKjeCTnnHPOOeecc865c0tV - VQD6TgGllK7rRqNRSmllZeWbr776P/3u7w6qigBT1FWkEx/DUdW5ubm+q1dKqes6EVHVPrEbADMD - aNtWVWOMp+M1Ouecc84555xzzjnnnHPOucfLRrUwWd+Xj9ab+5GCFNRfaUSww7d9dJXF7ky0/tvV - TRcc74L1KG59xF+FjoiBP+blOE/pqIse8/LYbzHnnHPOOeecc86d7Wg2JEYA+mpqAAZ88dZb7t+7 - VwmiqrB+4EK23vhD27Zt2y7ML4zH4/m5uTqmK558+cte8tLHZmRQTZumCSH0MepbsHEYI5AxACPK - Um585zsYFGN4qJ+xw//daBzIfXQW8M1XXnXlFU/RUrbm9nTOOeecc+e2UkpKqW1bIpqfnwdgZn1E - d5/enXOu65qIQnjIk+rj3v96ZzgDM97whp/76m23ddJHgK93+5wVWShoy31+dM5tHUSUIpemWcyC - z39JvvwV6sZcsxFghw+wGwHeqtZXBDEQmIvKlMAXXrjzO5+7f5CmCDmbqnKwuq41b7njJxGpWSAi - NjVqQ9hXV7jyKduvueZQYjEN6Lt3MhHjxMdz+oFNgjE0KqIiKBHYzBZTFVYP7f/yLfj67YtNk8zE - 6NFEC7tzkhILsRJH4SgsDCEwwMZd4MJESsHA0IHkXQeW7a8+fPef/fdLOwud1EMIAJiQCitBaZYI - DjJmo37w8wQQgL4KkY1mdYirVbjn4l1X/fzPdFXdgheW5g8d3B+UE9WnfnNsIqRTLiUBYBaqOJkg - cMqh3iPlSS9/eX357oMxUI1S0MH6ni0n5Jjj8AQEUOLZ9wxj7XaZ5K997YH//v6da2tzomzgUBun - sajEqAQj1dnRYEZ9ePghsXHQGJRBQuiSahSGJaWUpZvLTX3/vk/9izfvmh6agyJ3iaMCbEhAxeBo - UysZFV1wydP+wQ/lpeEKmCgwA8EGqV6cX3q8X6Jzp1iVEotRVxKxdUqN1IOF+5T0ggu/9Zf/8de2 - L/D2nQZ0bVNVSchyMGEz9EE0GkyD9X9GUCIhzgxZrzz3tBjnnHPOOeecc845dy5RRT2sp2X89fu/ - tre5ZxJWMDCBgBT9bIohKPN6IfgooNYwCnXs6rIfO+jiF1z1otc998cGq+dX0/MXhhfFVK9MlhGb - haWYy2TWBsKdJUgDLMGq2VdEWDRgMBqGxMVKLp1KCSbBhMV2LpzXHNRqZe4ZFz7nDdf/4nyzfY7n - ptNZQw82BI1BKjYWLiV0CAAQAgQqpBbLOK18+qsfk9Aa8sbT8J3GnWO87sE5585cs2M0MQgCVrAC - BCbwLLfbAOvPThigVA8MHUpBkz/9a2/Z8xv/6grgkjbvWB0vUFVTAnTEtG31INoGqkazHwxgAgys - QOQUORKAXKCx2r8yCApklBagg7fcusixaVbMCgeWzc/WEBS0Xgp5Qshgin4haGAYcawSAIgmDszc - lAxmmPblPLrlKiedc84555xzzjnnjms8Hg+Hw5xzzrnvC1BK6bpmNDeYTqdPfepT3/nOdy4ujpjR - NOUkOmgR0Xg83tx7q289oKpmVlVV3+ysrmv1URvnnHPOOeecc84555xzzrmtwTCLW7ZNcct998S+ - Z5+vv3Kz3YMf0Vc5MqJ7c4z3xr1t3CdOsM+a92RzzjnnnHPOOefcyTD0FdR9eJupghBTIGDv/uX7 - 7rtPREopqkpERGS25YbEUkpVVbVNk0I0s7ZtSym//Mu/zH2fhNO5PRisgIgQUSmFiLZgKXvXdSkl - Zm6apivl5ptvzrC2yElseIIl0Pde992DKm3BPdk555xzzm0FMcaN9bAA+tW4MUYAqsrMMcbV1VUR - EZGHu7NjICKiAIDAqjDDz/zMG+74xl3rbeQYAE40ftI55842bKgCS9uEtklE9//Zf3uCyEKkrnQw - ZuO+4Gdz3CwRjInABliRVlF2Le74tqfb9qXxsM5IVRpSpLadkm65IQslMMeu6zhgkCJRODjpaNuO - NeZLXviCtaXFhpASGDBDNimmJxtdqWQIirDe6dMAyd3QsFPt9g+8f/7QSsiFY3i4+3Fbi5EaYESK - aMRGRIZg2vekNbAQA4iqA8k72intuedzb337jmlTNS0XNFPESMZQKiBhHG5jy0aPvl96YR6nsHc4 - uOil34vdl+WlxUxo2sm2hfmu6/pU60f5EA9BCYVVE0QE2eqYSI3MFKDRIhZG3/Tql91VxykHItTE - J3oK2nf9ZZvlayjxeti2mhoZSgEAYiSYNisXmPzNH/0x9tw134yTWeQoCJ2AUl2YhbEedg42KKl5 - evfDMmIDoYAEUICFIisvTtrheHrrb/7mzgfu20FlwMjSiSoBoBANbFDRiaHZcf78M74Vz3jG3uEw - B8655TogYGVlJYBPOLreuTMVG5g5Nx2ZRQ6l7Rbm5qyIKYXh/IFY4enXPOtnX/8V4rXBHKcqdx1I - QSqsEtRIjWS9eFxnbxFeGO6cc84555xzzjnnzl3FtC1tic2Xbv98kyYtdcVKTDxrumAAuG/p0Y+a - RQ7tWhu7UOd6B13wome84qXX/sCou2BOdgUZ5g5dycqFK6HQqIxheXOtOJ1Eq3r32CIDG5ExWYAF - gAEupkXFSGNFHE0kk+UqpfF4um1uJ9aoHo+u3nnN933n31/CebXEYGCAjYP2cxCsoSgrBytiYsgC - M3Q2pUX59N98PMepcDZsTGBx/0zcFrdx9Djbl5z4PJxzzp2J+lohsll1oYAEBFBACGDpCgSz9G5G - AQQMS9DA0qI59NV//ZtX5Ol53WRYJkXHVgFSSpfjcFSbXDA+9KV/8c8hOWczQMRyl/v3A10PxZZS - oLjr3//2tq6YlNnj798/vX9vaPIcVYCJSNz0NkKGYIggItCJV9YljqUTM3QKI6ZUYTg0tdJJAPGg - QgRqLgwz8Ll73n7UwmxmNjP/oOKcc84555xzzrmHkFLquq5vHMDMfd+xGCNEU6DIuOLyy972tt8b - DquNH2EGgKqaXVNVAzr+gE4/WLHRjwDAxrovIuqv6a9MKQHouq6/pm3b/puN2zjnnHPOOeecc845 - 55xzzrmzSBWTiZZSsB6Z3Be1qhmneOeeu9729rffcOM7b7jxHTfc+I7ff9cf3PDOd9z4zne87e03 - 3HP/faoqqiHEY7bH2ghgdmeg/rdsZkevmDJjIgJMlREYgQwM6icoVc0MZiDiEKKBsqiFQClZCEK0 - +aLM/ZUFKEDfB11BRgwOChKD9FXUIWjfgw1kIAOJWlEVUYBUjUEmqkUCcSA2US2WQsVEKjJ7wkV8 - d3POOeecc84559xJUFMATdNsjJPkLP0q//e8989TXQmMY8hSzKyPfNtSzGwjMJsBglUpPGX35a94 - yYsJYAIMxEzMIFA4lf1tDAZgOByqah+ztwXX4wfiPjE91dX7P/iBlfHk8GAsAXY4Pb3/dnMs1ux/ - qP9XS8wKe+2rX6NFRoNB6XIIHsXknHPOOefONbQOQL8Ytsc8+7SyEe+9+QZ1XfffbP6RBwvEOHKG - fWVl7Sd/8qcOrqwowZiMiYhKViLy823n3LmKAMtlCFoKrLf/3d5bbx1NJiMYCcIsXBZKR8TNmqGI - ASEikaFJ9I3Eu17zypVBNTUYRSnGpiGQiEV+qEPxuYhNKYWKVKR0UK7qubWunY4G+O4X7tux1MR+ - mIzV2ACQnugDkDEdMWzEAAwmpAgIgdN4uvfDH8Xyci2dkBLZxpDgxnDcWTQu5zkEp1ZfAkoaDanl - 1DFHRS0arUQYcxSQglDKrtLNPbD3i2/5jYv27q1KJ4FCoAh0xYyUSRiKTXHUJ9csPSaYwhQMJUMb - 6L7BAE99yqU/9Lr984MDpggUzCBdCOF051IbqVARUmYQUSkaOVnJEchdJ4MBrns+P/uatWqkhhii - mBBO7E+YDud2z0aAlRX9odjABCKYAtAArbvxhfvv/8bvva0ar4xKllwQa1gSVE0IbWAAwZQhSmLo - k7zdQwkhFdNAGiJ1xg2I6jpOJts7vf3//g/VbbdtbzsZKyIAUnAIA81iBgbaDnHH9tt3zO38ib+/ - MpwbU00xEZtQyciDamj5sThA2ToAmJUNn8I7P2V35c4FghgqAquhiqlrpiEQqwxzbCXeNximl73o - kh96zR1LoxUIA1YwqEJRtAaLKAYKStQfuTUokyEJB0XQw2eVzjnnnHPOOeecc6eP2aycYCM+zGcZ - 3OlDHC3IGIe+sueLJeQOAIvZrBW8EQN9jLcCMKBpZFTPD1DPdaMXXHPd865+Ub26s2qWSJOZGRWK - BOYsneharFoi3bwDn+35u+c2MjCUoQRjY4DJGBYUQRQUA5iKZqWiQYWKWEYMUjQhVjlWq8NvueDZ - r33+Dw/XFuZkSC0iEwV0JVMkihCFqvVFTBRAjELS0trYlm+9/fNdaoWlmIYU+zliP/RtcWYWQujf - CruuO6t3hy239Ms5584WdHglJAPg2YJV1rZUKfZ1NSqFKSrQdNOlNEQn6JrP/Ppbru6Y9u/lYapH - dW7HKdaQEuu6WV0JFQ1RLqwHmK5Ww11mxiH0ldwqEkIioLR5kBgqYXVtiUhSkCaHouXOO0eiIXdM - 0L5WytZXafY1QWZmVgyqSHSCs9dmMSSRHBJPmRYvuhBtpkFdxepA260NBygt4mIGIgHFEOlYffyc - c84555xzzjnn3Ey/6LFP1L7mmmtuuOGGH/uxHx+P28GgapouxthnbPfJ3yf3EMwsIn0BwWAwWF5e - np+f7xsTTKfT4XAIIOecUuq/nroX55xzzjnnnHPOOeecc8455067pmlCCH3mkJiqat9OTQlM+Odv - fOMH/vKDbRECdL17ZyQw6HOf+9xv/z+/BdG2bauUVOXxfSHuhGzkLR21fC6lNB6PB4NBVVUlawhB - RLqSq6riGJiCmYmImplqVqnnRvuXD9x333333HPPnj177rjjjnvuuefQoUMrKytt247H4/F4nHMO - IfT3ubC0mFKam5vbsWPHEy66+LLLLrv0iU/auXPnFVdcYWYMKFEgJg7MnDhMJpO50ajrupAiEbXT - JsYYY2TmtbW1VIW6rnPTMnM9HGYpKh4Y75xzzjnnnHPOuZNBhxOQZ/9jwMc//vGcc4xRSw4hQE3E - B8HABiP86I/+6J+8931EIMBEQeAQNgadTgmDMdA3SQin+s7PFlVVTZrpYDAQ0B/90bsFEBgxmz3c - 1jhylCwxiepTd+++4vLL65TG43Hk4I3YnHPOOefc1tE0TYxxI1G767q6rtu2BTAYDJqmARBj3Fgq - e8w7mYWYgjbOpSeTppS9/+gf/eJ//I+/MxqNps14WNWDQZhOp1Udjz4vd865c4SGwHLo4LbB/Ff/ - 6qZ6Mq4FZBhEWFHblMVLdriMp05RsoGimkxj2vXcb8f55zUhAjDqc2iVYettLftO9FuFEQgaZmNf - DGIATSAM05UvedGBt/6/29UCOISkkpkJ8mg2Ds/enkjVEAgqOiJa2n+g/OWHln7kh5elAwUiYub1 - tqOGsyqdwnMITi2CEhgIsD4dgtkKmU7bPJqfK10m6Nx8XR9q5+89cMeNv79w5x1zywdVYSFAmSBE - Ruuj7/19kQF9FP2JPhlD26CuCdnUYCGuxHT/eTue9xM/Nh3Va1U0IiMoFMYgAHpaiwnJwKZkbAQj - gjFIg4Khqa7vWd6/a6F+5ht+6mP/0y+ORqN62g1iZeUku77MwhqweSZj/V/6X5KhsnzeVO/6xCfk - 49++7bu+sxsNx2aMIKISmKDRQNBZCvhZ8zf9eGrb6XCQJpPVFCsLgYoNmvZCSis3/B4+89eLBw+O - CniWnIIQCEoCpAQFQoh35XzZa16Gy5+wlmqhQFCG6SyZOAEMA05zgDpRH/C++Zpj7EXOnSpCTABD - yRSkALc5x+HCKmfVtYu//9UH7rlr5ROfSvsOVGZ5KoMaRmgmGCZIAcwY1nc6Z+vbom+t00LnnHPO - Oeecc845t0UIpES9e/n2MQ4JdxxAIFNDP4LbTwmQrled8Nxo0B7qUhefsftZ1z3zZeHAXM0LpEkJ - SgVkbCAwQRi+2OFss2mmgKBsrDSbc+8ngOTwnqAgVUAka6giU0BEN5iP275px9Nfce3r3nfzf9HU - ceBOM9ehswxFXJ8XWN+9AEBYc2xu+cbnnvHkZ1WlFkEphZkNZqaeFrnFnTOT7B7d7ZxzZzoCGNzn - ZMMQQoQABajAdRRop81cVaFp0Javv+k3rplOBlAs1ahjs7oyiBWWD+LACi6+eEAABYEVKyCgtBSo - hBBBIjYICX25UkpoJ8jdPJlNplhA4IDxePmOu+fNDEUpKBAAMzARAFX0NTZGZgEEnOD5NptBJEei - DBqnsPjEJ2BQt8YybuLOHdf+41/Ewly7MSse/DzMOeecc84555xz7mEww8xEct+f/mlP/abf+Z3f - fv3rXz+ddjFyLoWZmTnnDHAIQU5wKSYR9bndpRQAMcZt27blnPuVln1Qdymljwbv87ydc84555xz - zjnnnHPOOefc2YWZ+zxmMDEziBE4d+3dd975yU9/pimiQAgsogREZlEtsD977/t+/dDBOlWkpmbr - Lac3JRydI0tyzk1mRkQP7qlaxFI1CLEqpWQpYmqGVNVq1uQcI9V13Zbp17761U9+8pNf+OIXP/Tx - jx44dPDQ6uqDH+LoHeJBeP1r3ybzsssu+5anXfPMZz7zOc95ztVXXz03GC6vrs2NRpNpG2OcTCdV - Vc0vLa2trhZVIgxGQzKUrCklVW2m02KaYn1qNpBzzjnnnHPOOee2NgMMuPmzf/3Avn0X7NwVQyAi - ilxK4S3WimjWIB8AwH06CxkZXvj3XnDReTvv27cfBDHAQAboKR4TDMRzc3MAYozSdcz8sD9yjpll - I4W4f/++v/rQh/o9EyceraFqDFz/ylcuzi+U3EFtMExt2xJ7SyLnnHPOOXfuM7PBYLDxv23b9jPm - AIhoY/Fsv1T2eLndAAxCIDCpHl6o23blY5/45Bvf9Cv/8ld/bW5urps2qmVpaWllZSVGP992zp2D - jCAsSwzcefcDH/7YZbkwQXU9Dxra5/H2ud1CACEooCpgJRKE8XC0+7u/a7pju1CI2t+n9uGzIJ11 - ot96CEYGBZNRMCsBBwfVru/67gfe/SeT6d5Bx8GMmUUknNT9z2J6jQAmCIDAAGCGEXjHanPHB/5y - 98tePDeoGkI5h0bhzLbYeO4pxRsDvwDAUdH3ny3BeGmw1nVVCpTFpqsLa6v63r86+Mfvvbg5mAwI - zBRhRACbMMwMShCCAcFAOMnfSwKV1mKECFrwvnp0+Y/8IK79tlWGMRlUiZUCAFY9mXjwExEMSbR/ - XRIQDGQIpmTorK12Lh7Ia0/Yffnu73/N3/3Bf31SkwdFgZPJoDVwv8Gob5S/UZpprARh2CwNGlUj - F1XNF37n977tiqcOL4uTYRrEUNSMmQyAGplC7XDkwrnzx34aaICCAkbDqeZQDxcJi3fvtZs/f9+f - /un8nm/MRwQCA9DIKKSqJonqLrcaMZ2fG1x91fnXv/SB+bpIisISsrASlJTRxxOfZrQe001Hpnc7 - dzoozQ66BADKZAYUKFfDA5PpcH5BB9i32F79T37+lv/5blkbP0EoTKcyRVUBDFKIIQZA1NuRO+ec - c84555xzzrlzmxLEVGL+6t23jm0ZwZhAZlAYb8zLqNGsjJ/A07abrxYuqC59yf/wmoW8vaoWclM4 - kkCMQMbBEDQSAFajE56JcI8rBWWgXyDAoPX0bmMASro+m6P/P3t3Hm/ZWdUJ/7fW8+y9z7nnDlWV - kKSSkJCQhIQQAgaBMERiYxB502CrNKLStq1NO2CjfpRXX7Vt9UV5G2gHSIu0A2AzqLSAaMsgoIBC - IiACgkASMlSGSlXdusMZ9n6etdb7x3McCE+uAAAgAElEQVTOrVuVgVQllaqbWt/P+dw6U51hn733 - 2ecZ1g/EpfsOxCDVkIiYMvOkOWPp3CvPv2rXTTd+7o5rJWZwkiBmaoLIIEXpSVJCmPUXKHf/ctvn - 98ueiuZBLLDAzALvPHIbtnqGt6/Kzjl3XLLZCUDpXS4XdTacpgYki0wCZIEp5hapu+5XXrlz3PUy - o+3QthgPeyr6+c+tfeITt3zqWtz4NdQ1YAojs+v++6vRthCRWTiTZZNxggLjCUz/4bdfh8mQmhhC - RGe44y6srEfNAZj1epeXYwkmMIUq1IxUcQRfjgoCKglhOcnCo87CtgVwzBzqxR0610fqoKksg8kk - PzgL2TnnnHPOOeecc+7hzsyYeX19nYiapnniE594zTXX9HqRp7MlLedciggcQW9H+S8556ZpyhkA - peSZqpbCAeWv53Y755xzzjnnnHPOOeecc85tRaWvR0QUFkKgwAIzQn8weNNb3rx/ZUUBA5KqAgIk - 1QxwCPvX1/7X294W66o3mMNhJ8W4Y4yZacZmAIQQssqka2Nd1b0mVLHq9zrJncltt9/5h3/0luc8 - 79vOOvecZz77yp/9hV980x+/7Zbbdu1bWzNACUSQaUFIGJDL0HgCML1eCRv3LFcKkIEOJoQvf+3G - //3e9/zif/2lb3nec897zAVX/5sX/OY1r/u7az+JGJQpNL2q119eXQHR/MKCgUIIYppSUoLAzKzf - 7x/9YobOOeecc84555w7URAw6fJ1112XJBuRqm7OZjuhlMpfhyCi5z3320pthDpWAESkjFp/UBCI - QGK6bdu2Ix4M/zBQRvKnlP7mo3+73k5KRIsd0apIwPOf//xuPDHREMJGVKFzzjnnnHMPe0QkIuVn - nZk1TVPOAGDmMm22/L2P3O7CYBu/TUIIoQSKGd75znf/0R/9r/W1UVU1IVTjccsPo9BT55zbjMyk - nWxr6tEHP7K4684FNWJwQBKUcUN0IOgXSlCAmceiFEPLttbU1aPO6V188UqvJ8TBAGSl6X+eOaFa - 4Ur1fCXDRsxwMFXwqNfglEfs+IYnLffmErFoF0LQI2kh041/lLBR6pSZRWBAbbak2t74tdGnPn1y - lyrJZrbxvVkGmD047/WhdWI2Jz7ogilDgWkoNZc8CSKFcSTN7UC6R6ysDz/88S/84R89qusWDX1G - xURqKEdboLJb4BJbTUiMzDCUEamHhblqEgAKQ+D2ut729Mt3vuDqXbAuVDBWYiUoWMFGqkc/moKV - gzIbAJNNDekcqQO62NwpOO07XjA671GjhYXhkVTwnZqFc+BueeQEIxgbQMB8H2F1ctre1Vve8HsL - k/FiGod23JhVmaOWw1MyMiMDsHm34+6OgLqOOXdmVofYtJOF5f2962/8h2uu2bZ398nAHMACQiyV - /9XECJ1pFXrLqG5eHJz3sh9a61VrHGQanQ4yDoqgbAR9qH4uHL19+Nb8cnBHhR68MhhBASEIYaJd - NWjath0m0R3b1yNf8vKXr597zi4R9OYIyB2qgCygAISyIy2V2WFA2bX64HDnnHPOOeecc84593BS - ujASdzfc/uUWIyIQMO3TMDYwSJXUCFpiuZU5UV8H3/r0559UnylrUYba69WdjpXFSAhaeiuCMrSC - 1SUF0W0JRlBSpQwoSKkMZ7LpOjENtyQYsU07i0IgNtKEpBDmSCnSer0oj3jOk/6vU5ozqQ2BG1Wl - MO02KzY35LJBWdax//rdX5rYCAAzm5Iq2Fv/3SZbusvdh+4559zxiqYHqwe+Zco5BmCiGTWCCnKH - /WvYs/zVX/nlS0n7xDBDbxEWsXf11r/8q9V//MfuazfX+/aNbrgeXcKkC1Q1xid1CWurEG1iFEkA - mCk2FdQQA/avDcaT+X6Q9f0YteBmctNtA2LNmcFsCIDZdHiTxUhVFasmhCpwCBtH7febAUrEvd4I - wPzc/GMvQqyGrVCcWza5eX0NiwtoegwOQK8XzfxI3jnnnHPOOeecc+7rMQkM07xtaSHnrm3bpYWF - f3Xlla997Wu7TqsqEB2oAnBk1eJCCDHGtm3LxTIth4jKA5qZiJRS/idsNTrnnHPOOeecc84555xz - zrmtS2FZBUx1XTOziOScU0rD4fgd7/iTzrSUvzIQV9FoGrfciQB4w//8PSHuchq1E9xDereeYHVU - t5KNmqqlROlGjPek7epeX0GjSbs+mYxTuuHmW97we7//bf/6+c969rf81M++4qPXfbI164CxCTi0 - emANyTYtliaKUoNXALVZbjegNv2bDTJbPwwg4mxmAHM0DgCPUve3n/j7X3/ta65+wbdfdOmlr/h/ - fv5jn/z73fv2zi0uJcPy+moz1x+Oxgqbmx+IwsCx7nXpcEt5Ouecc84555xzzt0rAUB413vePT8/ - n3OWWVzNsX5dxwUGNKfv/Z4Xl2IAarlUTahCfBCfhUAGLCwslJHqRHQCRt9tNNy9/e1vJ4IoqCwE - YxgDTBs1he5emYEOXEnA4x5z0QXnnU9AVVVQa9s2hPAQvQ3nnHPOOeeOtRACM2/8ptjoLhcRZi4T - Zsux93387ijFaTdyQEVE1EBQhRle+cpf//jHP55zJqLJZBJjfdTflXPOHQvB0M+Cu/btev8HT19v - +2Ig0mnF8JJCvVnJ6gUDiJhUfFu/euSVV+i2k8ZcGQIbQAnUKUHphGv5mVGjEqBLSlpK8gNIIazX - 1WlXXbWr35d+xTBoruhAMvr9ZwQ1NjAbCNPIXmSoIQAiGrLNd3LzBz5EyytzCiLaqB1Rvh+3aAz2 - Fn3ZxxkGEEyYEpfxgAQAIakMR02PTpIOH/v0za//g+17dg+siwITcBJIMggRSulcAKQIChjawG2A - sBzuEFMD2tT2m0GbZNQbrD7+MWe99PuWAfTnlQJASqQIQTkqABjZUU7vZqXKEKJqtGyUu6CZOQco - IXUdJ+KF7ZMzTz3/e194R11rfz7xYRfYNYISwTgYgh7SDkwwZmMyCgY2dBMsMJZG6+v/cN3y+/5i - x/JdJwXlNOln1JmDRhijhKnDTth97v2nmkltQLFeG2/bv9Lfteuff+3VZ9x82xIycxkgWz4PI8AY - E0o5VjnUy/3Bzu/7Tnn0Gby4LbRZSZVz2dU3OUalaZHno1xv+dB94NHfJfpe98SmICUooAoIkRAp - I5MgqKFbnO+P1sdYPAnnnnvJj7x07YwzdyOE/pIBEBhBI8ZyIDzGgMTIDCGop3c755xzzjnnnHPO - uYcXq2zYDe9cvyNXGQBlsIIRgFjG6YMgDAPYECUs0razlh79+LMu07Vmvt6WM4wELEqqIDYmK72N - DKvVKvPI2i3FaHoqCEpQNmVoOU8ltdsiWSRjgkJFCQlKMcRYcRerSf+C0y59ygVX1GmBOg6Imi3S - tJzHtPneQMZkTAYga2/yz7s+j1rBKD3EDCL17G73MOH7QeecO25NS5DpbPpuORkBFVlFgIGBLkHC - Dde88WyxdNstioRAWB9i3/71a/+ht2+5GaftEac0/cmevdh9J0BMVehksUuf/+3fQk4QIVERAYCu - RVCYgnlJMpOG+QYUsLK2dufuOeYMs2BmOj1uInTARPMkd6PUdpJFhYBw+ON+iML+yajr1Tu/4RIs - 9LNpXc11oP0VP+nnfgbMAOcuVYARxHzqsnPOOeecc84559zXUSY9DgaDtbU1M4uRu26ytrZ21VVX - /dZvvTYlUUUIoVQQqKrqcB+fiEo8A4CqqkII5XyMsdQpEJHy+DnnE7AUmnPOOeecc84555xzzjnn - 3FZX+ptKR0+bOjGre02s63f/+Xt23XlHqZxKzAaT0k9UhzLaWYDrb77pox/7WBIZLMyrz8HaUsys - fPRmthH/YwSKIVQRTK3kv/noR6/+9hc88Rsv+4X/+l8++ZlP7xutCmDEiYhiLUSdWoh1CMHKAwLM - zCEwcxmJTswxxlhVMcYSKTQdn84cYgwxErMAyTTESoFWc6tZmWQW6S2MO/be9Tt/8MZv/64XPvXp - T3vFz/3s7uW9dW9uOB7FfkMhrg3X29RVTR2qWLoynXPOOeecc8455x4UpebQRz7ykXHbqmqMsQS5 - HevX9ZAqFaB003m2aThQ5PDYxz52YdAnwMSYwcQppwftqWEKDaCqqkpb1om28As2pJTW19c/ed21 - 2UoligPL4b6WCB10hoCrr76aDcysWWKMVVV5e5pzzjnnnDtxmFlKaeOXRekrzzmrqqqGEESEiGKM - Jab0vpW07+kFIgCBSRU/8zOv+MIXvkAUqqry+bbOuYerWnAa6tGnPqu33Dqfx1HMhLOAAwlD+KCq - 4mxgo060jlUnaRRtsvOU/hXPXCPOFoWiEogSSJRgIACME24YmlJJLyZMy7Irm7JhktOkbvDEy9IZ - Z06qQKRJ9Ei+XQgADAEWACu1/gEYEEBUVQZ0wGIMa5/5HL745b5qqSNRvuzK362XxrrlXvDxahaY - moEMZEIGQMZB+fT+wrZ9q/j8V77wG//j1N17T41mXSKDWVnhQGxKqqZqwOzExgeaLA8XqTFWUzeu - Fu8cLF72Iz/cPfL00dxcF1gRYZE0ltzuUFLq7eimUxs4UVRwMA0mSiplF2Ysiio2zDFRdVcIp17x - jNOuuPxmSOJ4JE9EUAIZGFra58ueVsEAk8WgXDIbAhAUvdydktt//IM326c/PTdZXajKKyRWDsZk - IJQAD99M7osBSa2OVUy6PdnCyvpnX/sbczd+9dRuFNrODGbEYIKJZgBEqOtqNY/vinH+iZee8rzn - 3LW0NOykFypAlCwoolJQgrGwKttD+YVnZmXc8EP3lO5EwoZgCFr2LWrERmUHxb1QaTcOnFMe1yEO - EfbMb+MnPfmCF3/nrm2DPQpFDcQqYpJh4cBjKqmRGemRfF8455xzzjnnnHPOOXdcMyPds757mIYW - MgMsYGMyhgWAS3bhRhtylNgMmysf/808rOfjfJ5gfrA0XO9i6AFlbIoaq7AKQZhK69wxfHvucCmi - olbE0tXDxmxlLcgMZSigbKXznUszLMiYgimV6QCBQsP9vM5PfcKVZ538aJ5Uc6GfWkQOekjPgDEb - BwVIR7R+0103aFBmqGUiqmP0rgSHWS2aY/0qHijfDzrn3HGpjJMjVahABcgAGBaQAlqgQwYSsmCc - /+mXXrltZRzarh401o+IiuH+yXXXpVtum1eIYJyQxhMZrY9v+BIsQ0IvxUHKC6lFEgzHHEMIAblD - E8CG0dr117xhMEnDlX1gg5HduYe6rFkIUKYygJyZNBA1FeqKqrrUR1OAFCZGh/kVSYbYNEvnnY3z - z7assFj15ltBt30BEIDR6lxdqSBnjdG/v5xzzjnnnHPOOee+jhCCSF5eXl5cXEQphc+8sLCgqldd - ddWrfv2VdRVEJIQKQEqHXQptc42zjfxvIirdJ3VdAyiVCI4gF9w555xzzjnnnHPOOeecc84dcyEE - AESkqjlJCJGZ19bW3vSmN5WaoGZQmw4sDnWdkxgQYgCDgd///d/vus5LTm9FGzOmNkqTp5Ta1N16 - x+1v/IM/fPoV3/Sil7zkE5/+TAYySEFKRLFWYlHJko04NHXOWcQAJo4h1gYWMVVwqIijKnLWlCTn - 0qnIYAJBTbPkLFlNQQBTl1OIMVaVAVklxMghZtOkRqEy4s5k7+rq6373DZc95ckv/r7v/dwXvzSa - TKqm5rquez0F2tSF6kjqWjrnnHPOOeecc84dhKYnIqhh/9r6hz/84dL8dVA224nD6NAQFVIyLYvi - kosfN7sOdBSq8+zcubMk6m3ViKAHrDTifehDH1pbHwHgQBC5j/vfW621JlbPfe5zSyNdSqnicD8j - CZ1zzjnnnHsYSCkRUVVV5Rh4MpmEEGim6zoA5XfffU/C3fSLRDd+HhIRCBSYAy2vrP/QS1968623 - xliPx+Oj+Jacc+7YaURpz/rXPvx3c7mtSkbtLBw3MzIjcQn6BRmCUtjIWxRto535jKfizJ2tICIo - ghAYmZGFkUuZ8ROMkRrbrKYnK6mRTlsoDV0MmJ8/79nfPCJRMwYOLa1+v54CSqXge8Sm/EtCiFxD - M0cIUCl2LK8tv/9vwmgiIqUt1Mw2t85tLSdgW+KDTglCnBldsBQSKDHK2sqsNr+e5j7+pc/+8m9u - 27u3r0NopgCOKGnGIZAGzZRlGhDNhqBWwUI/oxENdiTp3QzreuGO7QuX/vvvx0UX7J8fdL3QpWQI - rFWUKmqIiqgaM0pG8oO9VA5QglIQCoABamwAgnLQUNe9LovFWimmjrvQnPX93z067/RhfSSvR2eN - vhu53UqQ6XXMIAaXwIZAEaAQ2VbXLlyfXPf6N+DWm/N4RUkVHDRECZVGtq23RR8L3O8tDNcmMm7n - 2u76V/3Wwmf+aWeUrGLTD4IpBmURGDEgQNsNdmy/bak56we+Z7S42DWDDFZV48zIlaLKERaNyvdd - GaN9FG3eDZbzD/qO8e7fDr7vPTEFQy2oBCBVhhAMHCXWwrVZpRLQ5rSuhJbisLd0S+zNffu3Ll39 - rJWlhY5qoWAIGUDE5v1TOU8Gnu0AnXPOOeecc84555x7GDCCIu/ec0dHSQkMsCKC2BgWFUwACAYo - gYzrXJ3ZO+dxp1w6Z40lUbWcuA7bKNVBA5kpS+KcGV2AkAkd9fZn9yBSRLNG0UAbWA2LZTYAmzKM - IAThWZcvGcjAgcyMuSaqRFRMhLOwpY6Weqc85XFXLNIObrlHEIXxNAa+dNixcVAOxgAm3O4Z7d63 - tldgAFSVrETHOwds/U4fL4HknHMPEZseZ2y6YAdde/AVZeYjG2BQQ1Zkmx28tqnrg9FmDMdffPVr - zqrCfDdBN6maGmsrWF9f++R16fY7Fgw1uN/UZIDZYtOs3H4nRhOMRpGob2Ewyf/w314JVmQREVQR - JSu8rvPqWo+5ig3AmAyXb725V1UqqYnMHDvQkMP+Xr2/V/fOOnNw7jmDCy9oLrywuuDR9Mido+1L - a73eal2t1tVaHYZVGMUwidwFzsxCZegOC3Fm7gK3IYyqsFLz4qUXx4sfAzD150Js2vEkxeriH/qP - mJ9DCKVfvAqIgX2qp3POOeecc84559zXJSL9fr+uaxHBrC5A102IEAK/6EUv/Imf+AkCzISgIRx2 - 11eZURlCYOacc3nGkuFdzjdNU/LCc85bvTfFOeecc865w2CAMhlKLRTy0TnOOeecc84557asjfDm - 0ukTY1wbDq+/8YZPXHetAQIowCEAgKp0HYcAopSEmBPwF+/7y5Xh2tpweE+PfW8xMe6BOuICZGU+ - Hhk2qpCDCUwZ1oqMU/r1V/+3pzz1aa/4hZ+/6dZbBCCCAQIDk5nlnK0MhCcCRLpu84NLSe0GYlXp - 7DyIyupFzLi3Eq5mYMqSU04UGEDOOUkGEYeQJIupAZPUKdDl9L4PfuDZ3/qc73rRd7/9T9/ZpsQx - DsfjVoRjdW8lJQ9ZYkbTk3POOeecc84551xhdzunOj37rne9i2Pouq6Mpj6h0CxT5e7qGCF62WWX - lRbA0ojE9ADaA+mgM8QBwOk7d6pmBkXiEhR05I+/NQlT3fT+7N3vKh9Cac7lqiq3Hlrd4h4ZCDjn - nHMuPP+CqqoihxjjJHXjURur5ui+euecc845544PVVWZWdd1IQQAvV6vzIcNIZS/k8lEVVU1xnh/ - oklVoapEBKLSM56TiBgBu3cv/+f//PJ9+1d6vTkfMuGc2xJK/qswyHh6mt100EVSkALo5Ywvf3nl - uk/FdhwiE8FgFde4pzoDZXhOpNBqTlUY9+bOetYzu6pKgUIIJeEbxmQgJTLgxKsjvzGaS4gVKBU8 - DTDSuq5bsztz+8ir/tX+GNcZYa53xEuHoXzwsjWImXRiRBSYqO1OM77+bz7Cy/t743EQ2YjuxjSc - lck4lFWCVEmVoA+kPfDomI4Tm61LdqAMrE1Hi02vobJKn2iUptX5y0atpGUPIMRCXD5lmjXWGsFI - bXqGgUhKlWKhy6dNkn7qHz//+t896ZZd86OVaBIYAFQgCjFoOWBSECGGaqP9l6BBLeq9joE8eFwf - TzcLghESYxTqu+r+/DOexldftbYwt9ckmzECAbrpP5oZGdgeimwBIwgRSrjs7E1NJpO61xu1k0zW - 9OZGdY1TTn7CS158xyCsNiFzAML0rgSj6UdQSvje/SkYynbPDfU8fd9KxmQwNYDaLCf3aHH/2il3 - 7f3o639nx3C02LW1pqCA8fR0t2VzYN04+JpyJd39P2xpNH1nZNOi0EqcGXkWX0HGwTStrZzZa06b - TP7xt14/+cS1O0cTjFMdERkAyh5SFcygGBKQwtyuTi9+0XfgwnNW5+b2S6amKkEXBLAxUD5rGKnS - Ue9yok3R9UEBKW994zq1gz7Xw96fz3Yms6c76CY+ZI09DgPjDWVbULbpQqHp1qGbtgWl8u25aWHa - tIr4JpsOYNiIcKIdzgCzgO3NF8vfbjzp100o0xRiUA7rbc6Li3vnBhd87/fg0sfsXuqtwJJhronS - AVYWr4Fk81eWe3BtrORkAMp3vQJgm16zaZ9wZAd7mz6zja1l9oNi4wrG9NM1/4ydc84555xzzjl3 - YtHM7b61u4SSTisxTCvAg6Y9etMmVgOAoPHx51w2yNu4C1VVCTQhxxiBGJTDrPVVS7cOZ5Dqcdci - 6+4bkYZZ7/o93XxIJ5FRTkJKkSNXAQGZUta0OLc02pOffN4zTg47ZZTnek0nUmp33MODALGmiY5u - X7410TgEMsk5KfNx1//r3JHxVdk55x4KpTidlAPXjUNYVVjpRFcrI65nJwAwzmNTMGCtrDFaIJMh - AAtU0zBjlL/6a//fmetr83nEOrTcQhH2rK3/zd/rnXsG4MiQrJa6mhHAQaOtp3TDTagBa9HpEqpT - J2sY7gFpKhXjFWgzuhQCD9uurgawCsM12XcnpRGBkNWUpV6i085eesblp37XC+JTnxqe9CQ84Rtx - 2WV42mXxW5658Pyrt3/ndyxd+U39J1ySzn7kysnb7mriaGGwz7StqtYUVUwcWkNbNW2vvxtWP/rs - Hd92JS56FBYWjRtwP2lM/f7t7Ri9PmJtMXZ11QEKEMDE9zpBdIvbKHFYLpZCh8f0FTnnnHPOOeec - c27LYupypsBZBUCMUTUTmUkKZKmd/PiP/fBP/9SPm2odYSobjRB1XQOoqqrUGrg3pR1DRDbKnG2c - 2ZhsycwiUkoSdLPq/G3bljMlTdw555xzzrmHh1LJgIxhpROYDCxlcujDtHPTOeecc84559zDHpFV - VejaMREF5vF4vLS0/fXX/E5GKQ8HACqCjTqhQlADkEUNQOTfeePvhioAxgQGkSEQm5kRNqZyuQdL - +RRmhbGATSnUh8RRz+6gZqKaYRI5QJTUAkiSMAcOETGORaQKb3nnn172zGe89vXX3LW2KkBnpkA2 - 6HRQfOklVJhM/84GyU+Hymue3gTNqT1wkwlMTPP0DmrTQKFDTrPrTQ7U3jIzkbRRvavcMRs6MyP+ - 2LWf/I8/8qPP+bbnvf9DH657c3VvLmWddCnWVZs6AMyACUGZjDfK5wE2Lf1FCjr+igE655xzzjnn - nHPuoUUb4celKQPTMSEGA2IdM/Cu976nFQlVlUswG4B7apO5t9PDDyuTce5yUzWPvfDiSAxAgRCj - HEkWwTQYY3PsAQiiAuDss8+uQrBcGqPAWz8c5B6b9QDknJuqJoOJllZWotIcy/uGw7/64F/PRvMT - MWtKB5q4Ni1zmrXKhRCmhRoYAALwHS94fs0EUREBqK6b2DRd9qH+zjnnnHPuREFEZVJtUebDmpmI - MHMIoRQBK5HeRBRj3LhzXdflx+Ah8WxmttHTzcQAzGDA57/wxZf9+MuTKFFo2xRCRRRK1LdBQqSN - tCoAB34Teb1Q59wxYoQuaGZmC1GqqMwGJVXSSNE6DQhVjAjW5kmsuJe6r739rRd04zqLwKxEdZpA - UQkqQaWbhzapkQhJG2gZ/VMufQrOefSdbNbvtblVFgNgVZCmFo4KlKlbx25pPPTYOAqRUWZk5kq4 - FighM8TUiFPN2LF0xtOeuX9ucc2y8rQ16V4bJKfx37MTgRRsCJaCJbID32cMwLQCLFsFq7PW0m3L - 6ct/9s7Tc+rnjokQWAlJhZnJQtAQhaMAMCPLzJn4uErvJuOAADUEaWUEgMEKgElZp2uXMWk4MWcC - KkFZlUvyuimZsmXWNqCNnJkVzMZhth8wEkOGSbA62MDQV6nmEU9aG4brPvO51/7Gws1fOSmvVqZM - SAkxoJTSZYAMQdEAbDBJZZ1lCJvNcnABmwVJz5pJrYTMM6gCgAwlrkqNX66RqmpP76RwyeVn/qf/ - kE7u3x6y9eoo3GRmg5Fm1hQscxnMWsKsjyI2ZSSCCLNQiEJRYaTCEgJp7pqKzSRB1znctbBUfdMz - T3nuN9++NLdqFfFcAijCgGTIjBRIqFJUJf3WZk3mZAhqwWS6dzSQghVBwRBCAjpApln10FCxAW1n - gxrz+1ZO++y/XP9rvzEYDnvjVeacgyYmISabBjdjFtFtpEaqpCXWGqRWrgcDzMZsvNUb6JVYCUqz - DHMDG5MFWGUUuypMglGAimjSSvTkSnq7b7nlN3+T3/fBne0k5tRUUIEqAiGQBuKAGCzmTqyZu6u3 - vb70af1v/zcrg94yCfXrYWpDXVUSo0QhTgHCJTdFZlOUjxYCAoiJVDOgFTEmKWjY+Okx/XynW19J - cz+8/fn0K8WQAQ5BVSNzTqn05ARDUL57JPzxJE7f8nS1B2ski9mUIyWxyBHj9WCZrMRSM8CGoETC - NEt5hxqIAJpW0o6xHq+OYFu/Q+twKCEFZAaMWTkqCGqkwspV3SY11Ia6UzXSJnTIqePFbmnnBT/2 - g3suPn3vgBIUE+krZzVUkXssiqBoCKYA3VdpLHfEqPQt0vQE0gBjNSJKIkkyczDYkbVXkIGVWRnG - Ng20VzNR0myak1ZcaRZAjfOD/dCm4gcAACAASURBVM6cc84555xzzrkjxMwHBorbCdmR4B4Syll5 - vHv5VkCJIAZlJFNjMUyYlRXSoWGqAotkE3rceU/Mw1DF+axmMaPOgrFaSwbSWEkVNAZFMA2mBGFf - f7cONiUIUWIISEpnjRKUWEGGYAhGpb5I6c2BWgihYRVoMiSlZJzBJh0tVSdX++avfNy39ELT5pYC - DGzEmHYPqHIWzkJqAJQB3LTnKzI36mS9qSpm1tKn505IZlYyNImIiHLe2o23vh4759xxhwEY8jjH - JjBYoFVoCJFAlrugoKxo5XO//uqTx2mu66J2kTXMD7Drtvz5L2L33kaSaaeqVR1IETkYVEXmQ728 - 6zaM1wCxlKPqzqb+2v98I0Qa5nY0Agij8cdf85r5pq5CLQmYtFhZrtK4jtGIuK6X02T+kY+cv+oq - PvcC9OZRN6j7qBrU/a5p2l7f6j6aPk5/ZP34J5x0+RVnPPuqR33zs0+69NKdT/yG/jmPGjz2seOl - JTvllMH55/Uffe7iJZec9d3fU192GXYsYTCABlSD1U5Xm/A1ar/xV38BVQRXCUGw6ejdj+Odc845 - 55xzzjnnjlSvVzNDNbft+GUv+9GX//hLc4YZTDE3Nweg67oYY0pJRI7sKZjZzGKMzNzr9dbX1zFL - BB+Px03TAEgpVVXl6d3OOeecc25LO6SM8sbgtY2yzQbv3HTOOeecc845t4XlnLN0VVV13WRubi6E - cMMNN7znL9477UMqNZkA2Ea1NgW4qipiBqFN+vZ3vCNJjnU1moxFJIBSSk3TSNacjrAryt2bUlT1 - fiJDCCHGWNe1maVJW3r3RISI1GxtMupy/tuP/92Tn/b0V/z8z916x51yT7HaB7mv2x4KswBvjVWd - NP/TF//5377oxf/u+3/gK1+9gas4WJhfH40GCwtGIKLyZiH3OCvMJ1s555xzzjnnnHPuUBuNYQCI - 0KWsQFL58794r5iFEFSPYo7ClsOGpaWlUpzOALEjXDjTRiba9BcgAgPnnH0WGao6qmoV4kZFvC1t - Iy5mI3bbCE1Vj8djTbkOsRQ8UtWkIoT3//WHOrVSF9/MTJT4oJjzg/9lUBCb3UcQCBXhuc95Tl1V - IgJRM8sqOeem7j0k79g555xzzrnjVInoVlVVbds2hAAghGBmOeder1cudl1nZlVV3cdDlWTucjCf - s372s5/7lV99JYDFxcWUUs65zL1NKfnvSufc8YlMS9lvlPBsMiMQWwjBKLRd13Xd9n6/WVtNX/1q - +5Wv9vbv7wNmJCaAgSwSBUVQ0Cy3+8BsLIZW1d6qOuPyp+VeT+Z6Y82hYjYlKBCBGIzD9H+dcHO0 - SkOPEBuVjO0Dt1AVNbKYnvqsK/b0m5FKjIf56MYAyFAyGQ++bdo6VSKWg2lUHeS09+8/id175rPK - ZKKKXn8ApknqylpRXvDGizTWoxo9e2QICs05T8hAzAQ6ELBhDEzDxk+8dQ0AWCMrM1BSNMkAMkLJ - ckCwzZuwAQjEdV23bRuINKUlyI7l1fCZz33q1a9pvvwvp2jXk1QbQkUB6LqDnos2PVpZ3w5ZD40A - O3B5mt4NGNAlgKmqmom2oYpUVWsd7gJNzj3ngp/76fyIxRu11bmeqrJSv6oBlCQKpZJHzWUS6NFu - UGZTNjXApqnnANRIZ9uXAmpAYl6vqtX+YOe/+97lM3cO+32B1aGSDAqYNdPaLOH40Fc9W24HFt10 - 2U6vlI2bFAbV6VhfwkCw7fa9+NQ/3fqG31scDrdFTXlkJE3TZDEiNtr8mFzSics7m16cPsv0mbb0 - RqMEozx7y6SYbgJBUVVhOFpnWIC148niYC5a3i46uGvvrre+ffKRvz971C2IkiJ1EEKo0SmYIdIF - ZoA1xD2KlZ2nn/vDPyiDZv9co70mpVSGsJZxv2UbwGyG8kOyC2I2JiKCQgUpmxIhTDd7TNet6bnD - H1Jb9qzGs+4dA9QsJwBlOfPG8YDx8bfLLV9gTFCysuNRMmIDmBRmYDNDTqzKxgTCdBPg8t1qm3pn - yqKYDrcXpax335Af3gwQgpTjBNsY666zweZl8woGBjRYJkgG7yXGBY966o+9dN9J29fnerHuk1q/ - qiepG00yMYgggnBE0fLu/tg0JWH6YbEhgEhNLT/wblma/dHZx2empVXEZo9udIwmJDjnnHPOOeec - c84dS2acxt0qEag0vzMEZqzEYpBpv62aiQbwfH9+abCjqebJogoUapaUMpEAYGPSkrVbehOMDaDj - rv/O3YfS37S5a2lT4+rsNKV6UOfOtAOnNFlLJz30+zb/6NMf049zgUkEpZ90U7edAtNocFIy0pXJ - Xg0TwMwEwIF+VXdC2lgBzGyrrwzer+Cccw8FAgIQSq/gxtRQZlAZu8IEDrMTGcMQmwjTFh2jqTEX - rMk5UGDkIdrlL/zSL57TSZ8ZsQLYxi3u2rP2lev33HyTtZOaA2BqUBVjmAqDSCVIzsvLuGUXFNRU - ANbv2peW15AztV3T7wFAHYNINekqkRAZVSW33VYZwSyZ7e26R1z6eFzxZKzvQwaGGdxYqEERFivr - V9YnrWF9WA8pYrAD1uCMR+Gc83HxJeHyp+EJjx889zm95zwbT788POXJuPBChICFbWgGaaTghXaM - btvi3pMXHvezP46liCaAuQLX00xz55xzzjnnnHPOOfeA5JyHw2Fd18zctu3LXvayH/3RHyw3jUaj - jYIC5Zr7Lhlwj4hIRGKMOecS/r1t27Zp2bVZDYKcc1VVXdcdweM755xzzjl3nDA6MGEYsznD0znW - VKalKgA5ON7bOeecc84555zbWpq6H2Lsui7nbGZve9vbJofUULyblFKpKw3gjrv2vfvd70kpLS4u - ElEnOcaq6xIzl8LW7kGn99IQQXcr5zccDnPOqsohUAyh10xSF3q1EoxJjL7/B/7DC7/7RTfccMNk - 0vWaLdOvx8Rdmq6lxvSXf/V/rnjWN/2/v/bK1fV1MK+vrzPzuO3aruv3+0o8W2IKKKZFvnzSqXPO - Oeecc8455+4L0bTUfpfkrW99KzMrEHiajbNRvejg9JETCBEJ7NRTT9VZbQB7UPPnyiK95JJLVLWE - WxDRVq/+c99EpKoqIuq6rrzruq5DCO985zuz5s33vI9a+RulkqYXDAG46KILL7nkkslkAqA025bp - AEfxzTjnnHPOObdFpJQAlMjtruuIqCRwhxDKIbSqlgP1cs97VA7RzTaSp7C+vv7Wt771zW96S9fl - GOtSzDSltH3bSZPxIeMxjsfEU+fcCYUMtaBWDWaAKlEmzkzClvOEg2ZGB8z3F3hleMqou/Ev3qd7 - 9gWjXqxKyOt0J7hRwZmmJ934K0gUwlmn4kmXdEQBbAQRiYqoBxrWDAdiTU8om78GytsPBlaIqoLM - aAzDEy8JZ56WjVmm6adHqX2yn7W6+bbRX3+kEelHZkMSrZtGWYVzCtIFFWKWUAsFy8Hy13/Qh5AR - BAYiGLRLqgoiJn5YNysehqBc59Ckqsp1FK6EoqLJ6GcddNpPWosSVFmFFaRkSB26VkMdurQy34y3 - jffgvX/5pVe+esctu3aSVWoiCAx0loEY4mFPsbSDSqZrucY4RB6rmbS9GETyMMswNnzO+Y/7yZfi - jPkbMY4nLQLoUQxmXTsujaEbicisKHsYPp4++nGsuh2nXv6TPzXcMb8fbacpNDTJSBnBUKkGS4xM - YCCQHvZ2bQQQK4EBU6BFHbgh6t21vPruD669+Y/j/jvne5lktLqyt+7PKVdASe9QtvIaImmExRIF - UQs3GZWCkHPIOeQtvotWIzESgGFR0ChiMA1IXbeybVDNdXlRaKFpJsPhfMW95X2Tt75r/U/f3799 - pS9s2UIVMqBAZ6yAKgIjaZeQJ6FZWdp+/ve9AN9w3u19jGtq27YfKgJCibTeNEM5KKqyfh7lN2xm - ujmaN3dGOo1gn216DyREnGZVuKdHApBgqiLTvHdMs2G2UA+a0abjEFKDIqVZ8Mn0ynJ+8zcvg8lg - s2hpERFN/ivv6yDNNuH5/k05p/MueMqPvmzX/NIKzGBA20Q0FWJdqZIocYhi2RfpQ0M2SsLLQYeo - D9bjEwBVAESkm1pRnHPOOeecc8455040ClkbrhqpMWUFgTaNOTEAzDCDGZjj0uJJc71BpGBZyYhL - tN+s90tJjb317ARDihLZPc3EBBmTMUgVEimedvLO03acydpEJsM9TRkgAFrWov379wvUggnMyKO7 - 3cOHR3c759xD5KCBawTMxg5ioyvQNp2g4KzSNogGyxlQRDA6wXDt07/6S2dH9NbXMJ60wxEMFOvl - z31hvOu2KqeGjSHMxIwugwidggMxqeXxYoz7r78RozEkqeZtg23zWn3+LW+FGbJAMibjxRhtOOzN - 9drROnLqhuuckmS1pp475VScfz6swyO2gyKa+bVYr1T1qK7GVbUeeuuhN6qaSRWlP2e9Oe0SBgvW - dajqzIZBg/k+Fvo2F7uKsTi/RmoL88Muj3II209ZFt27ONizNHjMf/pB7FhEXSFEIBD4QPa5H4Y5 - 55xzzjnnnHPOPQA554WFha7rUkqlrtbLX/7yH/qh7y+3mlnOufTIhhDuo2TAvSm9aDnnpmnKGczK - E6hqjBFA+buR2eCcc84559xWpARhCB+YcT3tESYDa6klQVAfmuOcc84555xzbusqvUVd19W9vqgm - yW/+o7dUG5HbduiwXgWqqgJNe4gIWFjov+5/XNNJzqqhmvYTpZQI8OjuB53S4RWoXVhYiDGOx+Px - eMwxrK2txl49ySk29Uc+9tGnPePp73v/+7NZ+aTa9rD7DY8VNe33+gDqukmaE6ST/Jrf/I1nX/Wc - m2+9tT8YrI9Gda8X60aNSj/mZgywPaCag84555xzzjnnnHvY28ihVsO11157401fE5EtnkvxQN39 - 7W/fvt0A2iim8EAKrNvd/gIXXXQRAFUtQ9/1QU0HP+Y2N1qJSIwxhNBJBsAxiKmILK/sv+6668p9 - FOBSKOt+pG6XJHVmiOL5V/9rE805V1VVKrsRUWAubbzOOeecc86dsMpk2DIDN4Sgqqqac1adVqdl - 5hK5fT/r0pbfLCGEuq5Tkle96lUf+MAHVLWqmq7LVVWtr6/3er1DIiqdc+7YYmhUCwpCNjIlGLES - GwGButyaWaAYsg3GHb74lb1/+/EdxL1YsZipEEDMJRwUJTgWwKwdSQkGNvA6cPYVl+PkhZaRc67r - OuccDEGn/2uj0cfuqQ3qhECK6aLgoGBjgFVVxbSuMeAznvLEXPeyHN3RTo3kMxU3fuBD2HPXYs4x - 0LCdmFmMUViUszAMzMasHMzI7HiL0lQYMwNkKbMBh3yJk85i4ksE+jF5jccSGdh4ljHLAAWlKIiq - wRSkRqqk0whtUOSqx3HAtr0bPmLfnuU/+dN/+f03Lt58w05NA8ldlwIT1XMipAD48BYoGQAqh0bT - Dd+YjEgDUSCAGBkyYR725/aeeurZP/ASPOH8G2wUT90xbDt02gNrzhwCSDf2PzYtAszH285kHMOe - /mJ61DkX/fsX37HUGzdx0hoxzBAMwcDQsspa+XQOf3MnIhgHpgAkA5Tm6mYH6Mz14a4/f++t7/iT - U8ejkywv9ZtuOG64JmMyBAMBQZkMZcVQ8KYU9rJs7bBz2Y8zDLApAUYQmh2QkyoJBZgJiVZKddct - tZMdy8u3/On/vuGP33nynr1n9nrI2aAUKjIwk2ViJg3IhKoJ+6G3zPUfefW39q/+5lvTyrBhAVGy - 2rgCm9k077kEaRCMeFql+mj+LjDAaJqpbWbEEM12t420jKG921Dxr4/KEFy1WZSMBRCDLKeyzmjZ - K8we9/hcf/ieLpUOKWZmEFKOIDNTUqPNAd7G0I0RyOXbpvw/VUVWqLGPT753BnBDI2vT3NL60il4 - yuXnfud33FHXuVePEyBoM1JWo1g+l0g+H+HBd28bpZISoFmIzOwIdg/3JRJrFmYuGxoReQ6Qc845 - 55xzzjnnTkSkZjIaDWnaigtsVLTEtOeNCBBAwIjbl04iDVoaqoli6ZxVVYKRglQxbZItj36025/d - sTWrj6FGrNPcbgrKZBxCKKOeJOH8sy9GF+tQQw+qp3H3tuv9+/erKpEBamwCOdAe7txW5vtB55x7 - SNhBZ2x6ELsxMuvg3O5yFQvXMSr1jWLpCF4ZYXV406tec2G2OFkPUZvBYA4Rq+OV6z4zuenmen24 - QBQlJ81mxhGBZ6MQyKDSwPoq2LMXt9wCVunGaKXXarjjDnQtYGAG81yXGihWV5t+H7ldvn13ACVT - 7fXnHv84zM9jYTBSXVNbn5/f3e/vmm9uH1S3z1e3D3q3DeZ2zTd3zlcrPayGtu3TSCc0VxtyXBys - rexLEWPrUk2pstXhvoWFgXbtYHFBm/mblG48demMX/rpC3/yhzE/B0RkBoLNjs3ibBilc84555xz - zjnnnPv6jA+cNikVAZhRVcHMBoPBaDR6xSte8ZKXvBhAKU1PZADkftTtukchhBhj27bl4saY+FKh - wMxEpMzheZiVSHPOOeeccyeaWbEG3XRRwQAdmC5OnvPknHPOOeecc27LIqKcM4UYY5yk7i//6q92 - 3XlHd59dSKpKzJJzKV63tjb+4he/9OWvfHV5ZQVMoYrjdtLr9XLO4tEvR80hZew2t05sPj8ZtVDq - 9wfbtu0QU2WiqhKi//Irv/yCF77w1jtu72AAsmkIx2VhvHthwGgyJg5tSgrEWCXNAH/hK19++hXf - 9N9/+3UL27crIGZt6rIcVDmsLJ/S5HMs34NzzjnnnHPOOeeOEzTNS7hHZdz1+qR7xzveUdd1GXo9 - bV6we2iTediPIVE6EJ5UMnuOxrOU3JgdiwuPPOOMEMLGE9EDiQY/7oUQJqlT1aZpmDnnnFU+9rGP - 7Vnes3Gf6RK4z3XMzEo5CyaYogp43vOeJ5J7vYaZOklmlnMuCYVH+T0555xzzjl3XCthUWVWLBEx - c5kVu3EHM2NmZi53uLfHKXfbuIOIlEm1bSev+Jn/+5+/8EVVbZp+zpqSzI7qefprdPqbVI+33FPn - 3ImDbDpHykiFsxBgVJJ9raYcQdAa6FbX5kLc92fvPmPvvoWslkV0OjDMVA0IRIfkdgMwsIK60IwH - 8zue9ZTU41RFGJtS5EAGOiS3+8Ruq9DZVLWSpMvMoBC50rqeRDv5GU8eN/2E2u6lxPRsTNQDSqOu - xBaGa73bdrV/+/G51WENxKYej1sGsamSGKkwgMAWAQUdd+MDxYyIAKJOmAhmaqY+UKwg1SA5SA6W - mYWiIQIRYGEISw4iLEJQYkMFi9J2A7b58fpJa6PlV75u7XfefPr+Paf21NoJTCqCgmUyAWiuaiQd - 9vpQVnkhFmIYsxEbM6Ap9asoGa2ireduX1i4+KdfhiuffHOl7fYFqnpo0VfWUdurGwSUVF1jFYYQ - Mv//7N15tGRZXSf67++39z4n4sadcqi5iqKoAimLFlAGx25d3Q6tvtUtauPzOfR6vWjotpFBoEpo - lBZFGWQpDgyCPEGQppnUQtB+Kg9wAEQsoARqYKiCyqoc7xDDOWfv/fu9P3ZE5M3KrILMysy6N/P3 - WbFuxr0Z0zlx4pwTe/h90Tkk3l7p3Zn8JlfNZQ/Bd37b8g99//5+FQHvKdC0QDEpQJI5Zs4lTftk - S8qL5pQTwTtXAT6LoOtcHPVldMHG4eGb3nH4t96w2rW+HS2TS5tjJzy/zB+jhExnQufQekSGAKTk - 5QRxDjsIKarsfebESC45tE675HJ06NRxPdiMklIaxLi8OTz02jcO3/7O1fWDuyvVOAGyQ0hNVqAS - X4MhKowJYQJqL9xz5NGPWHnaT+yvMVpeUFe57FZ8D+PWs+typ2X7JFGSTIiMyHw0PvzMLXLJ1i7R - 3aRZIpXaz9P/nv6rBCEVkpN9e3nL9ikqDAoEFQFBd0JXGQNbvoUds7MoX/EcCLELhGN7/hRHk1GO - /mn+PZIVpBl52x0ftxUh2UwN9fvQsKnVgd27d/34f1j8jifs63sawHmIQkRCCAwXczftrzWn03SV - 3mvHLqpERIqcEhGd3jMogjpizeJArgSD0zFdz8YYY4wxxhhjjDHnD0Vq4lidzkpcbvm/0ndCUAXB - QavFwS5JQlL6UByUSaZF4Et6t24ZdnLOz2UwABiCEohJAMAKJ+SEVJWIRJBaXHXJ17nY18TMJ26A - U4KyKmPUjLImsCopWM/zLntzLjkjM52MMcacQDn7JGCW2C33NdqFy82niUZQOAU6APql17xm17Dx - 7chXTrrGrQ+xtDr5+49v3v75xabr5xSIiVQVqtAMZkhG8JCsAoQQmmY88KH9wu31wx5S9fsQXu33 - Dx08gqZFXUMFTbOQ4oL3IMVkiM3NQV11o4YX+rS6hIsuAjESxFdxaXkf9LobngXHyAnKQAAxIJCI - rv2nX3/FUtX3g55PEVTVoN5FF292XRJhCqh72nf7VTGo12NsewuPeu7PXbXAoIheD+yhDuqnK6Ks - vYzpoL8HOPTPGGOMMcYYY4wx5jwmIjFG732M0ftqY2Nj7969w+HwhhtuWN8Y3njjjSKSkoTgYsx1 - Hdo2ntTjE9E88zuEICIpJQDee2Yuv3rvRSTnHEI4/UtojDHGGGPM2UJaJp+DZnNQ85beTAUcpsUR - Sq0aY4wxxhhjjDFmZxGRqlfnrF1KYHr1q18d2IkcG92twKw+mQKqqipAmfKHcuW1r33t7/zWb8eU - mCAizFzXdYzROauWdTqd7Gw3ZgYTVNc21nsLC5V3n7v11p/4jz916623O09dUgGYkfMOm4VZti7B - dFNsU+nuFO+4yekXX/xLf/VXf/Wa3331RRfsYWJIVlVSK3RujDHGGGOMMcaYk0ZEGeqAt77tj572 - n5+6d3UXklXbnzZSqepoNDoDjz798djHPraua0oiWSi4nDLRuVNMrAxJOvorETOXKQBZpY2dr6qq - 13vnO98pABFDFVBRYWadpnPfJ+ec5OkQp+seee3Dr74GIuS4a7vyv23szvQCGmOMMcYYsyN0XVdV - VZkPm1JyzgEopWzrum7bdp7kfT/R3ZiOo1AAzrmccyoZV6qTyeQpT3nKe9/73tXV1bruq2rbTry3 - cRTGmG1ECVAoIbPqtHwmOQEI48lkabDcNVKlfGFV4aZP7f/YR6+KnUsiKgwmZpWUS1nNUhDzWKRI - jjed6111JR56xVrfd8pErmnbuvLUCQOJoHSvCvL3Wb/zHETCKLG4QLkyWxvleETkJrHV5cXeQy7n - Cy/qhi1HqeRMjYNyKj3FYDi89cb3P+o7/mVvcalarhN5zUq8NdqXt8SdbiMl/lxEkBK65KCimqG0 - NXiSBOqA83EaoAKRkxIUDDALO2VSAViopF+XKv9MygAqkd11FfbtqzfX/uGlL7/8plsuXx92CiL0 - A6Ak6kQ0QzwYDhRPIa43s3ImQGma3KkAiWfXxCREG73+V5YWHvfcZ+Lxjz60ZyX3epPhEM1oVzVA - l1iJHDfNBJUnSHnZmaHKrABkW0VNK0ChuquZXH7VlVf89E/e9IlPD3EHDzerBLdlTygEQIicO8kX - T4rgOGZJkogCyEFBmglwkgdN7ol+6R3vvXMyefR/eVpXVYPB0rrkzOwEQhBGJinvgs4qKhNA05WJ - ecHgHYuhAMGpAMoQAjJY4IhcbOWi1dXq4IHBaHTHa36v+Yu/3nPg4K4aiCnlVHGd1UWVHnoiHaC9 - ikdRJoSuX395sPTtNzxzY7k+woCvuEs1OUqiSkkyBVfWaukU0GNzcM/oJjr/LsNQhuQ4Ub33kXp6 - y/mn72QQs4qoTsPniYhFc9NsfSBSMFhIsO0O7fc+2dDZQaQgBUHQRicglflCCQFQkMyXUqGlqvj0 - I0PKWdHGc6dD6wxQ4rrXX9tY72HJhd4hTYOV5St/9r9+Zv3Qvk988nIvg8q1kyipC8G1ETEnC9g4 - M7ac0ZEIUEJ8nACxdMvmB3i6RNPqC1I+XE6BFMuOsJzr6tH5KMYYY4wxxhhjjDHnCwWSJpAAIqJE - pELMXkXB4FkxS1U4OBJX+wUm7+CVBAJRBU1HnoskwbTDEZh3uW6z5lhzetE0ElOJMuCFS147K3Lu - +v1Kk/Rcf7V3YU2Lo/aIq0gzhJh02kwnxzbIpZRijJh1KxDt/B4h88CUxlts6WnaoWxXaIwxZ4sK - Sh26+8ntJoDLmAkmeMA1pdLZeILR5ud/6cW77z7QYxbvCc5VNQKlv/nAxmc/tzxuFiQFUmgG1Dli - IGWQwAEkZVACIImAAGkOH8LaOroORGk8vtRXN7/0pUgJsbvtd16zlCV1E6ADIR44LG1cGPQPSbfw - TY9B3UM1QCMi/JXA1/3352Khh0GFpRpLNRb7WOxjqcbKAHt2P+ZXXnL1L7zgyp+//rJf+IXLXvTi - W3q7Ps8rh8OFo/qiSe+S/W7Xl/t7PpLowhe+8OEv+eVH/dLPY4HQq9FfBurUCOC7lKZjLTIQp5nn - mdGRnYgZY4wxxhhjjDHGnKKqqogopRRCSKlbXFwYjTZzzv1+/9d+9Ve+73u/mwAmqGQCujbSSU4t - nPeglOsln5uISn2BqqoAiAgAy+02xhhjjDE7GgNewAKl6QRTIWTi+Qm0lM7hDGQbaWaMMcYYY4wx - ZkcSkAjatmXmmz/zmX/+7OeS4sS12eb3gEDh2EGgioWFXla8893vuuvufVk1K5z3bdd575ltPsvp - Ny3eN7uU2XT3hb1XxXjSrOzavTmZfOJTn/p3P/xDn73t9gh0Mi0mx7MajyG4s7UQDwABhLZrRUVU - FKjqWgFiZue7LAq4UH3wb//mX37Xd378pn9qUowqpaLo7AFkfnlwF8UYY4wxxhhjjDHbE225JiV6 - jegr++55x7vePS1Yfq/b69HL+WCeW6CEw2tHjmlhOfXqPAI6+kil6Nj3fvf35BgVQkQ55yRZz7nK - 7UfjjkRKDTXnXNd1IhJC8aZMwwAAIABJREFUuOvuuz/04Q9rSQGEMjGAMmh/ejc9fsCSEGkZyc8K - An74h57kvQfQdZ2qhhCyZmYCoGcs5MkYY4wxxpgdIcZYVVWMsZwzxxjLtNly8ty2LQDnnPe+1+vd - TzXSY87SZ38p4yXapOvD0Y//5E+MmsloMkkp1XU9vZEydPadgI75TmSMMWeTgCNRJCoNLwxhVRZm - 8ZJAxCKpr9kPR//8nj+uNzeoi54IUGKGc2XnRQzZktvNevSSmNd71eVPfAL6vbH3HY4WKMA8aHmW - lHl+1p4khVOUZFUlzrN1kqGqKtAW1ISAhf5lT3z8kRDSVxmSJ9OmtvnlJLmA0Hb6udvwob9bHI9d - 1/R9TeJImafxpRBCcsjkdPvVu3bOqQhGLU26ow2289IY5zchSU47nzufMydQYiRSkLLAZ1QZlaIi - ZafiVBZju3h4f/0Pn/jcs1906U23yaHDUqFXIStyRJM05ezJ1752DrltT+U1EQjiBE44EydG9Dm5 - HHP2oUr9XYdWL3jMzz9Tv+Ob1navDiO6zXZPWN7FC26U0GRf1euTTa4dSJyKF3GzTVQICpbt9MYT - ILFRRweo2lzZ8+j/8Ytf2rN75GvPsxc8+6mlGP60pPHJUHEEATrNibJ6OEeBAUJv0fUR965tLLz3 - 7+5+6euqw2tueMSp6KzbI7J0TqKT6ASQkvFQ3hpST+oVfkeXuFdwx0Hh64ReElYBAA0soUd9P8m6 - b//yqNn38t+evPfPd68d3F0hdsglyJYSNAU48l4h5LTtpAb3Vvfc3l/8luc8q7toz2HS5cEq1pvF - 6OqOtM0h1B0lBCqbpFOh8qYSC/FZWJnTngJmBiHHbjKZhrgct2pOmW65QkSUJI0blNDr6WVuB2w8 - ZXHm3+80ZTSdS0mRj/YPHndgJTCBiKAKYWEizhFtwzZE+b6RQjss1ktL/R5LDOBN70eX7rn22U9v - rrzm7o67CBbEnMBJPSTg3Osi3D54y04gQ4nIKZBKdPcpmh3L7v1ElBO6BJlGd5eb2mmaMcYYY4wx - xhhjzjskIskFJ9CsYGZVLT+33koVzF4EzL609bJOC0EQuWkReIKSKqkcbcvZAY2x5gEqJTIUUPC0 - l189KTOziDBz4KrmxZoGDCf3M1+ASZCVtU2tkGTkjCw2fskA2Pm53bC9oTHGnD1bMo4I4NkfCPNO - eChNI6ozAHDqcs8RYofYfuG3XnlJbJZSq7EjOMoZ6xv4zKfXbrt1l+YlwKuyYwBRoVmnz0Igoigg - B+e5zVp7TikHlc3P3owkUPHQXjvZq8BoBNGFLrq29Z4hCSCZNMH5zcmkd+FerK5AAFGIp3rwL57z - LPQ86jr5gFChCgg1Qq2hzqHuqjr3FqTfx8oKBgNU4Vv/+wu+4frnXfO85131rOdc8YxnfP1zr3/s - s5/9f7zkpXAOi330a11cgg9QB3hf95XgKk96dP3AAe68HDhpjDHGGGOMMcYYc/qISErJe1+qBsQY - c851XcfYhRBe+cpXftu3PTEEzhkATmEEe+mgdc4xc0oJQM65ZHiX63VdM3P533Ogo8UYY4wxxpy3 - piUGZrWVZVoIBgBKnaxp/YMTlME1xhhjjDHGGGN2hpIE0x8MwPw7r37NuGuTZsf3F+EsIt77nLNz - BGA8bgA0Mb/jXe9MIqGuMhRA0zRnZxHOKydVekwJOWcFfF1N2uaDH/7Qv/2B77/z7v0lnV0UClSV - jzEDqOtQruwMRAB8CGBq25aIiCjmpIDzoYldVuw/fPhHfvTJN/7Z+1yolGie3m2tOMYYY4wxxhhj - jPkasSMRKJBVFXj9G94wHI8VVj28FExQAJubmwC0tLg8kLrq87tuWb9PeMITyuh0772qEpFz99du - uXORQlVFRFXJMTnuLSw0Xfvhv/2bw5sbwQeFCvBVF7+Un1ACVBkgRcX4t9/7fZKSc05EQl0x82Qy - KUP9rRS+McYYY4w5z4UQYowhBABt2/b7fQBd11VV1XUdAGbOOaeU2vuNoixTaMvjlIq3ZbItEwOY - TNpbbrn9uc+9vq57wVcxZisNaozZbhJ7IYYSKQjCEAZYeWVx72izYUmLucM/fXL9H/6x33aBAdIM - ZEkSYwYcE3mXZiNyaDYJq+iY1xcH9Tc9pglVK8jEWanX62nKOgvW3TqYh86zvSRtiTkHIKXljaGA - AxERlEPVG7V5EqrBtz3xQO1ad2bXUE5YqugK4k++9X+GSbc8jhRzVkAda4kNlxKKrGDAbbN3TJiB - FDFpqOlKXu48b5WPbpx6CqHm5xKn4jQz8nxmZCYnFBIFgOoku9p4+XC8e224/61v+9grfmPl1i/t - ObR+Qe2SYNIhVGCmnqsq10sSc4oZkhW+PulXogRlEAASJWSCkCRGG/hA8Lf2q0c97T/5b33iXQv9 - brCU1PdcnyY5j1MdeqFXD5uJW+hlAimcIAiczD5Twk7Buo22T1apHFfeNVmO+IBLLv3m5z3nC3V9 - ZGEh8nGvk+QUttIoYOeqENw0s0MiJBHAkFGWTncLLlsbHfmLD/zTr72st++ePc1kEDunCZS2pixn - YiWwMimzMitYH0CC6/YwzXFX8gIvgLKQA9gL+pPm8q674J79N7/s5Zt//YHLR+MlARICwwcQIeZc - dnZdGsEhClyNsa8/3+m3/8zT8fjHb/b7VPfaYTvw/R5VuUmhrjvN6rjNCSgh6HA6fRVOpvv/M6p0 - rDAzKVwXMRkj5bIfLC+DZ9OihSB08jvGWS2h0tWgyKopty1E3HGPtFNyl6VkkKuSZuSEpnU5O8Ex - MeRlRW1ZImZXorsBEMHljK7d6R+ZM4qVkbDgq3a0mWMTetXh2B5aXM7XPPza//zUzYc89GDmqtfr - OYjkNoF59hE2Z5KSKCkAp8KS6fTEz2/9JAglQUoQJVVVldnOwd5fY4wxxhhjjDHGnG9KUXcAqtMa - 7+UnMO15m7U3Tv+Yc8xIyqKqjsgRs3IZZj99wFk/IytD2Zpbzn2ks15aBrj00VdVNW4nDi51MXDt - KNR1ndLx951fk7LtlVgBnBNpzcbMbaN+YmOMOZfR0eF+89zuMqAMipwEgBI6zQnoIBnIAu8CYod2 - fNuvvmTv4UMeE3VCqrUouow77jz0qZt7bVc5Vk0EaBYAvgwzIjhAMyDkCSKQLIEgWRyBcmr2H8La - OiSTY85xSfJHf/t30cbFTEElxhaVR9cMDxwk1ezogmseCgJ8pRzEh3URVAF1rVQRKkUAKihDAXiF - YwoKlEr1cIxBjQXGksMqY0/AqsOKx8CjYgwWQKxwgId6kC/TPqWssHLe5ZADWoeWBJBgPafGGGOM - McYYY4wxp0pVvfci4pxT1dmvSVLH0F4VXv+61z7xiU8AwAxVeM8AvOcypavUCLj/xweQcy51BDAr - KIBZt66IlKoE3nsiKnUKAMwrFMQYT/tSG2OMMcYYcyaUOfBB4MqYRuVaGRnQ6WlwQp52DxtjjDHG - GGOMMTuQcw7M46ZZ39x8x3veTUTELkmeftVVnDDoOKUEIOfp/wkgwO++5nXsXdN1ALz33js5wVwu - c9psLXTLzCIyT7Bm75QgIuxcl4VdeN3rf//Hf+qnx10SgB2BpkE+XZy+R227Q/rvyjYpCkWKEaog - KDRPN1qOWUAuQxP08HDzKf/laa99w+/FnNm7rErOi0ioqtFo03v/YC+MMcYYY4wxxhhjtjUiBwIR - hCDA7V/8wh//6Z90OYW6EmiXYt3vl4HT86HU0zseG1C0o9HWWG06Gq5ARC6Em266qVS0cc7hlEo1 - KQQQYgbg2AEgAgGXX3zRdddeW4egWTRLcF41q+av9ng7T9lUQghd15Hj+UB9Dv5d73m3Am2KZfOK - KSowrbN2XMvt/LdSys07T8DDr77mumuvhQhEvPcppaxSVRWAHKNVIzLGGGOMMWY+l7aup1GT5YS5 - zJMt5+dVVW0tTVs6mmlm/vcyZ3brLVWVShIl4c///H+/6lWvGrdNVvXex5hDCCLivS95VcnGVxhj - HiQKVuezOs1wCkcCSqpK8KnVmusFRhivffHt/+uKtc2FTBmQrAFMBJAwI0NTyp4AgGnaaMHkSZmZ - u8q5hz8UV181ztSvF0UgIiwKIDNSqWoJRqnbeeZzTLeheVtiaXzL5cICCIMyVIk91YkqXH1Vd/mF - jScBFCDm6RXn8n085slSwkTh2dXtuHfwwME//pPdWXQ04VA53xNiVVHpOCBKrHxf4rabUKfIFTP2 - HViE56w+MBGlfP5tWCfCyj67XscLEX1Rr0k0ZooSWOGTsDoPkaXYro5H4RM33/HzLzrypv/10P2H - F6UFC8XsBPCYCHfglFVyciAuwRIOMZ1cOq8ShMAVOoh3cKweQIaGcDD4fRftedQLn44f/K4DrnLV - SmzUq6OkRMTeN5ImLNIPHSSTzuK64RSs8IIqw8u2a6in3FWS6tj5ulpfXsGjH/3466//7GBxGIIK - QPAeOYFBDMjJv3hHkJwldT6LF3UqHSMykoAATwAUudmb29WbPv23z74BH/nHPW1Tu5h0HDTXWXWS - au5R6GUOMSvArgrKKrk7fTGuZ1xpRZ+fq09P3EVJxammTp0btAgtBYEuI18wWXOfv+Vzv/TL+mf/ - +0oV6hIxZ0JOgCIKHEFJsouJNTKcw0ixb7Bw1Q//iPuef31kYaGhijpy5AFus1AdWpLIiKTk2Kl6 - VafTAG8vUmfU+YzPSPaV62IE4EFLnpsDh/rBIx+fqs2ndvxVVYfplkrT0wBWEQwn1HW+lCESccRl - hPMDXZ4z5eiSlxLYmoWJUkqu6uWvfKXOZV+lx7xhW67L7GugKoiZIFXKOLJGs9Sc8r+lnJN975ur - 2OVx22dUXloZca+K2R92i/iOb7/sJ3/8yK7dR5KqI9Jp7Mx5eIp4Rm3tZpyW0J/9TkReMDq87olP - ywe3fFzK58sTS9NBwYwSCDStdGaMMcYYY4wxxmwPzDwPUT6ao2zMaadc131SkpQrD81CilJAHoAI - nEPO8J66rvPej5uRr7nLTUZ2zs0HtMybwZW2bQOsOauIKKVU4gAAlEDu+2mBK5tcCKHc0nufc946 - FMqcb+YHQQDM3DTNjt4crPXZGGPOFjr6kwBXriq6NjrPopDpAA5hoEutA9C2mDR/+6IXrU5GYbQZ - enXTDqucoMDnPnfPTZ9cUix6apvJtPwdHf0JAODpfl4ZykKzzn6AFQtZ29tuQ4yQDNXxwQMXEdC0 - rm2I2DtCTsg5TcZEJCHQ0goGC3A+KQ+ryl96MTy3YIAdPMGVIPLSv0pgAggMsMArnJKDcwgelUfP - o+dROYRy17I+eL6i5rnd895ZJWQgQxSYTWE2xhhjjDHGGGOMMafT0tKSap5MJv1+/1WvetW3fuvj - S49sSgIgZykDA2KMRFSKdp0sZi6dbczc6/WGwyFm1Qomk0mpXxBjDCFYercxxhhjjNnmSsUTpVKv - hFmm3Z25y4iCab1gIjhQmVf9oL5cY4wxxhhjjDHmlLRty8yDweB1r/89BZJqVrmvKVX3M8eUPd91 - zz3/71/+dUrJey8izXjS7/fP0Ms291LKV6WUQgjOuaySUqp7/Sha1fXLfv0VN7zwBVVVlUpbWabD - wc9J0+mm7AkUqjqKvOCFv/jiX3lJ00VfVeOmKendC4MlmzVtjDHGGGOMMcaY+zcttQ+IghxFkZe9 - /NeVMG4mSXKv12uaSamT1ev1HuwXe7allLqu279/f2lhyTmHqjrlRysNkjlnz65U4f+uf/Wdnp1m - cc6VcPRSDeo0vfxtJ+dcWvba2IFZCcPh8AMf+MDW23yNjVk5Je98ykmB//PJPxbb1trBjDHGGGOM - OVnM3LatiBBR13VExMze+1LlFoCqhhDKXNr7ehDnnEJ5Fnb1m7/5O+973/tCqIbDcVVVXZcATMat - cy5nOQ+/VxpjtpEsqrqlcPG0FrMK9YVWm2bjHz6+edNNF6bscoYerT8gdDT2Twik8xqV05Y1ERmD - Fh/5MCzUCd5FchyUqIRiKknmY4J+Tzlwekc7fgyXEJTARCxKRCrEGZk9BnV99ZWtK7npwLzNR/U0 - Vp3uVdQ0iZPsyt0d7/8L3P6li/uVpjgej7MQMwPqCJRz13RV1efT+eQPFCtIEVSxvtmLmSEpJVX1 - fD6mwp8QZ/IaWD2UCc45J4663AUvtbYrk9HlzWTl8JHmnX/ysRue7z72jw/Z3NzTNLVEhrIwCQuc - TOvtCiA62xBPrXRsl5E61H0XU3YqMWW/sHh35o2HPuQbn/P0+l99yz1LNVZXo3BUiqqZkJkSIzEn - 4sTIzADouOBhgjgBb6eStgTxKDV8c5S8BqwtreCJj7vuP/3HuxcXR3VInrsOHqicTwJfP6Dnciol - jVUAIQgTyBMRUx7E8QXra1ccPPTBV7zyi29928qhIxePJiuS+qRL/V6OqR1OnJBzvo3daDJMErmm - sHCf573bTQihRAvIFqrqnBNCPVg8vLFZ9RYGjpbb4craAdz08Q++4PqFz37mUVUlG5sLvUqSQtHr - +c0WdaiUvJDmnOHQAZuE9eUV98THrfxfTx4tD44E17FT5zJxYiRG55AY0U2rQ89N4+pnGd5ner+U - c/aBVYklV5NONjfdcePDdVbC+lSPv3zsfdWJYNL0MjliZjBjuvJpGx0s7u3YVBuR5IkZhGaCUVNl - uLKfu49VRCBSnh7PVVhyTwTDkWdXEp4AlK2RiO7n++N5pey0CQLKSllIlQB1javvrsPKD37/xd/3 - 3XcPBiOuUsLSimubc3bQ+3ajTKTZZXVZVWYH/JNc+eX0fssOUOZ/ZMnaJUiGKM0i7a0f0xhjjDHG - GGOMMecbAig7qGdlUlIVYtWciJUIIsg6iz50nDWtbx4edUOu2Vdu1IwAODhJufbhaPNsaedU3kb9 - IuaMUpr1zgkgpVxq13WL/QEcyHGbJko5xniC6O6jDXJcSpSUSHjM5nQYc27Yxl1TxhhzbtGtZxd6 - 9PeqDqIgRpasmjM6oFv0DhLRNq/81m+/NmNA6hf7sev6dR8p4jOfXr/50/XmCDkJiAEiKnHX0yGG - yph2z9O9+pDnAd6T4XC87260E8QOld+7e3d/bePgb/42SwaTd4zYoW3bSZOdG+zagwsuQtTcdJOc - N3cPLn7KTyGEnDJlIAHqMRsbRwoHuNmYD6cgZYKHemiAzC7qAa/kM3yeRn0jE9LW3O6yygikqCAL - QA1oecKz8J4ZY4wxxhhjjDHG7Gy85fLVjUabRFrXIaVueXHh1b/zW9/wDdfmDCLUtVOFKkpit6qe - Qmmz0t/mvU8plbuvrq5Oi9aphhAAlMCAruvKr8YYY4wxxmxPSoiMyNNyJ1v1qxrskFITuzK4MWlC - RTb11xhjjDHGGGPMTkTgqqoPHVn/gzf9IYilJB9Dy1hl2jJYWbfcZ/4vzUZMxyQCvP6Nv+9C5YJv - 27bX68UYH5ylOtcdX6Y2xljX9WB56fDaEXIccwbTuG0o+N969Wt+9ddfnoFJ1wngvZ/W0NoRY7Xv - PVL+WHofS0FEzAJquzZUdZfzq3/vdT/zjKcPx+OFxYESTdomhDAcjc7UyzbGGGOMMcYYY8w5QXIG - UNU1ACYffPjinXe84Y1vbGPsLSwItI2dEkqQW7nL+RAvVAbSlFS5j3zkIwTQ0Tymk8bHloMSzaWA - wo886UnMLCKOWJFFk/de5JytJzYvdFWuRMkf/NCH1kcTOaZqxdf0QABAQoADnvTv/72q+tlK1uPG - QRljjDHGGGOOl1Ji5rquAZSvISVorWR/AlhcXCzfBEMIJcn7hGJOPgSBllN6Yjz72c/70Ic+tLi8 - zMGX0rflu1XOmU9QK9cYY84GAlxGUGbywk5IVFU1q2YfQKON/rD51JvfttKOdTKunSe4Uj+y5HZv - pYAqqNTMVAUQoQ3TJY99zLCuBV4jMTsAIuKnRTXnrT2M7ZQAfTZtXY2laVFIhJRUVBKYkopTFg5t - v3fBv3hU61jJKbmsAAhg6Ols8uHsAqAOtcTq81888q53Vym5yaiuqlBVcJxSYon94CmB8/ZrbJLk - VbH/UD9rYBIBVJjvFS57/gohJOhQdIKQqE45qFBw2kwOrqQjl44P9z76kVue8/wvv/aN10bZE1uv - ESRumtdNADmFU2VkUAZlYcksCnbiXHZ0Mh9kUiz0e1GQ2swOkiSE/qHk9Jqv+4Ybfg7f+S13sg6h - uQqbqY3MtNDvHFqnrUfnkBkAnKA8qRCSK5HJkliU5F5xvNsB5QRI9BorJqLWufFVV678wPde8qQn - 3eKrEXwVvANSGytH0p78489K+5ZCxQyEDC9Q5o64I1YiDw2aQm6Wh4euOXhg/++/+c5feHnvjkMr - m5u5GWbNXdftDv1eYgDV4kK92Iuc1tLGRtzI22+VnlA5DKkqMzvnmJmZybsO0hE2NS3uWR2vHVhq - NvYOD6392btvesXLLr3zy3tSbEYjAXInIlr7XtOluuJJQlTOkiuHkKEOB+vq0JVXXvPC521etHTI - EdV1Ry6ybx1ah9Yj8XT75NJnpJSJOofI0/+Ss7J9lm8cquozEFOzf78XZdJSCrpsLZlYiUjBkAe0 - kyyjkkkIgsNrC1lZsjIJYf5enJaFOu2UoMceIBhgkFdCyu36ZiXiVOdhJbolcr30udyrj8yp1Flw - +DCLlj8y89Zt8qwt2rZGAhJhiQ6JwQqnUk5octXbCGHv0/5v+vZv3q+VcwGT7OwgfmaUfm3e0rtN - RCLiBRyzpjw/rJym54NLmictFKQKoOTbn8P9v8YYY4wxxhhjjDEnpuwo1G6BNXhiEhCpaCIiIirF - 4akkDzoIdQfX7pGQJYh6BWspBsLKELJo2vOTgjEtwiGz/uWkJCUUAECbmknaaHWk99sZQQpS1qS1 - rx0cK5efZ2UhzHZ0r+z2U5sftH3YpmyMMWeDAgLI/JctkyCTqDKi5CyxIlqAW4Cj8RBdi9j89L/5 - Nytt2yPStpVRg6j4wufXPvlPvL65WjkkTUlCXWXVEsgtJ+qznP5NefrkBFbsrnvcNrj9NnQtNje1 - bZZyDuNRYIIIQBDFxpA0d8z9Ky4D0A7HbmGgtf8KIioGc+170zF95WfJgaJjp3jqbPnv9Zq2vFQ+ - 9oZ6r1sCUEEGMkjLOB87fhljjDHGGGOMMcacZlVVxRhVtaRrLy4uvuENb3jc4x6tirbN/X4NwHtf - OmtLZ9tJKR0qKaVSlaAUHShTR0SkPGD5WVXVaV0yY4wxxhhjTrOS2D3r3+Rp96eygEYqYCBUvVCl - GBUZ3nc7fXiRMcYYY4wxxpjzlXOOiN7//vd/5e59oqIA+H4LLN33fxLzBz74/916220xRiKqer3U - dlYq66whos2NjaWVFTCran8wUMe//wf/z/Nf9EL2ASAfKi1deOdYM8bxS6OaU3LOKVig7HwUece7 - //iG57+gSzlJJhfa2A0Ggwfh1RpjjDHGGGOMMWbnIGYAKSUi6lLsUnLO/dIvv3jYTgQ6aduq12Pv - wHS+DRsRoI3d5ubmzZ/9DADnHIAU4yk8VFl1JSWdCKpgoksvuvDxj388yXStlgxvHFcM6FyyNbZB - VauqevOb39zvhZN8lOm/KUnP+ese+chLLro4OHfaX60xxhhjjDHntjLHNudcErvL15byx36/T0TD - 4bB8D/qqX1LKfF4iqiovgqryz3rWz9100ye7Ni0sLOasIpKTLi0tjUajs7FsxhhzHFYEpUoJTpWQ - QEIgiNfs29GFwPCdN1a3fGFFkmNgmqt3gqYw1mlxSgAgEqg4Sgxa7K1cfdUkOHDQpGAiRwxRiJJi - Fhk4H2N2OjOod4gS/CkE0ukENgCsYKAcRFRVySV2myFceO0jxPtEqjQtfEpEcvoaJ0mRcvIcnAe6 - dIXzd37gA/r3f38FUT8lqJZjX4pdxeSJc0yn66lPDxKNEUA8cKgv3CNHJW1+urkBwDTT+HwtOj8c - b2ZkXwVyDNFadTHm3RvDr8txzz133/KKl330Rf9j1+1fuHw4wpEDdUpumtkpmH3AnapTcQqeTcAs - t2D1hJOtT8J50vbIR0FkN+739/V740d+3SOefwMe9013eJeWV9XXh0eboV8rU4xRCcqihJI0QMqs - cAKAhTgx0iwaOTMynbhy74NIAIFm4kxQxyOVQ5LWVpb3/ocfWf2e7zm0tLImSrWPAHyvAx5gSV5S - OIETVkVWygIVYlWvcIqFrMvra9dlqT7+qY/9zM+tvefPL53IJaP2Yue5aZiEcmxGG7Ft+r2qP+iJ - U90hA4LLmTwRldBuAKrqoL3gIZGl63ejh3Xd0h1fvv01r7759b/Xv/3Oh3nP464CD3y/k7TAIbaN - CKrQc+Qb7ap6QYDkcMDR+tUPe+yLXrRRh8O9eoKcUnLkAZ5tn6J0dOXPMjOQabZxEoQh91FZ+jSa - 9zs4FShP7tofspAcPdKWV5WJcUq5yHrcnYTAkObA4V5STVlEymuYd/fsCI4YkhwTQrWx/1DIcHLM - uUpRjt0ynYSuKIW6FU60Jznfs59yEpGyEramdz84S7XNKCAkQhIZmZgULCAVIW0krwffrS4/8mlP - oeuu+zL59Yi6PtHZpzkDiAiiJCJNwypEIL6/ySNfu7LHc4o8bgBiUNkz2IfCGGOMMcYYY4wx5yVy - 3F9e3BO4InIgOIJIJlIAolCQMrKoUhJKR0aHGhm1aIbtRjWoFLnUeI8xs4KUSPm87fk6H5W+TjCp - OlVQ6ZgQIQkhpChJcpMna+P9rQ5dHURL69zR7gE+rk0uhDBtz6fzbl6MuS/nwJZgu0VjjHnwlHru - Dh0yMXreU0rIgjZDPIajW1/6qyFPJpMRNW2gqnYV7rjz8Mf/caFpeoTUZWY4dtJ184fkMsQQQtMI - 7GmGNgEMKSMXS69yblttmiNf+iKgWFxOKdUSV3res0spQQFyurbmnJtAcNHFaHO9ey/aJlfuCc95 - BmpfhvNhNsAs0fSN3/65AAAgAElEQVSScXTkhx7bjaqEXAYtETIBZfRABgkUiFsCvqWMjywDLTMg - DGEoQ5nBZMcvY4wxxhhjjDHGmJPDJ+4RIJlfJMd+r5IcY9esrKyIyO7V1Vf9xm884hEPY8Zk0gJo - 27bM9yjB2yfLOee9b9t2+uRE5adzrhQpKNOcSs2CU19WY4wxxhhjzjDWe8+mJoAViXm9z+h75EjA - Qqi9ugj9KqlmxhhjjDHGGGPMdqWqo9HoTX/4ZpRRvkwEt2V6HvPWHii611WeX9g7UWlTfuOb/iCJ - 9Hq9NGnquj5by3G+2NpeMS9cS4rSDdfr9YbDIYCqV69trL/rPe9+/i++UIjaFAWIOaH03ymOuQA4 - 5ur2Q0e3PTr2MnX0pQszXGCQlGytrksxJx8qEN78R2993g3XuyqAqUuiZOPVjTHGGGOMMcYYc3+Y - GcySc6m5L9Au56zy9J/92Y3RsF5ciDE2TcPM5M67doa2bT/0Nx8uRQK6nEr6xSmY1vRRQFEFXxKJ - fvSHf2RpsJglOedyziUSL8bozq0U6q1tffOh9UkETJOm+eCHPzRu4rSKxNdOFYADUk4//mM/RqKO - WFImFVKZRsMYY4wxxhhjvgbl+0iZISsiKSVVnUwm3nsAZfpt13UhhPt8CAII3rOqdl3y3nddOnJk - /dnPfvaRI0eapmnbtkzIHY1GNr7CGPNgIYWfRvBKR1kUIPaEvqQLuwZf+Pxtb3nHI1pUMSeBZ4h2 - BNC84iUBNJ2EBYCJSoBlgmZH2fNg7x4sLTVg+JAAEZmnVxJAqk7hzu/cbiFkBgBGyXktKeoCEZIs - gDALcUvUMPUvudQt9EQ1Q8VRcXoHfXlwTDEroAiT4d5mdPPb3+aPHF4cDnU4lpjrfg9ZYmyJhf32 - es9I4ZkgMtl/sJeypuQJDNIdlRp7BpEsrvZDBc0Nx9YjL0u6cDxaPrgmv/vmm3/6v9Xv/+ur19do - 7ZCiWax7NGuPLVtpZOQSSKyzNsv5oL5TXcECUFgI3Fun8JVdq/k7v+WaV74I3/DwfYJOqqpejFly - TdwP0jS1qFN1IqTCCi/lwqSsxCVAVwglnCCxJLft3ngKQdipcErSUBKnFGPr/P69y494/nPTNz52 - be+FR1TJY9J2deif7Ouf7kWPyxh2Sk7hVVgzQeAAByKsBKSNIwvrhx6+MT74m2+653m/Vn36tt37 - 9zvZyDxccHmXD33VPGlzkzwH2jm76enuUTXnnHMWERVJG+uLEle03TNeCx//xGeuf2HzjhuvOjC5 - MkBHLQMEB6E+B2gC0GfKkzbJeHVhJbXjUcbmwnJ8xNd/4wuu37h0TztYcuoGdSXjyRJXrklOhVQI - wiqz7ROsrMSZWIgzs5BklnhWts9pkR8mD0LSyT0Hq+Pi1zNRPqV39Whw9azodPkjKSb7D1dKrCIQ - zIsObbuP4wmUZWFmSZkVIBodPFRl8fO93LHhNzrL7VYotJQEh1fpK9bvuhvEZdm3JnZbnaU5BTKx - ECmRU7iS8U7iPHOvdwiMyy592DOfetc1l68vDBJqsRLlp5Uc+8FnnZ7Py3RbpXZz5ECuFDI7fR9f - p9KORwCVnuXy6SifFGOMMcYYY4wxxpjzCSP7XcsXQEiz0GwoPQBVEIEoEFwmZGS41KXRLV/6DHp5 - IhOlpCSqyiBPTHoftejNOa20l/Ks7VoImTWzMnPOmUirPn/pnlujGymn+8pfJgUJsVIv9Bx5Utas - pHya+57NDrej87tt52iMMQ8eggAZYJBCm2YMImyOkBTD0Wd/7Vf2SFwIYbC0BHVoOtxxx76PfMQP - x5XAERLAzqlqp3AnnrI7nx4pwPR8mmcHLefcYqhkbQ2f+WfE5F3FjHbtEHJGGVvE6IZDR5zrCoM+ - +gtxYxg1NyRwrosdiLmcn/P0mTJEkBjigPnc1mkCN0NnceKY/mGWzD1/SbPL0X7RrYXTZlfKfa3v - 1BhjjDHGGGOMMeb0yjm3bRtCIKLhcJhzrqrqsssue8tb3nLFFZcBqCrf7/fLjauqOtnHJ6Kccyk6 - EEJwzpXr3ntmLh14zrmSC37KBdqMMcYYY4w5O5we7bXMhAwGqHPYHxKqjLqK44QkjjjAJoYaY4wx - xhhjjNnBbr755o9+9KMKgAiqqoLZuOV5d8695tQc/0W4VBNT4J3vftdoNIox6o6eiLPTOOfAlHOu - 67pLsYndP3ziH5/xrGeO2y5DfAgCFZEQgqqeQj/gtnWv9G4iEpHgPIAUIwB2LlS9NnZJIcCb3vyW - X/6VlySVUFdN1+2cSpLGGGOMMcYYY4w524gopzT/terV3nsB2qx//pd//cc3/umkaZTQHwy6FNOW - W57bpsUECKurq29/+9s9zRoSy8jwUxpBU3LvAKSUyv2f/OQnd12rWRyxiJSMjXlm3jnJORdzUlXv - PRH95V/+5aQ9pY2qZGAQBPjB7/8BADkmzyeIPC8lKay6ljHGGGOMMSfUdR2Acn7etm3pifbeV1UV - Y3TOAXDOEVGM8X4exweXswBg5pQSM+o63HbbF5/61Kd1Xbe8vKpKXdf16gWLcDPGPGhIoFkRBVmg - wlSitRdS5zc3v/TWt104GlfjYSVgRoYwMCt9OU34O6Z5gVmBDBWmyEiedl10AYhFkZizo1kcoJCC - VZyCdBoWCExDQM83mTA/BpRQ5OlqmcWdgil66hx3IPQXlld3KSFDQaSEUj79NLbxMBGBckTfgxUr - sek+/onuxvct+3rF+9x0zI68S5ozi3AGttchrPIBbbux/4CLSVMmmodQFjz7Sadzre0ca2trteoF - ons3Ni5fX1u86671d73nY09/5p1vfecFd+67YtjtHnUrHpKQYtPl6XnOLBJbQVo++LMECdA0xlsB - AU6uSVOBUNeHuvFdtb/nogsve/KPXn3Dc4aXXHALiQxWiHvrR4YkVIdeaiaBqGL2krzAqziV+a4D - KCVwmQA3DUue/nFbUXBUFVDNlVcHAKxEFB1vDvoHgz7y+p/Txz5mfbBrpNUQmfzJ7RCVkIlzabTf - snkzxDMFgivBygQVqABAjljp0UDi8nDj8s1h+puP/N1zf379T9974draJesbK5ub/WZSCQI8a0By - O6jEfdl/ioiqMrP3vg9cDL10PNpz51e+8No3fuLFv7r42duu7nCpd3kMF6rK91rELBMQkqrzEIFK - XvB+Ml6PzMPB4pd377n26c+I13398MILRqISU+V83Qubo2HdC6ziRZyKO3poY1KeH9rK9ul09imi - M7mRkpTwcgAMwuH1tLYxOwxPi0brNHya9ZReic5yu+fVpJXAirW774FyIKYZANtySPmJl9qBIApV - bGw2w5EHkZ44mkKm+cfTz8V0NSjqrGv33AOoc46ZRaR83SunWGdkUXYkBhjq50kwQiIkADJ07Ojw - oMbXP+yb/9tTDyyt3t0inairyzwQ813TvfoKGQTQ5pE1N+sFPtmP77G18WX+XKRwxJPhCCljtqO2 - 6G5jjDHGGGOMMcach1hYE/auXiSZNCkDULjZMHwmUiElnvbOcM4ufvKWTyNoWPBN7piJWFPKzvnS - O0vzcgmzxt5t2CBrTheZNs4zqTAEgJJmFiVJKZUIABdw2x3/rCG2qS1pklvvPlf6EZaWlphLBjyz - MmuJhDfntW3ZrXPSbDs2xpizp5zRzsOqFciAgBJUIP1eHxlQh04+/ruvuagXQjOkLqNJ2Bxi377D - f/93e5p2ue7HJEkQ6iqRRkgAAC3DL7Z2apbBCkqix3Z5lghsyUlz6quODx5CK1CFtPVChZS9C1BF - zrntkGVpzy4ED4IEF5YGGYqYq95i17Zd180TtRkgZIdMIshCGU5BgEASSQfJECA5JI/kNJEmqIDL - CCYhhRO4MpoE4jCt0KcEOKiHeqiD8nQYljHGGGOMMcYYY4w5vUII3vuUuqryIqn8HI1GKysrf/iH - f/iQKy6LXZpMJiEEzIoLnJStA+JVNedcYsJVdZ4BUKaUlKcwxhhjjDFm2yqVX9ysUkN0iA5CaB3/ - 1w++D8sOkkLlIYqYPcBygtAyY4wxxhhjjDFm+4sxvuUtf5ShOq/1iZOvsQRA1XkP4OChwzfeeGNK - KYRw/kQW/f/svXm8JVlV5/tba+2IOOfem3mzMiuzJqgqoAQVZBKftPOn/Ti8bh9P7Sd+fLb9sV/3 - U3k4MGgj+NHuth1a9DVPsIB2ABRFmg8tjoCKAlqCQEHJPBVFjZmVmZWZdzrnRMTea633x45z7s3K - TKoyqSEza38/93Pz5rlx4uyI2LFj37XW/v0eMsi3v3bic1JKKysrd9xxxw/90A91fWIRCnUyBQ22 - 1gTEvqd5wfni68KCd6yV2uneXYcKQNu2cLAIiEw1zvOeROjdXvbyV1z/G6/MRuYPdbsLhUKhUCgU - CoVCoVAoXDhkJzaYQQTgvo0pDUvjQXjRi150xx131HW9vr5eVdXS0tKpezg1hnOhs1O26bbbbrvh - hhuSGwAmzpHAc5BWzzGr/LMZHPi2b/nm6667blGXLqCFe7ddvEpii1NHRNH09X/w+ywI9TwGdr9D - eNlD3Rxf+dSnXXXVVdkTYjBWLxQKhUKhUCgUCvebvBhWVWOMTdNsbm4SUUopr7pV1TzZvk+hUlV1 - INSS//BxcNtFInzkIx978Ytf3Pd93mfTNCmeb+aShULhEYSxKZvCnNyJHZxcw3SCd7zrxDvfvY9R - A31EPapbBTdDjIh2uG7TwiWXB5M+E+phkXzPpZfCQCStq4VgBHdXOJHLDptqmut2+vnntvugYoAT - jAFncsrGw/m0AMZC5snJe6GYBdOd9u7bB+EcPcvPF8LpY0fnFp9018CoHBVxI8BWe/V0dssf/zk+ - 8alLIJVLiobAXpNVltCfV27r7IAlPb62ceSeOhscEBTgYgmZcV5duqSa6J716e67juCNf3Tz8154 - 56+/4urbb9+f+n2jpS5GsiA9MxCWRsC2Iy9g4pDh/mQAZMMIwA6GgaLT2Vm5K9tGajf3je667oon - /+wLdv+b7zm0umujWa6b3d1W1yCsjFeW6hXeitKl5Ya72XplVpmJ2Y6RJ5tVMzmCodHhS9z5wbZG - PkuMOKJKKhXxiLlyYkgiirCkMe1e2tiz9Jifev7KV/6z2a59u1dWprPpWbXfCImRGIa5e3eWJnaw - KUGdYeQGNgI72EAEj67AFHG0gkbXHrN+7POv+u2bfuSn8Ja3jzfaVSOattp7oGWhpQtF4n6RdMhD - ZQgBgEwm460J3nXDR573M93r//jR96xfyTWix5kuhTq2fZ/aUVUJeaeRRpgpEEIPmCVp0O1uDl6y - /PT/95fbJz/pduVoVVVVEmhtc80CY89o3VqGVe7izr49NGZvbIArQ6MYJTQJlYFPsct9wHE1ETEz - NsedhzBr2ZS2uwYAKLGe61W1kx0+ML//j915CL0KyGiQmyY6b33fT3OLqWo+b0duv92SEkC8KKvf - PmAbxMBhAIFoYasDiOrGPcdsMs1/OWJHnyzMYYOwSaUcDECWVXcnS30HNRPaCDiyZwlf9ZSvePaz - J/v2dxIe7jY/UiAiqJ84dnwQ2KdzWVZyJoSo3Zogtq7GIAbBinV3oVAoFAqFQqFQKBQKhUciZOHA - pVeQs7vnJQtEcIe6O0tyqBMJnLJVYTp09M7PH7w1NGxkzoRsuqzGjvM1+lp4MHFGNpF0w+DebU7m - RgxR6KGjBw/ecztCcho2PmUPADiH5nbv3i1gtmwDfy7rQQoXKxe6gXfJKxQKhcJDAZ06G53PJRgA - iMHoIqLB6H2/+iv70gzt+i6p0Sckh+LgDe89YMn6VsEAO0sfE+AhsBhULU9OnE5fY+GEXAQDgB1G - cBCDWG3z7sNLh++ka6/22EMCTGjUoG/R95wSNO269ACqCpoQmi1gQwTNGOC6bkBDEST7UJo3HKYD - eX0rATADL8oOCDvKMcgAKHgoDdnZcgIAAxjQxeYAADm3a1AoFAqFQqFQKBQKhUKhULgv8iqR/D3G - GEI9GtUGuuKKK97wht//3u/9vsOHD8cYmWBnnxzJLt0ikl0B8sdlD+/8c9M0+eeUkoiUbFyhUCgU - CoVC4XxmOy1LMOTUpycGwkghUtWIABECp15DXZKchUKh8HDSM2/W1YmmAYeTS3hKcXmhUCgUCoXC - F8JBa1uT3/vDPwDATMkMQaAOyuJsZ5BR9HkF8Y7SYBbRlAAI06v++2/+wPd//6yPUlX2yBJTfdBZ - 2CPdq56ciBwgEYEfX1973vNfcPexEwqoKtRBVjdN33aL9VF5ovzgXhsaFLu2v9/Xtqd59Qzcq+WL - t/exHzejtp8fqTsAYoa7iKSUGHDgF/7rf7362mue9R3/W32Wx1QoFAqFQqFQKBQKhULhkUNKqR41 - fddBFc4sYqogcnh0rE+7//Nff/9fv+2vLlnd7eaTyaTaIRlPfpLL9QXNYJs0Pxybaxr80Z/+yVbb - 5cCPuQOQEHKE8GwxsxDCYP4N/MD3/2sGJARSc3dncjMQsbCZ8UWqQxBjzNaAs7aNrh/84IeiwvQs - A3gOU83X6ru+81nM7DaU8Z+aObe5ckWhUCgUCoVCoVA4FTPLf6rkdbi7du3CDue//Nu8PDYvqj39 - XojcnJhT1LyZmTGzm7njL972l4+97pU/9tznLu+6ZDKZiVT3kVYvFAqF+8/C6tXZCIuK+iwomWMC - vF0aZs7Zb5LIPTjVqs10Wm9sfOzNf3TZZBr7rqlpnLyd9stjbmdW74gn7CxhcgA0vEBE7uZqGI9B - xMwpqVQBDrMEt4oFruSAmw/ml3NPYGdyXuhqku84oh1FQw7Oh8Pn2/BJ2/6c4rxoXnYw3QEDYGcb - TuOg06lsmF8sMLspMQEOMgFBrdm1q2VWKBGl4fH0gLXdCQZWs5oJyVrFcsAoxfW7Dn74jf/jKZfv - 33fZ/mMaE8GcxAnGOVrn82PcbvzJGO2MTxk7CMbOO07LyVqm8/3kzhAZTpajkeQmDnYGoASba6US - 0Kg23ZYdPdSuH69ZzBhECq2EXRWDfn3+oLld/LmdqHmLoR7Mxa0XVuJsRc8YzC5BRp4/jp3s9Mr4 - Ozj1St7PBtLiBs8fTTAyAOIWzBtFrRYMjaZg3n7qE7e88+/uee97lm+9/bIUV905mqJzkhHV6mqw - cVNPt9pGsCgVZSC7zOdaPT95HMg37LDZGRs9XNLsd5sYm1U4vDJa/qqn/bPn/DCuefQtErrlpQaC - qPWotqQaAabAwqAYY9PU7r59nsgAzuODg8mHESO37fyMf1ZV1fe9OAEGd2YGEVEIhAlosm+5GjWX - PfdHjlz/yltvfO/eccN9rM2MAGdxAHbqEdGiX80HeSd4vhDzjd2cCM5GBDdCtjWH5WWzQUCEzclk - pSFMpyuh2bz1jo/+xm/737z7S77jf730G77+0qpe39xo66oPkvikbmyExZ07XOAdvz1TX7ivetL7 - AxvZ/KOzmQQvLr2ykbiojTSu9FixjjamfOTwTa/7nf7GD15zYnaZAiklb0eh1hTVzIAmiMfoQF1h - 2oGF4SwsfW2H2Y+tLj/jxS+Kj33UoeWVUI3aaTuC1pU0PGpTgojnofqU9NDiWZZPXL4u2e95eMvp - zxXvfLs4AOi9r/4XzCA4J9VxXXdxUmnCwbt3tcrmTkNhbW6nuGHebc7OStyZaXDvXvQJBirF7NAR - TDvaU8NZiQgEGIN8fo1Oavn8EX/yp7MDRrzz2BdP/Dwx2Ln5Tgfx+z8rIEcenI0Y5HADFARLaVlY - 2tmxW++oszb4tgy407wimrfVsx0wovkZNIhH3dxIx4/zJbto1zKEYQCYnNwM7KfMXnh+FJaviC9O - 6vazI2+TMIy0593059yYz05pMU8IzFwxKXVuidEsL69+97NW7jh49G//Wjw1qsGyD01+I2RHbxrO - G51lcu2igPJkY7svATCe32s278k0v+uHORglAhw2f2gyoEIOj3FzjTRX4cO+KJV4zhM/zZ9O3HcT - 9FPRGUIwIjEaRjey/MfLzs59r2Fv8Zudd734IMKPHeMkn3F0LRQKhUKhUCgUCoVCoVB4+CHnEY33 - L++tuCIisJiqM+AQgMjVEgmEYQZ3JEnH7cj7Pn3DgUv3L1e7tU/iYTxqptMpKgHMaTvLtoiPzdPE - OzKPp4bO7it1VXhoMLKcYGCc8aIsYmI+D/cZjCknPYcr6+w9WpXJZ+/6+DRu2hhScVQPcJ8nAnLo - TBwOI2Zy7G72sNU5hcdkczPJQuGCp1h3FwqFwkNHTLEODQBoBFManKnBCAIgGlr9zK++9LHddCnO - OCpEQMDRu9fe/8HlroM7gyCVwx0OZ3Hj6PM5zlCc5mQ5YT+YZAPK0B2znJw4TETJrGIZux37xD/t - u/JSqmrXAB4hGdwwrrv1466puuKKnAvlIMfBT/zJFyFUMcWqqnO5NzCULAgFgMEMxs5KN77Xek06 - KcPJYNp+kXduxV/Q9fwiJhfcE6E4VBUKhUKhUCgUCoVCoVD4IrgfqawdKTeFkbANYR0KIcTYAZxi - u7y86/ID+1//utd+3/d935Fja4FgjKQgDm42iM0BTVN1XXfGj3JH1vZatG++trRpmvzGLDEQQsgb - Z62Bxbuy7XcJmBQK5z8xxqqqFrewmbm7yAWjh5iHIHdn5iyVkgefM0qlXLyklEIImMvHYMfIXHgA - yQ9EZo4xhhCIKN9ED3e7vlgWj+yqqmKMAPI9dbb7Wdx6p3bIQuHhJa/dzRnY2hwA5/yvrQjvgQMN - gABCqLZ1KAqFQqHwUOJznZ1JJZ9f2fVN7/47VA2YgAQAFMrwXCgUCoVC4REJYdAGw7aWpYOZ4Z71 - oyXUAJygwG++7jUtPGtDAo6c6PEsBHqKXNG9tFZhi/mWKYIEV1Ozmz/3+b97z3u//plf06XETAzP - +oKevXYIRHQv6cbF9/NNKvF8IyYNIbAQzF2NmUHm7qoe6iqaJfNffulL3/2P77Pty2Vw9G1HgNtC - hGsbP7WUm4iIFrG+HABkEctLPOewiFn8Qs2le38niLvPX8iSv+7w+ZLQuZremeUbv3Ac34FZ1w7H - qEPb3IyZVTX7eEc3Zjz/RS96/BO+7InXXddI6LqOmaUSAF03G4/HaYfLFJV1p4VCoVAoFAqFQqFQ - KFz0+L3+HejbDsiRCssmyu5wAglU8elbbvvRn3je637ntz2mJlRuXrG4mxH62I5GIxC1bVtJfYZP - 3Y6AnBwTe3DrGE+yU6LT/0xzbQMCKq5ijByki33djJyhZrO++/9+4/p61EzaLjs7wVxjIrpPx43t - SEvezh2hqlPsCBjX1Zdc+9hv+5ZvXZg2mWdh9SF4SSQXk8J69qMa4qLuItK2HUDvfNe771lbH1yL - /H70iMW1m/t/jIS+6zv/99lsujwek5KZ5fN4dsYbhUKhUCgUCoXCIxhmXqyuWiz/cfe8NjYn07Pz - 4hfaizkAV+zwMTQzExFVTYrrX/WqK6+86rv+1XePxiuTyVYdhMjNU94mF3iMRqO8YGdgh21bkcot - FAqnh8xIGUYWnMwoGHgw0zUws1Ie0EycQIngbg4WmI+q0WxzY5XD7kl3469fv3rXwT2WKoEnh6Mh - WGs1eBE7uhchyKzvx3XoNAm8Um8qxqxFCH2cjUZLbZwaMRgilapWni2pNbFGHiw52SkYOzhmF3AH - u7MBMJA5+WK1l5Ipsc+NCs8XyIx07pvLohaMszlimouFzmE4kXNj7OA+pMSmbAtZTlWvG0FMbiko - E4wNYIE7u0WDQ5lB7KYQIj+11m9+ru4/Bk5MgKirKEYEVxD5HusP/8Pfb3z5dbu/+zv6PbvWIurR - LuqYjUg8IkUkY8q2m2a+w795sF/1haU0wIB4CubsZoTIwShbtg/NEDdyMIycxSQR97X1DGMjWJOc - HaKq6mE8nqbUsS8tLcXN2R5Ll7Trd9/493sC2lk74irGTpg7V+aFda4B524dbIBUcKrUiHuFoVYV - SzFUPYfKqTIInGAJ5rAGOWbqhsFO24ZgYJWnFlh0YCO4V/N1tU6wbLIMEJFmK3By98VsgJxgZuTM - huDCREqs8EhIbk3NoY311mS/BXiFWw/i4x/75J+9We65Gyc2H2262xASyEyICA53RyIgAN71ox2n - SBbnihZtG64ubUfXOf9DIq5RgcAAQxXmCExu5FQ5pPXUwdOoPnTp6rU/8iOrX/+12L/vSNsFqTxp - THFU1dFSFlM3coYpAGKCgbaVa3MJ6+L2dzLDttptjr6eV54T7IbYBUGCG0FMyFEpORlE+uAd4Y6R - P/66/Qde8Nzwlus+9sY/fIKvrfa9hErVgrM7KdQJi+XXZMzz/7H7/IqYUdb/nVfn5qtpDucd5ZxM - TlAoubJVAiQXuMQZRVplWfvwJ2/+6Cf4j//4Cd/+TavPfMbqlVed4D3rFNyds7iyqgMiQVXdHcwV - C5taUlcjYa8qhbt7rnJlGsgeq+6Khf8uIEREBBsqTBfLzImIIGamblhUt4KdTNmJKDjYWCwoPLpH - WBIo0i7E/Z7qtS18/Jatt/7t5/7+ht06WUnd7miuSrAAmPbEiJ5CBRAUCCIxqhDDxdST1GuhvvvS - 3V/9sy+0r3rawVHdio/7fokJ4GjqjhqsvQoC3DR3PFp0zKGLLu4azA1UnGBgEKpcO7sze0IAOG/v - IDiC5n1l6+vBYzf/nM8kM+eiXzOICDPHZBSarbZbWgo8nRy64b1XJfHeUBPiMBYBaNSNFIAyxM7i - kUGAGIigAqVBAbtSLEXmw8dwy23jK58SKPTqo7rpJpOmEnLWoYY8O68DMCG4O92rjtlteHC4VMkA - aBhGRV6YPc/vAQeMYDSMVDvnKGd0o8nPaGODK7Ozu5vDiGIFEOlS0nFMn/nAhx6FkODOpOYNQG6M - IRcDRzXURSuyVMIg2Q0x32164iMfu+yaq49boqqxiAohOCWNScgXxvPOg563U678ZygAy2MXJYcb - GcBi4uRJHCo0KiQAACAASURBVJSaGHg4RRcuxhg8oUFwMA8F7gxB1F4AQoDxRhBcUl/1vOd88uih - Ix/9+KWbG7tD6FIvBGWoYcTzRBtgICfQeTUzfEggGMPhphQM4g6QgpLBxc3BTlCwEwXzoMbAFE4V - h0Ap9b1HlpoQNEUnZTL0692Ju9nUgWQggZ/N+IDteeBCdV6zybpav2vP8uTQzaNrVjfTyKhqZBxT - ssqMHLAdcwwmgJ0Xe8u/ckKeHTnByMQhauJ5HODEcAxTytwNlOxeduCFQuHCIksYschcsRCPTHGw - QqFQKBQKhcJDxkIQm4jMXJjL/LPwYCBOVQyXL1+6MmqOm5GPO2trsQBIgpN6BSe4QRwKRNHprmPv - +sxffNUz/pdr6iVtrQlhOtsKFUdKiRQWWIQAgrmpE5yqHCPJn2hkPA+uzMOoPFd3YDj76eKohYcG - I3OOgIHYPOSLwjlgPGwAzDNfeUjSZFUIHhCTkUcWqRA6TVyj58kWH/6bj7ytWpa+h7HNDdxhBM7h - cUeOxYET1K7ac41MR6Gptbc2TpumOUM5QOHix92JeKFJflLF2gXIeZQnLhQKhYsYB2axk9AMfzox - AwjgpH0A127SKdQ/9Eu/uGtz45K+WzYdE0EVB+868r5/pBMnKu2CgEFRO7PE8AATdwKYIDIUcOey - gFNl6XwoONx+JbAwiB2sGiYbuPM2SEU5N08CcsROU181DZolF85t7qsGUgEI9chT2um0NJfXYwU0 - l3QMtQJMw3cmzHP/O76GRtHJX/PXzvCbQqFQKBQKhUKhUCgUCoXCQ4PVdb25uS4iX/L46377d37r - wKWrjrkvgxkx50VNzNx13Tn4aBJR13VVVYnIwq8UgLvn6FNKSUSKb3ehcAFRVVW+Yc0spcTMWTTk - 4W7X/WW+tHPwHXH3uq4fmaVRIQwLYokoO5EU3+4HnGwPn327q6pKKbn7ReDbDaBtBwucfPs3TXMO - vt35jdixjrpt2zIfKJx3kImBYMPaZ6+AADDIspZKyXEWCoXCw04vvN5UaBqIOHjQwCiqhIVCoVAo - FB7x5KpjZJfulNydmbMHTBd7MM+67n/+6R8rICGQDMqJTLwwUL4PTvZXzjHGIKHV/rd++zXSjIwH - BT1VjTHmj87iKafujHcYeBfORFVVEHZ3M/O5F7u5i4gBZva2v3z7y1/5ahICEQmfbYV2Ds3lPTNz - VVU5tgkiU82+3RJCVdcATBVEICLc+zuL4HRRviEUTyQhADA3hwvLdgOG7XZ8PRDk0yUiHMQB4nBs - fePfP+c5m1vTPsal5WUJQVVVtWma+1BXLxQKhUKhUCgUCoVCoXCRcZ/xh50bEKSu3dCMayf82dvf - 9vwX/mQIIbunOFMb+xjjyiWXTKdTM6vrM/l279j9+V120rZtMx6bWVVVMcYYI5h+9/dff3ztxKTt - HPc3lPgFSCkRURWqvo//4adeWIncq55zYd1xEeR/zxQFreu667qmaaQKb37zH1m2eBA5ZQf3tX9G - JXjqk5+8Z8+e5eXlHJgt9XiFQqFQKBQKhcIDQp5aT6dTACLS9z3fh1Q3n1YFVFXzX4tu9J/+83+5 - 8QMf2tyahrpmZlVNKeVFuGa2srIymUwepMMpFAoXOc7iEGN28DymUo9qdY0xOqwSCcwVS6jrUDdR - U6hr62aXh2p3F4/84Vvog5/YO+sb1WyE6QwnkDOBzihx7B4AZItMdzFItPauQ9iaLDdjb9tRVQfC - 7uUVAO5kREroBdm3mxxiEpTJMXfpdiO37EZIiyjdcDjnrQMfO4uBnMlp8SzI/oLZYnDhV0pwcROD - eALUWJOYsZFDnJuq2VzbyCasdSUNuBJB224ePWJmQQDbVtF/8NZH59Bco3p1nz7+B2/sbviHvX1c - YUxmWwD6vs/rZ0MIIgKwwRfGivPDBPvgxp3NWcnhBGMYndRunyus5uiZUdagd5CRO8gIlt3byVHX - NZj7FENdrTTjNOtGo6aKEZPJXe99r3RtzTWcA4VoBiZ7ICKxTpAa04gUtTbfnww33oTP3XLVpP2S - za3HTabXTmZXTtu9W9Nd03YlxSUnMtNkMcaUlIhEhEgA7mIfNbm7gJhZmLPaRjI3MwMZXJ3MXUHJ - zeCGhWMI5ZpMjUlEQuCqqoRBmkI/W4n9/j5eOZ0+6vjGo7YmB+45nv7mbz71n//jDf/hBTf+t5de - 8blbHnXX3Y/e3No/7Zaj1oM9LPJ9l7+2r4JvR2Xz5ds26j5FDjdbbSZYMjWACe5ICQCqZXb3Hjrz - No5Ce+mld6yu+lc/86k//wvNN3zjof0Hbou2SRzqpmYRN8DynWKUfx5KYZXYwWeoKxzsCrZ/63xe - +XZnCCZuWUzYCeRghxhXLLHtKIiOm89zilft3/usf/mM//vfHxwtdasrx/qY1KIpyNzBvF0bPAy4 - wwkhMRI/XbHoEJfmxa+UoIPNOQO08EYlhwDLjGpr/fLp9CucVj/9mQ//xn//2M//0l2/9ZpLPv2Z - a48de0zX7t/cqDdO1NoFaEqpqpoQaij6NqZkIlKPmlBXMXZQE1BgqUIIxGTuSVXVk5K5u+ccA88r - jdXN3W2HbzfmxgBNVTdVLcSuRu7BqTL2PvVtF2NUi0hxydIBs0d3+mWtPurI8fg37/rcr73soz// - X9b+4q3XbaxdOZnt6WJjcXCGpaHjVhUmEanXIJJUDahDSJpS06ytrBy64sBX/9Iv6NOecmg8npHU - VDEsD8HKw40QDCEbMWPopae9CPOvbErNODll4LTzzlrcj/lR6IDnKw/AyZ22u0FVVaqaC1+rqiIi - dSPh3nTUVM3mFg7dvf6pm5faNBLp03bryCFuldk53yr56ezzIxTDKNmBpJObbrKj99RuTN6nvmpq - ksqZSJgZ2b596IoOAykNXwaBCznnPmmk+ZwZudLgVr7TiptP7vCLMeqky3CGoSBUDDKATaFqlYRa - QjfZqoV5awuH7uk+9umq6xgsIos0y86RcP7zSfkrchBslNLRD/zTeGMr9N20n3DNLILoTdUA+S35 - Mmp+u5FjqLq2ubn70GwGxFiMxcB+ceTKMsN9NO8/zM7s28M7O9g5shwbV5urzZe98MeO7N+7tbw8 - S7EJJAFdQtMQACckhjKcTvd8eKRgICcnOOdZKHnuMBjGDxCAxR0zGtVwbdtWU88U4AIPTVgS4jEI - J9Zx+HBDHKpAhHSWMkKejdV5uBg+9wRigMH9ZGvz1ltWoUxWLY36vhdiMYjRYpboxEZw8KndPT86 - adhycaM755txuN/zSSjLUgqFQqFQKBQKhUKhUCicp5CDlcc82n/JFRWNkkGa0ZCOGWKMAOYZEbCx - TXyj3o8/fdf/2PB7rEnOqqrMQZiZmYIZVN1MIaCaKnbLIfAsMcHOyLlL5x2lLDT37UaxuH0Y4SG4 - zTQkDpj9pADXqVmHUVMTIXUtzMf1MlkVe4Rq1NksjWd///F3nLBD0fsQEIKckkYeOgABllBRc+0V - j2toqe8MzFVTpRRRrNwLFwXh4W5AoVAoPFII1bh3jAnoE+BQV+ZxGLWpD8mx1X3upS+9xtNqTaEn - dD1qwcFDWx/48O6tCWnbjOrYtgKMArmZmwEgBgimMI1y8nTIaXuuwsYkO7KgQxbfc4YSAM/i9Jbb - l659PCiQG9xAjK7rzVd3L6NpiASqMXh0gBnM0bUOEki2k6RlVWahUCgUCoVCoVAoFAqFwsUIEY1G - oxDCdDp9+tOf/upXv/oHf/AHN7Y6ETJzt0QcAGPmc7LjhLuHEPKCKCKqqipb5Gbj0mwZu2hJce8u - FC4IYoxZBGTh4bH4+YIgW3QAYGZmTimdm9/whU52XlFVEQEQQsjrWkMoKeYHEmbOd0dd133fhxCy - mc7D3a4vFmYOIfR9LyK5F3Vdl38+213lN+YdZo2hbPJ0XvHgqVcUCoVCoVAoFAqFQqFQKDyIDPJD - BiCE0HXdaDSq61pVx+Nx2/d/9Y6//sxnbwawMyDzhYO9+XenxkoWWZ4cSHn7299+55137r1kFUwi - 4tiOiZHDfRCXs7KS7ywhhpu6ORGxDPJSYALQxrg+mfzYT/y4A6buANMZJWpPft1Oen1+/c3NUgJA - wm4qVSBHSklTr0AWEt3uOX7Sd7MhTshByKGmcIBAzADcbDB6DwGwlOJJ2nlnbOe5k6OO2ZwbQPaa - +uSnPvn//NiPvuH3Xn9s7cTyaOzwqqoYnswvnIxHoVAoFAqFQqFQKBQKhYcajRFA1/ZCgOMP3/jG - Kw/s/5kXv8SSmqbx8lKMceP4Wqiaqmo2NjaapjnDnuZa9PcyOHlIypROdak5+XPnQTtHVXHq+5TS - eNeyJ+1j325t/cqv/Eqcy7TvdKpbxP3O+LlDJGpHUJAGOwtN8WlPfNKz/4/v2VhbGzfN4FPwiCGX - 1gPY3Nx85zvfyYSzqMPLJ2oeck3As5/97KVmlFIy1ToEIkKpfysUCoVCoVAoFB4I+r7Pa2PPfhnd - ScuI8vKiGFOMs+c85zlvetObHv+E62ab66NRnZcdEbu7p5SqqnoA218oFB4ROLMHcYiCgKBIYpGT - EyZ9S0x1CIFJUkoxRiQkcglLq7vWNk8cYN+90eo7/mHtT95+5aHjKxwXZqIKgCBfUIrS1QIPxWOu - LgxzHLr9jsf2xp0vy2h9q212LR89fM/KqJG6ihYTU2QAqJWDoYkBZE7RyEBsDCNK81gS50XBzuQQ - JwKL8eDied5AzkEBVMIwsGMwJneyxDDKdtUwmDgYDIJLq2zgwUSWPJ8NEherVlTq3qJ37WrdQBPc - j9x99xXqlfCiHu8BjPswLCwk4B3Ogwl3MFzae3d47ZPX/95Td+/d/cyv2Fgay1imlJSUiMgJpg4H - kbODSc0JEAcPDuwYdkxsQAzoCWLZf9HYs2KqITv40mD6CHgvHQNCNk4wAjsHA5zWZ101HgHkKVYx - BYsa2xVY93f/2N1y155qhfo+ohNwCGKqQqeGXrerK+8nRlBUPeKe0YiTyt1HD7/0105UnPbuufRL - n7Dy6KuXrns8rr0Ge/dhFJB8S8K0WYrVqGaOpkk1RaNAVdXkBzyZm5lGZYDIQeTCieAkIDInJyIS - Inczd1dzghMxUyCBu6deAYtElaNBWjJdaRPaFrfcHv/ppltv/ODk9ltka72K7TWMXSHIVgpGTByI - sSOumwO7g26tD67S2wd+km0AHBDA2LITZ/aQYMBgiSBN5WapjxU4iESL7cSWK4wCnOVz3frdu3Y9 - 4Xv+7f5/8ay4a0V3j+ERQF3XRKS5XpFoZ7c+YxHkhQbN7ywh+L38RNu4WjeWOKWegkwaWX3s/qXd - 3/w0iTe99vcePZIVdp1udIbRiFNvoOy0bewAmdFgy8qeo/8MykbAAE4aIxwwNke+v8DbhcO2GPDN - YeZCcDedTPZyWBbqP3LH7BN3fPxNf77/SV964Ju+dukZT1+66vLW7ZhWkJGre/RgUknl5FHbThML - jZsxJdOUhiQCkTATk5nlqlcQ3B2OHB9nFiOQw/LLPvT8pqlTSrO2E1AIoQ7s6trGMcKuZknHHC2q - prGnPW1spj0OHsF73n/Hu949veVz4356Baxukrsh8kkj5iK03vNuCTCHI0HBcOvr5fHnuj4+7rHP - +MUXtZddurW05FH28Kjr1SpWMQaM3EEgCwZ22FkOyGKchyElKJtRvmImg/m8ZU+VFADO5s0kzmmH - IS7BxGG9VsSQkB2xY4yqzhXzcpDZ9MDaNL73w5c4m82qwIDCQT43ZnEGTLKV+dm03skMbHMT+rxP - J9QW98/aW9/xjif+wLcv+1arrPVykmYym9V1YPLgBHd2sLlx0GzxS0MHDsrBEYxBCdI5a7YaN2Fn - uMGM5v2aAZAxZwNvh5zWpndIQp1mIOn7KdW1WiIOq9VKP5ml2O3bvU/7rUaC/vXfX7Exk3aL0LOa - 69mpcY+S3XXTR7E+2XfV7nusTaEiY0m9hBEETjCYwMThsMjsILKaAQM75RMCcc63ORvE4AQ1mLPT - WXe2C51pXe16zGXP/Jnnf+Qlv7hippONMWH3crU5iStAYnQCEJpkYvPVE48kaxknKPJUwsWNnUEw - 5uFcOAUHUc4OexQQLLXTIFRTxdw4hdR7ik7BRkt1PHK0/sinnjBabTZOzGIaNwTzsxofjJAYAII7 - u0bJrQQAE15dWpl86na6Z6u5evUoosBqDnleO3h+A56HFyBnOIe7jxZLUbjyYY4KIAk8zyPzg8UR - zMQhBh3m4bCLaDpRKBQKhUKhUCgUCoVC4SLACepOaJ5w1Vd87OAnaGliiOyIc90NNoBhGOL85Fw1 - o/XJxqdn/3TT52/4puu+Ay2Wwi5OgQAJnkKn5PBAFNhCUAcSoEMCx4MTAAHcyOBwWih8MJADMWet - H1t4wHAWr7KN+sJVnR2Ag8wcIJv7uMOIAaTYCXxlHKAcJ+Jeh4Zbm9IK3X7sszd99h86Xu8oEYMB - UuwscVqk/tlBMQQbHbjkMrSSkjKLSOXUzTVqCo843LdVVi4C+eWiq14oFAoPEaaoBd6bqcqoARAA - n3bjQGhnH/u5//i4qsJ0IzBggAHH1k58+MN85NgyjJj6tqsDFOjV3TFMiHKhGYMNgOWiuiHth0VV - EwPINWecc4oEONwMcCcPRMFo48g9S0eO4PKrQAZXwND3Cq+Wl8ACsHtsllc6NWgEaiEBrG3bMFo6 - uUrAeN60QqFQKBQKhUKhUCgUCoXCRUDXde4uUlVV1bbt05/+9Ne+9rU/+H/9u83NmQNVVWXX7ZTS - ufnyElF+b7bzzHtbvB5CyJaxi20ewEMrFAoPEtnjGXMHlyw4YmYXins3MwPIVsExxjwcLYa7+8+F - nktm5iwZAyDGWFUVERXf7gccVc2m3X3f44t4np5vmFk+omx14+7ZCf4cdrUw/85qEed2PxYKhUKh - UCgUCoVCoVAoFO6TIQJDZPC+7w3+m7/5mwDG4/FsNsM85HsWQR4/qZ5YRExN4Qx0Fv/gD9/wwuf9 - hPWdE+d4rCXN8bEcZB7UHgHOWnsEnM40qLATM3N3JmJmcuSQGoGipmY8+snnPKfrOgCjcTNrO9O8 - dO5+s/NqhpBD6HB3M2J2NTXb3spdU5LhfbTIF/gOOTgHkbplQSyAWVSNRaSqVNXd1cyhONldCDu8 - mx4odbmUUo5DYh6QBBBC9bZ3/NWvvuy//cyLXxS7XjW1bVsJl3xloVAoFAqFQqFQKBQKhTOS/RJE - YENMI8Jf/srrDfjpn/wpEZl1rYgsLS25+8bGxtLS0heOtp3/0bBeU13Xo6Xx1tZWNR7Xdf3TL3nx - xuaUABFycA5Y3Z9dnXYjYVHVQOxuP/ezPzOZTCoROinINPg9XBwSUGeKgkZNdV13ff+e97xnazph - YZjh7OvxmMCOb/+2bwNgKcFcKkkpFWGIQqFQKBQKhULhASGvD6qqyt1Ho1HXdUR0tuvLcs46Z7EB - W1tb/+Ef/uHX//7vXn3l5Sn1OZnOziGEyWSysrKSF+8UCoXC/cSIHQJnhok7kbGB2RUAXETEPbUz - N4QQQjVOcAPN1jd2xf5AjPjM5z/8W79z5cF7Lid3y29a7BlgZEfA0380wObuylkG0xGE23uO3/2X - 77r8e//ViZqamomxsrIyAnsEuLbsSwooQQAniEPZACMYOyuzAQqwU7Y2zjgxwOxsMCc+j9y7nQc7 - VCcnKMHIsxG1UdYSBZNneVGDgVzJnMw4+3YHyifaue9Nqmqra1f37urTWugmK5vt5EM39SfWyUFE - 7CAG2bDW+wFZ70yOoXDKMbSSAIcAXTd9zO59dx2652Mve9WTfu4FVz/hsXesT+q9+6KBIWxOIAY5 - EM3UnZkHM2jfDk0RTAxKiICD8nXHYBhsDpCzEZTgZD2zkwWPuTMAEGc2ImMn5pG0cEVcqoNvbuwL - lcxmfOedH3/L2670ZmRISAREaCPVTJVOtmw8Z/tGgxNBY68p7QXP1k6sMGyyuXXXocPCn5dq1oxs - 777x1Vfuecy19VVXLD/qMbv37h3t2YulEeBQTTBjig4EBrMT3Mly9WbgjkgZwGB1zG4MYXIBuSVR - Z0dFTG6uYNMqMLRH7DGdTQ8fPvLpz37iwx9tP/v5cPDuy9xXY7+3m1ZmQeAE1VQ5RAJ5rkTcUQ0I - 2NwvO0va7sQBJc62wuw86ONmQ3YyJxBADiYwo+06d4wkcBgh9XCqR7JmaQ1YF7/iX37zdf/23+Ex - 190eiVZXYrspQlVTG7zrOiNICKpn6ZF7oSG2HShWzvcdsQdtE4MQqnVN62wH9i2Pn/Uvnra6+uFX - /9b02NH9o2qkqW9NgGz4zHOzZAAgy+7dBAbMnX1hWzsYHe8Isc9Pr7HlMWvh0UGDxfbgcloBDRFc - rLM463US2/e//9Mfu2lj796Vpz/l2m/4xqu+/ElYucQwTTKCSGfeiqMir4K5912b+xIxMbMRK+Bu - YMzvfCDLNTiDEM2JsmM9O4EoD/0UY6pCaJqKzDUmS1GYl6ow6ow3JjHORoy6rrC+vv6P77/5hvem - j39299Hjuza3LoPW4i1sSnBgKZtbDLBnfWcH13XsZz2wVNVQVqEt06NIo6975pf9xHM3Dqyu71km - rwONbOa1VC3Z4rlzL5/7+w8NxivZV/deWYMsOG0Mc4CzMS0xI2SjdnLM7dsZDnavQmVmsY/OVLFU - FVc1T6Zrq23EJH7qzX926YnjDVVt2moWtt+LK3Cuh+A7veF9eGQI0pLOmnsOfe76Vz/u+c+R3buO - WqtGo9FItTPy5CB3AgsROQjMvt0kJ1NmwLIfOCE5sRGQn44+9H0ARsbO8zYwZTP7U+YDNjTVdr7C - ubECd2Vmi953bR3qqpZ+srlPI+46/Om/fMe+6XR3LQxe7221gp+N7ME46aUb0/gXb6sOPGv/ZXvW - EZWpWWq6mFwAZ3YXg7gp57aJONjZmAycr48Rc34UzLurDDcy6fCri588w2kD32V81Zc+7sk/+kMf - +rVfv0abceziJO4iMg9wABGAEWToz+TOjyj37nnXNsZQ0M87VnkwAHdFvsUMcFVtpBGnFM2JSeo6 - UEXJ148tN0trH7iR1k404kLw3oVwjtNMMqOTxoqkFmZ29MaPY61Lq8d9795m93LfWlg8i+bNpqG3 - m81zxLRYbkAGC3kzZZDB2MTh4Pz4uldO+eT1LoVCoVAoFAqFQqFQKBQK5wHOYDeVL33U05t/+Atf - iRuxHTVVN4saAIANbFBexHgsRh3XwqxvveF/XrPvcdcuf7lYDRV3czN3JzIwGIwEMxdxJ4PzPJa9 - yMjJDt9uYKhsL0bNDy/MVu34r+cE3PA7mDnT/L85U0/kZB5n5lYFb6SuOu47TLfSwXfd9PZj/eHU - mJElRzATgJ2NwG42ZKvNGDCMafnAylVklbg4iTupOlNtKFbuj2gWctkXuuJ6kVYvFAqFhwJyjBzo - ACYZVTMyAo8cRBU2Nj77S7/8pNVlvfuwLNVICbGD2tr7bvQjaytVRRY1JQCo0PVQQS2oHFCowgAh - cBU8psXHOUEB8UWtBpFD3BcJRRDgRgQ1UGCBc9tNb719af8VqOCq5EAbNYSwvAxTMFGoj55Yq6+6 - FgwwORBTHI1G6aQDfUTlnguFQqFQKBQKhUKhUCgUHhHUdV3X9fr6+mg0ijG5+1d+5Vdef/31P/7j - z9uaTLJxZl2Hvk/uHkJIKd3nPndSVVXf99nRM3t1A2DmnS6/Xdc1TfOAH1qhUHiQIKK8zCmbdocQ - zIyZL5TEam6nqi48lUMI5+ATfKG7d7h7Pnx3z6oxeWTmey9HKnxREFHuXYtnKDNnt/uHu2lfFLn9 - +S4ws/zfc7DcXpyKrFGbh5cYY+mHhUKhUCgUCoVCoVAoFArnxrYSH04ys4kxjkajWdszMwfpZrPb - 7rjjfTd+EEBWed4Z27nPfNBC/GihZuRwzQKXxAy422t+93XP+ZEfGgVJKRlIRChXNguJyNnmmwoZ - 8sEnO/teD9bd5PVo/JY/+9M/eetbHZBQzWYdsvbpjrj9GSP4Jzt2q2q25dYdb3PdlukUUBbKG1WN - xs4Bz2q7ucsR5XxBMiM43LcXC7opfN7q7dZJFTSlRfv4wVHIYuadQUgzi2bjEF7xyuu/9du/5elP - fdpsfVqJMAfYfEGjD6qIi+/nJlBYKBQKhUKhUCgUCoVC4WKCQJaUmdWMAAGmSX/t5S9PKb3kJS8J - VZWSxjhrmkZETltU+fDadZ/66V844jEajbvY9227tGul7bu3/tVf/sEb3siAAqbuO4SZTvUHOv2O - CYNegTMAU62I3e17vvO7vvHrvyH1fTMaIUe9/PQNvojYjj6RwAkU5I1vehOAXu2sY2QOAupKnvzE - Jx44cADAaDTq205VzYxkx2eVGFehUCgUCoVCofBFUNd1/qHrOtwvldIzrh4Skb5XALfddtuLX/zi - V7zsZZdcshpjGwKnlMbjsZlld3CgSCUXCoX7i4MT1YlZKQVPnG0LXQhWSQU1RA0OEYEgpZhMYbjE - 9FITfOrmz/6nX37Uwbt3WWduixo0chIHGEoONtbThxdyRZk7hMjhrghMq7N482tef/lTnrz6+AOz - 8fIUMom6q9qrXeLAZHC3JBZZlYzcghnAbKgdKS8wHLQIGA7y4aOz7yvu7XX68KOMxPB5owFfBGTm - q5EZMAeU4AwDOYmRsLMoggVySuAkiDEuLTU22dzaPLp/JEt33zM+Ed/3utdfGaMAnpSAQOxqDoAZ - +kBKqHv2NXVmJ8CNLTC66YlLnNMnP3PzT7/0up987uO+9sl3zI4nro2bYAjOFQIHYZceSkPRFTvB - kSvJQECtIEfQQNmKNV9QghI7QYzhSAwnpOEiMwORGeAqERAInKu7PHBL3nXrV4xoeX2dTsRbf/01 - uz591xVA324sgaUZazfVlOQUz8ZzDpF1faoCjFLFoLEs9eZA1/a7vF8RMg5p2sYTG/2tt+kNN04D - rxG8M5zLLgAAIABJREFUqsLS0vK+fatXXLZyxWVh36XYtVRfcw3GIywvYTSGSHbiRQDETYiZ4YA5 - UoIxVBEHb1eoY9bhxAkcW8Pa8bVbPz07fPfxO+9qj66FWTcy7HetVHeJoJ2RpYZQMSghOSQ7PUOR - neMBBhOdcT6T3bjzWKBkDianoJChNjFbRBPgToNHezA0dYBS1NjrlgMM7nh815jtSU943LO/a+Xr - vm5L6k2RsGfcdpNQCwB1y2FMqStiPu364p0ewRcoPrdFpywjDABQAhNGYTzb3GhC1YyarTjrA/nK - +Lauu3xpdc+3f+1Trj3wgVe+evZPn3m0ylLt1neDMYNn82bjbK1BtvDeyFap83E8m3sQOTibue4Y - pHKTFlbai6aqw8kqCqpdhDNQVbVLRTFeton93frmn7/r5j9/1+jAZcvXXHPl13x1/WVfisdeXdcB - DEXDJG2y0WjkRubu7gbNE2NnYl/YTjsRCRHATORmQ/ttbgdNlg+gt0hq5BByYphHJF32hBjHB4/q - jR++6/03bt58C6+v7Y5907XLZEs1Uzapd1QBEsDtcBcAAgSAHQbYZj/bNa7R99PYE/M0NCdWl+Sp - T3rMC35s65LdcfUSknprM67sbro6AmBAHGJwRs+njC9nh+nchJuGuxLk8/JvZyI4QQkAK5EQiyE4 - nDj7eyNrU6uTWs0BZArtY4+ue1Tly+sbx1/x2pXPH9wN631rNB63s1lNg4q1I7tiz52izxIarIIF - 8zJiIzPSNk32xbT1tzdg34GVf/Pd06VRB2JQJ26UBxNnArgic7ZY6XACjcwJyt4FcDYUMSZnBoLC - CJVtZ440+zTPm+3ZT91tvqvF6/m/J5VS540MOgq1J1cHmKbatdRePeb6c0c/8fJXhSMHl6if9tPd - Da/WiP3ZGTw0ald2/Sfe/JanfOtXrVTa79m7wWqjZqKJuSJnMYiROBvgTA4WI3KAgg/zHHZC7h5g - UsodTchJiR1sJ1nRX+QokdbNxqXj3f/8a/YdvOPYG94y7tK4AsxNGfj/2bvzeMmusl74v+dZa+29 - q87YYzqdpANNEhKGBBKIIoMXxBcFB1BBuFzej7y8+jpc7gXHC0G8qB9lELlOCAQHVERAQMV7gUQQ - gUgCCSFEIJHM6aTTc59zqmoPa63nef9YVdWnuxOS02bqzvp++DTVJ9VVu/ZU+zxr7eeHMhihqAzP - cGsfYTsBEAQQEAkJlEHCk7OuMBSAMpMIqXAQguEiRiCqKkXDQtEjzDT1NsO44is7vnr1+q7Rkqig - 0Kpd4wplhZXxA6FDm4PFONB8i+7W/fjoJVte89KV2NXCQqa0xghPL+wJSLv36lNT+l5LyVKE8blR - gMAwwunrlRUp7dsoIk8GRo99vWZZlmVZlmVZlmVZlj1QBDAots495tTZx9wcrjIMUrBFYLDCKAOs - YAEMAigwk5BvKXr4v/jEH//0j7725H6Bhpw4JstRASEOhlip8qpuXBRJJRNK1WAlpOIJAKSa6nRC - S56R8lBiaAFlUAB54W48PqKp5QanQVtgvNUYShqcqXxbRM+oXKBQ87LOjb5wzT9+9bYvdGXsGGKM - atCghYEGMFjGwzQSIdGAhQvff/z2c62W1hairBFR1LJZVUrPHkGmTebTX1c/Pk7l6O4sy7IHRbps - YICpaWuq+qFrgAIrKzf/ztvWLR9UI8YouhYAhoPdX77K337Hxqo3bIds2BN6c+XetkVFZLjz2jRS - aJrTwQIEH1aPVqY5LkJg4TSpcDysiEOjgkQgZoliooDZqY7u3Nk/cAAbNwEBonFYq7OYnUUUOBOj - dzP9nQcPPK4qQQyws2Xbtqbsrf6gedAxy7Isy7Isy7Isy7LsBBNjHI1GVVUVRTEzM3fgwAFmftYz - nv7Wt775Z3/u1URQRdcFACnhda2v33VdURTe+67rUnp3+jkze+9TmHdZlulBSv+9nz9hlmX3txTT - m/KeUwLHMeT1PoSMMTHG9Of01HSvUTRHO94Hkpm56zrnXNqaRHS8h5E/PKWg7mkWUVmWqTvPCWD6 - Waqqaprm2M4Dac2kk0nqKCQivV6vrusHYJGzLMuyLMuyLMuyLMseEe420oaN6bxXVVu4pusW16/7 - lYsuSi34JMZUlsEktPtQtPK3eZej2yASVKGqAjVsduy845JLL33xC384dB1CFBFDTMwCHF2MzZkx - 913qyp3qumwNEQlwcHnpjW98Y+mKoe8keBBsUYS1lyJjCCBiYzRKKmySIsYIFcOGQSKi0MIWm9Zv - 2Lxpw/bt22f7M/Pz8zMzM8zcNM3yYKWu63379t1111233377wZXl6YurqCFmawAEiaoKIojEtD8Q - VB+omerGmFS9nBYk07hA673I6PUX/eqHP/Q31tpeVfm2YyKaNH6UPHU+y7Isy7Isy7Isy7LDpZY0 - qYDW71ejUcMAEf3eO9+5a8/uN//Wby/Oz4cORFT2qmZUO+ce6kU+dkoIEtmYwhofw8Hl5Te84Q1e - RY7qxnR0bvfdO7LYIgyoyob5hV/55V/2bTs/O9c1tWUzLT6STjIkTuhCDRE1Xdd03RevuDwVT9kY - WXveUtvFV7z8v1hiCTEaGGMIRHRCr7ssy7Isy7IsexB5751zMcZ0Px0R3dffhlaJMWJyr266u01E - P/e5f33b299+0et+Zf369cPhkNmqqmEXxedL+izL1kiEgjKTBGg0KpTiUYmjcIy+Ylc6jirBd6zY - YFxPfDXwuPKr1/7BH627+dbNpEGEGappftg4QpRUwILDkzJXYyJRBUAgTidH1XnIOTFc+frXPeVt - F22dOeuOtq1mN3ZtDTas1gisQEiEASBy+n9DakhgUg2Kxm0HSHncop4kjiNpBQDrw6pvuKQqlhEA - iNNTOImRaf8EFposMyGl6hoBS4rt5BS7Sz1bh9FMr+jFutq9u9fh9j9417oduxYjMY0j0jEOfL6f - jaMQCUaINW1QECME6Vs5TYvmtrv+7XW/uf6VLzztpS/0C71R4QKhjdGrB8DGFuSmUxAFMo1aJEVn - xpEDRiFgJQGlLFYZb2JNq06MMgAjRslEBpQNMRMDRIrog1DsVVg3W83euZMGcefvvqu57EunRTjf - GsAwR986yyJiDp+aeMzTFFnRKwCGtCBFHPmoYKAEKiJESPREAcqqqinMFiRAYPI7bmu+YZYM10Qj - 4gFEqopnZ+zcHPf7tt+3VallQfN9dcYZY8EsESFyGzhIGI38sG4PLrVLgzhqNESOWmmYjaN+8FtC - LAMqIasEBiBBRAlswQwJCBEW4MLGLqSl4nRcE6lKxKoGtod/5HT8x6PquphkPaekB8I4aVgA8gHK - ws67orV26IqlublzXvKj9tnPio86+SaNPDsbVOrh8kyvp4roxSixsxBRVT2hm42kg4t0HBmdIuoJ - 0OjJFQSmAMfOUxyJUOVuGbZbNi9unjvvqW96422/f/FNl12+saurDrM0PslgOlV4PKP36CgFBiCT - M+n0BG5SBirG//bQQaFQQhRYA1V0EiyhKgqotl3nPUrDRVBqR4sgtSbcvnN5587rr/ryvl7RbF4/ - f/Zjtz7p3M1nn2NP2oL+THNgJM6xNWAKoKgqRMoENgKorr6QZigxj1vos4pCjAokGsSKObaNCZ1z - DsZgZWXpzrsGO++46uqvNjfdEm+5c91Ku6WTU+vGiS9YgioRFAgCAdiAI9Rj1akgfZ+kicvSI0jo - vCL27EFyBxYWtnzPd5/0kz+xslCN1i8Gz20dxbih920I/cJRBK9agcd2StFJUjUUSGsE09haScnN - aUFFAabx23H6NmFKQR08Xow2diXbypL6yD70rV1Q43bsvPnP37/yT59+TOC+I3K9tq4rS0EUAE+D - WJSPIYEjnRwUojDj4JD0IoT5Waqblne1Oz70UevrLa/8v8V2Q7IDp501kTiqgowSCEyiDGYIKSIj - sIJFSQRMyiRmup55HBAOAJHS8FJ6U2YIJnm9rEfldh+x5JMHkbgOXQVbOFINfdKNXTe3a/dt7/2r - eNU169uhi94Z+FYcwxHW9Guf1ch+sI0WL/u133z6b/xqv7d4ZxjWfVPNzTRd+oJjKKcAchIGQQlK - ms63RgWQlIaeFjoSADUpWOVuZvCfyIgIRJHMirMri7Onv/xlN92wY8/lX5pZ2r/I46sLVibSSKJA - ZCFlfiTlypAi9YiJ0EiiDFKBgtPXNDgSQGIUrAIVUoZqG3zPFKa0QSMkLEC2xAZf/9aX/+jdc3v3 - bp7rh3okIb2yBdbQh4fG4VJQOpSjnqK1LayzdksnX//bfzj7KWc+5lkX7u7bkTUagxLf66R9pfGo - sVFJoffCEOL0mwErPE+GlXn8fcf6yDpesizLsizLsizLsiw7LgghipIpi5aeuO1Jt93wlbKPznuY - 8cgLgFRCVAZUCHCWuq5zZHrzbs/KnRd/7A9/9sX/Y8PsKeTnbChtcFFaUgKpGBVCYJ3OvRdAU9mZ - REkm5e2QhueQysXjoOjsoSGpkkYBEJ1sl3FtTRnENB4QF4YCEmMU3/X7J4WIlXbFzWtr9lx5w2c+ - f+0lsd/UEoTYGMsxSgyWQcqSRttJlETGNWfWoTvr5Mc5KlShEZZMrqZlU8d7u3Xk6O4sy7IHyWTG - kGgsq75AquBRd9/63d/ZVNdzzlDl0LUY1vB+eO3XdNeuk6rK+05mqoMki1tPHqr2enPOFPWgDqNG - VkahbawISQA8IpAmKBAkjYBiPA6aBiCPHmFUBalYQBUCsaC4NMRNt2JhjkqDoO1wFAmYm4VhELEt - lM33vO51GI3QL5vYzRhblOXdDDg/ENP0sizLsizLsizLsizLsgfHUaOhZenqujamWF5eJqK5ubkU - s/3sZz/74ve86yd/8iettU0TAHRdx4z7ENZwpBBC6kHAzKkhvqqq6uLi4oEDB2KMqmqtTUm698un - zLLsAZXai1hrAahqSrw4jgKJU4uTGGPqXZKGhO9LFM0JJn3kEMK0X4yqxhjTls3uL+lLcBoG07Zt - aq9zvLfOUdW2bVOToKZpmDl1IFprenfaA733xpgUEFUURV3Xx/v6ybIsy7Isy7Isy7Ise8gdEWZj - jEtNn4lIiW665dYPf+SjChhjJIZUG8SqWOhv88p336SNiIggKqoEGGYVee+f/en3fe9zK+sK59J4 - EBkm1XD3Q0Kpb2m+r+/bEiWmNNDGzMZaH6Xp2re/4x077ryj1dRn0IDpXnK7x3frrfoTAGAMxagi - kQBVDSIMENCz7owzzrjg/PMvuOCCJ5/3pG3bti3Mzhlj2rYFCU1gsgulsnPdtnv37r3hhhsuv/zy - T3/601d/7WtQiX7V7rOqW1haKtVJX8/71XSXds6JSCpjppJm6/0XrvjiRz720R//0RfXbSdd1696 - Go77e8myLMuyLMuyLMuyLHugiFo2ZNh7Pxw1AATwUALe/+G/veGmG9//l3+1OL8w6rpeWbmixFHR - QZMUh3sqwT149bG7y5Mg4LC6YhM8GS6Lct+BAz/10z9z+867FLCGg46TmO69oniPE8GEAEsGGl/z - 3//7GdsfI6Gr67qwRqLwUf/smAN1HrbSJ0prO4io6mc/97kDSwc1RaXc525H0zXFQGnouc99rjHG - h66L0RlrrI1rjwDPsizLsizLsuxupRHn6YSHdK/QPT/97n9Rmt7Ale7FM8aklMr3v/9D27dvf/GL - X1z1CramiwFRiqIK4eiIrEfcTXBZlt13BDGolRQsUIEw1AAWarw1MEUjvouBKVZsZrq4uDLEXbtv - //DfDT77+YWdd67TCFI7g24IRwCg4Em+MqwAAOs4Eu+o9yZSJUAllX2gQXpGzWDP1sZ863W/ceZP - /z+nPOs7Rm5lN7k4M8O+IWUCChGIgIQhkTiSI2UnQpKyhccvP+63qVCwsAiJkDAAYX7YFI4YYiQa - RREhBG/gkfrkc8qVTE+zKc6QJU2UIh0HfhNAUCsIjA4+xLb0fn3rywPh5jf/Ia78xsmj2JNoQGmS - Xqo9GkDvv/pPihbuGAoWAgucgBQlId153ElnELeLvem9Hzz4r1973CtftXDaadi6oZ4pDpA2CByE - YSwIQGBEFmEBlFWU0bAY4cqzERYOCo3UgWDApFxGNgoliYoyssJAi0gkmmIEWAArwlA4kFG/sjTX - NDN7lr75tj90X/vWZgmFBoXYshfb2gNG4XgccpC6tv5HkII9hGABZkANoAxDbCAi0EBBUkQmwShY - oayGwawkQq03hFlnyLmoFIaDMBjEO3cBBMNsDBk76jyYmdkQQaKIUAyiagCnmAcMMZMaEGna3zoL - 5ZShq4iAAWDgBUSICvEghSNWhe+CIwMcytFUiTINfZ4cbayHBTkTYBSpDIxJQi8QFYh8KJQ9YaYg - gOG6KHYwL5+0cdvzX/DEF/0IFhcHrNGWfScrbY3CzM7P+7YNAaxgw8YYEHnvVTVdcR1a7f+hjfYw - IgRhkMLqoZ9EhhLaNizM9ELjve+Kfi/EZrhc25kebHHAh0EX123YsO03Xn/r+//mm3/1N2dXPXdw - uS8Rq9LTJYW2k6RNlpLVTWqfcK+DDofHmpLCEiAgw9aZKH7UdgCc4zlbhdoDgYmDShs8gHXWzQRd - f6D1gxZ3Hhxe+sWvtp3rz/VOPnnuzDOLjRs3nLIFJ23C4iJme+hXKArECEswFkwgQpo5LAQ14yES - DYgRwcM38B47bnNLB3DHzpUdtx+4447h7r3d8kG07eaqHwdD58O8tT1F1KBAsABDFOIBwIGZXCEm - SssUMY49FoEogRCAyBajDqbkfeA9p59y9kteMv+CH+gqu9xzKwPfk6IwpZmfWRqN5mf7MhxZkBJa - i0hkBUZTkjqD1nKVThLHR44YYSsMpWAQiUGiGH/VWmGAVFkg4FZVoxFASC0gClaCFrbtfCD14l3b - bTDF3Ehw+103/sm7m8u/fKoIh2Y5tAYoCRI1pnXMICWlSfz12kdhSNPW05QQDDBUjYg0ioCFAmH3 - vtFff2LHtbef+qqXzV1wXjeKNDMD6zxRUFIlQTpZkqgwFCqsYoSgTEomFqQmGEmp1UoIJi0sUqwI - kyCCRVKSemQeHxGr9+1Jm/AjFl4AW1UrSwerXp8lLK/sO6U3g2/cfPvF7xt87ounhVCErprtxZXa - Aw5WVdb0W5iSeBU+uPdM337ztW865+d+autznrHMcttov3UzDCPgwOzZKNgKK4XOtpEjACMoQzAK - IVVCilEBjJKqCtJU60dYjg4p+tbs37+0sLjh4Hyx/Zdfe9nrXnfy15v5tmXxDJAaVlLhyNIZMMTG - cXLzIwErrFgA0ZAQRwYpmygkhgmeEYkBGBUrTMIKFsuRtTNB0Xr4jQabl0b45k27f+/iU7558zqm - bmVEDlwa307C5tdwCKSbD0AK1hQCBAAKeFbfLgF6aly84a3veWyNTU97woEtG2tFJMvjYCpWQCDp - amtKxr+AsBX0grAKgMDcmvRDScdLYAAwCkQ2ChcZQDDyiNkdsizLsizLsizLsiw7PkQRFkute+Kj - n3TZjfOiK4MQxQC0ugxDNJ1MrqKC3ozzbRsMlot9b3n/G37uZb+0Ubcv0uY+uyJaDQrLnn00MUKA - VEVMHRNiGizg9PNxJVX40JvlVvAPoRBNh0MbmwADtQBIU6lNeRzsHQXCiqLsDQedhhqF9a4ZmD1X - 3/mJf7zi/Xu63f2Fudh0wmRAKoYQJgNFDIxnHylBCSRuY++kzbOnGnUiIgKyFgARcn73I5aqrm4C - fbynd+e+6lmWZQ8KkjRjQskiehMDOv/1N7/55LadjcGIoImoa0Td98XLw969LHEJKBbmFh9z+uL2 - 00GEXg+mRIeCLaJiZYidO9pbb1/av9sEVM4ihPQ+TBCA720aUQSswhIpIZAqtIxx+fbb559wFsoK - iq5pIwi9PtiCjSJ2okDE/CKInXHjq2/i1TMXx9Ot9Og7XrMsy7Isy7Isy7Isy7Lj0nA4nJ2dbVtf - lmVVVYPBwDlnLSvRM5/5zLe//e2vec0vEEEVx5bbDSDlBwBIqQyY9BcYDAZVVXnvp8He9+9Hy7Ls - ARInqSrT4F5mPl5yuwGks42ITPOqmfkRGN0NIG2+6XoAkHO773fW2hSInr7vmDnldh/vExEAWGtT - MLkxJoSQIsnX+iLp6EsvBWDaeCh3C82yLMuyLMuyLMuyLLt/tW3b6/W64JcHg/nFxQ9++ENdDCCE - GKZlUiJKkcbHUr9SVdXUQs8a64MH8Pl//dc77th58qaN5cI8icQQrLWp6/QD8BEfKdLWSas7iIya - +sDS0rve826vUMAYCumGSgBphO8+viwAQKKmzrnMHKPM9HrPffZznve85z37Wd+9cePGfll1Xaci - 1loS9d2osBYwqgqFqqSuWEKQ6E3heq44edPmkzdt/u5nPvOXXvvzTdd+4lOXfuRjH73kny4NQFG4 - UecRQbzqDj4atxBdnS3+H6eqvV6vruumaaaFTVX1wYMpiL7+DW985tOf8aitp7qyDCGYVfcVkh6W - VpVlWZZlWZZlWZZl2SOcQqNESARQlq5tfVkVTdMBKJy54qqr/9Nznv0Xf/6+85547qipS1eQEt1b - kSMVIh4mbY5WV0IUqPq9UdN0Ibzuotd/9vOfE8Ba04aYKk8KXV3rM8bIWuZ9MaAaL3jieT/z//10 - 9K0hdq5Qibj3dXaiISJXFn//8X8IAgACRVQyrHFtU1uf+tSnbtywQaOUzhnirutCCCLCnFuqZVmW - ZVmWZdn9QESICEBd171eb3pX3ZpeZHqnbYwxjVxPb2p7y1vecsoppzz3uc8lkKhAKd9ck2XZMTDK - iJEgIBEWFlgJVuC6MONc2XW2GVQO6Pzwmuuuv/zKWz7z2a2jdvO+pYXgSwcAgyFmK0iXuraLjKN8 - J63B7+F9VUQBJgqqBiA2IUajWgk2cSjv2P2Nt/4Bff4LJz/vOY/6rqe3VBsUkYwQdwadQWBEQmAo - KSCkMKqQlCpK45BOBabZoiQpx3sSOfqwME5TVuFxVCGzgagBUvI0APAkTzdAGONA9NRA36qWAWUU - oyJOTWjK4ONVX73mXX/R/9btpzRShBEjEI0/cArwpvR9dL9+kLS0KfNSSBmQAGMxCugXDLh6sP+c - cv7Or133uTf+z03f9Z3nPPsZvcc9trd+Ds42bDo2nkzHpAoFdDz/ihUSOT2wUKPKSh0jfTsKKaeY - 8GDGWY8CKMgoWxIlJY1OpZDgJM62bV87krj86S9c/lcfXNy5r7/v4JxxNgYFUm53NVMOh61JBbej - JoCtDqu+7wyBBMTwAoIadlD10ho4hSoTMcZFVh3PhZMABQzBpfzYLmobMdmTiWCQJgSSQB05qCrG - /yOAiUE6TgXW8YGGycLL5AERKMXxKiSgcAgCBaxlUqYIUrLEqqJQmtxUHgEmsLUhjpv1r87tVgKU - BTAqqwJQ5dDbH1ZM5kjUOjOydi/FdtP6R33P9z7hBT+ARz16n8RQFeJM7NoQQjlTthqbYVMUFTth - RYyxaRpjjDFGRNI10hq3zHFDDy9+p2ONqmIUgiM1xnZN45zd2FuovTdVr/UNz/YalduXD57+ipee - fuaZV77zPUtRN9TNnPdWDssiFQLSqTLlmyoAGIiChYgVGOd6S9o/efW2TvH2kvY0JtYQpQ1CDFcC - QPQCPzKgdKXKlvrWSvC+9g7YxIzg47AGyHCh3cryweX9N968VBa7mDxRQ6JlwbM9nun1169X57gq - uCi5dMSGiIhM1wYVkbYN9cgPhu3ywbC8TPWoF2Jfw2xEGcJcF9bFUCkcsSwvMQMMaYMqCoYQvCAG - MKMwIIYGDaElOANWxJQgqxTT2mGVSKgD/Fx5mwqdfc6F/+1n8YTHH4QZuUqt464xtlCiQT0yhrRt - nZKBRCASRxajwDjU9hiko8kA4zNeJMihvV8IYOXJ6YsFlilgnNUBAKAAEvFNpXGOecb7+bbFaDC4 - /Mqr/vqDG267eePy0qKtInwwcAUbLxoO2/0mX6nj9Pc1pXenpWCV1CQ7JZezAgF9BoB1hIWVwd6r - vnbZnttnv/PC837spVgUzPYaRwPmxnFkJ4ZUDq0LSqcgAoDIAMYpvAQiRSQosVFAoSxQFpLpqlfw - EUH1h0K7lelQjHda6RIGo0fPzZkDyzOh22KL5Y9/8tb3fWjuph3bRavgheBXagL6zkYfGWuNLkZV - QDvMrTRlWPq3//WuTdddf9JLf/jskzY0Ztixi2Q9m4gikihZQJQkpXSzIs2rnv4kHaE0XgZiWfPC - nAB8261fXBwEeHLVpg1P/8Wf/8rrL6ru3LnOxypg8qVroGAS4GEz7PogYU3nivGVmxgFq51OgB/v - QgojYlLKj4ReDD0hCjXFdqbtus9+4do//estN+482UcgwqIOIIte0eu61q19kdIuOt6BdXolJr3C - xa7hUG/c0Xztt3933YtfcNqP/CA2bGhtDMyB0RkKxIE5kiqlDviHkDKrgHTyK4AosdD4ijqdQ9J3 - a+R0bsqyLMuyLMuyLMuyLHs4srZQoh65bRu3bapOruP+1g06BgQ8rucIwNNobRFYi7ppJKKa7921 - snO2WnjPx37/Vc9/DUgLs6GUEpFEVUmEPVK1FgKwIKyqKAqQBljBykCqnZLmOspDRziCOgWUGGqh - DNhxgjYpIQIQyDh+W1mAUed76+eWhivCQRbqy6795Keu/MuB3Wvn+UC9ws5E8QoSDQVjMuwg49IZ - AYARGCnO2HL2YrHOqoWoZWgaP8oeqU688dncWj3LsuxBE0DUqvSVcHB449vevCUM+7GlWEANvCK6 - wef+RXbvNQY6v7DhnHOwbRtUUBWIAf0ehi36PXQe1qGawbozyjNPL2+4ac/XrtNmNGNIg2eAmRha - R/TKom07gyNvlUzDogap2ZpGQlQww0ish8v1bbf0ztoOMr7xzBYL82CKMYBIieFcuoKezpo5fEBB - Ir0ZAAAgAElEQVT+kTU8/0BThXNORE64y48sy7Isy7Isy7Isy44nKWgzFSjatnbOAFBVNtw0zQ/9 - 0A+2bfM//sdFquMb6NJNeiHINOnWOZeCHO7JtCnb6gfTpE9mTv3xp4M0IpIaFqTOAinC4cQbwsmy - 41fK7QZQFEU6Dxxfuderlzadl46v5b8fpQ8+PcHmM+0DJ32jpTwdrPpCPK6lsG1VTQ+OIbcbk50w - vQKAtm0xWV1ZlmVZlmVZlmVZlmXZMTmy9JR6tBlDANqmY2e7IO/9kz+LQEz31h1VJv329asjy6m6 - +qEC8PFQa7f3vPfi3/r1N3WtJ8AY03Xd7Nxc13WHR8U8Qiu0x8A5lwpxvV6vblpAbVG9+a1vGPqQ - elBp1EMtqqbbMdV+01/HHdygCmc5BAHAjNTaiglQOKYLLjj/x1/8kuc///lbNp9U13XBBkBsG5Ne - LAZSOE4p7OM4JyIQTdqAGlaJACwTABGxTP2qeumLXvRDz//+A0sH3/+BD/zxxe/2Bw4GnTTRnYxE - ErOKqCJ1Ob+/Vl1d1+nBtLAJSnetigIrw8Fvv+XN7/xfv08EZoacEDXcLMuyLMuyLMuyLMseYG3r - QWjaDgRjufORCbfftfsHf+iFv/gLv/BT/+9P9nomNl3hnEj03jtjQwgzMzNN0xhjVVU0EBErhEDj - pJ0HdpmnzdmPkAI+bGFTndBYW9e1LYtR0xW9/pve9KYPfuSjKcvCh5hmkh9dP4nj2It75OyhOeeW - jUqsrHvXH71Toy+LUnwIsXPOSYip11hqqJ6WVsfzG4/vso0SiYg1RkQ0CluDFNpE1IX48X/8P9OP - VxRF5zscHS6wajUYYlHhcQ6LEvDiH/0xRCmqomsakEYfip4zxhyq4mVZlmVZlmVZ9h8wTenu9Xrp - QYq9TPfGWmunv/JM77399qb3GSUx6i/+4i/96Z/+yZOf/GRjTARgbGjqSY8ySv/EWptuyL1/P12W - ZScGVqvRkqJfStMttRyYpAf06nomEJoGe/fhxn9fufqKW6+5Bjv39Lt4bqRekEq8JelEGegzpEsZ - oiykQGQB69HZx0ciQFXTtDCN0QAQMMF7VDae0oYDn77i1s9euetRp64/44xNFz7FbtuGrSf3ygJO - m17ZFsVQRCk6V1JdO8OsJAQCq3NDUgEbBUFYRVWtCgA+qg/nQ0gJnhiAFcHhBRlhIuIYoyU1FEPw - gSJbS51RQmfUaphr40LXURPQtlhawZe+fPM/f250/bc2jAbrVUt4msz7QurVkN70/s3tFgbDCAxg - ZZycLgAIQVARxAvQlATxy+sM27auv/Cp6y+71G3cfNpTnuKe9YzqrO1Vv2yqSsuCYBEZRJGMMClR - qYitRPThykG70usZF9RR9ERQI2AQAlHklLTKhsSwGA2haw1CSeix9NsWo4h/uXz3Jz+z9PVvbh2u - zGvoGbLSQRUEJVhARm1vUjgbJxOPgwpYJ2Hea4yqHR8HAVCGEATeCSwUCCm2VhWUJqYJSMHjjcQg - SdMkp/vr9IiKSF08lACRcYmVAAIBohonEfXj/3BoeiCgMFAmCDRN+0wHBTTApMKiCKtAeZwmDqFx - evS4dYgAEoMljlFSYC4xp2sUAQdiBTOUSYA4DmIHiAEDErDAGBZQUG3KYpejwaNOmX/Os875/u+z - 2x+7N4TG+6pfIdaIYMPM7CMRioKBkNahgInYpI+w+grnhLzWIT3sc9E44j1GBisYRGIpwATpsQlD - 6XFpQ1SI6/UPeD97/hOf8ntvu+6977vl85dv27V/oR6wgoDSsBBaEVsgKtTDEpggMp6Yyaqr9p1x - kGoiBAULpS1LIGYlUhDIsQDQACEYgmGQqpIqoKLihZUdgRUqwDg3QlVaZfQJNtQxshIUJIQ45HAQ - kViJI61OUk4DAVJRGhoQUpAyj4NmQYBRsZGNwooxYhiiKWpcJxfdND76ivFMWqiCDdgAgqgeTEGJ - LUeNPqCyYhmxg4BDNXMjeOGH/68z/8tLccpp+4hDUXU+lHWcZdNyDMyWYFRdgMX49M4KVVYgEjOt - LfQ6mUanpwm8wggM5Wh8V1kbYkcSjTgi8syAgxqosQKT0mwhnjsiv2C0qlfmW48DQ3zhy7f+n0uW - //3ms6L0u64C0I1gQAY+CisMwaSjWAEoj4N+17zwaQkAGIVQDEYBWJkM6CjQpRNKmIujM3b4gx+/ - 9IpLLjv9ad+55XufWT3+jGrdzMDQEkwDIlOyMmL63YiEAWZhitpFUSEY4VkqvffKMMaRiIiwYR88 - rO00gMS4MgYccdoQRZofDVFSMMgwExGimBBnQ+gPa+zejy9dtfOSTy9de+2GptnomH0rBAGIwQr1 - wuOBmjXMdSdF6FA5iiG60G5dMXd98O/3/euVpz39O+e+/3uwcRHrF4aFXZa2YROsVVVW2MiBKRI6 - BjM8UWRjiMUHS+TItQGOyxIuhi7aoBTufVFOFOlXbBUiVy6Rrbafcf6rf+aL7/idYs++nmoIwuCg - wZK1DN+KOSG/Qu5BJPbWMVlIoBgsGxbVACiYLIsqKQOWYAgEKrp2tutsCPCCA/txzVdu/OfPdNd+ - Y8tguEAxGhgBIkoCgga0PJ7ovyYMQCkqEAnKAGBELQSxYatRRj3YU4ZU/82nrvnfl23//ufNXXBu - edYZqAwQYr8YVXZJgndOiZWQrmRYYRXEMjKdqlq2rdLQcOUq14g23qWyCakSlMQzRzp0ss2yLMuy - LMuyLMuye8XMqspMIQRjWe9monSW3S8YYEQN4p2zT3/id++8/BZbjNoglsCwyqoqUA8IEYhBEQCE - QYxGau5zHbu9fs8ff+S3XvAdP/K07d87TxvZ28JYoEMMxhRQkgjVSDSe6iIiPB64AitBLcCTWwti - bvfxEGJmUYVYIqtqVQgkUCX2ziDGGEIgKg1XLC6yqBsd8Pt1QfeGHZd/5ROXff3Tu+O+YoYbCBtA - 1RFUG2shYiHMTITAhlQ1epBBQabw1VPOuVBqMjMUoSLBslHDXddYm6PcH4lijMaY6Sw2Y0xqDX38 - ytHdWZZlDxYy2tR9W2LUXP/mt2z1zSxH8d5wgSDwuvdLX8XBFePc4taT+KkXoJxBWSIG1DXKCp2g - KoNEW5SwtqtrCV01M4PHnrVpbt3KVVcv7989b10IwbFpfehZ9m1XMGE8zWlCj5gwwGn2iRKMRith - uOOO3mnbEAKDiAhR0z9iIjIMBng88YNX/XnYbZ2aL5KyLMuyLMuyLMuyLMtOfE3TEFHbti960YsA - uuiii7xX56jz43ve0t3+KSHgPrYSWC0lfaYh3KqqRqORcy7GyMzpzxCCtRY48i67LMuyLMuyLMuy - LMuyLMuyLMuybE2IaNTUc4sLddv87Uc/snvfXn3gU24E+OsPfOB1v/LLGxfXGVAM3hgzWFmpqipI - TI0p8218axI7b4whooPLS1V/hq351g03/u1HPjLO7T7q+USU+mUdEceuCms5RmGgcFZCVKhlqODH - XvSiV77yleeff35VFG3bhqbtF6X4MG4JmtolTps2rnpPnTQfXP1n+nmaem4UUJ3r9Q3oNf/11a96 - 1ave9/6//KM/fvfO3bsJKMuiaTtbuRgUImQ4yv2W232PREBUlIVv2n/4+3/8rz/9s+c9/gltOyqs - O6JR1zj7KMuyLMuyLMuyLMuybLVV5YIYpKhc13gChl37G7/9W1dcccWbf+u3H3XqaW3XMdHMzExd - 171er+s6772IMLMdRwYIKZjZGdMFeUiqEL1er+naEIICItIEX1ZlE7yrqtf/6hsuvvjioGqYUn7D - 3eZ2H+GIJ7AxEmMIASm0W1UlEvCbv/4b2x99uqoiChNAHP2JnD9nrW3b1ntvrbXORZXogxoW5kv+ - 6dIuhmn4g4hA7zGcJk3dFz1UXiVQ37nn/Kdn98qqHg5JYS2nWmJUYcrdIbIsy7Isy7LsgTKOmCPy - 3vd6Pe99CGGtN9smMWIwaF796v/2gQ98YNu201IX1KoomJmIRMRa2zRNjNE5d8Q0gCzLsimNMiu0 - cPBg6QJcQD3EXQfDrgN3fO365ls31Nd90+y7qyfdVtBsJ0UcTytSGid3yjjkFTquJwhNymCTpOi1 - LhERtBCUrZ8N2Kxt+NYtsnPXtZdeIgvzdv0GPvkk9+jT5rdvXzht2+y6BZ7vU38WnUABZ2BMU7ft - bC/0yo4NiCeJw2lhH15FDwELiWe2xAAiIU7qOypCMfRI+61fHwQWaGvfdY4rqEK6MFgOd+zcfeON - B2+4sbtj19J1NyyO/KZRd1KMBXujeBBO/AoWGChYBKQE4cm0tPHnUCaIEkBgoBIxdddf6ZjATbf3 - rt37Lv10t22re8yjN577xP5pp2489XTML0AZ0Yu1WrlB67WaGxnqJPScWdQ4uzxwrIABGNEAgIkg - KDEpIUaEDuJhGSzYv3fPdd+8/brrd/3Llxd2L2/YOzgF6BkiqEiMaUYiHTmTDZOa2+qY92Pdny0A - qIBknOTK40jstE5EwcqkNH7l6WZL6d2r5qF928TctV5IsE6O3TQlkxRm8kakSMsFHKppH/G+XRQG - LDOIIBJTDLgKWasiohEKYpABEaAIAii8oCN4U9TOtmU5Wpg99yU/hqc+GY87YyfjLj+0/f5cvxi2 - XUFQksAcJ4tkFKyIvIbM4OP90oePSv5lHe8GaY9N+aY06fprJP1HArNSDCDvzIj7s7Y4+9U/G849 - 7yvvfM+WQbXQtmY4hFBUX5S2boNhFBYiUIGxEAFUSNOeOp4BKiTjRdLJjqGE8bEznivKqmm/lbsN - uR+HoQqOOJQmm9gqbHrCoamkUY489JgUrNMvGTmsJq6T76Zx92PhFEKfQk0AIB7WGHlcURcCrGGQ - +qiqSoABRNRYqyCRWFWIHqMW0rf7qag3bX7Ky1+G53/PYHF+CRSKEmwMGcQIILJEFiOwMl5P6VOM - /woWQqTUFZrXdPCmnZ+FBaDxB4suhE2iZt/+kpXYIHZgIxoDWcsFR0KIUAEREIAOUoc7dizfctN1 - V35l+ZpvzO7cs9nTVh9M5wmHDvnV65VT7Pl49/oPH1nj/SV9cB6f2xQgEYIiFhI3Ne1iWw/s6MAl - n/zyFz8TTtk0f95jT33KBaec/Vhs2IhmAGPBFjAAARJUgoaitAp4ZRHAr2jZWy55FLuCCy9CMJFZ - CUREzDxYOVVMGeSw5dJJkLimPZwgis6j69AM91177V1f/7cD11zrbrvz5JXR2UxghFFr0l5K6ZBk - kKTrorWmsxNAoKgivpkjWKXRt246uHvP9Zd8snf29g3nP3H9Y886+dTTsG49Kqei1ApgvHVEZBEB - BIOoCqMk7IiX26Fft3Gp85EYJ+442j2JClJlQFkb4sHM7MyTzzvr5S+77Y/fjaZbtGBFSWWMUYK4 - 4/8rY00IUiGa0WAhaI8R4RG8EQsu4AOY4QgSUY+wtLJycCmurOzbcfvKDTcuXX+D7tnbHw3nuvqk - ru2TegEwPkWw8OTQXjNddTLkQ38Zd89PZz+rYb4dbWh5fRNu/eCHD/z9x3S+N7t1y7qztq8/41G9 - kzdXc323+SQUDsaBDRQQgSiMBqPqTKcyKlxnadgMSyl7ZdlJMGkEOl0FkaRrtZzenWVZlmVZlmVZ - lh2DVFrMsgeIqpKicnaljk84/fxLr/jESlhhMyIlhUaORDBkgHFRxEyKKuMBKBKw905CKf/wxQ/s - 2nPweU/54XUzG+thLByxknqFcsHOWKNKEj0mk88ni5BquaTTenv2EGGltlFrrWGnYqCwlCb+SxSp - 67Yoba/X61rtfGCyAZ3MtFKNbtpz3T9f/ckv3/TZoR2Ui0Vro8RUmhZWQMdjpgIYg2YUKcL20HOQ - FmWcndcNWzdsm42zpGwYmnYPVmPMI6zCmt2NEyMJIkd3Z1mWPTgYEYQellauf+tb14e6hxiHra1m - 0LSI1F79zW7nHinLU59yIU7ZhBiCSGhCaZiqWQ0dFU4lGkCDkkrRm0V/Vvbt414Pp2yZo3MH11yz - vGfPbFF2XWsBKJk0++rwiYM66WHHk2kMLKxG0qy3QuLwzl248y5s2tC0I+dKECFGLqyqqtB0tsNk - hpwe+iqk9Kq5RV6WZVmWZVmWZVmWZdnxSe/73acCoHQmpWgT6Qtf+MMHDx5429t+x3tlQvCRCNYa - Veq6DmAicwyFo5TVnXrPFUUhIun+3vTzae8AIjoxxmyyLMuyLMuyLMuyLMuyLMuyLMseEkSU+kST - Me9697u9iBx5i919stYbrVaa+mN//3eveNl/hqgjLqsqeh9CQGqgB5l29DvGTpiPMETUee+cm5ub - C0DTdb/7jneMfLfqGauerSAmhR7WsHuytq0xPggTvA9p2vkPfN/z3/Rrv7a4uDA/O0dEvm0NkSGS - rksR4MChbn04amOtbku3+o7k1T9v67ooitler/XdbNV77c+9+uU//rJ3vfc97/i9P2iazjnjG5+e - 6cqyq+tjXU/3FdlCQ+ja1hAPu/b3/uD33/uud5dVT2I4+sBYa9+9LMuyLMuyLMuyLMseUdiY6f3+ - qY7yyc98+vPPfMav/+qvvfInfgKgummNdYPRqCzLmbk537YAUvJymiMtIXrv2RYP+rITgH0H9s/P - z4uql0jWFcZ4FQG9/lff+Gfve18Xo7HjWd9pie85KYixuiH7NAkmpJZeWlhHqkEiAS/70R97xX9+ - ea8oR6ORMhlmZvZdA5CkeeOT2JITRojROSciGjVSjCrMbIrCq37obz8cVAVIKS0xKpGBrmqFtmo9 - jKfWH7YV9MlPetKjTz89ek9EhXNpna+uDT7swqyyLMuyLMuy7ISQrs9V1RhTH+MwNwNCzCJChLt2 - 73vNz7/2ve+9eKZfzc7Oet92vjOgtm3n5ubSvbfpzt/7+ZNkWXaCCK7EhpGXz/0Lbr11943/tvvm - m2X/yHidsYVrmvmurihYVopCgQRKBGV4AhRGGCAoCwmpGoiZThmahJWatU6hIWtIIYjilWGZqBu1 - +0aP6RejvXu6Pfv01tvil689QLSTXeMoOu7PzS72Zvrz89WWk4qtJ436s+u/60KcdtJS6VjNuIO8 - kjLjUCLxw4KCI6wAHQtIPMObcd5n4biKYWMjK1deu/NLX50brtSDfW0zXFpaktGAlgYYjSh2RiNL - nIlxK1wZUFmyzDGaqBFrrO0c82QnUjaQ1Dgi8iT0WpgVlMKhVXSSkN0ryKoGgfrQC7I4qMPSCDfe - sfypL+xmEmvt/NzspvULmzbMLMx3s7163caN3/kdfNr2Qem4G45u+vfBP39hYWXIriKlIjIgQlEJ - AsPKoamtaBiuLO266+BdO7rhSiWxAp1F7LpQ9tSpqo8xhkiARUx77AOwWiYEYKNC0EOzHyeFO5OS - g8dvYRUi7I/490cs26EsSWUcmpiX0pTvfW4nqwJRCDp5slmVWT75sKxAZBLSFJgtdGjuX3rHwjBE - U/2XAUMGzAB87JxhZgKkC4gCAIYRBUUxI6bYB1nauDh73uO2f+93m6ddqOXMsLCiYgnre+w1RoFh - 56FC4g0AZfVOiMUCLHr4jMfDl+rEm69ndFV6KAkBVngaXp7+U6RxhD0AikzQjhSAYWZAlJrCFA69 - 5zzrwgvOvfZP/vzWT332TC5keWXelhLaEgTRKCADdRCMA60ZQsoKHec0EANgAYFSfsM09uNo6QkA - KO2lCqZxc2OsCgsZ729HJXxPK/xyKEt++nkFSKn34zUExeqOx6TTYyRlL6cL4OllcHpvBsCTScmA - MKSLoobIEam49CwPH4IAjhFrUFkMS7Nrbmb2qU99/CtfgTPPHCjvGnq3fjEwjdp6tl+FTkCSvvic - gBXBQMEpyNlFtoLWIDI6Hndxvu/psAKk1HIlJjBYGVKKX2za9oqvDq6+di60fR+dD+yKgUbjrIbI - ohqFvY+1H+0/MNq7r11eaYaDirUfw2xTl1F6xBRJALNq01gB9LCVT9OVPJ0YvJZDjnS8xtODdOpj - iIIjGSHwOBZcUoK4Q5zTuifYuGKbf18Z3XDLnX/3T7e5XizL0846k6qe6fddVdqiIsMASpDUQ2Lb - gWKvP3LF1qddaB/3aJ5xquIRAEukEmIBMl6KO3fv+vjHt4xG0yXkyXISREOU1nd1Uw+Gy0tLg6Xl - MKj7dez7sI2kjN7Guo2AARtoZFJiRWTUVpVRRFiBkbWtIgUgpmCFKHHoW+O8r5f2nj4if3B//aWr - b2SnvV6xcWOxaX3R72+aXUdso7UwZDQCIkSeFJY7ibDl7n55+iteMuj3fOFDhEkH7yODgmEgRIrW - EKC0TNBtp214wfe1t922639/Age7jZbJh6ChV1Shax4+F4cPgjL6jbp811WX7772+tnBSNtaRrXp - VJtoOunqemVlyQ8HWjcUBQCDuPYl0ckEJ6GMsZRgVDRMzhswkUDQdIJe67WTApEAwCib6aWFAhj/ - NjFOthcwRDBwXX26MxsGXoeQvbeFr165I3ixhev16zbYmZlqft7N9U2/z/3SViVcaavZ0J9dKt3s - Beeuv/DxB5xl5pBCu2l8ThNNyzB9mGVZlmVZlmVZlmVZ9jBCSswUYutMsXHm1PPPfNqd/35bb66Q - qJFFCcIwqgyESQbzmILUkoLRgbC/rv9/9t48WpKjuvP/3nsjMqvqve6WWhJCEhgjBJLZMbtYvQwe - rzO/Gf/MaAyyj23ObLZZxsD8MB7gWDYej2fDCzOaOdh4ZBDGIMAIj2f8M3hjNWCbHyB2IyQwatSt - 7n6vqjIj7r2/PyKrXr3Xi7obtVC34nP6VL+XryorMjIzIvLGje931+697/n8jZ+57RPf88QffMT9 - Hikdj2V32ggj2QWlbtqp9U2IIZKrMoYZJSdb+DoPcfnKNwwPYxkxgqeUcwIUQq4wy0Q0Ga1n01mX - YztSTsk2wh4c8C//xUf/6H03vee26ZexJ4xHu3vv+9Q3xFSmLzFMNyplJygCByDAFW6QFMK0fcLD - r9zTnsfTmFJiiBCZZXLmKJ5rPlLlbKBad1cqlcrdBTPM/vLnX31p7naRszqHEWY9gP2fvem2z33m - /PPOO/+pT4Yo2gZzlXYS1tYPz6dTs2bveYfmc3U0zSg4Yd6Hvl8jjHftRp5hJLjfBev+0H0f+NDm - rG9ZIpPlxIFzNgGOs1LSQYAvZzoFtpY03fTpeMGV04OHz9l7HoShygRVMjPADV7yJ4iOmrFUx8yV - SqVSqVQqlUqlUqlUKvcK3L34Z5sZSK6++uqmaV/5ymuYyczNkbOW+TgROVlfBwDMnHMuHy9iAcxc - 1MFyziEEESk/E1H5uVKpVCqVSqVSqVQqlUqlUqlUKpVKpXJqiMh8Pv/Epz/14b/6qDCpuftJz++c - LE0Iv/FfX3v1c55L7K7e930b43Q6DU083V99diLcSNPnRLAMfPFLN9/wjrdjUPHb6dsNYMcUHhEV - s56mCalL5W0BeOxjHv2qV7zyqVdeOdvcHLdtmbwz96WQ6Kpvd9l2an5JbdvGGDc3N0MT10fjg4cO - nX/OuT/zghd9z9//3p964Qs+cdMnyQEiJ/Sz2XEtoO4aPGcALGJZJzG+7W3veMFPP/+Kyx4cFiqO - Sw3Ts8kgqlKpVCqVSqVSqVQqlcrXz5ExC1PtVQEUrzXACDRN6aX/9mff9ra3/cIv/MLll1/eEK/t - 2b156LCaBREzTaruHoVEBMzsrt8I7XAnnHvuuX1KCs+mwmxudxw6+K9f+pIb3v6ODJ+MxtP5jAAJ - IecsJ2gRtz2iEmPUlDUnAgR44uMe/+9e/UttE6bTKQthEcvakZd+loVlcs7j8biYBwAQYjD1fX9o - PnvfBz9QonzExCCznQG/VUrKfWBRUwwS9/ihH/qh+XzOZuN2BCBbEhHfHmEzoCblVyqVSqVSqVQq - dy3MDKDruqWHN4Cmafq+P6ndlP/KEP5jH/v485//gut++7dns1kIAdC2bc2sfIWIlFW3d/WhVCqV - swGCufb7PnvT//frr71s3/5dNHug59CzZYCEyRskEJLBipmrRPOkNFh7shPABi4GnFjYEvvCt/sU - bI/VMljAMFM3isKNyMjU5z0bBBptBus714RgbbCAft9tEdwT39aEQ6PR7WtrT9w1ai95BoEcAoAd - DjY/zalFp0ixmi01CXYrv2vqOefY58Mf//jf3fDO+2xskG4I5T3skvJ68hHgDA8wBxyNqysyoEAA - gnBJpnI97Sl/gA2Whwvb1+HVsRUZdYBAjunURwQRnvca2S5sGsmWDhwI1Bg8kaf9X8u3/G0OfgB8 - RxNu23vB7r3ntpdckqJInu/7wme+9q539V+7wxHIWYzE3bkHYAhwZlM2lZxHbpdGbglIfZdNGL3B - gA5oACKEAArUJweOFsAFgG2uujaYSp5czQBEUB+MkBebS47ZMphJxsYEcxicl6batPjeZTFoZ+hu - wQl38gRbWmDa4qPsO+5WO9YOy2Z2mBkTCUkR+8hw05SBiUDVUjndrSCEOXMHznGyP2t70f0v//Zv - w9OvxEV756Owf/fa4TBK6qPcNY4AEpHOvXdlDgADmRxiIDjD7NgF28EOc+szFDpa+cm3XYROUB5M - qtktlhROI+fiocoiSCT7kq7tWnPBI57/U3jW93z81//rvk9/+pzNbo/F9dCSZ0c2aNe7AS0vrNDJ - bEVJmBcR6OVJEMfi/qEddxE5HKyQRWcxXHG+cj0fvY9YTTbd7my9ai2/rKFl6QCUM79QRN7e9A0F - ZAItP7MoN3OIlqeqHkN083lyAQhoiIzDFJ73rN/SpdmFFz7qOc9uvvMZh3evH2xYeDTatTsz9X1H - wnNNgQGCkUupOIITGYydjRAcVirNoWwKkNnJGM7z6kE5wWCCPMn9bZ/45C3v+oNzN6bj+ZT7XmLc - gDWjllMnau4eQI1Lk/y8ZAxt0GT0DgQJTqFP8wRvWBS6OPcQ26rrI0/TKXTuWzWOrT1vcyAEp9IA - ACAASURBVH93BtnS350dIUESRsjnMOCSvHdRtOnwn30gicwDG7GZlaceMV0TcaJDitna+h3ru/ae - d2687D6yvltJocMsSbEPkeR8276/fesNdPjQkccV4OQIIHJE0F6zc8w42S6eUO4Bo9IWCYxJ1cFE - xoJyxaqWd9BJz60EbmBKYGGd9xl9HgnGAZactYNztnl/cCN/7UD/OVLQ7QqQ9NyAPXjP8Awkcm7i - NGcNza0X7LniB79bdgVlTubizb1LG5yDm5GbI3MIm0nnFvO551/8o1cf2rfv8Ps+Oj48C45xaLXv - hcTdQXfDkOkeQfAcNw7e9sH3HrrxPRccnk4oN45orLM0RpwodsMDezQzRYIp0IJBQZmz5oAsIAhc - wAY4FAzASbd1EifeUAz3PsEBZzGAhrGlr+zGGA6QG2DYSHuAyOBOy1OHefZDUyDiYKdfvaNn9Ey9 - oGey0PQ95pP1r7btZaPJuY996Gwknnza5xgjhh7Ky1KAZet0Jo8gKpVKpVKpVCqVSqVSqZxtsINZ - ck7EJiS26U96xLe9/4t//rX+yyap40ykDpgbGbhELw1YhFbImRfhWFkLB/uD7a7RzfPPXvdH1z7p - sqc882FPPz/ed/fkkulszk7jXZNAa33XeU4luaVEX0AOqLHCyQmwe1Ow8Z6HuJi6mwUhESni/OoS - mtFGNzOCRZtjf1zH4e7g5/72k+/52Lu+vPn5O3SfrnsS6rIaeZCIsqzAFzMQDjAcyJbbcXTk+dwj - IeroHFzwlIc9E73k5M5gBhGVuejTLe5ROVO4h+ZinAzVurtSqVTuDhxIhIa4UWszWASOBBFy1tmX - b7350sddMbn8YRivzTcOjUisGdt8niV8WWx28d5H/+S/OpeAZg2ZYIxeP/maXyPv076/2z0O0Cmo - w/3ve8Hhh97y0Y8Khb7vWmbPJlvzfyXBkReD5SGXxYAy1ckY8g13BT70d/v2fPmrMTvFAHKEADUh - ISIwgbamRwfBNRqOsVBztiuVSqVSqVQqlUqlUqlUzkKOXHXgMLMYo7ubuQg997nP2bfvtte+9lp3 - iJCqAx5CUPNTmFBZqqoV7TZ3F5GiTbBUDei6rm3br+u4KpVKpVKpVCqVSqVSqVQqlUqlUqlU7pXs - EFMzs7W1tWuvvdaBbM5B7ET8dXbudOXnE5gd6nL+zBe++N73v++pVz7FLMPMzJqmKQJ1tNCsBMBu - RnVd351gZiIiEjrNCPE1v/rrs77f6du9Uqu+UDAsmxyDtmLf5zZIzro2al71c6+4+jnPGTXt7NDG - aNzCPOVeVYmIhYdpuyNk9di3q+wd20VpuZ0cBu9zAhOA2Ww6ahty7BpPnvz4x/3vd77zP7/mV//9 - f/qPICRzJlY7zaJpQ9Y9RWn6PJunJMBrfu1XX/Of/wuDmAbH7qV793GOsVKpVCqVSqVSqVQqlcq9 - lO3xsbZtVT3nzBxKmjTDmOQvPvKhZ373s676oWe/5MUvvvi+F43X1lPupn3XhtiMWgCupu4lYZvk - 9AYgyEvIZSsQVyIehw8fbtpWzWNoM/nNX7r5qquuuunzn2MJsDydz0Bwh5kR0Qn4dq9EpZaYl61r - Tbs2mfz3a689d/ce7eZNDCjiPq5917Vtu3TvXkZjFs5AJ+5Zcw+l1J7mzMyRJbs50HX9+973vtv2 - 7S9RPnNjEdhxw68EOFaN+iLH7/qu74oSirdF7lMgjhK6hdRapVKpVCqVSqVSOU2YmepgrU1ExbT7 - hH27V57OwCCLIaaUzPCBD3zwFa94xStf9W/dXdXNLISQc1bVEKq4aKVSOQ4eIu9dG58z7y/oO/IE - BZGOGL0pOSCggEgwBSU2tRSGDBlZhF8YVmTbhz0SlIClJfVJKgoYHJ4tMiFIdqgZyFk8gKFigJnD - AgHSM3pLiBlNQCbmXhw6Be0ej+fQXsAEMubiuOxmAPs9KPRBi1oSRxG9d2MxGBmEyRWWaPNwu3Hw - QtMgKmQ5GUEkGLu5wxQgEMEMMSBQMfQ2c8sGOMIpGaif8AEsAno+6P7bwpFa2QCIbyVTsQFACzAx - iEeRkqn2HQENk9o8CqKwunUdrAMLotDtt98+cWigntM4Out8fPDAfTZnAMOZnEEZlAHA2YmJnAiB - 3c24hwIgBIHw0IkyA0zubhmaXI5xOQzXia9uObU6UtDSCHl7ndFKPJQMbgDD41Z370PQmIb76wgr - 4kUJt04xHdVGefvnnNkBMgI7mYONyhcNeyAvprTlrhmOfdUMmxwJiO4kTO7qpgwKsWHuZl0QChTm - 5hudH3I6vGfXoXPXL3zi4x78lCvHj3wERpONEPJokjhMtWfyXZNGbKSzjqfOTdDoSrkPxo42s/hg - ea6sTnAigLfZOR9xgHamB4UXOGAosVrD1hW47TIovxQD7+ImTM4CUgtJTEhFHUwYNwf6PFnfu9+U - LteH/dq/m/3vP/z477xl9tl9FyNI7hWphawJAQlcnBqKcenwdeJgY9oq2gANpVh4OhDgbAQGlNEz - nBAM4iTG5MsZBwx3GA27GK7h4q497J542/ndOu3DUbMZKTDYuy595XGsRo/KPcUELdfUcA8QkLOA - iQnZXUFAIKHYaN9jtH6A+dOuD/jH/+Dhz/knuOj8w23TSej6LoVN5j5nhNCMwqTvexvaInKCkg/G - 88WLFtwLGBA32HCVnlTjzA4b7l+Gk5EzmcKY0rrl5o479vTzXblHzowumCNvNkziDhv6l0jUNJHA - yHMxM5hqEpJR0yg8e58BBqIu6tqXZ/zI1NyjzencGbT04KWhBorXOUBizIuRhPJg4BubqL0qDCbM - DHfT3mZpBFgGUhHNJiJihhC0n5OAMmKHTbfGEyJtohcKyoB7OS4BNe7YnK/3eff8KE9hwQnuZMOM - kxCICIxsG0wIkYgoZ5hCzGFQAcRYIY5WURzvT6UdIkqmADhAADNYRipJ3D7cjMERVIPCHSGQOStU - odH70vAbkc1muyAccEgOQaKZwbzlBie/AuCMxgxFisrZjckhmnzDwuiii6/46Z/86y+8fBdubw9v - sGUR8VNYH3Emww7Etd3aTuZy0ZzYMhJCw2Zo3MgNXjyYwAKRKDCBmvXuLCwNWiObS3Z4UwaxMDgP - 7fZWe36ikEOwnI8m9tL+GABhiMEMyugEHtAo2DARhsOy9RkMhNCwkZoKBzdY1ggXoKiPGWWQzJ3m - fbenm49MNfeEpmkadweY3YBiPD60Tme8w0ylUqlUKpVKpVKpVCqVs47A1Oc8Woub83lo4vlrFz/x - 8m/7Xx9+q665cyKiQGAvU6EIYCvxFg8AgzIWwXnVLA1lSjLBQbvl3R+/4Za/++xjH/SUb33wU/as - XcAIm9MNybzWTJoQU9evzKMZaPFKDFoE+ivfAEx9bm5MGmIA0OekLmA5PJ01uyfJN+e0oeODt+77 - 1Af/5t03feljd+QDOfbaoHdNStK0Adx30zYal9C9b4XuQVCHupk6G9rRmA833/rNTzo/XsLzRkKA - MMxJScA9qeccquTLvZulMcSZ7t5ds+sqlUrl7sAABRDskT/7slt/6d+v9d2ahNRN47hB1oc/7UqE - BpQP3r5v/bwLNnzeC/N5u7+Sum/5uZ/FKCJKbiZzUGyaFsCs+5bn/wvM51/8L7/Sbx5s1XeN1jDP - uOKyvbfffujzXxiFxnJnQAhw3TYNSGXe1JlgToPgGpV59ZI7lVMLxic/sx4jxwDLiNFS5iBl4aWD - +IiZxZ2doZ9a+kClUqlUKpVKpVKpVCqVSuWMgYiKl/bm5uZksm5ms9nsRS96Udf1r3vdb6l628au - S6rqTsMao5MkxphSKpIBIYSi48bMKaWypW3b8oOZVdWwSqVSqVQqlUqlUqlUKpVKpVKpVCqVU0ZE - vvrVr77jnTcyCVxNFURYeDmfDhxwghD96q//2tOe8lRiFl6s0jlaHjK7Gbi6Ix8HM3MCsYzi6Cv7 - vnb99dcDiE3Tp+Opfg+Lo1bONAM561Oe+Pjf+LVfv/SbHtDPO+3T2mTc970Ub/AwGCaZ2XKebmll - vSrIe+L4oH7lzahlUDebjyZrs83pfDZzoInhZS9+6ROf+MSfeN7z9h8+5G6BJdvpVU+TEDTnruva - 2OTUG/CWG254+ctffsmFF5qDT6vQbaVSqVQqlUqlUqlUKpWzi6Zpuq4r9gbFdrqkRvdeXAnout99 - 01vf/rbn/diP//iP/dglF13cjFo45jlbTuQIIQgxHctY5vQzWVubpz7EJrv93lve8jMvfcl0OhWm - XjOYAA8xurvmfMrhxKxZgHFo5333+297+yUXXbw5PTyJLTOXqFSMUVVL7a1yNgUMmVlV1a0Jjabc - 57S2a30tyu+99S0OiJCaAzBVgJnZj6iNAjG7WdYMQMAOf/SjH33xxRej7wVsWctCgJxzznk0Geec - l5/1GvWqVCqVSqVSqVTuUpg551x0S3POk8kEwGQymU6nJ7UfN2OJxf+bGWZ+3XVvuuyyy374OVeN - RqPZbMoLyoD/yKenSqVSKaSuCym3827NCRHKYIMpWg4OhXnq0AEEjOBMjGLra4O1p8OMADhv+bYu - nIOd4ThZa88AZEDNmJzBxdPYga43IhSbV2IIwxU5IxCCQzLMbEzmYXRgnrCxieI7SyzEpGU3vGWb - fI+B4exKDoIVp2RyJiALo2F0ELaRpdjNGEkdDAiMZZAJddsya1Yv52OwOmZZKK2fVracqJlc2N0Z - gx/Aqs2vcwnbCXO2pGYMBCFih8LdhaAKUxNgEgCCZmwc6vZesBuhUfW+z2NFdIzV17MREaBwc9ZF - QczJ8sIXlh1EYIaVesgQgxczVDgRBIiCvKif4XqmLa/xnQd6SjW5zbZg2AMPRSza5WTFbNiLr7Ab - rXyGj+FD7Qs78FUD760f7qSowy3LgC0MzVdd2Icdr+zTacs2uWxvRExVNRngwok8a8pOaRRziJnD - PES64D73efjDH3TlE/Coh2Ot3VwbfTmGaQwmUQ2sOcYYoNPphptMZNy2IWmv6twwUG4KsMPBxQze - tmrvzvEdNXNmogwtttmLA1laii4vDPbVEK4BIGIwgcmclcwcTuwSpuYzB527R9h3f+e3P+7hj5m9 - /Q+/8Ed/Srfvv2Ry8fzg/pRmE4ldSg0NOZ9OEBtOBMGG/5csLpulITftyC+mI/M5tznTF5Pv7X/d - OrKjXfw2XK7Og7n58k2Le/9Itk0Z+OA9UVzDS332jkYCu6vmGBsnmec0zXmzafcLdj/mEd/x3Ofg - 0Q/9appPm0ijlpNK2yAyonD2fja3ed+2bS7W4zBxkFM5a+ysxEbDbRsN4tZYqc1TmeIRG47UwExO - biP4JOua2po5E9w9AKawzoMgMggwh6n33pdajoGExXtNnqnPTuSydW7JB1XqZQXaohEgP35lHx8G - 4GS2/dYkKMMJxI7E8IXJt/ZKkCgNyC1ngwpoFGPOXSlJGR/Qyh0hhD2gADpEECIPQYndwRB3JoBE - yRCZ4D4xrGsplS2bWnaIMOBE7m6LIYw7gVvqe+97J3InSGChoJoBBxVXeAs21BhOvtdQ7QkQJoML - oWlIDN47GE7IDHfEwYW9jAHc4NnVXSMGGXG4Z4UQKNlaJhCZC2WWIGe6YcbJUp64JUSYZyThEFwQ - J7dLOP+Cix/14p/56CuvuW9KNp22bI0ILN/5Ts8eGJtz28ztVHd3TKBsLtkAdlWGOwEMOFShmhRI - gBCCMFFM2RI0kzcRpADBoSCQMeBOhpOfWCzNsmEYnxBWuhUCOzKGQRXBiXzaWwQCU8PB1FLuCCIk - 7tnhDApgYgYZzN0TPCHZmoxGuWfLcCaHMGf30rMY2dI8vFKpVCqVSqVSqVQqlROHiMp6/ErldJNz - bpqQUgphBCfr4uMffOVfffLDN+un1QzmxMRMqg6DaYnOMHmZCzIMs3VgJnPP2iv60Rp7g89MP37L - R27987/5s6d+6zMe8/AnrI93p6lMzcxGStpyM8xbDbN9MLKaVf6NR4zYHNSb5pzVjWKUEbmlg/53 - qZ19af9N733///nUzR/e9P1JZjzh7IYgUaKmXrtpDOP1UWtpVvZnxSJgMblGhJSNHYHJe4TUPO2R - 3x43R6AAETjMtMiJMAf1U18tUqnco6jW3ZVKpXJ3wDCBdj5rz9l9yc/93KeuefVFabY+EZ0dJCIN - IY7GcNpz/vn95kaarH9t1+Qh//pF54zHcEUTEEIAj7K59gpIK5CAtn3Ai3/mY9e8+hHrF9rX9plP - w/p48pDLZ/tux2ymGZGH9EVbyX8CaJGBM1BSWMorAWo2kma6//ZmfZ1GLRjwzGDokKpQMmTIHbRI - 3yv7GSYduQyw6iNjpVKpVCqVSqVSqVQqlcoZz3HXo+bUicg8pT179kyn8/F43CUF8PKXv3xzc/P3 - 3nJD16WtPRGd7MqOVd9uAMsf3P2cc845cOCAqrp70a0TkVM4vkqlUqlUKpVKpVKpVCqVSqVSqVQq - lQoAc5DI63/7umk31yE7mEEM/zqkqU5ADNEdDn//+9//uS98/vIHPijNOwfcvUz9nE2mO3cPRBRC - 6FPuUve7v/dmCFs2z3nQBD3a3F9ZKWw2aBoSQYQ928tf9rJ//hM/Pmra+XQ2btoYZDqdxhjdtaiK - DzJnIiFwsQzH0n57OHEL1dEj8KP9YuQhhM3ZxlhGzGLw6cYGs6yNJyHGPvUp5W9/6tNvfMfv/8uf - /Fcf+/gnkindyfX19aI5C0ez1KeeF4q3b3zjG1/0whcwUNy7AZCD/RiyqZVKpVKpVCqVSqVSqVTu - ZWy3HygwgNRnAhOzmTVtm1JaJEg3Oee2jSmlja57zWtf+5u//fpn/+D//aIXvnD3+tru9fUYo+Xk - 7k5g4rvTem01Otd1HZhuvvWWn3r+T//pe/+CiBQwcxAkRu37nBIAELGwqR4rarM9LlTCRwYgSsia - 1yeT6XT6mv/4nx75yEdayrvW1nPXp5TcnUvVNY2ZmRnx1mfPMll1ImJmd08pgeDu+/fv/5M/+RMr - IhEEosGxe6ctygruTszQIr7mBLrqqqssq3U9hJkohADzkoR/b/NvqFQqlUqlUqlU7mbcvWkaAGYm - InfccceuXbtOwLd755MOi5hqmfcnYjcH4Rde/YsX3veCZz3rWaPRqOjkElFKCUAVDa9UKkeFASn2 - oka9qjJUMSIhCLwx7wwJhPEYBEYnqhpVlkEdI1ce0oRCMQF2ABCDgZXICWK8tN87MvvrKAbJQuLu - GYAbKQs5k7uvN+KqlqAAKdhCIBFS4vJWRAJcJh730AhoycWIGWwgJwCDc6phVYTzG44R8mBIPsAA - lHAozYUJ4mhCjJHmM5aFa66Lqy7MW9FIhHCfUu+sBBIaAQIy7bOD6XhJd3eBtv62nEAmQExlcURb - RowAPACcLcUQA8hzr+pE4GLLyCLubOagnJ0hJDwaT2a9o1MkxFHbsjfcJgoAzEuki8xdCSBEgAwM - MIOYQK4ZfUapYAACJuJAcKj74JQ99K/OWJgil8t4R6IbLS6bk+pNi/lx0X0lBzsTaNmnE8A+OMov - DCrBSMCKIofv3GFhh43u0VgdOayaGy/VYo3AvJThd1l1Wl8EWo9ejNX3SQiZoa6ZMJ1Mvrq2i+53 - //MfdvkDH/vo0RVX4ILzlcOhZGgaDdFcxTwIu1iylLJvmo7b8ZiDZjuY5xTJBWTeGriUkgE3paAI - TiC3LTvPYx362ZJoaoRcxHxX/K+VzGnw6hYfXJzJiqAwlz9lyUoAGGBFIEAyWHWyJjk7Zdk/68LF - D0ztrj3/8p8+9Ie/+4tvfsf7brjxm9twcVjbnB1eG418PjeC8VAMWVwAXswbCEurYy7ZkjR4LQMo - nyOwuLU2eCrzVlPBy0sOAPtWCwHAB0/usp+tG2GxZagDBkBqQDxieuTIa9XBRuaDrzGByp1o5Fve - 4A2Dg+bOFWDTqfUbwPTC8/c/8P4P/Uf/1/rTnpKYDrbU3Pd+ad53XVKYjKJqTvPpqBmfszYOnaUu - kYgTgkEcVloALgUYHOjhpgRxRFWAM5uf8HTGYP7txm4Aw4gYTuTE5Bw5uHYpaRHZiYFztlFoyNyy - Kqzc6zGAmXO2LjtBG0FDDDMHzFFsp9nh4FLLYgA88dYlt2Mm5mQ6keHtBi6XMRaNNhwgg5f3MBxi - Q39nSFmTAMzcEsw89x0AInC5sAxlQsMJDqjB1HWuzoTes0Kdg4kgZCNnZ2a17AQJBDXS8vVYFSfK - moe5FwCLFpMcae5CaCKDZZ5yl62TBPIIiINKPrYDEPIAGKA72tLj4AQlj5HJgOQKOJxLNSgbyIIA - ppbhNuy33InFht4hiz7XBQpA1YjhrNJK3yIziftxBaPOMogcAFNjWclSZBMyd0o5bq7vHT36kZf/ - sx/54m/8j3VYms5DyKB71PjwNOOM8Z7RaB1o3GbF1CkbzGwkEe5ZzN2CQoiEApiA3gyezQDmJpI0 - PvdOmWAEJTg0OCsiACALtjXvJ1ouAgBzLu08UNoBKIk7t5llaFmtadh7VXOmRFHY2d0Ug0g+SucF - KEAEBwRQzywRYqAcwpg6T6nj0DgVXyI2Lnr9xljOWVcqlUqlUqlUKpVKpXLSuHudlq+cBkxVR6PR - 5mY/2TWZdXNkuXD8TU9+5DNu++Sts7BpmkE+ZIU4wSJDjJzRl0ko5RKJhRCh9wmDmftsKcx8b3d4 - fqDDHdd/6JN/fNM7Hv+wpz7mQU86f3Jx7tZGspZ6EwvDrJOXgM8yFlr5BkGmDDBMycykbWJDPeYb - ts8ns7/5woff+7F3f37/Z/tmNm/mPXfNKPTzTAR29V4JWG/JvZsetlETnODIoGGelAxAABvMmYWc - cu+PveIx37T3geHA7gzX4k1pKFcFETECXI9b4krlzKBad1cqldPOkSMoGhJ5gOWktQ9/8NWJ+R0f - PtYjhy/2ePxnkmPsyo+y7ZjFPhGOskMHkUUkNG1Simvjy1/6ks/9yqstzUYxMijGFgqbJcrTNFnf - Nx495EUvwq7dGoIwAHRd10YJEsAAWc6dNIGiI6XZuefdevv8HF4LASElnHvOeQ+89PaP/tXeEIGk - GbRIggFKHsxQU2W+EA5eVGBx7y5FH4HumM73jMYQQZ/QTjDvLfXIbou5SQAAl7O29etJJplVKpWz - AyOws5EdJwflyMZhtf0+6uvdzEI2kY92FKu5ILyykY+aJrV6sDWSUKlUzhSWzSCw1Z4fp/VecPSW - sFKpnE0cZ2xj96os5MrdBg3rze78tfKNpm1bM4vM0+lURFSVBSzouvkrXvEKM7v+TW+JgVO2pUDY - SZFSKu7d5bvm8zkWft4bGxuj0SilRERFi+2uP7xKpVKpVCqVSqVSqVQqlUqlUqlUKpWzjlWNQlrq - lxHUPXXz1/3P1zsAFphyjJZ2auHd5RDBHIem8ze+8Y0ve8lLY9tY38emsTwIMC0xWmq9Vo6Ju+ec - jRDb9rde//ouZ2HJpotFkkd+wnxLjhMAYpRGwm++/nXPfOpT1saTbnO6Nhrn1HeamxC9LK1jLtNz - 7m5mqlpEDbf7dp80DPR93zQNEU2n07YZkUMkdF2nfXImYWKmhz7k8re+6c3/9LnP+cBHPwzAloe1 - 3Sp+VX90a9PJX0BqSURMzYAYJGd9w/VvfOELX2jk9YqsVCqVSqVSqVQqlUqlcuIIi9qgUtR3HQAJ - oQRziLnrOgBN06S+P7S5+brX/9Zvvv63vu97vvdHrr76yU98wu719b7vspmAirfEXWCxc0yKn8QQ - XLFFwOcr+/b/t/9+7a++9jeYmYOkrMTipiHG3PcAQow5JbifnA80GRwEZM0CTKfTX/7FV//oDz83 - 9fPAIc27IGxG4/HYzGbT2dramrufxf5zDmMKJewmTZy07cGNwx/60If2HThIhFK3IYTU9QQztx0f - BpZRMpcQsloTouUk8B/43u/RPjUxws3UAJgZB2mbZmO6GWPEdnuf4sxRqVQqlUqlUqlUvn7KI0xZ - Bmtm4/E45xxjzDmf1H5MtdjJxaZJXQ+gHUnX6Yt/5qUXXXfJox7xMHfq+z6EYGYxRjuJJb1VM6RS - uXdBLICE0DCCUYIAEFOGGyMKWSbt5gAsGjPCUhzUj50oQ858qllDWT0QGqHBnJnczF2Rsy5cmcWV - shs7CYlpHiyEo4Tk09k8jwhwXgmVkMOJHFBCuGeFOIzgICvqnUoMqBicEJpI0Lmm3pQYBIXDvdS7 - AcSg0qeYqqkRgjA7w8yyKgFMEqF3/+HSdinSRdrWUs6PU04MDySB4arFdtTUCEQIBBCxu6tS16XY - jsHShDZy9Plc56aqBjDcCQ4GMdjIQYMtNqm5mxNAhEiyyGNjh7vDXHmwcRwUAws7KuouCzj64tV5 - YWG881u86KUsq29H7R15Cn0r98/JjojaHU9nw5ee5F5ssFffvPAxhy0kgQffTR+MOdmIEnEWJEg/ - akzCXGjO1Jyz64FXPPj8hz38mx7/ZJx7Lvas6bi5Tegwk1GQcUTyhsWd2bNndSgTJEahJmeb9V0g - RpRsxqDIYoM3/dKeFg6Cn8R5OQuCmatO7eUKXsbnh1cfVJ6K0NPgqw0brDnc2Nng7GRmbYybm4eZ - Obg067u/eHhztGf3YaNRow/45z/ygL//9/bf8Aeffc+fN/t9j+b1tg2WCS5ujEGduDT9Tm5kW8U7 - mkIoORfbXLGVv9LSoZltcBrHyk2xvAUGBaE7PYHsWznPW5W2Tf146Ucvi5tt+K4d3ZMb3DwxdTHc - MRrtc5188/3v9x3PuOz7vy/v2X3HqJmNmg6h29hoWJqmcWDezSVKO9mV+n66sbmGSE4MmG85nWMw - Di9FGTSRihU6O5dD0JNua3gRq3dfTNlYykGYGUwQhimYTADP2cEECWAw3N2ydjAmxAAAOZcSgUsr - uVW1uAtbwaNSzl1x81491+WqYF8YvBOVSSY3I6Aco1kxrcZQC2WHVPrF4qLtIQQApYHhZgAAIABJ - REFUEBYRGEgYpQ8geHYzDw6GMFbaxGXZQIvjL6UbuoxIUIel0ix5EHZhWBbddtKXh3IKj1QpGRsY - GBO5E0BMwYupeRkSOTNc2IMgF6t1InISoJgOg2AGJmdIkAZmzCFwEAo9upMtz5mLEYgo5xxchMgd - zIBbNrCMDmnG7sl5z/q2+938pS+++a2XoM3zPsCxaE/IV1q5AS63iC1soc/oXsYISF3vaCCAAExQ - ZhCgmp1I3Ya3GeBa1hYIAQgKU0siLE6+aN63txcMD8CpLzlZDEeKmtzibgQaI4J7+dcrE8TLXaki - AEitdD8AUOIfxcAbAnc4eQjsnkrshZmZQwYMXNqUM/qc3rMxsA2t/uKEOpVGbeVdq+Ph8maYF9MF - 8mEoQstmnwziCMqoepeVSqVSqVQqlUqlUrmXICJd1zVNGJJAcpa89qSHPfPPPvvHibqNvF9zdnEm - iAtBsjNIV6OUJbacszWRyVzViKGMOUzGODg/MN7NX03djR/8yns/8ieXX/Kox11+5eUXP1SkDbkV - C2JCHuDMQ6Q9bz3Lf12OAFufXY0VrETnjun/dVKU+ZQzwDuDtk1wA9g28ecMQMkS9S6uZOomDSWZ - f+m2T332yx//4Cf+9KDt2+Q70rjvo1qkDMxTXh9T7twMUZjcNDnYR2O4Ac7G2yMzZIAZwbNHW1uj - c571pO9Ph9GYxOiZkrtLYLZARlA9Ts5A5Wzh6DfgYgmPnTXGpNW6u1Kp3GX4MtdlOU5aplxsN+Qu - w5y03OLLZCAoMPcUKQrAZkwMA8wBQtjK2MhZoyxSIo5oshcpFMcaS3HJ/stZQ5Tlm8iLaFjZo5bp - +6QpSrv42OILV925V2xmfXtpeLUMBlIBnGOAGHaNHvRv/p+bXv0LF/JovZ+TK3Lm0fhwM/684FEv - exkmLZhlsefQtrq0OQdIWMFCQqPmCS94/kd//j8EHk36easJ4wm++YGTL92cD93R91gL8AwGKwOw - RbqVOEgZBogrO8HFyJVsYeudHCYOkQZK1gSFxUZIFRTZg+YssUxY8fbjXdTPWdJLfgModlPlB7gT - lUTJOvSs3K2c+B08tHvEDhh4mdRVWKRi2eqv5cfyudVHViOw79yy+JbTa8Bmw5NhERTgkozEAJyW - apROy3fyajcHZ9DOIwWwmsZd6qdSqVTufkpDutoGHaeFd8CIjcDOtGiKaVsTvEhwX7bSQ3t49Fba - 6euNbFbOcsiw9fRUkssZRwuXl5669qZ3LUXQ/Pgs65xgsjhdZXXNcGrKu7Y/e9czVTkSW+kRtlKl - d75nucW4RJLoBF+/zum6yglw3BrOg36cSiDAVFNkstQLEQg//6pXzufz33/7jTFwzhpYsg17kxA0 - Z2Y2K6/HHDmkhSFEEaQrW4hIRHLOzJxzXsRPgKIgxgxAVQGIyNmtzlapVCqVSqVSqVQqlUqlUqlU - KpVKpXJyEDNz6rvAQuZExCH0ljPZ2//gnbfc9pXMMFMQoHYq6asn+RF3EMMNv/k/r3vxi18Mt6y5 - HY9ME/sgNQhnp6U81skX6d4EEYsENX3Pn/3pp//2Cxw45cERqmQSASip+UUFkZiJyLJSkXQEvuk+ - F735+jdeduml5ECX2hg0p0H1FbpQFMVifo+IBDj+eT/K344+e+cIzHB49ia0RYDPNHHgIGFjutm2 - bRBO8+78c/a8+brrfvR5P/6n73t/5xbbOO8TCBTYs8G2iZ7a4mCHLz6pS4gMgNqwSiJlJeCLX7r1 - z//8vc98+tM0JQHHGObzeRsbzxl1XrJSqVQqlUqlUqlUKpV7PavRgUUcwgBkMwC+kjWtuQeArZW1 - 6Ptc3l2WuL7tXTe+7V03PuRBD7rqqqv+4Q983yUX3x9CUHN3IRIRAKpa7N80ZREpGy2rby1RB4BV - O+3yc0nGBhBCIKKyHzCZczYbjUd9389Tv7Zr/ROf/OTvXP/G1/63a80sg8xsWEZiCkLuUwm55H7I - +nbdOsYdsRIHACNhZtac4RAmU1/Gpn75mmt+/EeuzvNZYLLUt03MpkRUUsqbdpyyAQSS1d0uYoZn - Q+iQiIrbRLYsErrUh3b0e297u28F9ZD6Hiv2Jiu6DoylcQVZVgVBLRHw9CuvPP+cPWzuxQBL2Bev - XeqLb/eRoddTc9uqVCqVSqVSqVQqR6U8oJXVr1hZJ1tWwsYYy4NPCGFh6X3EqtuF+F7x7QbQzRXA - xkb3Uz/1guuvf8P5558XmlYtQ9g8E5OrlWdGInF3OC/FzY6wJTvaN1YqlbMRA1HTTJNKiAxCRhug - fQrUsjtgBmWgGXJsxMGOTIvsG3awsvGgA7MqIE6A2DJJZ2juTiTXS4o9oJbPsqtFZyz9iQ1uDngA - AeY+xKBEYEmJwNGUEygTVMyKCfHC3rVknZ1SFtzphYuVbBIDmN0IIPeum0kUE3czITE3jvB+yyFx - EKgEBHAoVIsMKAM0/JFPa34deTn5yzNb+g4HGAvNvUHQ1R3I5S0MIpC7Q4GF2uuiWxu8WMtBNSSU - 5+hnEDPPMIjxqBk7NssHlNgJoiYYrFbdnJeZYgt322KdXb5lJXRWpC4WF+ed2dWuxtxOuH4gviIY - uyXBu2RxK9mgiX/c87V1NouvrQO+8JXF8tV37qLEAAcRYIfTcIsKwb3cWVxkTgf5IC+CiyBoEHJ3 - NSSieZRZjJsxHg5yqGkn97/4vAc/+IKHPGTvpd+Miy/E2gQiHTedSGLuBZmZmdgZphDOnkvRHSCI - wCwbgSOIiQCQKRPYXRUAb7d8GHRJVrUcj1VV7LCzQu+IHMGH1tUHW26w89ZFtNiORW0sbkRfKMsb - wEwOQfYsoSmbeu1HjZBmWOPj8w5oHl926d4X/Yu9V/2jr/zxn/zt//tno5u/cp9ZPjd1kuaOTAIS - ZIWqLbVailexOHwRqR4sVYfri4ng0G3nabjbjVd/XVXDW3jL+8LlejjQFYuO1TuUl5sYcDKCu0Eh - gJCQk0IJFEgAqLlQUFcvcwqiZmCDECDYVNpo125fW+sf9ICHfO93TZ7+JKxPDrXjWYiJORuc0EgA - kMtwnaOZ5T4LGJGTlQKbA5lXC2rLS9Fo+NWIMxdb3JPUR/LggBKDkLl4oCshCxu5gXJ2BAIvTExo - MEtwXzSuDI7lzlJgRc4aW8Lbw5lZ6cERlY2NbcsWfeF3fbL6Tr5j5EBDObfKINsdk4t99VLMzJfv - XzaGi/8dZoqmgSaEkafQa2u9Tg2hI2FkY6YiqggWEhsuwzvJZ150uATAfavXiGAzoLdBdXabpu6i - vyu94YlBjrDUby8NZakAz2WnYbC9BYHcCG4yOGLk8qniu0OOhpHVGByY0c0obQo3mtO9zXHCnSJH - UQWASHMyAjhwA5taOsRo9u7ZdfUP8W23HXj3R9aly+nwqKVp741AM9qx9DMVXsrjsxs7sZMpk2GY - yjxDcbIsOYUchRnBPSslBxRoycmJTACw+SLLnngYWCpDCQy1wY/HwVQ8BZjdluOc1fH/CWBw0DBk - si39wnLZGzPcaLAiwKLxcTeABcZgmJHTME5ayiQ6CCCHAcrgQK5GADEr3GFQd2GnoblrhgUWbHTM - MUblFHACKOcAs6AIgcks9Y4YgQy4WFEchZHzdktvwdDrWRnLa2lYyeAiYc2l7VwR4GzHKUClUqlU - KpVKpVKpnFaWWdnMTORuxsxHBOkrla8fLtklxh1gbiFw4z0a2vMDT/4nr//D107W0mEcyIpRE/Jm - jsJgBcyIAWOD0WIWSZBh4OLTCHIeJRBMCOpGTScB+9MtH/zSbR//8od2N+c97luedNlF3/KA8x4U - 04hmoeW1iLGZmauTFU0JN3MfJpmIZLlgwV13HMZCEJ6JiB3uzpDlogZZiccavAAQrcTSB6ebI/xu - hkPasW31qwm2eMViou3E8WO4HtAJ+CCsuvOUmlH48qiZFxtVyZ2AKKH8ajk3TeO29GljOBOxkSdJ - Kc6zpP0bX73pU3/z15/9y1sPfH4uh63pcuiS2ODoYE6EVmDqIqAcLEeGg/qivuGcAXYTNzAZCxjI - bsZohXke4rT9zsd//yXhQQ1PXBzeESmRGTwhMwUAUsNp9yJW9VrgUCJ2N+Zg5sy8dIs4Q7mXTaRU - KpXTxjIzSFY3OYjANCwQxcK3u7zy0uzZh0WB5AAhUgSQUh4FgRlMQQwmgGfT+WQyMkcMAsBUOSyH - N4zFrNoiv2z7kGWZOUemySSGGGXepbaNORszMxuKqQ8LSLrcN6EJ0mKl8DsHAL7ttaRw8bYRGaOo - fwFgAVxNu5wnowiKV/ybl970i79ITrsTTCmvNV9yfdTP/VustVP4RHjH1y1WTjJBFFBQ2zYwe8xL - XvyZX/kPrUbEYPsP8u5d40svPfCRD50zbrpZH8G29H5ekRuzobRGYEDYoU5GHmAwY5AwcOAO4IEs - lAlgDwQkC+YIDCBn6/p+MhnZYoeyVWA7mXnTSqVyxlOyIBct8pANXH7xIZeLjYyH3LghrRNkiq1s - uSNf/Yg0u9OBLJIubCUlxrAtwY18+ZRroJIpaABo8ehLK6vbsWKXayf1FF6pVCrfUBZG3cvBrGHH - 2HaxpSQBLzJed4YsyaswR+XOGDpQX1k2MbjCOzGtrJowsqVL9JmbunqP5CiPbDtqeGsBz2LLUW/t - 1XU+OMoqiUpl6EtOIkaw1TbQjtcySl99rdzjWLTrpj4ajXK2X/6lV883p//nj94tIDWNsS3yAZoz - ADNr2/YUZlncvfh2AxiNRtPpNMaoqsxcXnPOIQRsTdNWKpVKpVKpVCqVSqVSqVQqlUqlUqlU4ART - NbOmaVLXT0bjbj6fbmyMdq+z6xvedH1fNI+CmKqbER2p63gXw8RmxsDtBw7c8M53/OMf+Idru9Y3 - NzbbsM19Z2tpHFX37jshq1Lg697wOwaYGggSgibDDrHtkqVp5kDTBFOF+hWXPfjGd7x9rR3JIHjn - 2JYcfto51sntUr9r166+7zc2Nsbjcdd15+09939ce+3VP/G8j/zNXx+cTgFIG7TPcIiQDWK+d0UC - Aw2JsO5b2VRv+t3ffcbTng7AeTCOKnLn90Ch30qlUqlUKpVKpVKpVCr3cGjlhxKcK44Ky1jMpz7/ - uVddc82rrrnmCY997D/4vu//ru/8jksuuu/u3btn83nuU9u2IYS+7yfjUUpp3ndEFCUIi6qmnES2 - JK6IiJmFpXh1SwzunjSbGTOLCLGEpt04eAgpdynf+Af/63Wv/62//MiH56lnEYcbbw+4LKw5TuqA - 3V1zjiEyo+8SgEAE91+65uevevazowQm05Qjc9/3vD1OeNbDjJQ6LvbmzF3fb3b9u9/znqWBw/E+ - u1N1zEEwQwSe/exn5/m8CfHkhMcqlUqlUqlUKpXK6aTruslkAoCZi5N3WTl7Yp9eXWNtt97ylec9 - 75+94Q3XndPu6ft+NGpUEztAxFzsuuHuOMp627pWu1K518FwpDQK1PXzDHVD7tH+/+y9eZRl2VXe - +e29z7n3DRGRkVNlzS6pqjRWCRVICAHSErbalsAIZLDAq2lQNwJBNwa8jFcDbjCTlhsjaFnQGMua - cDdT427oRgaEbBC0GsmiNIGmqgKppCrVkFmVQ0S8eO/ee/be/ce578WLHKpUQ2ZGVp7fynXzxRvu - 9M4799y99/k+EbibGyE5AEcugrHeKVizjprPQ1iLEpneI3T3q1h6/NiXlkMaC/vlM9dPDBHA0QHC - ZCGiijlwFdzVjeeCnXZhCp4eC+xsyHvIRr2CU/Z7HjgPpA6ePLkpuYui0za7dNu5zhj7ad/F+V/O - t/gIe0X+OFuFoZN6hGEEqZnXIkzedC3m36bBYQyn3uD1UffkrG1s9zNf5L49juWjrNkf9T1nO4fL - OoeLGr/lODOBiJycwUzmTAaHwT3/vKFMDiTAhF2oBSlgQhSEgpxstl0YIWI0jgf3r15/3Q033lhd - fTVuvQXjEQaDLlYbsWqiNEyNKkvc1cSXqg1PFylyPnPYsUvpaLd08LJp96Py1NCkOu0o/NGeP8cH - 7bS3+dLzRrFh3qL0MJoq2voN1x75lldf9fKXd++//e7/9N77PvbxKwZxf+DJ1iluU0UIkc2MHSxw - hyuMEAID8M5yixLifhzrelp971mFquZW38s7zOco9TxD167/Abgp3D1bjwgjELsBvQWotWYMBA5m - TbaR6NySQgUaeUbc1oMTElee/dznfN0r8aIXYm18oo7dyng7db4YHp+xQ7zURHXpAPRsh4mlNuzZ - iPRxkV1AnAAYuQcHGcPIDIowCIkIyRAYEmAJDj29J/HlPvacPeFpvRYZFqa5T0pvyb0N69l748e+ - ZnNHEBCBgLaxplYnGkhdS1CjBJhZbjDMDAUzu6s9tuvFrv3MrsB5fPKoZ/KJX09Pv7774sc1V/Gd - N1G3/OXSrG0xrIIosYZY6Rd7a/lUYJfkL6kSlAG3YNxMp2traw+3px7whPXV5/zgD3zk0z8SPnfP - 1dXK5mQrMkiYk6WpCgMACdxBGlxCL39mDnJe7rcuQULk6OaaFAqoOgiIEd4AMM9dDYB53je3L4L6 - fIndvQrNm+IT6x9O72cW45/8AznH73HnfuH0HmxxDIrO4RGVVw5iR2CRajDtOiUw+h/UQi71HJeh - wuOB3EEQtyhVQDKduiAEtB0qx1Ibc2BRxZC7OiigUHg/tuHsnGPeIiG1sEEdGNC9d5NXKBQKhUKh - UCgUCoXC+YJhRsZmBgFCSMObDt/y1c95+e9/9LfGh1Ya2m5TWlkZbm1NObD3IXpGThy4gWDUB0B8 - HhJhCwwjUiPvoIm3vSKXSUfThjd/70N3r9D+6w88/blPe/6zr7310MqRTgfeUUQgNTgRCYeQHbjN - HJbc2R0LA+8MWR8IgDugAKs75mms/B512zG0puA5KgTKMyncHTB6pLjcQvVhp4J+ESpcxH8WPLb4 - zxdh0b3zXjIicmjebVqiaRqmwMw0T6ZoUvVOYmRmcjezpklEVAmHwXB7eyKRKZKztt45GQSo/eHZ - /Z/8649/4q6PfeHhuzftRCdb3XBqVduxe/6WcxDbES2AEuVoCxmQcxXcx/wJbkbEIgFkbkkBDqgI - zcSuDOuHVq6/7YavkO1hQHQ3op1T6gSDiQFgP8NMvfCUoreuP93Yeuc3TnO/kUvcj6pYdxcKhSeN - XGfguaZnkf4CyCHLXSUZHASrLL+bsVSgEAAxgGEUQHBxCBnMLEXEUc3wxG7mxCIcqE1dCCHXW+Rt - 9em1nc0Bua92yhdvArU2G6AmUBWMPMXsA546CYGFDFCApMq7JFhK8sNANk+vhcUx7mwxF3DMh555 - 5AnAiE3c4RW4qgQwiGLMp/avHNiKk8k2qrAxrp7zT38QnBBIEBUQWlrFclEUAJhAAAMSAo5ru595 - curEeGUF2uL6q/XOYdO0wnn442I7p4LyM3762DB/f0Qwd2YR+ObRo6uTbQwrcYP6+nj8nh/95//V - G34aayMPgRnj4SCfor5kJPujE1BqsguFpyLnup8Ut0XdnuWuEli+X1JyuIFcPb+0GEJ7b4C9tGo6 - 40b0vHYoBATlxV4pwcj6fpbAuVCJLB+ZeP8eh+VXMS+lWtSbKOWTsHiiUCgU9hCP3C+J9zNj5sNO - Wzh5z+Niud92W+rqz91vn9l/l1BaYYd89d8dVbPc0nqdaDKnnRHFmS2t8ITZSSdkFt/GYpCTcYIy - fPlHvXSjuvjg4lOLYH2hsIB3j/mXyU/PJ5wwwHbuqEJ/HVpaAuByfbm45P75jIRZVVWz2SyEKoTw - 5je/+fWvf/2f//kHiKjrGiKqqqppGiKKMT4O3+5M9urOOnFVVZn1Cdf8/LLAXHHvLhQKhUKhUCgU - CoVCoVAoFAqFQqFQWEZE2rYLEjQlZxqvrkxms0/cdeefvPd9veAXs6lemCSLuTGxuQnwtre97Zte - 9Y1mJiI+L+ErPCYkhi6low8+9B/f9QeLJxe5szOrdySwJUtdIsdtz73l//it31oZjVbHK92s2VMJ - NmZu2xaAiACo67pt26uvvPIdb3v7N73mH37kEx8PVWiahEDQbEcOe7KqT8/WCP/wD/9we3t7UIWc - jhSRlFLet0KhUCgUCoVCoVAoFAqXOecMaJ012uKn/W/Lr4iIqcKprkJK6YMf+tBffOhDP/6TP/H0 - 66978Yu+4qUvfekLXvCC6667LoqQyKRpQgjVYOjuSdVViSgMBtmcIJNf0q7LITgRAZESQYRCUPcu - tX9z113/5YO3//7v//6HP/qR46dOdpYMqGKVDXKWj4JAeDTb7jNfZRFTFZau6/LM3H0rK1tbWz/5 - Y//Ta7/9O1aHw62NjWE9AFOs6jSdPuLqn4K4u7vHKrr7dDbjGG7/yIePHX/4zHeeGf46PfY3jwqO - BtUrXvEK5NL6Mt2nUCgUCoVCoVDYM+SJsQDMLMZoZqpaVVVOjp+Fcw/n3f2Tn/z0D/3QD/3SL/1i - nt7LzITTqz48CzjsukEtc7QLhcsONovTTdbW0YRahNB14OjNrKkoEAg0DwH5XAWC3KjXEtkDS1Il - IJpZgiXU2xo0DMEVmYhRdid3cmU425kyCHsAzg7U7CxG5BBncqTtdkhEU45NbDFwIIrBW8dFP+cX - cBlwQreBptWJUmASd1U4x+jWEYiJAbAJe+qFVXlugLoX9v88LwkgYpi7U66vXBgSOBhk7uTugJvP - lVjUCSACE5lIIumEmyiNyLbQBLQN00EVV1dX9u/z9fWVZz9zeNWVR665lg4fwngEYYSQgkwcGqtO - uHE2EkgE4CJFZ/ESQoln7gAqqSqJbLqh3XSfjNfX4lVrN3/Dy/CZz9/9B//5r/70z1cNV9USm22k - 7ZrhQNfBABIASJ0JQajX5Ow8uQMCIoLSsrvt3Ln6LIWcWdeOoJjLltJCUfpcePaL5cCcL1XuBhgR - uVqWIWJAAphggHkignsLB7M4x+mgPjkePbxvdOVXv/i5L/3q8IybtgZ1Oxh2oW4TvHM8XoPt8wE7 - jIxh7AEOIZBTACMFQqVeNakdkWjqDK1aYAexOvlF76ku2LJJqC0wKqFhkBXWAbeEza6uB3BYlodl - JvLOFFAPgOyJPX98/T9Ai19TFsoF3AlgqAcDtr0Ba5dmM9owVJXEx+Qu85TA0IsWwuea8EFiaixy - TSKNhNX9fNuP/9BH3vCz9Kk7rxuMm9lELDC3DnAIXUpdvrKyJlInI7cIZncjunQveMEM0+mgmbo1 - AY46SOo6hyVEARzMDoCzIxL1rQ6X7PiKiYQGkqyyAVSoYZlp6tpUsUd26i9PyiAvc1WefMQB07pr - tNkGksKZECKl5CzZvSq3NyK489y127OxGKuAvLe46BTMoIqHnXTmos24aTCZhHrlIh9koVAoFAqF - QqFQKBQK5x3LsQ545P6uWQ0Qx0jX/vaXveLOz//V5zc/xcMJVzjVTqsVsaTkYGf0Xh6Ww8yYmx4u - AvDOSZ2Zo1pn7iwgchNMbdpgVq0NHppunjj+wB0nPjL40HhttP+m62969nW3PvfQl9Y+IBKAyRnO - 7ARiCQHucIcTeV8GD/MoFTnytg0OqDEAV+8IzpxtHG1udC1J9bS6eIfnFACAM0vm2Rf2iPMl+sM8 - a1TUHptqBvM5gqtntaw2Mog5WbaHBEDObs7OdV25upmbmUCEORCryLSdSayi1BUHJ0CRVN213re6 - ZRsNb/i4a8LmF0587i/v/OjffPaOhx4+qt5aSB7MpE2hU+p0blKe3SfJAjuTi1M0UucEMvAM3sdb - yCGUT2sisDl1ChACg1vaJytpI/y9r/v6/aODg65Ga+xMHgxgsMEAZceS2fEezMIXCo+NYt1dKBSe - NE4facwL7wDAexvU+dLgi/l+BkJfppCv1oAlcERnTkyNpoEEsGhKIkHbVqqKk0IVIhUxkgPaV071 - W5yvDf12iQwEmuech7GGw7uWYwVVBJlNp4O6AtB1bYg1zCsmVVS85AXu8/3sV2twBgHeFy3tLH3J - 8BAAoPPjNAMTGrO6rqF40T/5x7f/7C8MrB6sjW/6778LQlhd1XndSL8ax2nCd0RBYA6bdd2grgB7 - 0Q//s2Nv/HlqmwTjZsrrq4decNvRP/3/VoiYsHDp7p3w3Ak7PrsOUG+d6yAjQnIwjF2ak6dW73sA - 6ytCDIrTU6e+7Jpr0CWYOoSZoQkUlr57BZAzZXtKr61QKJx/lntCdgDONr919DwHn2jeNexUKJA7 - ACfC2S0856s+nzUNTgB2qiiWNrvsP9dfXcwRXJ0o93fkYECMc/yiL9PZ7VVZCjIKhcKlwrwcc6c/ - N0Kuy8e8W3TCYqBHfd+oO0O/y65Ss/B46WP3wLzBLeBePLl/MA81l+vpkwzDljR6Tv/lZvXzxajM - +nj67jUsZVxOs/qmckNY2M1jLTxn57MmXhY18buXdkZHUtgTZGH6PK+Phd761re+9rWvfd/7Pzgc - VNNZ2zRNCCGlpKqPb/3MnFICICKqyszMnC0HUkohhCyLn1IioiKRXygUCoVCoVAoFAqFQqFQKBQK - hUKhkCFHTqyoahVimzoRMbjE8Pa3vz2/R4RS14F2l8Gd170igiMEuf32j3z84x+/5VnPGg2G1jYX - aPNPPYT/05/8cZM6IhCTmptqzu3nxOquL9Ycjih8zVVX/5//4T/s37euzazZngrvrSogIuq6bjgc - hhA2NjZWV1eJ6NSpU9dcdeSd73jHa77lW+747GeYYckxb7l01uN9Yq168elTW5uf/PSnbrv1FjML - QcjRtl3JSxYKhUKhUCgUCoVCoVB4zFC2mngkHN60XX7MTAT/68/f85nP3/Prv/3bDuxbHT/vlluf - //zn33jjjddee+3NN9505MiRGKOqupsaiMjdTC3XWjOzVDEwm1nTdZPJ5NixY5/97Gc/9rGP3X77 - 7Xfc9df33n9fFeomNb3RhQTT1HZn8Y1zfzyhFlOFQ1UDi5sSMJtsv+Enf+pFUuQ9AAAgAElEQVQ7 - /9vX1jE20+lgMCBQSmk2m4UQ1C+vyQKqmn3Wk2lKaf/6vl/7tV8LxF0+D7sn7Jx5ak7/ShxEeOEL - X7i2sjJg0S6V+T6FQqFQKBQKhcLewcyapqmqamHXHUI4p2/3uREWNQXwJ3/yp29848//8A//jyFU - gJkaueVbDICzorF7EQIoFAos9QixOr42upsUFlBbkGEXjCGAgZuFmCSsduLe6HKP4GQYCBjmYLJq - 8JDqqfF4iyVy5bvUlY3cGHampsrFJws0ufUa+s7sqEIdiUHNbDQ+tb56NxnRECS+d07++edkHY+v - rZ6IYTAY14O669JGHY+uromBUDkBHpAdKGHOmuVxLiOcyNmXVRqZensDAL35AZyQBwDO1JGrmTtB - wmA4GqytVev7eGVl9dCBQ/sP1FddgSNX4OABrIxRRdS1hmpShS0OJpTgHVHn1hGBmUTAYgoA5Gxm - qi6h6L1cMjggsUrqKSmTOKRz3VQcpzTevy9tnNx347U3fN933vCt34qPfuroez9wx0dur2ZcN9u1 - YkhhjCCqpiqsQuSqCncHB2QBT00+9+3mxSZ3NJrJ2HtJK+udQtx51+XlUXo7B7HACZZtQMyzcwec - AGEASIYmAQxndIANMXUkSFeNutV9K0+/+RkvfSle/EKsr3QH1h8g2iCXetC1qtauVEO3tKfaM2e5 - ryUjEIdA4nEJD6+tbEK3GJU5qblwshbU7qHr9fnGiSgSIjqe1MNTK6tbUq2iGsZRp05gEQKMCAZr - rE3DeP8w1t3gYu/340dM4Gw0v+6RGRlgYGnNmUcbh1bdWaoxhxW3YEn33gDovOO9QNmytB6ZWR0H - rU03jBPjyltv/pLXfdsn/uc37d+aCgZd1wYwyLpknfVa8MtXWsJchfOSxQmQqlld3Vgbq1lVcao0 - QYmIPACUmNGPr1zZnEDOl/AQy0mk3ujQ7hvvrwcDkroaewjOcdsTkbEbACVgblJ+CR/s3iMxAby9 - vv/+411ySr7dpKlENtbaKzh3LACiATAjX/hdkYsSJwaASk2gEHRdFzlQPUgqD48GKytjVAOlEtcq - FAqFQqFQKBQKhcJlATngwcnQ+2KZGNE07F879OqXfPNbfudNHNst3vKAjpXptPBwH55nM1v4NwJz - qxkA7GBADWAmhzvB4BRnzp7SLNlkaidPpgfu/es7PvDp944mh284eONNT7/5+mtu2L92cFiPaxlU - VLeNscfgkZ1MiQkMYQnatPNiFRARmJiB3pCcACgUDnc3KNyAcPodP4GIF2bYOweWjXFoyUqMDKe5 - Y+w+CYCB2MnIv6jl0sexO2tgwCLTsePm44SszSLMS17jDlDbdgwhIqbA86Iddx+Oh52mRreNICGg - ttabBjOruocmD3zm/k9/6vMf/cwDdxyfHUOV6pHYSgOYQtVNGaDeNITmx8vG0tsIuTsZRxhDZvlM - OnLEjwkp527UzUEQcVJoqLSO26Mvu/ErnnXN81a6VZ0pMRjkzgCDWgDsRiCeR2DPNJUrXG7kxnyx - 9+IJUay7C4XCkwMBctrfGTbMrdfyk5oHCAQJ2ZYaBgNs5+PEXeoEtTM5UMmAFCLQgASEOnjTEAvM - MWvRJcQA9zxE2ZXQPGMEBeJ+6EKGekChgrMH3krdaLgKA1qrJMKsIoe7tTMMKuSRm3FvMU6s4L4A - mQwAk81tDhfLPNjIW91BHcZwoJJg2jAFDPwFP/bPYAowWMABHgVMnsvq5hnf5YJnh2tycSMLcQA4 - KkbT3rO9eWMYiE7DeIh2iquu4gMH0mQiTUNmsjxqIYP3g9K5mTdonix0gRnMTZhDm7Y+97mV66/C - 6iqYV2O1ee+9v/R3vub7Pvh+rtw7JQlAys6OgKGf/hrzfpeRUqHwFODMoa6d7betxPMOj5c+lW+d - dn2E3YKrzGfLW34/7TxeXoP3N/nnsVhFCUl4cWuX/bvzRnnuLA4AZD43EY8KgBP3xYIM5MMx59yv - KsGJycG+4z9aKBQKF54zS8HO2ofvfn/fnxudpT/f/WaLrmzmBCw689wf0s6W+y06g6yUphXOoG9Y - y22DYOwg7y/K7ADciBJfMEnwywWGz1MV/Vhl2T43MZZHZTlhcKZRNy+Nc0qsvPAInHYJWL4e7W44 - lsMpi1hKGUlfMjif9kRK3XA4BLjrOhFOSd/y7/7td3/P977vfR8QISIyS0RQ1YWmwGPCbN53qQJw - dxEhInefJynRNE1d10/swAqFQqFQKBQKhUKhUCgUCoVCoVAoFJ5qZAvkwWBg7qGKbdt2jW5MJ7/7 - u7/LDJ2n6JjZzpjMdl72B6SmMUrXKQFvffvb/tc3v7nrOgHDn6jR8mVI07ahrn77t3+7kpBUVV1i - 0JTyq2c697i5AEcOHPq93/ndldFQyENdC8hUMa8NmNcDXEwNN3ev63o6nVZVVde1qprZ2tra5sbm - 1Vceedtb3/r1r/7Ghzc2QCBCVhp/VNerJwID7373u7/8S29zNTMT4pyvxCU+waxQKBQKhUKhUCgU - CoXCk8wXF55wYFmKof8oc3atZmY4zA0AEVRBBCYCkakd35y89/0f+LMPfCCvhYAY476V1cOHDx85 - cmR9fZ2ChBCqqhKRrusmk8nm5uZ0On3wwQdPnjx54sSJRdE+9UuepgYAEROQNBGIg6R5iGmxx4/p - GJc/y4y6qtpZWxMz0S/+6ze95pu/WbtkTVcPKkvq8Bhj0zQkl52tADO7e9O1AEaj0eZk693v+aPk - jyCgscz8bfMvhRls+NbXfEvXdcNBUFUOcq4PFwqFQqFQKBQKhQsMMzNz13Xj8Tg/k1Ji5sX82Uck - v4cBJHMAwlD1X/3VX33605/2qle9ajQeAMmdzYxAxJYNPM2MLm3TsUKh8ETpWB7m0ezgFcNXvpKm - E8IsVFWXhLkyJ1ACdUByckDMa4AZaS9VcRFZIGZJiRwUqzUzDOvm6ddv17FlIWcGQMaw4OoO8r3m - 3s1GfUVW1v80ghE31hlsGhm33Dxo/45NNiAzIneEPbb/55Eo8cB4vHndjRMeTi1uJ2+f9ozB13+d - TadZtUQskMNhTtYIAASzy0mRiNijO3lvLEokrExERMIsEmOIdRXruq7rqqp0UHX71jAajkajajRC - rMEB2TU5xKxvm4CspqjwRMEGo9ZZVc3BzGBnILi5OQwGI3ciCgwWNuFk3cU+J4UvFgIoaQUiF1MH - WeBqEIYSeTbdjPWBbugPdSkcqYZ/9ysPfc2XX7G1tXXnp7/wFx/+zF98tL7v+BWb7WozG0HHMuh0 - IgQSOCEZ3BAZVSBPRuC5hJVlp5B+2dvf7iyd0Ftv5907y+94p+tzAGQEc7fFOJhFesHQZGogAkeJ - Qh15Z74R+d7gduWVB55x81W33bZ+y6245nqsrDVxcGK75VSRSKWdpq6qxVeHjXbivock8siyCKr2 - /h8wZqfw8ND8ec9abbZDO4swMmdmDZy6lgV76Xp93gkhWDJ0UsfhOMj0hmstyNTcIQ4nYne4u8IR - 2K84OH7V37dZc7H3+nHCRqwBgDIbQwnK8GzdDWhngQb71laOyupMx4ShdRaZgPRoK34qsXOfm+1q - so23wQWinYGjsuv66O6Hj93wt1/y3AeO/eWvvONpYVQnIUrJ20SQIAIlBzkHJyBfHy95afWpVA9V - w+nzbuM09M2pSnLSIDACGRuYsuKoG2AmDhg5X9KBA2VlcFWvnLrlhs2BTGCVGrq2CjADA8qWGB0D - ADuCQS7pA95LbIcqDFfrl78iPLTRaeLK0W2bey0RHQFEzEA/WHA246zzDXIB2ITJATW4ibBpa2Bw - pBRCLe2tN20MV2dSXdRDLBQKhUKhUCgUCoVC4QLDgBk5O2BhRYabJ47ffOA5X/vl3/Cuj/xG4Aar - ODnpVrOvoht26UIYwPlJmj/vBHKDqziB2N1I+0kIztieel0jDuCqrSoTAmTL2+nq7Ljef/ud7/OP - e+3jK9auuP7wDVeuX3PT1Tfvi+vr9YGxrIqJdoSuhXFVj4QIgJm5IZn2UyWIzMzdiXLJDMNhcKXe - 49HdYU5EDCaQ9TbjO/mC3lPDd2w15vkFxukmCL33mRHY2fDFLuernbtP5uOYBwmdzACnHNPoIxvD - ah87q/fQ3NK4ir01sFnq+gMnsLftVgqJR5YqPeGnHjh172eP/s39p+6543Ofamxzptudt2Dlsbv7 - tJl6NGO4wQnMYCFx13kOnw0EAxk5iOAIQESfW1nOv5gqmEEEGIgkBHECZhKmg+tWnvbKr3w1T2qh - Qc2hmzWjejVpNrhkhlPvTZkth5bNTAqFS5Vi3V0oFJ4kFuJoBFA/OmDKs0AXCah+lLJT9gtTwOYJ - fiGDA+R1zQkdg1hFQGgA9xASPGG6TRwAgtr/+wtvWgnCXSdu4nlQAqN+LNgbie0MksjAibkFeGX8 - Za9/PTRhUJPral3DGiSCGaoAT2BAOA4F0H5P2UCMufX4chWegue2U717N8+PrzcnBwRgghAUUNWh - CLEAjkitNiJ127bDOAAYFoA8moEtG6J7f26hIAmBkLwzQgtn8jAMX/qjP3Lvz70xKKFpA7MMqkO3 - 3HrfBz6wQkt9vfN8bLnwxV0chxN2NPbcwcCAeev4iZWjxzAep64N6+P1if93X/u1mE0RI3GEOgS7 - z8ceKjgpFAoXBp/3ALTUFQAg7+9dZa7eSJ5rWXY6Dc73ZT7/zJzcq5JdCKtXJ7Yl48l8G9zfm8/v - gbOFLTC//5xrITiRAkQgZycoYbkqOjuP5stioVAo7H1yX8e+7Nu9CBHuvC0/FgdgTnPTbgBOufc+ - a6dXxoiF03AwKBcx9pWpuZE4YPPBQ38lBTHADr3EC1j3FIsfNXmO8mN+o7iTQYHv+CvLXM962bd7 - wU7a4Hzuc+HS5RF8u8+EdqJEACDLrvB+rs+Wwue9yGAw6LpuNmv3799/8uTJ4XA4HI5/4Y0/933f - /wP/5YMfZnYzDAbVbNY+Dt/uTIyx67oQQkophJA9vLNOQX6mruv8wMyYL5f5qIVCoVAoFAqFQqFQ - KBQKhUKhUCgUCo9MntJmRDmB0mlaP3z4F9/wK5vTWZ4jlWeCERHQzwq7AJiZAYHwrne962d+4qdW - xyPmvkAZ8+JDzlWFJTN9bpxAQU6cOnn7hz/UaSJi+KN8iexYqQe/+s53Xnv1NQzTLg2rejabBdlb - Fj5mFmNMKVVVNRgMzCyltL01GQ2G283sec9+7r/8mTd8z/f/YzCpOrgvvN916E9Sy8n1rwn4sz/7 - M/zzH40s7WxKjJyXvNTV2QqFQqFQKBQKhUKhUCjsHdyMmCVISomAIAFkKfVSAK1lwXkQg4nUPAdD - GNCu606deOjUiU/ceYcDnCe9LmaR5CAbERGlZABCYAD5MYAck6skqLuaZs2mXb7dTwwRcvN21gai - /evrb/t3b33ZS7+6nTWRBeRd09eWM/NwOGzb9nKrAyciANlPgqL88Xv+82Q2nUt/YZdGxOkPF6tY - /E9uPqzrl73sZVVVtW0bQrAy9adQKBQKhUKhUNgzqKq7hxA2NjZWV1fzn1+cb/cuiCiEOnWNCHWd - /+iP/osbbnjal37pbVVgosXsWieiC1UDUigU9jRK3IZq5eobnv8d31ELQB1CwHZCGIAFZEALUmSj - UK8Bhu8x30czBEGXMGtRxWtC7NzswL772BNzUMy930xsSa1ib2DUK38ajMEEQ9YtdUioHO77Vq96 - 8QtWnv8cpoSgMINW8L1VynUeIZ45dStrx+tRUo3D1Wtue8HK024MBMD602YAGYg9sJOx2WWmOBIB - wLOYI4EApj4kSFC4ZZ9aAEASmY2HM+aTZmZGWRRx4ZTgDjCEiZkFbqTw1CmRc2R2wJ3ViQhgIXcC - uTvMyJNb785Me+w3VngkLJmKxBAIRmTMTtZ07VQ5xlatcXcJPmAJxAxZrVf2v+CZL3jhM//rGe76 - PD788Yf/8pP3fe4z7fGHaw6Bugoe4CElTppaF3jVu3GC+n4YO0sAtLPMEsinq1TjtHTA7tblrG65 - ySN7RJi2ihaoRuOpo2WaEE50TaqqK/7WtQef9cwXv/QluP46HDmEwWCzirPBaNt5e6YHDl8xOTUR - TVUdW9JWW4WnlIZS78EIupE5Ac7KnBibVbjhJS+64tk3IzLckRTCEII76HKyGCADFOawgGqk08b3 - rW0Mg5sy2A1ElJKyEDOkjnzlFV/+utdRumQvGA5oljlnMND/CgwwIkbnsDBz29p/UJxhFVyBS9Wn - /HHQy8FTP+NAjDF/DGE3SkmrQWwcJ6azA1dfc/S++6941d+7/sFj9/7WH9zAI0lbAHmg5IqEALAr - XN3d4MYwEegl23iAjgVr64e/8qsPPf8rAgHWwXWecwwgxo6xk2Hh2e2XbIqWFNxCWJO0o/FDqyNV - QEmMzF1g4kaOdMke3x6nY5kdPPzsf/iawx6wPcGYQYqk4IAOIM7mDlAGDGJg68cKJgAjDyUSYAZP - CAQmuMACmB4ehs1B6C7m8RUKhUKhUCgUCoVCoXCh6W0EneAMsCRfj2vHT0xe/mWv+MyDd3zwC3+O - 4SwsHLX68pCshdCbfLGzEfos5aIU3ZWRbbPhDjiIwMDaEF2HtgURmGHAzNRck7QeESPCKLo1X9DJ - sfvuC/fU7/mgjGS8Xu1fH64fXj9y5cEjVx6+et/qgWE1ZooMIhJ2JiehQJCIys1JBUaeN23ucGL2 - hbwJDAYnZ4B7+2ksDgdggznBehPvXoEEMPRHuhza6q2mCeDe2/vRlwTjuW+3Ue96zvOkxnyztEhu - kLPONJmBzGDOTgKIO2PSnfIAFge7keVPuKQTm0cfPHH/Z+/7zN0P/M39p+7d7I43Mumk8cqMOhUj - QiAmEpgbPAEhQBia0HWw1kUgBOqP1PKucu90mXLTofzNop9v0MdRBQQmgrlTp3DwTNZx+JUvfvUV - w2uwWVnro2o0mW27e+/oTgwUr+7CLnof+kucyymvVigUzjcL625AAYNl12sCc29izbRk69pXVMw/ - 44BDiGBdyzGGroUZEDDZhgzQdZhs3PHLvzSMoZs2oyDetM8G2Dz4YlDUm3Yvs1OrATiyryr7dOve - n/hxGQ5akAttTme3/tA/xXCEGLG9ifEQ0AQGqpmlAdcCJsJp1uMCAGzz49b5KzzX5FqMP/KSDMJw - AgmrdsI03dwarq1CVlo4V8Npl4YUwDCCUT/yXTqSuZl36M3DAwUHKWxis31VwLjeGA/2damOQOW2 - vclHDlcHD+CBB2Th0k3Uu3ejHyfxzrr7U5etvYXgrgEcUje9++7h4SPhymsnDx4dC+pmCk3oWsQK - nL3ADX0t267jLhQKTxke3V0PwJIn37IVNy2qL6i/k1TijparT3juAbnT7cV+VWZ+fquf2XvvcUZv - sz0nX136Ljzvg4GdIWYgNILEvbttpP5G2gjKMEDc2BHztYGL1WihULg4LGtxPHJPnsmhwEW0z5bc - lHfGjY5cha+MxKy8NAJ3Xt4IuWEeZyymqoXT6F3eiZdvehaFjARTAjuL900uX5QLTza7zuk89N9/ - DTR3T8/vk7MN8wBk83WH5cLl7LzO3v8rFOb0Q+VlztVACBBPy+9dngd+2q1B35mcPvemcIFZPv87 - V/wsELC2tjKbbQ+HNZFPp5PDhw+++c1vfv3rX/+xj32CgGbW5qwtMx6rmMCybzeAxQN3X19fP3Hi - xEKzQFVlj/kKFAqFQqFQKBQKhUKhUCgUCoVCoVAoXEzcqxibpqnrumk7DvH48Yff+c531iFup47z - DDaHq12Yeg+HE0jVqyqkNp2aTH/jt37z9d/13eaXj+Dok4nE8N4/e99kNlUgq26bKrBUyomd+nYC - GPiXP/PTX/WiL2+mMwCBuG3buqrsyXNjerJQ1dFoRETb29sxxtFopKqmOqzqztI/+IZXvfuP/uB3 - 3vX7IqS2qHJ4wkXt5/74nXfeed99911/9TVE5O7EZGYkJX9dKBQKhUKhUCgUCoXC5cK5prn4mX88 - yoyYs0fhJARNKcFIGOadJsqWFgSHg0DMDFJTM0cWqyJYjgjNwyMOqO/ep51X+kfd3KiAiEQkl2F3 - qmBafE5i0BwvOjNaci7d9hyPOuP9bu6OQQhfcsutb/k3v3zzjTe2k2kVY5Swvb0dY6jH4+lkazab - DUZD8OU3m8iIiSHUqTZd++u/+RtRgll65DDXmS8SCO4CvOQrv+rg/gNRZNY0cTDcg3G/QqFQKBQK - hULhsiVPfVXVuq5ns5mI5Cmx/ggO22dLghNR13UAkno28fze7/0ffv3X//enPf36EDiyuLvNTcEp - awMs6HWHgSecXS8UCpcOrB1OeVet71dtXFuIdPuiSUwgJyPqskeyExsCnMRBcPZeTuTiLpXgAUJe - dUojJSJE2QZtdI0OhwADTG4+19g83/p1jxvvhfaB3mkc7p7cN1Jq6jgdrJjOVJI2OuQV8nDRz/yF - WQIQDo27tQ2bQ7gBurqKZBoIQFQTB5w74e3ASlSZZ4mbvbD/F+D85KCtu/vCpnSuxNI/ZnICEYHJ - gKQwMwERBAQnM3fAKTIBzg6YkalrcjczGlRM4jBzRzJ3F2Jm1i45EYTADIK6ZfPvgFD0hS4VnIAR - N9ZqZ8G4Jgk5jk9IZlwFDqSq2rVBJRq5YhuQ0TDUKz4ej7/kuQeDHDx+vLv3noc+fceJu+6655Of - 1AcePEjx8DCOktmsSSmJZU8L6QWBgD4JMlf4XG6uOTmxo97si3RJ7y4CYCfFQpZXCkYytIaWpRsM - 23p4LzAZjuSaqw7e8qznfskt46dfh0MHMB5vt+DxShLe0jQDkVdOLLE7tb1B4lxHE9PUEnsQCEdK - doZ9+EXGaUflOYtpz0TuadJw/woFApzNlWhKCFJJ61k8cC/0V+d9PMBmAUaGRqMErZiCT2cdRXFi - hRLICZYtVUR8tHKstUv3/JAjmDGQiJX7XxDIyI3UQuSaYgeeTVuiQMxBLsP7u4V1DoJBCEowWOca - KxHilJJ1aX28Ojm1PRiubFZy4Nv+wYnPP3DsLz813NwcAsSKBJIstZeIQOxO1AmbgB1yyepoGvHU - OA7HD1cak0IF5iLCElX7n4kR2A3kRou++eK3/Me7TGqzUImpzFg2m1ZdalQs5KRZ01LgwYgWCquX - 3w/m/KHED03aldUDkxgnkVETKKFNrBTrysEdE4BKmWCJPYk5GTnE2IgTCYBKEQxE7uStq7tHRNT1 - NPKka4IQ+yX7gywUCoVCoVAoFAqFQuGLI+c6sxUgnBlsYAZUUyQcqA5sbZ78+1/5mofec/KzsztG - 9SmY706JGubmEZjHeXagLPhvAMTn4v8OVniDANQiwuJGORlk4hDqoFB310StSmp8y92tQuD4IIfY - BTrK/qBrcjIeD9aH9Xh9dX199eDhfYcOrl6xf3RgHFcPDA5GGVShDlZJElZmEUJMHZgjMROcoA4n - s2wRxnDyDrQ4AgO4IzbqK2AWjtogo6XEwnLMhx51PscS7EbUEdRB2ZjS+9KabGjGBnYwQL0RJyyQ - c3ATgNFxm7jtuG1oxvt827c3mxMPbz304PEH7j16z/0P3n9889jMNlQ6yvbl0iG6iIsgWXKiHLgy - cqOUHcuZSFt05kxSiUAAMDnME7JHAxmAtDhOT+if653gjOAERDF3YicGd2YJNQ/2y+GXPPNrbr3+ - BdiKsatHYTzbbob1qEsJlFNJZgRemBBRicwUniIU6+5CofDkky+7iz+ze3c/hdNz5c7iFebeFdUo - V0uYMwizBm0Ld6TtD7zpX9fJVlJ3sLNru1aIYSmSpNmsDhHI47i8OQOyLzUvWcUuLfNGCQCvqIla - a4lDdcjt2Bt//nhVbcbYCH/VD34/ogR2xLQiDPd+nb0zN/cHZUC2uCYYeF7S0KuYLdzId2EggjAR - A6rD1X2qDuHscS4h5F0lAoH4jGI/nS8ll1ATedIEq8Oo9VkVw3N+4Pvv/+mfrRze6KBeQZocuvGm - 40ePzj+6BPVad4s99LnlVV+SQjBzQ1dJPPWF+4bPnuDYsfGB/Zht2Wz6iV/4hef9yI/BW4xqEDuM - 86ddQFxGSYXC5UfuHgHabdq9VOi5eOCUX98pTePdb1uuWSMHk9l5nt0Rs1VtDhC4AfBFKt4pXzXI - GICACZaLS5SgxDlsYbSz/wAYxt7fMaPY1RYKhUsEdtCix8pRRfT9bz++9SUDb+Teb97VeR+YY+f5 - fJAFuY9lkJ3XzrxwacEOI6bT2sR8vpODnSzPMmKHOFsJxT7ZeL5r9l1Lnr+2zFlVrHfukOd1twvf - 7lyzXkpRC8vY7uiGz588VztxwpntzndfWnaK5R9D2qtwQUkpxRjbts1/mpmIpNQePnzol3/5l1/3 - utfdccdd2a5bhFQfc6/RdV127waQVQkw9/Pe2toaDAZd12WJfGZ+tJUVCoVCoVAoFAqFQqFQKBQK - hUKhUChcRqiqiAyHw+lsBuHRePTrv/mb9x892gFMUO8lQXvJZqJHkoR+MiCQwxdbdOBt73j7d33n - 64TYyQGcXl1QeES6Vt/9nj9ygAGFhxCSJhDhjO8xVwT9o2/6ptd+238znWwLqK7rpmkwF+zeU1RV - lZOPzFzXtbtvb2+HEFyd2eE2rOs3/quf++CHbr/n/qPMMJ9XPNBOBRSevGJUAja2J3feeecVhw4F - mVffU8lfFwqFQqFQKBQKhUKhcDmyXKxsSwXuO5GJ5UjFF43OLZbde00sJlY3UC+q5Ga9fAATEcF8 - OZS3E9mjnafme9a/jZh3Vi5iZknT/EAc5jFGVTU3PYffMxE9UvjwjPkpeeMC/KPXfMtP/9RPra+M - Z9vTUV1bUrMUWSLL5NQpEl5ZWZm1TYzRdc+Fqs4rRFnmDERk7u9///tnmvSxxrUc2X+vIv7Gb/h6 - hue6+lR8uwuFQqFQKBQKhb1HTjQzs7uLyOMo0jCzEEJKLTO7GRFOnbGgV1cAACAASURBVNr8nu/5 - nv/7//m/BoMqRAbgRk7GzBegDqRQKOxxyDGKsW3brWHYTsZOBpZqtNl0kAAYgxjJyB0ED1n1m3c0 - Hi7yUsk0eNfMVkIcVHXXdcmUR0PhoaqJ7RSb7U3Hbp7r4ANwshw1NDYA7BxCSEDHNiNvXWJVI3rX - Rna+6Gf+wizJLZiRuASLqiBqHBZQ14PWEmDMAiB7lzYSjNAo7532eQGWkfomZHMXZHe3HKqluSky - 8pNGzjFwsF2yjoCDrFf4UDKYu4NZhDnm4GRnRkTETACZuWriwGYGJTPL1uBMgZkut/jtJY65OrsK - SQwEtZTUSUIVWGjSzLxDjLGSCq6azIEwWNlwaBCsx6NkpBqGh1avPnjwec+6qkvYbvHQCdx9L+66 - 68RnPrdx7NixL9wdTdnBbsEgbuQQN3EQnN0I2TbDnBjz8mD2peSJc9Z2zu3ZCA7S7NRBUPYEGEhZ - eLS6euTKA9dev37FkWuefQuuvxZXHEaQacCJ8XBa8SRZvTbqOldoGIwcSKklohiDpjbUbJ5yVaq7 - k1GQXTuyp+idm3tvlaC1TBkzbR2oY1D4JHUhSvBsOXzxe6oLsDSyhJalSlWqOMTIDPG2lRCSeSKN - JACYg2uXkgJostz3xd7zx72UuQVLVn2k/rdDxCKgiRsRiKQi6rxVEOMy6p/nzkNsBLEsbu/ZjccD - bU631kar3awZVZE6rRJTFY6LVNceufH7v/tP3/CvxpvD2G2DvWIP7UwcsPoLo/GhwShxUIObySV+ - G82gFtawccU1D9lhBnc3WToyciObyzDzfLkn2v9jXDIzs0OFjEOMoTKGeps6YhAhGAgQA80V8Iwu - Q7f780g1HE1Vu65pI6MORCEGF8PEYODETI6KAUgSU7Y8uA3GDm5ZAASBOIKjQ1KRqqqmrTVdw1Kx - hLOYLBQKhUKhUCgUCoVCofCUwwgMIxh7jpn3uR4J3nVtXVWxHVyzftOrX/qtv/If32Sps2qqYj5P - Hi1WA/A8FM9wM+59QGw+r0GQJyIQGTnc1WOMALomZTF5Yoaha1IfMYIZDAyqiYgGMbapmabtTUcg - OIMIbthKJ7kL950IdDxwR2IxWh29Hsl4fbD/wOqRA6P11eG+teHa2nhtWK3tXzscuQohMDM5yJxy - OY05O3LwZuFlYETulc3PycL/DNkNB7mIfpf3wWPyy1BKoA5IAC+fUstrmmcxgD5aC0ozdIautXZz - tnly66GHNo4+tHlso924//g9m93GqdnJqU1MEiI5udYNpDXWPKsir9odrgDABICM2OBunu3JYUxG - AgQwFKoKGIvkmNhiJ21uN8noDcazr5AB/UmUMOtaVh8KBQJpOBSvvG71GX/3Ra/CZpQu1GHQbjfj - wcrGxsbq6mpjycmyQYwRMwik+fQWCsgTnS5linV3oVB48mAAlkdXBM4XeAHgQPJ+PmdOY2U9MIIp - KALqIoyuhSuSoWnQtHf8/P+yqqnS9jmuRDDVaCFQYCd2ULKaApKDAWIYIWdGneYzThfTR+e7R/ml - rMXFFTOS1RxVqSLh1gZdm9Cp+9F/8XOpqk4SnvNPfgCDiIEChkHlLHlaqjhg80w0gQiuLpGJYElZ - ZGe7Z1RfCAFuACEIABZhIC7V+eVdl0Weez5gzf7kvrBOZMDAJAOWFgBVGBA0nahkrPUoBeTJk9dd - y3819o1J13Y1ERwO5wDtQLyjROaLTCH13upqYCYzeNeuj8fbH//L0cteju1tBPcga11C0yAKUCvg - YIEC1I+Q9mjNyaXBpT6wKDyVOLMt5mke7m5m7Mg30SCDkEHN3N0ZDMBh6O/rQLzr7pyIyTgauRsR - ZRM1d3dofpw85WeISIjA7OdTBZJylUjfaVt/4L1jN8wQY82O1CmrV0HMiCQ4VMzbJg3HI6giWST2 - XHzn80+TKYGAnfv2QqFQ2CPkntn6/hwAEZHkkmbv1WCcFO5QAJHFeutuz8IfWRiZkgUJWRCEAGIG - yULUxXOQD0ScNVzOIgFcuGwhgDsNUkUJZqaqYHL2LqXOTWIA2NyF2JlUs254uc14MnEmMyLiQPPU - g3MUSSkxiDxPKnAiYiJj6gSKvjYfecYODGe7fyEvvt2F0zmtRSwmgJ05ymeHA6m/zOz61S+/2bN4 - f77dcARiM8t3EPlT5c76wnL2+zVmNrP+eyTL3wwzt2177bXXvOUt//bbv/3b7733C13nps4EczDD - rL/TNLO8hkfYcPbtBtA0zeIZIpLcmzGnlJZbxWK1ALLoWAglP1IoFAqFQqFQKBQKhUKhUCgUCoVC - 4bKDARFOKYkIVWFzMnnHv//fct7FfNnpOE/BOu+pN2J3gwNq5kBk+tzn7vnj9/7Jy1/2NTCMhsOt - ra0Yg5nVw8FsNpNSjwcAcPcQgqqmlAaDQdM0zMwiqv5773rX3AqKUkp9JVAInnNkMaa2I2BUV0+7 - 9vr/n713j5Ysu+v7vt/f3udU3Xu7e6ZHo9Fr0AMJIWEECAheASQeEgKvYDsYHGGMQLBigcHBAkXB - itBaEGPHZok3xIpihQQSEVjmESAQtHiGZ8CAIPaSwRpA0qBBmke/7qPqnP37ffPHPqdu9fToMcNM - 973T+7N6VVdXV506r9pnn/377d/nO974xrIeupSMLONopJE4eeruGgGsz2sYsQb7ui67e042DMP5 - W25947/8tr/3Za9knTIqwAxSTqmUspHEPyrUyRBvf/vbP/PFL5a76kxVNtF8o9FoNBqNRqPRaDQa - j0cm4zQApJTcvb6QiRAWfTcMI4Bk8EAGNI/MRB1go0kKTfnv0yLJUEDTAg2MzcAFSfKqSbVzerzX - Uun1n9vDENK14x6S+KBk/mvS7Le/JXyrwvWcyz+WcevdD7FvJNFSXY6lNC+krue0mJ3lztHqCMCy - y8NYbj9//l/882/9O//5F/hYxvWwu1jGWDpLUdzM3D3nLKIOYNY5azcVUTz33dF6xS7/yv/zq+9/ - 4ELX9T4OwPbQLXB1KYvNiO7WP0BgZ7n8W5//N+Uh+qLrh2FIKV2fDWk0TjJTY07WmSZtFlLjJsfd - zaxO4zKznHOdddU4XeSc6xTL+s9hGBaLxY1dpUaj8eEwjmMteVxKqRHw1Wq1XC43MfHaJlef93R/ - tKlTN/093daVMmAOpleB5rvfc89Xf9XX/Ou3vJk73dHRQW0WyrB68Lxa1ju4lonRaNxEGCLGo85w - hMGy5wCAVazZ5UAYkEJJnOo5SAFpUq2eiD+kKFt2SwaKBy1Z7kpIEVkwTdXnAxDtBLZyVRMIYGOi - FcNnayALshhOmS25k9a1IB7Dbvyev07HVwIjWAauvYfJLKxjKuGkghpyCKCyCV0dFp0KCN4Uf0wq - 9HqSq55SAmotIEmYMuTqGZ8AIMwJbNfQT3WY0eaqwYQRkw+AHr3GqZQLp8q3QZvKhjEZLAEMq7X+ - ZREw8cRlGzYekiTksVaDCyCCho4BFYzhSF09j7wWeI4EmpWwqZKcQlAkeuYgXe76zrv+zM7ytlt2 - nvUse/GLzjvOa7wzrmD//uHeBy6+/779999/cN/9R/dd8Cv7qwcu2XqI1QrDmFwZMqSkSO4WDszS - C2NYckMxG6nBDH3uzuzs3nruzK238My5fPudO7c98bYnP+nsU+7A+fNYLgAUaJ1SMStmTivGetYv - Uh7DIxtBZwHCchARPiYKBUlMyEAVfxhKHKs3TgDUVIDVAgC6AAM5qsKZijDrgGBhBndtoSKy/h5v - fGN1Hf4kqVcXBYmdZAUwOVMqMRYzJQ4aLaWISEi1BXRqvqc6fX9EORGsqhUlTdZhisYIejGInsKd - w5ANYg5LJ+V0vn4I5oYcYVOYNAaVxSKtfWUZ8MLAEogxInf3pnLuOU9/0Zu/10qBAGYA0AA5hI/s - FvfsnTmynFXMHTrF8bWksAizGBKcGAIEjFbr2nPqOEQQhKZceJ3i30sSc+kMMFjITIg6sSDbaJGE - ZEiiCSakgIhi8JstJv2YQSGNYwbcwhapwAEZIBIJAVW906SnMNXScARIAUqMgMEQCA/IEKajWGdD - 1xFyCq3z2biWFu1tNBqNRqPRaFw3cs6aEsIhaa68fYrHDRonkyDECEQSAJsCQQxnJEMoPCJhaQf2 - 3Nte8PJP+9If/oUf8NvT5eFyWsABn/WMHsiKOXAEwCwChAPKKHNciRIlA0hYNlcBggnMCDjgIjbC - seMbsJCgwdciDOgMrC6aOurCIApQRFOHAnNxLduX3Tca70+4v9rEjQDFzq1jt+yWu7tnzu7ecubM - ubO75/pu9wnn7+hssex2+26nz4u+Xy67RW/LvgwpjrcLQB3W4sZ8sJkPIQNAL2a2XaZ+cq6Z1ZvK - jTctIkaEeox0yYOyBJgLXjSuh4Oj9cH+4ZUrh5ePVgcHB1cODg72xyv3re6/MuwfHFxZjSuamBjw - osKEoKMPMUQBCAYQFm4Bn4LFUJqt2wIAEylSKc3TMcQAHUD1e0+j3gqrum6ZaIHNZJEggpxEbPX9 - wSSzwSNMKUGhHfZd2XuyPePL/8ZX58O9PvbMDMW7vBjHsrOzO3qRlTCfDzoDRgYY7T78ZqYm3qeU - JQ3DwNOsn2pqikaj8ShBAMdXR9u8JsCBRER9gmF/1e8skWa/95VV7nqsCnzEOPze931fvnzxzuXy - jv0r51PGuEIyH47S7p4GB4ISREhQwAMSEPCADygF7nCH6rfO/UEzpIzcIyVYBhMMMII0FDMLKAO2 - u1v293O/jGFfZbkYhgvf9T33jKt7vLzkW95QF4bcwxJKATqkjBHoASJ3U6KFMU1dRW12AY5n0wpQ - YI7xaFaZXzP3devjcwd0OwnCa/M9vy0lOGwA+777mNd+w3v++2/v15HHwJlbcXDx1ud81AO/9/vn - lzvj6iihS8ZxLF1GCYBImy/mNHt1Y1skaUl0YHU0XriIBy7gzqeuDx8oefeW0Du+67uf/0/egJg0 - 4xlxqmPJjUbjw2EYhjrvrk8ZczKdhHHSoVk3F0ast6buLmJj3Ku6R0KdGQMSJbkHIIckhztnJTjI - gLukomTdY7dRAtxgs717K2XCjJYSyxjjen3ruVvHw1UppUpr1zEsz5w1K8Phaq/fGcdV12cPV72h - BmrunTdxZaPROJHUsgIG9inXYcKICC8kohZIMZpZ4jTGWGf02XH/duredrYkDEJMOXY1TZkASLGm - IUaJCMmlx7Y9b5wuBOS+V9FqtYLcLBsAwcDlYuERIkh6kdy7lJbL5TiOJye1/XEAmarC1kFAFAG5 - O2qVJ6udMgkuMeDuiCQiBUOihdeIgm11/7buX5u9u/HQbIWupieSpn44mUAaSY4BEQhVRfcxdtxb - 315I1TNjc4Ezq0Gvlkx5Mun6dHh4+JSnPOWtb33r53/+37x8+fI4SkJKm9wDbfIP+r4fhuFhLV/S - pmr/crk8PDzsus7nQnK1QkEtrlQN383e3Wg0Go1Go9FoNBqNRqPRaDQajUbjZiOlFMXHUrrl4mC1 - +vN3v+s3/9/fnlTHN4KImuvNCJFwKIAf+t/e+rkveWnu0v7Bwc7OjnuJiDKMKaUbtqInjxohrQaj - GvYa3f/o3/37g9WRT2nq2pTRVCl5sSjDUMZxb3e5Olyt18O3v/HbUg2xkh/iy04qU5iYlhil+N/4 - nM/9rE//1F/+9d8ka9w5MEutHkVvd83/d+A9f3F3REACGRERwXSCSv02Go1Go9FoNBqNRqPReHTY - KidTLWgpEa4QCPz1/+RT/t5/8fKPef5H7+7uHhwc3HXXXe+8689+9Vd/7Q/+6A9XwwoAFDlldxcU - 83IkZUuhCPc6oz/PJrYPnQn/cAY5qnMFj+XYz8b/Xb3dOWcgvJSccngx2np1tNcvV8NqHMtLP/sz - vvs7vvMpT3rysl8UMIvhXkoBI7GNqwBzPv1isXDyp3/6/wpgHAeaSR9qUhWverrIyYt/8ie+cNF1 - u8vlMAwR3ve9bzvaG42bj838IwCSuq4bx/FGr1SjcSORVJXPAEiO47her929emQbp4hxHFNKZlab - uMViUUuL3uj1ajQaH4Ku6+qdYM55GIa+7+vUWgBnz569cuVK13UR8Yi78b/7u3/w2v/6G9/85jfn - 3I+jk8o5b4zgjUbjpoWITnBEIUZatXILAMJgVWpJTdUpA4BFTCVE6ujEDX4MwFBzgeBWa9VAMAAp - YAjI4rh0p2GrGv0JoVZIhyGIsAhARCByTCVJDZDqFhkQbido/z/Wj6x7gyZ63S2kOZkEyjCJFS2F - pUg5zACvZvMbvebX8ZFuMjGo2Us6PZoETK/P/wswpFljX4Xd9TehOiBrqAV0Nf0jy1hr/RLkVCxX - xOaXRKGqLgEwEDehGPbUQlmKIKwe35gE7dOZUs+a+QyZh/2P1ZghQmTQZDYCNFpXl2kpzILGMaHT - +d38lDt7xZ1KPYkiFAHEuuDoCIeHOFphvUZxeMFqDRckEEiGlJA79An9AjsLnNnB7g76DNYzMa/S - 2SH3JfH9mYOhJFSZMecNNAVlhrotsOoUAYDYnMs2VT/aUpXIqsf25KlAp5U0Wb00M1DXM6a1tZiv - gGLc6Nbpel8vLEACtJJCMrdIUYUk4YZN46SNsoWn+HrqRC3tGIDVnH9DigREwIIYbdrG6VPcavBv - DkwImDMIMwIIE0VRUesD15rDBFjT65XWlt63h/cLvQPIAxcissba3XCkgTsQskoCAqHTvD9NwVAx - jKQTCYbp53Dc5tcnk7n7JPX/H/ajTDCvlzmCqh2dECDC65kQcxeKCCBO60SKk4hNUjE4j8+o43KB - 1RbPAI/PPGLqXgZBHEu3xNr9QO2HgEgBCs5Hb1pIo9FoNBqNRqPRaDQaJ5QAJBKyY6UhC6BBhQAs - pWAq2iu3Pv8Jn/C3P/XlP/X2/2N3zw+PDtPSPJwdLNPXVTUfATMZFXUg7SGrSgRhmu7o67D7g8ZM - bL6ZD7tqOgMFaBIyblQCRBgmB+KkJMDx8w3VPmDzALiJWGWukj2QiT6hG47C2Cd2RMcwIiX2HfL5 - fq+3ruu6xWKx7HYWi0Xf9yklU1UTpJRStpRSMsskk1UH2lXq7jplw93dvZaJcPdxHMfwy+NqHeMw - rFbDwTCuV+PhMB4OZQWOjsEx0oIJpEI+chyWGDhoASzi+FvgkOE4lDkN23IysoMCJk07VHcO61An - EgICYfWzzgiGzXsM2IylTE8gsylkQEiKUfSEaag4hKjZSBY7y244GJOg/fyUnWd96cv+y53D832c - tUg2iT5DSPVghbkmUbfVyIuqQRz15Gw0TjfNS9FoNB4dZld2EGYbTXWlCp0zhihdSt2ty5q+EPAU - ATgu7v8PL/28v/1pn3Zrbx81HOzu9fvvv+fs7s5qtU8vi7wMJ/YPUr+AO8IxjDhY4dIl3HefLl1e - 7+/LS5TBffQotXsjO1aFkTSmnDNzR8s7t9yCs2dx/jxuuxV7Z7DozABydWnfO8uL7FrB17ftLg/u - +4vnnrvl6am76/XfMt5y6/O+7h9hB9jp0GfRipe8kwNwwYhhGHe7bkpe0pzIhKiROQCZW47uTSoS - MALgcbbcpPeu3aZNr/Hq7uNxToeAQLIa/0swx87iwpnlE1aEQ6sj0vDsZ8Vdf7q+fNQhGQjjGMip - fv0cJd1evnDsSiI6gzxi/0q8+1122y2LM3vW975/cFYZwwAUO7vjAGDbgfkWdmw0Hjds377WKR8m - RDjqTVN93RYCoKn+QJ0WAiB1CxhrIlq1uoqgDCVMEkQKlsja3k0Zz5KEgJFMRpLUEHjMCKJYAEhC - ElIYBQoGG9bFFp3lZOqOfBjNU7Ku65kQq8F9hCK5W/FFzvRImqqIinCbW1mgZZY2Go2TRpdyRDBU - VIw0QRKE3C0ERUT45PCuUlXLCwCyIKnazhMpLMagQlLQRZIGkPLBC0mbkvlhZsnMLBVvmU6NiSCO - VJhpiRkpI7mPkhZ9d7A6KoqUupxztmSWzVUOV8xJ7Tbj0YOhOhmCZpwDGgGUMg4kQRpFSQ4YqQA5 - 368ShGzqpxUHpkl3mMMn27GQRgMfIDVZQD2LUE3woajXI1jK/TRPoI6WkABIfqC55YFIKU2LlTDf - kvDUFpc/ZdSQ6ocdLVvkzoexDKvbb7v1J37ix7/kS77knnveB8DnfgKJvl+s12syDcMjmf9fXd21 - vEjf97WAXc55ExCt3oLNk0aj0Wg0Go1Go9FoNBqNRqPRaDQajZuNiOi6DkbL3b9605sdkPgoio0f - LiSm2W2cCmS97Rd/4c/e9Z6n3PGksztLST4WMyMV7mS6Uet5oiBZ63fXEvwbrcKv/8ZvxOySSpY8 - HLW6FqOs1wByyqvDFYGv/sqv+MwXf4YfroxTCnio1kAkyQ8hiDoxuKKzPI5jKM7dcu7S/pVv/eb/ - 7jNe+tIiMFnxwJY+6hEwJdVvvbLtuvrjP/7jALqUKAFqQepGo9FoNBqNRqPRaDQel5hZuNdC+JII - ZNoI310s3vyv3vSSz/7MrusWXX94eJjNXviCj1uP/t++7nWHh4fveMc7fvlXfuXnf/7n3/72twsK - IAHZTETxUHg2jgEzRARKCMg5kyzhH2BAYxrFedhjEB/+SM9DLvqDfpxmiiBpZuGjlwFAZ8m9ZNIV - mRyG1RNuOfvPv/WfvfzlLy/DWFarw9W6S2kdkWiLRQ+gzk9ppJQkOXj5YP9nf+7nqjg+5RTjwxvj - KsUN+PJXvCJb0jT+G1LbyY2bGnevQ98kU0qllNMyEt5oPKas1+vFYoFammnmRq9U4+FRSqnz6bA1 - DXMz47LRaJxkxnHsuq6G+/u+r90VSWZ25cqVxWKxXq/rO/u+H4Zh+7PXxrKvJYT/+22/9G1vfOPX - f/2rSzna2Vms10clIm819Wy1dBuNmw8TcoQBxQwWjGQy0WqBh7l0pyUFZGEArNYHPyFMRd4ZbrWS - ey1bN9XSZMC59V4AJ2jdt5gtdHXlJlc6A4IbBHOCgBN2wvb/Y41V+S6DBiqSZyqFcrXtSiFBMClN - pRAh3mQ3906TEHXzq5N7Kvo/lfuf1MWzwtutyGLS1lZtfK3yX23HMgDdvHBWRboSIQjGqXoYgI3S - WESwbPVCWl/idJFrvVBsyUo3IQeDsVqip8qZ4Wk9WaJhQIIshQFMsQkmCIBbjAkmLO2spd2SIoAS - oCLlQAhy27OEs+BkwpCpg3GUuSKE6ohNZmYwhsMNYXRaQNUaLlrqlh4YEaMiamIsmcgppDJdEWzy - lqhkOWPT3tpcu8zqEKFPpaFjKzJygprbaukQbHpCuG1+j3CDEyKqkiNtSkrfNN17m3TyUcUzbgCi - 1oAVDYCpFv+2mLSvONXX0xQQbHa6oBAGuJH1B8VwIkVYTE19AHbTnAzH1P4VMBqSkAMUO2kUgnCD - iGJIAkVTNmFkgJFDplJt1sQA+mAhMHlQRiKqd/jUdjkmKTJYO8/A1FakrS0KIMnASFEFPIhTe4kX - cdix/vAp5JBNNwtR/7e2DEFsGtgqiGo8ajAAmCxFwAyKaT7Lxk+l+YJFUGFKqfZggRzQJLJC/dTc - jwV1bAhrNBqNRqPRaDQajUbj8Q8LlKUuppvokXCxABaWSrU6O2zFpy2fdeb5Z9538Be/8+e/HiV1 - O0k4WK+HDOU8iSQBbKujoUhxLIHexNqqOhrzSJjmCIIJ2au5OQCkyd0cOB4zm0cAjomHnPDwoEGY - 2SUOJWDSWxTJESOwpls60wlDyBSAo6rISV6kQ8JADEAcLzRzKjVfk+dRi6gQmgUHm3du0ok3icT1 - f2sdexg34QxSSFCv6EcgHDFpximSQUgaSlhCslwtHjGF5GoAIub49fQiZYgMmRgQGGGMQKR5/1BB - wObYXBBhEDE7tIGtjSaQFEJAOaZjwVAyI1AwmYYAKtHZYTgYz/U5XVrcnj7y5S/7B0/bfTb3dxAL - AwgHFIwpZsuqzpyPrGrAYjRhFpE2bnZOex5+U1M0Go1HiylAW/sz2zFFEavVsFz2XW/SYICvj1LO - KdZYld/61m9/4qH/w5d9Fo8GXLqEvQUuXzoLTz6mnBHAwbrrdzCu8a4/KxcvXLn/voMLF3W06osv - pE7opFRnDNY+BGFbQU0JEQJKrAu5CsPh/sUBWBMlJ+zu7Z2/5eztT0jnzi0/4hlY9ti/3MmROjjO - ZGF1sDvy2efOX9g/fO/3fN99i+7jvuorccsZJnZ9LyjcU+oFg8kJE6a+1vYjapfROL1is7fbMHco - bPN2qza0rT4lpzckwDdbti0Cn740KXVcxMd93X919zf9i92dHURBGbG7vP05z37/b/3+Hd1OjEcm - 0TAG7Jpe6eYrjZQUoZpBY4Yece+f/cmTnnUnzj0Fo59FyrA/+N7veeFrX00FOJvHW8GxRuPxTgIB - 1V+7mSUaLK1rccNaOVMgLecMRHFnMAgxqrobABldSmYQHEAgYu5PG02SqgU25ltyWvdYdlmnjDrK - avbENDpgAHZ2dtZRRh+63cXqYH+5XCriqFxBEY0qR1l2Zm95uH+4s7N3cHTYdR0wpbWlAAyl3mCz - pWI0Go2TRUSYJmdqAtNk4E1jiSDBRCCB07Amw33ONrMQ4BAEKJZdRiiiAKrTWkKStFh0kihF1OsC - gVNTz7dxfRAxqjCl7K6Ypse4u8bYWfaCOTSOXsqw7HdSSsVnNWzjUSIiAEspkQLotQq6PC96VxXl - SlO5aRmtjtpHuEuGkARSMs7RBttOcCT8tKbdNq4fBNzdQDNmMwoMISSiFBenuJ4DJD/4ZDkzk1Tr - 5tRTuYa4WlX0kwjj0qULt9xyfr1e7+3t3SG+5S1v+dIvfcW9914AYIZ+sTg6Wq/XazOLUM65lOFD - LnUbMyulAEgpuXutF1P7IRGRUtroulNKwzD0ff9ob2Sj0Wg0Go1Go9FoNBqNRqPRaDQajcbJhUKU - krrswDiODzxw4Yd/9Ee6fnFtiefrludhBp8zAqZJbolDKf/ryp1lZgAAIABJREFUD/3gN7/+DZLG - cTQypyxEjfhcr1U76WwipDVIGhEp59/47d9Csjozzsw8fE7aEQBLqZSSgec845mv/rp/fHj5yrLv - pjqM8wzDG7hFj4CU0nocsqVF7q9cvGTGT/6EF778i77wrf/mx7wESRGQmEz+8KVWHwBhmo/6zj+9 - q7oQAASUzOL0FmZrNBqNRqPRaDQajUaj8QGICFSBN4IhAGNxAq//J6/7nJe+9NZzZy9duODgMnfL - rj9crxa5O7q833fdJ77g4z/h4z7+td/wmnvuuef33/4Hb3vb2375V37lXXe/B0APOhShDpDARMgi - wks5LiPwAXhsh2/0sL9AEbXMgbuzFmoCFV6npxGS9FVf8RWvec1rnviEJwxHq2XfBxddShHhY+m6 - juDh4WHL666klEYvRfrd3/u39126yGzwKOP4QT7y4AGpeXh3mbuXfvZLTPDxOMGebOOrjZuXGl/I - OZMcx7FOP+n7fvygP7FG4/ENycViMY5jRCwWizbZ6pRSp8ttH771el1d7Dd61RqNxodgk/9gZu5e - /1kTAMysertzzqWUByV1fPic2dv9vu9905133vklX/zF+weX9/Z2SxnQSn80Gjc9SQHCZAirYksC - QphqfaGYlNJESZMTFHPZ9Bv/CGRFaNJwEkjz8yourYJhzmU8BQvGiar/FhaxcUACBlggaRLlGlC9 - 3SazKmZGPV43es9fl8cqlwwAMgujCJhInyWsjExjimwBTsXiAcYNX/Prdf6bySa1gswwV6xVlbma - zeUT6+tTgf5ZVjs7GKonoMq5J2PDbPVOwT4MU7mh2bAIBISYSj+pnsbH+sWb0A57OhHgNNs06wA0 - taLVuzB5uwWrp1h1ms7C2qnEqGiabK+UZOGMYIQhgIPBDUzoWCMEJpIkJZcRiICK3GM6J7udDrDq - kaUQEZQkTR3jmCpikYTRQF8fkbKckA2kICkUImm1qAwABgghnJEiaoVRAKnKsMHpGgdTFdgjbKod - eqKuFQDgNE2W2enSkOdL3oYgkkDUK910yTsR7dVjfr2Yr/iIpOl5miojIgFVUeK1ZCKNc0t4w9f8 - ET7KLCbXsgiBQRhQI3TiVRXgqVOrXP4rMB9im5s4M8IUDCYo0iQ4R82vD1jYpL1ngEFZvRQmSNOi - lGpJPT74d3d6oZACQVQnEGYtkwjwmijxqW1PRpo4NZtJAoOK7RY+pvYfQYwJqC3GSbsGnFqCm04j - sFXcG9Nt2uyMn71fm6e1lLdh6qhotoVZvQsQaucEmBfYaDQajUaj0Wg0Go3G45q5Gr8JmSjYBMVg - AIsigSl1KIWez+zd9rJP+s9W4/COd/1/Fx944MwTzqFcHoahW0KoMZ/JviwaECaLeif+IJF2DQfU - e3NOnsXNoPr87ZuyILYlDXmQt3vzvcdDTg/2i8zfFYSAUqcjUCSoauh2Gag1yQQiAZ1JMiSYisaN - IJE8ro+xnqdibPJiKIqIRG2t0eax1teoz42cpz4os6sBi2nNGQFJ6rpUo2ySPCRNIxjdggYC2541 - mFGa5GUPqt7i3OyZqBHPtDVeZ1vPg5NPbdql2+fB9rAwAJSkKqI0poSAT8PpJiJTImJUD9ilxZPy - R37Z5/6jZ5x7/vgA91LnmExyUyxlGoeJeR9WJeXxqNqJC6g0bgSPA/NUU3c3Go1HE7vm8lxlS90y - h4ZEpxzjkABceeAd3/1du5f3n114NnWHly4uYPncjtaH7HLKC+wfgAmHK7z3ntU991645+6lVimG - JN06p+pUXbcLDliNHMMoIKo+bNLEBh2YnE8SEFoQC0JjiUuX/NKlg/e8m5aKfvv80+/Ek+/AU56M - 3Yz1CjtnfTUodRoObV12ynjHsnvv97/psq+f93Vfg3NnCe+Wu8B6PfiiXwpYhfcpGa/toyAQhprG - ZGV+vQO40XETShgRopHocdwrpUAgEwTKvGNpV31BAkJIDiwXh+fPXriyv4B6MsvwzGfaO/70cP+w - gwRZggdMtgmLTsH1TWW22qmTM2AGAp1xV473vhfnz4XAfIYHR72PWK+wMMudYJy7esca8kaj8TjD - I+pNI2ABKUoUp0Xfq94ryalJ4m2I3lhvqGoqgqp1jxjGK+SUTldz9QBA5mOQKadkljhpvGtRycdw - m2rwPgdTWBIsalqhAbEa1pEU9OJhyaUjwPsMScuux1A0eIwuK/u+b2f6tRfKuqiZv0YFr0lxazQa - jZMAQyBzSibIw0sBAIsxJRgTjfKpni9JyiQxiFpeBiJcAjWWw2ngLFkyqz3KIIuPdfyVZLLMUHh4 - OHM69YMojUeN6HZ7QFp7uMPUL7KcQxnXa0eXLGUkCBg0Bg19lvxGr/PjCqre6yk8JAmOOgUniuSS - zDDHBoJgdrOQYF0yJkOqsYupBNVW4GRDU603jrFpnlXEPMRRSTQTGIEICJJMCCgvzLd60VKNTE0q - 7ofQeDNVVXOtnlNPy1qk/rHdsMYxmmKlV82pm477g966t7fnPkaUK1cuZcsf+zHPe/Ob/8dXvepV - Fy5cLAVHR+u+z+PoADhLuB8Wc7sEdwdQJ2WRrKfQ5rF2dVopmUaj0Wg0Go1Go9FoNBqNRqPRaDQa - NyGSOkvrYc0u/diP//hQiqOknL0EjifMXb+Yb/V2mwHGcEFwVwJ/8Id+6A2v/6b16miZu77r3N29 - dDlHCwPOpJTGcZRkZjVMNpTxD/7w7WMJAwXk42mFNdc8hXsyWOA1r/76pz3pyfBABEGfapjOi+ZV - 5aceNDXgRBHw1BmBUDFwb+/MxYuXXvOPX/0zP/Ozl1ZHdd0DIqm/ek2tuu2T6RwA3nfv/QcHB/0t - 51Dc6sTDdn42Go1Go9FoNBqNRqPx+ENzXSZVs4kAPPmOO77yK748EQdXrpzZ3UP4OI4KzwDCb9nb - HcdxXK9S38Xgt9167vNe+pKXffZnrYbhrrvu+smf+j9/8id/8u677yY5hCTIBXgdV6jJzzXbeWsl - JlnKw1tx4OF+6sFjG8fFsj/g21JiRNTs/b7rEeFeCCTAFZ/zmZ/5T7/lWz76o547jkMGYEYPuK+H - 0cyqTLpIi53l1dsLPOTcgZuAKdF9sfixH/sxARFBM8Wx/6aatDbPrmJr/Gond5/xohef2dlVhKUU - xYHjwmSNxs2JJHevrU3OuRoxh2Houu5Gr1qjccOomtjNr6B5u08v7k6yHsrDw8Pd3d0bvUaNRuPD - wswA1G5JSml7emxEkNwoveuE2Wvn8m/dBDw0+/uHOdsb3vCGpz3tqS9+8acfHe6T7NI1twY6tig1 - Go2bgAiKVeANpMnhOqt8EU6LNA1BjAlOdFELQUyV2W/sowHmABAkgBzHiW5EaC5fnqNuwomTugkY - bbIJopbbD3SOLkCEiNEARJr9kVC4hagbvuev12NAOSZHZiR1AopJREQxIStySX0BEbLRzXHj1/n6 - PdZzI8FqbcMPPoJaq9cqcmBzlQ9TfS4gZNUdMBVMBOCMgebMJiQF6yNgCkJJodnFuFmrFOkx+rE0 - Hgt8bnywKRwdYahlMwFM+ocAZBa0KQtVAIOoJ0+A9cxRzTcOqycGAMudCQiY4AxFVAdnSKrGzWS2 - NCNTrWl1OLosjCRppEwMgXAfzCxb7qqpY1oJT3tdQC6JqgWyQLBKPau5uW6FEAwR65QBs2ASUpgF - SBMRBtVaeowkJYUFAC+WTs6FI1h9HZP7pFjUAn/VgWqCyaL+PIUcMKEkPwkt1fVqDwHQhN4Rc3vY - uwXAKuJ11DMzLIpVw/fU5J2E9X8k7T/MZDkigMjVSTxdDmKOqVXdSxUzpzgpJ/P1YVa5zyqa+Z65 - dqgoUOa1g0GC1XNvC58ul5hrIlOkLBMQqy79cVD3MBhuEmE4DjKGiYGEAOA2NePbnzoJZ/4jezRE - F+hkYJiq9CjmhqKW3jcISQHDONXEu7l+L48pYoxJQFDamBOccGiWdgeAmnRR70KnGUzTEQoDgh6E - YGL9VdYjJLfpK64uc9doNBqNRqPRaDQajcbjDQM2N7/BsBrKASBTUEwhhCHnTLNYu47siXsf8UUv - +vs/dP8P/PmFuy5fuG/v1j3Ky+jHVsJJxjyRtrzdMaWe2HEpeRmItBlLYQRijiVM40sP5sFGMQMC - tM33ilvftfnQPLqb5nCThKkkBEFM0zh8smzXEJVDoKoubfqI5sQZzkU1bPZ5JzCIIfQQ4m4i0VSN - 29MsEU3f7l6H5EmmaV0AoBwV1KgGmABSZCLlKNXmIdWYBdIk8t62XBsACk4UCzHmUMBmYPMDx/50 - VfUPCnE8pLl9OOoykawb4REwM6KjHFFSYJedDron5I98xed+7Z27z+OV3TOLnRgDRFAGA50CWAiI - NRJh0HR4bHbANxobTrUDpKm7G43Go4WlemmWbU/lDAAQVRIc6zXGEaP/zvd9/xPK8MT7L50PpeUS - 61XJkSlg5LCCOly6HHffffm9f3n4/vu6sWC1etLubjlc1zZrExLD/DjZm2BSNcYyaiaFgiQtATCG - JAIRSID51N+qvQjCLePyf7iLf373eGa585Qn7Tz1TjwZKffQCLdbMkdfLY8Gi6E/Orzve9/07o6f - +OqvRzlEnxddD/ngY5d3qombhAGEEbFRWVex9eaqYZgzFWsn0oIwm+Xc1Ud+3O8QIKQ5nSWAxLkD - tMmAYcbCgPVzX/21f/bGNz5xTDZ0Ibe9vduf+5z3/97vnYV6IALGVFdHGzX4vFoOmETSmASfLnIh - W63uves/PvGjn7O47XZcGnYW/VO7/G+/842f/E2vSzkHzOY+6LUzPRuNxuMDM4PEkEIEE8iUe2pc - HRqUDAZm0WoiV8goE6DYOPNIkgq4TDC6KHqITgtKljwguSsEBhQEmR52RYGHtVGabvOTUNOPnBDg - Bg/vU+7DdXhwa9/j4CiXoc/dUAYK2ROZxuTnzp69Z7XPZXbJFLXVp2ZDYWsQG43GycPMCMAjQggB - yGZmsvVRIpIhBZJggURlUF6AmsNch+4IIBhz8hPCUqFGQaBSdloAIQTldJIw0pq3u3FMEnR5P0M7 - qcuiDleZlnMeFMVsXXwonnLXL/tx9PW4zjm34dhHFxFVlQw4pc6QLRlR1kOKksCutgtRJGQyFQDJ - CQQCLKEh3BFMGTWUwOMU5PigX924makDIsHpiZnVPNgayUo0A5dEWa2BYA1C1ZuI+sGYw4RbOM37 - pFnUXWt+baajX9fNuzmZZuU9DMZxXCwW1ajt7pcuXXrhC1/4pje96ZWv/IrDw6PFcufg4GiqI/BI - 64J1XTeOY865lJJzrmdCNXbXN0RESglzRYNH9i2NRqPRaDQajUaj0Wg0Go1Go9FoNBqnlBqL6bru - 8vrof/qf35L6XIZSSuEci5vt3fPEs+tCYJIT5b4rwwjg4pXLP/IjP/KVX/bl68MDSaWU3CWSLSWv - UgOj9XlKqZSSUvrzu9557733TVPvhIjYHE2mFO4QQvjEj33B3/07X2gCwVDNHhBOoblHhENd15Wj - tSLO3Hr7/n33LXeWz33OR336p37az/7SL7hCdS6ipg3Uozf9iwSEixcv3nruLEhjizw2Go1Go9Fo - NBqNRqPxOIUEoNmglmlQfO5LPwehvTM7Ufxo/2DRdxl2dHC4XC4BXr50adkvdpbL1WoFcnexWI1D - FSh+zPOe9/EveMHrXvvf/Mk73/mzP/MzP/1zP/uH7/gPqPWhBAfC/XQNgG3y9gn4ONZSYb2l//Sv - f8rrX/e6T/qkT4riUcbdfnFwcLC3s3t0dLRcLq2zgAAMwxARXdddq+6+SfFIKR0eHv7CL/1ilQpo - a8885PjdVNjh6v8byvjlX/qKYRgWfS+vEzTwKA6ONRqnkTpTqc4i2cw3aZbixk1OSmm1Wi2XS0kR - UX8R20Goxqmgan3r83Eca7fz4OBgb2/vhq5Xo9H40EREKaXv+/V6bWZd122mSGOrQXZ3kiklLw/v - vinnvpTiLhW9+tVf/8M//NbnPPtZEQVqlu5G46YmaM4QIJgJgVow/SoV5lSekvBqf6x10bfKft7A - x5itqpvC7gbYJCCHNkU/AZz4epjiVLI1CXXnOydNdYqp/qh44/f5dX7copbymw64Qai1SgQChijm - YBHyDV/n67p/FLymdv81u+74dcqMYPVzYi6KWJ/reFzRASCctQRMASwQCYj592UiaNXWIM5Dlk2a - eOrgcTtfDy5Ybexz01rPK0PQVJupuVKxCdUhAVRzRfhWU5wECKECWT07RSBNFs6+6+AhL/U1CHIV - qkupcC4WRBqIZKwliEIuD49NhSIzuEcgXIiq+JypWoBty4gBDnMSMBKSzb8gA2AKh3HeG/X9Onkp - oBSMMbtN68GZj8hs4wiaKUxGRf3Nn4SW6vo8bm5p5kNvPlteTEiCMCdRMyDVglcnYc0f2SMVVFA4 - du1wqgC/6RptfpIf0O/yeIUx/bwVkB13C1WrbUZtxGp2fQqzY39wiHCDCQxQJpqmt05mdDCCYbOc - 5jQimHMyI6e6Z7ZruDGCiNp4y3Q8MnyK25MUqJoimztOBjiRAsHak0QOUNWw9Ah2auMDEpjuyHKQ - Qgpz1p0cQW2sYPVOs94NBay+Ye6eTsyt3+QSC3I6e1sXtNFoNBqNRqPRaDQaNwFUAuAMMUIALUU2 - wIVk5okeUcIJpGQdujyeWx0O/+AL/+H/8lP/+o/v/6NLl+7DDrsFJ+Pg5jZ8M6ofFlt5I8ImgmAA - wJgjdJNUURa41jvBzYc3YyzzqBq2PN9bbHmsEVsjumVdtxqqsdHqbuTx4utXSPBqV6xjOzyOFNQE - m3AHqrYSCoXgUAjWpeOV3HospVatB0nUOAVrSMJY9ZcKSRGToKfruuOdtpF1U6waTYGs1nBM9o/J - xXDNaAYDjM1A7oO93dPI5BwBx9X/i6kGTMy75XivcjrUzjEAGZGyIC8yZ+ddHvduz0/74pe96jm3 - /jVeWqaxX+zuHKyvIM/xIQQgTMOJBiSr0jmC1VEnimghwkbltE9OaeruRqPx6ECgpuBMzH0jAwhh - cPiI0e/+wf99+LN3P79wZz3kdBY+rh84Wux2ORwmPPAADg8O/+jfl8uXY/+KlXKb2XKxXKEMh5cX - uWftjmiKppAiGKFJ4gSfoqVTpt/UTbMtt5PmlzXHAw2kJZAo2IG66PbvOzh84K6jP33v8vbzy9tv - t+c+B/2A3HU5dUrDwXh+0R89cPEZefneb/32+y1e8OpX4yyxQJ/7oayRFz73tWzyggMCZCRAJKAG - XWu6A3JMnQ8Aioyc5kBmfTWAhKjqbhBM0w4WQTMAXn3bwLAeF10HZuyme3vfWY87RlOGAk//iMWf - vtPvv4+EfFoDzT1jm7tZIiQ6NPUMBQh1czhGOtof/uSd/V/bRSGyL47Gs6lHjMQCk0284Fhc3rpK - jcZp5QN1byPC6qzUZKaaca1FjE8qI3xA9b9GwAFoujsMwQs8oKn8IyzBEowApzvabEgJuRvoY+KY - ujFbIT1lN+gx7nATsCnb2pxwWljNskJOhmG1O5Yn2nK46z09O6wGrId+bxcRUEayPsphvvDUZz71 - vqN1zklTAw+TTW04w073/UKj0XgcUofzGDIwpZRIhLr1+klyDit4IDTl1kXAhfA6vwN16g05/ckd - QKQEK4BgQN/5ojt0H7scOa+JESi120sSrUlsTCyKns7duHipMyD3OAioYMlgHGg8e8vevnx/dUTs - ZECIzlJT8D6KBFHjIgYmKBszwPWIYXjCzhJjseL9OiigjBBghpSLyYmCWJM09F2Xu36tqK2FeFUn - MrUfe2OLOa4zBd5M05iJl5LARNo0UUdyp5cnhpKP84SeuoiaRTtPA9umy+8eDrC323Wdu1cTM8nW - aFxfYnN8gYcKnun4f3NiGdc7OzsHBwdnzpyLiMOjoxe+8IVvectbXvnKVx4cHAFwdzOLR9SSbHu7 - AWyeAJA0DEPOeVNWptV3azQajUaj0Wg0Go1Go9FoNBqNRqNxE1KjaalbvO1tb/vzd7/bgZ2dnaOj - oxu3PgCwSRKUZGYI9an//u///i/+or9rUo34mFkpZUqkvukhOY5jnUm4ybH8zd/8zTpBkKCkUM1b - lyR5gOyyxejf9PrXWYKRwzikZOJxrbb61ymaJUWz1XqdjX3u15cu9X3vHpJe8YpX/Ppv/eaFo8Ot - 99a8/r9yKJmb/ClCunDhwjOf/hFmltNxaLLRaDQajUaj0Wg0Go3G4woJZnUAi6YIdWZf8AVfsLu7 - u16vWSKnRGGRuz7lcRzd/ezeXgKHccxmMIaXRZcP968wJQLjep1T+oSPe8EnfOzHvvobvuGe99/7 - tl/8hR//yZ94+9vffvngEFeXsZo0DNur83BX/xFuNTbfu50dflX6NQGg7/phGAgs+sU4rAl83ue8 - 7Gu/5qs/+RM/KZHZTElRXB6LPhtip18IGIbB3VOXF4tFQEMZtxWhN51RYIs64vdrv/Zr995/4fjF - nDU+vNT3veXOi170opySgaGou1faqrffaNx8uLuklBLJnDOAiNjIbhuNm5NSynK5HMex67qUUp1p - ZdaKBZ0yUkr1INbH+mLzdjcapwKSfd+XUhaLBQB337TG9dagTppeLBallFLKXNJtq1zytZWRp0UD - QA1hS+r7fP/9l171qlf92L/50dtuu1XFjycCt3K6jcbNh4B1yoLVepxJBoXgRCQnAE9mQg4TLBtK - ilpM7oRgiGLhxJis+gUNGG0Sz4rySRobKQyzi/HkQKALBAGacypy7wwRTgwJ6wwAvSMpMCnJb6KS - 0SmQwmSxNgZQ/aQWMAQVAEoCNGlKnRFW9+bNs4ui1ky85tWJBzm8KUsqdXeFNm+w2B703TIkUlho - djPXJU9WgOxbS7bJuVi7JSeneWh8aJJKVbFWX2YAVG0nOcu5J0xwi6AByEISshtgookYCVWTNMMC - OVQrTQkMTvoKGet3CTgaV5IMIGkgyVqXORlMiIiA6rWGJIySDEw5GZKkiPAoKOqZEs1m665DCilk - lqDpdng6fWt5PABVX3Hs4Yh5Vxz3hJ3pBHaKTcexkqoWZi1rWu3jBGBiBGC1eDUtrm0dHr+I4QwJ - KQzAaHCzFNXsEiZ0HtU6n0NTqW2kOHmC9g+bqf0nICoMboAjYRKomCwxaltdS4yfpO7P9SAYhsie - odqtsoDBQvUnU10+RArkmOxBbjGmcFMwOseOm4nrZCUFoNmSHo841nqicGaTZYcIB4xhUbXW06kS - MAMB8y07/CnGAIQhol6OpuJoFCYJtAV6hxtW+qtPLWhchVhV8Skrm8AwWtVNRapDXsHaIwXmR1kV - egUiiZydFkEzIYUlgTJYjGwlvhuNRqPRaDQajUajcVNAmUUGw83FADJlFgtTGAIGJ0QUJxDKnsVy - iR/xxI+8Z//df/9vfflbf/EH/ugvfqdkrMoV2hQ8CsPsC4NoJWEr/6R+a/1r8klPwi5Nd+4+he2u - HoTWbGOsn8AUuo36tquHmdI1ozBzVQsDsEwpIMoCTiFU49Ox/dbjkXyCXZqs2pWYapXUzMe61dNg - upBIHydF9/G6CwD6PmG29kjHherH+j0EbaopUTVtq2Gou2XblgAANmXqk5MCvTq9eVXi/uzaFtLx - jjweJNnsoclfjpg1IjAhRd3TU5hPW8PdjgDjqt0laAqdl4ggbGk7Z3jbk/pnvPwlr3zm2Y/mxX43 - 7fTLxb0P3H/u1rOlFBAhA7JxBIMKMCM6qjMhrI62hclCJt484YjGQ3OKytF8EG6eJINGo/EImR3c - AOK4J8Lpv2LL+jz9a+sDAOiBMmAcsV7/uzd+++3r4fbBl4MwFFhgGBZndrE6wjjg3ve99w//qNs/ - XA7jwkv2SAk++jAeLHv4iLGMQjYgISVuOi+RmKTqiYUQ5OSdNmJSfW9N0TTWbA6DUUCCSfJQwA3s - 0mIcDs6gO0McHuyX1dHF979v9R//5MnPeZY9/RnY3cPeXk9iODpj3c5QQmkJv/s7vvPd66NP/eZv - QTf2u3tCDLBZtA3IjufIymBb/UMBgHN6ZwKnCbSBxAcnP232qm2SmYA0v6fWElssOgwAMhaLT/nG - 177rn/7L1f7hgpbNcGZv72lP3b94H4CUkubkmGsvZZbTGM4IIzMpyQxm1a9kh3e/p/+o5yOfgfvu - Mp0JxzCgGy0vxllJXlOqHg/R1kbjpDLn/US9yd20N3MrbZxjzZrTxa4JLdvchAc1/cKx1eaniCQk - qfPIii4ih1IpVtuqMmL/8OjCA5ceuHB45crRvX+J/Sv7V67sX7q8urw/Hh1qPZq7rwd4oYeGURJr - zhyTI1nu07LPu8tubzefOdOdPaOd5Zk77ujOnj3zxNtvueOJvPU8dhdICWbBNBqKWTEbEopZId3g - V+c/bbaRgAnBDzPPJabsGcJpG/ckEQiZYpkNly796De+/rYLV8460ji6e+4WpcQRGLec+8uz/Ze9 - 6Tt2bjvnYU4LgrQ5demRBPWDmI8s5nQWA2JzKINTSnHSJsPVtj9e32PC1h3yNbev9bjf8McPb4eY - EFvXla203Wsfr3q/CVMe8PzxeUfYQx2aq5ZzVT0LTsMzhus+74gRD17b7QlUFoTpeGLVNIbyQU+8 - B+/Mk3Am/P/svXu8JddV3/lba++qOo97+/ZtdavVUutlybaMX7JlhDFgjEWwwThDMgOEOGBsIPlk - AgOZYYg/kxDmEyYkHsPHMwmfD0/HgfljgBnGxjHD8PITsMG2LMuSsGSpLUtqtdTv+ziPqr3XWvPH - rjrn3O7bsh6t7tvS/n76U33uOXXq7KratR9rr7V+XX2YlY23uc6dRWnh9G12NUhhrJ0jTqr/lO5d - +353vjuG9LAbgQxs87HTzG2dbZvauIidfTeBWYPQPQXqDGxainnVUtWbVEokAlWYoQm2tnHixIn6 - 1IlHjjyC9bXN9Y3p5iiOx3E8bTbHOpnEuiE1mJAamaWe1QTuAAAgAElEQVQwPzBzb4iy7A361fKS - Xxr4leVy90qxvLLn4MH+7hV/2V7sWkJZGBCIIlPkIrbtOcW2GW9LS9Z2W8DWJst43q9hi60wPdet - J7ElV0gFJasuL15MdF3D4mXX7atZpmXhaUo27kUrNQOty/LCYEPZGNT6dqPrnrSbORLUmVSi/aj9 - oFUTcM99j37+jvWTp/plBVEQo3InwnS6e+klb3h9/4U3TIjJjIlTWOmFPP2dQBrvsSl1d6G7mOds - 07q7prNPZw2mEZI3sFcUCm+xklCYeVWnQFQ0AesjrK2fPna02dyUzYk2QeomNo1TmGfrV1RVviy5 - qophf2l1ZbB3L3bvgmM4Vubac8MuPdoNc3BOU5BeetoWWuNU7FRVjM7qsrtz6Z7x9kTmNS2dXn5+ - nzTb9RRbPuWFZrPbectwIlUkW+iAZ0OphREW48yOqe2RyVCqegWZFiZeY6FWaOS0omWGqKgb2xht - rq9vrm/Wo9FjJ45xE6QJIYQYo2q79tVVHgA8G92d6BX73/KdevCAW9nVQATGjskgMTrnzj0hypxf - 2unLk9nVzJKKQK/Xm07HAPuCVfVVr7r5fe9734/8yI+MJw0Mqgo8nSd9lmcEQFVV0+kUC3reSdNd - RHq9XtM0ZVk+jZ/IZDKZTCaTyWQymUwmk8lkMplMJpO5dDGCgoRpWk/f/1u/XRXFOITJZOKcEzHa - No3iglLQM5EIeqJSGUDwRRFjlBgLX0QNU2m+/MD9t3/+81//mlczgIgY4/NZWiZ5Gc1Ii25pwSvG - qDAY3X7HHQBgloLvNAX6mTFDFUwUg3zzN3zDbbfdJnUznU77VS9IWDwmLrVAqRhCr9fT0Iq7Ayi8 - Dypvfct3/k979qwfHgvwJJcynxJmEDMHbGxsqBozoUukft5/K5PJZDKZTCaTyWQymcyFwQhIYhVn - W0eSQzsxkRnMgW79+q+PTVM4b0BRFGQ2nU6TdaIsy2lde2LyDgCBQNRM67Iqk2psrywBjDY2yazq - 96/Yt/ftb/uH7/iht59eO/mXn/rrD37wg3/xF3/x+LFjXSm2UWF5UuYbOm8mvLMdu9tjpzwJTTMs - ijqEYVW+7e1v/5F3/vALb7gx1HVRFBKiiZChqqp6Mi0r30gsuZyGxjlXVVUTQ9M07B0zX1pWqWcP - dRxF/t//748AECEFVFmMIF4U0dkG626MgYFv/dZvXVoeaB3EtCrL6XRqqt77fJkzz2eS/TYlqUkR - i0VRpNcXu2iZzEUjydh775M0rKqm8Ku83nFpYWZJsTttzaxpmiQDnMlkdjhENAt0reu6qqoUIZs+ - TR+lJrooihQe+5TUMYkojXaaJhLhq189/N/+0x//zff9+rDX3+ZQTz6v7mzPHO+fyVyaKLESK4gN - szQgZK3uJ9ocEW1OJzI4ZdpJ+ana1CukSmBAuiQr1qq4gRZTbJDuwORCZGCAqLW5GUEZZojMyprc - 4yxZKbe46+2I638B8o8tpPhHuss8UyFNaU+SXGubXKzLXnXRS36Btm1+uTOYJWA5K3utmjGRdsbD - 7nFYeC6MMK9qZs5S8i41QpJLVJrla2mbha4mU3dTnndZoS5RUmOj7eskogmjlMHZGBCiuZMqKRmY - ZmmsOKUXSyk6YV3any4NdfoiOTZYckIlk/RlhTEROWaQmYmZmTLAIIoKwBExsxEcIGaW1FUJMUYj - QI2I2DlfsNUKEKmBycBpDQYuSdPPVatnZXMAoAoG6RkJu1ot5y6R6TPJGvosQfOlGdW272g7iHRS - BO2khVlZ5w/ixW+pLlB/gSQhn5b2qMtg2d7KpEkPtllWLGrz1l70kj/d9r9rmdt/beWY53Cc1QCe - ZavbMdX5QtENJCw949yOFroWDDCeieK0Y4mUEJ5YmI1UwUpQMKAu5XQl1WfBC/2Cw+nipHEmpYa2 - JekItFODJAM0003eATX/6W67NtG2Lv3TQheQnpFUJeh597Q827RtstN2ID+vdTYbr84yBrNu8WxI - LTdSksM2qelsa0/FfpXJZDKZTCaTyWQymcyly3xGHAk6U4ZMioshRnLsnZdk6yA1tUFvOD41GfRX - dILv/bZ/tPTpwcfu/JNqz3Jjo+jVOlVEJNlpU6O5+vKCQkSnLGJYXC/o7K7brbouKOPM15NsJiOB - xfexVa57YbGKY0yFaVcqCJYWoZKOGFRBIGkPIYCptJk3GASQo7QnGbRV5YYClq4RbLYyO0umQQQz - xLhFUTypdIPJTJNnsRlMYWRkZgTnMHPFn7kem8HUDEQg7dQ0mJiYtsRHbDU00jwugLEgsWQzYazZ - sriBMVvQ6QwsC4t93SKggmI6AnG6ZiBRF7iM1S63d5+7+oe+65/tsSv7cZWd0zpMbLS8shRCMF60 - GS7WwNmbc+sNMpnnClm6O5PJnBtrxxwEOChMoakvbxeqFYiAAzwUCmiAd2AvAAKIwQBCjcnk7l/8 - xdWNjRthvokeHJvG9yqM1tErsX4SDz548tCh5vTpnmqplvTDAKiACUwwATHUTE0NRAam5LtjBhNT - Rw5EqiIwZjImU/VqyTeEiUCspgYjMwKUNTKM4EWIwMYORDCT2oMMEaI9gphoY4Oop+64G/cd2nPN - 1fSC67F3DwoHFZRFDJM9nvds1pczn/iFf3/ZP//nICbqwzMAUXgApuLYyDzRXO18jjIgYAMEcNQt - UtrcqQ4AwLM/aK6QPfsMgKpFVWIuADIeUulPuWJ3b+CmNSvxcOivv6548H7dGItK5QtAcDbGqtbd - PEtSuzBocsaIjR07jgcfwg0vhfexrksu7vzF977iZ/4FDSvjQowZBuJo8PRcWGG+iKSLz8xElONg - M4soscIpGUMJpogppyLAMar3ZYGCRM0MDtGZkjBcCNGTZ5CIuMI7V4RQA+TZO2ONwczIsTIZxBsV - UftBlkUpKjZHeOQRHD4cDx+eHj++dvjR9ceONOtrFAKxFUYumjOtgApKBoIlMeAktYi2xwDQzq8M - NJNjTCrURhDiAATvN4xq0+Cpt2f18oMHhweu6F/3wuq666prr8FSDw7jqhj1ipqLCI0gNhA5Bokp - AG9UAmQWzSIZiIyJ1MzMu3Z2agRVDSLM3CsLC40Sam8wFNEVBiMhqEn0nicyWbbRC04evfbYaaeo - nFdVgYG8Gtl4NBz3eP0kVpcYpcEbNLaTfXWmgMGeQmiiUpoMmyKyMRsU3pIiuFMRUSPmIhKTwYsV - jhu1BRVzsi75giJZOJLEu6UbsSCkqu2+F3XbKZRvcfltPTtpfr+MCJS8MdmUQJTcyLrXRMwENzNR - GLWx02pSIpqJEYMIzqdoajNh5yDJmNFpgBIRsYJBcIrWh5hUCUKm8268ddqbiao++fv7lCFVMoOm - MYWzCEDSaygRNUJgIjZSI3JBhNxcADuVrXsAeS6G2roRggxKcSfUhK7mJt8tJkuOa8DWcRO1SqLt - 3TAgqPNVGevNouCgEVw1Ad57hhCUTRUm3SkDUNpBrrFGKmxGcAqolgKytj0UTvWNuQu34DQ85jTu - S4+GwjE5A5NGMTOGc+QBFjUlJucCQmHs6nowDaumCBEnTuHxx/DAITtxfP3hw6ceeXh0/IRMpyxW - EJwGp9oHUqRdso22rXqnfj1X0QYJnxICJUHg5IAImjIfBjdE4gu/a3npyiv3XX9d//pry/0H8KKX - oFdav9ys/GbhlUmSeVRTSAlJOy7WaFFEnKvM4M0xuHWnVlGoeRNWIwWYFM6YNDn6R5i5ZPbtOpek - SsuGdopBqmyhfaJ3YoDNDsHAqXPxUGdqMCXVdD0VZNzKpTOU1EiNTEJwhJ5zDKpDBDl4LxKFSKH9 - nium0+XNjSUhfPH+Ix/80LFPfWo5hAEiADKf1J195deHg72vfvUoRBoOoggzOfJ4ng2IlXjWcLFF - rwZSNSizkCY31y7yDUKKFPxiIOfgnKpaFK9aMpkqoNEpVErmXeyHdeTNdRx9FI8elkMPPnb/V9cf - Ojw5doImk1JapXBuF0iYUrJpQnCsBKdgqBJOEilxZF49eCWt7h5ed3D3TS/u33g99u9DWUy8Ozpc - ilVVBVhUg6HgSBZNGA5ELMRg51xjEkW99+h+VNH6xEdmAF5SSdqaBhhMyVot8Itydy4JOmV07caW - LVtHLWklhjsPV8iCXz5Z22kS1IFAELOYRkRMniDBWIVBLi0GkYEpkoFYCGZkaagErgz9Rvox9EwR - a4w3ceQRPHgIRw6ffujh5uTJjWPHJmsbWoszl7z/C8isu/E2P6OFcQFbO3LGdGmA619wxbUH18JE - nUPpGtNSuXSlmUh3BTR54gI4rxICmc5bvXu9hbPGybPQFwCkasourQdqinR4zatf9Ru/9qs/+o// - ST0JCjBBTQ0AE8zYOSipqnOuSzGw3a8ASbcbQF3Xi+8459J0wDm3qNudEsqkjAY56VImk8lkMplM - JpPJZDKZTCaTyWQymUuaRS+stAKCTosiLYLEIOLIyH3p3i9/8lN/ldZaiqJIe26/lEYOAMyqooxh - CsA7jqJGsC4kjFzy00kLRk/Nnyp5tsEQm3aVJxVGgBr2G7/1/ltuvaWJsfRO6lCWZdSz80s+l5md - bFpBwyz3pVrhvImydwoDM5fFnffcBWDBI04FCuqyLZo64L//yZ+SEEVkabg0GY+dm0fNnUseacde - cDKUrtSgZKyzEEeJYMSm+Qff973vee97DVAzM8P5SkLXelIRwTzxqVOniqIwUTPLOhaZTCaTyWQy - mUwmk8lcIijmPupAl6a8KMrNybgoe961iadKXzQxJGdmAMywaAR80+teS6aeS1JjJlUBQN4l3/Vo - 6goPawM8DQY1772JMghEGgVAVRRkMJEec2iCL3nv0q43v+EN3/PmN69vbt53330f+tCHPv7JT3zh - rrsBeILYPPOWAtxmTgAzt5bANp9WlyYKnT4BMwDTM6127JzOvLKTMIbZfDcGbB7Nk4yBRPDdsdM3 - C4CB277xdW9729te//rX79mzJ8ag02nBjBgdtbasGKMrvChALqiwdwY0MQBg5hQrt1NNUBcUAwW1 - aRP+5CMfBUAGzy62OcTOkgaw2ab9M1VVBhzw9//+98QYy6qMTW1UGKEsihACu+JCnlEmswOZxYww - 8+KfmczzGSJKz0JS8s7rHZccZzRlRJR1uzOZS4hZoGt6cme63WY2ez1z/zCo9z7GyMyq6fU5PDTS - pNDDRInYzIqibJrm9tu/8D//3M+/+93vdo4ZOh6P+/2qrutBvz+dTl3hgTOChRf8QOYR67M8xDne - P5O5JEkJqTqVR5kl+mCDzFR9SaMDZjkpgNb9aMdsFVy22UjUqJN3M2aotzanubQZPXeWtFsqGLZm - LdMu5T0rV22GjcUs9rN8Dhf/yj/bWyNEBkhZmReukgFClK4bA5yS15kDHKy7RDug/Bdoe1ZO/Fll - 2lZ40mYCrjMZ5u2+m95XArp8hulgbHPN48Xvdl6FO+sRyzwBBlaodQoculBhulvOWwzOaG8vG5Sg - bl4Z5v0CwAYDx3QIWxBINe76GrBBFbDkeNkliux+2ACztsKlFDYzuWXt/GahEDVy3XfNtuRS3s7C - N9O9XiztvHSLtdrmwiQ7B0u9Q/eabYs0tUHZ4HWWSRLq2jeBi91GXaCRgHpFSqlqgFc12tIGRk45 - CVtdE1An3nyxS/4M2/90Rl7aW8+zJGPzU+8EXfB8omtwpOvF0uOQ8k8uoEZIo9x0lbyyMzVyZBBW - GNjaqgXAOKB7+i7xyW+b8nfWhisAaCd7r2zc9efdyeNi1/bz8Lwg9SrzBr+rJ2myYwQjsLHXndX+ - X+qQwbfjB03dltG81tk8Nfk8J/Ds065HZpt3ymo0n6hymzz2Qp1M5lIgJQy07gVwzqioTCaTyWQy - mUzmvJB8Ds2Mu4EoM+dBaOa8M7P4cavXo2kFRwmtWjVgGjkt7BiMEDFyJVkoB+Gyoa2+9ZU/uLva - +8e3f4CWLdJmLBAVZOh5dpFgQlC1dv2otawaQOyUqTXfK6DG7boAYRtL+wIKYGE5SRcNlO3XFu3w - pFi08i9Y7LvkJemA7YnzloOD2twkSPIZZDC17m/Q1j3PeLE4bdzWfdjMIEaELqHEwm9hqzv/zHcG - rVLPTD7cKOlpbl2+WLgmQrPPlExB3Fk8WLsFPWIFYMYgbZeYLUktaHcJ4ZSJCApVJTL2rKa1IHo4 - Bx9RBLeky27Uf/lVr/m7b3jbHrqqiEsWHBTOOZCK1sQpsqazrREBzuBapTNSNSSrjoGVNLd5z1ta - O0zX9zFz0zRP71BJYCKlOTIz772qMl9oO3yW7s5kMl8DShKVCZ47yxrOWovlwmKMHjHGvvMIiskG - VO5+97svb+p9RWknjhIxhkPvgOkIUNzzxWNffqA5uTYA7XGOQWTqqBUps9T7UregRUwKVjVD1Eht - 0itiX0AlqKRUbGZGimhQAyEpchsgBjgwOaca5lnMCM5mJ6TAFi8KnwZjzhewejRZe+BQPHp0cMXe - wXXX4KqrXaz7XGA6RYjlcLm3tnb0l3/5ztHat//7f1sxo+jBlYgKz2rwszEXtxpF7WpRF6cqrYMi - ZiOwLUO6rSO2M8Zv6U9HDDYENALuw3N180//zAM///NX9/tSN9w0WFkeHjiwsfnAUr/XTKYFFtSV - FkhvpXGPWxjKEYEVA1M8/CCuuxHkfVUMlPfWivU1DAZAoWBHiFELf0mvK2cyOx5qFbAZcMZKYLAS - F4VncxBVVYKpqnBqSlE6X7gyBc6bUTCtJfaL0kLDqruYK1WqA0dxAExx9Pj6oUMP3PvlE/feWz98 - uFhb79fTVaIqNGUIV8ZQGsqkjWwgtMKoZ7Yqi/NSayfeRkBbZl38dAGOsIYR1k/LQw+dLKu1orfu - izDs96+5at9LX3z5y1+279prsbpiZdn4InBZk9aE4Fg8G3HTRErzRqK0hiowg5gAABExsXelY1PV - ZtpUxGCFUVJAhyGtzitYxZQKrG+ujsf7J4E9NARu54yNGHlMTxeK8QQqDAgTiJUUBk7+vQCghqfQ - KpKxUSqrptwFCoIxhaZUKX2VTAAMlDG4CMecnBMAbPmhbuYMWPdaz3a6vehQ5+w+o3X5MiWirl9O - PpUEs2gGJH1qwJkagwCQapQk2A0wMxGRY5gRCmbv4aJKE6OoMbNnF+vonXNcEJEZiSkBxjBTSmYP - m5dHQa1hKJkquk9Sd3lhvDdmFhOA2FBGYRjBE5hUSNURlTARLJiPbPZgkgm6GqKpgiF5pti244GL - g7GwwJQwd2+aG2vaaC4DlKGAKlCgsmnombioNbkgRuTJFZpaMzLuTG9neFXuBMgYSSH+XE8mqXZO - QmlYqKQw9t6lULpGmiiWnItL772YTkel0VJRcNRwcmNXWVFd49Sp9QcO3X/XPafvvTceOVKtb/bq - 6bCpqxBXolwu6s0Y7ch5xrwaLTTn3EV3AN1AvZP0RmsZBAxMLKAINKdOjx9+9OjnPt94t1mVa0vL - 7uqDe2960f6Xv+TADTdi717z1YTYXDElnUIiGRXMZVGCxZEKeXYMBzERUSixuYIirLMXg2edCC04 - /HU9Tivznp5fajsjfb45lT4tUvMGUtZknSeGSz7cZOzmbYuC2iu6tDwcrW+M47RX9MAeZqxWGC07 - V4j446d211M88MB9/9cHjn/809cb37C50ZeQfinJBhuwq+ciE5rGmQqh869ngHZQY3VB6FotXWy7 - FJB2MYNdF2eVOqPScYyqKiLaSFzuDQbMYW19SNRTqaAUa5xaW3/gK3fdfufa394th768PB0NAobR - 9gStRHuKgmBy5rw4jdyS52IhmPk9ElFknp48Ne1Vx2///JfwB5u9qn/wqqu/7uuGL7rh6q+/Je5e - LXuDaLxuOqmd9Iui8Kooi5KD1ZOpKLui8p5SbqfOHq9CIAOZGqUgDibofCSJtDyQsz88BWwhe/tC - drEtC07o1txSsrDUtjvyJhJVlYw9OceAmKmBjRTkwCBzqtFUTcxMPKhPqMzKIJVYqYQgeOjhzYce - uu9Lf3vsy/eFxx7tbW7sauplDb06lFH2BxTWZuwCyMyMtpRtNtbq1u1S8Vt7kbDurut+tM1SQSTs - qBsyzLqwM4YBlDuC88szDC1IN8yYiMzirbfe+u5/9wv/4mfeZUZNiEjrKCrErCJpNxFJyQWe6k+p - alVVaRxVlu2MNuWqQ5ejhIhGo9FwOHxGJ5XJZDKZTCaTyWQymUwmk8lkMplMJrMDEBFmTosjKXGz - c86YzKzoD37l139DgbKsJk2dUjxv8U4+Y0XNrCzLpmlKcmr67W+87U/+9E/NWrecFGADgJjPVgB6 - 2hDDDB/6oz/82cf/5dX7r9CoRVHEGMHPxxCYmfvU4uJcK8ceIxFx4U9vrH/loa+e6wiO4YlfdP0N - r7nllsK5yvm1tbXBYGAq5/rKJcHZLmHdErm95Tvf/L++9728oGh1Pn8XBkBMp9NGVU3ViGbpfjKZ - TCaTyWQymUwmk8lcitR1XVWVMm9ubvSKchwaiaEVTga8Y4nqgJ7zl+/dt7qyMh1PFr8+D8SgrX+e - A+qitDyxGrnk26xKInuWll58ww0//3M/FyQePnz4zz/20Q984AOf/exnp0FoZuUwLZ03ohBDVHXE - 0uYin4t2twG2sr1dJCmId4rd1gqQzxNTYfanGczg0ovu85XB4PWvf/2bbnvj933P36ucL4qCiKSu - YcZE3Oab2oaveWWe5/T6/Y/9xV8eOfo4gNIVjZxlvMU8F5gBRFQURQhNEpxPut3DqvyWb/rmqqpG - GxuFc6pKRCnq9kKfTyaTyWQymUwmk3laqKpzLvkDTKfT1dXVzc3NXq83nU5TutJZ0lJmPle8raqC - yDmnqk3TOOdilA996MM33njjO97xjsLx0tJSCPVwOBxtrg+HwyaGC3yamUzmYsFn5VVvs5csvCMz - id8zBaR3whZ8VhbUToxzns55x+aUmBVsUZe6e+cJyr0TrvyF2M50hbeeeJs5jVuh7jZJBXDGbs+H - 7TZ8zQx4Z30+P9QZ3932SDPpxK/xM5kdj4Fn9/jJZIqZVY/0vyyobjyZmrN4kG1r6RPUt22/9YQ1 - /Qnq59euujtTBPTsQp3xzqzX2Pr+TmipnvXtGZXz7A7UZjXW+LlyfbrzTaOdcz8dO7I6P/uclc8W - 53i05Yy0Zgs59ts8lmcNQi5x3e7EfJSFMwf/syHWfM+try/Fbcc57p0BcaYG/Tx9Zp4tFhtkW0gc - eka7vYCe61N+wuNkMplMJpPJZDKZTCbz3MbOXKvVMzzwuyUzJCURdQYjTx5KHHhfddVrb3jjZXv2 - /d7Hf4v75Yat9ZacmdXjQEzQJJzYSmLzTJTQNMmgsUGJk4K4EewpTMm/hkFet/dk3/ZbOtt/22/N - YjG23T5DzjjIkznyky+JLQSbzOTMZp/O7FmtRPaChOWWOkARYCrIYoSZ8wAg0cihX7mpmE7Va7nC - u3Ga3/DKN3/HrX9vKHv9dMDquNN+2n4B8awV2LbAwHZfyWSeMkSkqgCSwxsRpWxIF74kz8e8RZlM - 5ilgSZ8tLSKCwF0YHwRQwCe9LAKYwyQW/VIh/UIwHWE0ve+X/uOu0fRqZ5hMJ3XT7w0QG4wnaBoc - PXHyrz/t63qo2FP0WSWEqcJKhyBgwDGIYSiicUMFTH0ce9oyICMYOZ7G2gAGvPcODFUVdWxSQAke - DmIsRICaBQnEAOA6ncq50GArZtl2/J2AOKRpAJTkEExOjTbHTVwbFY8c7b/yZQCjtwtFbxLVDZZ6 - YfLyQe/+f/e/3PjjP4HBAEVl5qkYFNaJRjJqgrTXjV0a1kQAcB6ycGLz7ZOFYaYGK+EAp0ATUBaj - laXNE5t7fAENKCpcd+3k4YcHRjQLyDzH4egsmVkzFIwY9eTRR/esP459B6DoBYtxcu+v/NqL//W7 - GsiAlkzhmZFUJHP8ZibzrKAEdYpK4NQBhRA3DiA4ZtMIM3ZmBGNlJiYuxEhoMt40Qm9pOcIabcph - JbGpXOiHyfKowbjB48dx513H7rr7yB13lOMpxeBVrwiRJfRB/cLX46kDmFAQHJyC1EyhcDDaoivZ - Ce5ueUcpyQG2zhm04L1hXQA/AIJ6JvLMZI3EchQO9Oo9orLp7MTjzZ1fePB3/x8sLdPK7qtf+arh - zTdXL3vF0u6lSHKi70e+nBD5XikhFsRV6TXEZjqiwi/tWhpNJ2yAQKIxmSeGOhMuAWFUQGRWYnUg - MMEznIp68vXJxqRnmKjzjTZlK3BLs6lh2FjnuTz3M/Iu7VzAHZK+oHkjUmJGWFKiyXRYmBlqMDOX - MZAqJSXjdhKbFC4JC7NuJRi15hOGOE1Wjx2B0ZbrtTjhFzNKuSHMFJaSWirT1JkQLHbS8eyYGcSF - cwIWVSWAWvcpNRorIRgRHBWV63HBUFPVssd1CHVdg8l7T96ZmUoEa/L3MCKAhXwkCLEBBGXAaRIT - nQUYPJsX09pHh43JwPAKGLEz2QXjpg4cSdk0sqqnQORiK3ydypYeqtYfhbp6Qkn93VgJ1lrGdgRG - EW35MRuk8Fy6u63MChglE6EVqNHUPYrCOoIPvaVIKhp8d8R0JCOcoci+E2DjUlJJlaw9qXT7nM0V - SRsGQ9unmDnEYFEdeU9MKPrE3vk6Bi/qpe7bdEUFa2M8chiHHmo+c9ep+w89/tDDNp7s8u4qAodA - IThTT1wQFcyePRmgBlPTeUje3DZHZ3kwL/repfaEWhObAiAEVQYKsGfugUQQooR6PF0/Racfj1/6 - 4uO/p4ecX7rmuqu/4dbhzS/Hi24cLg9labju3ChKPQ7whXMFGlMiIWFnzsPBADMzB4I5pzwTEReK - AhCgIAaMGNQqHAu3nVRkUOqb2nqxY6r+joSh3ho2eGUyz+aM2CHdayNEQC3FAJE6Awwbp0+V/YEo - TYRKX7IohbhKNlzfKKYTfO72Bz78R6M77ty9MR0Yo0YAACAASURBVHqxKE8nFWXp5SdAqf0HIwic - kQuMyCwEBnoBrqv/ABgooCbBGZVFWbCjICphOdKuKDhxGnfeffRjHz/2xTv95sYu1t3SOLFCXU+o - BJwJWSQVhbW/yPMOOrUATolti2VeYQT1huE0VoK97GPg5kuPh3uPnuSPHum9f/dNLzz4za/zr7l1 - z8FrpFceD9iIqp5HcVyK9vqlK/y0qS2oK4toCkMZQaYEMKFrCdlII4HAhQLQ4Ni2m7FmFrGtUvez - pRreqn6dbm7qbsjUWfRm6bsKBpw06rjHBYNUIZDGG4Gslqbq9QGeTBpA+9WAARuP9lS9QV0XoxHW - 1nD4MO655/HbP3/y/kNYH5Wqpeq1CidWRKMgbFrBO/YOxGSsZpAkt03m2rIBoJTa30DKAKXgQ0Kq - JwCchbRPN8IB7NzrWAvdVuYis92UxHtP5N761rd6V/z4T/wUAOcoiqRsAmkHADFGkaesH+C9F5G6 - rtElmEvTDVU1MxEpyxKAqg6Hw5Sw4BmeYiaTyWQymUwmk8lkMplMJpPJZDKZzIVncaVMTGdaLAxy - xI7YoBOVo4cPf/jDHwYQY2TitKeelZKVOqc+ONfKewPXX33tf3jv//aq19wymk7b3YjsrO8+c1Th - HY0n0w984AM//o//iUgk9mXRa2T7lNPPbbZduzIzI5BjApj5xIkTp05vbLcfAKgiQn/kR9+xb99l - cVqrWlmWO8yd6nxiZjfffPM1V135lcOP9go/CZHceZWW756PyWRiHefr4JlMJpPJZDKZTCaTyWQu - GLQQmuGcE9XppF5d2T0NjWeOqqYCoKqKpg4OKJxrJL7uda8bj8d8nqLoxRRMZuacK9SLCDPv2rVL - VVV13759//D7/8E73/7Do+nkb/7mbz7+iU/+6Z995NChQ+N6ahIVSKWK0uaZVwIR2qhMNcB4oZiL - BgzvOf0E5krfIDozQHbmug/DrqXB9ddf/y3f8i3f8e1/56Uvfeny8rIj5hhTwCYze++JSETSWZyX - 6/N8Y7S5+V/+yx8AYCBKIKDnXR0FC6a8dEPS9VWz0DSzN9P2TW960xVXXBHq2jlXVZVESW7zzDmZ - eSaTyWQymUwmcwkw0+2eBdWePn26KIrpdOqcS4Gx2i1/6xOsg5ulHdIkkZlNJUZ973vfe/Dgwb9z - 2xvNpCz95ubmcGk4mU6dc0AXhX12FLBxDtDOZDKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaT2Tko - WEKhZqVrwBaigXB579rlqy9bfcu+3/3j91F9v6E+1TR+AC6oqeHFMxEQZ34grWgLxaTJhFbiqhVS - pKeqoJh5EmynoTAXLF/E6UyJTAFNtwbQcaNlCWIEgQN8AQuu3pCl3tBzRROP6a63veUdr3zBa+Q0 - q4IgsxtpYIBhrAR+ZgprmecJKXPRIk8vv0r6VlEUMcakEOGcCyEURXEeSvlUyNLdmUzmCWkFRFlJ - DXBo1bsFSNp4Dq3+sxFc32tjFSumG4j1Pe95z7UjGUwbIfVlaeJQ9REFxx4f3Xff8fu/vK8ouQkp - CRbDlc4LyVjV9bkhimJRIEZa9DDYVRRUuoYQAKhqCCGEICFC1JcFzJxC1Sg2qZyeuYmqBFUhQ0ns - 2bEZOsknZyCb6TmeedIpzDQJeCfBMDOtyDlwPW3ikRObJ04ee+zha159C64cIsSy1zNHmydPLA+r - Fe+O/dJ7fuujH/3pP/8I9Zeg0BpcAdzKBy7+o4VfZUqiqt2bTyCsvT0OhAZagBEVpYMWr/jJn/rq - z/6bPcM+AjDexL791ZUH1u5/aA/7RWnGOZTkDhfDcDs/aoXzHKBOm9NffWD33n0QdsYD0+W6Qd0s - LxUAQtDCMUzBWYovk3lWYIMmFWxjgDVJaIIBVY2AgM0cG0EMZgRQ09Rk6PdL773UY0ymy4YlpqKZ - uslm/ZWv3P+Zz5z4/Bdw+LGl9cnSaPQix1w3pCgc4BmqGhDqOOzDFCJQhZowmJkZHL/mVMpYAQNJ - 0tgztCqTBmWdnRdzOz8UNW2EGD1Hw8LHUegBDuKKRgUThRw/if6Jta888tAf/tF49+rwphsPfvM3 - 7r/lFdi3OiFaZ0avJ6rjtXUqyuGupWh24tTpqqrMOKluq0ChjtgVXqIaQKZkMEQlOFMDlB1HOOGw - NmUhglNVIsC2RLaTYbS+4UzPlUjgaStLGpESCMYmSzHwVw+NvnwfR1DUQEzOAQoTT0qAYB73YsTt - ZW+nzXMxbzWkknKr5H3xt50KKAOqNP9EFa5g50pfsOOCPLmiQkEY9lAW6PdQ9OAICqhAFSA4b84r - u0imBiME5por9Z5AIhpCHWFERI7r2shTf1CZWRSRJpqZEgoiwMhIiZOOshADcAY2FArWtrRphPDs - 5y5lNjhLhhIVYkCHoZl+4fP++HE1MLNIZDKYI6KIJFO9ME9NApyWWg+wgYzJ2lqhxBe9Dsy3pAyZ - 24MsSdHPaaXokxgzAJDBO4kTnY4Zp3qDq7/hdWGwPDIzMrO5aYkMzpigOyq1RJIXJSMjTjVNSQFl - KFkrMd09wpBkjDD1BRM5GLOQEyubWI0ny02zRIo4xeGHjn720w9/5q8nX/nKyvrm/vU4bHATUJYO - ARbEAC4YzKoaI9SkRrKNJavoXCh9lnrmiRJyzMLtjFN7bgAIVeGhqqoWFQgEVHA96B5vcnpiNjEC - inJ035cfeeDLR34P8cD+PS996Q3f+LrVr3vp6mX7UBYjjVPShkvxbAyxGCR0zxs58mxgZbZkutVO - d5ax8FAqzcVZjSAEMjCBjMi2lj+zHYUZAKM0U2EYMwBTYzVSkKVJIoA0L6yqfppsOdNiWq8YluoG - a6fknrtu/4MPhi/edWAarjq1sQL1vUF6Ii3b2s9JEidOU1aWtktiA2adAmaNoTEB48m0X/UAxNFo - l8husN8c4/jpQ3/4R2t33In7Dl3RxBercD0SROd8IDYlUnVQgjKImMDOYlRqO+iZ4b5tr5BSdnMr - 7UyAWcGsKm4aAWbENJcUCK3ZeP32L37hiydWfmf3K2958W237b/55v2rSxsmTelqpiBNrY0aMYGR - fpOF1M1+EdD2EV58VBmmIHB+fp+QxcWV2X3k7lZu2c1mXbACBkv3AiAYiKtSADWTqKbiQUxMRM5R - aOoe/F5fcB3p+KmBSMWEBx/f+Nu/ve+vP3X6nrurY0f3TOvdUV4gQp3YPAwEIiqIPNhBrY36thgh - gDKImU21rW2ApmpO3TmddWq0IDTPBt1Ot/uMd3bUeCAzI4TQ6/XqOnjvb7vttl/6xXe/613vqqMN - +tV4MmXmoijqOpy9QvMkiTECcM612eWIVFVEUuYC51xd11VVncczymQymUwmk8lkMplMJpPJZDKZ - TCaTubg450QEQApWSYmYRaTX7/+fv/vrp0frAAVtd1DVczktE5FFAVAwseIHf/AH9+/f/0Nv+0e/ - +r7fdICC2jTQTOdRFxmdm59n+vVf+80fe/s7BmXJ4ElTs39++SfP3N3PgJljjGDyzkuMk6b+6kMP - nfMgAAP79+79vv/meyejsQdpiMtLS5PJhPm56b1BRCGEN73pTb/6n96fquj5rZ/o1LuTDJUZUmDY - +f2JTCaTyWQymUwmk8lkMhcSU3XMVVnW4wkBqprirArPTR1SDIOIEHDzK14R6qZfnh/fYzNLBjoi - 8t7HGKfTKTMHkaqqBr1+E0M9mZbef8vrvunWW17zs//yX62tbdx9992f+9zn7rrn7nvuueeBBx5Q - SOsnn/IXwBzAODP+cabuzMwxKgEeYKJUDLU2xMAziCiIOcL111/3jd/w2pe97GW3vOrVN9544759 - +6bTaWwan2I2m8BMM5XuEAIAZnbOPb1EPM93yMzkz/74T6jLM2FAjFKgTXzW7gWgi8osiaJZwRxV - B0UZQlDYd3/XW6bjsYkO+z0iihryHclkMplMJpPJZC4h0iTLe5/iXr33IqKq/X6/ruvkCpJ2SPLe - KX72bIjZVEWkKIqUr887cs41Tfzpn/7pD/z+/33TTTdNJnVVVabzmd0T0ap30/zPTCaTyWQymUwm - k8lkMplMJpPJZDKZTCaTyWQymcxFg31RiERz0bGZiCnx1Be09MLll/+z/+p/+MDH33/HQ5/edxmP - ZTKFGrNYKyiDLuKgUxBrpQ2MWtHGlPN/q15Q5hmRkodsp9t9zv0XXjDAztRIhVFVCApTOAcRNGOU - bCuDlXCqKbW4YuXG7/+v33lw1wtt3RdCPTeAJLF2TvoTgNe5qlW+xZmnQIqBenrfTa5uMcZZeEuM - 8cLrdiNLd2cyma8BzbcETmJ4Qm1030xN0QgCBbFnw0aNSfjSL/zc1QUPJQLBu0rWT7myh9OnJp/6 - bPPooxzGq2RKQpVzERzVIMEkMI+rYux8uXt1Zd8VK5ddhl270K9QeTAjSCqHMyskIDSYTFA3cvLk - +Pjx8bETvgn9qqyISCJE+8wwNjI1VdOocCCfhL5mCk9bw03b4cBM6DRp+3kigRmpKqGuwBV4IGjG - 0wf/7COXX/3w4BU3O08YN3t3LQOKad2b2j99/bd96d/+wk0/8z9iYNxbGneXsQRm4ZFzrVJ0QrAE - BVySpqKn7JocDUwAIjwjCLxHWWH37qOHH9lbEatgZXnlppc99uCRIOLA1AnN0uL5AwTjBb3GJNvG - DDMioGKcfvghXP9C7L0SjgtgMJnc/p5ffPW73gW4ot+HYIcpY2YyzzWcegC1b1WvjQwIBFUo2IzI - YKJQdQryxMVw0NRjLoXrabmxubfs4/gG/uozD330E/XhR+pjR0tpDmgoQuipDb3TpmaGAbXARB3A - BCbUExhABCJ4pIbLzKwAKfFWbdf5KFnBAIxYrVWMTp2HQZOetwIME4JTkANATtXMoIAaovbKHqSJ - ohbgCEMHI2jY7Ol0MNVmvN4cf/TBT3wsDnsHX/zivbe8sv/Gb8Nlu7A02Cz4lIuTMDKUZTngQKRI - +QvEWdRQIzpH5oigZEoAiB3UwQAyLhhWRpmeWnNkjkxCrLpGnAwMAuAZ9em1FaUx4ynMcc+NkoLM - SMmYKTpTr3JZvfHghz989E//fNepzV4I4FJMiQVQjQJKs4pW0nCmz0jWCluSMS/Md5P24Sx0/yJu - gZl+5BYRZyUlOGIjOIWImJkADMcA1MyI4V3R7/dWd/dXVvxwWK4s+6WlwZ5Vt3vV7dmN3atY2YWV - VSCCfXQWSm4q13hqYI0FVSVxJjAzAlfeG5MZcZtGA5E1OggrmTrTXkShbZWOzAB7YSMIP7t9HrcD - ExFC7QGIl7in2fzrX/nV4b33UwieuDHxTCTREcE4PY9nCGBz9wIgMjZqY6KUxChe9JqwUB+22GVm - Z7Eg0Tpr+liBJoayVzRhPFoaHr3i8hddeaB+wQvqoi9ABLymA7MzFAI2jk5l5+RHpdTypDE21cxK - xGBDJBJAlRXG3hjmlBhAocFDp6AQake8m4vdcVqubeDYyfCxjx362Mfjo48OIQcQJU6LILuZCmeq - kKaNvjMgBk32UAaY4UDUDvfJBEmDeV7GedaW+VB54WMAs+zAXTNjkNCF9jGIiJmUjIinQTzBEcwg - oenF5qqKD6Dc/OojcuTEsT//9CPLy3tufsWBN37T8KUvGu5eHg+Ha8Z1o0JMRVEUlRmFEMygpGBV - QAlCrby08Nza6Loxvzfdmr+Y0w5PJEmeAciYVI04MCuBTJ2qMwNFYekElcHGChfJAygJ082N3rC3 - UnB/Y2OwOcLnvnj/Bz84+dLdKxtruyyuFL6oNNSYTseO4M6V8ztzFkYQYgBe27otjEia3iwFBvaD - /lQix8nlpRuMatxx59EP/uHDf/lXK9Lsj6HfSBkVKaGSUZToqQSMiWApL5OqAqozUycZXJJ5btWR - 582BUrsFEKI6gmcwKWQKwMF5RwCayejqWB5kv/axj9/7iU/u/bqXXflNr12+7Zuxb/noUrVJ5rhg - 9hCVEEpygEaHCLjU4XYTc2dtw5PO13VFyg/xuVCCdQ9p2+ItiHYvDFfbEGqFMlTZkiGCzAEmhMjW - YARQoa5i13Nlyb4Rmuqk8EAz7m2uXxZATcThx+TTf/Pw7bc/fvddSzEume61WEYpYvRiBHgHM5jB - FGwWrSFr0lyAQARiAmDEUFOFMoO6tbqUJX7W+1g6hYXbbygUPOuM0knx/NPMDmTR3jUf+zlH6V/T - TAeDpe9+61vqZvqv/vXPTSZ1UbgQpGlqAGYzkYmnE9KftLoXZbzTMk+MsaoqEXHOhRAuylJNJpPJ - ZDKZTCaTyWQymUwmk8lkMpnM+cWz0ygm6rwXUxEhIjgeTyf/+f/4bQDMrKoGM7P5Gn67RjzHLJLj - YdWfjEf9sv9jP/rO8Wj0Az/wA+//7d+ahgBKkXlI6ZnZOZXzE5dFREwkKg8/duTjn/zkm277dvZO - mprx/JLuxjnUu4mImRWmqiIi0Hvvvbf7sLsFC3eTgL/7lu/evWsl1LVzrmA3Ho/5ueu8wczTpv72 - N972m//p/UG0KFyIQsx2nurnDO99ku7O0keZTCaTyWQymUwmk8lcKhiliMv5O23+IyIzYyYw+c7C - wkCMOiiKEEK/rOqmroj277t8UPXMzrbZPB0P56SOFmNskh42kXPOOVeWZYwxNg0RFc6pwTEP+wMC - 9Qv/2tfc8rpbvz5I7PV6IYTDhw//7b33nj59+siRI4888siRI0eOHTt2au30dDo9cepkjDHKFuNF - Eib3jKqqiqLo9Xqrq6tXXnnlvsv2vvCGGy/bu+eaa6659tprD1y+fzAYmFmM0RE756abmyGEXlkW - 3ptZdG2gFhGlkpuZiCT1uKdxNTKPPfbYza96xTf2+2LGzGKqquS2VK1ZlgwjmJGalWVZ17VKMNHl - 5eXvevObC+cBFREJ0REXvgghED2HLYKZTCaTyWQymcxzipRnp6qq9Gdajz59+nSv10s63FVV1XUN - 4Fy63QBMNEXUhhDSOyoQiQBC0B9+5zt///d//8orLnfOjUajwWAgGs46xlkr7K16dyaTyWQymUwm - k8lkMplMJpPJZDKZTCaTyWQymUzmIsMGJlYiVTUDwN6VbN41juG9HXjbt/3YwS9e+/EvfaSh41zW - btkFmmiS6zawLCTzpwXpbksiLxftvJ7DbKNpdrb6T/eXEZIoDKuSeTYPqEKdRTCcIQhUUflBf8Cx - mY7XRvvpwGtvev2bXv99ujngtaXSeqVzDqIWQaqEJAGexRsyz4SnnVwluboxs0irn0VETdOUZXne - CvfkyPFOmUzmCUmKXEnnaZsPk9aiEtTDsLkJ5f/9Td/x/a+99UW9JZpsIE7hHMabbmkXHn3ssdvv - GBxbW5E4DU2v59ZCrIEGoArCzg37u/ZfNbzyIPZdjqIEe4AAASlYwUA1bIVJmeAAU6hAgtt/xbLq - clPj5CkcfnT9scfDeOQMLmpB6LF3hVeVKBEAg9tAxCRQ2Dbjs/hZAGBrxaoTMRoDBHPsHRHETGOo - 1de2v1fEww+fXj+9+yVfhyuvQLFqow0Coaro+PEr9+594Fd+7Yaf/CnUrj/oTwAGfJKYmo1ACJbE - Em2hBE8LSzqIQJTgnUdJgEeBa/+7n9j85f+ItaOQGqMJVleXD1wRHn8cIXg98whK6WoktVcQ2mul - QMmFhFAwQoAPm/bVr9DqPhQOZmXUyzbHGE2xsisEK5LGbyaTeXYgY6dsRIFMWQEFKc9GpUQBUDU2 - 9uy8caFSbY4qCYOxusmk+dL9937kE6c/ffvg6Kn9osub6w5xwIXziCGYwTVRAPLsC0IUNXBqBAVu - ru8KUsBULTVpzHbOeG2GJvVuhrZ60UDb8KZgDLI0MxdSExDARD79mBlUp820BLxzUJE0gU8mgBgL - gDChUF/OCNOxfOrTh7/whQd+53f6L7vpxte+dvVVr1w6cFWsetPSaVWp92ORRkQkkmPHTAgz4UkP - YwNMACUozJuqJ+019dFHHvJqBEm/aynXKBGsbTAnaxt7zZzpYopMxTNIEWoEcLsFQMFLuNqAYydW - T412G5ipUQNBDGU3otfZV+e1JSk1EivPrr3yzoqBSUaZswlm3ErFk8BS7gZm1hCJoEQGDnS6OfJo - JB8cb8LU+1j4hqghIu9dVcbB0spLXoI9l61cefnq1Vf1r7wcu5bR65kjccX/z969R1l23fWB//5+ - v73POffWo6vfar2623rZehnhFzasxSuYEAZCBgfMkGDjmBViJjOeYdaaGQgZe5IVJw5OBhIeCydM - MAkesDGOHbCM39iyLNlGBstIsmS9H61udVd3Vd3HOXvv32/+2Ofequ6WbKlpSS1rf5ZWqbrq1r3n - nnse++yz9+8bnUWWqWpnGs0ikyZuqAZYWRNxYlVSMUj+fIFEHEkjA2CfzkpW+zdiOQ45h5RS34CJ - 8VKiwcZG3UVhtGbOMXfKyA/cujty/sPZ9zxP8Oxjjak9x6ZF2eMGKtPm++J5RVklJLaK0mjcHklx - zEbOLREdTxEiABQ0a1wxm9Lps8KebUYKKOCUZun1NsuwJ5AxGXwiAK0wmTrVKoRFpQUSnyIeuu+h - m7949PNfOPHFW84btXunYTEqaRegKlRVPk4DEYQJamYgzuG6lpLmrFQ2AKYwaH88f7xW/0nYTjqM - zD6ueVLqZrQ3Uc4DtpRMgUjwLKaIqgwTwAH1VK2dLlc82mgFoGk49PFPfupj1w8vP3jeK1960atf - Pdi1HYsrI/FrMUzbpK5mFrIkBkBzS9WIYQyCgXMIMUFnefA629xx2jIX34CRy+HoSsqAcj5jp0RI - DDYmA0wYLCAxa2K3xzcL04Qjjxy7+Qu3f/ij6ctf2TsZ7RmPlyuxFpM2OqCqKSVSLZ/E18O2uZvp - 7DItZ2k7hZEmRqL8SABwqvVk4sajHd7htr/64u/+5+5Lt7ygjZeuH19kaAcyOIESumBMXPkmdlMG - 57Ydz5oJqn1gdr9Lbz1HE53SDcoGJQwbrzFYAtSEAELSmBI8sEhkKaTVY7XpCmT6hZtuv+0vccPH - Dvx337/ne75jZce21RA6R8xOjaGWGJGBWRcEjGH9USm/zcAA4JUB6Ll18joXGX293O5TK4QZMzSv - eaP8QANUGCLsolqMqZ1E9TXcUgz18ZFnxUOHD3/2xgc//dlwz33b1teW2vZFQG0qptBZ/w0LmGPq - QDADEYTBLKSWYEJeLZ+iNj9Q1Vn+9tbltNO+zntQzClRIsot81kuwKket4FRnFOapllfX3euGg6H - 0+mUmV/zmtdsbGz8y7f/6xgTgLxVbb2n8lRVVdV1Xb43k6sSEJGqAsiF4UQEgPc+53mfpXdWFEVR - FEVRFEVRFEVRFEVRFEXx7Mh3VXLwT77h65yDc//1Ax+494H7CBQ09fcVmfAEE2MIGAyG4/F4Mh4J - +Kf+/k/WdV05f/VVV73ipS/7sxs/CwMBLDK/83K2qCqYDWhE/v2v/9r3f9/3hRSrqnq+BSQ/0X3e - EEJVVV0MMUYiGg6Gd91116l/O/uGAQb+h5/4idC2ZBAQETSmejCI+oRVvJ/rqqq6+uqr+43SjEB2 - 9jZRmw3UGwwGeZtMKfH8bndRFEVRFEVRFEVRFM81ZABTDCFPv7r5hs8ZAOEuhmYwGI1GnEcXx7Aw - GF5w3j5VPVujjWOMVVV572OMqkpExEREbduamXOOiZRIAKgmVU22NFyAWYixZhfajtQO7j9w4OL9 - KSVVNTNmJmEiUsDMQgh5HHWMcd5tuLi46L3PHW75pUXEORe7VoiZWVVTSt20rZxrnO+6DkQVi6/Y - zKbjiZlVVcXSPzJ3kuTc8fx2ztIaeh4hw4X7zv/P7/pdIkqmMcaqafLHuvVhPHuwEcTXXde1bbu8 - vCxkKaXR+oYX54iVoTECcM7lPPWmaaKe4Wj8oiiKoiiKoiieMWaWp7sCCCEws3MuJ3nnXzFz27b5 - wo1OKwLwuJxzRKSxv3Yzw5Ejx1//+td/4P3vZ26Gw8UQWn6SZZJKendRFEVRFEVRFEVRFEVRFEVR - FEVRFEVRFMU5QVM7AYyE1ZKZMVSgzoiDW6n3cOe/+5of2b7roo9+6UMPjO8br6/LgBIbEgAoMRty - Mo7Owhxzbvc8omIe6V088+YJmwAkac52Y6ga2hbs0Hho8jo2U1l0O1cG23/0la+54rxvkfWFnc2+ - do3FPCwGC+IYBhBvDX5gKM69CKfim5hzLk9r8t6HEIioruv5SLlndEme+ZcsiuK5Igc2p3lut2Ie - 6LeJFJSQFF2L6eThd/6Hv/uqV2wDuA3jjelwxyLGGwDjps+v3XN/fWKtIaQUHRC7NPSyntJ4UDUX - 7Fu+6GLZtRtVAxOIQx7GSwRyQEJyAMN5M6GkFhMFAACTkdDiECmgqbGygoMHl0djHHp0dOjQ6KGH - utEkxG6YWJgdGFBmqEGpzznzinnMKplSrvGGPks7J105RxZNAWhkgEhgxrCh5+k0eATrJse/uNE8 - cmFz7bW0fQVC8G7od9s0dYdW//L//ufX/tP/g2IaSg0SKAAWg1FuhYKBhD7AW+ajoPmpRdnZrCEj - YBGnGpj9+vpoqR6gqu5pJ/tDWq4HgEBtYf/BRw8d3kbk5gXdZvnc1ic4Kttm+HafBWtmADNYMVCM - 7n9g8QWXw+1Ilpqm2qf81X/1jsv/6Vv8cAEguGfhlFYUzxMMiBEMSgqLoMCmYoBxR6LMkUjVGuYh - wXXtwom1hTbgyJHRxz5128c/VT12dDGEC6bjlcrpZGNQs4fTrksdHBOxA6g2bxEppr4kp5rBDOqY - TPsJGP3CMIiQj5GnxAHOEcDUz+UWZVB/Wd2nUm/J/GaBJahBzTilPrkbaBxg0JQUAIOYk2pQ1GCu - BElDTAAWKiRDOL5xRcv6+S8f+9ytD7l6x+UvOv87v2vxpddh9461RvzSolWu1YhgtbCHRI2dUwMk - R5AC6C/+lSxUMUrXrt55127rzEzo1INzzfHgyAAAIABJREFUDogNJ9YkWi5+ACgbFGdegVHUAWyU - r4OrRDGxGjsmQkqVgySAIAw4gkEjyCjn4AK2tdtinmeoljAL1OVzbGa9bVmpW9euFwaQYGpmBrN8 - ItLcRjAzILGiZtTUKWSZWLuYQoqKYGpEIqLu+MZ9d/HArXP1aNSWuN65e8/ll287cLB6wSXuovNx - 4fmLS4sbTGsgE5G6Dp0pITISQ3m2oQJBEIDAiAQjJdtMEn06McAwkKoQhMT6VeZUNYUQDDCkBCI1 - 7fPuT16R+fPOW3eaRRhvbhps51BXyLyFxpvB8/2vcr9cfhdKsxRzsxQRRhNvGJLWKSGlFGJkMDkj - sDEAZRg0ipKdW/16Rppo1ogzZjCrsRGIFVXOGheFGBLllmqUFHcqZPUEbr1j7c8+/eiff7F75NBi - 1+4jWVC4ZKRK4IYlmcVJqNGYwZLmhi0pm1pEV7E3U7OUA66NwQwm5Oq0T5RJT7O+uT6ge95sBjb7 - 1IzZwMzoO2dhCs4B24BFMrADMymQMLvc6KY69CCjdrS6nf3SoJne9dCxrz340Ps/dP4rXnrxd33v - 4tVXLe7a+Ri5DQ1RqYKIAWRm0FmuJikT5Y0D6Nu0s+MejAyi/TWNMhKxEs6p7f9cY+BErACZCiLB - GABpIiQSgw/EAgjgVBvVQUxL3VQ2xt1H/+yrf3y9f+i+fdORG68vMBqHOElCGHqkgNAaOyGyU8+p - xUlYlAFKnAAFIpGJgo3EkMAARLlSJUNiHaawczzBw0fWfv/9d17/0d0pbkPsThx3HuMpFmowYdIh - Aa4RJFrvJpXk4uxqqkh9o85ks5m39VBggLICMDMCWPvziJDGaRBAcuS2AQZxEAYCkpkCJFY7VEgD - G9cb4/aWE3ff/pXhxz/xgh/7O3tf9fJHk21Mu8G2bRMJygpjJSiDDQwWU8nHyNkJAkCiWZO0eHK2 - 5nYb9Z+dbm3WGhMEZh6qpEYJgDN1CehUhCJMKNXeVlLrxyMcH+GPP3z4ps8/ePvtTer2sZPpqIrd - cuW1a00tGByByQGkakm7rS1jBSwlBogAdLnBb/3VAQk5x6SqBsPp87YNdHIz2wADKzifaPLbnL+1 - U877dHpmefHsyx+oAphOpyLivayvnxgMFqbT6Y4dO173utcls7e97Zfrir33o1FbeZm2mkO4n+qL - 5T+ZVyVYXFzc2Nhg5ul0Wtd1LkKXb9KU3O6iKIqiKIqiKIqiKIqiKIqiKJ5T8o01xsm3ejUlJmKQ - JSUi55wZRhsbv/3bv015DJamHD/svQ+Pd/OFAQXG4zH1w5j09T/1OscS2gk797qf+ns3f/5zk6hE - SCmJCKB/zdu5s6l8ANDXmAYU9tmbbr7tjjuuuORSNgg/T2/lzEe8Z/n2FgBmJiIiuuOOO3jLkHJs - jhIDAdde+aJrrrrSe99OpsoSQxgOh/Mn+abEzLt37z7/vD2HDj/WRmWRp+P9DodDVWWQmT3JkuhF - URRFURRFURRFUZx7DAAZee8BsMj+Cy8gJ6oKpi7G4YGLp+NJU1VQs5hSSk5ET4tAntUreMovn7vX - cr6yqiJZUhvUfWazxqSqzjkRiRZ9xaON9cp5X1cxxsqJEE+mExFhIuck91EksxRjDv82JqkrHjTI - E0P63kJT1di1ROSYwaKqcTr1ImYGVcdcOWdmsQvTtnPOQS1HdDvnal+ZGQzT6dQ5570norzAp0dN - F0+eEx4MmqOPPdYMh45Zuw5mVeVzv1PeurZOq5hOxs67atAghvXxeDAY7Nqxc7SxEVLLzEKcs9VD - CJI/2aIoiqIoiqIoznn5si5PdCUiEQkhONfXC1VVZs7f1HXdtu0TPQ8zxxjz9/NvRMQ0EUEVDz74 - 4M++6U2/9uv/bnl5GcJPoRSvnXlVpaIoiqIoiqIoiqIoiqIoiqIoiqIoiqIoiuKsIKhjgxHEmzRt - bCMiKJDT1IHTYMC7LAxfedme8/dc9uGbP3Dbo3++Fh9p3dhAqlDSxEaUk8kAgBRsbjZqXYHTZk0U - fz0nRVxtqR+yNU9hXndFCYkBoDKAlNCP/zHAV9KlxEaVsE61CcOXXvqd33Xdqy9auKAKg5qXNo5s - bF/YDaW2m3pXqQVAAIZxDhUBRaCMAirO0JlNTokx5tlMeThcjPHYsWMlursoinOIzaK6Mcux7pOU - ADII5ceoIZFGdAmT9ku/9ItX7ljZmK47rhB1OFzB2ghtGn3hS5P77m3abolJgRYYDKqNrmsNOy+7 - jC69BNu3oW7gK8DlOnFQiqaJcipsBceJeMpkzJUKmydTMhAZC7rYiq+crywGlxIvLuKSxYWLLlp4 - 0eW462tH77m/G08amGfixDCFcY5/MkLgzWxIbAmd5flaAGK0PorMcqBsYibHYjFVABEaRpiM1752 - 5/r6+u5rrsLFF6U2ih9Q1O1OPOSvfuXfXvmz/xCD3ZAaHhAFmDZf8zS05etT+tQMpmAR4qQalhYX - 0BkGjV14Pt2fcOIEvCB0uPCC4b174yOHqj6w+3Fe/HGePCVPoil5BgHra6s4cgTNQBYH067z4KFX - aIQpiHMSeVEUTwcFlAyAkYKSmIpBFApmJoZQUhfijthtiwlHV/Ho4Qd+/z1HvnhLfWztEj+oRhtV - it7TdH3dOViXkiVhMItqShoAyel+AhKqgaQWCPDiLaWciEezc8LXawnT431/cvpe/rFYfzDSCCI4 - 7l/ADERwhBRBABGEkQxJAUhFomaxiwTz7GCp64yAnRWs026yvgugamntz//ytlu+3F60r7r8kste - /b3Llx7ABecl5g2NVNeJOMapY6eUc12ZTI1ZCQYW00GKmHbj+x9gNQVEkCJ4dohTQGGkhkmHLkqV - V47a/DRCoDOMB1Sy+bmIFTCQJgPgHCGZJlNAlExNrF9n+dS9mUS75ZX7og/U//gMqj88Q04qLaoA - lECEXFzUzABTyz8BAFEkBRuUEltKAIGJCCSAaRcNYaeYtYmsBSGxdKOHxw88eo+7ca1uxtsWcd6e - lcsvO/+6a85/4RXYsc3Yt+LHjkcVpsTJQEpOQUYKnwix75tQhkbOWZhPb1dCjts0UiOQCcBsCmMz - S0DNEAEnOGOQEs+iugFsCaecHTQwKyOyuSfyuVQEo9+zCGSg2dfM+sZn/zAjyz14FSMmOIKDE3h0 - MKPKDwLloGHNzTojKKkYTi5M8ezLRxvAiJKosnEip3lNmIiysyimjaZtXVwMgSeT1c989msf+UT6 - qzt2Hzuxq+sWoZ4BjcihuQSYmIHBFVVmpgCDCILZ6qjgc5Vk6w/nMEPK65/y9gacfqCY/bBP7976 - 29O+TxoJlENYQQaCGcygfaCq6WwjzMdN76AKUqsAr0lH0yXiZbaQ0iMf+thnPvW5pWuuPvDqV+96 - 1bft2rHzROyS95E5kSoxW99vaASxHNHdL63RZh48AZJ3KIJZDnSH0slvpDgN5yPGbC0ZMYwYTgEx - dhaHMW1ru2aa0LWrn/6zOz50vXzlaweTDTdWK43OIwUwzy4lIyGftYm72PE5tj+ea9gob6JKCkps - YIDAicgIokxQr6lOcRDTYDJqP3r91/7wg/Tle66SgQtT1WkjUEVVYdqBDOxAjK5LDhhUklJSIsZs - 784tCcUpYbVGgG321xP1N0/6HxhXRGbJdPPsrApLMAMJGIgJFsECb1g2WIjLpg9/9uYv3Hv/zh/8 - mwd/6If3XrD/8HgkA4noY6WNGAZRFsvHIs03BtgUYCU1gpSd9xsh6/s6tuR2A/2pBwB0vgsa9+dH - A5sqCDAGs6pL5LrQqC3CsL6ht99+28c++ehnbjywOt3Zhis0sapY9ASYpclUcquUYIakESAGeXam - cfOcPvvsmKAKRu5iIjNSWLIIA0M224Wztla/eYAVSAybfR17aYQTsfZbELMZzbqwcrsCZ9LFUjwL - mJmZ67oGMBgMTpw44Zx7wz94/erq6jvf+R+7riVC24Yzy+2eP3+MMaXknNvY2ABARE3T5CoGOf4h - 1ywoiqIoiqIoiqIoiqIoiqIoiqJ4rhMRIlLVqElERGRtbf2BQw9/+sYbFTAYExvBVOcFmh/XfFDz - d3/nd73wsstNTYiF+e/87R/5hV/4hXb1OBEFs5RSvttytpZfVb33MYSY1AO/87vv+udveWtV1xrD - uTv+7WnA1t/3PGUoYr6rZWZOXEhpvL5xz913A6DZ3dX5w/M/f+SH/7ZjhtqwblKMQizEk27ivTvD - QY7nMDKoaTKI8y95yUv+6x9/SIRyAtbZTe8moK5rs36gGzN/c6ehF0VRFEVRFEVRFMU3txyPHTWF - rqu8jykhKbOrvdcuWErdtB3WzThM66qKMTKfnV4V730IIfdd5CHNOZ6t6zpVzT17AHJmtpmlEAd1 - Q0SxC8mUzcDmxeW/sqRJ1QhE5EWY2cwUxETEwkAXI6kqEpkRkSdWIM+f9cRwDDUYYJZSVKKcEici - DFJVA/oQ8RjzMldVlevmACCifjFmIXPFU8XMa6vHty0tG6HrOhFh5vFkWnufH0A2m2VpMMKgqqfT - aTMcTiaTYd1Uzp9YXW2aBmAiyrnv+ZOq63o8HosvJYaKoiiKoiiK4lyXL6ny3eec2J0vGLf+No/Q - aNs21zB93Oeh2Y1sAPkCM18gzAtGdZ3deONNb33LP/vlX/5lVTDxKYWhiqIoiqIoiqIoiqIoiqIo - iqIoiqIoiqIoinMZg0IMMKhXM1NLKsk751012pgO6pXGV6uHj1684/Kf/Btv/Nztn/jgzX/g3FqQ - rqNpkjYRcrAPpz59aV7NQ2dpL8Wzha2Pn8qJDEAfuKlA6tLADW2cbCSX77ryu77lB6694NuWsVum - JFpptG3NUmonZuaZQQRzwCx3BCBoHj9kpCW9u3gmEVEe7aaqOR7iWVmMMq+mKIonlBtCPuf2GW/W - 8VIDyCwSG9AhREzSLf/sbRdWwuvHF0RFEyCYJDx8YvKXX9L1o9x2A8cJGgxRsBrTwsX7l659MRaH - qGsQgxxUYhek8q2myEA1GMMwWDwRYnBOHbuKT5xY3b5zebq2sVQ13CUXYmVYrIbd+nrlvUgTpiMe - NkgBlce2JVx3zc4rDqzd9bUT9z5QT6aLLNaZgBxXHGPHGgUgVAmm8wpljJMjLSWvDe3fvhEiGWli - moXLRghhgWm6eviBzx3fsXb1wpXXAAyDkBtO2gu6jcNv/xVe2b/rp38aOz08wwRwDDYDCLPI1c2q - ak+12dnXWSOoAGAYMREM8AT2177hpw+99W1DFVFCVaNLSwcOHD70SOOdU2tTqJlIbNxhsYKGUxcg - f/LiOMTk4E3NNYmDrd166/L5F6FLfqGh1NVsd/2nd136Mz+Dugl5y3mK76I4XZmiXJzOSIOosZkg - hETGHoJE3lcgsk4HXbcvKY4exWdvfvTDH1m/7bbhdLxfO6dw43FlJoBGraiP+CXAFEZJ82ENCbA+ - CRVKNjtApZyLDCGCCKkmVTMIkSPKBTdzmmOOhiWmlPqUPLU+idEAaA7nA9mW5G+b5WEbLM/rBwiU - J3Uw9fGyqlDLR2IBMUAMByCqcn4F0hiMDZ40EYKOKqJ9xN1D93SPPHjvp29IO3du+5Zr9n33d2x7 - 8VXYbscdUcWsEVS14owcwQBNrGJaRx12EbfdwUdWK+cDcRd14BhJcyysAuIIltrja4gmpv0UFJql - nBobbSaePhlKapwIysaiQrnGASeCKZSoX6vMjjRycs4SgylfIfcrL38UllcvAyRCRqoJZsL0dRPX - nwVPVPczH/YEgEFhNltssc2oyT6LF5S3kRwonAtDzJ6FWftSmApIskqDR1qMcXcI7ca6PnY0fvWu - Q+//wAMV77vk4HnXXtN8x7c3F+zbsXvhsYSxNJAhq0sRJo6ExEAxEIzEYmWkJhGiT1dXAkHFIihF - 0URsBjIWAxDNknNwQJqiAlPM6dwAWJH3RxiT5iYMzzZJy3txv/b6LO9zZosgAxt5zvt10Pz5CoFM - DZq7ggAxcN9yE0jlCFObaGwIDVBH9Up1goFsngXOppyDqmdh3ucE40qqaZgSGRMqmLG1CMYVKZtS - TcYp1NZug+GeB3DDTV9533ubE+srk65OukRcO4/YpZiYYIQk+ViQAJZEDCTuQEgADGTzAzGYCH0r - Nyeh9+ndIshHbmZik/mx3UxhRnnH3BKMzYyE2eQ720z7ptmVg+U29CwzlefZ8rOHUt6pE2A8K/RM - DIWhUZVR3O/cvnG3fvOX7v3Crf4FBy75gb+x7fu/FzsWD4G0GgY1UmJHLZkItI21cWXExgproQpN - niMo1yIRBRkSsQFGp8WTP1/Nzxn5m9xLJWwCtRicEZg6UDIi9o5dbMOg8Qmtm472xoDHVvHhz9z7 - Jx8aPfLgebFd7uIgJg9lRkwAoAkEVsvp8KYWEeH6Pbt4QsG0qarYdUwKjxACmYBFxSOhBjnVlCYr - KfK9h+/8tV+ffOmG3ZN2iSGhZWUBWyIlWG56sbECqkMAAOfPxmwzvV4BwM1uhAAwmu2xBEDJNqPu - afNYSmYAmACY5svb/EkrIxHYMA/AzecjcYhT3Vdhx+H1e3/j3fGWOy/72dftedHBR1PdwbNnR1U3 - DV6cVyJD6rcdEDSXI4/MRqC0uTzFKdj6MybPDrdkMGIAEQZmEg4xptSJiBNJqhNTIVcbGq5sMgFp - NRxMR6PadBdXePiwffKGBz7yp5O772zC6IWpG0byamLU90UYABII+t1a+1NGbpaZRsAZiPqzjBCB - 2VJCPjEbiM1g0aAMEZAmJFhuG7ACMO2vHoxccBIdtUQtW2Qca3jguUmBuDJLAopRSUhVTTBrPmxS - KhvPOYgBECmAEAIRmSUzOMeAxqj/5y/87ymld77zP+VHx9AxAcT5nGVm88IBXz8NQlXnv51XIjAz - 7z3NrmpjjFVV5V/lCmUhBO996ZkpiqIoiqIoiqIoiqIoiqIoiuKcN79P2IsxDgaD0WRcVVWMadp2 - vqp+87d+S2djopP1Y9ssPf4dlv4ZGVB4oX/8c28K3VRE2MAgIXrjG/7Bv3rHO4wo32o+e/dTGADY - QgzMbKbR8Hvv/v1f+sV/QkSs6qWvTD2dTquqIqIQAvM5MyjoGeGcy+86hzZNJpNDhw7ne7inbAoM - CPDa1762bdthM0gpmakTSTGIPOdXms3Go87lYUL9PcSUrr7yqg/+8YdCMqazmastLKrJgP3796sq - YE1VPQ+3w6IoiqIoiqIoiqJ4Lnqi+URESCkSKHe5EOBETI0AJaucZ0MIofLeTB83t/vMZiqllHKX - wnwG5Xyaj4iQwZIyckEsEMiENM8lZZI8/zKXTJr9OTP3E0MsTwuGEJHBVM3gmHP2c55ZRXlur4H7 - ChIANPfzbZkUAOTpyAQSKJKlBII4AtSMMYuC27Iyy9DrM6VWVVUe7i7EUFNNtfebE/dm3+TJI5pS - 5X0MwTsHIHRdU1XQfsZx/iBy+nvXdc65Mp2iKIqiKIqiKM59W1vy2fyyMaXknDMzEckzavvLB5GU - kvd+Nkt3M/x7Pq82pQQwYMQMaB47khLe974PXnfdt/74j/9YSp1zbvZXsa5rZt7Y2KjresvSbb36 - KznfRVEURVEURVEURVEURVEURVEURVEUxfMCEfVpDvm7fox1GTJdPOtYDU5qZTWLTCAmwAVlVXNN - HaxDwKIfplEcyMp3vOBvHtxz5Q1f+djnv/qpE3zIrXDrUkgghRAsgKHOIZq2CcZsLA62Ncax+GvL - Y282V6nOpm8QQRUpgZCL80NVqwQSKKEjkCABbKgZC1rbcV2M533bZd/z3df94AWLF9pGpAhHC2QE - DrCWRAmsECgDojQvRhL7KHBA7dyJqyrOafOMBmY2sxDCmT3P1mwIe/bi80p0d1EUj49mkdX9/L1Z - dhehj+wzizRtwYS10V/96jsPVsPF8YjbifMDaIckePDRjZu+OAzT2K3v2L49ra2uJ2DBp8Hirksv - x/6DaGosLaELgEUDRDa82aAKVb0WY6qbevv2Az/247sXFsAOlMAKRLQdfIW2BXsk/X9+6Id+6Hu+ - d6nZPh1PF8XF1Ck0hjTwjkXQTbBn9/JwsHxwv91z/5Hb73IBS+JGsV2Cq1lGFphhBnKwuBld+Q0v - bnKMGVmfuk0GZzqIILTrd9w+Onxsz8u/A8MFQL3acje1QJP2sTve/o4r3vJmKFBXEKNEBAfhPMPV - ttRKO4MLLJovfo5aNwUUzBPwoG5Gg2b7cFHbkU8KZrzgBe7227q1E13ohuJTCmSoqW9+nTo3OC+e - JgIIVbROozHBjUe4515cfWVou8pxDeDwo1jbwJ46vxc5bSGLovjrU4Kyqqq1aTgYWpdiSAtVlTYm - 28ALseON0caNN97+R++r7r57/zQsbIyG3NciBLA5pT7/i/qf6+w4D4BM58egnGyaf+68Q4iqZjHO - j1FJjUG5nKEYMYuYJUuWzNUOZqqpTw+mHOqHmCMkZ6HgRAAxiObXhgTASKHRkibILAOSAWFWMKlF - iwJSgGdBxegTwBWAgvOq8gaf0mIIbBM1mk4mRx47/PnPfXZy8QU7r7tm/6tevu/yy1A18HLc6QkL - RpEqhpkL7UoAVO/6wz/a1XU2Got4D8TYzg9u+cDLhrQ+huZESVUyeqIw6ifNCAoQqcBAygYysPdK - ZOSCRkMEyIhgnDNvCURgzOObc3JzrrmQ+g+eQdBZZvtzSo7q7EMWTbauXzrpaz7/9CthFiTJIM2n - bAVcnwGaFgkaOm3HQpKYphrjsdVHb7v9oT98L71g/65Xveyib3/lrgOXBcQJvGzbeSLFyC7EWInU - VTXpNtrptGmapzv612hLm4CUjHPPlLF0QK1MpACZMUENIO9Jk6VkBlVTg3Ef0c0CM1gCC0SgCV2H - +hzr0WXioMGAih2cQwoxpQ6ohIzM5hGkBAbBaJqmNQYNDdbMDIymqX2VQmDnngud1bwxng4XF2Ka - phTbLoG1Whi2XfTgxnQwmm4zxbHDD334+gf+5CMrhw4faNu67TpVYmdMsKRkxCf3ZxHQH8aVZgd5 - AFs74NKWRi/lJG8hIYqai6gwjKKpQhlEBmEBYJq3qf4ATkAXwf3BJVdjITPY7KIhh4CDNJ9i2NBv - vfNF6uO6+3/3/XKUyAAkMYhBu24hpO3O7UVcveP2u48cOv6RD73wx370vFe+bLIUJs0QzeKJaSuV - qGqnXT1Y0Gnspm3lvBNiwECBjQwxvyLNDpKlF3CLeU0fZp4neWsMMItgQJTZsSNjabsVJTmyulgZ - Thw7/LGPPnD9R4Z33L9rPN5NsdLZJdKs8WBAIpm3PXh+dVnW/zeglfdtNzKL3gsIlW/IOClpApk5 - s8WuWxiN0l/eetO//Y1djx6+cNIuRIjmS1QFQBA2TmCGwnJDpW8p5WYVHm9HmPeab20isoFtc8fO - S2ibE2sJMCVmaD5fa36SWWj0JsO0xXJTWauuW79ucddXb/r8nz1610v/4Rv2fuf3epfGDSbWeT+w - qCJVF6ISGykAsVwUqr9otrIVfSN5T8zNyEwJ4n0XQwhRRJyrSE1ViaTy3swc+Y3jJ1acLLuqO3zs - vKbB6vrD13/koes/Vt/30N719d1hUiERz/oubH4kB+YfCClO27R8RakzNXhhACEpUnJMzALVpJpP - XL5CAjrDhMkcKyEQJeLIrCLq3ETVfG2D2i0sVCvbFnfv2rl31/Le3fHSgzIctqZGMOsPYlsDuktl - 9OcqUgDOcYzxzW9+c0rpt3/7d51DjPkmomJ2Csuh3fP47adKVXMFAVWtqirfsGHmXNcg3w0iotFo - tLCwcDbfYFEURVEURVEURVEURVEURVEUxdOpruv10YaIsBPPkmCPPfbYu9/97qf8RIpB7S467/zr - rrtucTCcTqciEqYtOXnta1/7tne8w7PEpL6qp107H3VwtpgZEZvp2mT87t//g5/56dc7AGpd1wHI - NaNzQeqz+KLPCbkkd+VrVY0p1r76jX//79g72zJqyswYRERCtHP79tpXjjhhFtmehxc+BwZZnRE1 - MBHR7t2782DCs79lggBbXl52zllSnJZQVRRFURRFURRFURTFc9TmbNzZrCe2k/75LDqDzpxTIp+/ - /tcnuxj5CcvEjqfH1i1w/pOt32yZLfE4Dy6KoiiKoiiK4psVETnn8kTatm2Hw6Gq5tDunLedmdnC - wsJoNHqCp2FVJaLK+xA7IgD4pV966969e3/wB169unp0aWkpB4SnlLquGw6HW5+8KIqiKIqiKIqi - KIqiKIqiKIqiKIqiKIqiOEcYYMRG0FmUg4JhYmAlwBic02fUKTsd+OQvW75q36vOf9H+yz/55Q/f - fvjPbdhWjbWh04RBLRrSuFVfoxr6YDAzxDJu5JnQdXAOzrFzpMlizB8pGM6JRA4KNQdRUAuMHSb+ - JRe9/Huu+eFLd13LowFW/UK1qAKNAuTa/hGkCoaRESvQh3lRJEvIMxFAoFIio3hqnsW87bOoRHcX - RfEE5vFOszDXeRJztECsIoZEWG/v+s3/d2Vtw9bXnRjcwLqWwPjavce/dGs9HU3SZABMVlcHvk4a - +IILd115FVZ2w9VwLoym7F2bEi02k7o6Ym60sPCt//h/3uMrOA8A4pA6OAF55MzpBggdhguIAYw3 - f/xPAEAVyW7+5X+zs1pYSjR0TeyitJ0MtmscUT0k72lpYc/OnfG+h1fvvH/3cHs7PsERi1Xddi0x - YoIx0GcK9hlXp8u/giExIoEILrFAjfqfN0n1+LpPOPrxj+x8xcuxbzfARBUldjbZ7tzDv/pb5/+P - b4RP0/ZE02yDGhRgxNnK/mu0R5TAfUyp0SxzjRWAd5f83Jse/tfv2BkE9QJSi/Fo24ELH/uLEwsg - sFgKphCHlE7J2+Y+rM1maeLEyTgpvAO6tr3va/VVV9RShdg5+G3jFgBSSsxVaVoVxdNG2JOloQzC - ekcDh4ZXV48eqIf+yDF86da7/+Dqo0HyAAAgAElEQVQ9h774hQsaWbZIG91iDetOParnOfFGfRzj - XD9t++SXs1mmtobIsweQ+BwECUtg5hSSmUFVlQAhAnPbxnwwYu6vtnL7mTyZGSlyBUg1mCkAgRgs - QQnEIGZmEhCHBFMjQGAgI5hZ6uNmGaRgAuXUboKB1QQGyRGV+ShGSAyYeZrsDWFpdbJ+7ER3651H - PvDJx3auXPSKl8u116xc++KVndtGaMcW1WEp6XDU2Z98wr586/6UUooMg6vz2VABNmNCUiXD+Ngq - zKS/cM3xmAqcSeEBNoaxgo00EicRNhITgLpEXSKQJ1I1Eu8jklJySefhzrOTFwNIUAaD2Iw0nyOI - ifLKPoeuZJ5kTYecGNqXDN3845OzIWfx8HM6i5/PW/U8uxSAhhaA5H4iuEXvQlQ+Prqk4fjVe9Zv - v+fW3/tv21/8LRd+z3f7614MpFDXrRswIwTtQhRzO9xSxfUkTp6+tWngQA6AJBXSRG720XGSOqKa - UPLOASDTypDMJqF1gqZyRMSdRiRSMLmYIiKE2BGnLnYAAQ2znUm44dPFABMDsailFK2LAIRp6FyI - KSd3Q/tVoEgAN96HNCWyyNLpCN2axO1NPYxmaRb6no9DCSDScyq7UwmDpYVx2xKxuCEJaQztxnjg - WMJ4JXTNuE0f/dQt7/r96tDhS5cW02hCoYNZxQCFpEg57JoIiQCIQkhnJWF03nAFNnf6PsedCEQA - w8zMkpkmNUAAJhAZEcyIwUpEzCEFAgTc/6GpGWDwyP8C+qxfIxATm5kBSvlEMwtrJvXa77CaPwgi - nlULNlhiBfXt4b7mi4ACoiWEBMIggh6d1KtH73/7rz5yxaVX/v3XDr7l6iP12C8tI1J0aPZsP7R6 - dAm8fdsQbYBpI/Wo65yXnPKbOD+znlI3pzidmlXDemM89U1F4keTiU+2Iq5px9vM8MiD9slPfe1j - n+juv/u82C2YNS5RzNcLfaQzKTMosUU2Jc25y/N+WRDY5iHRxakI6iyohdTwBDGNQ+1qJla1SpxY - QhoNTxxr33f9ne9+z3nHj2xLwQFmsNxK6U+FSSmRMWZbO82vOO2UNuCWl54nchv6HG5SJcjJ+0tu - H9pmmDYZgcAG5Am6uV2Uf7l1XxuwS9Mo5BPC+vjongVX3f/gV9/+K+d/+f49b3j9gCYPMXzTmPOr - x9cWl5eCRgD56EEAG8Rgpk+pJtTz2ElnPQNCDMokEGYWspgCYhL2lXIbwtjirpVFN9oYrk+Hj53o - rv+ju/7bH/tHH93bjVcq5+qYs5I9GImAnARvfVA7n7Q/z7ai2QIEMjI1nSYFgx0YSGopRpevHUhM - tesUoFg1q3U99lVbUbfQ8I6VhYv2LR880OzZtf+ii2R5GSs7MFwAMdRiUmXiqoJ3oZsSCxER0Tzc - PTcFMdsOwznUEHg+e5yNZcv3p54a2IAUm8r9b//rm6fT6e/93nuYoTq7HmRHRCGEnN59BvdscvmA - tm0B5GfIW5Gq9mkHVQVAVRcWFvKrPNWXKIqiKIqiKIqiKIqiKIqiKIqieOb049YYQNTkvXfOm2Jj - MjbC+97/R9MnV095y4AsENC18U0/+49Wlpc0RWFiQJjAfHD//p/4u6/5L+95rxPuQgvgbEZ3KxGL - WeTZgOTfede7furv/SSL5BFEZ3yH6Lku3wb13qsqg4zIi1PV1/z3P5pMzcyYMJvvxAaifkAmmcUU - TJWJACiB6Js21cfMACKigwcPEiBMQed3ks8CNRVwJbK8vExEapZSyncbz9IrFEVRFEVRFEVRFEXx - TMiZxyfPULCtP+kf8Mwu1UlL83iv/VSjmp/8FAwjAPxkn/951zP3zPn6H9nJk4LslM21JHkXRVEU - RVEUxTexEIKIMLOqdl3HzESUv4pI13UARGQ0GuXHbPnTzbmxIj6l0Iaurn3bBmaA8PM///N7d//O - i150BcBV5Y8cObKysgLg5CdBKRBRFEVRFEVRFEVRFEVRFEVRFEVRFEVRPD8R0fOvsEFxrlPiHPvC - YDIFWJRhfhZGloAISjm8QlRYMV0dV5V76fnfednea2+64zOf+osPP7J65/YddXDT9VGQSpqlZtJO - u3GQCrBzKd3nm4jOk+NmmoZj1DhVZjCL5xzd4DRQFy12Sg5O4ZIfhuXttPf7XvHD11388r1+H21Q - 44fRbGPU+noAVkYCmW4O8mGAATNSojCLFWKYgEpYTHEmvgnq/JTo7qIontg85JW2niSVmCwGmKGN - f/H2f7NyYmPPYOAGFaYTtJFYuju/evyWWwejsScQIOzM8arR0mWX1a98CXwFVGnSojK/sLzBaTRY - OBy7a37+f9lBwHARzoNERUx8tCDVgkDNlF3dtW1VeSMi71DVgKJpYIrJFI28/Bd+EdOASXfHb/3H - ajTdUS340EGr4cIiEONjh9yB/W7n7t27zjv6F1+p/EKlKXTjiqlLJjVielJzRMn6kmfUh1xv/g0D - rNjeyGR9vWrbw5/95J5XvAJ798EPQVSJLmqg9dEtv/jW6972T5thhekYfpjDZ+mkOndn0i6x2eKB - tuRjkRoAYgyqjeWlxWnA8ePUuEpI9h+Id3zVcdVNxw5sUFXQaTXJyLhP4Jo11xisSkIQs7XVx/ju - u/1VV/pIlmw56e3/8u0v/BdvHXqZrZKiKM6+tg2V89PpdIGFJu0ytAGFGz/35f/vvdMvfXnn2omX - 1JWOxxrQOIQ2N/jYqD+w0Px/W6Zhz+dms23u7zr7bf5LS3AMx2xJUwqUCDmmWiGACAGwZBGAGafk - HSPXPlSDmpkRoEBUsz4ymYQYs0qFQRMRMQkRMVQ1qiIBwkMYADUoLF/VQ2g2jZxnh77+bWn/hNaH - PfPsspMYFuEs7gR2kcRuauPx9LEj995z7+qH/nR8/oWLl1+y/8WX7r78IiwvYjpd//ANd/zRBw+O - TtRdiFADUpxWTpASDGZGTAlGjG5tDUkZOZO2zwY+0wsFJhMQjFhz1DSsTgaFEQJJMK1IEtQYXQhE - cA3MkBSkIAPnZQMcO1ONFpEDdx00dZ2iOscOzk9+ReVHKgGkpwbu0mnfPO4/8/PkDcQgDICTqqYg - ChFeEkpT1el4OxDG+sinbrjxppv5qit2v+xbX/C3/hYWFtrhwqSuWl+r87HVtdV1P6xAT1dvghJy - DrMYk7GxghQKkCYwiF0AMSkFU2UmjaiHPoSw0UUGamIvHsk0acVN0qCmyUyoFlLkDGaN51CRDNKk - IAciKECACJtS6AKzA5gIOTw2EjRfjWuMpibQgaTKwMm0c+qUxcA5RpiNyTiH0T5hVu2zQ9vYJsQa - dZi2iWjZuWG0lTDFZHTs4x+7+Q/f5+6872paGCZbP3xogdlZPnjmnPNZ6LWZzjOwT2nIzfK053K8 - vZrBjGAEArHkYy/UUgfLXR1mfeq5JVNml18JxIZEIIMZIL6GgcxMk/U58H0/ySy3+9T3nLNdrT9U - mmIzvfukhxHIEAMEEO4DiRcZ24RhWF89vv6V2z/xT/6vpVe+4qU//Tpc9sJJ1PFC/fCxI82w9ibj - tmNLArakQ/Gt6ik1R0po9yly1WCbyT8cTSZVU427idhkjx8ORuPh6qOS0iN/+N5HP3NDfde9+5LV - k7GDmaMQrRawzS5jTPozcE52JmDexujj5M+tk9G5hg1husEVw1dtF8RVVVUjCVmkyfoO0ODY0Vt+ - 4z/UH73hgqPHamuHNbWxj9OWU55rS1n2vPZzijE98SXnZrMQfXp332g85bxK/Y4M2lLdh2DoGyQE - s/y6pAByiHiyKCTR2sb7qQbfpYNV/dCh40c/+JG4Pj7/f3rDxTsWjk4nY+6qxrcpKisAI+0vww1O - kajsxU/N/IBsgIiklGI3JZKaxHvvnRuNu91NXUU0jx2tTpxY+/QNd773A809D1wcbSl0MO1Go5Yg - Fdh4ErWGbM3txtZ7PPOPxjhvjwrAIosQIaiCAZEAC6rc+LFSdD46Nwa1oHplm9+zZ+9V19b79vKB - i3HheVhZRC3GtkF0wrvofBIfiKKagcn5isnHmFKKAJMAYGZmbmOw0yOWjY1UidnK3aDnjK7rFhYW - 2jYMh8O3vOUtx48f/9M//YgZ8n8pxvkjzey0UgLfWIwRgIjkP8yh3Skl51z+edu2dV2f1fdUFEVR - FEVRFEVRFEVRFEVRFEXxTEgpiUiIEcKu8tOu+/Xf/E0G6VMcLOQdDVz1kz/x2vHGqGJxLBA4lph0 - 3LVvfOMb/8t73vtU79E8SXkMg5kRsZl++fbbPv/FP//2l73MYqzrOsY4v62TY7yfjmV41unshvxJ - Q4AIXdellLquM7OmaZxzk3ZKIoT+9vp8rlMep24pJVWoMXNOQ88509/Et9/zGKgDBw4AOb/87N8n - Xl5eHgwG+XtVLbndRVEURVEURVEURfHNJ1cVOKc8peV5Wnt/Hi/4vCiKoiiKoiiKoni6qGoeI5EH - hKjq1vvUKaW6rtu2/YalUVNKREJkbRu89yGEqnJr65M3/aOfe897/2Dnzp1EtmfPHlVdW9tYXFyM - W2byFv8/e+8db9l11Xn+1lp7n3Pvfe/Vq1dVqiqlkqxsyZaFcMIWuE3oZmA+3e7Gg2EYGKDNMO0m - 2Bg3jZvmM00agunWMCS3p5tgGmMw0ASPB2zkBNiWLUuy5CQrWKGUShVeuPeec/bea80f+9xb71WQ - VQqmJO3vH69u3XDiPjvv9S0UCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoXCsxYiMrOyoLxwWqG9gILF - wMakYuhdV8pqZCAlaJZNiPHKaFs0bce6zO7rLv2m55175d9/9r0f+ez71+jwrh3VepgcObwxWKgW - RxxiEIalf+ATfJagqkRgAcBQyyKt1HXkKyd+wCPtEk2xszrjJZde89VXfN1ef75vRjJlJHQxsvOD - wSikxAJFZKgRkzGMe/06KSgCCooGJmODm9kui6+hcMo83e3dRd1dKBROzqyun/M5BgAFVGAgwjTc - /rbfPjfQdqkw3UBoAEIbcfcXD99ya7W+PnKcola1T6BVYOH5l9YveAGqajKNo5VloQmIW9LbEK7+ - sR/bM6qRDPU2BAVXIGLBejut62FncQhhOECrum66tq5qA3ehc84ziNQwWEBIcIpFxqJd+uafQNt9 - 4i3/aXF1bV+1Y3zwYO2SW1iBIyTDxft2nrl39RM3rT740AoNY5z6imKyLUtDt5jkjq0fkIGNHRgA - I2WF2DyQXWpSzfDaySQ++IEP7r3mFTj//Oid6dQrMNHzxH/mzT97+X/4CWyv4RVQGAtghAAY4Cyr - aE8sHD0hM1Ws9kdOjD7CmFZmIMJocMkP/Kv7fuoXztm5I4YpiIGF7eftm3zh7gGoYk6qZmAGZm7y - Y8+6d7t1DsIQimCKSGHjvrtXLrqQqqHvOma3zSLaFqQYjPAMjYJXKPyDMxgMoqksubi+dnYHfP6O - +3/3Dw9ff/1Ss3a2xQoR0zgUBEaIGNReWwCspsaJoDxbDE8GcB/YUQysPBMzWlaupk3iVTaIIwJZ - Mu0NjQQioZSLBZhl07YBLGTikqopoL0BXEACL45UY/bLZvNszgcTlL0YqVmyBDE4hiM4Ju1awBEZ - kcJMDWCQQ5o10XlmBeWshGQDNFF+B6RgAoBoGHiGILVqlpwHLHYh7q78cLWbHhnbF+46/FfvOYjY - QTnqIPB52g3aaTAowdUIDQiJZpmkmYEgxNp0aOOTFWLAyIw0cVQyKPlk3lpoEu94YRC6GIiSkTNV - g6txMMAIHnACD3LKooBa0igM7yibm1MEM2oHTacafPWpRenUDoeMWXlTCZmNpPNPt5SenIvUnEIB - JevjQUAds6pm2TAxAiGpqmLouBKxEDk2Z3q3EnXyqVvHn/7cp//wzy/6+q+v/9k31hec+yC3Yzes - Rot+IJbCE7sAj44qMQNGqqRJEiFSApCiqfMDjkFgxkmhKqxIbYzELB6kCMk0RYEwxDSI8wJYDMFa - 6x9AVKdV3y6BFBwBAhHMkJIykycfZs+0ekmEINqRmZFE1MPB2JojsA1SeJaBR9abZ6esCRvYIMoE - qJxe/T4hTFeWl5vD0x3DobK5I6vb1yb4zOfv/s9vsy/eft76kUWCtJ0BK/VAYyJSkMLY1DhbdVnN - AFIlzLN3zKqmNnOmGtnMv6sEOHLZ0JxgyVSNQESIAwEMOZYyEUxA4GSqBFU167vWPBMzgfwkBIMT - gCFCAlIyJKjN+tc2a7nz6/5hJSgUAOeu275UYsz6bbHJ76xEoJzDa+w0oFus4NuNK2P1yHUfueGG - 2y795m9e/Bf/fLhzkVaqA2sb0YmTqmXzoAFzCloTGyH2RZ6yQUwBKBX5LzALxJxf5FDazExEjhxM - R55Gk2b34TUcWLX3/s0n/viPF5q1PSkM2magGFSiIYVoC4OhtlP0ZTFRL31OTPCzx45zYOicMo+m - hsKJYScgdE2AcT0YpqQ6nSyS7fQq9+z/3E/+8sqnb9s2Xh1aO1iq19fbYX6ACLmBSVCA2ZAYSjBW - mj1r86rdl6y6UN+bDp3/avb+7CMFIIa8A7b8NeqreAaCGDjBAxAoIREAnyyCJFSGplXr4l6/tLS6 - fs//+1dtHD/nB79/eedSHI5QV9Mu9rU4hgLDODsaYiv9+F8C3vSIMQAYlMFsKSWy5EkGJJIUXdvp - dDAc6MED20LCx66/7b/9gd1x+3kWuZ2kiAkwEPHkLQZtAYdh7WMIxxQ6ZH2VO3dKzANwKQBSxxRS - JGBQcQdstCkIbGFxnWRVpN2+ffHiC3ZfddV5z7vcnXs2ti8jURLpCIEsgiLDHENcNDXAiAUgpgiL - KTTBIikAEwZTSEmYjMhmwetzij0NI6Y9e9n8/PYJdXP30Tzj6akH3pCqynVdyyS/cu21P/jDr3/P - e94LwHvXdhEAM1uOu/94R2uy1GGzxjuXjzHGuq57rUUI3vvHt/1CoVAoFAqFQqFQKBQKhUKhUCgU - CoWnFlKyY6fsVlXVxQCRLgZf1x9+/3V37d//WIda54NrhhDt+7/3O51zde0sBovROd91nZLV3l9+ - 2XO/8gXP/+SnbjEDET0Rh/eJB/SM1UDQPNXgt37rt17xVV8VQshzG1RVRLque/Yok3XT6FpVVWxo - QpdSAlNKaWk06rrOQEwAUR4+IyIGgYgI5ChfK1U12DP4us0nw+zatWt+ktRPXXnSOPfcc0UEloiI - iWh2zQuFQqFQKBQKhUKhUCiczvSLQzf1i5zOU82PP7bHsY71VE/whLs4na/SM4lynQuFQqFQKBQK - hcLJ4FkwNxEBQEQpJTPLq2K9923bikhKqaqqrutOtp28nFbEATGEACCEVFXugQcPvPZfft+73vWu - elCtr69XVTUcDkMIJwjLcdwclUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUnj2UFeWF0wbV3gfA - Bs6T0QnK0JmVSmDIogkgKvF4mpjZAV6FsDSsL95x5ZkvveJ/eM/H/uzTD9xIaf/O5aUujcO6Vixm - Zg5alBBPHrp5JQuAWaCV0MF7EnEaEykxS10NFRjHaerYTQZnyrkvuuDlL730mnOW91U2sImqpigk - vlLlGE3IaodkQUlhDBMYwxjEM9OIWQ5NYwzIpp0XCo+JZ1LZV9TdhULhJGzyRhMgMwMgAYgRwTAO - 1UOHR5MpdxPjgIoxbnDP3Q/e8Mm6a7dXVdd17PlIG2IlK5dcKlddaUxRMdp5xurBQzJcaOvqIWdX - /7s3o+JQDwNqKA8HoNRXDSpXA6jIkcG0Vy7V1RCAKipfUTYL5hhilRgJFFHhHEj4hT/2Rky7z/3i - W/bs2aOTVYnTSs0NRjDAheVXfg1u/fQjn/7Mghuk0CGZMKPXcm+OOLelkjBfa0rZk40twiHrjbcQ - AiX4pNsr3P/3f3eWiDt3Lxza6aRekB0yFOVf/OpX/ptPfghCEJfrJWTwm2KDPU44137y3WJAK0Jo - pn4wwHCwNnRH1scj09RNxHTh4guP3HbPYjUIXUOAcxJSYsJ8svTm4o4o27tbowrGlqIRBsDkwMP4 - /G24+BJeXGpXD7tBfdNb3nLVv/0xJEOZYl0oPAWIgcbjYeiWxJbb7vCfvPtzb3/n7vsfuVBV0HoP - S0gJqhBDAqZt8PCAGs/yq83WOsOJ3Jlb8yDLOlgjYo3RYEJCIgZLFjsgVhSZFaTEKpJYGtMuAU4g - jskBpKqqakZGCiKQUTIhIiKGqaohmSWx5M08rEpaqZGaj+aRGIktR440GMxg6ehh66ZWnQEEtflJ - GRv6DNpBEcmSZmlxiiBCxYjTsGxhu8Fjypgo1IgqP4xdcr6C46iqBoIwpy6i5j57SwAYDOVk2BgT - VvoehyfUulQyECkhiUGMOcsQnX9waaG5/NLV1UlQGDGLUtO4AafKq1FQ1RB1GsJk0m1M0nS66NiF - ILEbig0BCeAIVfjTMHN+zFcsF9MzFCcsaTal56MJIf89mvJZLd9WiDCEc03HASlAY8rfHaQ4SHHZ - oMLTcXvwL/7y7r/70M6vu+aS7/x23b37vrX7Bis7OlB6KvsTBLEXrgJkKvkKOBmfe44paZNYI6oU - YtM4b0YgBqBt6CbTbmOMrnOKCuCuQzOtDduGlTfr2kaAeuC1eUrV46eGAjTAWkRHwGLVVtU4ps4c - Ob9zx24mJyLqOQkFZx0bjKtI3ss4TdLSYLiwoOQnJoEqJUMvqTWYZqf7adiOH9b1+sFDZ9ZL0/vu - WxEaNs3dv/XfHv7r9124Pl4Yr1cEqgFGTIhto0BeSUemBmMwg8lILc3T9uwcOZvOAVYAloXfRz9X - iwRhZnKioEQUoR25dVEjKFhBCjamCA5Qziv3ACISVZhZjBp1tG2XxkQhiKba1BuLReRUSn3NebMe - 2Pioh5N7J2e/x2xY71foWV/HFoYxkllKYCTP4hwcUuggsJ3Ujsh1NL73nX80/fhHr/7Ob9/xkhcu - LS+si4xNaVhHpaaLAvImmmvypFlsTH23zkmykWcZWU2aYwfnF8zsVHkyqZrpzoUh9j987x//2cMf - +PDKwwfPn2wscIrTNBQ4QdslBmqp2mZaQeZPmWVlPEDWv2u0uS/YyE5Q/yjMUYLUtRJSE1xVWeI0 - nm5X3UURt9/+tz//lufc+sWzxlO1Tkb1eL1dGnhrQq9JBisd9WrPN4h5i34rJ4vpk5/c/kEGFFse - T+CYSEyab7QYDJy/YwSYzdXtgBrAA540OqgRO0TFohdECmF9AXyuH9z+vveb2QVv+AHv6kMSJ0gM - b7N9JQLARuWxfUzMZepblMjMsetEaOg9t4FDGrGMQLK+jrvvvvXt71j9yPUXjifbm+mAtQ3wQ0wb - NCHVjIrEzFLSLurm+qTNjd2zDpRZcjMggQBSJSRGZGpZJt5Nl+q4vE2XVy544Ysvfv7zcPnl2L6k - jMMVj72bmgqESJjZsg88RSiZpkqcJdWQAJAwMXkWEkqxI2ERZ2aqysSqSlRG854hOOdCCJNxs7y8 - PJ22Irj22mu77nV/8zcf7LrovQ8hqGq2dz++XeQYBNnbXdd127Zzq4RzDrMoBt77XFY+eSdXKBQK - hUKhUCgUCoVCoVAoFAqFQqHwxDnpsFgeNRPnmhiS6a/86v/9OLzC+dvf99rXdl03XKiMWUnzYIqr - vRJVVfVd3/Vdn3jjm4igT2CZDW193W/IjJjNzAwKCPG73/3ue+6559wzz8yDO8ysqjHGuq6fiDX8 - NCdPocfWm92PZIEGqNSsGU8XFxfy2BkRzW+FmYEoAVl2nt/J1ypPEXkmXzczEA0Gg0FVT0L75G6c - QAq97LLLYoyuTGQoFAqFQqFQKBQKhUKhUCh8eZmvBnocKvdCoVAoFAqFQqHw9KXruqqqYowz/bbM - P8oS7pQSEXVd9yjzAfIsi7ZtATjnckiorovDQXXbbbf/8A+/4Vd/9VdEfAihqhwzG9KX5+wKhUKh - UCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCqeEmBpAWfICMwKQtHeyzN/P5poIQMQ5V7FpDF2cRDi3 - 6HZ4v/C93/Svb3vw5g/c/O7P3vOJyosMrIkpUooIjxLVpPBk4T2ZWYrRjAQMcOxiijSibUu844oL - rrzmsldeseMqP16wVdSDhWDT4cJgbTIeNxtLiysEtJPxYFjNNO0CczAGsoEGs5vIBlYQIzuw7GSa - kkLhmU1RdxcKhROTgBZgYJD1XwRitEANQwQmes+1/3l5rRl4BjuKDbop7r37oU9+ctiFBeIQovhq - moItLey85AK67DK4mojQpNg0abE+srJ03hvfuJMFowrCHoQUPVdQINtYBZXwxsZkcXEEUhIGmABN - JkwCxGlyg6zbRheT9xKjMpl3hKRwDGLU9WX/x49ibe2u3/jN0Zpui5FiREzia3DE1Vfs2rPyyCdu - 8Aea5XqgbZfPfbPQVglGnJVXNFNRE9RIs/yMra9lGqCkAFxFGiwZAJJk280evO4De695Cc7bW28b - YW0NI3Ju8JpX/TNMDQSMEICKAAVFgGAOCZBT9nezQgUJpDAHwChvIfqBa7pukPTyN/7I/l/8heWg - FBmasHNl11ln6QMPEshlW6LCuFd8YSZ447xuU2GMAACRzEPJE5yhXt3AkYNIYdqMhyvblmI8kwRr - Y9TDx538CoXCo1CltGI2NODvbrrld94ebv7U5d6PaqNp6wRtCwGcsCYw88A51RgQAMgmK2qWS+cm - kCRwDtO4KWfL+Rphi1XTGaf8I9IupcagHmE0fFDcuKrUOasGfsfy0plnbtu7d2F5m9u2zKNhvW2p - Hi1IPSAnIAEZSNHF1HaxbeK01WnTNRto2wP33teuHjny4EPh4BE/ngy7NExYiM2uGLhpVe2okttI - EzFoJgGdG1iVMFM757/5pIwBOFfH0KihIg+RFLvO1AlxMs9wYqCIXuxtIU68X3okrK/UVRc6AdCg - YpcQzUAMsqw4Rb62OLzKdkev4rgAACAASURBVM4Tv79z125eHMNKADey8MCoPue7v/OsV/3TYQRY - IAIY2gYANOfiBCJYQujQTDGdjm/7woFPf+aBm262/fevTKY7octsntja6RM/zieRvhB9zNCWfpkv - 0Udj1JfOs98CsxRCBscMsCWNKaZ88cWZwcHBKbECUTskgKALAxuvHn7OtF3/k7++/gM3XPaqb9r3 - qn+CbvKQVBPnT+EEToVc/TBoIkfAMAgbw+Rg7Z//E/+WmikSI0Z4oGvgfU4+SIAmCMMijhzauOPO - 1bvvPPyFL4zv+KLdt3+li7sNNSMlxGmQL30UXz4iy6qNVkf1ePuif87ZSy947tnPvWxx37m8vB1c - AR6grKsGA6IAwzzaBtKhdhdGG2/buc7VNEYxY4sEBcyAxE8kMvBTiHY4Y7RcHzmy7Bnvve7G3/nd - wcMPn9t13ETnYRGxAQPOO0Bh1qkZgchgICjIKD/8SkqUCErM1uckOWAvQ7O/vL/XOZ8nqKVO0YbY - Om6dROcmlXsQsKXl0dJSvbBYLy35xZEbLTgn7KTvNVNLIXRN20w20nR6+/37fdO5xkYdtisvRh0E - dV2s8v2h2Q4JMBghgRUkBlEDME9+s8x8kwnTvEE7Swx2zORMVaMmMxaI9w4ptRoHAxfj2kqMe/bH - T/zUT5/19a866zu+bceFezfCOvbUnafpZLJ7cUeaRMzU0Xlfrjd5P8U3+GlCDsydyUGZU0qDabMH - hAcOHf7jP7/zvdctTzbOi61MpksVUoOlGknRdCCBkwrRZt5uA6CsafaYUv8uJ0ICjJUAp8qbA20X - jiMRTzV6XxO7iodIusR+lybc/JmP//zP7b333uXQkUA8TSbtglShCQ5MUAaUkK92rvuJgQAjJEIk - kLFT5IwCuRl7rFg9f6rzsNLUt4Vn3zC2edl6TKCfXMJCDUgMJcCUTcmYc688IbbGABLE4B0jpoml - 0chNppHj5NJ66d7rPrrfLZ39utfK9uC2LykpGZwCQOvYck21JJ1HRQk2F3ZvvVZOJBKJITWdNd1S - NRwY5P4H2z/5ozv/8i/p8JHnkIy6WLGEoLUgTVER2LGZBjVH8CJO1cz6p5ugYCMo9U51MrAZSDHr - T0iEzngicsjJxrYlufD8PS960e4XvhDnnZ+8b0fDzvn11EUmGVXE5EOofYWkASmmZGbMzN4JuxAj - C5Fz2UqOWUB5OEkwhRmMiQCklFg4wRSYHxhQcv7Th8dWDBuDVGOabGzs3rt3Mm4AeO9DE6699tof - +qHXf/CDH8yhBJDD7gMsovGUQwB0XQdARJi5bdvFxcWNjQ1mbpqmruuUEmb27uLtLhQKhUKhUCgU - CoVCoVAoFAqFQqHwNCKEoEyha733n/7cZz/+yRsUpl9ysP648ZB//A1fe/7554vpdLwx9JVj0RSc - ZzOQWdt1r371q3/qZ35ubTqeNp2I5OGVxweDj5kQZqbMYqoEYuY2xd/7vd/78Te9Kc9zYOYYI/Mz - 2Zx8sqVufRBtYmb24qJzRBTarqocEc2WUPbjaEQUYyQio9nI2szk/UyFiJDP3bC4uDg+9CSruw1G - wBVXXJFScixmp+f0tEKhUCgUCoVCoVAoFAonZT4lfs7m12QnW7fI828er0w+fpuPj5NtYT49/oSc - UOGcN3VKh0Qn+fbJFdGlX+TLwTG3ZZ5AmTan1S/dU1pU34VCoVAoFAqFwtOXEEJVVSEE733+r3MO - QEppMBi0bZtXwuZpGyfzdgMAuG2D9z6EQOw0diA4cU3TMfA3173/l3/5l//dT7y5bVMOQHGC4fDc - ErFn8myNQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBTmbF6Yb8V1WzhtYJtP548EVcZMxkya1UUm - gDCMTEHEBuaqbVKyyF545ABj06Fy+3C8YnTFc1950RcOfPb9N//VLffcqG5VFiUi/EOe4TOO47OP - uTxBFQx470kldpFB23jnC8/66hdf9LILzr7YdwNtiT2L+GnXqmJ6ZFIN62px2DQTL25habFtp3AA - GObIhJWVAEr9+gMjwMM4z/mZ2UaKl73wbKSouwuFwomxmUEbNvfpqIMiRUyaz73lV85ca5aThXbD - uwgC9u/f/7FP7ASrKliFaSN0tLy92ruLnnclhNBG+IpHC6veP1LJJT/8QxgNu6rOpTHDPBFigkif - MxlisKXFUT6eGDviShjClKKKsKsltSo1G+C9qCmxCRMsgUU1ma8IxqxwS8954w9+9Gd/bl892tbG - xYQ0HRMZe+CcPbtGL9NbPnvwtrsWRJxq76+Fzj3dCsCgZAzNTuu+zjl3nfX/6V+1rdUVKFJUoxRd - THsqOfy3H14JL8CFF6EaoWsGVe3W1277pV++5N+8EY6rqoIdnYuc9eWbnItHF60eo9HdXJ3iLF3b - FDRPAQaTOnRdPViABEAPVLxtrVsij1rQhvqyix66/4FtREISN8uN+urR0enRUcEelgBSysY0SmxY - IBy67fYdz718OBo0q0fcwoI7snrbr//GJW/+cXgBs4E3HWa/2a3OrGP3VSg8k5in/6wwRG+z6/2W - qf84p39FryXOcmrSXqLNBBXTKqVa40rT8sMHHvrTv7jv3e/beejImQoZHzFABDGgFgKcJTVY0AiN - IEBgBE5zfSYZWAlsTAaGEhRI89xFZ5o9sZlslTgyTYRbrqNI59wGmQ7q7Xv3LJy37+JLLhuccy7O - ORcry6gciCEAuyAUvFPmdaJgUBiImJmgsMSJHMwT1arDGCWEnSwICSGgi1hdx/4H2ju/ON2//55b - b8LqkTCeVklHijpZndSbsaaZIlJt04pxOto7MMsnSWEcQuMgjhhmKXYKCHmzVDuDQWOTYAw4DwU0 - QUOzk6qo6pzksk41EWB21C7LYE5WWcR4laAAa29bPnowj2MlOxnYGAAZG3Egbn11qBkv7NphCTFZ - BAljlEZICvIGTjBmBhOZIrY+hsWzzjz/H73i/KbFbXdsfOBv7/rw39/z4CO7QcuCWjtGytramQ4d - dHRJjGaF51xxfUoYGKRzV2u+/ifaSJ/sH+P250XeyQJb5Pcf/bA3f2QEIsCgMAZ5FjPTpAxHzCF2 - EVoRxIEMISFNwhkVoWt3rPHy9KHP/cbbFm746BXf/i17rrp6YzgcO9+xN2IFzy5g39HAwJarcSoQ - wKbaqzBzwhCFG3sctpZXltQkhbg08E0zNRGwIAnnK5FiBatXFkfnnH1296KzQ4u1Ndxy653v++AX - b751aX26I1FqmtrMqfVl8Yk8utn2CkA0F+in2nWyudtldl6bnlAFA9SK2/ByuB7SeRde/Mqv5a9+ - KfasWKVHBvUDw2pKzOTNSJRJTQlGGhlkcBAbyLBaCqmTeuHw2mRxeUGVmAIsV476pJPvCD/FS79y - Cuzrb0cXmzFZL5KfuWtNlEbRRtPpshnuvOvz7/iD8d986KzJZMliRVCHkFAJu0TBEkIURqcAgxjE - QMJsc2bZ2W6WqN86k0KxqdKa7zFHRifUCndOJsBUBIuj0e7dZ5y/b3nfPuzZc/mFF2HbMoYjiAMU - zGCGEDT7v623p5tBFSnAC9bXcOgIHj6E+x9o77730F1fnBw40Bw6WKdYafJJ66RVMqcQgx4f3Zk0 - 14GVaOvdUQAiEk0tJQiLCDMoakLSkDxJLX4ybZxghREeWb10NLj3fX/1wOc/e+V3fMu+b/raw2uT - RrBjeWV9Oh2JJ4OSUu8yR6LsIeZZJRpiWXa75T7y/E1SferTzykxFy1vdjBvWuLIfWlu+buz+rZx - YgA6O0FWACwJCSl6TUtmo5j8xoSPrN7xznce+vvrF+95+LlefDOWGFgQW1SCtgUzvHNtjG3qahKb - P250fH4i/VFRjhGkjGevPPc4Q3bPMblvrn0JCxBdStw0O7sGn/v8Ddf+6hn3PHB20hAQCDa1kSMo - BAKYzdJzH1lpXizOik6jo+VpOjba+bHJe56E+p/kHACmrPOy+JjfGPcVzaP0G1GalYNmNqjr2LZM - sKgRGAl10+g82DAYN2cS7nvv+4fbFnf94PdY145dHZnYWEkTqRFzPFpj+VLX+5lDfopzHf7o9bct - j9K8lc02u7+GeT0ExACaaQej2vuhhWU1Xls98skbb/2Dd+y96abz2xBjrMSRWRtTBbJEIpRSilGd - gAjJgJj6Fn5+zI0BAbg/RESmvl6hQGBMPNYrfwTDwTnnnvuVVy+87Ktw6UXYtth5v17XrXfjZMlU - RktC1oQponqW6XTimElc5VwyU5hZSgmgXIEjRS/tJiJmVlJNyRRE5JwntRSic7J5zXef/stQ0OnC - Yy4HjEMMO3fuOnjwoHd1VbkYY1V55+Taa//ja1/7v3384zeIcylGEYkxPg5vNwBmzlKHlJJzbmNj - AwARDQaDHFaAmVX1ma18KBQKhUKhUCgUCoVCoVAoFAqFQqHwtIUBPT4ashGcc4lgRMH0v/7Ob7dB - FRDHMZ7CeBkBr/u+709dgKmIOOe6rtOQqkHdxOR9JTEOhsNvfc2rf/0331ZXru3i4zkJ6+c/H3Nk - xGyq2YmcTDXpyPvfevvvvuENrx8NBl3XCYmZOedSSs9sEXVmfpvJ4CovxKrahWBmw+FwdX1tYWEh - xQ6bAmfP1d152Guu7iYiM5sH8n768ijzD/PkxaA6GAwsz2g40QS5x7ynTS9mGzlv3z4i8+KSKoOI - 6FGjnxcKhUKhUCgUCoVCoVAoPCHKbObCZkp6KBQKhUKhUCgUnm1k2Xb2drdtOxwOAXRd571vmiZP - A1BVEcn27pOjzJy/E7ququuum8YYCTCQY/5//stv79u379u+/TVmOfIUg8pQeKFQKBQKhUKhUCgU - CoVCoVAoFAqFQqFQKBSepZwwjIGZPQvCGxSeBmx2/xkZkPVGc1ONsREIWeesACl574nYSJMlBmCJ - Oh1hQQJ1nb9w4YpzvuGCByZ3f+QzH77+s39HshElAGqclNQoAqqEYwUJM1GUbnJvnNByvzlAxFyi - MUePMTUe57o+Pr5EFso83r+sW7Z/nE7npKat+d51y5Ef/+2tW9C5RuSY84I4I6+Vb2treKXe9rxL - n//iS695zuDypbQdU0EEw8UYk6q4muEr5ja2iHFQ1SGEjelkNBqE2Mz3qL2UQTFT8lDv7Z4pgoqs - ofC4MDOzxx265bTg6R1np1AoPHVQrjGBcuUmJRWCaMJ0+qmf+ukLVRZChJlnwAz33XP4ozcsTclX - LnBrBFJjR2nn8rYXvgTk4Sq0EVW9UQ/2O/f8N78ZgwreeWDWxCDQ1jyJ4Kt5HYGdq+bvi+dcL5Ga - 8+8ACDGO6qOYmbvWKk/JaqkdPL/0Z34Sq2uf/5XfPPtIWwf4pRG0CUJ+zy5eeNFotLz2mc8vtKFC - 8JXruk6gUo9C2zB8b9OeRdpSMADOmihDdmQTNNc8BLAOgDnAzBhmnQ6dPvyJG3aPRjjzQlRDmk72 - VFVqxnf+7E9f8JP/HmrgysyoZgN1gSvP2XYlyHvUXNoINk1jZuisDsn9G7PK2VzVBhZjOJ/9rJB4 - 1ZvecPAnfgHTFugwGGDPGWHXdhw+qJNJBCpxyaJl/ayBjfKpGQEETfAADAkxSyAJYBGf0vRTtwxf - 9uKB4zZ1O/3ieGOMtoXAnKgfSD4aUvT1Rc4SUNcrxHRWWy6rRPtYeER9BSN7oZ4N8QSfccycxIAo - yKCsgREZSqgSyOAUAFSQiBFJRFhMrbPQCIOEOgXqpUmbKl9T13lNi6HdNl7HTTfd+h9/becjh88b - t4MYPcA5PGWCAJYMCADzLE9IvcXz6MEpWZb5kakhMTs4Tl2CwQlCgjqowimEAIMJB5GDwNqobrdv - p33nLF966YVXXTW46BJs2w6y6KVhyrLnRDz/q9Q/7Ep963UmGmSwEBNgbCABO0+DoShoqKJwprJr - p1xwnr/mpbViuzk8cmjt9tseuPGT+2/5VHX//dvX1pbayZDUGZRBBjVIdlIqDJRgDiAHJJCBACUV - Y4PBgnEf6NOgBGj/HXMACBb7W0hIMEjMSnXOHyI3ZZmh6gALVrGN0hSHHxIFTIyQkDsflEx5kwv2 - sWBZMEyYuS6RFacwDKtaO8XMjkiqCQQhgwIqxqQpN2yTSCsUaj+GupoWr7xk8crnPv81r779vR+4 - 6c/e/bwD67s3VmEbtYAYUftiTBJR1k6DA6sRRJkMfGLx9smOnzto5TyZxpgiQNnoSGBQbjwRARAi - ZxoVYCYzg4EAIkFuZcGYOL9ATjVEOTNMSYmIYbnsIBjACiOWSJZUieByO996i2nPrM6Qf6OEZKoE - CLGpIXL/6EbTKIAQyPr04PIvgxGM03SbtZc4nlx/48233nr+P//m5f/5NYPFHQfrxcgjERdS5wbS - oFVSVrUUa3HMrotGEDuV9UgGKOWyXtk0MZtBs2aYRRMIyQm1XSQRMlY1RkTOfRxHhaok1klda9UN - t213e8644OUvxxfvf+Av3nfndR/dhfHubqp6pPaSQlKDrxC7nP77fCwxWgGAOkhfcJ9CBwoDYkDK - nTIEMkCZASI1Qquo6pEaPyJy/76zXvDd3zF6xddY7RvhTtA47pgjSJB96GbUP5wEE8wcsp5bU7BL - ISwM69RNfH+QOr//bPgyVDOUeqmqVwVUYX0/pHFsUrVQR4mdtV4UXTekalcz9ePV8N//4tPv+Wu5 - 78B5wRaVKYIYnKteqgw46jM3n5OE5n983hcogIzMTOEFaqmNEAbXvm0DgJoAFjXquFoXOVjJkcWh - u2Df4nMv3veVL9h+0QVY3g4SGMO7hjkSZVu8zdzqx/dpZj2rKMRUBou86yy5WEVRm56pESnh/vtX - 7/jC/htvXv3MZ6sHHtk26bZHXdTkYiuMkJAItWczCwkiIEiM6shBRGNnCMJmAAxCUAIssfb5OROM - EZFE04CgBksQhxSbs61dv+vQbW+5a8+Nn9z12u9ZOmv3/eMGTqSS1UMHt41GwhKChpTSYNgl8yZZ - ZkwGp6pAFCTqBcMugaGJkFgTmQEKPX3s3VntDKCOEIORKqnBlFCJazo1CDMbqRAcRU1JbCEooiVm - YpAz4sTJaEqoBkPVjcXQrYSAL3xh/KfvvuO6Dy507b6QhhplmkSVsgSe0QEQkDJFHYBBCkuz9kev - CuYEHO28NgLEEmnfmJllhPys6pDNrSojnhu1JeeHlu+m6mxQgaBkzH347GTt2nbt6OEHb/yZn999 - 7/3LTUgWTRABb7BooI6AXBXKC19l5nDuc2AAffsOgBpxIk05QPVM7eznkaONAeR8AKRHJfFZxkxI - lKsmYAOyPXrWnJvXmcgg2jdmMUsJ+XdM0K7tW4iAAyyZIyCCvbQhbHP+7PHqvX/+p0sX7Tnja78m - LS6v+soNRk03TaEdDIa5oap04hGXZyQEdWpGiKzK/bMvyoB6BVmWqbPmzhAAAJPGGEkhLJTUCJ0G - N1oyUiRNbVqJCV+875F3vfOe6/6/czc2dnbmIpiAFMnYExOyLxs+X2rrq0bIjXtGSPO+CPFWgblL - DTmXYuuYkrGiXnVyz7ZBuOSCi171LXu+4iqsrITYxcGgYdqwxI66FJmYiJCikgo5kCog3sOgRkgg - EBHNR3rMYDnrmDWTc9xzoVmPUooGsCNVzQ0ONhiQ+nR79Fkr/INxgsL00YoDFj9turoaYna7oR0D - SwuDt73117/7f/2em275TCWuizHXYFkoaUIWOSQF4FwV41wRcYJ9qeo8gP78m2bmvZ/H1o8xVlXf - OZlSEpEc4CC7vU/5IhQKhUKhUCgUCoVCoVAoFAqFQqFQKDx5WB7hzSOzs3m5AIgcYE3Xjdvp77/j - nQqwdzHG+XToYyfuEpmZr1zoOhi84xj1eZde+oqXXyNmBAGjDQkk4iSk+UIaI9j3fe/3vvWtb4td - FEbSo5vdvMxvyzGf6D923DdNdf43f2ESQlw9/Ed/8Wff9upvFedTSrWvps24qiq1vJgwf5dpdkGe - GYPLx0/hM7NoCYB4Z4Y2dIPBIKUEEsNmzzQhX1siPbrAshd4P9293dh6ZTbf6+x0b7pQ1VVd1wSk - Tad/KvTz6E74wVe84EoHpNB5cU3TVF6OXRtaKBQKhUKhUCgUCoVC4fTjZP1FW3tgHm0B0cmWWz5Z - PVGPfTnnY9z7KW6wTLg/HTnmJjLN3zldFrsVCoVCoVAoFAqFLwPZ2w2gruv8Yr70NS93zU5umgcv - A5xzMUaah34ww3y5LgCga6f9R2ADghqAn/wPP3XOefte/vKXpxgrJ2bIXvDKS9u2w+GgbTuW0h4p - FAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFwjMfMzCzqoKMiJOq5LDqhcJpwGya+TwqgvQR+Hu51kxi - SP1/k8GsVxswGFCGgMUMyYhpUFvlJgvnYdvZV1zyTVe95kOfe9/Nd37yngfu5EFyIxvHtYDOL0hI - KU9OEeo9X6pg7tUBxrCZwyBtmvee3UvZ5JUPbYvwe5NeSmfuk6MLJSxbw/QYxUDeyGP6a70ZZNNf - Pmb6i27ySR3d0Zap+1t+0Qc2IWIi1ZgSmOG9U1UzUzPTmX+EYAw2KFQZKcuAZgJHUY/O+2bh7MXz - vvJ5L7n6khedsbgHja+nQzJHOaq/kQAgNkuApZQcAWCLyRE7h9QF7rOm7JpMs1QxF1hsjpvxLNLE - FJ44eXIaEZnBOTeZTIjw9PV3P+1D7RQKhacIBjxIAIuJiMUzUsRketfbf/9cksHGGsjBIhCwdnjj - xk8NJ62XQezawdCtT2NVg7ctLV31AlQOzsNV8KNDKTzo+flv+hEMB/DuqEEzc0ptikf7cu/wrhwh - e3qIW46eo2xfufQNb7j9Lb+6s6rqtrFIrvIJKvVgePXzh9uXH/nY9dak1HW1F4tp2k5qrjdNM+6r - MkYKMGzzKse5xvuYw+x/LIZtwMGPf2Lny7ZjO3hhSN2UA3aNhjf+7P/5FW9+M4ZMA9+kwFINPAPI - lZdc92JCAjTbt/Obm6LZyZZ9ZgGegqCYVe9C77nEaADYqvHOagTVbn2jEnfOlZcf+sAHHaGGhBQ5 - i9F7ve7mbW66AlClXjhnCYIUHzmA1XXsWOaUgG6J/Sf/07VX/+jrqV4yIEVIroJzf11oVrs9Wj8v - nJBTMbwWTjc2O+E25w9kYIMYNAetJLCTLkaKna94MBioRVV14tenbT1ciO10B9H29fVhjPe9/ffv - +OM/fcE0bl8fpyyxnS2W2PocbWnL2dGGcf6Ic/XVVV4jadLUacxCaAMRQoAb0FQpeWn94MFmgpWV - vVdddcVLXoLzz8f552H7UsP0sHDrnDq3eT3GY4I2/e2RE34xnwFM6sXR0jl7Ln35S7G6hju/EK7/ - yIFbPvXQ3XfiyJFFYImEp6lKYEYLLFbOupAA0blFFGSzB2rzvUDfJD7K0Zik2Jq36+ZfkdqsF4DF - rIoB43XKeWNfwVb0be9TjsS4tWuj3/txfkHF3OtMSgaxnLR6a2NkDpym3pGXVd8tKy+df9Y53/JP - L3rhV978i//X5PPrF/jlbn01JYwWMG2RjdqydS963DuPBWGJMYmpMBHlNT+taW5HgTiXImYWmSDE - akpZ/GpIlpDvS27yU29LNzI1qMIAQU7zxJwNzjBTACHFXgoPosTZ8kwATOc9DpviETwKWTy6Nals - KvHFICnVCcstFmL34J+9+7M33PTSH3njnvMvTHuXHuqCmbVd8MMq6NQ79r5CsK5pE0lVVymd2vOS - 98zW3/E069ICtlonre9pElOlPiBvIgZxl5PSYPRIs1YtbVsc6cpg4cyLLjvzld94/7v++93Xf2Tn - OA6bjaUKomg71I5iNAEBli+dPqFyOitg01wGnmkNgwUKG3YkxYN1ddbXv+Krvv/70hkrDy8Mx/64 - DGHT9Z9XGmanrzbbS/4v49gn/csLA1ucoNm8vrRtYRq6jenG0vIoTVeXY9qbFHffc8dbf41vu33h - ngPLwbZJ5ZMZmAix97AeLUoMvNmhC9Ks7s6uSEcwIAR4xtBzGzS0YVC5JLKebD3puPJxadGff97F - L3+pv+arcOZeLNRNXT/C1BnHfORMSqd25WZ1XZ7dBSXAaaqXRosXnn/5P3olVtdw193xplvu+fiN - d9922072vLGxfSAD0GQaBXAebVCCDv0ohhDjdMCVAY2i8kDqc1Ge6YEz+SkVAinEkBhk7FQX2Hia - luL6I+/7wB233fmSN73+3MsuPLRteGCytuuMXeMjawyw0cJoaRoikaiBc50WmiifzkxXDDaC2tFq - MOH0CmRCllXKnK//rMRLbOjaqXBFzgMcU6OWjJLGCI4poR7WcNxsTJR42VXWhh0i8cDDy2y4547P - /eG7Dn3ow+cfmTw3KmIiM4b2sl4CDEZHe617WfMsyPX8Bh2X0/b5qliJ3gMAOhMhY3atFEgMO9p3 - zwAqOA6x4rQbobr3vo/9zC/svOvuPV1kVSNOTNo3qRIBOc9Wot7PbVDecrHzjlihs/u4+SPe0rbt - j0T5BAWWbRJm8+yG2mw8o6/z5KELO8HPT1YKkwGGpk0DdiDdDj1y+PBHfuNtX3PmmXtf/GKwrB05 - vLRjOxLaabNQbeuaTvyzqxGpjNz6JztxtV9JDQzqy8WYTJyTZAS4yk3a1g8GXTutwEtdXGm65mPX - 3/GOd+inbrwoNkvBoJtu4AkPIP/DfQXbCMwQIkhFHQIaSkSwEDs3HDzUdd3CcDxYrC+++MWveRVe - 8qIDZg/t3p1Sajr2daWEGMmBlGw+IrZl5GlrvPTHYto+4Xd4a8J+7FsrnGYcFwqfFIAZVlaWf/Ot - v/G6f/Wvb7r51n7QUlxI3fw5yXMasnW767pT3bGq1nWdN1JVlZmZGTOLzForABGNx+OFhYUndo6F - QqFQKBQKhUKhUCgUCoVCoVAoFApPADvxWF8bOvF+NBq99b+8rWk7y5GX89qX2TSq46XEoesItLAw - mI6nAvzvr/2XZHbMPCnA3AAAIABJREFUaG8e5Kuqqmmauqq6EM7ae+Y3fsPXve/917XhqR2QM6BT - /N4fvOM1/9O3qhlSgvPDqg6aiEg3zbcxwonGvZ+ZPMvnYbOdYIYbEaWUBJRSYp4NFNPjmbtywl8Q - cNbe3bt2rIgIkiqpk35m4ynvoFAoFAqFQqFQKBQKhUKhUDhFHp/fvVAoFAqFQqFQKDwjSSmJSErJ - zJxz2dUNwDmXUsqvzSyvtJ1/ejzMnBfSOudSjK973Q/80R+984orruiaqfcupVBVVQztcDg0U+ec - loZJoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFwumDHW9TOTbohtExtqP+J2pGIBiJCUfnbGBm - Poy+/rn/4zVXvuLO/V+4/lN/d/sDn+WqSq5dWz0MBzdgYsSopBCB82Rmll1shgRYghGYQLRZWzET - ihtsZlU4Gg4if2Mu8sCWg+w/ZbDOYkdk+9pMIbxZznaCvzmyPTFmMTrmV0NpZvs6IQQYlJSP/u2v - oThJKSWFaS/nTkAKMSV4D3FiZlDLFqB8pETEMCGCglRInU9DbPCVz3nhSy/76ovOuGyH7B6EBd6o - NRrxyeTIJwmncjQNnCzeyrMmDkvhKSDPLvuHPoongaLuLhQKJ0WAFJJ4saYlJwhTdLG74+5tJKaK - IRACQnPghhvq1bGGFCg47ybTZjAaPhybs6++GjuW4SSwa4Bp001377j8x1+PYQ1VJIPQUxucSlRV - AUOSgdSQGk2DpfqiH38D2njHL117Tj2qJxsYj+ETSHHWjl2vfNmBD310MG4oJA9xULXQVygMMKZc - Z8rWzFMqBQwpclidrl//saVrXg4PGg2namx8YaTP/dJbLvv3P5pSqmQRRl2IRCReBLPqIjNRfxTg - Y7WusmU/md47mCujVOealzShHYq85/qP/C8veukSu2p5BbHDzhVeGHXTxjmulCIiGXgmQjphhYlm - Feh8A5PZdH28tH8/dixzikDy4hfbAIWtr2N5B/eHw2a9Tzy7YLscvO/xBUIrFE5vsuw2++nZQMZ+ - 9jB5BWfjHUOBRCBWZuME1TgBpUicKucHNWttxj6MHnpo+MDaXdf+WnvTZy6bdHVs1Prmjplprzqm - E1ZPySCJcVSwCoZy4sQ40rYD8Z4cW6yF2ZGmoAk1IVF1yNMDg3r4gudd9I//yeJVV2PbSqrqVNWd - oLXUcIg+RolqYK5ZH8W9/QSvZGypSQwiCkSDM5YHu1/oX3jlWW2z+7bbj9xwywPXfejQnXfvqRKb - QruhQzvpHIEFqqJIjGxWU0sJACCkYACU8JhEzsfCfWMARACREDnF5P9n784DLbuqOvF/19p7n3OH - N9XwKjVkqKQyVBhCmCEkRBD80Sqj3U60toCi/hxaBbT92a12t1OLgk0rtLZAQDQG2m4FVLpVugUi - Y0hCCASSkIQMlaSGN93hnLP3Wuv3x7n31atUVTpVUukM+/NH5b2T++6559x99tlnWt/VtV4bdQno - NBFT6eHLeVUCrYfoAs4gYkbiAOd9FB2L9hb66O5+ypt/4Ytveev9n75hEdRhq8ewANXDBwYEBMWJ - Jvge/ltySSepeKwisDYrvt2rrJ95AKBtQKmDGYxhdvgkySSbfNqmGWAGiNp8UWmQzFjh2dYDa4MD - 2qVWE5BDIHgCKSolkfbMxTTbto3gneyTsL6dnvDydiLm99c2uPu6f/0rF7/un8fnPas844zY6asZ - iaBOEcbBQZg4OI9E8ZSOfahNYCUjUyNWApGyMRtS1QR4JbcaZK3EbGFbnnP+zif82OxfnfPlP7py - 71LZrBz0jLKkYW39UGgUgNpzUq5NdT3JcyjTR7ZsOn4wAOQZIj6SW1lY2PUDL9/0na9YQSGbe5V8 - w9bGw49tElBspEI2GUyRMulgeJCD3zw7L6PxfO0Wo+HjH7v+3VfYXXcuVLKIsufgVM3UYCpmR/ap - Rm2yLxspGRzaHkyMIHDOHMg5EjYxBVQLJpirJCyj3O9Jz9p6+uXP3frCS3H2TinCmg+JimgslYAD - FcE53ziIKUH5WGm7x6SkbWFmMmVjmoxToYS600+io27szfS6p232T7nwnFd+6zkrw9s+8r/2Xf3J - lTvuPNNRvxyiFqfolQWUUhwz0AkBGpOaOFSKAuBJeuvGFOJpOvv6kNjgoWZoKnTLIhrNrq7OfP2e - z77h55/5L390y7MvLs487e6Vpbne3HgU2fvBYDTT6YqIEIRgpMoqDII5VWcQsJJWnsng2yBkJeBw - ZPUjgTMEBaBCHImMmABnwlBmMhJAkkYR8T6Y90pNAwmFi8041Rxmex42Hq5tqkf95SHuvPPghz90 - y8c/tjnJBWp+VDM7M7ARMGl4U0wAjHhyghdGEAYAp7nsy3G1gdztmM21x2how9eRmBMjMjPgFWxK - BmdMyQqTvmuK+++77Vffesb1N2/WFDSaGYwKYyUoFMTOlACQcrsPnaZ0Aw+8RrJ+HAfjtlfnwwni - hw980b7bUd+mTvcEXg6/1fq1AZpuISe3vyCHoEimTazAftvMXH3f6pff/q4Lt2yZ231m0Z0dDMZl - r9RAYxlzyWr2+AlgFuKaleG8wiu3Y7Z2n6MEkFl7eYUVBjI24pTUl92YRsN63Ov1wmxvbTjuAacZ - ynvvHX/gQ7f/9V/PLi/NRHBt5IJZpAcU5bfJtm9ApElqO02y4TklKoqONKNGqsBgAhS+8FrTCrl7 - dyziKXv3vPJls09/KtQPwMX8prFZSsk5x8xqCkBE2h6l9fj5QrNvFGYej8fbt2//wz/8w+/+7u/9 - 2tduV9MkTZuxHWM0UQN3Or2qqk4it7stSVDXNablBoiIiFTVzESkKAoAqtrv91WV+RE0TsiyLMuy - LMuyLMuyLMuyLMuy7HHlGPd5GYPgHIFpbW3tj//4jyeTzXD8Z2Bs+iyawYbDsQMWt256xSteAUwu - Fz5wvkTtZZTCeSJ67Wtf+5H/+XcPfM9T89TW1f/w6Ztuuunc3Wcv9Pr1uGIot0+sZY9Lx3sAUkQc - +6jTW2enV6v/8doZPmHvhWVZtg+DiohjZuYkuR1mWZZlWZZlWZZlWZZlWZZlWZZlWZZlD5/2+Vbn - nKrWde29Z2bnXIyxfcHMzMxwOGyaJoSwPvFo7QOzw+FaSgogpvT617/+yiuv3HP27tFowEwxRiZK - KTVN0+l0csW4LMuyLMuyLMuyLMuyLMuyLMuyLMuy7HGC6JSGzGTZI0Jbg70t3NEWYy/RnYnlypp/ - 0szTn/Sip907vOfamz97w+3XetuXeDwYrtSoy67zBdcpNmMjgmcicjRJxtU2uouIzGRSF4IAgkyz - CttYpfWEgslL2qyNwxlSbeohlFQcjKC88dUb/j3GUh35KwGmR7/YCGoKA9SzcRs01IYNAdp+5jZo - vE0OapdLgCRCPEnAYmbPrIKo5gonQCOipu1qMTNNYDaLKMl1uYcBu6pz1uZzLjjrSc960qVzbnPf - LTgpMfZRnAezIzPJYdvZI81joLxPju7OsuzY2kQxF5yZURlQjRDjLb/7e1tEUdfeBzRDdPzK5z5f - H7yvnyiAQRKjFEV/JemOJ1+MnbsATY5Gpjoz12ydPetHfwjdTsXWcQy1449ZviHUNDLD4FKUgADA - uEueZK501XDPz73pa7/25m2JZjp9cA006Hgsbl584Tcd/MSndWnVNJYhpNhMg10d2iDrk/rUZEzC - 80VR778/Xfc5/8xnI/iiOzMcVbNOtlLC2sBtXkBS8a4s/AMjCQ1MEEAAIrgNUdeMDYO8jZ/NlIkV - gAcEMZkvUIQZpPrHPvzhO371N2w0WiA2SeRp4bzzV1eujSkGTPPUJ+/ZZm7r4Ri2aR7t+tyIiAyF - 0f7b71jcc44rS0BZZS6lO9535Vk//AOpHng/A0NsUugVsv6ZaX35OA/ysseYdpNpNxbbMNEdDkeE - cBsXCgBVrLplIMcSwXClDwzvjUupirXlLajidddf/Rv/cdeBwRmj1DeoGgHE3CZI/x+7pbbPEOL1 - ApoMkGG+140xJokBjl05ivUawea6qwradtrO5z5r9zc9HxecJ/PzB8ruwJyRJ3JsKtqYA5yzoASg - PrXniQoPIquJa+CQsjpCKIuyu/iM527d88StL/42fOHGu//yr2668fpOcv003twFKdicihHYsYNZ - rVJMFn0SqKYwhh6zqOiDI6I2ctMMgLGYd9SsrPWmPSeDbbKXYyV9GI4YjGB2uEAqGQgoQ2gkJUvt - Z1GyGloE9E/f9KSf/5k73vTvx181Gq5qjDCyw92+rXf7Sgo66lTC/4FChdtkV7NoidrUbQZcCRGx - pIB5B+cE2kCjWSRSIwRnPqijpBZN2vOPZPDtNqUGNWgKpFxah6gkZzEhwTtQABpgcsoEIK9GSVUg - BdpatJN4y/XdGRmv737aLeLIPeBDEhTby16vjqt33fWZt/2nmTte8oR//mqa31b1Zozgiq5ArG0T - zM7TcDwufXlCK/SkWdv2mNdjWedn5g6MVsapmtu0sKxx/2Cwc7G/+WXf+qxNm7785rfvrJqOjLVO - /V6vGo0KhHYrYVIvAMCQE99lq+Hwqa52Pbd5td65feNmvHXx9Jf+PzPf/ar9s8UqugJz7B7VyZ3O - FAZh2LQBt4nTvuuRpF/Xc+OmWK2X/vt/u/PPPtC/7/75UHbMlWqckkEAAx1RIHY9GVfaKsvGgLIB - BGn3LADAdYoFiNmrakNoXBi44mARdNdZF730n9Dzn41N3XGHRvO9qlNWUQvqssCLV4UYomlUVkI4 - weUVBqBOp58KDGMlTiB1MiRedeqL0ne6fn5Td1s6+8xzz37VP8Pff+zmv/zw12+7Zau3GYlWNX1i - gTkCEKMAhLIITTN55I9s47lP03YsSSoEN9mc4QwGTjAWx5q2eE5L+ztzs5/5zd8881Uv3f7q79y5 - dUvympxLjW6e3VSPhook3hspQWGwNp920gUowNEpG0PhlZ1OlveR0zzZEESNEB0SAyBnDBAZvEct - yVJFFDw7IjaFGgdPw8FaN3T6jtLSwQXCzGiIO26/9U8/sPKpa2b2H3hyp6PjypJ1iU3FmIXgdNLw - AOjkxDSt7zoNrKSaM3cfmjaKXqcR2jTdwI88xmzzkrXj/ULS8sDSV37/nfM33bw4WjMYebJEAJyB - DMm1XQE7m5y1n1xmAMPWg5g3xjErTRs6K+vx9vI06YcO7xwx7YIITuG0zedmo8M9ltH03emIBXyI - 1KAEVS3Kbkxara7u3bx4/Re++NX3/PH5b/opaxDn5gfjZJ5cxzexLs2tD+oe84xgxGqATVbsZG2T - Gtrkdlh7jD0deQZfpCYVvlMUxWA8mDXbEuNiKOyzn7vuij8qPnXNbhDVY1LtuFmVpm0/pNNDmMkX - zIDqximAEIOIqLPcjPrM3Q5XoygAdbEUU7Npznaf/ZTvfAVd/pzh4sKdzDqWuZn5QdUkMWZ2wZuZ - qhIz0YkOw7PsCKpaFD6lZnZu5oor3vWa17zu5ltuLYuyburJhhBCjJJSYmbVEz7vlFLCtFQBgDa0 - W0S89+30uq7L8mEa22dZlmVZlmVZlmVZlmVZlmVZlp0E7300/ehHP3rL125vpzBIj3/vQ/sQXXt3 - XPto2Pd853fN9PrHS/uOMQbnxRRqMcbLL3v+7tN33nnvvnF6OK4Evuc97/kPv/prSQVAVOlwSCIb - b3LGcRLHs8eJSWN2zIa6rgGoHuMRyofqiFv0J/999rOfHWMs2LUVz2laDz3LsizLsizLsizLsizL - sizLsizLsizLHjZE1D7xqqre+8nlcoCIOp1OVVWDwcB7n1J68ErizrnhcAgghCASmybdffd9P/mT - P/n773j7jh07mqYqimI0HDpHCwsLq6urzhcP0xJmWZZlWZZlWZZlWZZlWZZlWZZlWZZlWZZlp956 - bnf7qzeWoSx2TzOPYTXqdHtnPHP3ZU9+4T0rd37mhk/etXTbfYN702iMIgU0wYkr3LiuCMrMDLI2 - UgFqBiK4th6IYT0gwwgKNvAkJNuY20ANUrKN8VKTtKn1BI3j5rQdXWDk6FfSsTMzrU1qECgdrhph - k3fQ9uc2NmfjTAxgBhFEUEclUgXMYCZgYscOBQFm5oDSF0FcgcLGPGNzT9j1pOc88bKzt57XlVmf - utx4RsHkwS5BzUwgnCMds+wUyNHdWZYdhwHQlCJC8BoR09W/8Mu7iWZSIhFoBAM3f2X/LV/dArao - wRVKqVYlX7q5Wb7oYgQIWQ1E7+6pxxe98U2Ym4EPBAaSIjKFUxq1RaYANwBCUAEZUFIiKBiu60qc - 8/+9cf87/mB4191d9jPOsxAkYnHLlhc+/9D/utpWV8f1oKTDg6XJQM3MQYETq+bGhsIcGi0D3fe1 - Ozf1ZzoXPY3gO8xpvLZQzt/21v909s/+K8xqbdQx5TbuzA6ndxNNsq4TYICj6UDOMAnYbj/PEWM7 - BbhOVnoKTAaqJfU4oNCVfmdr4ZuVQ0ZSliVO34Wv3JyWltnkqNHhhti26Q/cpp9OplgJLpy7/8Ay - 7tyHC86DNN652RjW7robo0FnfjOqhMKHbpGOO/7kNgcuyx4DaBrd2m7EbbAiGRtNNtPEkw3KCEIA - tGCSGCMbDD0UXhlJOY4WOfHy8vJ733fHh/7y3BQ7w1FJrlEpmAFqc7uxnmV6nPqYAABV4uhIiEm9 - M3VQgoxG45IBRlKxphm68sDC5uUdi3tf8fItz3gadm2Lgdd65ZDdWFKn25FhUzIKcomLWqVqtFYj - ss4Jp/meAAaQyKAJgC+CKwmBBKayRDLastXNdud2Luz6pqfu+OKXvvQ//+7uz30+HjowNxj2VDtE - MI2qgaj03qYlQQ0QgjC1uZLuBAt0tuu9PVdgZjDpqourQxzuG7Xtnydfz8NV/VMJ68/IMCCNes/E - pWqKpMqkpUuMg/Vosdc56+d/5oY3/cL24XgLQOAo8QGpq21vjxPc3wEQEwZAEAMI7BisllDHRPAM - CLsRhzFT47TyvunOVb7QbqDZrts877fMhU1z1CtDURDgklGTbFCNV9bq1YEOR2v37wvDUXc0Xkg6 - 53ypTRJ1cnhIYQAhgoECgRiNsjHrJPxYCK5dNANAbFg/r9L+54SWl2CxXuqFwlLaPRyv/PlHvnDD - zRf921+UrYv3h0L7MwIi5poakqannS4XdipbhGESGq2MyADApgZ4BRpLVdpSzlah21Tqi1J7ft9g - gLm5zc+/9MLV8ef/4+/uGek8XByNinbM02ayGrvDG/iJbelGEFYFnDIbE6m1m4dxiipzm6tnXzTz - w993AGnAXcxskmjcpFPXn5xqzuBVFUhcCCkjEYRMDVwpNoWye8+9xfJg6e3vvO9/f2xbU28penFU - Qdu+yRyxkaUNGfOHg28JBhiBDa4dGSocwdkkeZfYsXN1rBVk/fmvm44vPO+CV7587rJLYlnEwksZ - Ks/LVS21dGZmx1UkOCIDQ2EgMg9PDulkVv76CI7a9t0OW+FUWcySgeGdIQYRxN5pC+GfvuK8l7wo - XnPNlz70F/dde/2ZIY7Xqtm+R0xVgwJgR7GKBbVrgNsxIm2cm8GIhVUNQSdriYASHVaftKoa63vo - cPkJnfLmP3n/0u13X/jTPzE+ww18WChnx4NRhYROaFjYUAqc4nA2LU3+y8ZKmphh8AaGmrU77kcE - MnVmNhlOMBtsEuuuYqaa2LngYMIxipqBWAyz/fkgzWYZh3qIa669588/dO9nPrdgsttAbHFtPOPZ - dQuNsVFrT/7SpNUxDNMpxtNxTnQwMAGP3i334WHT4HMlBeBMnQKYjg+Nw3qSN1QYDGWtwupS8/6/ - 9B/9TLF8wABXYlhbwUbG7YGbMGDkzQNKFjHdlynWrz20aevAhj07SJUgxDbZVtF+oe2XODnGbJv6 - hgbf/jnL+vbIBoIxTzdAIURWYQBwiqCT/uohrR9GoyAGB04pdbgQqaul/bv75R3/42+x9wn9V377 - alO7slfFptNh1gi4k/suHo2cgqarWgEmbXO7FdDpAIgMrOsjHHiDiLLnppFep1OurG6NGLznvXd8 - 4M9OW1nuNyMWeIZnr9JExLZ4uJv2hOtHGGgj52nSgtvjFyMkrXrdbpOqZhz7BcbO3V+EpV1bz/mu - 75h78YuwZdtBorG6StR3igOjYekLJnbOAWiaxpic9wD4qEOYwzPOsgdqm/vh3Q2xEdg5VFWzY+f2 - d77zv3z/93//1+64yzki5hilPUZuSwm0ORPHfJ8H12Z1b4zxbmsWpJTKshSRtgp/COEbuKhZlmVZ - lmVZlmVZlmVZlmVZlmUnbf0BMyMktSrG9/zR+xQgEDOpKpiOjOJur5swACIzm0R7l0Uw0R987etS - Su2VvmNQE4gvwnA87nc6LvjXfN/3/9Kv/8bkjjk66orMURcCT/r6oHd85VV/+qY3vHHz3FwnhIAg - af3+Z954I9xxn7jLHluO/qJV1fn2nhceDAaTqUTHi6I/7jsfayIDDDz/0sscMTPDwMwGTSKn9OGI - LMuyLMuyLMuyLMuyLMuyLMuyLMuyLDtaWZYAvPftc69lWdZ1DWA8HocQYoztc7JN07S/HvNNRARA - URRN0wDodIq6aq677ou/+uu//uY3v9kzxRhDUTjn1gajnNudZVmWZVmWZVmWZVmWZVmWZVmWZVmW - Pa4QkW2INM6yx5j1tm1mbQ12AApLkGEz4ugZVKaOjannNm2f3XPu059eu/Gdy7dfd+unb7rn2kPN - 3eoGjdauUyQSUxNL7TuyHa4HT3ZEaQgydpPgxXZuMFIARmob4k90PYfLQCcaobXxxfSgEyfh3Gk9 - VnyK9ag/tElBFfbszKAqakoEZhSuDQ6jWJtFKRyzhRgTmy91ZiHu2LPl/IsuvnjvmRds6ixy5Wjg - SitL1xU1NTPWpDEhGpt3ToXZcgmL7JHoBGu3PLLk6O4syx4E+xAECo1YHpzf6fVHww4RHMAO9923 - 75rPbfHQNe34IEkA9cEdaManP+1ymIvsyaOGNf2Zi37qp1B6FOUIMMCD+aGneJ008lBNEMfeXDu+ - wmiEsgcEDyrgsfijP/SJX/qlXf3ZwdrqIndDUSQTPz+3+fmXLH/sY2Glik0qGGiHYhs+8onmmBJA - UAfEaHMFDn7lpl1zm9w557v5ObhuHI82RfnKb731gp99Y29uBsRmig2p4ZMA70lSIaSNWMOx64HZ - huRBAN5zVBQEAIXrQiOKcNEbfvrWf/drZ/T63gh1jSLMnHH62upax0gtAaBJLp/iqLnYNKitJSbB - FSlpR23pa7dvOvtMMBUEjtVcckiGJiF0wagFidDZUMGPJpm2THbESDTLHu3aLsIpjI5I6VaCEshA - BLb1mGd0Q1HXtTNH5FJKXmwONpfGuOvO697y1t41XzhfIlVN6UBOpI2LVlvvGLg9ZLVjF5lspwqr - IsC4/QtAGdrvujpKTWh6YdXNNNt3nP2Sb+m9/GWj4Je3booBA43JkzAMaNK43y21ieNYG5iKUIaS - QWqJrDmlK5OMyJXwPhk3MVoSD+eJa7PlOCq7YeRDZ+S2PPuZTzp/L26+5ctX/df7v/oVf//+09g2 - maGqo5ilFOAmR+Wkk44STsmcnVjaaJv6RgSdHKZbaba6ugrTaWg3MyZf98N2CNs2J9kwR03JUWDv - wBCSBlqppCSdziw682jStpe8YPy+D9rycNgMZzu9VFcAnOk0uXZDbPBDZgQCzMESFPDBGbhqtCLE - +a1D9g2lkbM0Nzu7a9fOPbtnd+7EaWdh0xZsWcB8D55BSVgbZmUwyINCm/YshkaQIpoKS4dw+93j - L9+0/ytf3Xf3PgwHnSQ9sRnVnhpLg1SLIQpAGgh8ZDKfYcMCTuOuWyf+falnxNjMd/1w2PSGzWq6 - 5R9++k2X/OK/3nH2uWul7o9NXXgEiklV1bOLD0u6LdmkCwJgpMLcn+kMlgeBnS8LiEgy1y1Qzh5s - oivK+W9/0ZYbv3Dow3/Xr+HJm6mxwhjGBAVNU11PPIp+w6peb02WGNF1Vmbnnvj6f7E6U6BcKMrO - 0loDIPCje0DgFNQuqjEIbU5uUJ0B5g7dX96z75O//Ctn3H7PuU1qYu2TBZiBCARHgCQ1mY4AN259 - bJO3dabTMRhP9jVmBgHxINWxWxwiV29e2Puyl/mXfstoYebema70+2JWx0jkXK/LZrExJg8QmJjJ - MyssiiSJJ7r+nVJb75gMgFo7tgOLiDEzMxG1wcBgE3NrHivCnnyn8POXPe8pT70IH//76//s/f37 - 9w1Xh/0GMw7knEYxg7P17OAjTl62IbJmZMQgFYJD2ziV2SdpPLEvRBs4Q7epz/fFvmuu+8Qbf+HS - f/NzZz7xCSv1sut0QsePVJjgFE6ZTEE0HeWaszb5WAFWUiM2ghrr0UWl/+8xgpEKTbOYjxifmxGM - TSCqAtOud11iN4q92IRmbfCF67/0F3/RXHvdzuH4gjpatE4AEdSBRFXqxpAAx9Og+GmEfDuEABik - ZnzEeWrjnN79oNgOp2i3J/0BtCNDdgAZscGZtWu1FNlUDcafu+aWP/mzs5dWWOFn3XBNOh1onLwD - JiPJyfCmHV1umKOBdP2V02nWztTWz+mvH4gdr3A5AdMeiaB2xK7SNv60Pn44yRVEKLoYDFWhhfBM - d248Xg2j8emMm6/80/OedvH8OXuWC0a/P1i7b7bf02M/EfyY5ZSxfq3Ipl82QYi07cqAoNMDeYBg - EqMj2uzZ7t+/KcrX3vq2+pOf2rH/4FyMzOACMJaYDBKcEyjwwG9wfShLNklKN4OSGthUDQmijafV - stznePF5l1z8uh/A7jP3M60yc28GZoHMe4Zjmw62BAbHjhnAg5X4z7KHZjQeeFf0+92U5LTt2959 - xbu+57u/9577DngGgJRSCGVKyU72Ak5bX6CtR9DWKSAi1fZsmwfQtuEQwvq15CzLsizLsizLsizL - sizLsizLskeyZnR7AAAgAElEQVQOhd1yyy0f//jH21+ZWVWdc5ISNlwuPvx6VQDBB0mxaeLLvvUl - p+/c5YhM5Jjv75wzs1g3hfdkVo3G3/Nd3/1bv/M7h8bVKV2u1nBcX/WB9//cT79huLZahkKSPspv - O8q+8YhIzWJKg8Fg/TnRb8DbAgDmZ/t79+713sOsvfxNRCKSLxtmWZZlWZZlWZZlWZZlWZZlWZZl - WZZlD6eqqjqdjoi0JT5UtaoqIvLeM3PTNM65NtJbVY+X290ioja323tfVU23U6TUfPCDf7179+6f - /PEfZ/bMzIxDhw4tLi4++FtlWZZlWZZlWZZlWZZlWZZlWZZlWZZlWZZljxZtffUHFFpXcNGbSSlq - UkYIYMBbTaSy2N0R0cxt3nz24p4xv+T+4R1fvO3zX7rti/vW7mduzISdkVNzyRCVjyz0YCAwjLEx - IsqgpJNs72k2iuGowih2jMikNhvu2P+CN0zRSaLWxnfdmEfZ/kY6me009MEZHxkIxzBtP7sSiQgD - 3nvHYFWr1BScbAZFQX1XB6Qw19+6Z/f5e3c9+bzNFy+4rQUHrRVL8Agl9WCQBBEVExTGgQsXFNIm - EWXZI9BJ5z48QuTo7izLjoMgMTnPaAZIevc7fn/L2qjjYc2YGGia+trrZ1dr50EME2UQgBo2d/YZ - WNwMctKgLLuVxlub0U5W9PqTXL3JwMFObZxpG09L1CckQWPmPTEw28N42JS9Ao5BAbN86X/4dYya - r/zu73WXVuckkqhKxQszC5dfsvqxjxXDgY4bEBIBUK/T6MoTx1BRNQ9v2Ep04NrPb51fgDdhc0V3 - TlSH1dW/+ZvP+9k3odeTUCrgCG5DBN4D0/CO3gERdMOYkqcvcQwYYhW5E4wDeUVZxPm5Q8sHtyg7 - A7p93rOHvv71emXZT9PZ1mdgG9LaJgNBawvcTT+OCot1nR8cPIg778HuHdDaw89o/PLb3nHhj/8E - ZjmFkhjhcAq5whAI2n7eXKcse2xRVgBkTAYyVoYQEkMYCjiDU2AascnGqBFSUYagRHVoOqX2Du7H - DV+84dfeumv/Eg+HnZKNkRTqEQ2l2UMfwylBCEbwqmxMZm1uNwgpyphwyGO4Y/PmF3/LOd/+Mpx1 - /sGq8Zu2rFQjS0rOa1W54EJwMcaVWHlfhOAJLJK0SaQIzKe0PzcwOy8iEhslMHtXGCCiVhK6vtA6 - 6jCK80tFQYtld2H+wqc+9cBHP3rXX33o1uuuPe3QaDuh6BCUtDFAlWBkzgBrU1oJJ5o2Ss4srf/m - iEKyZmkFUJDB2gPpyUrRk0mDPgEbUzrbeEUByMBAp+w10jRVLazkHQVHzpVS6JocjEPs2LLtxZd9 - 6QP/o8G4i7KuRm2rYoESogOAIHAnntOsDuzRriEyV6dmDNQ7Tls59wK64IIzLr6we/7Z2LoI51Ri - LRR8h7gQQlKpRYUL5z0XRVRRVRExKBE5EM+whwWX7LR57D6z94LLz+SAQwfvvfFLSzfe+LW///jW - 5dVtS6vzKfYdO6eFtundh3Mo3XRfZtNdERspIfH0/55oVDmjMTiPepx6TMmsu7R6ntoXf/4Xn/TG - N8w+/Rk2O3OQSJjVBwFiiqc0opIANiaFIyjBSHWywePQcGVubrYAS5U6xpZYxgIKXJSruqbl6Kx/ - 8R2f+cjfnl4W0owcTc4GOQWDFaakjBOOct/40QysMJCyIjnsD27+GU/BOWeudTtxLbqx39rtCyxq - ZY/uUQGzIZga1MwbVECzKW0ZDuVjn7j+7X9w7qG1MBx49o48TAQySfoWS22IL4MZlDDNwwYAMgSZ - nKQDAHOGdjAmgILRiA5Lune+N3/Jc5/wHf8MF5y/FEI9069TTE0TDcTsiiKpikrBzjuHKJaSagIz - O5RMcLAT6QvZGIcHxm3mq7atjhyRGUHI4MVIjNWUSXvFYDzqBOdCuQTu9jrFq779KZc9/cYrr1r5 - xKe23XLnLAWRJhpKJmJWkfX+ebo2mNrIcIaAjFhY1SZDV5WBJy8mVIMZRYGqRsnN4lBn7jt4/c/9 - +6f86Ovmv+UyKXzF7BVl5PY8aeJJPxAUXtXpdADM7UGLNo75EXbyRwm1b3fx6owKgTMjwIjBZOwj - kVjDZL2SilR3loe9VODqT9/213+zcuMNc8OVOWuK2Li2x6jhCYE5mgJclEWAamrIwEecL1YjGFTa - k8ttMwAmsec5vfv4lNqUbhQKAJEhNDm0DDp5AWBsyqZMMl/X3Tvuvvp3//PZ42Fh2pnpH1wbbg6Q - Cq49fiJw+7dtGjeY2yENKRm4DVyfHoADkx0cgYWQiEHq7HDi+4bundvE7/WLAe0fMhQkANoA+7aD - IsAIsmE/W0xP32/Mkn8oSFEESA0D5vq9NKxlXJcMJQTDaGXphne/98m/8MvLNnC9TR32zh53TW09 - 650NrHCmwjDXNi0Gwyd4hVNuB//KsZz12ox6q3Vx6z1f+q23dG+/Zdvq0pyDL2CGqkGCFkDhIZIc - QQEhAHAKNigZAKHptzmNeHcGJe2W1IybALdUdPdfeN453/XKhRe+IBbdoTCKTm+2d3C4ymQF0+DA - 0pZNC2NJBigRMfsQzEyOKu7/6N7/Zw+HB273KaX5+fm6rpumMTMRO+OMXe969ztf90Ovv/vu+4rg - VNUkweRYx2Qbpxy3R2nrCzjnmLmu65mZmcFgwMxVVZVl2Tbjdnifc7uzLMuyLMuyLMuyLMuyLMuy - LHtEaa/8isj7/uSPoykAg7XXl9vc7unLDt8HvD6RHSQhgH7k9T/cVFWv09l4IWTjHcVERESxjr2Z - /mg0ct7t2rHjVa945R9eeeXhu1w2ml52/MdT1eD9e/7ovT/0mtcyCGi8c+2DPRvvdt74abPHgwe0 - TzNTtcFgME5R2infkPYHEPDMpz9jfnbWUjKd3m9vxsyP8ufLsizLsizLsizLsizLsizLsizLsizL - skeZTqeDIx93jTFurKTcpnqr6oOXTG2Tv9uHZ733KaWqamO88ba3vWP37t0vfdm3VaNRt9vdvn3n - eDzOT9ZmWZZlWZZlWZZlWZZlWZZlWZZlWZZljxO5+nT2mHecu0q4rgAEZgIjSbJUeed6vdCkETXS - cdyjLYKFLeXp5+19zssvivtWb7/n0Ne/esdNd9x3y4G1+yte1Y7nEBNHIxjQ5rjB2KkHsLE4iWsz - N3DcxAIjqHmj9fLyk7+1h/ovG4D1dPDJrHU9E5IMzo6oXb9eruSIGvft3xqE1QpSElMQYJVJY6X4 - npstU3c+LJ6+6ezzdl54zs4LF+d3sXWswozONYOoqt1OnwM3sRqnEQevqqEM3vmk0sQoUR0x0SkO - hMuyx6sc3Z1l2XG54BFrB49qWAwHnZRUEnuGxvqmL9vyoZBACu+pStLzXTER5zZfeD48g0IIYUkw - 6Pcu+1c/g24X1OajwhRJYginMLdywggKGLwDORKgqqt+WfT6vqnHRRngvDKx9+DuBT/x07e+5ber - Q8sLXHRLr9USL8zOPe85hz76vwsnzmyavWpt5vSJU2JvaqrwntBoT6rBtdfMXHqJ27TZoJR0tq52 - ISI2sJ6YGDlgEszWztGhXSDQMYNgaZKKrWDXjucAAkQlsIOhKIIBjaAsurBq77/8sTt/+y3jgweC - K5AS5mZ7OxYHwxVS88IGpqOyi2yayrYxho2diyIB5E1DtNEdd/R270Cq4eBS01tagzE8J0AF3kEB - mg52CczQjUFgWfYYYECcxn+2gaBtDF6b280AG9w0kLXdltUIRFBCbGZsvDWN167+xI1/8Idn3H33 - YjR4pFodQ4GqQa/DqLStemmqdtwj2AcKaoTUfkJhjL075G1trrvjkuee88qX4aInrxbdQ2SyMJvq - YadbSlNZSjO9vplVdcWeXfBq1piQiSNyzJ4cESL0lBYbVFVPHDwBSCaqyRjkOYoiSceFEDp1lLEI - Cr/sQhin07/t27Y+5YmrH/nwvX/51/fcdee8okwamMnMDvdj04xaOuGO3QBt/9iDFU4kjoZQc6Zs - BHD7XQsDmHZzp8wkjnoaCwoABDOoChs7T/BOIE2TlOEp9MsOinC/rsyfsTPs2N4sjZzEAN8gAW3e - 8yS48eQOFRpB4WDTP0+A6/fn9py7/d/+IuZ6a8Hu8lQ7r+QdekxEam0bdlQ4YgCqkCjMbMQogrGB - nUBUYIii1p2d43l3f1Qk7s6e2d+1Y/vzLrnw1a/Gpz6972/+9o4vfMGvrSw466k5JDHwNM4cgE7O - eYCn+3YjCDGgJxHdDYNzcA6cYGbe06wYD4dSNdf+9u889Sf+37nnXdJwb4WYidg7Sw9MqfzGsmmC - Oyv8tEk0BCMt+2WdqlhrgC98qbB2vacI1+kuYbTptIVNe/ccuOaLC6aOwSCFto3Z6OR30xsaPwPa - xso2DsuzxdMuf95KikMpF2ZmKXE9HKN07HBq19EpJpNo1clJtFIwG2VhOD74/v9661UfOOvg0kKV - HAEmZmwwIjATgKhmBO9ggEaEo6oGt6m+bfudnt1TZTXCKOCAZzv7nAtf+vLixS9KW7fuY2rKQqHk - nXPOiagqRBlKRkTajGPwHAIRvIiIKlSNHJhhJ3D2jdZfTG1uN5RsumNKZEymICaGYwJhWMeiM2Mp - DlNd9P0QEqk739/9xJ/6Kbn46vv/9C9u/fy1s1FnnMWoQds48MkceBJyPtmC19O7adK0AADeyJvW - gIEJ0sA7IKEvaa4aubXRdW97+xlxbfO3fnPq9WJ3xnQ6xifWttXbZDctUIYRQKYAG6mATzSN+JQy - mmwsBHXGzia52kKc1Mg5Bzi1Gehik9y+ffjKbZ9/zwfC1+/pHVo5K8VSam+JHVThDOwcFCrqyQss - 1hURPE3bIR3uHduxzeRnoN2uJ/HPD+sKePRpV+bkOG0aeu10fUxoIDVKhUqn0v5gfMObf2dx3z1z - CGYyGjSbi8Ji42jaBdDkqMqgQqntrtvp69/E+o5PCMlxYkrkE3PDJJOmrMB6LD21adxO4cyckjfz - Aq9wpgoYCR60pvn6EPckS58LomK248bDUQACe3BCAhh+sDa65gZ86nPbLn/eXWtrpS+kESL/iNkc - TzklAGqTr1cZ6wHqzAYDO2G2tr+CEEApqBRr1RZJqx/7hxt+951b79q3KHUp0ARziALHKMuONHWd - rAywBJ7sxZgNBGODsmrbonQymlpvfiuNpX45KGfnnvuc01/7vXjC3jtFYiiDcy745bUVBArBk9iW - hS3VcOzKoGaqymaqKiJEVJZl2pAKkGUnyns/HA7LskyWilDGGM1k797z3/GOd/zAD7z20KFlAMAk - XfvotPiHgpmZOaUkIt77wWAAgIg6nU5bs6AtVcCcL15mWZZlWZZlWZZlWZZlWZZlWfYIMrl7mjAc - j6+44gpur1AbTCSURWya4/1hCCHGWNexcHzBeec+6xnP7IbQVFVb3PloqppS6nQ6sW6cc975ajT+ - wde+9l1XXnlK7/9h4mRqKd162x1Xf/KTL/7mb451DSbI4cvIG29vyB6fmDmJGGg4HLZTnHNJ/tEX - qac3Il566aXOubqqgvNtyXLVFELI0d1ZlmVZlmVZlmVZlmVZlmVZlmVZlmXZw6x9irZ97lVEQghm - 1j4J671XVVVty4gT0fEKVanq+ptUVQWgKHzTpJRAhDe96ed27NjxzKc/XUSqqjKzXJY8y7Isy7Is - y7Isy7Isy7Isy7Isy7Ise1zJAd7Z4w0bRMl7D2iKyRGFsoDKcDzw3gfnAKTKvHWCm1FQrKvdZe+M - M3c/8+zn1RgdGN1767033/T1G+/cf/tasyQuCqmxTSO3GUjGCkptjFE7U53OevLrxs3OGEdFYNg0 - UeW4/0IBPno6rJ3I0w/DgCqlSbl5Q5u1ydOXTSJFJp+BAXjxJj4Is5E355Lr+5mztu8+c9vZ5+7a - e9rM6Vs626guUYUwKgv0oNbUTa/TVaCOlRLKsjCyuq7Zu2gxNqaqzL7juwSICE9z1bLskeMh5iQ+ - kuXo7izLjssAUmBY3fI7b9uakpC4TgfjNSwfPHjLLXOj0YxHStBIADWkyai/uBWbF+AMURvWtbmZ - 89/w4yhK+ACmdohBBPgSmObknTrrqWYKRwAlVzpBBBDKSe9HFECMEiDa84af+dJvv6U/aMJgzRdd - NENs3rL5RS8+8Pcf98OxVOOeY4MSUTLz7E1PsHqXJQCsIAExnKJYW62uuabzgheQEpwLLDtquelX - fm3vr/xqWYQKonCVxALM7CAGIkfrUVlHLOmxdkcEwAHMjjAZVxKhcCyA8x5l2G9pS6eP8bgGlwH+ - vHObu75eNhJT7KCwNvPLo4kowiRt9OgZmSkDBnVKJfmlu+/p7d+Pxc2KptPtbRnJrb/9lj3/7udN - NLjS1r94U5iBFZTzjY7Q3vJu04Fvmy+VQ6AeXYQxPZBq8xTbuEQoYMm6RScO17wL/W65Nh5FqO90 - x00KwTtKnWp4WjUe/tVfffkd/+XsatAXSwo2MCMBYO4ZqAK3w1AzbOgNjneShg1sEICDpghmWPBj - wr6iWN5z+pO/73t6l14y7nTH5UwVijqKWhMKTTqEhzNqUlSAXGGACabJziAIQ2DRDGB36rp0grKB - zNoDY0+kRNGQzJQJhU8wMqECDCJLDkShc69Ev33b1u979dyznvrVK//0zr/9xFke1qRe8E2TCGTs - oOLYzNYPwB8qU3Vod5NQVRh7cFwboUksRkwiakQgOOdSklN6/mw9R7Y9X2CYlBw1wEEnvUcSAgry - YiCzqqp6Xc9KRnzm0y+6/2u30dh6klybb23QyZkCnp5xOLEV5Bma4AgOZEkc+xHMd8pe8Ac73bWC - audh7JWK5Nk0+VqdAUimqV0OZjAJzAhkZlASY1IGAz6wtwQhBcBOo+qAqep3Qrfsf+u37HjhZTtu - ueX2j/zNbR+/eu7eA7tK9s2ITJwaFxQbEwZNPyFDlVhpsg7JTjhnlAxOAAEThBBh6uBV5yX6ffde - 95u/dfG/+dmtz3l2Qz3X6zXjUXAEmzzItDHtL6Xk/Tfg0EwJSsyGwgBTpwDDmSVCMmEGl6yqFWol - JGIjM2EHgH3y7rSLLzxw8y3z4xp1cmQEtkkwLzFOPNe8bUgCBto8cbZJKmh0WJnp4ol7g++WYDFL - UCvJPB7Vh9hCqK3u9WdjE5kYdT2fZG44uvcP3nXggx/cM1rrR3EAGSugZEZKgJoaAQwHoO3zHyzy - 1hExOYqpCZ4aYEzY1y/rZz31qa/5QZx70QpcVc6oM9IxwYw5qRJQEEiETcnYTNmzkVbWNuJ2E+AT - T15mmw7kyAACmTIAqAJt16GkAMQhATAXENCoNzUnFUcDWF0l7pCfnX3uZTvOf9L+P//g7X/y/j1J - +jrQlJRgiqIDFYggeEAAZkvapndTu/0SAAjDgJSSJzBgAiIkAITSo6qGm0IRlw/d9ntX8MHVba9+ - +RJh1OmNYuqiKBFEBM4nScwenJQmQezODFABA/rIye1G+9W1Z2YJMAVBSBPDiILvVcNRz4WZRufX - BvWnPvv1914Vbr1tu1QdTd6UKRFBARI4I4aDeRgUDSw5hmMkATNqRUGggBQBTMYk7RiECEQwhZoS - HDlvEv8vr5RHMJ5mWkd3+FclJIYjlqrxgZ3HsK4WfJhdGt793qvcjV/a1jTJIgIH8dZoG1Q/GXkZ - UnuI56Ack6I0AEiAMYgIZizE7BqhWIbV0i0zjQpvc7O9hQWemwubF9HpheAMqqmpx+NmuCrDweo9 - 94TxaKaWuaQLyahuEBPQHltCa3QCYoQHiMAEGNx002iXsR2TPGhXdqw1pFTCtJaSQQrT1B46Joe5 - oty2tPaF977vouc+Y7YoKiLqdGJ6HF0qsGmP5FXZtG0K0vbANXrBk5g3MseVNBVLR9OmlPrD8dqV - f3bT+686bW1lm4dWQh4kLAoPUrOmqQA4hqZJN76xl2t3CcwQQyKUDBMkQ+Hc2HCwE5Z3nHbea189 - +23/ZNzwyjjNb1o8OB40hSqqUKgSoqozXyc43xUTJYNjBdiszTlez+3OV5uzk2Nm3vs2CT5J0w5Y - ReKFF+694op3veY1rzl4cIUZqoAKQe2og9Y2fOJBZtEWI2h/Xm+x7TWh9doEzLw+BUCMsa1o0L44 - 306RZVmWZVmWZVmWZVmWZVmWZdnDwESZGUxmRuxMFcQwvPvd74lqgvaWMgPwILndAFKMjhimIvqT - P/4TJok3XPho7yN6wIXg9noNAAZpkuD8Ey98wnOf9ayrP/tZtBdW2rsvvJfp1ZbJBcJ/xD0YYkoA - ETPhHb//ny+//HLHrKo0/Xg5tDsDACYTM+C2224D4BwlSSA6iRvUyrKsmzHa26PQ3uqFf/qq71hb - WZ3pdU20Ta93IYgI0bGj7rMsy7Isy7Isy7Isy7Isy7Isy7Isy7LsFHHOPeDn9vnWtrINpk/FtiVu - jv82CsBsEgQOoGkSMLnSLoIf+ZEfueqqq84991w1U1JNqd/vr66ulmVZFMVoNCqKIsa48cNkWZZl - WZZlWZZlWZZlWZZlWZZlWZZl2WMDEamZwYhovTZ1lj3mFQyoAMbERkiiAJPvrqcYtnemQIXBBTGr - 16YPoIu5Bdq+Z+dF33z6y8XFpdGh+1fvue2+W+/Yf+u+lbvW4nKto+jEdZFsUgeePJgJMBWoa0Od - ppscUXs3DKnRhshIIrK2qgpP6oy026ZNI2za0C5bT92abLhMam1pCML6jS6sLOqQJnFwIIMjQI2M - 2dqgDlgbCgVi9qV0u+P5xf727Yun79x++o7FMxbnd8yUm8L/z957x1lynXXev+d5ToUbunumJ2pm - NApWsCwbS5Yza3a9eAkf0rLGxsDC2izLYmCx/WJwIjlhjMEYB4IN+3nhBYNhgSV6vV7Sgo0TTjhJ - VppRGmlCz3T3DVXnnOd5/zh1b/doJKEej4xkne9n1Lr39u2qU1Un16nny7VOiVUwdqxEzGbw1qio - FnGdGxijAhtabchYRGBExmwMAilDDYB0xqiHkZIj82AmrT1L5dF7f1bhWx4sZHV3JpO5FwwERdQb - 3vHry02oHKCEtkGI0+uu59VTEgEGASBmppa5deXy/n2gCAvgekp2tMcXDEoUBdil7kinRyV8kbzd - DGh6rUl6radpCRngFDFOSgfUj3rxi6591esuWBrS+JS4HqJHVe980lNu/fu/W2CTEBF1alZXA20m - 1G39/mKU3IjEhuRJ1PVJi6P1zYdx6SUYrZuhGizsIUHTonBV5VaatcVqQGAYIESA3KM8G1Aogzel - hgFF6k6h88vC0mUFCBBGwY978Q8fe/UbelIW/b5OVnl52e1YXrvljm1FEbwKoNaJh4PGe1sQbWaU - hG1kHNueK8Ott7vlbVyVzWh1oIMdzBit9aptBowN0iWJYbljl/mSRU+P/Ggzf2FdVGF9fanqN+PR - evTcL9i5UTOpi6KKvj8ebV8fHfmd37/hne+6sgmDkZ9H8FMg2YVFiTZqt/tFihQohOhBDsHRHRru - quvLnvmNl//7b8IFB4/1e0e9VlRpgBHqwmlouCuhvPHTGEgVqAJmSR7J2n3+gGEETfvdOGJmgxpA - MEKEpupx5jI3JXX94aoVpybY/5jHXrb3QLjo8s/+7h9sH42b0bQG98WF2CoghDaiPNu0USd/UwKx - GUYjiYvGDgIozAjpCZYHeKjQhUNNxu5NvkydpZCsaz66b5YyUc/slKU+eN5agWHDFrr5gJm48Swv - K8/8nWwAcVLXK2krgHNjVzTMgeEisTHbvEU2gJSUk6KdVGc+4PS/ZDgGJY87GyE95RMJUeABJRdV - YRgMBju3P/bCSy668OlPP/a//ub6v/nr5VKKlZXdZbnatDVQ99zaeqhc6gHMkgoAzIqtTzowGRnM - SCNv/HGhujwd+xX/4bf98hOGg4VLLz0JW6zrcTPFzNuNTdq/zXq/LwQjROJIEFWkmRTorEbqCrUS - gGhEkRVwZVmE0EhBRm5wYN+NDjsFqf/AUE8p0CiTGaBbzcyp8jEiinOvK4wwFRteeCGGw8BkZhFq - bMqipHRfT3k92FFCuTCYqPexXYxyHpEcPf65N76p+YcPXDCaLLZxFrxYAU6l9W4ljawT+iZOiyNs - IKBBrF059ZO658Y+HBOc3Lm47+u+dudzntPs3X9C61ZqEzdt1kuxXlVOQzCCKBNUNtWilizhNOso - E3NXg26t7M+bCqOuOJMBYOnsmKzEXQ40ArEoUtdVSY0UQGEMyElwu7Tc6w92Pedbdz3yyk+/8RcW - 7mx3FOx8FETfYGpYcABjzWM460MSZsJgY0BFoZs6rNb1jRWGSKgrsqbd4cpiNLnht39vcOLIFT/0 - fY5Y+gM/9uPptFxYbGMo6kpjaDWNc5S7gmlpRw+ymR+eTfJq19VPb40na9PFwSJCZAs6mfzxr/3a - v7lrfdfqGuANXavJAgEowAwKCtoArnQVLPjoHaHoUZxY1Xc6CW0L55yqMixEcwJjYNYjgULNKPoc - +Po+IINTBEZkKGkvALBAMEKIVvQK30x8aHdu79HhO/Chzx19z1/umza9oJ4Ys6YqsgJwBY0aK4Gi - KKAhmBHDEWKLqixijJOg6NdTprGS1r2mKhcuOLjnyivOv+wROP8Atm9Dr4IrwRWKEhahAaQghQbE - gHaKEydww02nPvmpE5/9/NEjd0nT1qqFb3W92V1X02nTE4kxKkEVzOD5SB/A2ZaU1KuiTYNEAys0 - BDDancrNLbetvOc9O775649EmzycvN0JI5Bp6msoQTuZNy/W9XQ0KcpSLU7bcVnwkGm3UnHkrmvf - 9vbRX//txW3bj1ECehWaBkJdVc+mZoiMCESCGGB8epB9ZUPjIQWgmHiUxOXS8OhkdLzfl8de9bjv - /i487pE3m/Z37NJIR1dWBksLI5uAIpuygUlgiMQGKMV7PK57PthzeuoyD0PE0RVXPPJtb3vrc5/7 - vOk0iMnlPwUAACAASURBVFCMysSxu4+7cQPVe19VVdNMtrwLkRR3YDKZ9Ho9IlLVGKOIFEWR9tI0 - TVVVIQTn8i2YTCaTyWQymUwmk8lkMplMJpPJZDIPOOk5zxgjg5rgq7rfBP8Hf/SHBjh2AQaNAIjZ - 7n1xjhMXYuhX5XDQ+8qv/Le9Xm+yPi6KYku38NjwPc/77vd96EMAer3eZDIh4Q1v97kg3X8JIajh - Ax/88E2Hbj64b/+gqjR09yU7uzIAQIEcEPrhiaqSiIAOHz4MIEaTwp1FVmTmpmlAKKuqnTaDXr+Z - jB9zxaN2bN/eLyohDtB5xPNzfxiZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTyZwVbduWZZns3UVR - pLfT6XSr2zEDM1Sxvj7+vu97/rve9bu7d+82EyOOMaZdpOdtR6PRcDhs2/aBOJxMJpPJZDKZTCaT - yWQymUwmk8lkMplMJpPJZDKZzBcZNgCmNLOibBjZOoNGstwASgggY2WCEyOYExUYg1TJatu2d/ng - Y/Y9wZeTNT15fP3IXat3nBzfddOdn1+fnFpdO7k+HjVTb6QkbGzqNcLSWyKLMB9C0LZXzBUtoJk6 - 2AxRLcWep84m3tlz7Ew9QqdpSMKVpGObHZIW5hlQhhAJmbAylDmKBXFUVq7Xr/rbF3bs2rX7vN17 - dg72XLbt8p4O2Uqog5UUna0VMaByFcBkClJCBBQUjTWyzi1Fik4SRNY5wpInYpP5Cpok3plM5pyS - vRGZTOZeMMVkijaUx1bk1CrVMo3NwJW48671m25ZDKiQukNkKuRsqqEZ9nHh+VgY+ElbFBJ61eNf - +AOoHKgESQtIUgYarGAPFBsK7Qcg+YAyADAnBzkQmUQFkjRQRqxg6WyvACM6EmeX/8SP3vTzb9w9 - ksG4xc6diMdw3sKBJz3xzr9/X584GEoATbMlby6SS5WhgKilVDlAFTZujvzTJ/ZuW8SuZfIKkVL1 - o6993eN+/MdJaHvVC4gpZF7BJRQhRldKd4R3O14ASKLNuXORT/sadQ5vBkAMAqpylVyPbLy6vqNf - o5ksf9ljbjtyXMHsPRGb6Xzl9KbQdac5HdMXYErEbLGGrtx0aNfB89GrKSgo2NrKP/7iW6956Q/T - QIQKN5cs8kaiMpkvJchQRgAoFGQIDLLOxgo/HUhpja/rekz+VJgElmqh0PXx4qRduP3obb/xu0f+ - +M+vUCzADOCYxpAAIAa2MzWv/zxGQA3vUTHWAlarYv2CfZc899lLX/Gv/bY9p6iatrwglQg3cWoh - CEwI6IynhFTfEQg6Hy1aZxMX7nShD6C9W8HKUIIw5sPFlAg3r4ZJN5tuBaEZr/aqgVXb7myn23fV - C9/6rMdcfdVn3/Srk89dtz8YYhCGc5i2KAtYAH2hNZExNK6cLPbvDcUmW7catn7JtgrZxpTEbMKi - 26nNKtkuEwKBoSU1bVszRyK55KJRWSjT3KLdmeaVAPDWQ0mSQbTLEgaKbJHVSAUKqJiWCmfKSbDL - DAObQglgAXSWcj7jvKWkKKkzD0CJAnMkBiCmjFAWoqaj0I59u21Q7HrS43ZecvnOr/nKD739rf0b - b+qvhe0LQ52uT9dbNytInXzdILP5HQPTlu3dToHIXkllXtgBMyw0fs9Nt3z41T/9hNf8WDl85HHv - y95ACTpTd2MWr5OZz1XgzsgA4AXRIAab5Ua2VGq6wqLMYjAKIlVomppRwOHgI0bsWjRGIIMSjAyA - KNjoLLptSjCBGhOMYARNjnMvsuvSS1HXIyEvROrJYIyoxkT8UOgZ3GO4VSOM2mkbw/Zhf+HYitx6 - 56E3/xJ/8MMHJ5MqmkIY1mUw0uREh+n8xPKstM63Fmk+8wgxZUPdG07H67Vg1IRJv7pz2/DyF31/ - /2n/arx43opKA3ZOLDalaCUSpk3BhRJgbITADEAp5fq0D6bT92u0ZRuuUafphjEobU1hRTom6nrd - mHX61EgbYQAuQkydBhiCWUvFqCzC3t3brnrUlT/309e94ecOffLTB6qqHI/MdKFmBG0aLJSAwgKS - AT1NfqYDIkOxaeozprbDAKCNqIUiDKFdLMq9a9Pm//zdrevjAy/6QT5PVgoq+zXHgBADkddIjhnq - bNaOA5UHgCAPoo6rAZFYKbXO6nTWMhqX7Czyqm9CSeWOwSOeetXqH7xnJ2shFbRVM4uwgNidO3NF - QDBvPsQgTMIICpsYAWEcjEqwwBjqI2LRq5vpaG5JZ0CYoGSm8gAO7x7yMFB0Mvmuqe1qY0IL9Rp7 - PSdtoyeODpvmk2//jeVbj1UcDSgUbArSSBYESmiCDYY1vItNE9PGPJxAHJrWC6SuFm5TWt+xtPjE - a5Yf9+gdT30y+j0r65ZZQQZERiSKcEZQEkWhbMRGRGKRQyh3bBtcfPHSM75yyRtuO3LzBz506IMf - os9+9kLXWz85HqACWIo4sTYy0vhOeLO9ezbhf79J+RlQSb1PSiNcMkiBqN4Gzqq1Ezf+xbuv+fIn - 9/YurzPk4STvJoOoErqj9mJKBAMb4rR1LFMLcNE5XmTqr46Lz37+0C+9o/jYx/dORot1GRqYdVV/ - 6t2lsioqShYYBphCsHFWLVWvhl4pkzb2qtKaNnJ9ZOoPL/Yu+pZvOO/Zzxnt3L1WOmVZRQSR65Vq - oYybJd2mpC3HliF2zxUEzXaXydwnZxT4+xyB+mlTluWTnnDNb/3mb3zrt31HVRXjcQvSgh0AH7ut - 9Xq9yWTUtlsOMTD3dhNR6g1Op9O6rpm7VK2vrw8Gg6qqvPfJ5J3JZDKZTCaTyWQymUwmk8lkMplM - JvOAUhSF956dOOdaH/r9/jTE9/7l//n0tZ8zkNcwv93CoGj3uno7xABg2rTP/y/fs2f3bvhIUGFE - 3TBhJza/PXPp3dd89VdffMHBmw8dbiZTAqB278rwLd9fBhCCAiDAiVubTn77d975Ey//sTbE9GAM - 28Z6m8zDATvjcqdPzIxFzHDDDTd0d8lFzkLdrWmFmME3LQHTybgAvuHrvr4uKxhCCBaViIjI0vLD - fAs8k8lkMplMJpPJZDKZTCaTyWQymUwmk8lkMg8CnOtCjIYQ0uumaba0hfkN8KgQISO76dCt3/f9 - 3//Od74TqoNetbZ2yjm3sLCwtrYWQuj3+5PJRETua6OZTCaTyWQymUwmk8lkMplMJpPJZDKZTCaT - yWQymYcIkQiUrBERFIBkDRMYw6QL7kERFI0CQVlLgFIM+UgAqRID6rgffTDvSyqWpb+D91y6+Oiw - FPz5GknVwrSdnlpfOXrqzmMn71qdnFydnhxNTq6Mjo/W1wI8FSYFm4uTcCoKm1kSgxGRIhJQiJiZ - UZJBdQBwjs00hVmxFIoippUtDBAZw5hIABBRGcuq7bEXMi6lGvaWdmzfvXv5vG2D5R3b9gx725eG - 23rlojOnCiixQZrIBtLCVBwqoZKlImb12lmwaOYnIhWFWUXEc0PQabYgSnJLJUvv1Yg7F2Um8+Dj - HDnW/mXI6u5MJnMvqML0L1/1ysezG7KohrpfY2X96Gc/V04mfWaoGojMKYxMjanatQuDoR+tt1yY - xqljVEWQgiBJ/MpAcuzpTDX9wK2xVSBAAbiNvXCn9lGAQHP/bRdQC8GVNlAX2ote+N+Ovv5NBRWy - NpWqb3FCF12w59TKnf/48W29koJ5H2XrnZK5pM0UAJgFRCVZe3INN9yAStAfYjSmyh65d8cHXvfT - T37FS2k4KAQBZoJoQYyck5lE6zS9FXV74E3JOj2FtPGCDEagqoLSxT/y4iOv/7m6HKg1XPfQHyzt - PW/91tsGjBJEgCmcIMZ72Ww6hQYDhFQAjqonV3HrHRj0yz17MW77Ysvr65i2qBpy7CDQmTt8y3rU - TOYhAM/cvU6haahDEIUYChLzPpgJu1EzWdy5NPINr5y6AFKtjT7/ll9e+6v3XelcOV4n4gCOJICQ - RZCmzc7YQtlRQjNFY2j75cnF/ujCg1e+4Afw+EffURSj4EBSUUFAEzwDPS5gakbWVZIMQMHJ3t1V - mMk3CSAN3Egf+M6wgyGii2pIBoamU5owSj5aBaBAb6FeXVkNzdgVdcPVsYIne3rby8EVP/aKw7/y - q7f940e2rTa1x9DgGBrPyShTCepPrZZqIZ0iUoDNbD7QfeA4LRDqGbvb3NqygQGPGAkm1AiX+/bG - xX48uUab2xVjps0b2BrUebu52xbUaSSLsxQmFXsEaWTGhtZ3046M52/P0AlrUkB3RwRN+YEQp5Mx - O3LOwbl1T61xvWd5YXDlE3/udSd+67ev//2/2DfVntceqBZniGkXc+nw7Pxt+WpZ95NImaDSeTHB - jL6hbL2//cgH3viLT375S3ZdcNFR35rr5JXJ7aeqZqb3HJr2bBBTzM5bTB0PIsDIuvmXmVlcxRDB - ai2gZhRJZOdurfp+dRwNQgCBoDCaX9Ote80RQWpKCAWYOhUrWpZt558/YW6ZSNgQKZoYgo/sHhpD - 1LvJ15PJmwwFY3tZVnfetbRy6rOve737xKcvUC19jJhnbJ7nPbaNonZ6Jd+h1MnXCTCDEvx4HUwT - otV+eWTn8hNe9iPjq648vnv/dMzEZVFS1Kb1o6IQuEJ9cAYxjgSAlbAptzPZhsN+vsN58u4fOj8k - dHVRty+y7pgAaJfpUv6ZNSYE0qQRZgOVdb3mm5YpFBL2LS84vezVr775F9584/9934F6sKTaTEcM - VA5QeD1NEtwlv3MhdwGd7fR+ZlHKpIklUJK0k9EuJ3py9ab3/s21RXn5C54fdg1PUITVVVG4ql6d - jLDpzFgqQNQ17vHBFNxZCUqsBO4ylbERoMwIIXBZqNi68hOf88z3/9l7dpVcTJse4AgQwGDp4gkm - rVEBK4oW3AKRRFkiMbFrSdWVOmkGLbYVtUzHYTIFpylyqKaqwUxASmZ6ZjbOzEk5slBEQMkYJAYo - +9gu9Ht+stb3fkerh3/vD3u3HNodfapmigjAlHWe92LEaH1aoRIUIiXYR2sbYOokDoer3mTf3ku+ - 5qvw1Cdi/56wffF2pras4QqA0/2CYBqjL8URkTGljyMsmqoGKl1dD8uo4n0lsX/JIy685LILn/lM - fPyjd/zPP73lg584v+iP7rylF2lxOBhPRmeGdE+3SeSsMoOePk6HceFk6ls2vw268vnr8IEPD7/x - q06YPtzuE9A9xbsHEE2LUpwDxVhNx9t89B/51Id+5de2ffSTFzJBJEzbkmCK0KKq2BoFYBCACRDV - 0G2aANNNXay0t9DGkqVtdIRy1O+d2LX4mOd83cLXfdXq7v13gRFBVABsZCrU+NYxY9bAscGzGoUo - 4HjP6b8bGwnIlUnmC6Asnfe+LMurr37sr//6O573vP9SVdK2MWiKws9lWbZtG0Jg5rPoh8cY5z35 - pPGu63oymdR1nRzew+EwRTGwh/SNo0wmk8lkMplMJpPJZDKZTCaTyWQyDx1UO3OwwqQsGt8Su1/6 - 5V9WoKqqaTMFQMwExE2rge+RgskJPfe5z52sjxyoqirVLd6fJavL6ju+7dt/+md+BjAhDqYMjkTn - 6rGbdJfHgKAK4Lf+v3e+5Id/pGQBdXcc2bo12+lWkNH9ul+Z+RKDiMxMgRtuuCFd/7ZtIYLwz5SC - u2PGIhrVzIQYpkz8tV/7tapqUcmMmZnZCGZ25iKKTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTyWT+ - RUjrK1Q1ebudcyGEs9hOUYj30cw0AoSPf/yTL3nJS9785l8cra0uLi4CWF1dFREzizES5RvnmUwm - k8lkMplMJpPJZDKZTCaTyWQymUwmk8lkMl8aaBLOKOmGHoawoWnqHE+KzkzBZARzSBE/yDCzTakZ - GRfcIzIBKJjFoCDTWhlmMaDdNfQXL3q7MEb2cD5yE8w3Np40o9X1ldW1U6eakw3GLdqmaabT6XQ6 - nUynrZ+GELz3amaIabVMUCWzaEYWIVywOFc655yUUhdCXBSlSFEWda+u+71hv9+vqrrPg931noEs - DupeVfUFpUUiFQ6OzAkq9s4mhMgwKqQUB6UpKBBcssSYqlmrlryM6aTF7tQZg8ip2xBuzSKhkHXn - NmkaGMiRKzIPZr4E5AsPDS9aJpP5F0AjmskFTrA2FTMbT+Eb3HnUH7+rD4VRVCjAwlBlmGPX37EL - XHJViyu91cfb5nxyES6ABaiNoQrqArjRAyzaMmiAElAlkx1tSLu7HaeuHWPesfNqJCXE0Ct2vfhF - t/3sm3a2QdqGpIIf4+rHLk4n69fesE2c+ui2rvYkhXBnBTKFmQWGmQ6iHr322l37dmNpGWqFD+tH - bt0zHCIAbUBJQYMrahBDzrBxd6lg7uLLzUxn6Ve26ZsEUPdBetdorGAoi1P9umxCnEbyrSwuDh/x - iJXDt/aYoqqQCxocgRiIXfS6TkA+66QJIRosSUCZQggLRGs3HV647AocP4lSWMIu3/un17z2Ma/5 - ycpViDP7ODM2CYAzmS8ZxOC0E3x2+msDksPbYmRwXaz5adEv0bZ8/NTl/UVcf+imN7xRPvHpRzLr - 2qoBRhRZAjEBparo3Ji7Za8YG0rnQlXd7Iqlr/jyR77g+dPl5RXXP6UYLC5570PwqhoQK3E1nPde - xSJDul0xGyuIDZFhACzJQVUMrAogyNbrxC2kn0XJwJHVyJKNlUzFOiVkF2STYHAAlHB8MsLAVezI - qAxQb+stYjHc/chLD77yFYfe+pbb/+qvD6yOR6NmKEWMfqu1UCedTZe1ew9R+NVRDZpYjMYEEmK1 - LQZ53Dq2yVxO6K6a0sa4moFInRV41uCR46Ixm0ixUNfFrl3xlqPpi+jM6HNvtp7Fpd1ofAwCLSIs - KkWFaSQE4sjKpIRIpjBWSuN/ZgNZJACmc6MwzxKS3huo4QJgMe2FbiPGakCvN2zbFi07ZlONqiM0 - Ta11USx/z39cftrTPvb6t+6+jra3EWES4B117VryoRrBRd6qJ9JIFR4gF1NpV6TOhQEQtcghnl+V - 9vHPXf+Gt1zy2p9c2CmroYpEAMyMmc/tQ0eicKrpcs/KBZvNZqPSrNUsRC0ZBNDQOucCIUgl9aBe - 2B6OngTFSAqCKFhtniPOwt6txAozaEpRUqY3jsvzD5wsSwUEpogAlTHVKw9gfXIO2TwXML+IDuaU - tq+s1Hfc+ZlXv3bx+kM7QrDp1AMuFTFSA8iSNlvvT5W+uTcHIDC0LCfm1vbuv/o1P7J6ySXt0t71 - 1bBUD3xo2jgFa90Tb1hrg6v6iHFz6OG0ETYgae/ttL6lbjEzEpCumSYpO7HNBN5A90qJ50chhjIy - SCOpIe1bzMpIGE+bclgFv45CRqJx7/LqicmFr3jpkeW3H/7Td58fbMFZCOOikOkkOoFaJ482QLum - IW2OCMlQrmKgeRTmaKUIR4OZA0IIgnCwVx95z9/fOG4u/qkfPLlYRQdi0rXVgSu9RgCiMKJUmgKD - bJMw/MECk82k8FAYKZuRImrUIFaYUnQlBsMDX/EVd7z7rw6y0NQHVQqdudsiBFT2eT3GsfpR1TtZ - 95vF7QsXXrJ80cFyqV5c7J1qxsV6O/7IdTd87DOX9XaWzTjQiNiLRSNAEYFIBgbpWdqaHw7EZEwn - rYNGhhcEQhnhDE6KkjCdrO8E48OfOvT7f/pYZ6bjwjjJlQHl2Q0INvQK0QBnLkLHccJRiqpcYbuh - cPUVF1351V85+PInY9eOcVmOuZwGKd2QI4eAYBpJUTCLYylMDRYtULp6DCpJiEqFUYCRRVdNObbR - mKg3pMFTrj7vykvOu/n2T77jt9Q3B4JNR2t9LQ2BsWFt19moU2kL+YEAsdSuI6aWFGCFAi3MBFFj - j+K+9cmt//u9B57xVCkXIEWkh5O9e1bUyVAoIgEGJY0Ft2Es0P5ktLeNzV+//2Nv/e87j9y5v3bW - NE0EDE65AJFEaxUES1Mz5hhgQxWjkQKsqWuErjGOqaFSMBVeyvVh/9D+7U97wX/FUx63XsqxaVsN - l8RU2wBHBvaq6oqGQNBClS3lW1OOAEAEO62jdWabs9VmKJOZMZvmm2FmVVU0zZRIvvwpT3r7r7z1 - BS/6f8xiWToATRtUA6DeN2DaNLd2fyGiufA7aTBijL1eD0Cv1/PeF0WRohiUZZnenpPjzGQymUwm - k8lkMplMJpPJZDKZTCaTuTe893VdR7O2afsLi8dOrhy589Z/+OAHATRNA6BwRRt8+rKIRL3nVW1F - IdHHf/9N33Bg3/6eOD8ZC1wMEW7j5qxtuq+X7hTbGXf6SO3Z/+GZb/r5N7YxRAOAGGNZlq1vN31r - Y0nJVjGzsizbtjWCGY6eXPmjP/6f3/asZyM+2NZ1ZL54nJkPzUzNwHzt56+bf3QW/nhi1pnz3swW - +8P9e3ZfcdnlBZOByMwRK0FNzQw5BHkmk8lkMplMJpPJZDKZTCaTyWQymUwmk8lkHhyYWQihLMv0 - OoVGIaKtxk4NQYlINcVbgin+/M/efdFFF/3Q93+f9z7GyMzOORGZTCZlWc4fws1kMplMJpPJZDKZ - TCaTyWQymUwmk8lkMplMJpPJPIQhJXhAGRzBgBhBOzeisnWSLFGQCVkBQHlTsPjkdiEF4JyoBq9q - qlAIMzkjEt9OQMLMQo5BqmJeC1QmkQhgA6kCWFAswEgNiMmcZkYMte61OIqzJTGG2H0KlEWhZgSY - kpmZGcCkaSENIcmDIEkdJUS+aYWYwTxlVUAhIIZASSBETAQ4JjXVNrYRFI0NFIiIKRrMYCC2LvRE - OgWctGKiXATizrNmxqrpiGZ6HzIAnDxds4gueR1O5sFFWof2ULd3Z3V3JpO5F1Thm6Uw7RkB7LiA - i3d+8uP90BZMMVgAmJnYYFGjoiiq/QcBbhud+KADueqHX4wYbSaKnsGp+yQPcPKpUyRqt9NE6lKk - /pvd/fs9FgPG09Dv9WHNb7//b7/9mifvYipA7EoE37vmcX51dOKW2xaZyGC2ta6JKQyKTuZqajAz - EEqg9eHYp67duXs/Ihfbti1MRwz6yM++8fEvfwkYdVUEmLfWAjkpU1SvTsJNyaHbHRxBZ4eHjU8B - AJF05u1mAQAVLlELoue9O/XQiIJKfwEnT2DP7nrHUlxZjaYQFxTOTjNLbjp1G3bXpM4tCKTWd9XR - EyeHNx6myy9GJS6EQsI+N8SkReHBxTx5ybpLm69RJvMlRGQkH7BSZ+9uNUrt1sirs6W6bu84enm1 - Hdfdcseb3sYf+/TS+sjFhgCpKPgowlFDsnLSPYW8vJ+0LGtl/8hwcP43fO22//is1Z3Lx+ratzQY - LDbjsY9enBWVOJMYYxugZpFYoSDuqhtSgDtZNQGptkmu6Ac+zCAl8zAUZhHduDqpiFMFZEk0OKtN - lICyUNXxdFyQWyiHXtUb63Dh2tVje4b1BS/8oT0HDtzwzt9fjie4jb1NVdn9obPAgplmlkqCAWRo - V9eGSlAzASWbr34xQjHG7qIA6ByZ8xZAZ9kvEmiWDylqKUWrIRiDaXDeXk/XWucVTrlrowk5G1Mt - KYwN2s0FEMQMBhAbnBEAB4uAGtho5om0uzs+N+fzLv8noycxQGIggxgBrMrGmE48c1GxU9UYjR1x - 4byJl95kbW33pRde/VMvve3nf+Wuz16nJ1b31oPYjjohPc23r7Pj3uLxphmb5ByfZYzWYlnWbTvF - aHJRNfz8xz5z/dvefskLf0CXCl9VZqaq6QGkcziqTCplgoFARgyO6ZpaN+5TIKVwbnKHqpRFUJ2S - q1xd79ijh+4w8pTUzl15FwOUKHWjtlQFiRKZMZNR6vwAClAx3HPeyaJ0FFVjMFMiZSbHZvrgsyPf - K3czr9det49H5R1HP/3Kn1668dDSqbUqqAHinIWQmgMYg4jN7pbDlQBC0q6ftgsAgCjY4BmhKFeK - otlz3uUv+1F/5ZXHev3Y6NJwaTpaNbTKAUxU1BQstlo4BmInbp/l87T9zXUFZjVbcm2fixOjZJzK - UrI7K5BqGOOugFBKFjkjigyBhGYqpOZjY+apdDu2nxpP9/7n7ySy2/7iL/fGuMz96WTsGK5A2Ijk - 3DmCUxOQLkhX16V6LdWBqiwOgCKKc8KmIcbJ9HzhWz700ff/zC889SdestoLx9uRoGcaHMiIjRA5 - VQusxA/a3ioZyFgUgEaGwVCoNsECjBRlOal6B5/5LX//wY/uXFmppyZg5gIkLWHsbFLyCW3i0uLC - +Recf9XVlz7u8bj4IgwXQIrSvIVt/b6urPI3FfjU9Tf/0q/h5psXgvR9IMARIFCDmdLDSqK8dZQ0 - CsRQKUgRuNPFMkDE7epoL9U4tfpP7/jtCxoNp04uCBAB0Px/jK6AqkZXVMFPFK6/tPNoMz6qQQ8e - fPy3/If+056EC/atWlgp3LRwCFKVgzDxBRxYnJCyUzaFxRiZuw4MEUnXwyIS9jFEmDGxk8AcKTKo - YbrL+1379lV1/8t+9pX423/4yC+/Y8lPdivV3lixUXsYp6LIuqUulhI43eDQ1PCkj0mj16J22gYK - 2OH0c5/69IGbDi88+vIRu/hwinedqjclMBRGlMb4xIxYmfZPjXaxnPrjd3/yv//mpauTpaYlDSzo - l0WMsBhABENrKBgKGAxQNTBUTA1QUrYNeXZ6YQRz5UlCu33p+Hk7n/ayF+KRF98JaqQeLC6snlwr - lPpl5YMGjlwVMU2TGCtAgGdVhqSRxP3uPNjpUxmZzFkQYhsiiqICAPDTn/6vX/va17z0pS9rmpDs - 3SEEZlbVs4jLD8DM0p8zMwBVFZEUv0BVy7KMMYpI6upnb3cmk8lkMplMJpPJZDKZTCaTyWQymS8C - RVGEEIzIOde27fbt23/qla/uHjaDGSjFRHbOpTsa97ad9Kvv/d7vVY0RJCIAmHmrq0mqqjp//4F/ - 94xn/Om7/8JmS43btsU5uslrZm3bAmAnGlAIv+1tb/v2Z39r+i3l+40ZAAARCfPJ1dXDhw93ywOZ - Vw++HgAAIABJREFUbevxwdOfdBHMzdbH69/5nT9c17W2DYN45gg3GBERs8VzfCCZTCaTyWQymUwm - k8lkMplMJpPJZDKZTCaTyZwFROTcLNqMatu2w+HQe7/V7ZhZemy2qoqm8ennm9/81gsP7PvGb/x6 - M+v3+23beu97vV7btvRFCEeVyWQymUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk/kiwQCTkRGTMncL - Q5TuLjHkFOVk5htSTq8NCvi2JSJmJnFJoR01mmrhKjYyg6kSSKhgZmYOoVuCktROAJiZ2YWgAMgI - DCJK31FSm5qRknGXClIyNiCuafJh0Rx0r9mgipnPG1AzUic9os5MzGYMEBGnaClRzYIBDCJmxyTE - kTmaIe0MClIiEKUQFEkiyQY1MFmScyXhpYKQvN1KADS5vefqLjYYMW1RjpnJPEDMrWoPdWP3nKzu - zmQy94LFT//CGw76tnQDrJzC0OHQ7XbqRG1WkHhE5wpVjd4LWQBcWaAqpuNpb2G5rHqHWr+zYJRl - ND+gAkncRAwDFJIkYQ9k8iXp64hBMJ7tizr/pCFZaCGYqbwBUYRm2u8twALK8KP/+0/e97Kf6Pfr - xfWGXRFC48QtPvma29ZO8bTV9fGW0t/JLzXt2DExITKiMpQUSnJihH/6PB73GD86WVSVjEZLgxqt - oSRAFWByruCksZqrtDufmQG06XzaphfU/YyzP3HovKRG6mMo6uLS7/i246//eTFuTq1Vi9uxtrrr - EY9Y/fjHQjBNYs97aPI2QtupgRmeUnqSHd2E+M7Dd+y97DKMRtQr0Y7N+79/3ev/1StfgxomXSc5 - paroLku2/WW+RIgElQ0Napz5khlwvWotTKaidSGT4ycvpgGOhs/9/K/xR/7xQJwqogmXZLExAOZ9 - RQAimxhIIaAupN+W1LlrRfmpXu9Jz39+/xlPXV3qr/WqZuq3V0t+dW3oOAi3ziKbhRhjIHJFValF - A0dK1VYgQxrmbSqlpAQjDmmgZ90xPhAYqVIAZpU2oMZKUGJgY79KaqTptIdGFwZDkSqMJs10jZmJ - ZdxO+8tLk8bfOjl+4JufeUl/4TP/72+Wdx2rx5MteYhxhlBwZqXF6NTajmjzwTnSwyfM+kCOHOaG - RTYkmzUZKJ2KmXMxzny9kcDGzsRFZmNnBUR6e/Z44UisDAYETLMTqwwQmLamaqYkVjcypkjwjCBg - ZlCpKEhZEAQKEk37AgAFmOcuYdvIa3FmZDcApAYwAgFKCMzRHGaXQIRB5g1qUBEtOBJCa71Yu4X+ - XdWpBWf7X/Oiz7zpLfp/R9V42id20EhJLt5l461mBmxoxSMMBIEJYEZaFpi0015vMJmMfNC9Vf+2 - P3sv9h+on/0s7KpSJNwYu0JtZufk0SMj9WxpEoqMOxOqMdCF0I0EQ5rLUhhSSVJV42KKuFRUvLwj - sDMTprCpqjGA0rnaUixeNpBC1YQsZcUIlIS+VDzcTlY6azREiASRMbESOVN5iIy1u3isRDZjMBmX - t9/+6Ve9trj+tnoUShUPrUrENhAnUSorkZERtNDu9BpYu0sDIhRx1qkziKlZMrKnOTI5FWT9gv2P - ftXL7dKLjpvUVJnD2uhYr+9UyEk1njaT8bQq6j4XlVELNdJIXTHhtN2UfgMhJnV97CoKIuP7X58b - ZmbrVIbnwmwDWVdvG5ltmvXzknqzDHDaKVMkQyWi6gyMCGGeTsZS0MQp76j2vOA/q+ptf/qeUtFr - SWGbvN2Y11cEGCGwkdFG/jckIXFRV820kVkQ6hYQQVmxb8Y7VwP93adufPkbL37JD9HuHeu182Bu - DcDUITKLgozZmOwc2s3PJaIsBlEoqxK8KGLT65U9LXzTNhOdSK938IIdT3ny8T/5s4Fp3zE0NNGv - MJ9YWDq1e8cFz3jGzquvLi9/FHp146cTsdAvIMzK3nsiN9h//uqxu8572hUXftmrb3zjW9bf/49u - JSIEZkCgEU4hbGdRhT6siAwzOAUBVUQqFJHgg99mtDTS9T//W/+ZGxb9uFfCDFCFCaEbOdOsJxYA - aGNAI+16HN22UC99xb+99Lufi4MXnAI13lopoFKZM8fTdlz1aw9DnMYYLRrARjDWCBDMMQsLKcUY - TWERRVVBYzCNqiIiIojaRnO8uG5ubVls9fh5T7/m8VdddP1b3nHT+z58/tgtNcrGBE1pdEkEvuUz - pGQQYyIYaaog2VACFAIxosJR5MnaTf/wwYsuv8w7+IfNCHJeM3c1J6BAYDCUDbuM+xN34jd+944/ - /pNHrq6Xk7WC4aQ01enEM1CVrm2DGaqq9L5VApsqd2N90Y3cJdZly/SawJ5pbWl4/PI9T/qxH233 - 7TtCUvV3qA9Hj68Mh/0KPPUelYuERhsAJVgMYjBCIFaCiywKQOe91vvIG5YfxM7cI2kKyTaX+TPL - //wT7VV12zbEphGqkYie+cxnrq2tv/a1r0sRAbz392GkuF8pmt2yTfZuIkpBB9JvRSSJvXNwgUwm - k8lkMplMJpPJZDKZTCaTyWQyXxyYOYRggHNu0vo7jt71rne9S0iIxGswaNQNmbClxSjzt5u2E6M9 - 5YlPuOaqqwVoxpNeWWjwjt2mlRqnceYNvm4xjCqpPe8/Pfe9733vOHgAVVlN22b2Z1/ovV7nXAjK - QsF7GKaqn7nu8x/72MeuecyX3T0x+XbNw57PfOYzbUzubYDOcm0Li2jsYpf3qt6znvWsEAJiJEP3 - ICYTCxOZWs52mUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk3lQMI8snB56ZebV1dV+v38WEVTLspxM - Jk3j+/3+eDwGQKAf//Ef37//vKc85Slra2sikp66Tc/YnvuDyWQymUwmk8lkMplMJpPJZDKZTCaT - yWQymUwmk8l8kTGOKAEwlKFkYFNK3kdzNpNJRUrWgwAA4KS6QmeuMQACVFKYmanFqGbExMQVCbEa - WyQSECIMMI0xBmNmWArgACUQkRIYWgibGSkp1FRTyAdFFBGFkpqaAlBo0gLVVW3gbhmNRTPtJBjc - BV9JKvJk8jbmGM2MTI1AlMJUzK1N3IkiFRpNoyqMTcpkNGYQsZkZmxIzmVkXWh+EJHmDkTbF5vPL - 6OK0cNLroHNSJNVHMDoHEVoymcyZ5HKVyWwJ7f4ZNv5tws74h40XOv9n0AjE0z4PQIAGhAAf0Ab4 - gBCg87+6h73cw/vZCwMiNM5Te28HsnlTm9MdPHy7FKmKas0I2/pYW1257rohhANILSRBJizCyIk4 - Vw2GUKt37YaG0WQsgyG4QIgVlVDtHNI0i0ZlX5SoVArEDVe3AUYbJ0PPFFJHdVUdQzAARQ1Xfvlr - Xn27c+OismCuGsCAhcX9T33qSoytcKTOY2vJws1I7sPN/+YYwRUggkLNYKbRYpIImaHHUoynKzfe - jGlTOMFkPHSyrPHjb3gDQoTXAsxADC2g83N3Wg3e9ZNOr9Vp08+7vwQD7AqwoKpHZel7PRkMMBnB - Ii68KJSVOjGAieeHAMyESadnQGJiAgypTxlCO6jK5sQx3HorqIAxFMv93h4q0EyBoKenIi+1vi9y - D/ihiREUnNTC8w+V0AKBqCzLvuJ8OLrr+Gdf/Rp84hN727ZsJqJBREwBwDkUQDJxblRdm/LDmVEv - DRyJI/H8V43Isbp3eGHx6a94Rf/pTzu5vHS8rsZqVVXF0BYEC55BFjU0rZmVZQknjQYDb65PjGze - cBDSMLj7L2mAz/kJPA3aVIsnv3Jqv6irfpXm+moDDGSFuPHq2nR9UogrXUFkxEpsa6PRGhDP23N8 - 2Kue8YzHfvfzbllevqUux8W8CuX77h7bzJ25EcGxSxozEEdriN6B0qA9mp4rH/N9M2/K9T53NW+Y - WGBRRZmIfdnjpaXIMIubv0ywmSHvC0lamnJAJPEkKQ1kIGMyR+rYmI3ZIMaiTMZknE4mgOQWBVgJ - ljyjaeIASmmyo/MNk0IAMaYYNJqSsBJ8UDMqy1oVa+NmVeTY9qXpgV2PeuEPbPt3/+ZwVY9dZdgo - L2fn7ca8d3PGyQ8BlYNOJg7OEcva+iOiXvs7v1fdclNv5S4OQYmdoohwnZQ1KS038qESlLbWSOqs - w6ObqoJ0XLz5O7PMYAAJt0GhFlRRFbHXMwJp5HkW6Ca8jDepQ+/vyTGwKsNSJ6ELTFoW0qsgZIim - ZAbHBZN4aOhm0x6EMOAA1lm/yMEcugJuLIXqzvF4uLr6T6961cL1N+6cNHUbSEPVqyYtZHb27ymP - MZAqOjWCUupSKqAgZUsNASukEV6pqlMXnv/ol7zELr/4cK+Mdd8CovperwgUV8ejNkRxpZPSScnQ - ZjoGVLu92tzbrbSRDZLSW7vXtlUv9d3GKoQ0W6oEiBmbiSmriqmYMtS6HMUADGyAkibBezudFlIy - pBk3C/WQDQ1hZVCfKum873newW/+hkNAMxiYY7MuKxqpUTqalO0B0rud5VRj+2lTMTvnECMTKiEi - eK91rxDfHlhZ5w989NA7fmPh1Hq1tqp+ahTmVnIyltnu7vH8pGZoU2N02rjngYY2tUrzFjk90ziZ - TNSH4eLSGnja71/xTd+0tm3b6sLw9rq+vnKHdizRU5/wqB/6/qf80lv2fdd3Ntc8/s6FhcNVdde2 - pdVt205W7hh01cmaFJOyd3vr15YWjywOVreXF7/4B1cv2D9d3h646NoI6i5nVnf/MxgraRRv7EXB - ljpvcI53ALj1jmt/7/f3KwYxUkRIzTJFzHpckQBjMpQFRhHN0N25WN22f+dV/+2/XvqyH1nbteMm - jSuDYTNYCFISFRQ4tF5E2jjx1igpFXAFi4MIMSBEACI0aAwWlJQdSSnTdsKMohAj9b6J0Rsbs4Or - 1ryuu2q8vHzb0sJ0395LXvj8i77jWYcW+sfrqpWuLZsf7Kzx4dRHDXz3AfLde7NpA1C2eduqBBWm - EAADOUTTIdOd7/8ARpMqhs3lLnF6MVT8c72jf0FS4ql7ndoXBnj2yd16uacbi40BiKEOcfto0r/j - 6F2/+uuHf+9/7Du+smvSLABiiDESpCeFAxofpIAITZsWYDaA5p2qbhepJqF5Z4sRiNeL6o6FBb3q - MU/6iZeHg/tvcy4MFlcmU4MsLW333o/aaSCbBq9mRSEMI1OCGmnasoHZWPSf6WNvhnJlkvmCaZpG - xIUQQghl6cxsfbT6Xd/1na985U8SoW38fIwmLGcx3nHOxRjLsjSzGGOv1zMzVU2bDSGkEAbpy2cR - vyCTyWQymUwmc79Ik5bzG76m8B5+KhZsNlHyBc5uZzKZTCaTyWQymUwm8xBiMpnU/b6ZtW1bVtUf - /NEfjv1ETb0GEAMQFhEJISAJjM9YAJ/u0grwPf/peQgKr4uLiwB81Htchn4fkEF9Wzj3/7N35/G2 - ZFWd4H9r7b0jzvTuG/KNmWSSTIkioiJCKwqIZYlQYuEADiSTjIlzq2VBtw2iMovYXcqnHFrLKlQK - S9tu0C6rVAbHEhQkmTJJciBfZr753numiL33WvXHjnPufUMO95Ev877M9f3E5757zzkvTkSciB07 - hrN+3/B1T77qkY8qFwtj2zDzvXWwnlLyniXnMunsSIB//5u/UW5OtzMCD07Lq94bN9Kotin+08c/ - TuUkkkJyJuat3dNTTkPlXH5l0Lf8i286sG9/ig0RMTMcKxMzE5GIpDZegJkzxhhjjDHGGGOMMcYY - Y4wxxhhjtoyIlonaJU67ruumabY6HufcbDar69p7P51OQwhElLOOp/mVr7zmuus+V9d976uqqmKM - Oee7H6MxxhhjjDHGGGOMMcYYY4wxxhhjjLkI8KIeCZMyK0ilq+rQhe8QFmE3whBe5FUDy+IfJEQK - iYIMAnvyjpnIsTIJORCAnGPOmUSJyBM750q991LVwTlXSr7nnHPOIqLIqurKs568c8TqiNjBMzOz - d84559nFGFOMKaUyflawAztsJK+pkIpIFs05ZxABTOSInCPP5KFccr9BRERgFagSvPe+rpiZmR05 - 55wn7+AAD3FQJvFQz8qLZG4AUNLMqqSbcjq4hEeU9K6yyLDFpCpj7hcXb4UfCwQ15p4TRVbkjfTu - jSzR7t8SFZ2BCMTF820WgQCS4hzI0JQhCZIgEZIkAlFkDm2Q52hmmMwwaTBpMGuRI3IEJKW2vEdM - ECAukr+1vF+JQhZo001ahDTIqXuhdHGJixnBYhzLaVYAImgzFEjAZPKRt7x11HAlvqUGOsbJI3Lk - DtdmRySE2nNsG8fiGE2WOVy1Zx+qKs9W0aNUUyOEluEHAIMEnBRIBF0GNp1V9+3e5wEPbOrEdV05 - wAEBcOVlyycCwwkCgxkICCsY7Hzsa37m6HA47Q1jC0SCVti9b/+XPXbSH7TEHEILcBUawoyRw0a8 - Vvmly8VkCCFJieYiIAHCYGUWgAWUUk9bnqziU59Gm+F8Rh7NTx3IE7QJ2VN2HuxoEblY0nwX6YGL - 2LPFwqWzBiCAA9iDXXkZISEBisTI/oof/ZGbKz8LhF5Ar0a/t+cRXzpHgGrPUcoAdzOF7teSkijl - XSUrCxygCiUGMdr5XmqOf/KfQT3EAFel6XxnAHILiSiRqy2cwIEVSBDdYlTkA123g6bS7TYXFQJo - LiNXqbqclDNxEicgokTqXUXjZs8kVSfXv/Abv57/7s8und7eTzNW1ADHCFEGNG1uJ4WgrMqQcphU - 4huVAIJ24X0QokQhO58BYghwnOiGgwce/29/Ck9+4vFLdo3ZA47hSDRyboPGilsSVg7knTrNIFHX - 5Z92Kd2kTEqsDiUdGU6JACrHbF7AKltN890aZSXqBrCC0R2QL/IFu4FLQrcTciqVD5Xzmku6eIA6 - KHvv2eu0GbcVNzsG/NRvuPJHf+zagwdO1IADkYsAUSD2CcudxGIqaGO5MIQhKEm3YGhgdU7QrB1D - nvsoaOCCb6QNVXWho9pYsWiToUBmJIfMLMQlK5FOHwBEtBqEA0XFmqK3b3+b5p5SELgurbs7ncHn - FcXaBYRDncILSEg1KDEoERpQA4ollhgQUEKXNi3LQRa/lE4LqxCEtQygReA3qzCEkRmRESGRnRKp - avYET3A5I7fAvD9k0RzhDre+OXDFQ172qurpTz9CgaueUzggZrQEqqutflqkYAFvnLjouoRlzUQG - QRzE5Vkf0bftjvGpf3jT6w+cuH1AkoR6Qv2YOQlBhFRJBAx1JSZbWIR1S+ndZUMgcazlVBXrRt5w - WVzCi7TlEqvZ5OQrF6CBXBIZ7NmZ8iRwWgbcCEFJQOJE3ZmxyPdgERERKAPMCACAk5qrA5eAW1DM - LOp8VBJBLdLTEiS9jXSRphpEgyAoWIgJEiBeMxM1KWfBJaCdt93+2Z/92dFnbtgzng7baY+SB+ms - 6QEqXaI0Q4KoVwmbliSVbQ0AREgyS2bAISrYAwoBJapO+XDb3t1f/jP/5uSjH3Z8sEN9r5GUWaji - WUpZqF+NkJkyHCjFRlmpKu0AkTILsTALkRIrKZGAMpGAlIiVuCTH6tZOEXC3PXL5jwoIcWZOzIkp - MSVyiV1GEARooDIx2m3XJaNXgYwceqFNTdbkaz/PUYiFvVBvtVqZ7D2w7+UvGz31626kpL2aFCVn - MymiAuTIhagAwQmCbHRTlzygIpqSqpKCRH2GB+azuKNXeUx3jo/G/+9PV//dr++ZzEapRYU5WuTU - z1QrOYVzGqVZ7B1l87Cp+YJQ10Y5YVa+0H24rmGEKElykhksVCVXw6c2U8XUc+PZlHr1ySrg4Y88 - +HXf+Kkw+uxlV+Rv/7ar3vHWA2//heZbnrq6d9fhYX289rPKiaOsmnOmzIGDqrjALYS4GuWgLZ8Y - DCe7hl/+4z96LfE09EgZCYEgjJbozCRmcxp2Sh7UYqyhUcScVF0g52tSN1mdvvt3rrj91pU4UclV - AARcISuIkZWyqzUMI6AgZOSeu865+dc88Qlvfwue8+w7oM2uPa7f1xyTRDgIiSB7YlZ4eBYmIRKo - ELJqBilBwOXwUFnBICeELOKcyzlLTAwK7FiBLEKStOEgmqNkijw8FnYeP/TQnS944cNf9bLrdu+Y - 9QcJYEZSyYG19kLd4agQNY4ax5FPO0Aux8sbR7IbraKc0QMpSdMZbqJaMQ9u+jz+8R+r2HRXYogd - eQh1s0UgiBNhqHT9ivvlE78rZd+q1HVsSgMs3WUnJmUhJEbpzkk5DwBhJ5QlCDxclTk0ad887Txy - 5MZ3vevUn/6/D50e6+V1UEuAKhEgGjVHIjAjKiIrPABxCicbQzmgoKpqAKo5C1QB79bYH13ZJd/8 - tKt++idnh674gvRctbOd596gStS27dyTdxwIrqwnFDWoY+2mWRfd1NJUAhuHDHrWUPCmvr0xp1ke - 9G6QOx/A7EXAcN65GCOR1t7lOHved3/H617/GgAq5WC6VNhngNkFEMhxCKXHirs4FVMyLdq2LX/O - ZrPySynH771n5hhjKc2/HE+MEYAu3JvLxxhjjDHmQUVRzi3n7gK3ZHAGIILxOtbXdniapyRgcgG8 - 1XOZxhhjjDHGGGPMxSpULsX5rJlVw948N7/66+8SQB0EkjUpkCTnRdC1KgBm9uVPcgDAQO1w6JI9 - z/lXzxr6yilSm7KAqzoqcFYu8p0NBRPifNarwytf/lICHDMAEQE2X/cRbNyNvDVU7qtf3FWVVYXw - e+/9g+Ora01KytTm5AOLJEfKEDtH8MC2vF+FFvdSEoRUsmpvOPhvf/nn6rh8p8O5SkuF8E032G/+ - a+Ma4ea/Cc57Wnz74KUveBFSDuwACESgYBJoWcO9r+67OTfGGGOMMcYYY4wxxhhjjDHGGGPuTvmi - a6lfDMB7n1IqNc289+XB5bPnVO45aZqmfME2xrj8nuzq+uzlr7xmbTxNglkb+6MdGZRzXr5pKaDc - tqm7U8UYY4wxxhhjjDHGGGOMMcYYY4y5CDnnVJWZRYSZrb60edAogVB5GW+UmZNDcpJYMotQVhJW - IcUiDYe5Kylf0pwoM2cicS4zZ1AuVU8WJeUzVKhkqbEyiWqGiqosNjPVLgqGFSU5G6xaAqtIBLm8 - UoWWQ0nlIKESDe6gZSiz1L0M3IWgLSJ7SqBQBmUqIVQlh6rkkzsigipJhiiDShUVzS1r49AwNZBW - pSUk7lJMefOwSFvzpM7nkkvBi8w1Yd0osA8savKfozK/MRcW3UlEnaoSURJRImWC48l8dlEnatqm - ZcxW3U2BsGWDwAASSOEcJ1UB+VClmEBMQIVca6xmE98kTBo+NcZ4juMnPvRzb/j8v/u/Pv2Wt1z7 - trd/5BffgfUxZjOsr/vJFNMZZk1IybWpgqjKfNZQibiSXMKsKEASSDflUheK01uqEtDdxXy2JZlJ - FJIBoG2R8p4sPWHAEQuo1cO31FmcgjKJCFQcuqJnyizeo65B5JxbXT8lrKnfQ78PeAcupbDKwpEL - H9jd2VQzi+7kmTMeV0Le+AMgwHv06kf8m5+4EZl27ETVR1QQ8MSvwb7dM+KUtWbfNBEMF5AyzlYi - KJUWM06yyHnvIsQYIAGruDgb33ITxhOIOpVa86Cd/e1b344omM2RBMTaxtMi8Qggkc0L9uwZWzxc - cncJQDcxwuWJuoKjyZ6V1ZhaUfUOTLjsoIxGmYAsjpDzIor1zj6/kgi76LE5VcznbjrBkeOIArjK - h/mxY5/+lV/BdOJUkMEBRGAgSbqTkRpzUWJFr6pms1nOOYQQQvC+8szICZJDbC931cr69NZ//9uH - 3/+nV1AatnMvckbxyrMsg7TLZsjoMv+6p7scROSSAjYWTHcMTuy75Ek/+eP46q86PqzXKhfZldBr - lIznkv/dTTNvDslbZiQvU7Fx9rA8trsPmvVzHROe/e68CDsEsPmQciO1WhI0K2ROWHU0v2R3/395 - 4hNe9tI7do7WBn6mUlENYCYp7N4xycvFy8sFvrTpkyKACcwq7WQVSF7EESkAInQlRy+szcvhnCGI - mweQKJEg5xyVIL5Cr0eeTlsDyw6e7nqdvBtdcLQwi1sEEwsogTZirulO+gWLh2T58/QVcvNr7zyx - T8EKp3DQtm00SxX6NNhxDBUecvljfuDF/a95/C2kk4yU0B86AaZNyy5seU5PW0obpzOWjxPEaXaa - ncooxp23fOHoe/5z78TJFeeCUO3rKJEqV7Zop+SEF3ml57P0eXkq6rRN5hyLaPEfSFWRhUThA/Uq - diWsGopu65NFh+o8jnxVFYvNEsKilLynYQ8sQhmAUpdb7VQc0nZN6xSQKKCg8kklgWRITD3Fjslk - sD6+7v/8FfdP114yb4YxV5Kd5rMrC5f6sGcloMvZ2xoRAkMi2HsFz6v6yN5dj3nx9689+uGrB/bO - q5rYgyhJTDkTd584bwTbb2oHukeWP7sXLzepzf/3i19SyyYoE5dh8xvxYjeExXa9+X+dMQYBC1yq - eidH/SOaHvXDr6q/6isOx6S1mya4ipjBDgl5nmIIThXunrVaZYE7QU0utW3KGCounc5X/+LDd/z+ - f97btjpZHQx6ToGYJWWR1MZ5XdeLETAvT6aQnntT1fsyG0oAKfv0khpempGyry+fxdy5cS8c+JZv - etSLvu/pb/iZR//ED68+5hF37OyfvOzQbb0wCSExK2FT48DQsjODgAGQeIWb++pkXeMhhy7/hq+L - oVaACDmjOy9mZ2/vjqp6AkkmIvZBlLjNw8kE1113219/cPd86nKsgktzVB7jBqHPWSDQeWzatq1D - L7I/6sNNg8FDv/u7H/sTPzp+xMO+MOjL/oOncsrdJyjn7AYAiw9oU2vAp+8szlhnz9jhKgkgPsNn - Vvi5q09WwyOj4a5nP/NJr37F7bt3HCVICL1+mLdx2sw2Rrupy3qXePn6TrdeiQegrAIB18Cu6QzX - f7avwtTF35IQKxPR8molQUmhpNs5UZ60616SltmmxdkDAeCklBQHSi/UIcbY7/dj1pzziN3VR38L - AAAgAElEQVTlCb07jn32l9+1/oEPjo4d25FSTWXbBUBll5UZZySX052c9W7atsfIrZCHEtY5fGHU - 3/OMpz/mFS+Th15+G/lYDYR9jklyDP7MQwBWODmtR7p8vFzRuofLZLt2A8zFrBx9kIAkpfb7nvfc - n/63P6YKZnjPACof0GV4Q0VijM457/153P1QDv2W1QTati2lClJKqlpCwYmobVsiKuUJjDHGGGPM - F0GknAcupzNEkeL7vu1f6XzqmJg55dhm5WobHxYaY4wxxhhjjDH3HufcfD7fvXv3ZD77s//+3267 - /XYhpHwnFzwIhHJtGcSsAmZ4B8l4yQteWLPPbSSFqhK7mDL8lksnxxh37FpZP7X67Gc/e8dgmEXo - fG47umcI5aKrAL/xH36rHvQFcM6VizLO0d3e+W8eAJS66+Cbby90wa+urX36s9e3WZiW+fHnI6cE - gECPftRVX//kr81t092xe7ov5n5LY4wxxhhjjDHGGGOMMcYYY4wx5r6xrCdeMrxLSfHyNdgtYUbO - uOGGm175ylepYjRcOXLkSK/XK6U5REREYoxENBqN5vP5BZgVY4wxxhhjjDHGGGOMMcYYY4wxxhhz - QW0kHG3Ow+rytOm0fKjNYTQlAqNUgFj8PC2VpnvVWTFb96Rmw92/8p7EpiySO0qSjoKFTps1IcEy - OENLbBkDrAQlUjo9wem0LAZe/kdZBMPpIpNic6iQ5TIYc7+wXCVjtoBABNdFeNIiypNKFTMhiIM4 - iIdUgIc4TsgNQYQ4wU1aEt/P8JBMMWG9wVp77O3vuuEn33D4zb9y+8++/eRb3/mV82bP4VsuXT3+ - 0LXjDzt+5ObXvf7G1/3s53/ujTe97R03vP4XcOQYTp3CfA1xNei430ebpi1LrgMIqUVy0BrIoIRa - qYZTOKWymz8jV44BLp2Xiksam4IZBMQGWWQ8KZHQFQjj2R23HiYCEdEy9aZLowQAYcKgjxgB3jna - 2ab4mFe8ED2gaboMqhKPt4iO7rK7tl2xdGEwg6l8vmXyArDTf9kbXnOHShTAEXoBa0cveeLjB3v3 - zCTBVQRyCpcQFJwXWaGCRe4mlM6MyyqWHSDHYCJSTFbXcOutACMJUq5d2Bc8JuvwhJwhoFCjBHd1 - IzxXBuc9QECFiuAkCUiw0vuqV76M6mHl+iQMEA7u7V1+sAGDfHmrjQjS0zttm7PQNueq1o5kPln7 - zKcgERLheN9wRKdWAULblunNipSkZu+34epgzPkSgjpklRBISMbtfJZjjslFCbnd72Tl2JFTf/TH - x9//Z48W71pNfA/jDDslbZSUdfOxKcDIAakXFETNYPiZ3uDLr3kFvvzR80O7Z1sunvlAUOJ1S/PL - gFNwVlZ4YmKODjOSet+u/U998mOef/XHHafREEitNoHRTibeb266CdoFn/PpzeCiPRYA6ydOgZyq - gllFSmbbeUS+XWjlOzMioqrkuNfrOefu74m6gBiu53oVVXHWamzb3J6KDS498NBXvfiGQ3vd3t0B - mM9yr6YapYNyAQ+R6sS71+X6930A135q92St1+udkpRqbihFJih70SDCCoZ4WYRaX0gMgqiIEJFz - rqwP9/pqy1wSZUVVmf1gMABwUez8M7ECRJHRKGchFZJEnLlq4fr94e4sBydr177lLekfPr5yclZv - OYSxdOEY6oQYgBM4gSZw6TNLJnJHvex9zjP7z/oXefcuADHG8pGpqqo+gDdhVRKR1I6Hl10i+/d8 - 6Q//8OTQ5cfh+8N62igAX/kWIA+vntJGTPw9RCwigHcZzoP01tuO/cH75n/4vkNKnFNFjuF85cQp - M+eclx1dUiaFU3A5LaobXeUueZdluwUGr1eMJz3m0Iv+9fRxD79jyO2hPdO6Ora2XnEIGXVCyOIF - bjEXy7O3XkCKxqN1cEIAy6j3qK9/UkOUoMpQglNUQttsjrcbEYZqDuhxrJQCyCHLSsy71ptPvfeP - MJ4mgQJgDwDCo141mYkLoXYYMoaVzOJ0zYXP79r7qGuu2fOSF4yvuPQUZZW0Ph5zVd/N+3/xSFil - EqlEnApBvEiGmw4H4Wlf++iXXn38ysuOJsgsDys3CMzIpZ/qRINoELhNR5R8J7vb0zacsjYqHJGK - OEUQ1EKhlSOf+DQyghJEHVSRibVE5GKxAiuhbJ6s225/wwonzMrlfIlTeFVAM0liCMEL6ix1kiDC - KoDEmH3VOzWZc683k7lvJnzr4Zve+av4q/+x//j6zjpozQKIICpaFmHJjEzI1G3IIcN36dqs4Exc - ni2nayoCaqdMkwRxO471Rg95yffsf9n3Ys/Kidk0IzsPkdiruJcJ02a7LVJjTqN8zsuiIjIajV70 - ohe9/OUvZkZKAiCmhpmZuQpVKDHe51uv33u/zOd2zlVVVQ67vPfl2HA8HqtqXdcxRr/1iAtjjDHG - GNMpd95tXKWHAyBAbOezCYmIqKt7BAQgtdvu/LwxxhhjjDHGGHMhqJAPdZuSqv7mb/5myriHN/8w - CAoCRDDoVVdffTURdd/+EvHel1tTtjo93vtmNhsOh8Ph8KUvfemFPT7vxk4Avfvd7x5PJyAix6Ja - bq0576s/5iKmrMREdOONNx4+fHjj4fNcGcR7ZsCBXvHSl1VVNRwOU4r31sQaY4wxxhhjjDHGGGOM - McYYY4wx9zFVzTmX3O5yf0WMW74OzuQBiOCjH/3oa1/72tlstnfv3vl8Pm3mbU5EVFWV9346neYc - q8q+V2uMMcYYY4wxxhhjjDHGGGOMMcYYY7Y3EoJ08ZwQoS77TAkKn7uhyqgSbQzlkfKsUsn2hgBS - os1JQEJIoC2nGRljLgSL7jbmnuNuUMbGHrGU/CqFnAS6GEQgAs1AdkAAMTCoXKVw6xM3S1iffeT1 - bzjytl/cefTolSIHVyeXnFxdOXVqx3y6EmcrHAeTU3tYHpLag9Px/vH6peO1lTtuH//iL938xje9 - 6SlPw2SMOEOaVV4BaVTaBF912dgd8VAv4K7K1CKDbbPTqqGJgiE5o1f94zvesbc3VGmhCoUevr0d - j6HKXXg3VFGS2VQB5UyEwRBVD03CLFa9AUZ9eEUdTnsz2Vhecsa7bwOlvHsXcE4ACzjBIXuHXnV8 - x2C2eyUGhmbsGOKSPf0veWSu6llKVehTgkZ457GIuCZlUlaw0CJbt7zLxmx34eciYA+QMqHH7vbr - P4fpFDGirtvx+nDefPxd70KMIKBtsVh0Xfb5+edlcU6Ss4pn9Bme0K/nvf5azCoMAM6vPPxhua4b - crGEi+tGGPndLkkAUHUxN7fdgtkYqm3TuHk8GHr/9I53oE0g5AwieM8ENPP5OXOVjLlINbElT845 - SIJDXQfv/ci7HfPZzhMn0gc//In/+7cPTsYrbfRJCX6r6z+hyw2FMtSRuvKoU6zNUzvo3VpVj3nB - C/Evv3l1z47bKSd+0IXrdfvoxXyXltkTe3UAKTvxfl3z0dTM+r3Bc77zIc/+9psQ11h8L0SBqhIc - ugQQUrDAl+btXM2gAGDIdH0MwTKxm4m2YW73ZkoEwFfhTp6+TyfmwkmiANdcaZuItTfqzRxOsOAx - j/z6H3n1dcApDyFoVIGqXNjZdoIdrds3kU+/5729E8fn66s0qKdpnmkZNwOGOhUWkIKV7pv9IxGV - Mz4lbrB78N5bGIutgcpXtnzdK49vt2zjc2EAoAiKhLaczBJiVHXl6ubosZUcV//gPfMPfnDv+vQS - F8IWV6ESewwQlJ2QE+66o+AkcN6vqd7Rox1f+/hLn/ucYzt3jYGUUs4ZZWk+oLOSWaGqqtl5nMrN - 0X4/X3rZl//gD922c/cqyAFZEVNiD+ccCbvzOb+hAnhfqzjEfKCuV267/ZO/8/vxb/9p34npDuUk - Gd4laKjr1KTSw6du8rj0jc9OIO7OhG6zdnTu3WHPt48Gtzo6RnyizY0g+BpRncKpsAIQJRGSTFIO - eHmRUJ4Yibtjy9VKcdWV0qsFLgHMIAFdDNvz/ctRTpLBdVKfwSLilVaS4iP/dPxDf7Wv6hFQMXLT - KDgpIWoFSIpNhq9pkvJJz8cO7X38D72694xvPbVv/xdAMyIfghCWqdUXSFnbnQqrsAohsQpDEuO2 - HKd79/Iz/uUjn/vdR0ajtaomxykKACVREgBO4LrQaABdytq5thAGUC5CCG3aR5AKxAEVIaRcqx65 - 8SZMZrXA58xEkG4fo7JYE7f9CrmYPkZZPioMAUlZaAQhLX1+KT3/wC4lqYeDNBs/rOrvOH7q42/5 - xflf/83+tbV9yD7nZi5JkAH1YN8tgPKTFx+BE5BydyZi0dFgBRTkMZ7lBMbOfTf0Bld813ft//7v - Wdu756hDZO7365zms/m6d+QZAczbqoEz5pxKL3pTX5qZ19fXmfm1r33t1VdfvexGiSQRads2pQRA - VVNKzrlzjPMupZRKYYLRaLTspDGzqs5mM1UdjUalF7fNjxONMcYYYy4WVI53AFeOMdkPq17tQ89z - Mxs7eADerj0aY4wxxhhjjHlwaFOs63o2m11/3ec+8KG/Kncs3JO7ShYXL6CKZz/72ZdffnlKKYRA - RCLCRM45TXmr00NE5crLbDZ73vOeV4eg0OAuWAnmxcWXm75wy1/+xQfLxJe5SCnZ92UebJb3G+Sc - P/zhvwLgHGUVADivW62IOaXk2B08sP853/Htbdu2zfw8ricaY4wxxhhjjDHGGGOMMcYYY4wx20Hb - tgBUlYhyzuWXzdVm7iERAeCdb1t573v/6Ld+67dLkYHhcEdd1+Xbtd77EELbtt5bdLcxxhhjjDHG - GGOMMcYYY4wxxhhjjNm+GEIqhFwGhjASa0meKTncmwIgNmVhbE6FAFDCORkl4GVjhATlLufUmItY - CVm4qJMWrBSRMfeYAsIQBnUh1BnIgGzkdi9epoCWPx24AoCUPECzGcYTzPJ1P/PGW376dV8mCKeO - tO0pqlM7Oxm8upqFoqCN8zXuc7t+tGnXvE+1z9PVo3t3DEaKfdN4zTc+47qf+2WsRqytY7xeITsV - BCQBzRURcKKe1SETtGznJVC8m0Au6YDL2SIAGSBAhZ1A4jCpnFwjCFjQpFM33jLwnqQbA4O7hEEF - ETI0Q9GrETPqQTNvG1WkmXoGL3b3p+dMb9+mZxkhRkgkDWkLqFTgweN+6kf/WefrjlDVk3nU2QxX - XLbzqodPgVaEQB6ALIOxStQrCZ0zzu80DEgLAKSossxPnJQbbwIYs+nKaIdfPbkyHSM2yHE5Hikr - HpWFy+fXqXK+gnMS0ECVGIpHvPqa6a6dM+8AQorYf3Bw8NJ1laRExLjzCE85q5QZASTUA+rpRD/5 - CWiuvNNm7tbHl0xbzFrk6DyEtGTe14sIT2MeKNj7KuVWUh64QKJNnGo72dcmfOzaj771HV/RtlUz - iXla+57ms7ehu1FCEFmJ1REqwJdoanJAjRuZrvzOfz143ncfHQxWd+4cM6ULnOa4bZUijMum2atj - BWVKMUfmXFeTileHvbXhjoe9/FX8uMeeXOmdzLE/8pSUUoa6ZTOudDcRkKTAbI625dJWipaI4vOr - 9nhBkSrQZXwK9IwiknRma39xrzwKZl9NZo1TDOseoDON85rjrp131IPqa7/u4LO++eZh0D5LQvCD - iC2Xmt0SVnihvRntX//95P//r7tJsqaqqqBKygALQUgWWbkXdFo6G5HaRKrK3qmeFib4RU+GlPK+ - qiAQmLPK5ojZzZ2YezEs/N7iBCDJJJmT0+w1s4KVm1a4TVf4+sQf/vHNv/eeR8+n9XzMmra0wXcB - z12PnX1mL8TCABM7AseY5qPhkS95yMFrXjC+5OBJrlt23nvvfSmvXH6WMsoPVINBb9JOI+nU1ydX - duNJT7j0ud9xS9R6x0iAJsIB0mRkeFRbGrNSd0Cn89yDI6SQ270eK4dvve6dv1Zfe6M/cXIwqk9N - x8JOlZgIpaOvy8xa5hLmrVweZIWU6GtG3mbNp4DFD2bJsx+u7LgELXN2o/6oZB6XCU4OkZG4/FmO - FLuZzYRMzMpC3Axr7BkND+3XuhcFYGRAt1dS+bbDCgeFaMNhFnqRWUhqRlhfu+k9/+VR85xPrgbP - FHwLRObsqyZnTy4CXGEy0+nA33Tp7kf/0A/4Z37zHTt3nWi5398toR+dr6qetnKhd9lOxS1OKjCU - kIWkdZyHo2NVb31lZ/9Zz7riO7795n41luwJUi4pEEDlTIqQMtD1zbq+q4J0I+G7G/fpiACFAETk - lThJXyFrYz12os7iRVmFVMpe7LTS80pAt4WePdr73fJEASlKULdTOOnOmiRGcppYldSL+NL3B9pm - 7aCn3g23fOz1bxx94lOH1td6sSUVaiUoaibmbgl0I9RFgl2HdXFqxkl5R4ayEhSoPLUaDvtqx9Xf - MXrFC072dhxVn1Z2NZWXFL3mfq8WyHw+d2Fr7a0x9zFdHAZ26/6iBShVA2ofcmxe+9rXfO/3Preq - GN2RkWBRZWDTl//5ToZzc87VdQ1gPB6X3tqyw9bv90s6RRl5VVUl5NsYY4wxxpwn7XK7Caddml87 - cbJihyxqZyqMMcYYY4wxxjzIEFET2/5w+Fv/4beTCICqqu766Hj5rHekCsd48Qtf1M7nCgGUCKU6 - M5/XnYeqGkKIMY5GoysecvlTv/4bqLu/RTYNZTLO5xj+7P/SfasH+O3/+DtNigItAd6qZKWfH1QE - 3R0CAoDd+/7k/UoQWd7os2l9vuuVb9OzmqUOlUi++vnP37VrF51+R+jd3llqjDHGGGOMMcYYY4wx - xhhjjDHGbCtVVQEQkfl8Xte1iKjqeXzvVUAKpJycIyK8+c1vff/73x9CmEwm83kbQiCilFJd10Rk - 36s1xhhjjDHGGGOMMcYYY4wxxhhjjDHbnEMOkoJIEHGanS5Tt6PT6NA6tIRESESx+wVtGZwm7v4s - j+cyhiCpjNOp5Xabi55e1JHdC9suusaYbW1RhknQpXdvpA2WLCjdlONCDADEyPCqaGZI8a/f/JbP - v+VND0vpcpHefLLbc5B5nJ7qXbKC3KBtOYpTF1yF8bwKvX5V+Tb62O7sD7C+irWTfaJqPDsQ8bk3 - vv0Tv/obUELT9lgZcA5gQo5KHEsK3XL6aBnzXDDAUCqRTVkABxAht8gJqR2J7qpqT4AK1qbNkRMD - F1RR7rJd1JxiKFgYQIQgBOQMono4bEUwGLbIZyR26+J3Km94gT+urWOg+3QzJEMzRAHHARxAePL/ - 9pp5XaWs/dGIhgNUjh77Jbxv93pumYN3dcyZHZfu0uZdRInxo3NkcAoA5xEBEBiQZr4zhKPXfw5t - Cx/QzPfWfjifvulbn4GU4D021kHRjbBL5q0vz7ZVBRLQaAMAVY2dwy9QjqESdaIE50YPu7Ktag0h - ZzlnmuZdlBtzzjtgSLj9+uswm4GoruuRDyuT+Q2//MtICbElzVkyUkJ8IOxTjVkiIuecZgSCppgm - 6z3CXgI+df3fv+mdj2ji4NT6yKHaUTftek31eb1HaQGW4RScGKuCtZXe7qc/ZeX7v+9UVa0NR8eb - lny4N+ft4lHScPOm9G7NmTMFDgBnIHrXejetqqO+mg1Hj/nJHzt2+YHVgOk8BU+MsnP3AAtESHJp - Bzc3VxttoDDUp4Tx2IG6LGSFqp5f9dILahnVDKa8iGq+vyfqAqLgBVrC1KPEJjWN6FrKk9Bb7fUu - fdHzZw+/ct37ULtZmlZheGEnBnDsMV17pOqn//C/8GSM1VM761rbFASkyCyJBZD7Jrd7Y8KIlJBU - zohyL/iLyBEn6noQImDmLiDcORArQTZ1JLZfzxAojayyUuneJ1bxgpBRx3hACf/48c/+p9/dd+KU - X21XHKXcnp5FfvcWDZQSxAFOmRYJ5lNIO1y5Jfiv/MFXtpddut4bJAqixMzMLCIlsfuMarAPMKwS - m2bYH7RRKdRx566b+/WB73zO3qc8+br1sdY8CEgRdR3mOsPW29uc0fcsaElSIKcp9SQfAHZ97pZP - vvWXhk2sTp4cBMd1mDXzkve5KCDNQpBN6/AZH0M5cJTt9OGwsuN6ONjZTtNkddYPvZrC5NRaCEEJ - SpK5FCoWBoLASbf1yumbqoAbx+p4eMWhmXetLKKA+Ryth9mMRUk5wQl7Yu6rrEyns3/+xOSTn9w1 - nQ0BEpUmVY6cczlnT568I2CaEfcMPl+HJ/3wq/mbnnoL63Q0cINhjNImbdvEmRzonMdr9xZCtyPQ - TceAmVUICW4t6olqMNu9Z+d3Pad+wuMOi7hBnRcvK+vPYvKWKdp3vsHqmfsc1bKJCUFJUlCtRE/d - eluVcoCSdA2viJQmUcBlIjePaDttjp2SXL4gZVF1/U1CJlKiTKSAkjhGFdtDbd5xx7GP/Nwb3Uc+ - vn+8PoyxYlBAxagDyHsRJYXm7hSE27QHL78KqVCXa7ecAAE3ivW6d/SSXbu/+alXvuTqO1YGR5TD - jr0nJrN5SpKih9beKVMrOUG3VftmzFmWAdunNTUppRBC27Y555TS6173fzzrWc8kgooQUa/XKy8r - LUnpaG1Jzrlpmu5sgGopJVDet23bEEIZZ865hFV8sXNpjDHGGPNgRssfizP2CrTx0P4D7WyeFT1X - AyBHrd2ZZowxxhhjjDHmwSHUVZvSkWPH3vve95ZD5ru+2LG8UEkAETHwVY973Fd/1eMBeO9jjOWS - R4zx/O5/Y+YcU6/Xa5qm1+u9+EUvAnChA45LGPkHP/yhz15/nXchiWb70tqDxuZbJpb3i47H4499 - 7GOiEO1Cu0W2uEoooCBAc941HL385S9v2zY1rff+gX3LpTHGGGOMMcYYY4wxxhhjjDHGmAe28sX8 - EMJ8PgdQyphsdSSq6n1VV3XOCsA5+vEf/1///u/+oa76pbZMv98v3+rdhsWXjDHGGGOMMcYYY4wx - xhhjjDHGGGOM2YwUrAx4Uga4/NwUR4oS7sBd2oOQCi1S0Rglf0lKuhNref3GeEiZlS9onogx95mL - veiK3c1mzPlY5nbTxlbEIAYxuBuUuXE+qSK1WFvH+vjjP//zD5mtHchznp4EtZiOQVqJVio4cjtO - nsBtR9qPfw7/49Py5x/FP3xGP/hRXPt5fOEYVhtMI5jRq+GUmauMvS0dOjr+2P/+RswatK3XVnKE - E3gniyhXAhSIABhYJKlJV3ONAHaAAxyjjQmk8A7N/MPvfGcvJ5lPCRk54tjRat5SGx1DoUqyORSs - y7OBwjuMVuJ4PIlNb2WEpqXULZgiESKQyrurQAXbqf1UIOO0EFYAFdjDzRoBQ6savv6D9//ZPJOo - m8Y4rYB9K7sf/XBe2SHsABUgE0eHxChpWKzqBE5OS8xafgjLXK6SyO0JATok1rU13HIYswh2Ol7f - xfqdT3kaZi0UbUqbJrnbBdHWc8gU8FUX/FuTpywQoHZPeM1PzpjZeWaPlHDgQP/Sg+J9vsuUbmAR - D09Cy0BDEQWcw5CBG2/GqXXEGJv5SptG63PkBrF1xMRBlOAt6s88cCjQJpEML+i74DSPAh+qHW66 - 5YZ3/Nr+628dzhtXQYDJeuNBoC1Hgi2iNFUgCi3hoDOPYzWmj3zEZa9+2alRNRuMVMKQewMND6pD - r5JTuEwbVUKmLkqX4HLODHLOqVAWbXKeZYXvrQ0G8aqHfukPvmy8Z3d0iFk9d4e+BIBEOCqLEDbl - wJ3+voKQgZNrpFBkT+xAqrQN+9tl310OY5TgQriwVVHvV0KYt40LQaBJk6vqajgMvb4IAsJEXLNz - 9MSXv+TWVict+tWgiev3wUT1gJ1tM7jjyK1/9IeXt8mtTWsKXkCKxNI6REZmCG0xBfq8bA5+VsAF - D2D52L27amSoEgt4GYF8cVB2OZD6TABJEBnGdEjBn/7MR9785kccPTZs0AuA0y235gBKt4lFKW5e - JK1EXln5fM8/6nnfhcd+5dqOvbMm9cAsWsIml2ciiOgBnN6tse25kKYyqkepjeN2nvbuXd+//9Dz - v/fEwy6bBeccMoBQJUC13dLIScEAO++hgghST4SM0KR9adr7/HWfe9s7dq83vek8t7E/GMxTVIIS - lwq/G+c8uxzi07eX0jfeTkiRp01em62E/p7+Dp1HzuqZRVMuud0kIHGKOqEf0YvshAWciZTgBV5A - gBKaJNG5/hWXrToFgxJ4O8Yiby8EIEvlPCuRqFdZaePw1sO3/Mmf7M5tklwNByqaAQeqoSxt1BYA - K1Korwu9r/mRH+cnP+X20Ovt3T2fjXOcVsw1gkuu76szw67vbQoIcWbOzLr4uIUgJH32fe5NG5wK - vfay/Y9+yfPTFVccnrdC7M7cCBZ/K3dp4GeWZj9zRVLqDnodoCKqygCrBtWTtx6u2hQIpBkkgJRv - CC+WRHcVg/XcPbf7XTehJCDJLLlcP+ny0VnA3U9C4xEZjmRvzjtvO37tL7xt96c+e2U768e5AK0g - RbQCTUCKUNQIlbgy/mU7pGAhZC41yiWzCAtDGEJAJlpNfFuvL8/6hoOvuWatVx+btPVo13jaBt8b - DnfUdQ3R+WyWc3ajYQz+wXR4YR4QlKHMzCkl5ygE5xm9yr/x59/wbd/2rb1eBdW2mTsGVHNMADP7 - rb6Jc46ZiUhESox3Se/23ldVVV4gIuU1F2AmjTHGGGMeNIjL8ZNiU263Aj6sn1pNKYWqirkhkGat - 7PKjMcYYY4wxxpgHASUIiJx79+/97upkKkAILue8vAHo7HuMiVQh5UFJ0gvhhVe/oFzsYGYRAZPq - 4n7z80JEOWdJObXzb/3Wb7nqYQ/fuBnvghFgFtvf/f3fbzVnVe+rcu3mQr6nuf+dkdtd/lGiv/jA - B1cnMwGIwMyg5X33Z9Iz8uxPH7xnkfzqa645dOCgZqnrejKZVD6cNgbaGIwxxhhjjDHGGGOMMcYY - Y4wxxpjtrHzXVVXbti1/Ln9uFTPP28aHIIqYNUb9qZ/6qZtvvnk0Gs3aZtrMlQmOifQcz1kAACAA - SURBVJUudEEEY4wxxhhjjDHGGGOMMcYYY4wxxhhjvggKL1qL9lR7orVqLRpEQwmflE3lWzbyvLvQ - mvIUAyzEUqJdtC6Dal+0r9oXrRVbLn1vjLnXbcf0GmO2NVrWZpJFEbEura2EgyYgoUsJ9Ug+tWja - m37vdz/xup+9UnRPbPxkjSkhR/iA1XWMp+mfP3HHB/785v/+Z9d/4EMnPvPZtU9+dnr955pPf3Z6 - /Q1H/+bvb/vAh4781z9vPvpRnDyB2RSTUxUnzNZ2QHdO48O4uvbtv4TJFPOZY0ntFK7brpebtwCp - pMptPMIgLr85BQOh8trFnGp/PBsxO3YsGbHFiVNDcGySZwLAzOiKoS9yo4lEFY4xm4bRDgpuMpsi - 9CofBBBFqZ8uG5Hnm3/bXhZ52pKhADycUx5ULAIKffjwQ3/xl6uD0Thqrx5K1Usp4sqHji49OCfM - c3LORZW8CMTqRlYSzc6+c3gRopUinEcSkKJyPs3GI+b1Gz4HIigoMLfzUZshhCyh8ssYWAFJ6YYp - n1Y27J4hARQO4sr/rAKqCt6PiaTqZWKool/tvPRgYia+R/uLM2bTA6lFDdz2iWsRE+rgQMS+mk3/ - 9hffAUdIOWXhUH8xBf6M2X7YhYrIIYOSaJqPckufv/m633q3//TnruDKq05bgBECnEeWdsttIgGl - 2B8LkASSicahPnnF5Ve98pXN3kvGe3bPocjJNYljfLB9ecEpnHbZh0IoOYWZ4OuKhDRnEmJFgK9c - FXwNohn7w72694Svfugzn3F0OCjpzQQBJFNSUhBIl0mTm3W7OKdSpYzxBCoKMBGAEiF5X8//PSFa - aq8SEXt31kTyWb9cxETEBc7IAs3QWUnhDZWmLCGsj0b+K77isqc87figN0GqmC50H0U1BQDztDel - z7/vT+nkqX6TK/JOhZFAIiyJOTFnuuDLn7UL3FVVVSUicg58lzGq54U2utBQgq9q7b5ZxUqlEvA2 - XdkWa4OHujKRQXSljfWJk//wrl/dd8fhldW1ARAjYobzW6++uuijC0Gh2nWZQcHfEef4sqt2PvOZ - 0/7Oth4551iyc1T64M457z0R6Z2UlH0AIEi/7s2ns6oapCSKlDS2wHECHvuYL/me5x6rquNz1IN6 - Mp4MgxNNW91+HbnUtMxwjFYkq5ILARhIHK6ebP7mb6fv/YNDyoO2TdN5CHUJKhZCXgzSxXgvpxnb - dp+rhHrQE2jMqW0bybmqfXCsmkEii2XHChZ2wl66/1XWaqcIAlYGSDN8b1Dt3zf1PtSVCgCIpPtt - 3i4WQhUHL+CUSdtenuK2Lxz90AdoOh7WPk2mAIJzMYukNjjqVX4c21lVHe33v+z7vp+e/k0nV/ZM - B8P1+bRXsfPaNJOeD06paRpBvtBp8ZnKQScLLQ53ISBF2yJFF3xThWNVwFVXPfLZzz6+a6Vx54xH - k01l4amcSznDMhu89FVKEW1yXQ44EQFKOTUnT/ksvrSBREIoOzKUwvSln6Mbh6i6nXY0hHIqRrQs - WBIlEYJ0p248qydlVhZwJjjkwXg8XFs7/Gu/mf7q73adOD5qpjlJqBAcHIMAcgBQFnrUDHQH2aUH - K922LIBg0TcGAGUFZr46ecm+nd/4tEe9/AdOsR7TvGvfgfF0HkJwoDht523kUDkOBCbvmtjKtuzh - GnO3St+pbduqqlZXV/v9/tve8qZv/Manes+qcJsarvMoMZBzxuZ+NVHTNM51R1sppVLLoLz4AdyF - M8YYY4y5sGjTT5x+7jLGXq83HA5z2wb4iESeU76vJ9AYY4wxxhhjjLlftCnGnP/ju/+TAEqIKd/1 - HT/LSxXlZrhLdu/83ud9T2rbnLOqlgscMUbvPTOXiyBbsrzUUtc1M+eYvud5z/MgukDp3eVGQXQ/ - f/f337M+mQjgQiA655Vr80BW7hkQ4P/54z9efNMBKXe3tWz1fk4GJMnBS/a+4AUvWD15vHJeRUaD - YSlfbowxxhhjjDHGGGOMMcYYY4wxxlx0yt0gzOycK5WRZrNZCGGr4yGicvU8pUREKiDCzTffds01 - r779tiP9/pCZvfeqmpIVozDGGGOMMcYYY4wxxhhjjDHGGGOMMdudgjJxJlZQJlJiJZQAtO6XOy9Z - oSQlQFIJi/9IZTyZKNP/ZO/e423LqvrA/8aYc661H+ec+6hbt6p4FY8ChRKwoogaI40aX9AENSGC - tKBgOm3y+fj4qJ1Ot+lu02pEjKbbpmOCWoBgjCbRjoqCiKRLFIyWKFRBQfGsF3XrPs5jP9aac4zR - f8y99zn3UZe6t+4tzq0a38/+3Hse+6y91tprzTXXWnOPX7DLUHDFOXcR9lFujXNXgN2Dl/Iqb2oZ - mTwv6IAeKMBMLaCEfoqdUx/+lz/Dd9z5JLXRzmSslggwgBj3n+j//K+O//bvTz9w2/oDxzcmk8PS - D+bbjcwHKEHnjcw2tD84n21sn9r+0Ifuecc7tv70Fhy/D/3WoFUuOzFI3Jo8Zaf/8I/9JPo5cheb - VnNezOyZyVQM7I2tAmgx82YQoIfCBL0cBelkirDIdt68664o0gJaLKUgojAjAExWIz+ZmRkmYEbO - zLyq6WaG/ZlYerZFPTgDSMGi9WfKKEAGG6wXcMDB4eP/6Q9hbUNm1qLpO0Wb0rO/KG6sZSYLLKrE - RmSLZO2aXX4OuwlAdeXV4nAm0lBIucweOIHNbeQMK4F5nMvH/tX/BTOYMRBQgzZXMeo4Z+bZ51he - QiLEWqAsMAgCRkija6/bYc6BlAgR/MQnYND2MKNQN3YKoQbVUgjnSRoSUyNERmMx7kxw973ohZnR - 542Q1ucTTCcAxcCiAgreOTwbEZh5n+b+uvMKqelz4RhFS4q2Npvo776b3v2+A32n2osxB2jNaFXj - C3+HiUEMmIqKkhirIZ4Iw2f/4+/dufFZWweOTDJCoGGwVsrAEB5LoWA1NnUV+mir9G5Clp4DiCgY - ooUgFAqRFA7IkK6E+fiqA6942c5zvnA2SKIQCLeYmkjUAYMKYoyKc65NZehACA+cZAOxmZmp1s+o - PKLL/xCYKDObkhEXBcajInLBecNXCDZNkaX0YFMyU6TQJCOUbNbFNvYWumZ83cu+7ZMb42kCLnOE - npEWE05MhLbPR+6+p//d3xvMc0AgMiaLamTIAV043zWXSzlLZmRWEz3FNLWNXtKt1swCkwhiIPCi - b8RNs+cpfKHdmEcSNXHS9YFjQIJEKgisbT/d/MVfPvLBD7YnNxOBDCFyz5gvg2Yf6sQNrAi0iOzG - IMwglCCsc8bO2voXvfY15brrdmgomVMKav0qCdLMVPVRH/ooIjEEMRUCosZQYj/nkI6vjQ588zeG - G78oX3Vgu+tSJLILjpE1gpCAa5ItiFECZxEjLmJHEq7bPPnRX/v3+v73Xb29c5CjFdG60pmMYJE7 - LaFJvco+34wrJZ1Sng8sN9aFTAPq8tRIF+eEZEZQQImN2MBCXMN9hQxAUEQBGYJyYzH3gmuunreh - V1MgcHiQ46PbpSCooc/jhtQ6ytvHfvs3n1C6IWHSldiEGjrNtesCk75oSve2g6Nf//WjV37H5sGD - m8YsoVFEyxmZGtKSI5FEyVEu6xtQe1OFmYxZYz0VjYqoJQZTyyFYKUUllHZ9+OIXbT/1qduh4TRQ - hRk4BQWIeRXcbXti7vcEbJ956FMCERQwEQYANROCMajf3IYaqRGZQVW1bduc8xWxP7IhmJKhMHLQ - mqIthMIQJQLHglZjG6IVIdNR3x+azj7zr9948p3vur6br1ExQ5OgBaSwAmIUhRoIMJEEtkVWd01b - hxEIGgwpoBeEAWeGEjjFCfTkaJj/5vOe+NrvwvhgKZyadnvn1Pq4pdI1Yg2YQjNXCBE4lF4ie3V7 - dwXh1R2Q2o8CEELIuRuNBqX0IYSf+ql/8YIX/K0QkLMwA2QEDbRbtX/PZRmN8XwtzKp7VgO8AdTi - BURUky1yzkRUCxnUP8k5r57/qO/aOeecc85dGgQDFKyn3QlXpJhVcs6BSICAoKK8308QnXPOOeec - c865CyMig8FgPp/XusaqWr9g5ne+6w8+cucnAJiB6x09s733Ss7AzAQCwMBrvuu7B01DZpHZzIxQ - VFJKZmYqMVzwCTYRi2i9J1KrM7/61d8ZEwOooyXbJnI9b6eHEeVtu2P4KwGY6cTmqf/wn/5jjLHr - uqZpSn/B0ePuyhICldJTYCOIaIoNBe5y/7u//3shBVsN5Seqow7O2GxWUtMYwMRMi20+EgMIwKtf - 9aojhw8tdgrTutNhMeZor4ezQTvnnHPOOeecc84555xzzjnnnHOPBDMLIdR/AZRSmqbJy7qFw+Gw - ftE0DYAY43mmAwDg1Sdk1WCE226740d/9Edns5kZMXPXdc1glC9vOQTnnHPOOeecc84555xzzjnn - nHPuMqrlEfYGhXy+58g5d+kpqURkKpmLRNVkEqSEIlEKiZApqQJiVotNGJExKUHJBKpkSiqshUSj - Fl5MR6JmLplLCXLBmTrO7QP1wFeDFWo6bd/3n++Zeli8VrFzF4gWNZYIygCMYdAMAzjCgE4AYMiE - XjCZ3f761689cOJaYEMtlkLTKZWCyXR+61/e854/2vrEJ8Y5D3Np53oANCp5UHJEF5CDSTRtVAei - 1vVHIh+B7Xzi459535/0t30Ip45B59C+pTKa7lw9m33gf/9J7HSYd5wS9pR9ImjNY9p72K0FzQEA - ClMiTPoucAMAfT+c9awZWqCKzc1QhFQDgwxmogQY0eoV6qhZM4hCDaaDZhCIQaqwUNPBoYtg7FWj - Q/ux+VmkhbEaNAIRvKrMZSLUBDQRbULiU2vj+XjcdzYabqAXDNrRkx4vwzabDQaJxVhtz1vwoC+3 - CJfVvSXAlM2CSaMy/fRdKIIQVGTNiLYmkEK5kIHABOa9K/GiTsrIEGBh9+8JHB73qlfujAYlNqXO - 2Pro4JOfgtFYmRclxVQXy2WnJavx6fNgMA6NKKzXgzFtf+wj6DLUYMIk13C8481vwdYkACF4brd7 - tCldX0wKybiNB7cn+NCHP/Lr//HIqVOj0oEURrBAxnyxl1OyAEABUhs50sTk/mF80jf+7fDcv7F5 - 5Npta0RJLcM6kCxiLB5L9gRAwmgRNrn4YvmEYAhWIyeR8zxGjtRsK8/XN774Nd918sChbjgUQEoe - DylndBltE+e5D/WiGAG7q9VQI6JFMZ3BxMx4mUi5J+ZtfzCul/NQT+PrYZ325i/usxl+2IJpMKXF - m1L3O1VSsMzyDGEwiUM844arv/orTwUqfFZq6CVHJiIAhoqrZ7O7/79bYlGbzQlmKHXLVLA8su8D - EekymfVytBdKsGUwOhFh2f7pPuwR7qHEXZE0GBBjNplGajbC8OD2rHvve4/94TsPfPbeIwNwAwVM - mRAButC8WAasAAQj5JxTRJ9Vh+kewhe85JvxtKc+MBjkQSuMyXwSAoMeU+0516ZbCMIKGJlFKyDd - Cc10bf2G73jlXeNhjikRVC/ymLpqz+tWWn8SG5SMAyrXTLdv/TdvHN1zjO8/PuKQCEymWua5NwLH - kFUoLGJxAbCB6r+26O3vH0YwUiVVKkZqpKcFJi+ew0JcGIVZGba7h1oN/Q0KVkRjM8JolJkLjBYf - qtxPS7sPGTdpoAJW4b7bkEL3H7vjPe+8SnNQtIO40wsRFS0cU0iYC/o2PtAk++JnH/6Hr7m/mx9r - Ekaj1VYVtJ5VF1AvLMqml7l9OP1SPrNRMCSFWkZQ0Z6ZYmwmFHDV1c966becYs5qBI5EpUgBDFo7 - IA/2CvU/OuvUEosNePfBUJnPIAaYwMAENrblzcuzjqFG2Jf9YRVW4dW+yAAzUROaMi8MFCvR5EBX - js7zPW98U37f+w+e2lwXaQAzqEC0XpUKsABjGNclNdJFBxirFaK1gVJBG7GzowEgjidyP7vq0PyG - Jz77e16dn/yEUxR5vNY0DaSXPA0mBDWqUeuRLQbd1wdu55bOLpF/vievb4x/7ud+9qabnssM1UWX - VU1pD15GPpZSLnhu6mW05S2lvu/r1EopZpbqhVyivu9rdsWFTt8555xz7rFp90oE6eL2JQHQPWev - fJkudTrnnHPOOeecc59HRDSdTsfjcc65aRoRmc1mIQQwv+Wtv2KAMUAkIsSMc45bMwAIIagqwRJz - G/gV3/7y0vd06T4dSkQxRlMltRQima2P1/7et/1dBswQgL4vi3soDzafF66GLitYgDf+4i/3pYQQ - t7a21tbWLsn03b6lqrWkODMPBoOdnZ35fP6Hf/iHOedSdoPbV8MmH0zf98PhUE3VlEBNatQ0Ulgf - jl75ipdDddC0UjIR1a3XOeecc84555xzzjnnnHPOOeecuxKt7p6rKjPXcO56252I6liU+rnXEMJD - +9zraXXwQqC3v/0PXvdTrwdAFNq2nc/nq0Rw55xzzjnnnHPOOeecc84555xzzjnn9idCYRSgmIla - L9qriqrwIumLAAQEUlo8hElBigCGERExiBkqWa0YslpvyHWadGHF851zl4sHrjj3kO2p+l1zuxfZ - TwoOwPKwNgxICjm1iXn++I//9DM6XJ0zz2eAoJ/BDPc/sPUH7+pvu21t59SYcqEyM82AZY6KaMqr - 11oaJvRdttn8ag7rJ7aPv//W/s9uxfHj6LtwcDiz2TrJc/Pgl77s66DQ3K3isQlIQAJCjW01YBmh - bagZTlrnvG1aNUGxD//Mz65paUZDiACWjx0zLYBGRq2Ytrdo1SKkTUsAUDIig3l+chN9AaFASpZV - eGo0JNRIQ4AYxPsuG9RghAJTaFQKhQEgwBpIG7ZKL+Bup0e79uQf+N5PDyM3Y8wM4wMgxk3PTdde - PYdNZ7kGwfKe4NgHW9K94bK7P4QyNKqe+OQnIQIRMaUsB2JEFphhUfDrUjfghgAGRYwHd7e02eVm - OEaMKAU3PGUrUM8kxApWo5odbssC+HxWMKERDGSwOq+JePP++3HvvSBg0Ejumq0tvu8YYsRsDkMW - jyZyjx5kaJgjg0YhT06u3b/9X3/u32ycOhXLjCCAAZG0CRJq5uIZbf5DeomawNdgWkqfpRxYu+ep - 1268+hX9kcdlGUoJbWg4aIk5B+2o6H5rby8zMq7J6DWkee+Z5yrKkQysiIpgiIGk9A1gFDeH6/ji - 5z31pS/9RIrYaDsBsg0SBOiJGVA9o71aTJ8NTZa8tcOmZrsvKvs0ypQXq4IJTKt08zOiLh8FQawE - sGkwi6r16AywsBYWDUqRcxaM1roDB57+DV+/1TbTeHkzs8kQCEQQQkDYIBy7/YP9Rz6yrsKa62ZD - xgxd9hAu+/na3hdYRaLq6RvDOXssF8qs7pBkTDh3duu+u0hkAGLspVieH1wbCgxbk3j3Ax/8pTeF - +z6TABBKgQIBlCxFCRca1UxcF5uZIwkSIzKOaZ4+84b2RS+yg0fmoZ0H64MIG4VLv4z7mQFCnJkL - q5Ci7tEQoAA8CQN85d88+DUv3AlECL2CL3B3IQMDZKvzJBCUzAja94gJJSBOZkdv//ixN9x8ULSZ - z1rVYJqYYs3BZWTpjc1ot9mv4bj7EJkG06QSzOpj9auacCXEUi8GM/qAniGLjORFC6CkBLAxI5gR - 1tczs4Hr8tI+Xe59JOfSlzwcD8Zmh45NPvk777wmMrKoKCFEoJhRTArb6TBYT8dSPPmE677gn/7Q - 5MCaXHPUYpr12wjaBRKkJKEtIIiEDCqPQBPKxrSbisYAszIbVEtoSLgoxIDewlYaHP6qF7TXP3mL - 2UIDC0WhBGEY196FKS1OJk8/vtRdSckW55hsqL2UMx4A8nQOFQBiqkxaPxt8RpVtUtSg+n1GCUJa - ApRAZgwLiqAIhgHH+fZktDGSlk7OTh4ahGsmeetXf2vzd35/dOfHhxAhlHrkAGIAqHZEI1urCAo2 - Bmh1zYf0jHWiiMChcYqCXJDXD33imo1n/vD39k964r3g44F2oPPZdEgYsQUuOWhhKDgqR+Go9Uxk - 361S5/ba01zoQ4rxlhIZv/rWt9z03C8CEAIBaFJjqjAzM1U1E7rYDnGMcZXPHUJomqZOM8ZY77Pu - 7OyYWdu2Oeda4MA555xzzj1EhuXtFQKWlzTNL1E455xzzjnnnHv0qvnEdRBOKYWZ19bWZl33odtv - +/13vRsAUyQiEAgBp48a3P3GICoAmhRV9du+5VuvPXq0ibFpmr3P3zvQ7kKZ6GpWU2AtZTQYvOq/ - +85AAMC8Oy9nz+dDsmfI5d7Rl2Ja185tH73jz/78v4Ipxabr+guevruiiEiMUUT6vucQEHi0tvGm - N/9KVlVbXT6i1b5zHrPZLMU6KMwWH7gw+e+/5x885frrm5hK3xERGQzCe8Zx+R1055xzzjnnnHPO - Oeecc84555xzV5b6QVdmFhEAfd+XUkSk3lg3s/oB2M91n133PHYVMSO8+c1v/dW3/Vo3z31f2nY4 - mcwu3+I455xzzjnnnHPOOeecc84555xzzjn3MDE0wlpGy2jIkqFBfTBloayhIGkMGhOaxtqkTdKm - 0UGyQdCYNJKABCgSQc0ZD7JEdqF5Rs65y8Gju527FGrAIRCA1ixoaZoG8+7ArAsnTrVZAxO6GQYt - 7rn7nne+044dW8/zjQjui+XStm0T1wTEyzBtOSM+kxAIDZAMBxA2FPnu+3b+4q+QOzlxvGmpMcED - x1/xt16I2Zx5WSVNAQXp8ttF2HhNvNudMkjVEIEEhiDN+6YUnc7QBIB3jp80KQbUHGZSBJBhUcjK - GAYlQwQhF3QziAwOHVofDtHNIyjGgKKrYEZaRoAaFum1+8sybo7Bq5kzRoYKNKYmw9q1dTCD6MYf - /sFtDuCE7TkowmT8BTd0TYyDxoyCggxEi8dDWdS97zgZommcTnD33WhaMtCwDfP+T1//OpQepmeG - qV547u/peJFHb4wQMGye94M/kA4eli4jZ0AxHl/99Bs6omJqBIEZQEQKED3oCzNzV3KkyGDJ3Uag - 4x+9E31GngW2Ye4Pdhl9BxBUOHg0kXsUIU2ROXfr3fzo9uxjv3Dz4M7PjGfTtUgMZauxfMRgMr64 - pjBGlAJVoEk7IdzTpC//vu/Vx191UqnvtVFqUxRCNpXImfmxllRxWosKLDO8F/Z+2oMNZBrIUDJU - OKQJD04Kxi99SXnWM+4TjQmlgA0pNTnnmuJ2TsGsMetObRNqCiPI9mlJxlXTXROaa3r34of2qD07 - YGMyYmNAhWCkxMbMBdRROAGKz/zCA89+9qnU9Hx545HNEBhQlCwp8KEQb3v72w90XVIxWmyfbAim - 9Ai/HbzMNrzULcay6imLGeoWGFiJa59UT0vT2Y/NVQoMK7F042l3WPi2//MNozs/9fgBhgnzDjUx - WlVRpLmIbO3FcYDYKDH6HjRuHkjpaX/3pXjak+4VkRiLdGqlHQzmuVzypdvPlCDEi9xNMlo05goy - M5untB35hpe8NDzp+k0oBdaHdXax3N1qRjhBBCFgDTg6nW29732nfvftV+V+lDv0uQEGKZqU85T0 - 3YcXPRlgMzYNpgStrY0Ctjgo8Copmep6BkBKUDJlqJEKLTPOidSA9XVLyYipfvYS5LWIz8MIAh0O - h/PpbNApTs4/+fb3rHUaFayQLIM2KRBCmJfSAJvGnx6Pv+Sf/NDk0Mbx9VEXuEjftqkvWYkLM4zZ - CKRky6Dryzn/i22jLgibERTMRqxRFSEEJijlQqZNc6oo1sZP/9r/5nibMgc1JiBGUN3ySHF6bw04 - x/nsqvj7am86Y6/SIiAmIgOMSWGrDwOf8bertO/9wwBhKBZvXJ1hBoJCZ/3aoO3LPHc7V4+b0fHj - eO+ff+TmXz16/OTVwIhRP//My8s7S6wgI657tO65RLDcqWujCo6Qgm6SQ1rbjqN7RoPn/8j32zOe - eh/TjGNaXy/MnfYxsUF66YVVqDYgq0nut9Xp3NkeWmL3Us45Ro6R3/SmX37Ws54OWIzc59MiHOpB - /zwXwc6jlJJzBrC2traaAjOb2Ww2M7O1tbW6a3/OqADnnHPOOQcAdtZJJK1+A6PdfuAZtwacc845 - 55xzzrlHASKqEcVmVkpJKeWcB4PhzTe/2RY3SEwffNDa7rB0ZiLqcwmg17zmNYG49FmLnPF8o4vJ - 7QagqmSoI9LMDKqSy3Oe85y/8cVfHAHoaqgCqcrDG/98mhCCwhRIIbzhDW8wsxh9cPKjH/PuiImu - 61JKx48fv+WWW057khk+1824EAKAXHIMEUCf+za1R6868o/+0f+wdWqTTCWXJiYs7x5if451c845 - 55xzzjnnnHPOOeecc845584r50xE9S55CCHn3DRNHWJRP+6qqqpav7i4j9amGFTxz/7ZP/+Lv7iV - EOazfjgcXtKFcM4555xzzjnnnHPOOeecc84555xz7lIiY+0yOqFeqRgLyJgRAnFKTUptCIGZicgM - oihiWUpRNTNCYObEKcbYxJZBDGYwC5CVekUn1ovXqHBuP3jUhvM5d5mdvu8Q5nOLQAtQztjZxmx2 - +8+8fiMNEBNGDWY7MOAvP3DvH73nEMq4hQT0ChBaQ8ywYoRGEQTIjMyQmqMGGJAzKLARcs5mOm4G - A8XkM/dObv1AAIJJJ3MMebCW7nnLWzGZAhkADChAZggLoV/Vh7I9Qc9sYDOzANBcoLSWIhM4MURQ - Sre9vVhUg+HMolW0yLq2SEDfYdAgUj55PE8msMgC6TokXszMnrTp/RvpZogIhIDACAChBpMTkNEp - qBAsBqwdQBzcvrOVjxzB6AAGawgBhw8dueGpW2bKvIzGgxHZMoidDOfM4Kw5awpW7MbrBpN1Eb3n - Pkw75mDTySDhqpwxmWBZqG6RvwWAFHzhK3WxHQRYze0GgELchRYxHsu5jy2aFilJ7uMNN9hoUAxC - oMB1RPUius92H6sANjIEEAAiBpkAa4NBd9+9uOcepIhAqW3jbPLB1/80ZlPkXySB7QAAIABJREFU - fMEz79z+1neTq8iO3nNy5/f+6OR7bjm0vb0WKRcDQFCGMoRWbaGdFUX4Oadf0LTJMmYajh3cePJL - X4LnPvOeQUttMzJq2UjyLPdzAsUEYn0sdfkMMGIjVgIBQREVbMqmgCqpkQqrshqpkgKQXFJKqtqb - EKd+uNZffdUzXvnt9zUDWt+wBrOMINooiRinCJwVcU0gQ2M029okAi19PlbABSKqgc0G7N1OyC6y - 7up+YzVe0ggIbExQkAqbEqKF0uU4Gm7mjgctBoMbvulFnx2Pu3CZC7YaLC/WtRY9lNqTf/J+3HXv - KCuMhciAYBpN2fAInK+dvaHWGNRLxYAz9gYDYxksaoRz5LXuGwREgFSIJXaza+f95m/9dn7/rdcX - pRnUYAGUEEIoEEXPdGHlWI2QBSmRqZgIUcjAfZkOf+nzN77hhfccaLeDNpFaKSn3FEKn+phqzwEY - QYmDWVSQ7Z7EiBVJcTMEXH/9U77xG+4dDGljvbcLq4dryyMxKwelGqBbe7MpNKoIhaJBVAbdzp2/ - 8e/wgb88kG1YlPvcGpBzMG1i4NNPbvZzpG1QBGUyNrARZSIhUjDAQTkqJ+FWUB+Nam0LggGAMEpY - POpxFoMBtcl4scBXxlHv80mRKGsOhrZH/44/vn4aR3NmwTAQq0opzCjdPADtxlV3ZTztlS/XZz19 - fuTwVI1Eh4YgFjkIc2HOAYUBIBg3EpOEc55sXkJBwQbhUrgoFQBCURGCRe0kKCJzx1kGXNgyGb3g - y09ctTYLjdWWcnX2iprevXuoWZ0yn7ELr04zV8HhewPmAzMAZQivDii7MdWnu4AE30eMEBshKlKp - jRvXJY1MsALrY55e03Xpzo//yY/95BfOyrhkBoIgKiIlgIpCpC6vKVRYhFD32+V60Lp269orjMLo - C5jR8uBYlx+46tBzX/tqPPe5d6+th42DMQQpRWFxOOqZd7qemxb1aCggW0yBDWHRS3HuCqB07kMz - 7XkMho1BOCAEetuv/MoXPfOZpWiMDCgTQtjtHRvsIvpiIYS2bQHs7OzEGFenikQ0HA5LKQBqRYOm - abJfInPOOeecO7/lHWc6+6rintDuRWfv0XKV2znnnHPOOeecW6n1kUMIqto0DRGdOnVqe3v713/j - N5rULJ5gDI6qZ+Zw71VThwn48uc//6bnPrcJcXmTYjHETulh3WMlIhGpgcoiEmMUKU0M/+C136MA - M582HD2Ei3+h0wdXGaHmMxeVP3z3ez5z971d1130xN2VIoZQcmbm0WhUSgHTW3/1bbOSdTGaJezd - TM4zumW10aoqgQap7XL3/d///YcOHNjYWO/7vmkaYgtkZlZrlDvnnHPOOeecc84555xzzjnnnHNX - nJRS3/cAVh9xnc1mZjabzZjZzOrdc2au3z7ohM7x0Q5gEQcuAIjw2te+9q677vL77M4555xzzjnn - nHPOOeecc84555xzbr8zbsJaEzYijUhb06QSSrGul1kus9xPJc+076jkICWqJKuPHLSjMtN+Kt0s - 97Pc91mkkArDGrY28rAJa00Ynxl25tyVpg4nO9+gsiuB74fOXYQ9Ow4BjFzQtsQAaYb0UP3Q6153 - Vc7dZAuDFtMJiPDxT524/Y4jIcZe+w6qSAltgwSYdIaeeZXdygAvwuOMYcwUclE1JI4cKPdz7fpD - Icw+dRfu/BRyaUcjtGF7tt3ffz9EYb2hADUssf6vtoqqIoWh1jkzqAFmRgaEBl3HKtLP1QpixHSO - WQ812hPabctmr5jWdLYajIpZB2bpZ+ngWmRAgIyge/6yFkij5RLuw5xGUpDCGIhWU7QXc0umZYgU - oX2eKTE4oRm84HU/cXu3vUMMhQhwYCM97ak6HioHAgNkYDGq1e+I6DxNrq6CymyR3h1Mk3YnPvNJ - gDlEaoL2s42+hyq0ALo36NdqFNkFVspbbnAMRBBAbAQB5kZohjf+yI/M1ppJ1yGlcOgqDMYHjx5B - JCMYkzyEnGEziwBMxDRG7ufdSEp35x3Y3gSjHD+5EeNVkiE9KIhe4YdT5/Zg00ETxrM57rz7g//2 - V56sdphNctZlq0IQQjGIkV54bDcANE1zostrw415ak5ed3TjO1722cFo2rRGFjirdcVyQGh4CAsi - j7ndSwiyPMYE233UiEElWH0OQxlGyobEQch6gAeDaYz3pTB8/vOv/5qv+9g87wgGEVpKikyAFTvn - EYygyWx2ahtAjYck4/2ZC1LXg5ntDbE771nNlX3KYGBhVrAQL3taygbSQBZ7FTQhs84j4TnPKdc+ - vnsY1WAfisAsQGQkplwQpv0121Pc+oFWitKiE7gKGWXjR379G3D5EniXsay8p4O4f7HpbGf7yMF1 - 7fJGyfjUp//q5jc9XvKoz1rABALMKJsYEAGT/kLTYcVAHAmAqSnKcHz3eO1J3/otO2trpwhhEFm7 - xBQUfVdSGl6eBd2nakDvMrR7uSdYUBDFUKzkGHZSwNd/Xf8FN9w3naYYH8armS6TvMmg0g8oSAFr - aGNKs+7w/fd98t+9DZsnDzbtQI1LSaoBiMy6jLjenda+DPAmYzZanuSQEBuiIQLMxlQPlItQKyVT - QBlKVpdOhVBYu4DMUJgRIVAcDWp7Ybunie7clKCkszJP7RDF3vsnf3L81MmCYEDgUEyJuSgAhHb4 - ka0T177wq697yYs/OxpuG9rBQCSrakCiwlEQtJZKNwPDoiEp4sXEyT5ky7N5NVJlMVIjNbAhpTjW - zJS14aAmszLVhPkw4EnXxKc/uUsxIBFRKVAFLy8ErPa4xfpZ7jV2rn2n7mK7Rw4DGYZNC6CYCkFg - uvz072JuT49nswfJ7v38IuOoHAxGiyB2ABZRZD4Odp1ZuOPTf/b6n3/iZLI+myQ1CNgQQKZaO/hh - ecA2LkAhymy71wpWS1y/qNcciIDYTIhnhw8feMFX8Iu+8fhofdqMJjlrKdJnFGEiURQQp1R70MZq - rEa62JZtfx+/nVv2pR/ik2ezaUqx67p2kA4cWP+lX/7Fpz3t+loCQE1FZBW2fXFEpOs6IgohmFnb - tnVqpZS+71NKNWNDRMwspXTRL+Scc8459xiyPAfe7aX5WYpzzjnnnHPOuceGWhw55xxjBJBzPnr0 - 6Fve8pbt6aTLeRHHzVRHblN68HEUy1v8r33taxnUzecpxMjhjPEPFz34rYaLM7OqqmqMsc72i1/8 - 4o210erOS73Di4stzUxnfE1QkfqNAH3u3/a2t62trXnp50e9VeR2lhJjnM/nb3nzWwmLAS17h+gy - iOl8gytUNYYIU8C63D39aU//zu/8zul0GogCMRlK1wOIewYp+eblnHPOOeecc84555xzzjnnnHPu - ilMHb4QQdnZ2iGg4HNZ/5/M5gPq51zrqI1x4EZ46VIMZMYb5vLzsZX+/6/qS9UqvX+Scc84555xz - zjnnnHPOOeecc8455x7d+pI76eaWMxdJSgPQkGxUbK3ouMujWT/c6UZb3WhrPjo5G56YD0/Ohye7 - 0alutNUPd8p4ruMO64Kx0MhogBJzZuks95r7kj/fy+fcJfAoSCbycWzOPTwEACkhEBgCLZhNbvnx - f76xuXVEpG2CzLcRIo6fOP7Xfx22dmTesWEQERgyRzeHKmJETBmYMTQYkmpSralUwqREptJyjE1S - 1t7UGIHBkg/kMvnYxzHNmnNhxTCG+QR5BsuAgIEARCAgAM0qG6s2XHX6QIbGwBBAAE5mEtZHRQQi - OLWNItDCNcGaFk2GEpROC/NmFcyn6GfUxHk/G7aDP/gn/xvmQNsaE8hAqLHQUvMga4zW/mpCFaYw - BS0SzwkAFVAxgAoaRaM6Ig7g3AOpxUbznP/1h6fDFhQ5DtAXHD5w4ClP0BjBAURKsJqMakx2vvbW - iBS0yJGteVqGpoko/fTOj6MvBouBDhF97Gd+FiarP1xWrbOHkqX9IK8NGMBsARkgYI0ImjAMdwXp - E1lftncmiClcf30zHgrBADUFET/IgXARCQYEsJoABqIiOmbb+ey96Ofo+3j1IZM8mGze9i9/+qLr - 7jm3PxEM847n5dO/8OanHJ8NtrbaSEqgdlWkT41EgmZGZpYao3lBFGuIRbDTDG76h9+9szHKowPB - YieTjiazMC/BhjQY5ITCZMznbYIeZepBquZz19RGVgRVXqSyqpEKq7AKaWZVQgqxlKKx7ZnmVKal - D4OBxPbab/vWzeseP7UQ0wAAqCRKsrfdO/2NY7XpZILT28b9ecJQ52p/ztslpwQhzhx6DsIALIkm - BTTE0PZ9nwZxUuazyDh0+Elf/hVduMz7C5MCiKAQAkLb48nZTvzxn0ZRA7ExGwqjMMgQjS53ADzt - CTe1Wr33QTycvhvR4oNbZrv5vhfc9H0+jIfp1AP3jziFqXz85/+f60+cHOVpjxI5MrUhg7JlgiaE - BvK5p3c6Y0MoSkwggpruDIbt13w1nv+8U52mXgdWqMzNlGOAoKH0mDqFJ6At2oiyMSwAIGNFA2uI - SLWg5GliXP+4a//bb9puUuAL+8gfGViZlQmqrMpQBmo8sMHMCA03G33B0fH44HZ36tY/vfPdf0Rd - PzDEUhqOLKZ94SskG8oAJVZiIRZEQzRiIMACLAAMYwBCKAFd1MwAEPa0D0LoA3JYlAIHEEdDYzLU - sz3v0p+PkWqwZm2wNZ+eQrn6a75kdsO1J5omrB3oTRTg1AjAhO0WDzzlmmtf+TJcdRRxHEytn1sK - vTHrIPZhlDEQCcjGRREKtTNuu5D0crYPBujqKgIVggBQIqFYEAMPonDI2qpZ6XKUzVb6kT3xq75s - ToEQYUTAmbm3ZFiGatdTSOG6rs4xA/VotfeYNR6PQWRmFBZ9aSKCnvHXte+3z649AACCclKOAjbu - mfsAIRTWLmoJEqez5v6Td77+F47e9qmr0RWZBI7EyULIQTsSIQSKoAQEACBhkmAa6lpSZgMbaBFg - rFgloDPNDPc3Yfq0Jzzue189OTg+2TGXgWppB2GtadYopd6iUkyDWS5KbIQuoA+L3G7eh2vTuXPT - PY/zaVOjkkVKjJGIRqPRb/3Wbx05ciSGRcF9VV11yi8iwjuEwMxEpKo1xrumd8cYm6bBnuyKhxMQ - 7pxzzjn3WENnXibz6xLOOeecc8455x4T6s16EQkh9H1vZtPp9I1vfCMRGYyIQowwqyO8TWT37844 - kyZKKT3x8Y9/0Yte1HVd0zQ1WvtSzWe960EEMw3EZkZEzLy2Pv6Ol79cVADsVna+dGPYOITQNABg - pMCb3vSmzc3Ntm0v1fTd/lT3CADz+TyE8O53v/uOT3xUYbYcDLOwHL1GZw783JVSKlJW8d7/7H/+ - X8aj0Xg42t7erlH0tTp54rB7a48U5NemnHPOOeecc84555xzzjnnnHPOXTFKKSmlruuIaG1tDYCZ - lVJUdTAYAJjNZrUyTAhB5HNVUjnrNnz9W1EUkbZNx49vvvzlL++67vIsjXPOOeecc84555xzzjnn - nHPOOeecc5eAsNpQ+2Hu2vk07cyazZ3m5Fa6/2S4d6u590T6zGfpo5/RD32i+8BHp3922857P7h1 - ywe3bvnQ9h/fMXn/J/pb79LbP0sfPZE+s5nuORXv30r3b6cTs2Z71u70g64f9joQ4fL5XkrnLt6j - Jucufr5nwLlLqe6XBJxVnpt3k5qoPlMXP1/9bPEEBQFgg+oyF5nAVr+sCdan/y0IqqAAgkIztDwp - hKOpsck0DVn7GbLddct713emaxQCB5FsCFIkAC0TAFUtBiIEAimC1fAqNXCdrUBBVbQ3I9RAOjJE - hfb9/LMPjOeFR+ioUIoH2P76Z3/22T/2oxSCERBRl2J3aCthUYXKajQ1A6SGACB37/iJ/+PLUpif - OtEORugLJjtNKWZAJIgRLeq5Ea8Ku0FrMKoU9BnNsM99GsZZN3nc4ashBSUg7r7+Mu5LY31H9mUe - TZ3JsOdbgzUxwQyqiAFACDDAQuS2PdHyoKeN1OpszuDRjc85fsc9belJi4kZ0XKS9Ytzp6mRGc7K - 5+m7fjBuw6yHEkhjTDrdWWsPwmrsEGO5Cg0g0EWsUVr+VwO561tV0wrRxuf80A8+8OP/osz6pg3Q - Ho9/Qllbk3kHMUUtrceGRXjaOYNFFRqIwNZnGRAHEZ6X6Yc/PLrpJhDANOawocB80qQAijWzfM+c - 7ckIJd3zG179ks54Gs61Fh581Zzj7+yM750DUEPvlscC7Cm0ZwwwGYxU69ZpGGW9ajo9+dv/WT76 - 0cPzySiQdKUAzLuTO30DIxivjlyL6MHTwrZ3D2oGVgIJStPeZ+XIV33Z8Cuf9+nxsDO2rG0IIDEy - g4qoZGGm4aC9hFU1rwir/VhpN3P0HE9bdAkgqsUQ29R1XT/dvurAxs7xU5upOfzUp133NV+r/+k3 - Jw98NhE0g6ARjUFAGQAbjFeNDHMpmE5QhNWMTJkAhEX7v48ogZY1KPd68CDGK72yJBtqLKhZDes1 - ZmMzEtNB03RdN0hpazo/cPDAE776qz7467/RhVk0CasdfXHMvTTrwQQKaAZQAtoRJZlO7r79tsNZ - BsXMWHhxNCR6JLJGa1pqtUxF3dv+7NmAa9N34bNEi3K8VrNVa4B6bTzr5Gi3d62Xf4kZi/691tc1 - goLrz4MtQl4BwCIApTI0vXqOk7/77umf//UNfWbJKQXJpNkCEGOYQ3LGoEFk2AVuJk1oSp43EUaY - ajiW4jNe/OJT43VKoSFF7kLkvmRuBlGCyWMrMHVx3DWI8WIrIXDtdmaJDE5hp+8L6eP+9tdu/ebv - f/avPnSQuZHlaRwUq33Izr0X01lN9GIvYKiaQqnvGVSms0MJk3n5wL//9afd9Lz8pOskohm0IqIi - zWCUpQg4GCsAlNqj1n3ZmazRvWf+lAzLGa5dGludZoBX3R4jNlJdNBEMwJoGvDyZpnOfEbiKDCqF - Qoix0Q2+8e//PXztC+X/fceH/vNvx+28LjLLaAfrJ7rZfZxufMXL8IynHuuLrI0Ba2KcisTYlCJN - SmazoMuUa7CCFl2aR6rHwTXlntQMIPQ5j5pkGjXnJiVisxgnOZ9qmmtuuukY/VoBMRQEot1Wnk33 - bopksNU57e5S8GndYKpHKAVQGLYxRtMYSrAAMVEFqy67ZUp16lwnvt9O7ggIyjVpXKkefRZrQ0gP - EA5P5x+9+a38Vx+8Zj5lyTGQiSkUgYjIzJgZiqIlgEBal3F58eXsyw7MCiI1IHP4LNH0GU++8Qf+ - sR1evx/WHrhq2vVN0/T9XHoZpgYCYkptm3O3uMRGygaQ7rYVj9jKcu7yK6U0TUssXdflXtbWNja3 - J7/5m//h7/ydb93e3p7NewBntEgXRESY2cxqKAWArutCCHV3LqUwc30C6mmaB3g755xzzj00p3Wb - Fhd/Flcn6k1s71c555xzzjnnnHtUyjmPx+OcsxFCSu94xzs+ce89BQDR4vMtBhAxs+4pnby6z1Fv - yZJZ7vvvftWrGda2g+l0OhoMFk+0BxnofCHqLY961yOEkPvMMaQQp9s73/993/ev/+0vMqCqVkcu - M6Pe7L2w11jcHtbFd4BBRSACIhCp2n3Hj73rPf/lm77x68NZQxrqTWr36MDMpRRQSCkJ7JduvlnP - vrdHhLp9nz2CYPl9DDHnHEGBWE2/8vlf/s3f9A39vEuEjbX1nZ2djbX1mRUlWCmiwiHgfKMunXPO - Oeecc84555xzzjnnnHPOuf0oxmhmTdPUAR4555RS/WH9yXA4rM/83LndD/4SpRQz6rrMjA9/+GM/ - /MM/8oaf/1eXbiGcc84555xzzjnnnHPOOeecc8455x6FFkmHxIDynsIJixQhW4RE1FQdBdgefo2Q - Kw8t14MBeyPkdgMPSbGn7gTv/atlyIKSGplxERalYqQSsjQy6XdObp08uXn81PbxzZ0TJ3aO70xP - HTt1f7G+WK9QYquVJsxkWVKeydiUGDFxG6m5+uDR8XD90PjIwfVDhzaOHD54+OD6wWFci7kJEsiY - LQYlshg0wHh33hb5d7pMr1u8xbsxUsul1j0Lt2czuNLzxZx7JHh0t3v0MKAGk6aayqYG0hpNDeOa - wLworkSq0PqdKAUmNpDU73sEFmYhLBK0UaBgHtcxpFx3G9O9uw/X0l+s0HzHT/3E4yd9SyNRw3SH - I23e8v7RrGvVyAxGBjMtRCDjZbYfW4BBwzLfmQwMKCkWkWggINTDtiyW1gCmkJgnH75z/BXPa0j7 - 0gXS9XQQuQ0p2iKbTgmLcFlgmRdrqOWnIgUBg2pOYj46CDrpm6YhUeSCk8cHpQegIDOr5dgYIDJR - TUwgFhI1jGLqT55scglNCtJHIoqCIFikZMXVKyvUoJGWOXD7CNfNg06bLaYa6ceAETgugrAWyd4D - wL7wh37gYz/x4y2kqVsHhau+9Evv/i/vuiYR1IzYDBxMi50rVL6+onLNP1v25GpJuJho0nfzT326 - vfE5kAIOKTHyrOafI/eIDRhMXBYb94Wtz1VItgAFIKBRwJAJsQXNDU17Cu0ANgwquQTm8Zc87/jv - vZNL38TQl9xQS4SMDoRgywkuu6EGY7AZILYIazcaRD75yU+PnvUFGESywNyOFbe+7nU3/Y//E4Zr - 2oagWpdDYYywWFhSCyJQAAGh9gUXJwBnp4YbVjGNiyDBWo2QzrH+93zPu5N6hNK7zx/7tNwY2IjM - 6vpwnycEZRhMM0UAAQozYQU4IZqR5BwGUSmLCGs6MO1xx52fvPnmq2dzarQvEgkjIhTbDY0wBEMA - lu81G5igxhCqvyUCE3FvXRMCyEpRABkWOJHwrG0/dXj4wld92zyyhRgQjchUQUQsRtaHngNY2LqO - +DGUrse2OICixnIvdp1lVrCdueMpUAMoS5+HhCFb2dkM7WBL2Q4117/sW973jt8ZT8J6ERaIaoAu - prHsVyjBwLAwIHTH7kffjTGeQZUTqTCx7bO1bwQz4xRJDTAQU43xrrmPe3M0HxUn1Wxa8zt10c4T - EBTQmrGruSGQMjWj+7Q87qlPSU++fuv22SHt+k7aBChmosPYWCm0CnUGgLMTRh8ak4agBBgzCqwQ - ic62u7/8wOGv+Mqt1MTYqGmWXoNJ0N0rHpeHmNnieMpszOc4ttLDqVRrtRupRmTBmEEGVsRgiAqg - gHS5h/LDyUR8aFiXrYGSNmqA9swKmHKKkfNcTXjAfa8txqUURNkwxe2f+eTNv3a9BC7FYEbKJAAi - YEUSITGsXPDGQKgJ4qTFuojNNl37pV8yvvHGO1OrDDblINkUMWUDiGCPraLBuifUXYDCDCCqJsMw - xpOTCdYHO6IxBk3tDS/59g986v+mk58+Omi6eQmg2HLulAIMUCM2DtBV5eXlRr74oCAbkiy+AGAK - ggX8/+zdebQsWV0n+u/vt/eOiMw8585VRRU1F1RRICBCP6URuxEbRWl7rdfdPhFUbJ62vtW9fKK+ - 5+PZq3s5AYKCA2iLVQjYD0FFbBkKaRwbEbRLq1GrqCpqnm7d6UyZGRF779/v/bEz85xzh6q6l1tw - h99n5brn3HNORkRmDHtHxM7fNwNtAADRiN2Kr7j74YffecPFP/Fj4qu+65T9qBqO+xQDA65K3isA - YaSykSudWUfR8qLLUXGxm5eD/nzbEszaSt58wiwEnUkRMpxAVdV5sKuWd2ZRBcBOVHnWjpjjYKAR - RRYGjV3VDfdUTx3teO13PedV//KhP/jwXR/9+N77V0addjt3+2des+ulL18fDZMLiTJAmrMDA1lY - +9luQYowT2LPXvVLEEhWtmcWh9lRm0EZ0OARZUpeVTkqVIiielRTKPZdvPzUy9ZX/35AygSZV3in - RTe4nF9vOQubnUsSoI5mR2xhRhaQY2SOKpVH9OIuf8oaK/lhSMJEnlzOWZlKhzsvemrKTjeX/8xB - QFZxTUjdpM7EqvA+QTnJ0vpk/KGPjD/+8cu6VQ9451LODsqAyrxvqxlarkxouYKExSk5RAnk3TTm - Ye1jl4KvJHVBMCX0w8EjTfiq174G112zQs67kCllTi6pg6PK9Qo4UkLuu4pmd17m68hKjpuzwxO5 - VbxlY2ZmpJQBOGKufYzdsPHDZvf73vebr371q7sDh1QgIswegGTB1uNJOXaVSPsT7yEy79Lr/I9K - njcRlW8AxBirqjqpV2qMMcYYcz46ztlvucPLEKbZADYIhKiMEjj567fGGGOMMcYYY8wZYDG8YTHw - dT66VZmQY1LVejRan05+6cZ3xjL6QRVAjglgqC5yu0NVxb73IaTYEVBXdew7UoyCf813vppUlOC9 - yyqqSmBsT7k+taEqqoL5DRERcc5BoTk3rtq3e+8//6Zv/shNH0ugqAqmx7rLcsIZHPV1hmbD3VWg - JcH8be/8zy99+TcOmCXGEEKOyQfu+772IanothdYPqtGx5uw+ZKizVHfM4+xHZIisBORDJBzf//5 - 2z7x53+OsgqPua+H+X292fS2r/2UExFBVSQR8NP/6T+i7xvnFdL3fVVVbd8Re1EQiB3j6FF2sC3H - GGOMMcYYY4wxxhhjjDHGGGPMWYFo85Z5CGHrD0WkjPcolYULESlp3OVXs2ee+CZ5SgnzD9VmAYCb - Pv7JN7zpzf/vj/8/JQ485+ic0xxDCLOA8Nmngzdvx59p9QGMMcYYY4wxxhhjjDHGGGOMMcact5xz - qspMBIhkZtYzLYXInBMIYAWFapJSUllufGqnznuGo0QpiQ8h58TIvvIr3UYzWpI+n1fp3SV7gpVJ - oQwhUaiQePKSMsMF55XQ5y5RBlMW8RxSzg7U+NB1MSUZjEaTOHUVUhWnujbWlSPdgQcP3HPvwbvu - OnjHWrsynkwUmZkzMiBgaJOFFlUs5NgiGJtpX8qsfLC9FxPQYcfKqkpww8Fg52Dv1XufdumeKy6/ - 8Kp9yxcNZcm1VciDihonLmcBg6ugFHuVzCmwc8KShLNz5CAQycpgT4kP9pifAAAgAElEQVRUSIQY - Kqweyk4cAOUISicaczNLAS//meU/2vgc8/jKiLI8C6oHM7dtS6dUMegMYdHd5lyzWYP7REWaFKDS - XRAAjilm1AwVEAlUEaMjuNTBMTyDGRxaEfC8k6GyqN+0GfyWEpyg6/YJRqoAHDOY9dZb+0MHmhid - KpCziHccVVCa0s0mk0AsJLxlyR8jE0kJUFYVZElr6+h6V7sAoZyDCMSXd4AhhDwLL8e84FRJlCl5 - xso8T/iGJK+ZtGQxEUCYTp2kxUxpEU4pSoCqsigRWIEU43RaVXXwPrcbPnhHgpwWcyzvEtOW1XIm - Bg/OVjEd85P5T7d1NPtpX4eAgTu0VO1ane4WOPIYDLBvz84rLtu4576dnvoMIkoC76D5hDOmeZj6 - VknVK+m0w+o67RgwFLn38EiKVLK5pISLM1zMnXP1KbzmebC1OMzTaxkZ8M0AWZ72Iz/86BveGCTV - gyb1ye/atXTRBfGBB71QgMuqqoqju9+bKabzBDnGfHtmwTClfNvn3bOeyRdeOJ12fS+X7tmDrsdQ - e8WAGZIixDufszqiss8KZjuhAA6Agqgke5cZHrWmTrilLf73WA33YxxATrMnO6DUnDakmFdpJAgv - zoVy7BzXvq4yybRrl6p6ucu+6w6/6z17Dx1ermueNziOScrBk2bJiryZrjffIBcb3uwbFkXFQXNM - gAPYOwVn+ETuoZSv/5f/HJdf3DZ114vj7LjS2ZRFoWCFYn7Cdh6dJ2N7A3rszn5U8yo0a1VdWbsq - 5SeR3cHY733KRc94xcvufs+7ryE0gAoyYZ5zDJStYpbnzE7E5YTxxO3ZCV8pEytB8xn//s9C5bfU - kVwcXb9MS3S6cQnTnb2cWXJz2ZF51hByJmQHrfxTn/e8R+74wnKMNTIISeGJs8wSYGaUQfJFNRbz - lqvsqF7zoTvvuOQFXzNx0uYSfErCKopZX+vJsSXotYQjg+YLdlSO+6lRAhRCpWc5m+w8EphYy1pQ - gWyZ15eicdStucUKIQhxTloRE7hPCeSYuGKibjpa25h8+KY9h9bqblIxTQQQDTRrCkjhtpR2PWmk - PaRp0Cn2N/6rvuWbJlXVgRgMksxgPcUiyOeGExXh7dtuNBiupwjHXUqxaernv6C99FLV1bi26lE5 - x9K1ISDmWTdeCE63tMLbi+dursdtBFsSngaRryC99c8/tfzfP7Xn6198yDsMmvWV9cHyjlgOKsql - 2RUwqwAsZ+pxtBwVH+8PylfZch7DTsWpkDhBBnl1btvT1Dq3J0Tz0yYhZObWeQ3+cFMtN+6S73zl - JV/3Yv3kX97yx5+69/CRf/G/fw8uveRAv8GOZtncs+eKkizWxZZtS5x+6d727XdfZLHGFcg0S3x3 - ChApVwjN0lMukDtrRU9ZIMCWg+cxvWJehHlvQYv+M6lq6ZQDPenup1zU1ZWoOiEQxJHQvFg9AIIA - rOV8ebasZ9T+mCSGulrpx4OmdtMcmMcxekkXO6Lb7vjsu9577cZ0h4IzeuTKBc0ZkO1VvzfX+zHV - wNHFPKx86pJniqkPoYqx49Hojthe+8p/VT3/uQeXRlmI4caTsa8DZcFsPc6mMO8jGXM+2HpNaXPP - uvqay3/tnb/6b177vYcPr+QE51yMmeBUt1f5L8X9T77DVmoTDAaDrutyzlVVlZtPp/wyjDHGGGPO - FyfuevG2S5p2SmOMMcYYY4wx5hxR7quWUQ3OuZyziJDnjcnk7vvu+YvPfmZxj6+cDW+LniaKOYGQ - JJcfpr4nwAGv/Pb/bXk0BJBSYseqSo4hSk/aSBVSpJxCU7/mO7/rD276qKo2TdN2/WmcxaJmtIg0 - g8F0Ov2rv7n51jtvf+aVVy0PBt20hWhw5ImJaDYwC5t3rs1ZKuesTN77jb79lXf+ZyIkRQguphMO - 3J99VGKBZp+vUNHycYnveuUrn/vs50iKjikfM5nzeTSXMcYYY4wxxhhjjDHGGGOMMcaYcxsz5/md - 8rZtm6Yp1cZTSiW9G0AIIaV0slXI3/ve37z00ku/7du+rW5CVTU5R++qvu/cUWUrACvLZowxxhhj - jDHGGGOMMcYYY4wxxpjzkJL02mYZy3KdUj/lmOs+iWjCcr1MIXTaQtSl5JRCXbWxr3DsuItz2Swp - g0oWW0nKIFZOOXkfoGj7TkQ4cO2qzPCO+7733kPzarfqK+eX/JH+YVrC4fbQfQ/cffsDf3fHw7ce - 3Hik44k2KdVdqxOp4RyIqAyPWXzzxBGRiBLNnpsz1hQr3aP7779fbgN3YXez96oLn/7My7/i6qdc - e+HSJS5VLgfNXMJCnXeMipJKUk+OiLJEBx/qKiPH3MNha2l9pe0ZTNtH3mz91eY7aaNzzHnMorvN - uYOAUMooCQAGI2M+JJME2/MWGSxgQAQZpCBPARhPIYJuCo1//bNv3jPcefX3/wAGNZaawBxnTwSU - Z+nd82RsZnjHmMbPvOGt16y38F5ix5qwsnb481/w02mAMoGhSQEWl4HNxFYhIAgLC46p/1XSExct - 16K5KkGhqsKMjdX1nV1GcE4WFdqQeL576zzH+LGrRM0TZkg228l2MqEMplmWjAOBoCWtGYBCREBg - hoh04+nowGFcfIELdVa4rkfKUJHM5OdrCPBl3eDxlufMp6hDBUmo3Ff/6A8/8B9+2jHBO4zXsXNp - 6aprHr37fqhXzcSQDD35bipneNaua3HgUey8gkgh4gSf/IVffOmPvR5QaAIFEDFQu3Bq72jZqDzm - /WiCJ2Sgi30dPCrqdy13h6Ibdz4wqqq+8soj+/d7oQASEUGeBWlvxr5uCZEjJJ51v5zCCZzCd2ny - 4IHlZzHarqkqDoPxyvhzP//WZ//kf2TyWUDEzF4AVQETXNmKPS+2mvIlyyw2laC0NfOTj3orFjvB - 1oi78jeOtlfuL8XXLCbJHEMJAgVEiZWY1APKCk9glpaozzIYLfuVtb2o1j728bv/6uarXIU2atIA - 8sRgyiL+mMnSlnKZR88UEpFrX0kfCWAPiLLkltx61VRPv+IpL3lxN6xbdqyuoiBABpTglB3E5dks - sm3Tj4l1EaKclETACmYFa2biVvPOb/7G9s//qL/3oTjplaj0IRZKEufi4EeqWFtDvoj8rOehqmd9 - k3c+SYyl/+UFqx/64O5eBx5ZkARV8CnGskJnke3lr/WL6M+UtL8SAQ44wX1/f9slkgN4rAmkUq6h - ZHG2/ZxW5QJQORNQAik7Ld0hFc0gJnDO5Lzrcr+U40U5dTffcvuf/ckl0gMdmAjHj2Y8hfxuJemR - lCA9pgNefsGz8eynq/NBUDo5mQAwKTlAz8tLV/NCt4ItZ0YCOCYCZNwOhlVDfjxp60v3PO0lL9z/ - 3n9oFAOIE80AA54ALYm0s9VzypWWhTDt+8bT7b/5ga962jU7nnbVI6kbDpvcd5WvADh0oFl6caWJ - VEDnVBO8WaWaSTNAtPgM5MleLD4PKUGUlZBJAGIRFvEqBLeCdnDZnvo7XvaV3/q1Fz56OD3junvW - j/i9ezTJWZ4yxmC/9/LLHqk+g0TIUMU8kbZsTcc5qs3O3QSAlsMzE4kqGEKaJXvmJMKuvviplxwK - LsVIjjGrwM6qAiLajLIW0KKndwZRgCvuKSZSrXxMbmN9vOeivfX+/dUXHvjLN/7cpW3vx70Pjpym - LIFFRY69q3EipGh8Ne37ga+yxglkwMII+9s8etEL9v6vr5B9u6dJCCFUjevWKmU5uzc2Y54UqvrM - Zz7zxhtvfM1rvmdtdRJjrKqq73vgOMfnU2sJywRP4barMcYYY4wxxhhjjDHGGGPOT2UId/A+kzLz - r/3ar7VtAo4asFJuxTLKp79EAECEaDaYjYEAfOd3vCqEAACizrkcU8m9flKX3zFJit/w0pdcf+11 - f3/77V3bkuPZEp4OzCwi5c5L3/cAYow33njjW9/wRhEhIuJZsHfSLTM98+4pm6M89u1yAYtoTnHc - Tj/0oQ8xM7LEuD1w+7E37bI9KILzmtPF+y74v3/0R2OMO5eXJhvj45UIN8YYY4wxxhhjjDHGGGOM - McYYY841i6EjixvldV2LSFVVZTxG+QNVPYXcbgBdl9/0pjddc801L/zHX933vfc8GU9Go9EiKXxz - Seg8LK9ijDHGGGOMMcYYY4wxxhhjjDHGmPNd5ogd8c4HbyWEXtvaU3CsgXxTHdwQljq34dJ9V+pU - GcSuopTOq5g9UiZxgGbXZRYFA+TEA6gCT+NEVOtBXfFAe2CSnLJ4dkTkObk2j6RvJgfGD93+8Oc+ - 94WbHx0/fHiyP7kogfJSTCpg5NypwjkwMwCREt190otaEj4Xk1IVVUzTZBwn1SD4papNqw8duPuz - j/7Zjnr3ctjzrCue/azLn3P1Bdf6tvbTaol2h1xNxq2vK9GsnLOTiLYVJXbkHYRY2ZfADwIgJfyU - VUoRlbJZlCzT45bssNE55ot0VucqWHS3OZeUHCMBPGgWK1b+FQiTzLJ9lUvOIgOiyWkK6jBpkRld - /ttffUe9vjrs1p/BvjqyccPXf9NrP/1nUHKE9SkGg0XhppKzyLrIfosdxt2lye3bsVsPHWRfgQS3 - 38ErqyNfS+qyKhiUoTJvjQhKs9xGgrDO2qrHVbKshEDMcMiTCZIAICLk8ot5GbNFRl2JGD9qQo+d - 5y3atW0D8CwpCyBSka2phFrySplUNccOIuh7depc4LaDApKZ5xniAABXspPPjaGxXUTj4BpkeSTJ - BcMRT9ZCHaDAhU8ZXnDJ+NAB54g0E0FOMjuXFASwwgva/Y82114DBUBOZdAL+gSvaBooui7WdTiV - 5afNjMkSv102CVIQwYcG/QSNv3PjyLWiOwZDxBYiuPSpuH13f2gNEtmzZsW2PeFomaGAKyGLAKuO - fDh0ZGV02+38jOtkecQu7HZ13ysm05oADnA8jakK3ntWIGMWy73YWhUgmmewld0Bm/8ythfUo/kT - j7uECjpuejfO/nR5c/ooQRRKKLnOUAKYxRFyCD6mJGBkDep2g3Db7f/wOx+8MOUdPoynvQM8OwCa - kjtqmpsRmLxly9t2xqKEXiIBwQFAEnEIEur9jX/et3wjLrrgCNNU2YWaxUlK6mcbriv1NokzQRmk - W+I2zTFIueSiC1COiE6FFd671XHfXHrJVS/7Z/vfceOIwcHnmBilXufRbRmpOkJa2wiKUlJUVZme - eMyi+fLrHYWrr0h7dvbjSZIERgaIQCDQ8SJUT9PadSpr9z2ILvFIMxExKeAUbsvBwZwOgnLMVYAg - IBCcIBCy16TSCxhwzhNRipOhZOw/dNeHP1odPrxMYCQVZd56CYC3Tvmkl4aQROomdH1cC/UzXvFy - DKtWpYHrNSmJgjNJEACcSfhUZ3SWUmw7P9rakJFzOeXGh9xm19St68bdZO/Lv/4Lv/+BPme/NnGa - gkeK8Awob3vryl41K7t80u/nTnLTL9yz+pGbdn7fd9dLS5KTo8AZQsrohQDUAAuBSefd2LMeHdNn - Lh+kDCEIgQikELLu8xOSWaDsKTmCEyhhJaW4PJIc81LNF1+033m/tDcJaJFzfTZSzpJElS+7ZAOy - DGbgqI/MCs3OQWfPAAHz/hWVutil+8wqWfz88g5TEvLLu2jPnkwQKDOBIJLZORxz9V/n+/mZ1ZqS - RFXnffDVeNJW2e/dvbt/6MF9ff/ADTfsuefevVEaYsoMZkGXNZ/0xpB1QKFNfRXcsNIj0zjasXtt - MHjOq1+Fyy/e3/XajHJkytI4V+786DlxvDLmNEophRCuv/66G2+84ZXf/mpA+r49vbPo+76u65Le - 3XVdVVWnd/rGGGOMMcYYY4wxxhhjjDk3LIZM5JzZOYHGnA8fOfL+979/NBpsjKcneqKKgADnkLJC - GeTYQfILX/jC5z73uTlnR6yzEeIk83jvJ4/3ftJOR3Xzb17z3a97/evLfJUIcnru6DrnRKR8k3N2 - ztV1/YEPfODHf+RH9+7cWXlfhjeICESYuYyOP85AQHNWUcJgOJy07Y3v+o3V8bSMRvDep5S2/NHj - DwsnkGgKwA/+4L+/6KKLGheOHDq8tLQkpy9d3hhjjDHGGGOMMcYYY4wxxhhjjDljEVGMMYRQBl2k - lLz3ANq2bZompVQytk95eAkzptP0gz/4f773ve+57rrruq7dsWNXzvF0vgZjjDHGGGOMMcYYY4wx - xhhjjDHGmLOWUr7vwOff//F3rfDaJI8dSdbUpjwIQxd5ye/e0zz1td/2/XvrfbHT2CWi8zAgRJWl - RMgpMMuFUY4x19VQSbrYdznVXIXgc06oRHycYH1C6w+s3P2Xf/+nf3f/3/Rho3eTiaznSl0D53zO - OWdlZkekOhseIyKqIAIR6UnGFBNRSXRRVSJipvJD9aKUeorCEA9gfQNHQn7ogc9/4Y9v+fhly1f+ - o6f94+dc9rzsulqaMGg6TQAUWUjA5MirQrM4OJQ8HAhDpAShKrDIFQUAPPFEVGNOysnuEWcaC2Ux - 5xbNUAVLicQuwaUZSECEAAoV6Gb5JAfmrFhdxfr0vp9+y6E3/Py+ex+5os8XZRn0XdX3//TFX4cK - WqmI7mpQlX2G5jsOzWp1eSSkePtb3ranjZMDK+QrOMXG2uE77x1mdoosqp46hTj0ueS/znJbyzcA - SMHC5UE6e8znM3scFbyqmwHYs4xWCJMSaFHDjKGAPk5I1eI4xgomAhFUkXOMkQAHWvzBYjpEVLoI - pYEH4EHpwfuRheC6Sbe3Ht785jfPllvKMwWQ2fd69h98CBgGBWImcPOCH/8P9+XUVQ7eIQuGy0vX - PmPs68gA4L07hRdMCqdomCeHD0MUOYHZZd1DjCTwYdpOwVTXAaKaH3+CR9HFminrRTarkkmCgFAF - 1O6f/vjr/e490zaDFJIxGu64+uoN1UyckdmBtXS8eN6myOaDhFWobJoqICEAWZZ9feiue+F8cOxz - bA8fuiTrXa//SRzcQNdBZOB936sCvWoC0va6eALJAJjhWIkVzPOHwzzLe7azR2h5zKeh2x+YZcTR - IsZxy15pzFZCyCzKIgSAnDIr9ZQ6iRW5hly/ujZSOvjbH7zggYd3x6RtNwDqUItklRQBdk63hBPO - bTk8KEjBACsIKiS+8kly+duUQAD5eup9vvYqvORFG0vDbrQ8BSXlDF3UBySAlFmYFQq2EL7HVprX - eYurQqzErMKaUh9peflwCBd9wzd0yzswHAhTVjl2PdK8mfOMdn0tqLJitkbIHT1LcwaLzNjRLF37 - 9BiCKMEzO4hIYN4aBUqzxPdTN2tr5n25KiOsrOPAEaQopOohpCriiK1FOr2EBJBKxGck5sRMyl5A - uXeeMqMDnKtdporyMlL/yU+2n735KUghTSvWKPPk700068gT5OS7EMzoNcdm1Fx5NZ79zCO7d06J - VdUpSKFUglSZ9Ogw2vPE1i5beRMIUELMQkQ76iW0qZv20tQHBw6XXnDJ177ocFVJw8QAIwMiLKrz - 8zbMOqantF+xYuh9M5lesr7x8B/+Ee66Z2k6qVWZiFWcJuGspE7VKZQ0k5ztXcoTvVGz95DIV2Hx - wye7fvfZjrZ0yDJLYo0uZ4KA6noHtD58uA1hZ9IqRofMsUt8lp81E1wC4Sn71j0ShAGirddjttH5 - vimYH0sJZe9X5bJ9EYMBJdnw1eiyK9AMupzK5ZNyx0JVufR954/5xGXLxZMzggDqpI0tKUMcvEc7 - uSTL6u/+3pE/++NrSPO09cG1EjXrUh22lhN/grImBJ+BLosXaZaqW2N37bf/azz/eQ+S66o6DJbE - V9OYmFnzyc/AmHOJ8nEvVNZ1EBGoXn/dM/6/9723bgIA50p7x1seX5Su68qVVcvtNsYYY4wxxhhj - jDHGGGPMcbFuuSVBjsi1feeC/+CHfq+NcTyeev+YNywUkFRuoCq0DL/93u/93rquNYuqikiMkZmd - e1LGuW0dkSsi3vu2bV/xilfsWd4xampJ+TTON8a4dWo558lkMm27D37o96qqLsP5Yp/JBWa2cQ5n - rGNHcc9GDR3vASDnvDGZrKyt3fCuGxVQRVVVaX4ffHNKi3FIdLwYbwVBIfhHz3/Bv/3e78sxKWQ4 - HEo6+QH6xhhjjDHGGGOMMcYYY4wxxhhjzFlIREIIIuKc67qu5HYTETN3XQcghMDMs8/180l/wLbU - YTp8eO11r3vdgQMHmFmZNqbtUX+15WGMMcYYY4wxxhhjjDHGGGOMMcYYcx7JLvkd7mD/yAo9NG4e - bXcc7vasT3evbew6srHz0GH/wD1rt015dRLHOWcSHTUjPp9SbJQku14oCRy0BhwApSSUVDhOkadU - o25qjyp19bRdWl8dPXSg/vynH/joO296w69/4o2feeTjB+q7DjWPrNZr/TJ0KWTnpzH1UR2h8k5E - RDQlyXmR232KKcUlTFEVOYuIiiiyePEUVVoQEAaoRtA6bbiN9ebAxvKBO/pbPvA/3vXzH/2pd3/2 - l/9i9RMPDD7f711th2utn6jLKpTbrD1qbkpKWsngEAIgToW15HswZsmnDDDr5mPb8pHgDMvvMGes - RYmesz2xe+HszgEy5mgkJbV6dlCfp7sRGCX0qZRoUpCAErDeYn166y+9456f+Zl94/W97fRSz2Fj - teo6ajtUzSB4iJD27HpNLS2C+hjgWePhAaSMFPfmNEi5aRrUNdppvPMLNG1r9rFtCfCuUoUqHG/P - oNvEBBAeqxJYiRctpaZYoVkzUhg0cIwsEAU7IofZqyxPAGjxFfM3Zvtkj54lIQtiItHFr+i4TyzL - rXAgD/gs6DuQH4aB7+OyY8RusTZONSPvDKVAn2NieOdBAcPB6q7lGKoYe2XAMS67jPfsFkLKizVw - cojgAAfN0ymmGxABw6n69Q3EjOmkGQwy0PWiSsT8OAntJyTz3QPAbDFVlUDTlBE8hvUDXd/XFcBw - jKzuqqfp0pJULuat8bVlbwO2F01jhVM4mW9CJFkTq6S1tf7mW9C2zrnRcoPJ+tWe7n3Lm5Cm6CcA - qoq6PGt3HeC2vIOlZ3fUPjQPdysvgLf9lLa8Ntr+mC/9tndkM9TcGABli1ps1vNjmjDAbcquqSSn - RuTyqmn/x837P/Wpi2McxKQxVeQgkqBUqmOKoIQRPuEZiyZVVGG2RVIIk5wfgVz/L74FF+xdc6Gn - IHBKrIAQSLW0FFvwln/NCZCgNPFKQuUcEqxQkuh4LdS46OJrX/bPHpA8jjE4f9wA3fJDx5iurgZV - VqVyOLUSn2eV3rE4XPDsZ0VXZ+UEUkLOeR7EfvrnWLYcL1hqI+65h1PMpAkKIhL1T8Ysz3sEIS1n - DTwPxpacE5Fw8AqGMqe0D4SHHr7zIzddNJkuS1Tpieed6tPUS2BFxZhmua/vr/ymb4y79hwkzsNB - UnEqTgXzOFul0znfs9TWvhs5zorcpmE1zFk6IO1eOpjbS1/20ke9ayHM6CK8Z4EyPICtze+WnuJJ - tI8E0ZQHwDCmwYEDX/jwR3ZP405fxRidJoJkQiaQilMRQiY+h681ChSEEALmW+Z5dV3+FChYQACx - giGgpCTZa3auy9ol2rHzAkUzGUemitkPm9GXe5G/WMws3mH3zmlwmZgEbvOSzDFdYmUsTiQXvyAB - oKBySYcUTOiJxqPRhc95NhwlUvWcVJQJZ1u/ixUODokbV43I7Z62uOXv7vit37405m51urPm3EcH - RI0AVXTSTYBj1/bTwWAApmmHNVB47rOab/3mtWa47htqhpO2VSIEEkelw2OMOUrXdTF2zpEPfN11 - T//1X3/naKnKcuzeeOqn26Uldc4twiHOmdtOxhhjjDHGGGOMMcYYY4x5MjBzkszep5RuuOEG7x2A - nE84PIF4Nia4DPAtdzWeftWVL/uGl47XN4jIOVfqLJeqyk/28qeUmqYBsGfPnle96lXTtiMgx3Qa - Z5Fz9t7nnMurAxB8eM97fjPmBHYCTZJDCESUUsJ5Pxrn3BDqSgm//hvvevTRA8CW0b5zj3M/XEtu - 92yw+k/8xH+qQ/BMOSYGnUKpcWOMMcYYY4wxxhhjjDHGGGOMMeZsV9d13/el3k5J71bVGCMAIvLe - z+rwnIxSf4sZt99+17/7d/+e4NZWN4bDodXFMsYYY4wxxhhjjDHGGGOMMcYYY4wBIEAPiSHRKHfV - ZMwbrR93g+kKrWz4lbg0yaNJ8tN6UA2bJhDH6eTLvchfWiRKmrnEkDIpz/MV2Puq8nVFQVW7PF7V - g4fCQ482D/z+ze972+/81Hs/+Y7Pr//tSrV/OljLS5JrJIcs2vcxRalctTRoPKjdiCXMuiR2l7Lx - qsj5pEuTiCgAZprXnp/RnANc5UEJ3QbGG0gZVQ2q0LlpN9jIe8b7+Z4/uutj7/qTX37bf/2ZP/ib - 99/TfR57Ul+1PabNqBk2g8lkYzaTWW73LMzIqbBajQzz5PrSVCh6stluYs4txCAuxcV0nu7rBJUi - gDtQBwIJRJCBLmGa7nnTW/ccPHQhJZX1ST4i1DoHZuZm2K6s7KgGt7z1V7AxBaYaUklsVYISK3EG - GHACdP3fveNXeLzRrRziOqDv0cUjd941dAHKBNTsWRBATshToFn8NrOUJpy37IyLw4oc90EQhjCE - IA4QkWr3MmoHMJRBXohRfgUozd6Tkqv8uFSVS3q4KmJECWATJYBLfgxQWvNyBCQiN8+VYWD/ffeg - 78FVUHJ9V+UEAlI8TkrtOREKxT4QESkggqZ+wQ+/bj1JqAfiCBqx1Oy7+irvGwdozoJTSe4jAlL0 - Ijh8EJpK+bx9oUZKCKFNvQK+4rJKTuUlQLaGIIKRARGEQCIafNPDg91X/fDrxsNBJILzmQKWduy+ - 6sqJI+dAAtISRMclV7IETM4yJhVB4AVOwTr7uQ9eUndRszx9+BEcPIIjh6ERvs/94SBrwARepGsZ - YIcoOUBqJIdESLONX0Eym3WpJzjbOmePskN5wCtCQugREnk9Kr4f4yYAACAASURBVLSbtm+H294/ - wSmtL3NOm+UFkpZgd1WCgEGe2EH6Yd9WR1Zu/Z0PDtYPUz9tHAVANOccy/HdAbK5n/LsoD2Pmd8a - RV82bCUBCSVhBRiq8Ixe6YDH4FlPH734hQcrP4FLUQmOmRMpvAMJa9l6pTQVsOKSj0fn+/wswre8 - XaRCSqFaa6OEZp2r0be+/MjFF0xAFfjodFJdfBXO2q+u+ZScCpHCotfONj3zagh7n3n9VJgQUhIA - BFXI1lZj3v580SdT82mGLLtSjvfe66HKmpHJsarqiUvrmlMlADIv9kxWQmawp5R7IgKxQKusS+sb - j970Sbnr3n0CJ6msK0+zIq1QKC2O3krlGPLEutwLpKCEHMLaxXvx4hdN6uFan3vvohNAnGq56JeJ - BYxywes0vhNng9KvlC0VdcubzL7KKtO+CyFUrooiHWEtMJ7+9OVnXDchBZAUcJ4Q5rvqbG9ys9V0 - KrswISfNVXBLKe+/6ZO4825ZX3fBgRTQRC4xMRJrwqzreW5ecpld32Ui577cy3LWEEJizsSsCFkq - yU5zJuk8/I7ltT4JhRR1NNwB0bbvk0Shs7sJEGL4gB3DWIcEEgURFlfvF3+2tV42KZHOLu5v/hCO - yHEGCxzQM9Z2jvxXPrdlBjliH5MomNgf91RP5uenZxSncH1eDgNHAb0MV9f8ysotb/q5q46shXEa - NsidMBDqAUHbrufQnPQ8NDfsJ9Opr+oYeHW09NwfeG3cvbTGwbs6Ren7HpTIUXIuOpJz9HhlzBOn - OPr6ZeV95X1KvWr2jr7yuc++8YZ3egZT6aJsPeDwlsdJiDEyc6lcgHmStzHGGGOMMcYYY4wxxhhj - zOJG6nzA+WzguhKyaqjrj3/iv33h3vvaPjd18xjD0xQZJFCoqpuPY3jNd313U9Wk6ogYYGbvfcmx - frIRUbktIil9z2tew0DtT+fAg5KyXP5V1ZJK3qV42513fOKTnwSTgLz3ApUM3XIj2W6XnlGUjt4F - Hhv78OjBQ7/8jrdHha88EWLf1822++x0omFXOvstA07xf3zfv33BVz2/6zoAXdedWqlxY4wxxhhj - jDHGGGOMMcYYY4wx5mzEzOPxuIy7SClVVeWcK/+dTqcARqNRSfI+tXEmkgFABKq45ZZbfuiHfmg0 - GuWchSA0H7sxqysnOMuLLRhjjDHGGGOMMcYYY4wxxhhjjDHGnDxWVMQ1HEDIQBIhZg4gD6E2Yfrg - /vu6dtK3XVCldKYlMDzJlAUEJVAGEimTVCQ1i0vt1GmEpqitjnS6NP6z+2564+++/k8f+Ohd6dZ2 - 91h25lhJKulzHWr4IYURV40Qt71OupBo6OGYnGNmKoEsNIvmPPkl1c1nEdE8w5tENEkmQeV5uXI7 - PDcJOkHagCew06luxNE0XKLTXSt3xVs//Lnfftcn3v77n/mtR/IDtENW2kMrk4PLu4bKaVuUiR6T - pLalZM2Wqh2zWDYFlERtfI45X1mhIXOO2SyslIFcvlVAQMpUNnhSaEaMmLb3veVnl48c2QMdiHDu - hzVzbDknpISUm6UB2snu1XVMMyRrCXsjSJk4oGVmWdCmenV9OVC9Z0lJ0mSMBx4M0wlJltx7kCOX - +s6XOlKqOov2K8tK2Px3QXSegpwZi+91HsJK5fnOi/O8c4S6Agjqeld1zgFwJZUQyItJHhtBcxRV - lPZeAVHkXFrNWeYoLeLymJh1kX1HRApVsGTqW8SI8QYpPNT1HVKEClAGwi66A4+/LGeFXhNAmhKC - Rwgg1w+XVmLOgRMpCHTlVTwcwbEQmE+6p1pWiCB5Rru6CihUmJSnk7990xsBZS5d5LLhn/T0CYKt - zcBivTAAMFMSURCqCsuj/aQTzwBzaJBRX33VBqkfOJ33oJSg84kdFULsZLMHpoTSM9R+mlc31j53 - G8gDoi6x01rizT/7FkynzJEgqlqzc8oQQLRkJdMiVHvxirfNb/5aCJmQS4b9PJe3/GHesgtvK8am - 510cpjkprOzKVk5JSTIjE/swmI7bpeB3dhN8+jPrn/3sjhwbh5QyMQNwzN65lJIAxCRb6gkee9Ky - WXBwkebrmQBNSApQ2BDd2Lvjype9REbDaVWTqxqqAjGpdLGDp/nxVmYNziz0FKx2tvNYSlC6EEOZ - FOXDGwrKDK68ZErDJTz1kh1f/XwZDuWoT4/MGmWepVBqlsmEkzqQKz+w6O6zihB1zcA99amR2IVA - op7hvXsSz5oIAIJgOUk6eLh2RA5ZFY5JISLHHivMF4MBJRVGZmUFCzJxYnZN1ceoWRxAkCYnPHrk - ro/9t0tcrTExlAgQqELzrB8sYCEIabmudGrLkxSpbp7ytV+D3csTrgbDneNuSpXHvKUghQKZz8cq - sCUQHbMOJIDNcPSYk6sbrvyk7QBUvp5MOxotaVNf+eIXdVUVGcEjJyGQYPNMpJyGlT36FPYtBQIh - xdzE/NRxd//7fnskElRLO6vEQiykSpmVSf05s//ylkurJUk9l660O2de4peCgstl6yDwAoIoaSas - d50bNFORSJQgVHn2pFtO5c9SOWkCoXKpdplIAegJT1sJwosLJdh2gURLevd85+2cX9mxhKdd0XqG - Y2WKkgHMbmJsmcGZfHLHyqNqND687gTLKnvb6b3vfvcFR1aWx9OlgNiWE3OK3ZQdNb7q+vbkL+Fq - lNQ42khxf10//Ztfjq+4/mAVomMR5Jx3LDWBc9+NI0TY6Zn8fhnzZRJjLFnaIklVR6PB9ddf9yu/ - 8nYAdJqOMcxcThgHgwGAlJJzpzOdwhhjjDHGGGOMMcYYY4wx55gkwt61ff+ud/9GqYzcdm355vhU - AXjvy70NBoZN/cpXvnIymSwvLxNR13UxRgA55xJ0/aRyzvVtV/sQQrjy8ite9NVfk1I+jQOzSspy - 3/fl3nEJYAYgwPve/4EkkiRXdRNjFJGqqk7bjM2XjxKmXfvu975nZWPiHLo+qcJ537XtE5xCye0m - 4MJ9F/xfP/LDklLseu/9aDRKKVl0tzHGGGOMMcYYY4wxxhhjjDHGmPPHaDQqn3st/+Y8K3owGAxE - ZGNjY/Fx/lMYZyIqNCtojJTwsY994m1v+wUVglopVGOMMcYYY4wxxhhjjDHGGGOMMcYYAMwUUtQY - o3NovM8JIoCCGTn3zue2W6uaikSdc96dd+kCrJ6VeUusAitAGhrfYhybcTtY/Ys7//gdv/vm3/3U - fznkHtof99NO5EFei1NhgbJ0OgiBYkafOKVACM7xLFyTRVVEVFVERVRVmSmEkx7c4j0zk4jmrDnP - piaivnYcGECM0nc590rCNbnlQVBBjAJG1rTRTjekxyiNq9X7p3d+9K9/9+0fePPvf/p9h/kht0+O - xIO974SzUgaEZ5GLHnjS68YYU5ztYXw2Xs2cSxjqoR6AQDIkY57LSwDBpRSgGQLqsbJy18+9eWe/ - vrPOOfd931dRMc0YT7s778L6GBqhrcvjS7r4Dz/5RiQScFYpe3wSAZA1M4Cc0afdWWKaxG4sKfmm - OfIPtw6yZOkzZyJNuWOAHTl2WZKwZJIEEYhuZgrPCEQAIWRGZCRGByQH9VtTi5EUSXUjy46rroJk - kIOv1rynvfuwPaRK8VjBdDKrzIYS/swKpIRQyWSK+TGCgNJ6O6A05qVMlaqqzOKfWdXHFvsfRjPI - fXSOG8Jfv/UXEDMo57LgipIem3T7az47VeRTihQASlCA/dNe90OrS4NI7AnIiioML78sjgZRFXLS - L5cZKWvtKoJuHDkI56DKigFhh3dQ9cQApGzhkh53gsfazK1mgGdB12Uzc0DDviqdqjo878d+ZDKo - O/ZafrJr5+4rL48KnYWGE+ZhaaIQAgJlngX7HUVYM0CEESE+vB+33g4WkSTsRhKuPNze9pM/i9QD - U5ejB5CA7CEOSQEkyUosrJlSmzZAEZSABEgf+wj0hBaYABHIAClqQaWAQIBp6svBIQO96tGBeGdG - ereqljHmqmBmq/t2RlAmZafwoowslDKJEiFzo77qJ1g/cvt7f/PaaQxdEgYTyqFSRUQyE6iEXCor - eBFmv3V7k3k0fQnwLiHfEsUD5AAg5kTLOw/uWqq/6es36irBOWGKOSghpxCcSC8smTSzCik2Q7tt - E3o8JAoovMATxKlASYm7PtVVIzFtpNxfcNHVL/3GNXbZeWXG9reVnROIQh0wPrKClCqm8vkTZjs1 - PZtkRssOw+V9l1wSY2YwBJry9qjR2TmU4jjN3CkgZS88iDEeOoCcADjnyuUYi+477ZwAQM9IDCdw - ikyIjEnfDQYD6buBQ5C01LfrH/7D3Q8f8pMuEJKoEqT0E7b0FjKjdHgKOnF376hA2RmmDGywu/Il - Xyd7904T0ElT1TH2JQuctKTdzjY2oZPvUJ7lFi9fCKWpdAoGhCRqigwNDmBKGHKdE46EateLv7Zf - WmoZCic5cQgZUt7ARfg0ACE9hfdTAThWYKC8dyMe/Mu/xn331+249qGPSZIy+czSa/Zce/Xn6iWX - rMrOISdfVyklYiZA1fobj41JGWA33w7LIcipEGtixNqNvU4rtIiE5DSf7bnoIYQkGU2tjjHfGcqZ - TbnyUI6JWzvGBC1vTukPL36lqkyzayUdh8te9ELsXJ56FmiSXNd1znnxGeDN/G8AwJn6NnI/1eVm - R0N5V7uBT//VIzd9YtCuM5AyaHY2QY6gqimncAoHEwcABIydW3va1dV3fHvLVfQBgIMGR7Gfcp4O - KhKBcLCPNBszo7zYHZxzMUZH5Ig8I3bT4bB5ydf/k1/8xbf6wOUSmmMql774lK4nlT4/gOl0Opu/ - KhEtjmmLK0J2acgYY4wxxhhjjDHGGGOMOQ+V0Sak88+rMIOpz+nu++/9wz/50yhC7OiEQ0wFEMxG - os4G1Feev+1f/evdO3c2VdVNW1IE58s4paqqUjrOOOTFQIuTXfbtoyOhJEoiIs450UyqpPkHvv/7 - AggCApi5DJRaDJc6zkibJ+zYT/j8wUc/8sBDDwPIOVdVLSIiMhs8vf2pZ//w9nMWK0iUmZlZoFkl - qxDRoSNHfv3GGxSIsw8rIM825tn6Xazj2UCFRdq9goAm+PKxiLf/0i9W3g+bQeUdRGOMNn7PGGOM - McYYY4wxxhhjjDHGGGPM+aYM2AghYMsojkU9tJxz+SaltBjacew3x6UAORaFAs6RKn75l9/+kY98 - pMyuzwmOseWzt8YYY4wxxhhjjDHGGGOMMcYYY8wZYpbINr+jbfe1zZMnZ2L2rGCC9mhowNH7XJEQ - BKoymWz0fU8+iGo+z+qWkzIlcPaMgWQPCLskMiHuW4xlOd0x/dx/+e+/+luffsdd7d/SzrbTSQic - e0L2FXlKzgtqMMVYqXooQzJyohydRIe0NXuISsmWbYXin7hyuCACM5hnU1NGypoFAiZmcgCrkghy - lzLATMyZnXAN34in5MAaq2netXaouftjt/7W2/7rT/3+zb+zNlyb1n3nevE9KDInTyyZIAGAkGSJ - oqlU51AlVVok9ZxSDRlzvlMtMfaMeTWk4xYpOotYLos5h+jiwQwQBEhKpaAXumnrnecMN51iPLn1 - He9Ymqw3cYp23DDXWZ2g+5v/ef/HPn7w7/5nd999WF9F7gaN99PxBaHGNAe4kjPFQM0sooGYkBDb - u3/pl/TIymDYhB2DNFnH/kddFyuRunbqQAzvwUCfc5LkqqAKELxnDsSOiKCQDCFmImIuX0HzwmWh - QkxoIwA4x6UVc1WdqrDviiuwaxdCBaEu62odrnrtd4MBFS6BWGUadEwZtJKLVb7dbPLn35WD3cm8 - /U6lyZoOHsBk3VVORYbODSdTbGwgdSXiGQAxAPQpnhutcPAOyEgRAEIN5JWlUQxBiEUyCHjuszcc - d6f0alXKmhTNSdoWMZafc2q5nyKLK2nrhJxzGXZ86gi6vUWYJVMqQx2CQ1MdUBk71ydFVUPzzuuv - W+syuZI/LxmakYkIDFXErDIPNN2aQwwgZ3EMhjiVuu/7Bx/CQw87F9CK67CHqgvT/8/encdLllV1 - ov+ttfc+JyLukGMNUFWUQCHFjCKNIKLPWfr5bLWdutWPH4fX+l5/oEWfPhta5SnigIiIrb7+AA4N - aCs+adFuRlvRVhtQgWamgCpqrhxu3ntjOGfvtdb7Y0fEvTczK8nMyqrKrFzfz/lkxY2Ke27EiXP2 - 2WfvffbPsHkC/SySoZ5kDTBGaKRo5AAzgIuWlFpRAVC6DoTURCLVmlavEgHZ7pIAGegQYARpYgS4 - JjgSkdQ59mjxV+oWXh44zgGYhwsyA2QMgFAYAlIAprrOcd+sO/bOP7dPfPTAbLo6iOM8z623RXq9 - Lg6BZQYhny4n/tQYYDNEhvYwIA323VH6x3/d12JtOAsR4KAcFVE1mLIpSJXMCLIIO2QP0TwbpKiX - jgQADCWzWmo1MeW+a2JQ5ruzpBtu2H/jjdsp9WwIzBSIIxEpIFJ4fmpVGU9gRFJgsgxdc5cKBWcC - UhyuHzCORMR1Qk873bysdKEOMSJDyn0+cQLSq5Z62WkEIlI/IV14JAyjxeHPEGYjLqU0KchkvNbN - wpHjN73lbVdna1QVLMSGmuO4WIwXJbYa6Wf9mupXetKTQpzbQbjqar7h0XeWHNrhILSW+xRZCcpg - AxuD1EiByy63e7fl+XEe64t5aHrd8sHQCsh4mwMOHHjY0z5/I8ZMCJS63MUQT7tCO/eDq16gBTCy - tJIP9fLBP3jjAZFuc3OwttbGpH3HMXBI0mdWvqRbHnVRh6nb/KT84/PbgI4MAC+2HO/K8DaCggSk - oBIgwZRwaVfk5h0JRJj3Dcw/9/J0ekp92E77EMwCKwoeDSagzdhc98xnHAuWw8Wayn0WFNAmqpXB - iePD8fb/+A+/ec32dCi7vnFjgPbGaZ/b/mCCAsja6O5hfOp3/8t+OBgPRxYaAAwBlKEMCVbIELy2 - 4dw50K/5mq/+6Z9+cYzMjNoqRfPqyQUTQqhVx9oRJSLM3o/jnHPOOeecc84555xzzl1GiGjZTaCq - ZIBavWtlOBr9+9/8DQNianbu77q3ngqzmJKIDQYNgFz0e777u030QRzPQESSi4k0TfMlX/ych119 - dSSKIS6ytCEiIYRld8l9VwcgC/Da1752dX3/9nTS5xybdF+iwd2DgoiMqeu6vuQY42h1pS85Ns2r - /v2vHdnYRB1cVUeB7srbPrWbbfnVMzMDORcG/uW3fssznv70tZXVyfZWzrneO717tINzzjnnnHPO - Oeecc84555xzzjl32VLVOuOqqs5ms9XVVSzyvFNKNeG7drWfeT0igkXHfdsmEbzwhS/627/9u8l4 - 1rbDEELJOhgMLuC4Eeecc84555xzzjnnnHPOOeecc865S4ixGauyAAApGbFRQGBjomRmW+Mti1wY - hVlDuNwGWIyaFctGxjFG1WKcMSiTcKxb23jHh9/82+/4jb+59Z2bw7v7wfZUJmZGymRMBoYyCkFB - WiMulKBgAwuxMJegwg9MOAgbMQAlVoIwhGGky4S7Ok9GzcizkHMos9B1g62yf3InffrtH/qTV/yn - l773lneNB0f7djLBdid9sdI0jUEU4EjtsEkpqRURWc5g45xb8kPCPbQsMqAI2sASrIN2pGbSDhqY - oOsx0Q+/9FfWJ9utdk0KMURsdxh3R/7kv27//XsfLl04cuzEhz+MXkBBxtsSNTX0l6/8dXQayLLN - ullPigaBlWAC6XkyOTwadrOZ9bOWaPszt1ru1dDNBAozmIAYTURklD5TgBKyaJ+tFy0GIkSCalFT - UzM1UrAgCYKAOqxEWmlSJFYxgAjci94961Ye/3jEBgho2q1A431raCMCQEZAWEZhnXWy2nzwq9Uz - 6TlgA3Lpjh9HYJBtb2/qdPrIwSqUwFBATfOsr19Qk5Je+uVPKZkBqMEUChhwYN9T/vUPnuihFnhl - BC3I3dWPvZFTQ+dVBSGmbEW1yGSKLsNA0BAQTZALZqWuVLWoyPl8hjojnbGg1rh3fSv1aBLAWDii - CU/+sR/bbNrYjvrNMQYDHDx04GEP740MxOBARjVmlGo6G5QhNI9UFILVbDYDFClAVdmsAbbvumfy - 8U/i+DTwvkSrW92s5OmnXvkq3HkEpQNpTSgrCTOGIgKM6YyFWh0ES4EG0BAHK13uO+sVGTZr0a1y - HzaPjFJBN8ZsG2UKKaQSoEAx0/oR9dQAtNMFKjtXp6pcXnAG06gIZk1g3h7j9ns++Z/fvNpPyXTW - F27mcfXLxQADG/gMud0ATo3MqxGhChiHE0T94UNXfPmXHg8oTEFBUDaQaVBNqmxmhMwQrm8SUVED - Xz2M72wYQRlkYECJDRwZpgUB2TRTxOGrr3vOs+9swySQmKqp7sppY2YCSHS2sQGAxUgt+q0glyA1 - Aqe1qw+XGIgICsaFna62NnPsWSkDDWT7+D0ggxrP71AKnox7wQmxAkGX8c91AmMkTozQl35f4n2T - 6ZG3vyPdeXcaj4NCiJSoMGktlJf1awObAtCzqGmfmvwOYMZ8bNAe+ryn4IqrttWUA0G5L1FVCJlI - ianuLbvayC578+qb0Ty9GwArGkFS7pkwGBx6znPuDLGPCaQF2ViVsCcB97wOaSMIkFUtcoEGxDXR - u97xDnz8ky1gIUJKMmMhsvq9nVf9/CK23M2N5ndRgomIYHZh80ofkuqlUN0LDaxEQmRENXh+VHSU - bZR1ULQVa0SjnhyXfskxMzLACGXeA2GKs5nRelmRrkVrr1OOxKDxZJZX9zWPeETzmMdsN00mIpzm - DF1/d15WkIJqRvXFlYNeGNsNRLpDWj7+K688eMed69tjmklkZgPb8lME1sD1g5wjMWCl/USZHnjO - M+OXPOfogX0TCgDvbBkA0FDLT+HLrm/NuV1sXuDwTl3LeE/NYYEBNjD0W7/5n//Mi38K8yAMY0Zg - WlQTL0CFzcyGw2FNp1DVEEKN4nDOOeecc84555xzzjnn3GVCTK2OyDVE4hhjCIGIitodd931x2/6 - EwVKKQojMHME7mUsBFHJGUA/6yPhS571rM97ylMYhl2drXUUk50y+uWk0U3n56R1MsNMmJmZtZT1 - 1ZXv/97vMbPd8zLPt4DIBYxMNiUDfu/3f//YxnEKXO8rK7pnXEf9vBfkU7v7SVcyMw+Hw6ZpspTj - J06srq9/5GMf/d3X/Udbjv4mQh0BeLr+vvrdqkhomhBjfTKBrrnqyp/5qRcf3L+/Hh1NTESkqgrz - 8XvOOeecc84555xzzjnnnHPOOecuc8sbXesIFgAbGxt1XIeZ5Zzr/bBmFhd98ae1nAWYmYvYrMtN - EyeT/kd/9Ec/85nP1Btps8pk1nc58xlX5ZxzzjnnnHPOOeecc84555xzzjn30GOkRtm4M+5R0xZI - yAyAIhpYWe/auMcSSaBMVvg0SWcPaTyezEJIZTZJXELkaZnOhtNb8k2/+ZaXvflDv/Ox/h8mq8dk - KBlgwyiGYAimAQWkYEiABOSAzCgUCzWFmkJRFtEY9/MUEwwwzSfAZwBGbIu/a6TKqqwllLoIF0oW - IophWnRGJY/6E+2tt8g//t5f/9pr3vqKj574MB2IWEmFMZ4ci0mU+lnupv2sL10RAVtIfMmnnrgH - 20lTANU5kR6sN3NBePSXe2jZidJjGNF8F1eQQQXTDpPZp37hFVdPpqvT7X0pYNqjNxTc9c7/Fo7e - fVAldJNDDeJkjDvuxHg7pERkNJ1e2xVsjjGdJAqDtoECnSELpMDKWmDqs4qgLwBt3nwz+j4wDRuQ - QRUUoIxO0Ck0UjFGiNQEbkOIDIYBYmCOxgwwMTHmS6yxgsVKnztRoWhNW2IzNjz6Wc/CgX0oqjH1 - Kc5WV5/8v38fYgDtOrQVsHlE8WctrkKdsMoAw7mWbmQYEaabx3HXncj92sGDKylt3XzLB3/hF1AK - oTDF1A5AULGu709NTL60ENDGRFBwQGxggImBsLo2XlmZNu20nyExRqv0qEfntp2YCZ1bkWsAMRsQ - iHTWYzKp+7f2k9U2wgCjAABIKXGg80jz2vkwi//WZU+ANyAAQkIIG02cmDbrayKKIs0jH9WlRkJi - ZiJSFVFjAxEC7azAduLTwIZANZYUTNZwiNL3t91jH/0kNqcoeXU42JfSgS7f/JuvxvFtTDsUA6MH - MqDBAFATAK2rzr0WtQJpUhwQGisDEZpNsT2hvrzrpS95z8+/5D0v/X8wPg7pqCipNEBDLFYKip8F - 3dmieTirUk1e5KAatYR+eqD0/VvfGT/+qYMtc0CfkUJcFrhGO2XvmXO7q/lhvJwWkyCCyFya9pbS - Xf/FX4Srr9yMsTCDlA0ErRfCbFaTaOsckgrySSTPls0j1ZXmcbCwRbZmyQ2TmRTTNBxNc8EX/pPN - Kw93MREZgQvMjDgmAs3Pmyqzze069SMR1QkcH7zP5s4HUQChvfLwjA1MBOwNGuU9p8r70m6y87tM - QOQw2z4BcCBiEKnpvc8i6s5bDcAORsFISY2UoWQISIGCSrcvGO6469N/+pbrhoOInqDYKZz3fBfL - dkijs6rXnrqzzJiOjAb7n/PFvVgzXBXJUmbDwCRFiZUYi3hIMgX4Mmv33OMMZ7S68etJkEI6YYon - PH5y+HDfNmLWMEvOexoDbb5CMjvX+rMZRKCkIHAIadZdM54d/ZM/2x+iTLbRdauptd4gnAZNhjwE - Zu9dbrqTPkptO65nusULLv1Pe/+qYcn1cAbARlCwgcmUFVE1mHKdL/yh0VhHGsiQNWatNWIDiHau - DclQY6p3HeDzFNz5AgBmUGJjChOEu9r2MV/9lV3TlCYZ8UklwyVU8yUoa78uHf76b4+9478dmnbr - hJaCaN0BdvpmlgfYOTECcZw0zV371x75zd+wyehGI2rborLM7VaCEishKtLi7zrn9riXenjOHTO+ - 6Z//sxe+8EcBhECiInuiHe5TMZ5SYuau69q2XTbPnnm2m84zsQAAIABJREFUAuecc84555xzzjnn - nHPOPcSYmZiSIYTAzPMYb6LBYPD7v//7x45vEM/jvQMHu/fxacwMQwx1rmQ8//nP39zcDKd0tt4v - H+G0N6SZwYyZI4fS99ubW9/1Hd+x0ja1T7lO9MzMNcl7OV/zBXkzCtx59Mib3vSm0WhEMajqpX4X - 0GVoMBh0uc9SFKYAmMD0S7/8ihNb48BERGCa7zaq4Pn+txgVuhhUQCBm6XvJxVSbEAT2ipf90v71 - fdubm7nrB00bQsg5M3MIoe6NzjnnnHPOOeecc84555xzzjnn3GWr9sXHGLuuw+KOV1UlohhjjFFV - m6Yxs77vm6a5t/Ush2qISEoJ87RvvuOOe573vOdvb03G4+nq6npKKYRUk7ydc84555xzzjnnnHPO - Oeecc865i5BPVuDuPxZEWQFgnnemxkVJFaZMGuzI8buFi7EJM+bRhZcPbYYBURBE0HW03Q82P3Lk - fb/9tv/3vXe+6y6+BQdyaS2rMoMIfS91zok6/8k8nBtQhjKMIKxK8wyRB+b9K6lwUS7CNdjsXv+u - kRohZxAhJXCAUtHQl0FX1sab7ZGPHH//r//Ry9/4V6+/x27v2y1atc7GYcAUwJFimzgxgFJ6H4fj - Loh67jspxvsS9ZBIA3KuWsYOAzCGMTQ0hhaW0SNPMOtv+vlfPjQejybjfayysUEF6HHire9YOXp0 - X2PUYNJDDMnsno98DDGiaZhCPL557cbWp1/2KwAFKAArQCQgYHPr/b/7W9pNpc/D1FJW3HF7M50M - Q4JS1yMRYptmShNBSY22oylSF9LUeFt5q9CWWGchU0JMmakwFUJREiOrCyi2Q4AVMbTDGYcjfTcb - todvfAyuuxacMFqfCd8NO9oQVlcQ054j284rwMoMeu6/RhjEuH33XSiK8ZT6cuX+fQcIKDn1xXKt - 1QlHW2matJwT6xJlICkl5wIWIpAgJQsNlB//I//Xp1VtbQVMyBkc22uuna2ulnP8xPVUA4BBXAom - Exixac59mwjTHghkIMAgJffn/hF491mAAIYGKNdpyvbWzIwiRitPfcEPzyJKmYWmlSx41KP71dVM - ZGZkxgQCzBAMicDzFOF5RibvpCQCWrO9DdAhuJ1Mj930CUyPAT1tbqbN7YHqcHN85Odeefev/QeU - Mbib6baigLSXGSIBBQRkpMQUQVBCxnSKaYdjJ3DXxu0/+0tHXvKLj7/nxI0bW4/ZPvGhn/lpbG0D - BAVKidCGeJHN69xnZwQlVVYDyGJQJuNGpZ2cwObxj77xzY/GAGM1xb4UdFrCriTC+dlp+czOWnW5 - EKz+XwZgOy+GQgFwGhM2Dq0f+qovnYVkzYrQ4tcW2YcAsdWFgcVD4pOOdHcvmAFGIRRgnmKoBNOc - yFQLiAKFEyq49uEHn/n0SSIlcNswSGG18qGmIDC0TCYoEkGBfMtfkhhUTHFo33bQAlvOAWuLZhSb - VzmNzhgnfCZWj3XMs0zrQcpa+hlgDGIDQOAgsIdA9O/FQwkGBjgoB4WRGSlBg7J0GjSOYsTWNv77 - u9c+c7ue2GhiYrNgFmoFhhRY7gNay+qwyFg9c672aeYiBqaR++sfjic+dlvRxBYwhiS2oPXd8rwm - ZbVkB19+jfBG87PY8rPXI5GgQTWoEhSkyyZFZu5CxKHDh5/+BWMyMFIE16O1nl2XgcGYp7afPTJE - cCQ2UyVTyaH0n2Pxlrf/BW69eU26lsEInJmUFSJc9ByjwS9yJ+2B9Wpluec/NNrI7j9KKKzCCiBY - vVZiAwujMErQumRGz9Qz98xyiVckiCgRY3s6KIggLPYQYZXTF2cKzKduX1SkGUBM3JVODbS6fvfB - felLv3jSRFEiAxufVDYuU7/nZYXtLBeVZLKvm6wfO/LR177uMZ01s5mpYnEmUYKSSr1yhp3H+1ew - hsEdvT7iuV+LJ964RZyLcowKAAxjMoaFTKlwDKZRhR+gPiHnLn68WACgHi97FmBlZWU2m5TS/+AP - /qvn/et/lZgApJhOszI6XS3ws8k511wKEYkx1jNszvk+fCjnnHPOOeecc84555xzzl1iQggAaly3 - meWcSylENOu71/z2bylAVCOKWXfldp/aNaFFYowiFok+94ZHP/tZzwxEy7tAT+2LPH3e9rmzU0ZJ - zh+rMrOUXrWEEPbv37+2tvrc5z4XQJ24WUTqZ6+PL8BbAQAQ1Q9Kr37Na+os0ma2jAa/CPuU3WkZ - QVXFNIuAaW3/vv/8p2/+wz96owGqUFOYabH5zaX3Po7FVJu2BZCYROS7/sW3f8WXfbnkvk0Ng6BW - +qyqMcaQop7PbRjOOeecc84555xzzjnnnHPOOefcQ4qIlFLatq0/1sEnqlpKqWNaapI3zng/bB0J - Uwds1Bf3WXLREOhjH7vpB37gB9q2nUwmIYRSCl12U0s755xzzjnnnHPOOeecc84555y7eBGRBzG4 - B4YRQLQz+wcVYjXuFWKkFsrWbKPrJ2CleZTDpZ1ncU6My4zGU2yltTS2SR5s//0t//1X3/jSW/KH - cZXkoU0zcgYJgtbsaxirBBVCDXoTYwGrQQnKhaln6gNKNMSTQ+Xuh/dPyFHrIjwP2anfI+ty2TMF - SghkAitgQwCsWO4w7ayLZTNu9AePveWDb3jtf/3FT80+0K2Ot2g6k1ndVWoGXtYsIiHM96gLNauM - u9wsz4D1gZktJy+6RF1G5aa7LJDuTDxWY96EkdEUw3T24V98+ZWSm+l22wZMZyFF5HLb297abG4M - ylR663q0A0jBMMSyvaWfuR3b25jNVlaHzfbWaLqF3Ot0AjNqAAMK0DTjO+9YHw5DaiAEwD598zoD - ogpO3HbUbBRsprZcdeXKjY8dPu3z9z3jmfue+ez9T3/GwSc+ZXTDo/ma62YH9m+1g2Pg7ZimIUw4 - zJgycWFSZoSYuzwDZkxbRFsN0RUH1268AU95ItqEpkW2nrgcWH3K8/9PpIhYq0Qq87oUL/OfPuuJ - b16inW+xJooI2bjt9vqDFkHuUumwNZ5HQxFmyAIlg0zzpT2ZFSkYMbWKYBRz7oACYrQjDAazA/un - pkgRZmBe+dzHnjCca/QaAVAiYiJLMGxN6hZr961NN7d+9uv+NxRF19XvN6bTRQSdzd+Yh4aCl4cO - lOpEeaz1LBHqxHkhYjgow7SdZ6IaVlYwGV/5pCcXZjWFSiQwExlIwYKwCLPcnbtmBFOQgRgK9FpC - 4BagE1ufetfbcfwOJGYz2p7tU9u3PR7c/pn3vvTF2D52MHf7ZLs9cawxQ9dBDDkjd5jNwnQSph02 - x9ievf/lr7r1V3/zyCt+dXT30cOz7sB0ttr3+3K5KgRwgii2tgACLEAbYjE5+VuhS3vHdPcHA4RU - aJHwaQEIRohWDjHu/C9/Fu++Z82IBSpgQ9o1mSPbzoIzl8P1FLbzVxnGhQCQiG2KHnzaE/A510yo - KRYKszDmAYfzeD8AHIWjMGmEsYKXU15ehmmv54FNCLL8xgCNTKY9AynGaTfF6mg8SDd82f8yC7Er - BligIDATMRgTAzAz7TMmEzLUG0uWU3y6SwURiSn2rXQRvQkxmdG8hcT4LOpTZ7ZnDbYTJg1TzZpR - etZ5SKcRebPFhcXzsFJWsBKCKdsiEtUiG6cs2Nq+/W1vf1hRSC6WQcrQYBbM2MxgSqasywToOWOc - sV3y1PZ0AvrIhz//yVgZWjMofR9ImKSUjhi0WKESQFr3wvoh7p9tczFaxtvvnlq6pqTzouGSFtHp - wjCCaNYYxjE+6oufvaVmhFKUCcvvSmlPoO+5IlDkAEUgKGQAamfSntj6zJ//+YF+thpT3xemFEKc - 5QkFwyUehbvcQru31bxRdbFX065Jvd0ZGKmRGskioJoNXP8FmA31eWUoceEgl/itpLUNIhBwbKM1 - I5tPxq6L/UdPd4KrB7jSPCsXAEjV1AyGcE8u13/ll+LwPmkHEA3KtLcDY1ElPhnf/10d52pQytVa - bvuTP9WPfXJ93K+kKEBvprzTY0FQkABqfM7dGIXpqJle/bBHf93XbYTIoxEB0+k0hQCArO5yLJQK - JSUFlQv8CZ17KLjXStd0Ng6RBsNmPN764R/+oe/8ru9o25TLBYvWTilh17QF9YoynV+7n3POOeec - c84555xzzjnnLk3MzHUQsSqAEEKMkWN4+9vf/slPfwaAiM1HHH22Ea8ipQksZt///d9HRKurqw9i - L38IoQ4zICAQaykM+p7v+R4Afd/X19S3twzwviDUNKVksPe97wMfeP8HAYD2pJ67S0LXde1wEJuG - AhvT0WPHXv7Lv9yrAZDFAE4AqCM2936/i7x6AOAQ+lnXNtHMHnHtw1/44/9WVVaGoyYmVa2x7nXw - ZyklxvjAfULnnHPOOeecc84555xzzjnnnHPu4mNmdewKgJyziMQYl+NP6g2wpRQz2/38qZjZzOrr - 60CRGGPTNCJGhHe/+x/+zfNfsLq6OpnMiOjCDh1xzjnnnHPOOeecc84555xzzjnnnLsksCWyQApa - TJmgBMCUVEnB0ufx5tYRQiEVsssrj0yBLDNJ/TZtzEabf/aeP3rd219jB6cymm5Mul7QRAwjEgMG - cIxNq3uT4HhnWeTdAKHmXOsDsTFr7AvtStshA5liHqixDPNeLJqsMASkCIYANJFGK8kg7Spt5GO2 - PvnE5gde/oaXvPHvfk8OddpKaEJWGU+3RTNHRoDnprn7bveosIdAdLdPJeMeOgyqUACMOl9ZDeFj - ZMGkfOBlrzrYd5xnbWsYb2JtFbfdceLv37M63sjdbLgy6MYzJUDbaL10/WqMd/3P9z/shhsw7dCP - sZ4kZphwWum1cEgRQC4gWWPePrFxMNcTaTM9epSnMwVSWpuobROPPufhVz71CbjqMKZTdILRCNMe - gZF4wDwQxXiMY1uYbN59y02Ue/RFul6LBhEWg5nFUELTBdK10fo1V69efx0OHEAKsAAzpLYnvTWP - P2elBQctxAEwCEGAhuZbJ3zWqCddFGdEpwZD3VtS1J4UN8Cy2tY2jm3g0FUKYysJ6UO/9buP/74f - xL4VIQjYIEMNMaZ5EN+li2w6mdBoFUAzHABQgAODwtN/6Ifv/MmfRJexNshHN9LhK9evuFpuveWc - /4CqAgwkJYynNeVMx1txuPr1X/u1UCCmAFbpmQx8jqONawhpfdv1J8MiHdEANTAFwBAUxphxGES+ - e7r5mNUBmcCAKw5DrFlZCaVkVQKYSMlgoGXGke3UvbQ+NBADhmKQACOLilVIO944+pdvO/SFz8bD - rm0tILZbsxND0secmExf/NIjfe5W1m74oR9GnoFb5G0EoJuC0i9+1Vd/41d9zQi8EujarW3p+7WV - Nq2M+tyl4QAxlK2sDf/kc7/uxW97CwYrmHYYDSmgn03bwco5finucrSI+VQ2kBEZK7gPZT0Lbv7M - x//LWx+3OuiPn2jToORZLpJSsCzYKSHPdAWyO/x1d4lKgBCEmYjNuLTpkc95pqw0hUPJpANiaFsA - QBhCYGMCosRAyExAKGwMkCEoLvXo0Pubgck0oShgaIWCkAXAuFDRoDE0YVq6btBkTdc99nMPXXuN - Hd3s+z5yMIHAFIhUs2GNVLE9hijBrFiIQcy3/6WE2ASG9ZEMYp6aEvVFYgim89hPNgb0vh1Wu9K7 - 56djFgMFQikMmJoZmFlEP3sVzp2LYDBCDmBDK2Boz6EQIURIv9JL98GPHP3Exw5pp0OadDYiBEWA - AqBFRDQAPrUea2FRqzr9vlHbDuZVLSIQaZOuecYXHE2hZ9IiHJRYBQVMqCsiBAMAhgKqxpdbmns9 - MdJiIxgtNi6BAZpXLiEEZQVAohnYapurb7wxHtgn020uy+sgFhalWuaDSc8juhsAVNkQAkAgUlPa - Hwef/qt3XfcVz2k+Z70wBw4hQFUDDLjkUzaVcIZZvpeB9AYDES7xBrL7FRkIAkCYzZCJlaGgYBxV - g81jp4XYwKTzaaQv6SqcaiEDjhxvBMFQLxLrFecZ2gGEgTrB/OJFWhASzDgdOnj9137ZnW1QRUNh - vvcZK2C0s6FqF8iy/s0GsnOOvr6/rfWC973vM3/4x4/SEJvhtN8YDriQzmZoCQQEm1+kG893Aiac - fanVMx8ftY997lfh2uu3mQmUCHnax8GwmGFerrIgAFK4C6Y6P/M4d3maH2fLn09zLb9TzmgKgYjU - DEDXdS960Yu6rK9//etLOXk95yfnHGMspQAIIYhISmk6nXoqgHPOOeecc84555xzzjl3+RARJgKB - 1DhwDLHTMh6PX/3qVxMQU8hFTBVEjGAm99aXWHvymfnA/vVv+sZvlFwsBIKyMRa9q/e72s9Sx34w - 1yzkehPO5tbWoSuu+ILPf9o/efoX/M273xNCqMHJ2HvHzgVgrEYKZMjvvO4/PumJj08cLvEh7Jej - pmnANJnNKDACv+ENb3jPP7wPy/FFRADBABEw74rurv13O+MK6t7V9yUAv/arr7ri0CFWnc1mBIVq - SEMzMxURKaJt2yrKA/o5nXPOOeecc84555xzzjnnnHPOuYsJEZlZnVyihmrXO2HNrO/7pmnqY2bO - OdcXn3Y9KYWu067r6nTAqlrvpZ1PG2B485vf8oQn/tr3fu/3Nk2cTqdN8PtqnXPOOeecc84555xz - zjnnnHPOXVyIzjPgwrmzYhw0BWlJB6wzUzJiQJTARAYhwLQ/cfSeMMisKdo8Uu0ywcDKcLQlR4+U - O/7wz3/vvTf/1fDauNVvdb2OEgIxFRYxMePYgJBFGLFm/YCwJ/ujxpzO11qf1DMns9x3ZGjzYgZ7 - 0prZsZj7RXdPAlNzJNk49zlySikRi1jRUqAGzYOAyUa3MkiJmx4Zafsdn/7jD932/u985g/esP9x - TRNnqhxCoNjP+s5yJB+H487fMpboIcOPB3cxsd05KDuJvzY/b+2eIot3fmX+mnlu98krzAXT7hO/ - +PKHzWZJZk3UvD1uhkPceXf3gf8pt9++StZEdONZCMGUZn0ZhCZApeT1TvDRj+ARj0A7zLlPzejd - r/iVpz/vh5oDh3oAAQiMWb/e96MmIQV0Exw9XqbbQ6BB6FTHIV75hMfRjY/ESivdDE0T2mhZaWUV - WnqoQgeRsG8VoyHywStvfDRmM4zH2J5gPMasRy4QQdNiZYj961hbwWCAFBFgSkZtoTRV7Q+sPfv/ - /j8QgMgKZjPsDooxBmtNGKxo74OdM74ajOaxlNhJszvLClaMyIJRVrv5Njp4VWRCCiyZjmwgROQs - MVGdTE4VFC79Sc9oOBoVoAgs1HRFqIFHA5S+G42mOaPrh+tr6HHo+uuP3nFrEQ6Kmjm43Kr3dlHF - BDFlIlNrYJjNYAwQtw1RDXbsYYkBCoukrfPCex7q7jdlABFgIAM4IqWnvvBFt73kJQ0AVRbF+lo8 - cEByJ9uZFTUiGADtmfVsvqrl5wKhCAxomlRKyWqDEJos5fjk2D+896AFHL4a25urybTbXldga/s6 - ob5Mbv/ZX9iMEc3ATEKZjALHTr7/S750rc+hkzKdxNUR2kZnM4sUiXLXN5JiMxhQ+Mav+DJoRt9j - NAAKkEaDFdV5jjiwc2AIGIBPy+d2q/sIKyUFoEYaTFZyP/3Lvz587BhPpgIxTZFT0SxZzj2qS2ke - Uri3TCDtTDEYdQf2j57y5K3REKFNxB0EgDKgNVYcCgTl+uu8uDbWRSL45XOdfB+xsdQHAABRxBCt - GBtiE8az6XAwQNMefvKTNm+5FRvjNaIEpsBWJGtJESBEEUymZmYIvWobIsqlHb15WWED1clsB42k - UEAwVgig85RLZcyPLabz/1rNFi0gPF+HGoFThBkRwyyAAZipn40uOAWUwIY0T1cUIxZIyGVV+MNv - eed+1RZ6bGqrK4TpMuJ0bk8JX6NVd9K155G0ZKcP8F6uRjnklMbtcOXRj9keDadjGQxGRTsi4hCs - Nrrb/C8QRMGLv3t5FSbzw2RXVa2e0XZ/I4b5WY8MKXKfMw0H2L9vcO11s6NHo86sgCBKJgSAlTTg - fBs9CWJC9Q0EzAoGTcuzLt70SXz8E3zg4c3hh02la7hpYpNLF+7Lh78ILK4Wl8WQYr7xlQAlVsS9 - zWSX1/55rkI9qIkEWOTQ796VGeeSzfzA23M87pSFikWhClIYg1TBRhBVhmHzxKAUqNi8s4Fofhir - 7YrZrvVVYSw6CYhtfp5NERPGOMWrv+gZdtUVdPhQt9lFIpx1FfeBqgmzEpSUoFGZazY2QRfNXGwg - IKi2KsPp9JO/84ZHTvqV3GfphiH1s9wTBgNYN48b310BmO8whKChFnt1vnGgnnGwPPqMAONxSsce - fsXj/td/OgFTs6JiqmU0GBWVU+oVZASpa9u7+515s13Eu6pz9zszmKmYhZCIqJT+RS/68VL617/+ - PwHYczY03tPWfXaIaJnbraoASilN06j6edY555xz7qJkDNL5tSdpvR5kJdjuyzclsF9KOeecc845 - 55w7eyISUwOYlCxEFng8ndx17NhfvOtdAlhZJBMbKwrh5Btcdg8XZyDn8m3f9M3rK6skKiJm9gCF - dgMAeD6Khsm0z0KwwKnrupBwcP+B8dZWaJp/8W3f/vfv+8e+3wlIvrA9I8Qs0hNAwOve8Pqf+IkX - rQ1HgyZpkZNe6SMtL2Z934cUQwhI4djx4z/7cz8HhiiYAIOoMDPFKKUwSEHL73N+RCy+XBNtUtAs - P/Jvnve0pz0thFByTiHCUG+iyDmDqWka0V5EfKdwzjnnnHPOOeecc84555xzzjl3Oau53SICIMYI - IIRQI7pTSstUbxFp27bruntbT9fllIKIqepyngoiIlgdJ9I0/PM/94rrrr3+K77yy9p2aCU/AJ/O - Oeecc84555xzzjnnnHPOOeecc+4iUYdTBISgSbSrOWZsAMGgRlCQkZ6YHi+YNdQSmnNZ/ekzdC4G - NJ/VUwEomI135WYqSJUMRhq6LT1xjO/8g3e+/gO3vTdcYUe7e8Boh6ACmSlMU9squC/ZCDHWvDDs - xKzsCuc2gIwNXLf7MkL7HN/7/DvaO5HL6VfCxmxx8QpdxMrtSp1YvlLrZsFwMDClUoqYcrAYidhM - YYK1ldh3pZMcYqNBe96+vZfXvPlV3/BF3/qkxz4pDNsibEIKG4aoNdrSuL5hq5u0pizZuYfsuYc4 - PSmYqyKzebiisdmlPcGu7/TuPtKTlpoxd+pS7X3m5N+dL7brXwUMCmSoIBsyLMN0Zy0KFEA1Qwos - AMGYDLlTUmA6xXTzgy958eHpidXp5sB6lq4ZNNjawj9+qP/kLStqXGAFicCCAIqMgiyShyno5ma+ - +RZEggostT1ftT2BZvQzrecRwsd/4WUHx1MSKblDDOOjR83MAI5pannthkfQk2/AoVHfEhEFStIV - 4mhqxolSKqWACFoQC1rAAtDg4JV42LW44XPx1KfiSU/AF3wenvwEPP5xuPoqrO3DcBVxBTogjJRX - t3h4Z9te+4LnoR3qoB0D27CeIihGRZSdE7FAFaq1EmCAMQwwZWgBwAIOIaRZEQQGKQcyEwBGKAYB - mJmZa4rzcqmRsXWRgoYw7Pvprbdia4yY0M0Gaqv9DP0UVAJpBDHIEs1zqy5ZBhZEARPQBgAgIBiY - FFQwSNe/4EfubEY0PKAaYT2uv1pWBrlthQlgMAmQGcLLid4Y4Loll3+EyMiMRFqj7sRmfU41gAKs - gAXzCfQCOJxfkU6LZfEzgxhgmi/zF80j3YkwWD22un+rKMeEvoBieuKT7gQsIXANsCSAVXnxfnQR - kals86Q3FTAhEazLQawhmAiAFUZzz8b4f7wbH/0A+jExh2JAABicgtihnK/puqu2N64en7h6e/vQ - eLy/9EPtrB+DuziKkClC0W4ctHAujSpEUSRKXh2fQD8BZogigTsz1PezyExdLJBarpzH1rzQiEBE - dPK0iu5BEEMoMx2ijQqOmXjSSBe3xh/5wzc9YtytlC4Sess9GdXDcY97Od8BwLwsPfWxIbBRUNAg - 3BbjoWc+C4cfPqXBNPcR2ojWy5oSAGMyZoORCquwgoQhNWLcFteW7ozUwGqNIgFKELZ63d1kRIux - aIZaG5MWmcWQvvwrb2oGuW2l9ASFKKAh0rQgRoyk4K57Ig0KJWoGeX4B6i4NBERFAqNJ45xTM8hZ - EiHYvAmBoCBRMj1dyOVZUMCUVWoGpzFZqDXaYjAmtINsYBpooSayWn9xnJEeIpSQA5Q1mCZFjVk1 - LsoZASPJuOnW2d/9/Zpo3+taC5md/AWTISiCYhmtTYakSFrTeEwYQrWau6dipjb/IjmACCekHB0M - r/j8p2OwOi3gNvWWlViMFUmN2WrdqcaBByNSwmVYni9rj0C9fpkvtvg/tngZGRgqUpi5V+opXfOF - z7ojDGywllHruyoMYcyPZeN5JfOsGaGwaAAxzGCFG7S5z6uGR47729/4poEVlmlqVLiUYkztJd3k - wgY2ZYMiCvPugyFaCaamjNgqRQECkWm513U5gI1JA2morc8EjabRlKDCWhglzAOwCcp7q4sXAyUo - q3Kt1TDAZPNFCUbQ2sJOCpiRCqkN0mw20VtvOcBmUnoDECAhKkUFA8oojMKoayiBlGEKMigsI887 - KhR5ZXjT/nb127/hRDuaFFiIBSasSqq76rrLooHnLR5sYKX5cj833zIsKHEXtQ/GhiQchclYYJmM - YyhF2bCP+IrN7dlf/tX0H96/urHZahdhJjkSBgR0CMsrAgMMpPMirjAKsaEBEgjG0LrpEAixNq9Q - 4GKYQjcGw0d+27dt7d+f1/ZJnjfn9JZ1cXVQWI00Wo4mAMECjJdV1tpEULdkUD11mV/XL7btabbH - vB9rZ7l/N79zF8au9m06Zdlp065ViRAowkxVAQ1kP/3in/iWb/mG2n7DzACIAsCEsNP09tkvDBnY - 6XCqyRn1cZ25oP5o878LXOjUCuecc845d+6YKZh5jI/fAAAgAElEQVSRGpjr2DsoAmEAK0AWqNRW - 5TrCwTnnnHPOOeecOztkGgKJZmNSwqzvB6urr/r13+htmUU8vzeM62i3xQCF3YP2a69fABLw3d/x - naOmDcQl5xAC5gOUyWjejUG2s9Rndj2xu7fjLJf6KZarYDICmDkQxyzCMZoh55JCgto3f8M/O7Rv - PdFidBYQwmk6Wc62y+VUJjCkpilAb/rq334tYigwIxCZmUFNRIiobQc5+/iHB5uRKWrXGDMTEUNB - 2jRNztmIQkw//sIXbmyPe4US8nLYraqWnqAmmUwiE6ApBkCbpgFAzAACoFme9pQn/bsXvhCmpe/r - QQFjoyAiIQRmLqWEyDuDep1zzjnnnHPOOeecc84555xzzrnLUp39LIQw715f3EiLxV2uu2+MrQ/q - K1NKy1+v/+YsJ/2KmakhxMghdL0a8OP/9t+9730fLtlUl3fsUt/3qto0TSk+rsM555xzzjnnnHPO - Oeecc84559yDpvZ3e46Yu99oO0AuE6YERIVwpAhChgCa0BN4ZfCJWz/epQ4jjGViBDKez8VBetKi - BKVFoADuJVPgQVXTLoJyUICyUjaCgrWnUVrVTiVrMwxd2ULQMuxuG9706r985fuPvNvWc9YucZAM - EwiABCRk7Yp1HDSwmvagonU7AEYwLKI2gZraoFwWSQrnEQ7CrJG1IYuskY1PWoA9i4Izc6aQKQhF - oaiIsAiNdTuwzpf6I4CinWCGkCmJsQms2PxT9LmALSRWLiA1k1nYvmv0sdf83cv+8N2v3mhun/Ek - Q0MIxEaaExCUG2ogJLBmFHudKNlyz9HTLDgpmuSC7wDuorIrO1XnAbU17160juOqGSXM3OX+4itO - zsGl/N7dxeCsS8M6FxjteWbvucEYtZytL5qXuXM8n2xL62xg80N011RIPF85w8xgTQTG2+im7/uZ - l1zHvDKbDVYGrZQwmSGXo+9+7/T221dVG0GYR+7N07NA8yBfEhkC4yNHceQoFIHiwHjfLH/ila+C - aqp5yf101XQ1pgiLxBDpTmySKICJ5JWrDg9v/Fysjo6Pxx2xNqMZh4311ZsH8fa1wW2DeCK12Hew - X1s9RsD+gxOCEDAYIkSsrSFFBMKBdawMMRpqgLWDnJoZ0AEnsoyHw1sZd66tPPZFP4ZASEmQCkqo - W6J+jvl250W6zOIEvztNHarAfGIpW0y5BiByjQxeLnVusjN8y4HIBEMKMt7G0aPIGeBE2Gf46Ct/ - BZAAjSCYKWD3d3LWA4X37NgKwzxbfpAm6+sbs6zGGA5BesUjrx9Dc62+KDETgLKrxnXSFqmji0MN - 01YhE5iBQo2ZRK2xmcFgYL0g5TktDz3ec4KokWkQcAOiJ73gBTYcAQSOALB/feW6a8YCMEShVsO2 - T84uPq2d8EugKKxQk1WPHNn80IfkPe/Fpz6NXpAFaoAF0zb3a3l6QPoDpuuxGaoNRFrJEQLtUabo - Jzh+NMYgt97+sb/5G93cBJGoNSFegfDRl78cgVH3YwpSgD0jsRWK+n89+MjtxgYtNmpHZFxMO/Qo - 06vMPv1nbz2wPVmZdVGAXdVHO//ijU96nALGRY608ZpnPkNC6kBp2JpJMATdmWdzWaAugrovurjH - S4IhGGpFX2tYqe0KjV0mQXYccP01zeMesyF9TK0AZhYQAISEYBiWgvGEVVREpfepGy85ZIjMAFOY - 38AT611ANUqzHuY7l4vnoYYxA2AYwRhQJQsR4IDIoKBqAaxa2C/XLrB5+04wZTMFCc2P+iDdugj+ - 4q/3n5gMa6Zsjzbg1Bor2cmH9eKZncbH+vSe14ACBSKYwgzUpiMhXP3kJxeOywjqGgZp9coIYFsU - 4/MAWncmdQMRUUhRFDkOhk98wtaw3eylpQDsncd5vjXP+QDb9f3WNTGApLrey+ymT+OW29rZGJrF - Sm3h5Uu89XDeEEZcz4+L8F0NZmS6mOL7pCtMd68WTeT1sS4XA4ROqtdd7BU52ql8nvT8TsMPSRmF - cOyWmzGZBK7XjhwQ2ZihixaI5bTyDEAEbIiMEDhyAGk2SNPcofSob/p6XHvFbNDWO2yZd0rOMzvL - l913tNOsMv+7NYs9MANqSqFJxYpubdKk+9Af/H8HZ3lUJJjM26Bsz9XxnjXveuak3cIIBhPM+0hA - hJTy+mp3xaErn/mM2eGD4yIwDiGoqlrhSLu6OuZ7INn8GD+teQfS3mXRTfJZ8OLfS7sodO5UxjvL - nAL6Uy/+ia//+n/KAaoaY1zEbF/IURQhhGVEAQARYb9gcM4555x7sGXLISQm9IIQoAB4WBBACpSd - Pkfb9a9zzjnnnHPOOffZtG27Pd40s9iEkFIctBub26/7vTfMpzc+qaN28YQtf6D/n707j5cku+oD - /zvn3BuRmW+pvZfqVi9qtVYkBAh9PJJsIQQajQfNx3w+8xlgNHhAMCBjJAHGMkgCxoZh5AELEAgJ - BDZLy3g8wGDsYUfI2qXWBgJadLekXqu7qruWt+QSce89Z/6IzHz5amnVqy51vSqd7yc+r97Lehn5 - MiIy4sa9Eee37X//+5e97Jabn7y2tsbMIYRcykUdwTgfdMbXLWzo9+pve+X/gu6OHTUmlFKIT7+M - GbPBx53++d0IS9u2BmTgP/7Ob2+MN5WQNBkhsIQQQgg55/FkUtX1jt+fu6hUlZm7obGUUillvgZ7 - vZ6qfuxjH/svf/BH0/sJASx0uizenWJaALQ5AWhTyyKmygQh7F9d+qW3v300HC71+t3n4tK8Veec - c84555xzzjnnnHPOOeecc+6yRV2BQqBpGjPrcrW7R+YZ3gDMbGlpyc5dkKu7ZmP+lPX1zVe/+nuO - PHS0qqpuzgBWVlaqqtrc3Kyq6ov7rpxzzjnnnHPOOeecc84555xzzjnnLhllhgiZWZd0YFAUIwMF - JIWCmqLHNh8pITeaYn3epRK2qqnvupLmDKBLWzM24i73J4QwGbf9/lKMcTTe5JomsvbQ+J7b/uid - f/vIJ1NvmEMzSWMzqwRMYrOwBiNsT/rY+n4xzq0LndHHH/FGCqh10dfAPPS6i0ba/ppAlyvHxbgY - 5VnGXDeT7u+Z/mE2+7o4w3kszPwtdHkT8/8q3LaD9WZl7UN3v+fX/tM7juPIOJywOo3bUVVVqig5 - pzSpqhiFhpvjqurx9JUY8y3jzECmhRXlvmSdtlGYPtGliy4u35rd47Mt5ZcBntX7OudBZbEgWFmc - CAVcwAWhIBjCNAqNIEAAiwksFkgLKYuzizDhAKkQcyFjUmswOYU8/ru3/JvDWVebHBRoMhRoy/hD - Hw8PPzoarVMgLOR/00Iq5/yPTsPx8N770RYuBVZWQ50ePoFhI6mgABWn0pbxWIyghkmePHqCi1mk - zVqqW56M5VWst/tWrra2GsngSH/5wI++/sY3v+m6H/+h6//3NzxQ9+/n+i/bcvKa6z8zzBtL+9f7 - EfsH49yUZowqIvJwc92gWjKTUFtiNqi1onrNyj1LetOPv/5Zb/o+rEas9qGICMuouGmrri2wPX95 - W8zc9jUi2AqzIiKYgYDQxcKCCIHABjOzMwJntu8TGQCCFh2vP3gvUgsSMu4bqqbBZISc2KSi2I6b - kjMuZzRbugsLhLvseYIgRpCOe5F7PWOaZnHdeEMJwcAMNlj3BJk9f74gyc4SCKpmpRSogi7ZUaeC - gIBBBU1DphFwMk2w1MOgv/dJ1xeBMcosNV4fO+b9jFaWgRWVUo9Crx97Mhyv33X38MO35/d9AI8c - w8YplCG4AWeUFpOJjiaT0TCljJyg3a6mYLiJh47kv/zrh//gj+772CdWg2jgVvMkhEmr1Qh7moiW - McxsOt25VLD5Wuz+HgWfZ/C4+5JBxtxaRZIslciNSFCWE+sP/NlfVJO2qBVCITBBbBacfUGMdJ6H - Nz1cKjKjHFyl5zyjMe3anU943Uy3TSvAvsGNL/iqNgiIFMEkTmApKytohGrc4uQarK05DaitkRiX - 9yHvS00hMmGYkZGZERFBLnqCKgEE7eLiCQpAhEOowMwC1WIMVaVLd9y/grEhFLBRZlYi0VAXVKWl - dnznh2/vJ2VV5mmM9tk7hc5NTGX71jJNOYWpGRHUoEAJYSOSPOeZmX0VX0xGSKWYWWHgphvC/n3a - ZdmiW6E6D0S3aafiznRni3MEFZiogmm8tnHsI7fvT5BiWtCTyGo73X6c281YwTrdMfIso1pnp64M - iJIoibIoV4p+U0j12H0PUMnMMENBsS5get4PM9vNElCpBEUEyKCWlYqZJcIjWeTJTzv8in948sBK - EqBtWQtZ2VV7T4KKpWC5LhCllrkJyJKV25hLbdS2LQUhob0xTH7/D+SOzw3SDnZB3WIngJGBDAPN - 1gUJZRQFiMhUNzQd7Ve3vvzrwjVXdUXMeQbbb3J+DF3/UHeOU4gTc2JuhVvhRrgRTjwNJl/cr9Li - ZNOJz+hJd+7KQTofzuzUdf3mN7/55S9/GYA86/YUlumHynAeMY1foEPBzPr9vqoCUFURyZd5/6pz - zjnn3BVAwICVrqdJ0AKbWsaBwbztapwzgtOcc84555xzzrnHkHOuYk9CIKLhcNi27e/8zu+MJpNz - /b6d7Xr9eaTxt73qVXVdi0ibU6yqbqzByHbJpGQC+uZv/uZ+HQGYgZkAMF+0+1y6HOju+pEQ8Jk7 - P/uhD31IVavYYw6q2rYtEYUQimYWP4G/xAw6H2kmImYmiTAOIYybppj90Bt+eDiZxCgAuoj3+Tpb - vIPFQCyCbgNg0VxgCCJq+Pmfe+tNN97YFQ0vpaSUfNzNOeecc84555xzzjnnnHPOOeec25GuJo+q - 1nUNYHNzs3uwu06jqqqUEgARGQ6Hj3EdyHzIfnl5uftmbW3t1a9+9fHjJ2OsiUQkbmwM2zb3eoNS - /N5155xzzjnnnHPOOeecc84555xzzl2pOEB6sadQYwNDVc1AhMhAAYNU8/H1R8d5lHPLpxW4NF6I - 6N6OLnbk0sVA05KdmiUlRkENi6ACakpVNJTRZFMtV3HZIp2wI//3u3/toZMPiEivVxMZM4mIGVIq - i8U+5ykJX/yIBC2ci7SFc5Fsko3VWI2hfFpyA0AKzuB2NuVuMplmeM+nwlpYs2jhna01NmhCUdoo - k89vfO4Xf/cnHtK/GlWntKJJQsqoBgGhbXXITJxEUo80oFt08/TuM4MzZ2/2cS8u53YLj+52j8tZ - MkcMsNN2+zqf5jklXeaQGLrAwm5D5IV5KFC6dNIuXbswSoAFQzDwNMaEAELpvjeGQoTIVNoJLH38 - //jxA8Ph3qbBcEjE2BxikiefvmP4+Xvr4eZeITtLiaUueQoKmJABSzFu3vsgTq7BCueUN8fXDfZ8 - 6ufejnGLtkFpl/oDAFBDNkxa29hgUEMkVx/EjU+CEKoeRiXUq0dJnvyD/wy9fq6r0q+x1H/uD//w - M17/L776x/7lLd/3/U//0X91dM/+e3r1Z6Pcuzw4cWj//ULHYt0euPpUf+XkYOlYqE6sLB9bWTq2 - unzvoLfvNd/zrH/xg1iubblOQggRsc6TJIqlWMFmS58BhpFiIcjb6PTi4AIABDUy4+5pBFTTCmj2 - hdoQ00aGsZoFiVktBlp75AEMN1AMGTHr/iB//pa3oCiKNZPS7/VDCDvd3nabszewjAG2UtCPz3vN - d40JLTBuE5Z6WB4MDuwzJkic/rIiMDDb8s4ark5ERGTdFcaXLrqbAJhOJhMjYKV3w/e/run36j17 - N4cjwHDgwNKB/a3BAAkEUsN5RYItEpFiJeWkqpGkLsCpjebBBx/5kz9/9D3v2fjo7fkzf4cjD2F9 - iCZx0V7OMSWsbbafu+fRj37iyHs+eM/7PnjXRz5+5IGHrnnSjTe/4O9d85VfEVaXq+V+XXHF1AuV - KH/kbb+C0NO2CAiMNlu3t8F8b2MQQPwA6bZjUM45WbbIxNUgEz76ieX7Hui3BSAlgMGm9DjOE2zh - eAkAUIBzAer64LOfhpV+K8zMTUrmOa+XVBJeJ977lV+1+aQb7gjxzqXB5/fu+dzS8oOHDt2zf3D/ - vv7JffuPacpZuyKnRZMHFl5GDMiqxYCUodZNZnbR+wBoFn0632+okYRe95PCmLmUchFL0LpOlzPa - 7UYLoxDDJBZebZvxXZ8ZP/jAkiKnxIwAWNrZzLt1ymdv06HAwDCAmVpiufogrjnUyuN+S27O2IhT - ySScGYh08Gm38tIga7fsp8HbbDCCsiqZ7bCPeKv9P92xKyGDVAtWQ3Xv+z6A4aRvKMUCM9R2Zx+0 - cxeAZ103YhDr0mpVSXVW7Z2M2RhgMgY4Zl5uEh46WjbWI4EBVQAg0Fk61o0BmGoEM4tltGXaKk71 - 0iN79j75f/hH7dXXnmBJxQIM0Gy6y5pXSlBRZWXRYITCWriAipYcOAKsk8k+GB544G/+03++ibku - O6v6zcZsAEp3/opZMHZC4cAkXNSg1oSwftXBpZe/bNS2TUocghHanChIiLFrne7gLREKzzLauzBv - RjnXce5sptHsu2xtOXcRnO0Qn3OOlfzrf/3ml37diwHEKCJUdIcNynOLMTJz0zR1Xdusu/YK6F91 - zjnnnLvMKYs0JQUmITQN6qX+uA6jikEBYN26FEJxrivQnHPOOeecc865M3RXDbVtq6AQoxF+8R1v - r+Ps2uPtl+/bNKV425XhHSI846lPe+ELX7i+vt7v94mom/NuO0U1sxtvuOFr/sGLefZjd+nU6b82 - G2/e6QikqpZSzMCMnMGEX/mVXwWQc845g0lVc84hhBCCRzhfciGEbo1034uImRVThdV1/ba3ve3j - n/gUgDaV1b17TE8fwZ7drdLduAKguxnVhJiAksp3fts/fsU3fENKaVD32klThVCF6NfpOeecc845 - 55xzzjnnnHPOOeecczvS3bretm0pxcxijETEzN11Gm3bdpHe9gUrGAJ1XYcQNjc3RUREVPWOO/7u - jW98Y865bdsuC5yZfXDfOeecc84555xzzjnnnHPOOeecc1cwNhaVftXPlosUomlwJEOgYCAQk9BE - R0dPHTHSrGXngcq7LT9FlVAIygYwjMkA6KQdqmSpSSKtN6dGtP7v3/3v7jj6SasyGJN23JY2BAa0 - ACKXqIoKAQTjbcVeFhMt5/k480l0Idd1HjF+xoyNcEHZiWxGFDjuqTb45Hp19B2/9zN/ef8H8mAj - VyWJqpgJmjTRXAZxCQk8i3ufp3c7d57O46Kw3cujHdyFmxfA2tr52/b/mJr/wKencwOYPbc7fgnB - aFZBDFCoQNFlM3W/tjADIyVA5jMlkAHNCJP2nne+82aR/vp67K3i5Bo4ghif+tTkrrtXUqulSCW5 - FNn26TUC2KAECmiKRWAgMlof4vP3Yf9eYRITKTw4vo71IVYHaJs8GspgCaOCnLGxHlMSojHL8k03 - Yc8ymJtRquPyqTbf+i/fhOU+qkjMgIIzakVdg4CmwXLvOT/wzxANozUMlpAzlFHsg//mrazWW156 - 7qv/N6QGK0uwjC6cm6mN9QRSB5rk0mMOVT0N7eZpmtPsJ8hsOdv8rS6sNVkIzyISWAsC6qoLZ6Jp - wla3zLeW12L2Uvd9AZgFJXHJPBnhoQexbz8MyKlqmutDxHCIPUuhJ5PNYa9XQ/gsR/7LhS18Qwqw - YRqrnVKpYgU0qGgUKbcyqAc6XuPAqzdcv/bAo11SNJuhyw402LxWu80C5LucdQNg3XxVFTvJ2bro - BCy9XgZCDKByXOhaVHXsa85c9ZdvuOHk8RM9ACJaSteeOmt5vLOmdhGA0kZYIeTufQpHEabAOZfj - m/nk5gbuM2YAbChQEoyaiYS6HuxNxTbb3D9w4Nove2Z9/bVYriGAZZSEyUZIBdJrJIwsDpsGRqGq - N8aT5X6PI3W1/QogNN0gZfbZcW5OYiilmBiKBtDyJB/9wz+7bn3YL0ogIjaowVgfVy7d9KmEbp/S - nSmNSW58wfOHIWuMRGIEECkZX84N0MtaptjU+1eeuueab38VHz9uEnq9XjOecM0jrFdApKXRTU+N - q/tbEUXWkitPW7+sFFZjQ9uKgZUYROAudhkEww7iKs/KZkf57qfuwGiEpli9tDI7uVQjNTXxsMuL - jU27/aeCDaxgAoKWfW37N+95z2A07qllQAERaNlxe2Bhhdn8ESOA2UyVqZAJxwn40HOeiV5I4t1P - F40SiIUFRKaBlem6r/jyB//iA/uI2AimWznp1vX76Vkbq+fSpeROZ9CdHXVptqQKDCDlzs/hb+9c - ftHzjgdOTUtnbws7d9kypq3ufsUs0ZmNyaa7VCMYmAyV6v5M65/+mzolKYUYgREgMDtXN6rCuvOx - AnAFE+QxNmNv5SVfU7/sZUcsaomRlcmURUuRs87l0jEqALOF6Q6CMlMGoMwGq+s6bqzvzenu3/7d - AxvrYf1EoJ3t/6fHF+p2XAwApkpoi/b7QokSEETK0uCar/n7uGr/uKpIWESa1LY5V1wLM77QQecs - jZxumOQsh8PHahCddqryONtOzu06W7nduvjpqKu6aVIV4tt+/he+7du/4/bbP15KEZFZqMSZH8Cd - 9fKllACISCllHhqRUorzlA7nnHPOOXcppJLqUJXcsiBWfGw0Xtsz+No/+v/AAshiO7C7PoJ9CNI5 - 55xzzjnn3HmoqirnDJacs1Tx3X/x7s/dd+/pQdYLprndZz5u+M7v+I5+XU+KdkMM48moqqpddfcL - AyBmwv/6rf/4T//0z1qAFBKkLelivcT8EnoRyVqI8O7/+r577r3vxuuu06Khqut+r23blBIL55xl - h+O57uIKLKmomjGzwkrOZkbCqejdd93xlp/9OQMMBNj62hqLWC68GOs+/ywQFS3MTERaCgHL/cEt - N9/0Yz/yo8P1jZWVlXbSDHo9MqScqhA9td0555xzzjnnnHPOOeecc84555w7fyICoNfrdT+2bauq - 8/TuGGPTNN1dsVVVtW17rvlUVdU0DQBm7u7MNbMY5Y//+N0//dNvecMb3jAaTUIQIupmOLsyYLcV - knbOOeecc84555xzzjnnnHPOOeece1zIKORqUC/ruBQYEVhBxkSEgkAwI0Ctyvc8/NmnPuMrLSkg - CzHPCsyTBRSzvACdx0/uUjpNfyQlKExAHGKB5AI01E7q9T/52O9//N4P9g7LZjNSUgMzg4hKyWaQ - wDkXbH+Ls/e+0z/mPCrJn5HguRgrxQuPzPIaGAAZGymgYtvmOM+sBKAL1U5Iu+COHVwhowQJVUIe - lePUx0mdRIz/4/t+ZfLfDL/6hpcvx0Obo7EYx9izzCxcMWUUBtR4WnjfzhIn2m0/fCFR4u4KZHYl - BHt6dLf7IuFZ6WnFQrT2wh5Wpwdpm/2XTb8SwFvp3d2uXzGL1CWbhnzrvLa1zZ6rwHgTpfnQj/34 - 9ZqXVGszrB1H3cNkjLvuPnnHHatWcs59RmpLFNgZ0SlkAIEDmgmqAGvaFYT1e+5fffrN2LO3i1u+ - Xqp7fubnbvqh7/vQW3762RInjx7v9VYBxcnjlWqChj176muuBUw1t2w5Yo3C4X5EYCirocAQKukr - cgExqmUkoBfAqv06pVT3lzBq0QsveMMboAYYYgAt5WAmg6IauVJgM+c6kBp6QZCBVlGzGRTIs/Lf - shidDiVomb3fWWVwJrX54iYimIGAqiIOhtwtXZqtpcdc6wG5CGE8wcqgnHzgnn1Pfir6Ayh43K7o - JrKhJAuxVw+mMddXgK3AnunyiVFaLcIsLM/43n9y/K2/MNw8tcoRDBy+Rgb9yfp6BAjCyFa2BaJv - mzFBu+xeIptHd3dr54lnAJBSshhhir3LD5seKtJriSmCCq65rtR/k1Lqq5oZ8xfaXE6nIIgwAxkE - hilK0mTjHmIFtVIAMIhABiswYttT1SOlzc21/sFrrn3u0/Gk69DroSdtM6rqKhUOgbOWCSB7lta1 - f/+k+dp//iNQhfFKvwedbtkFIDCgMs2oB+EKOMS7i8YIBaZizGxt2oNo9x098em/uiW30UiZ2KDn - iKU/X2fZz2pBKNJr+isrz3jqsV4F4lQy1XXSJB4GeukU4nXESSn7v+6lS1FySpAQFE2Z7FlSCySl - HiYZ9/u5qBBFEdXM5vd7XB6UQMxmhkkbFEJM02MCAWZbbdTH67SA50I0AS3vOwgQtBgboCAFdlsy - 6eVt2ksFNTAIZAyiQqiKYjg6fvvtTyk5QIlYdWehzqexM0siM5ViVpAMomgk3PgVX94EZC/1e1Hl - UqSKaZJawmTQGzz1KSc1XRei5iwGXuh2BM7j9OYM0yD2LrWYDICYAggsGI4Ph/7o/e8fPP+5/aVB - Hk56vV6Dx6ib7dzlh23bAIBtxdjPH+Eu0L4qiqKP/tVf1ylBddbWpaxJFue2MHMCG4xUDRDB2LBG - tHn4qqf/o1eUgwfb1AgkoDGYknXdGLybWsRGim4ACxyssAGsCkjdn0xyL/JBBe78/In3fviW8bCa - 7T3OE02PW2Wrovxs4YWIlFNlxERj4eHy0tNf9vUn+lWp6pwLmChIYDKmJid0fT5nc66hI5r9AcBZ - Bn528BYuUgvKud3k9E/xcDhcWlpq28wUfumX3/6qb//OT37yk6VctMZAjDGlZGYAVJWZzcxzu51z - zjnnLjkBp9zGQEYYjTWs9ke9gCqCAxAW+/58kMA555xzzjnn3PkzMyNUsWpSAuytb31rINbTBhkX - rrOf2j7qR8D+vXu/8Ru/sZ00dYiqWkqJMe7Ce2A0ZzC/9CUvufWWW+747GeNsBWifJH+UmEppjkr - EZIiAO/81V/52f/rp9pJ06ZUxyqEUEoJwS/WuvS6tT+N3FY1goQgMabJ5Htf+9px2xhgMImhS/We - P/H0C7bMYlWlpmUQA5FDYPn5n/nZvcsrgXltba2OMcYqpWRFi1/E5ZxzzjnnnHPOOeecc84555xz - zu3ceDzu9/sAqqoqpZhZF+mdUgJQSiGitiK+G7wAACAASURBVG27PO+zzmH+v13sNxF1d9QO+vFX - f/U3brzxxm/6pm8ikpzbqqpyzue6X94555xzzjnnnHPOOeecc84555xz7rLGBtG40tuLhgpDunQA - IjbOgBA0lUJFq/K5B++yZyUOpOX8IwN2XUVMA5S0y39hAJTNmBBgGmPINm4opWr4sTvf98ef+L2V - G/snJsdjJb1YW9GsyUiZScjatvAlqhhhmKUTnVbuYpqnyujemrFhGtuqC79gmOc3bL2BboEYK6A7 - zcJLmjhSUcQKo4lWMl5Zmvzue981eZ69+Mv+4WB5X97QXlg21ZIy80JQ9yy9m+0C8s7dl5zFYi+X - Iy8x4y4cAbwV/zx7aP4fwiYowkU4dxPPqoSRghSikIyQETIkQzJYwQpSgsosc9pmMzRGYcBmzzYA - 3B1duqhdpIS2PfEb77pZ+aoidQKIsNxDGuKzn3349o+usFpOFSErOHAyXoxMtoUYoVIgDADZSs3I - m+t6zz0oCaS5HeLUqUMpY3N0Y1XbcNRbXpm+5ROPBpSGbfXwYSyvpow8mqxctf8BaZ7xIz+AYKgi - CJHQC6LIozJpQilC84U4UWREsgCLqJfAgl7EUoVBpTCEOlAvWohctUAB9oXQb9AvoBYAUDMYWAh0 - 5Wkg8Xza9mZ1vsqsi2nirUYEE0LgGMDzNth0YSlNpzMDpxlQK2SogLognXwURx6AKfpVxXKt0R0/ - +X/CMgAIoW13usntPgpsxTrqQgNTFQWCIOjHU9BYL4EEIlgaLB++poWAgqkSkc2WZ/fvaS9gW42r - 6XztHNcfPxHMAosAiBF1fNEbfzgVEdSwAA1Y3RP37QPDVJnARACMtk1k58w2NgJFFNWSVXMJbekb - 9zkucw1khgWWIIEZYG1ZW7ZEcUM5Hr7q2pe+aO/L/z5uvQpVQhxPmjXqSWvWcHxEw4O9pSPXXz/4 - /tde8/p/+qKffCNqQq8GQ7OhYB6n2AXeb/ugXN7Hd3cxKWlDWQMDvJTp4Ob4vve+Nw43xUq3oQQj - UQbm+8Ydt6+6PSoZCBCdBhlmxloMh571DOzdm6q6JaiRkV7urc/LnRLC8mDUC6PV/slBPNavjsVw - aml5uGfvCakehaxXcTPK+mRUSiLNAea53ZcXEpSSMBlHNbF585bsomZOzlrR2rXCCiFLWD50CAQz - Y4aSbzZfFF1zKzMKMRvEoMRBFX/9t0tHHx5YAlIQIoXZBdb+tbO1lnXW8DMgGaPu9W+5abOOyc/I - LyozQgGTZbNRHXHt1fHA/kZCoQDwvCGqs8Puhb6MWLc2WY27jzPHpAdNH/7EJ3FibVBMAqmvXHel - YcWsF4V02rczZ2zgxJSZlCxYwdFHNz9zd922AgSCZmjJuu28uRvLYZAaKYSUVWEMxIKRYv3m6656 - xX/Lz3zaMS0h1qGAC5BVVXWXna0ZQYkTIzOMwNCgxspsQSnAOEza3vpo8l/+9PCxU7Y5ir0dv8TW - yMXWwYUBRGHNMDOtw6NV3P+8r8SNN476S2No0pJNDQghMHMp5cxunNPexXS+1k0syqKIs6lamGjW - s3TWSYnn02n9Uc5dERRQO6NrdKlfp0nT6/Xatu3X9a//2r/9smc9QxgEEJiA+TSzs09HSimE0BUm - kGn/XNxKrXDOOeecc5cId/HcxUiNCI3ZCVNUBCLYrAVoXcNPd9fZrHPOOeecc865XSwVVZ2ODnzm - zjs/cvvHuitevwCb3ZsFkIGBV37LN+3bsxqItRQhtqKBIxmT6a6aoEZmS/3Bt77ylTK9kNKYZ/cI - XCRmZmbd+TkLfuNdt917/wMcgpk1qe1ezos77waaC4OY2czAFGMshs3R6Bff8Y5PfPrTBVAgSHis - ldV1yghS2wIgtkpENb/jF37+OV/27PFwpDmvLC0JcUopsCwtr5Q2PXHv0DnnnHPOOeecc84555xz - zjnnnLtShBC6b3LO3dUXpZS6rgGIiIh0lbLOldsNoMvt7pK/VTXnnFIKgcfjxAFv+tEff+/7359V - OVQAi8Qn5G0555xzzjnnnHPOOeecc84555xzzj3RyDhqtRJXIVwAMxAREalqlzSpqkSWeHJk7cGN - 5qRJOWcgt/E0jJmUMZt2X0HMQlBAlFlBKCCFRVidx7mUrP3xHY988j+895153/paOmWCgtLkZtK2 - TbakqoQQtsq8zxIWzj/OfIe2l5i37eGMXUpRZmRGlu6rZtHEmkSTaBu0Fc68NZXZ1P2msiprmU1n - lsF/bEZqlY1S6fUARb8CIo6Njm/21v78b377vXf95xPpEdQxaQFgZFkzZvniAMimoRJsZ6mcr6Se - ouU63fVgl3V8okenuMdl+7Fg6yGjaSTu9onP+DpPJp5nSW9NBEzDuYlBs1/uUq4NsPnmq7CMlNE0 - D/3SL9vdnztgJOMGElCA0VAfuP/hT378UB1L04YAI4ChhLJwKWcXmqisRmCDJtQVSgED0NITOvH5 - ezBpQIXIBoOq2lzHb/0WnzhZk0CLwiDcbG4SLIvQtYchFZFUvaVHT51a21NjSdCLuSgIUCSDgYNE - AmWkYooAADUz1KpYATCoxZAFE9YsQr1q+sYVYmBAFZTA3QpgaM7dysilJSAAAYiAAHLmagJ0Xs1s - K7TcCgFM1kUrhcCxImECEQhEYFKC2mMckpVAxdDrMRpUKW8+dB/SBKnNuaXR+FqJGA+DJRhQVTve - 4HaVM/J2OgqYIQZhMLKirk4JtWalUO7K5l132Aa1hZCgADPzfA3w2ZKtbZZfP/3xEh5ziMwICkMo - DFRh0wokTMvNh1jv2yd1hEIA2nnx+ZxBhCqiH7gi0pJKmZhlwIyKoSRLY9NNxrgfRytL/afduvel - L+m95CU4fA2QUQuWwxjFBlVTx2Nkjwzqo3tWbvqhNzzte16LvQewdwk9Qi8U4VyUmbrFKgBmn4iC - s31anJueAEAVKyo4sXbfn79nWU0LMoohAxBM4+ov/CVmp3CzkHtrBY8Evvr5X62xlwjGwjEUUwpy - kd6WuxAGrI1P8iCMuaylVpcH7XLvUcprYu2gPwQaYq4CB+rXIsh5MvT9yuWFDFQyxo0kJVOFLp7w - 8Wlxzjtcu/O9BC0e9Ekzc1P3494DxgIyIjKzrhfswt+JOxNNewMLIzOTQRRs2i9l48MfPTAcV7nJ - KKbKDAaId36+fI41ZmYiEiVEEYtBl5awd1/b7+ULeAl3biKSc65jZUwbalhd2f+UJ48ESnzaurng - 3G4y7p7edX12NOclFhlvTo4cwbFH4nAcgmym8eXcWeTcNt0GX7jr+ldga8iBDGwwQmEoWyFjaC9n - 3HNPOvJQSG0lCDIdt6kQtmZqALibjxIStBBYwASzuFkP8rOfefBb/sdHA1qBsqoWJZAEFBOj3Ta6 - Y2AYGUFpFk9urOCUykqo96eCY8fu/KM/WdlYP1BznlzQK8z6y4y681di5dJoxQiEjVIeWepf9YpX - bILXsyaDhCAhpJQmqTUzEu6yfs/ltB1j9yPPGi1kYJ1O59qFzveKdsaDu2x1OfdFoaoSaDjc6A9q - Fojwbbf95tOeduvFmj8RdUHdItJVKMg5V5d7/6pzzjnn3OWv5BwAEFJBJVyMSr8CC5jRjftgelJ0 - ev+Uc84555xzzjl3bjFGZuYQsuqv/+ZtTckFSud5Zjm7xl6A73rVdzbDURARYs1lebDUjCe78Hqk - uq7NbLw5fOUrX9nv9bvhRTt3yeadEpaiBUCMEUCsQlswGrd/9u4/3xyN6v5gXjnabBYZ7i4dZuYg - ALIWIgLzcDy6/8EHf+Inf3L2G0gl55R4XuD7rDNSAAhCUJRSfuC1r3vpS75WTHtVLClbUVWNEsxs - tL7u427OOeecc84555xzzjnnnHPOOefcjpQyvRgjpWRmIQQzU1Uzm0wmAMyslPLYd7gDUFVmbtuW - iLrLNkIIOSsAMzDjta993Wc+83eqOplMLmX9Peecc84555xzzjnnnHPOOeecc865Ly4OFvuhHygs - PtoVJCcDEXHgzM16c/LU+HhCYzuKXdnF0csMkHGXBcPGIpEHcv/GXb/+h28vB8fYm5pUGDCCqlFA - rxdY0LZWin6hi1O+WOgxU2+2Ir0ZXSa3kRZCIS7ESlxomjMOALYtgPyCFbOqh9wCGc0YIlyv9iZh - ciw/+Acf/n8+dd8HU3+94fEEDSJJxVuxGt078gtz3JcGLy3kHqfFsO2ZhdrTZBBM638Z0BgyOAEF - DLDpVnxhyaWrsZVTWshH5NlWqgIFNAGFpundZEhtY6RAwmTyUy/5ut6Rhw6kFNpGAgMZVnDfQw9/ - 5GMDyzlNIkELEqEQqGhF8329grSwFgIAMtQMLlBDARCDpkkcT3DvvYCxGJrNGLm5//7lXKoqlqwc - BM1ouLkOIK6uYO9+FGMLMEl19fwffB16DJIgXASjgJaQoQwVqICntdQUVLRCATIoqxRFIpQIEyRC - AmdIBiugtemAAAHCdPlyj8EK0sgsqqIaCkQhimmok507K4kIOSNyY6UQAyg5gyguD1pYhpGIqZoZ - zcKqT4unte6QTWbQwFWeaBUQMiYPH8GJR8ASqhqqSyW9/6d+Ck0D5KTlci9Pbgvf0eL+1MBAAEMi - onzVD7wuS22oSCqQ4KqDurzc5BIRu0uN57F/3QbZJZ9xF9NOIFB3bXHOGURdlieAJ7p2HqH7YAoB - gFIPIT4adI1boKAKMPQOX59KJkIxnFa5bjGgNAMFICISIZq2v5hBABOgKFmTGRPASFRI0BhKQIph - M8Zm3/69z37uoZd+PZ7/fNxwHYRR9zTWkNAUKyJDw8koxw6s3PyG1z/7TT+ElT0YHEJYtdhvYjVC - yICEAExXIW0l3C+0R3Epy+fPElthhi946bl7YoTAObcw7mXFhz+69+Gjg9J9EgHATG12Xb/O4gzP - n9H0IMqBWwOJAFBoiuHUcg9f/byGQkkgku6Dr5ov5ntzO0U5xIKyGbUdsGoaJWvano0qnSDFuoIW - WI7ImodiKQY6V2VItwt1XRa1YXjfA6sh5rYVCQZbPOx+MbJCC+MUIE++JSmTgoVKKSEELRf/tRyY - MijDVLUXArcNp/bzH/jgISMuWQhkRgYjqF3Qh/dsTQghpqyWciml5fCkpz8dsW4RbPdVQ76skQFq - KJpS4qVBge1/ypOHMZTF5Wzc9Tzyhfb9Ebo56PxFaw4opRbqaXrwQx9dLZbbifRr9dXrriDdeWti - lO6EiWx6lmfGHAqsKZlrAeWaLDSTh97zX68CohUAuUEtIKAgA9MuHczOE7sDa1blQKnAmI4ns2tv - fO73/tNHKmorMcvFmhJzI0iEyrjebd0JxqSRrSIDYIW1FSThQkIqvTb3x+me3/291XYcLOf2woZw - FNv6dRQAgSoiLkgFtrKCZz0dt96Sqz4bE4kxtSVzDCJSShFiK2c/rhEgIDaQGuk8lV1BamxGqrBu - su68nQmAmWXTbFpgSjAmMHXbidJWL9Rj9EU5d+Uxs0Ccm4nAelWIlbzrXbc95SlP7jrP+70aABMH - loWdGJ/nGM28mkAXGjF/sKqqtm0BqGpX5qD76pxzzjnnnhhdY860i+g2gJIBIgB3I8jzISPy9G7n - nHPOOeecc+eNmbNqm/NwPP7N226z6ZX6Nj2xPGMQToi7B4Wl+61K5Bte/t9df/hwL1aaCxsE1LZt - jBFq3e1qu2dq21ZEqqrq9/vf/D99E13sM+gyuwYrpQRC2+ZuKf7C294+GAxyzgTOOYcQrCjURzgv - MSNMJhNmrqpq0qYmJal73/VPvqedXYI+H/rWUrr11e/1t57fXSYk1H0WrBgBL37hC173mu+thSsJ - ZGAQ1AJLd4eqVDFf2HVizjnnnHPOOeecc84555xzzjnn3JeqeWG0GGNXloeZmZmIujthVZWoqzO3 - dXd9CAFd9TmieTGfbvi+ewqAnPPscahiMmm++7u/++jRY7GujaiUUlVVKaYKEUkpSaCi6Ql86845 - 55xzzjnnnHPOOeecc8455760LA52mxkzm3ldAnfxkbEmuvrQYSzEnKhqCAEKMwshZG0y5RLbu4/c - lWSSrREhswIoEXVXa2xtn7Nkll3LjJhZAlJK/bjMOSCVIJbQbpST/+/7fmuycmJSj9bazIzAkWxa - 1jOXbIZpAuE87XEbvYhhYWYw60IqiWha1kILuFAoobKqsipqDCVQISo0jSGfx1JuzceA6V9LSmQs - JmyCDFaOKgEsRkERFGzb8nSmf8NjxlYSAQoxREWfmTK3bWvCqeJJf/I773/H7ff+oa6OW8mtpTIL - wSQiZlbVruJ9KYWNeXdvOe4JZmbzIyARpXR5X6zlG7d7PHTh65Yu1DklYyAClhANSKiBmjhlDeCS - spYsHFVBIC2FSIgDjEKsQWLgSVMWokuUUAjFoAVdKjgA1EHIElKDjY3/+UUvWkotxiMioyhoEh58 - 8KGPfHhfKXUpbCjEiaQLE2c7e1Wv7lBlOk0RJgJMAyDjZvzgEaRWmxH6AWlcwwZMpWkoiJlh0pAW - A5YOHAQLjEBxYtzWS4ixkKAYCqwLJwYYTICAp5HPdPrHsQv0ZpAANAs+NsyqkSmgClawGqvRaRnq - XaYSoLPqbGdvrs9e0gxVgGYe1OPU0mCZQwVDPRhkGBGj2PyXic7ZplArAMACgAwVEEajcv+9MLWS - UUUdDW8WwYkTKCXEyzuQ2ACdBpZvPTiNMGOQwVIGBAL06zExpMrGkIAgvYP7GzNisYUV07XejGC0 - Leh6FyHiQG2rZiimYH7eD76m2dcfR4AIIuj1C9FWZcBziFUVmNVMS1GbLsJSQIqUkQskhFhXxqSA - CUaCNMAjZO2BvYe+/MsOvvjF9JyvwqGr2kgpykTiRrZhHBwrsjbY+2h/9aF6+Uk/8M+/8vtfD6kQ - a9RVJpighWQEO/PDptPobpmvzIte9s9d/rRNy1UVTSW1xz/6kUPjcV10K2uLuuS82S/T9FB4/man - WBQAAAUwYCzAjYexumyxrlCZ0mzP7i4xMSVkI5vvsbt9OFsgY4DJqNvXGM3iLd1lggwRhQ2jY4/G - NgcmLUWh3QFLaX6AvsDjBE03lcWHFEAmHtU19u01EXTdJWTmqb9fBMYoZgoTERK2NFnVnD732Wpj - WE1a1mln08Xo66Z5LyR1YahmrGwSxkLL1x0uVZ2M1E/JLyozCyKmKiJtKQ3x4Oab1oJk3loXnce5 - 3OdPn50/loIM01rTsU99CpsbK3WcpImRH7XdlUMJSto1dG2W29317AsxERFbzhNrR/VkjHFz8o47 - 5NR6TQyCAlpARMJhPkMy8EKjedCrxo2Z0EbdO37NtU/9jleNVve0e/a2AuOknJRLZpTZM84Y/7iU - yJhNyEjMGKpkhdGyZApMVo3GuO+Bo+9/f5ysL9XCwOOo+H1axC9DTYJwCEfG45u//qW6ujosuZa4 - 0/maqs0GSKaPAAYU1UIwgQk0cGZNZMkyi4hIZBGR7inFtJRis1Mhb8W4L0HTIU/mbvSIyEII/X7/ - ttt+46abbgiBx5NxEFbTeTjERZFS6vf73XhVKUVVRaYZA84555xz7olEACtja0CfgdMHTP1UyTnn - nHPOOefceWqahpmXlpZ+47bfHE7GIBjA/FgXOxAQQtBSYmAG2PCdr/oOqMEuA1VVmVlKKYTwrd/6 - rYE4SqQv3pk0TU/Z77777g9+8MMKa3LqgqJLKY9xi5p7YoQQuiu7NobD3qAP5rf94i9+/K/+cvHG - iMWtI4Y4noytqwnerT4DshEAQyVy3VVXv/OXfnl1eTmytE2zGy/Rd84555xzzjnnnHPOOeecc845 - 564sXXq3meWcSykxxhACEXWx3GYWYzSzrrj5uYhwjFIKHnrokde85jXHjj2iqv3+0traWgihe253 - d22MO76/3jnnnHPOOeecc84555xzzjnnnHNutxHwan+VEgUDDMYEnsblAlDNSqpImdrPH71L65Yj - GUoX2t0VJwdwGdUnZwMDTW5iXU/GqSQMetWoOUXL5X2ffvd9m3eP4npLUEK/FzTlS/V3ijAzmSFn - Swk5wwyBIMSiKCnnNmkuZIgsdYhcDKrUZdF1edsGAIGNqBAXQiZkKxmaoVqFEIysqLWqyZDBirAV - GEoA5pHhdu44JZrlgrOBjclAXZXUoOvlpFyVf/f9v/7xz79XVyda58KqKEVTLm1X+4WIJpNJtxVh - l6WBuF3iMTa/y4jnhLnHzbZ9O/+JGVostbm7MDJQJkXIWGYOiqogciDDeDwGmCWyRAUZyagtrbIC - sY4LgdUAlKECBXRC6BKbyAzDCTYnR9/6C9cAgQ01AxmTMe5/8OH3fmBPamnSRgXALcdMFWkVC52R - trz1YbCuIFdBICKCIgfiUPLo4aM49qiYobQQBTKT5VKIiIo2mxsAlFBfex2EQVRETlIcxQEaFtTg - CAIyKGMA1GCGACwgAIVQGCZsHEABCGRBLJIFIBaEgpAQCoJ1QU3GIC5ACy1Qhdri4qdZnBMvlKba - HlPHiynFTCCgkvWcQt07dfQoxQhm3rs6hiFImRZvO8cmQFCCsnYNNiMukKKoGLHF5v334+RJygko - zNhzcvP+d/4ammFJoysggHaatkiY51J1i9cURAHEiBGwddCQpBiDI4L0D1+jDHAw2GKJt+mS3B76 - SyCbhvoCl/TYk0ubSpaajdCjCrlgT/15XdvsWQ6mMaDX6/7yrthZ58wY8tK2RbUAChATR+EgzGRA - HSXEmHOeNG0pMMQGcRRiOXz42q/7B3u/5oV43nNx7bUYNmi06lebw3VmWT5weNTfd2Ll0Ikn3XrT - G//Vs9/0E1i+CrKCuAcWUUxqKKEC9yA1OIAJs48JTf/IYAhA1+4zqF3+G6e7iNjAWXtKdWpx4ujR - T318X2olKdNC9CCUz9jad4QIpipgdDmfQpOI1Wc9DcuDAokUrKiqMjP79nlJkSEUIatajo1UsFjn - MGjDUlPFHElrQzSrWAOsaqlOFAt5k/uywbAqFahtPPhQTLkXxKDYfrzG2Y5uO2Dbtocu97QwT5YH - OHRIQwCgqrNX9I3nIrP5oZ9ZxUqaHFA99olPymRMBQzMP68X1ubq5t91RdEZ/8PMhXgYmW69RaVS - k8fzXtxp2ACzyIKiItEKWjBuvXXY77fTNvo0Oqk7ZF/op1i7qVvLmK10AYxQG0Z33okjD/eviA4j - 5xZ1G7zSVng2AALIUEpRzSJWCy1ZOZDa9Q9+oHnwSJ3bWhizE6/FE9uF2VJ3KMzjtubYWHxkaWXP - t7wCX//Cpr/HWipshbNy1i4ADVyYM/NuS4Zm5VA4qLJlAErIzIUR2JYjrf3e7x985FQ/l5wKE5E9 - ruP7NEB9eupKBTQK0a65et8LX3SiV2e1SpUfMx58vgfD7NzdzAjghRaPEgojk7XQFpq4JCpZNLMW - 1jZNSm5NM1l3cq9ExMzdjrYL8NaFybkriW3vuNt63IyIWEBsZqaqkalXhQP79v6Hd9129cEDPB2x - VgB1VdNFCm7shsy7r93QZtd1cDHm7ZxzzjnndoYAUYgpTK+AcXDnnHPOOeecc5cQgZllYzj+zdv+ - fQGKEQA1hTGMu1GGbupG6NRAEM0FgGYl4FnPfPqLXvD3BERE3c1XxkzERAxm8P/P3r1GS3JddYL/ - 773PicjMe2/dqlKp9LIkC0uyjQG7gWVmMAZD08PQs8YfunvB0LOanhkMNo15m/H0QPMy0wuMsWF6 - GGhexsgs3EOv7p5uBvNYYIzBgN9vWbL1tCxLVarnvTczI87Ze8+HyLyPKr2qVHJVyfu3cl1dZeXN - R0RkxIlzTuw/XVI3VZ3P58Nnf8GXfsnf+8qvqKaOp3fqhQEF/uu/+RsA2rYF0HVdSukyugTxmarv - +5zz5ubmZDLpSnn/Bz/whje98czcbt+5cKVodSDnrKowHy6qaHNihwDi9jtv/q31tTVyENETzsPf - PRr4lGYJhhBCCCGEEEIIIYQQQgghhBBCCF+Qaq0iwszbZaNTSqWUWuswar+6ukpEw/SAIcn7URFz - qdYXTVkc+OCHP/bjP/7jIrI5ne4/eKiU4u6z2axpGnfvuu7z9wlDCCGEEEIIIYQQQgghhBBCCCGE - EJ4OTgy5Yt8hLjl5WkQ+E1UzJwND3YgdgHL99Ofu2PRTyrVYhcDZzevwr2fkKw21Si7Cx3kSxM2q - OphTdqdELOwyrnc+8v6/vOOPj9kjMwCOZBCQ2yIEaE9M6q7MhUWQzFPKR7CzbgCgau7OTClRTpSE - kkhKCWQs1mYaNZwbIlatdT7vRYgFLGACHEONUlOowc3d3AEmT2LMJmymvbuLSNM0bduklABWNezk - du/KuHyMOhgEJAM7YI35aFg4YkmMq9ZmHz24cexUOvkf3n3bvac/3LfTqc6QwYlIULSvVgxqWpos - tPzs20HgITyTXKI7xHB5cIZjeyvyvUcMEWfS3ABe0G0ACu1QKrqKWScMbG2idiuTEaCAVSsAuqpt - k4fdvPbYW3SLCYtsXQbUeupn6Obo6qfe8KbVzU3bOAFXCKMUPPDZR/7m3QddU6+jBAAGgjNAsitF - b5HSDWDITVz+nghucHcyqBqxN2Duy+lP3QVVzKYYtfBaa805mxkMdToHYEQ4eAgkEK7kef/B57/y - +9CsmgIV2iMxWgYZyECeAIEnAg8LUHdXlTrL7hjuYSn4YsFvf4JlbDIxiHeiu4c/OyNea/f3Xx0w - JP7aH/y+Sr7/mqvhjukU+/YVcmOpsOHvyYBH23EMS1ISHKhuBnYHHCOCT7fm995P7djNhHi1L/Lw - EfQ1gfC4UVKXi10bjg0L1gzEgDnApTpGky/5kdecZtRhtTUZVxyQlZVKNqw83p0cv3cDOLMhSxck - 0+c8pZSSJAdKdRijKNhokkryQuoEpOZJtrOFKCchoequqtW0uqdGuqKbpWA0wcr6ZrMyX1kfPevG - q172DQe++mtx3Q0+WQGn2cYUkzVMGGa8WwAAIABJREFU1k4bcOiKo+PRHdpf9X2vft7/+trnfcd3 - YrKGlQlSRtNCGMWhCsDh5BDj5CzbS3l70fvOLTLFwtkIaLmxzen+0p/62EfoxCNjU7ieHbN13qcK - RGDGouSjO4E8yxbjiuc/f2vUdk5uBHcGMfnykBsuDnYWhxgppJAoMZyzolFqKosxnJ0AEJycONrb - lxc2SKmYddMjx3KpTDDC0FS7QKmTexp0TnAwg5QZh67AgX2VeUgwZeblgSo2oQtJ4U5ILjBXaOOK - bnb0wx8aVRdAZNHaGgqwnutK9+0OJBAt1vXe1UdUyDdGjBuv61li/T4dhvYzO5KLs+DKQzh0oAoe - +zTrfF8IoGWr0mCSkypa94PzDh//GG3NRpKjem94JqFdcfVOgBM7kzPAUGOBuIt2B6A4der2P/ij - A2prwm7VhjNhZh9KyW9HO+/dBzJSaUYPjEaTl37VNf/kHz3c5Pl4Mu2LEZyM3ZMZACUehn0uza8X - w2SxoAiAeJ2UKR64996/eMeNTZoAvYEgBju//T8tnxnAsCAdvuV6JMmt3/iNum/ttAiSwPScjmAO - MDMzL8r2A+4+XPmcUpJEw0ibQ8mdYAxqObWcMosQ85DLbos3F+fU4QvW9tdn+GlmZuaugF15+NBt - b/2dqw5f4TAAwtT1F6wEwPBCAEaj0VBoIHK7QwghhBA+/5azDoYRgqGL0M4cpl9MrgghhBBCCCGE - EJ7YUL/4z//8zz9116cBGJzkCfr/RWTIumYCgO/4ju8Qke1/teV8GKPliO0ldXNvmia1jYj0ff+q - V73K3HLKF2p57iw72vNLk9Lb3/72z9z/WSJyUClFRJ4w2jk83ZqmqabNaGTw05sbr/iu7+xr2ZPb - vZe7p5SGWt5N0yQWAmpfGWiIf/zH/tWXv+hF43bUz+ZulvMF265CCCGEEEIIIYQQQgghhBBCCCGE - cLaUEgB3J6L5fE5Ew5g+EY3HYyLa3NwcprWcWV7vTIuqO7WaiMDxzne+63Wv+5mU0unTp9u2TSml - lMyMmWM+QAghhBBCCCGEEEIIIYQQQgghhBCeCYz2rRwY0SRrOyRFgtndnUBEQxAWM1hwfH703kfu - rtTVWokhiYnIzIYKDBf5Uzw5BDCzmeXczLsiQtT6qXJymk/96Qf+0+e6u3jNnSAEAfquSKKLVdJz - EWO0ZOZ9r7O+VvOu2qzXWa99VYOzUNOwqpGCjQTITC1Tm3ic0CbkhCRIvIhhhbuZM5O711q70s9L - X6wqbMiB3H7RJ5ppA+wEmbNDDAlghhFqypj3SiuYp/mmHPv9P3/L0fKArcw7nxp5ajOxV+2ZeZiN - g7Nqe0R6d9jtci/OEykO4SligOEMsC1yb81RgareExegw+wUksOmmJ3GfBMnj6OfYeMEyGAdyhZs - DpsKK6E2Ca6eCeJohiO4b78QAQKHuIl1LTlbwXx+18+98dCprRWyPGnJFPMODx45+nfvXa2V+l4I - xVAYTp7cWlVGHZ50KEBmBKdFBhX54k6IGAAnJgHg7iwYMc0eeBCPHIcITMGsqsxZKsGszjsANBqh - HYMFiXrYqU7BGWDmbK7S7k2XcsAW30FxJEfanSNMUIIOkdhAciSD2OJN9oQeICCDEljABAZYwRVc - wT24ByqgtIxOWjSmFiHlu8uQeSIkBhsSlDA7ebJuTbG2hvHIswzvYfvQ+3g7PYIDZnUREGMQQlY7 - 8smP48EHiZiZUepBp0/96q9hOoVexjvQ7STGRS2woQi7GxzCUHNkAjOnFmpoU7dvxVmgQEoYj0aH - Ds6sOjOG0HXfedqz2ziOZetnGaN1EU1Ln4dmaGpRfNxrNiM3hkBBWISZu+/5XLsJEQBTVV3GexGR - oPYqjeio+ayVB0YNv+C563//a5uXvQyHroYJeEI8mW+U8WgfmvFGtROjtQf2rV/3v//w837yNbhm - HWNCm0DYUwd/JMhpaz4TLLM0dVhhBtq+RbZYeGLCrcz70Xx2/7vescoMB4PNdvKzh0MJhpMQ43OK - 6iQHGRg07ETcwSn15nOmK55z06xtO2IFEVjIAXfXC/35wjkgLI7I7OwEZVOpypVQklsyM7IiVkSN - NZklixPIy4k4clfw8BHe2spuXsvwPR+iRs/m534EIYCcsbctWplXb3gWVlbK8lgvICM8+quG82WA - mhFRJqai7j5mx0MPlXvua42IZNnhBQBDy+tcX2KRaDv8vqftv2hwdIT+4CquXK+cBHHp1wUmcNXi - JKqeCSQZzOMbr+93cpH4QjT9nJbdjjsBwlYdSMWu1Hr8gx9qS81O0eESnjHYsbtJY6BF5wkAQEQa - SWJFtjZWS8XfvD/f/Zn1WsW9q6gAMQ87R4YMf6jLPx+ewwieRscnK8deeOuzXvk/dQcOlGbSwanN - hUHOq71MelZCESjDLr2vl5OBFq10cmHjZFgp5crZ1p3/3/+7r8zqqWONYyLA+eaOb/dcsQmch/NZ - Zsyb5vjB/Svf8PdPOldKktlZsWs3dfZtt8V6pCFznM0JABElRoKLWzJvDFm9VW+qNtWaauirl2ql - el+pmjgERMt+gOG2fDlmv+TWVwhPifOj3gjiRjAiZ2EwOVzdrG2zuz772Tf89lvefOjAeiKoaXrU - dI3zaqbknImImbuuG41GQ2533/dP+XOGEEIIIYQnic+YddCow4a5BnBgz9hlCCGEEEIIIYTw5Khq - rfUtt/2OAgaAyRXAdhQ38+5rQAjEXLSmnAG447prrnn5y19uQHU1U3VTt+pW3AqswKr5JXbTnHPf - 90UVwDd90zdde821pZbh0z1NHOhqndfy7//jfxhCu9vRuNa6O/I8XBTqVmt1Iid+zY+89v7Pfq5X - o+HihL3bw06nC9NwWZdVddOWuSVm4J/902/7rle8IktyraO2cTdXffwg+T3P/xgz4UMIIYQQQggh - hBBCCCGEEEIIIYTw+IbqckNJ6OHSV3efzWZDbeghzLvv+8eJ3DYzSSnn7O6qOjzDm99829ve9rbF - PJNSUkpEpKrMcT17CCGEEEIIIYQQQgghhBBCCCGEEC577j5uRgfGh6Q2ABvc2ZzNHU4OgTuYCHAf - l49+6gOcIUKqxV0hpDsZz7x9o2VMEl1yeQHGQkQgT1bduNS0tdWcfM897/7oQ+/VlU1OlIWoQhwK - smVRiEUtiLNvAPCYFSSeFDrrNtxN5A6zodQoi6ScU85MDWi0vCU4kYJUnSgxMnmCJvTsHemMdEY6 - hc9gc6BATNiyeGbKbgImT4xM3JA3sIwqMGB4XXc8cXr3dpIIVZA6skHAPdiGLaIq0KRT9eQDm/f9 - wbvf1k9OeC597aoVaRIzsSAl7vv5GQtjEOFrYXC553YDSBf7DYTL2a5QuoFgSBh0lAJX1ApyGOH0 - Bpj/8hfedCWalmSrn6vQzHTG+IYfeQ2EwULdFCtrxImdgMarUpJFYbEh4Gk4cpsBJgCmU6i972df - f9O0HHBDLejmlDOOHj/6d3+32vXo5gkggSogAFnWsnzLNrxppyEpFVgGWg9p2eRmwx8xk7mZGVlm - abre77qXrr0G8w7jcUoJpQIEdZ33lejgFQdBBEog60l93wReYQlklWrDguIQWnyuM6JXl7FVi+i3 - x17wtvwh24vl8Y6JQzKyLT4kaPHfxYvx8vjGEIBRYE3TiAK1YJTzyko9PRsexIDvPMfe1yAAUBuO - 1iqSYdmsABDCASK9/3657jrMC4ARQx5+GL1hZJf1TogcTCiAgxPqrn9hFtqcd02TiFyaFlof1nKQ - yR3kBMb4msOPPPiZphD5k22TXvToblVl4VFuSlUBoxhOTK+c+7hqMpA5pjM4w5+gUJ6712FbIhCT - EYq6Aci85c7r+66/+bl84w1YWVmE2EuDNMFsjslKc2j8uY0tS830wNotP/T9GCewYWVcIJSbhF37 - I4K7933ftO14NB7uWb6DPbuvnSVKZ/w3hAUHF/gBznjorlMf+PBVatWRJKnWZWbe4pHkQ3j9ebwE - 3MAQg4PEGDPT0f619uqrj+emBwsoMylcL//W5zPBcPQEk5sTFAaBG8QNYOw5V2ce0igv8jsOTxa7 - jUGbd98zAmWYD8F8cLNFUi+wvQHA6Ly+8Ls4QExwV8Y1z39un6QC7DAARHB21zgsXWhEzsm5auVk - LTvuvL09dTJXt6HLaeg+dIDpPEqvDm14GdJPAZCxM8iG+8msNjJ51mGMcwGW5zgR0X7BLK+pS64m - zsw8gx/8opvqX7wLZO5MGLqJDY92UvOEnBe9rgSnxRklACCjq2g5wXw8nR29+66D1aWYc9TvDc8Q - BIiDbSeKzIbhFufhclm3vnFfI8GJE5/+gz++el6aUhhGgAhAVKsyEoTNhz8f2kyL5+8ED1F//PC+ - r/nJHzu+tkrNCku7OT013rfCtRdLZGAaGlfGnpZ/eMnsP8mc2MmMbLlwkMz29QVHHjnyrnfd2k8X - Y1OAmgoJXJ/gOR/jhdh5WZHfQChAHTXtF9+KG66rTQOgksmi1+vJtlOMMJxLuzs7iMBEcGdHLV1y - YqJMIIeAYe4EY1Eigw87OifosBiIjGLsJHzhGoaLmJmI3d3dAd+abqxM1vq+v/nmL7rtrb/zP3zr - t53cmJpdsD1YKWV4URExMyJy96ZpLtTzhxBCCCGEJ+asbA4kgGDJDW6LLsFlBxQv5jdezLcZQggh - hBBCCOHyctddd73zne/EcDZJBAJkuApqZyDwjBPNYXBCgX/0T/5xbptSSiuy/WADMe0ZZB3mrFz0 - nwDIuaiCiYhSSmnUfvu3f/v/8XM/+3TNm9p1ki6Qt771ra98xXeOckNEpnoR52mHwWw227d//6zr - fvXXf+0//Zf/7ECTm672Z+d2b8+7GsbpEoubEkDmCv8HL3vZT/3ET4zbUT+bj0ftdDolx2g0qnZe - 4/UhhBBCCCGEEEIIIYQQQgghhBBCeBKGrO4hk3s7qJuZU0rM3Pe9iKjqcFXscJHsY2AzqBUiESER - 6buuafh1r/uZG2+88Wu++r9SVTNrmsaKqSotrsEPIYQQQgghhBBCCCGEEEIIIYQQQriMkfN1V1xz - 5OH7OIt6IQKIjFwIRDCFwBUVDX3y/tv7r5yvNge6rgDIuTUYO9daiS6DeRROqF6Z2Tod56Zi3jfz - k37sHR//k35lpgm169smzUvNWVhSKYWFnmQ+xXZw2AVhNlR/WXB3MyMjocQ+ZHYQjMgZLgIhhVBi - ZnIic3cnCBERuy7Kw0BACjMz9SqZK6miGldnCLtSAUDk5kOExE7G4uOURVksGqpDFIhDlWAMEpQO - ozbVvuaUOp997HPv+/MPXf3ff8m35SqldE0zgqDW0qTm7LorUUs1PMNczqm54WIbynsNe9shOIgc - UIcaiqM4uvK3r//5wzk3fZVu/qJRNju1sXnyuQcPnTpxet/q+mxeT73uF6ZqJ2ptrjx88yu/C8IY - j+FTGo/Atgxy4kWKkAPEMEMpKPaB1/3rm8Drbmh5fmprtDLBZ44ef/d7x12xbjoCEcHVG4EZjJbx - 1QAcDjaQAbx7rz4EjxMKHExiDDMBEeAOuE6QTz/40PqxE1hbRTFJDfoyJDrO5/OO7fC1V4MYTNWt - Zr9369jN6yNwX2Bo0tQ2JnkE9R4J4GY4jjvAUIYPy3BZwfvMxssyBxQwBhigve99CJ/js4/3hOXL - PKZZX9pGQC5amraVYuXkRs6M3I7XVsvpKZhsGVdJtAzwXlokkg4tM4bDyBUQRyJUNvSnt/QzD+y/ - 9bTnTONk5IcqPvULv3jLj//YE25mlzQHYZE6BgxbCUCmxTxLGrUGiCpIgP6lr33NkZ/8GSI265kY - hw9am2op7ZD1y4tVtB1w5QQ4mGhY4kOrC8yP1/Z5molIKUVyzklgAPjffv1/98qXvxzzDYBghI2Z - EKlDiPyx04UppTRUPRNWs1qdGTQZ9Wvrh2++Gddfj/HIVc1VRABg3sPU3Te6eXdg7Y5KL/vp1yI3 - SA1AkIQKgbtY784sAjM3cnMgtVmBrpQ2Z/AicG7Bh7hlXhRg2ymdHxmp4UwGVkgDxnveu37yeKNJ - AWZXNYYM6d3be8Xz234YcHNmdkNPXuGacMMtz8FoNAdpZlPO6qxuUlmAqBx48ThQFhVNmZ0N1RiV - nB1Zid2wa1fjBPPILrycEJCY7rr94+twJlR2BtzAfPahbdHGGpJEzwUD5gQjGEAEcRjL9c9/3ikh - JSYioLo7CNEFccExs5mRgw3sJFUf+ehHVkpJRkBS0iG6mwiO5Uq4EBbRtgCE1599PcRNxN2j1XFh - GZnCQcjMXiqEatOu33DdUTYjAMS+KEl9Hkt+0ewngIxtSOAFDclMBGeARUrN0O7EMf3sg836fgfq - k03ODeGSxo5kUML2OIsvCsSDQFZ7Qs1sE7ejf/0304/fee1W31glgQx/UskBBpvqUHndCebLfg/C - ZsMPXX/FS/7lq7H/YHPgylMdtmy6ur6yNduaUBK3nuGEZCYOuWQCu7c5YFydzMiNyJzFMdK6b97j - HX911cPHaWPatIBSVz2D8Njny4+PAMCAFjA4lKGObiQ3fd1LdTRiaUW9kFWYED9O++TMCv4EAqnb - MPCSiNgBNRTd17SiLqpszuriykSVMWNSHhpIIGEDzNxMU0q8ffR03v0SITyD0fLn2Vs6Ea1OVqbT - zdF4pRR9znOe83u/93vf+k//x9OnN3fFajwlOedaqy+JCD1uv1wIIYQQQrjgjOAEBwzOjrSYQrHT - JhuG6mNqTgghhBBCCCGEJ8/df/d3f6+rZTlz3ACGPuasQTMj5qpVRMyUc/rdt/1ey0m7bnvcUImc - ti8tYwyzl+ni/wSsYa61Ss5K6Isqo3u8uswXBAOQJKWW+x984B3veMfL/+E3q2qTk0Z698W2b9++ - ja3Nj378Ez/1up82QIFaehDgvJyytxdBVbEM8M4ipnrLs7/oTT//hv0ra1DLKc1ms9XJirvP53NO - 8jhD2BfqwssQQgghhBBCCCGEEEIIIYQQQgjhC9NQ14WZt7a2VlZWAHRd5+7b8zFUdXjME1wMS+Rm - AByotdZaRagrRo4f/uEf/vV/+ytf9mVfRsR93+ecRaSWS68MQQghhBBCCCGEEEIIIYQQQgghhBDC - uSAiKK658voPPfgeymIocCd2IpiBhyRDI2bqbH56fvKe++/Zf/WVRIvoGzPLwrXWRerfYFdeAOjS - ml9RHIlZes8Jhetctt7z6XfdO72L9iWtYAVqFQLRkCQk2JUhtDcMbM/nuuCxCLRIkoK712LuzsyN - t810Nds4kTClJo0no8n6ZP+kWd03WW+kGUmbJeeUhHMjDYSZk1arWmqtRftSZn3te5sfPXl0VjZO - z09udRudzRW9kVYpvqpF5qq26508Zv15J3YwAPbKVEHVhmAsAnyRjZVImHKlstWe/IsP/dFzV1/4 - pVe9mA219kKp1tqkRkR8V5KmDZVqhpe4wMs1hIsj6gN/gTl710Vn/uPyDtt9cNl154CHoOjtRGgB - qFbUgr6glDt/87f0+Km21ptJ+NTW/pxtXhOsUr++MtHjx69sRzi90fYK57Wuu3I0PvnQw5974y8e - Y99I+b/+oR+AV5BjZWJMAC/CdB1wQy2Ydx9945ueTbKytZW8outGo4wjRx/5679anZVuvrnKIk2y - eadANiJ3WX6MIVwKRnDmoSngvPhoDiYoQBAWgpm6MZiZFE5EWW0+ndpd9/BXfLl1c25akMAdrrXM - exAOXrEI/q2F2uYbf/S1IMVoxLAe2nADM8gy0JN20hgdsDPiuvcufd+z9LeXxs5BaVlM7dFWNO3+ - jffcSQZg3OSqNUmLZnKy79dVJ5MGpUeTZWW182MMDFmkRBBAdyeS0nJbcABIkqpWVR1SpkUghv2J - Nza3pnd8cvJVL4Z35nXU2+p0jr5DkyBZh9DxZRC87/6AAAhKuz74JWJXTC8DQwzncI9krstH8RDI - Ph7Dph0RJNXaNSxYW/emrT4dnoIcDsB5WAg7rTdfPLWDiQTkYIXZcklsLxI7/7SfnW/+MoL8rOig - RTyYI2dZBLd3Bb3/85d/C048gmwgRyWbbS0+CA/B2cCiwJ/taY/WqkBlKHxO7pPRoauuxrOum9xw - A0YNKAGGZoSUe0Jfq6xMVLK2o9v+6O2v/rM/edlkhHFWSUDLgHfOmdgWXyhFJaDWvs2NAxVm7qOc - 63Lq9k7AKuFChSSFZ4adJj6ZLU4kQAA7BOak0s8fft+HnyVtWyqEVQuIHExnnH0tsnb5UeoDPjZm - rmYMgExde3CZrF11yxe7oZqaCEPVCWbuLpw9orsvKiPAebkHWcYwY3G0wrKq6SByCi+6YQ0oDQcj - Jgc7kwNkDhjZcoUxO7fVsDndvPPOfWXewcgAgpkL066WsbGznf+atcVhH4CLk3RC08S44fqOGCSA - GdwWzQKTJ3q6cC5YhEqtRs5CCYy+nLrr0+taGWJMYCJ3WuYu7sTKPvkXAOxRDwCkQ1SrNs3qNdfN - JRkTXPkS65q83AnE3NgtpdTPa0ltmqzmA1dWSkpguMF4+f17ih17Q5uZQABKRUro+46BRjKmsyO3 - f/LArTcf56bubWPs7pgediPbGbfRzxg+zxbnnmAst8bdto96u3rDjWEYTqKcASZnJyg5J2nJJrMp - Hj7+id//z8+tZaRbDnVHMRjApgAgpKqgxXM6oTAb8bTBA2vtV373d+KFLziOZt4bpSY7SunaJFIx - vJCTZWMMgWeXXvvKabjwlwhgWHIba0Hf3ffHf3rw9NZ6y2Vuwwk8t6nv+nyuL7Dd+bOrV0cJfcbW - KN/04q/YmIzLYjyE1VQAwBZtnsU7ZKPtE3UTA7uLQ9ySWQMXrVI9OxGAWjEv6Pvpw0fQ9f1sPp9N - rStQY3IV4fU1atNoNJqsruV9a1hZgSQQGfc9U2XumYtwYTJiJRgxnEHDTtiAPbu+IeWOfOcUzMDL - tbz7QLn47ENDbnnnzpYcwkW3XTXAzMyMiIhoa2vrwIEDx46fbJpR06Tn3PxFt91227d8y7d03RA4 - 8VS33lLKUKEAQEqLMAlV3TM0HkIIIYTwTLJrDH37XICogswIRmaLYWYy2ul4eTrfDm2/JycoYXH6 - BwMW402LXkPfPSwbQgjPRLtnZoMXO8jl3m8xv4g+HzF4Trt64Le7knZ2vwYYweAEAsE4OpdCCCGE - cIlx0LTrfvMtv+0AMcEcQDMe97PZ8IA9c00Ws8EXF1apKRxvetMvDldT0fIhvnciOi6x6bMEJEId - LtkC6jDFhxZTknc7v+aknfGHw6gloVQTQIh/87ff/A+/+ZtSDK9cApywOZ9P+/7VP/B9Rd2Bts3z - roBo59KJ7SkNy79iZjM1NwFU9eqDh37nzb9947Oun8/nbdOoapub+XwOgFOs5RBCCCGEEEIIIYQQ - QgghhBBCCOHpNVxju7KyMlSCHo1G7j6kd6eUti/CxeNWEyZyd5OUtFYAKaVa61Dv6ejRkz/wQ6/5 - f37/bQf2rRuBFKa6fZFvCCGEEEIIIYQQQgghhBBCCCGEcCFtZ/w5P3HNA7+kajmEyw9xsorDq1e1 - dTyn0zrE8Q2l+x3MYIYZp0RdrWutfvy+D996+LmTZp8VhpoVRcPOtIiY8LQozLA3J+USsV0MZCR5 - XqZ9np/yY3/2/j/RSTedbaQWuUFf0GRy8m7araxMau23C9nZMqpgmXYJ9mWyhi9K3jk9UflP3xt7 - sSt3jI3JE5uwM6kwEhm5UZa8trZ+xRVXHhpfee3kxoPjQ1ccOLS2tt7IiJ1hQkZskigJMTvD4O7k - TBAndiPQUL7enWqFqvfSsHLXez+rm6c2Txw59tCRR44cnx65/cFP9DwrOldUS+piRmYotitrckgV - IcAdSouPY7RI0xk+o1bkTKpe+34kqVlNm7PNnPgP3/cfr/nmZ10xucq3kEWczN1rNZHtcEywM2BD - Smn4gnXGV+mxZnxdLiK6+xluT/HnRajzrrpbxNvZz9sFuZYJyuaAgoc7M+BVIRUwoqTVEiUiCC8i - r6mbQQ0bp375v/lvv/Xrv/5arWJwSU7MCXOdSWsOhZP1JpK99OSMzChbnIhttt+926qTlDrwfT/1 - E3/2zr/8X97+dgjxZFJgDk7Du+xn0PnH3vCzhze3VgsaN7hCCA8fPf1Xf5U3N9lpLTGZoeuY4I7i - nglDVJ7TohHLrGTKtn2AYMDIITbkrTq7L5K1yYYwTnZn2GpqT3zq01fceiuvT3rtpJnIvIfNMN9k - TljdDzFkl7lnSpCE0QQAw0bb+c5A2q54tvyvDPHnu1fe3oP2rsxtpt0PoL0Pf5RD/a5Gj2Moj7uM - fF2EQZIhewIYGB/p6co8onpynIFCk2tvnH7iM43BUVlIdUjoApyNSGnIvrPkEAMAKwZiZgwB32Zg - BxHbbOv0g/dONp6DcWYepZwnVj/2pjd8yfd+Lw5eWYkFmpaHVxvC2m1ZK05QCQprwZdQuazl8l8G - bvGuaHHeXpsisviWsfSZtroyasfoepCvX/OsrWMn1IflCSADDJRhUwRARGomJAyuTpIbJIN1nJKZ - eQGcoYAP5ch08TaevO2gd4IPtYkdAJSgcAETqMDIvSValJcXBqHO+uQJxaZVR6MxsAWqAB259+4V - JaE00zpuc+kqMzOxmld3AjhBGNrDGH1GPx7x4auueN4LcMXV8AoxNBmbc7QrJM28U1vbdyTV+er4 - Bf/iu7E2fvVP/xhSAzNIq1VzAgHUELDIvWeAkQBr82hYRWmoBw00tOsrQttnqkxnLbLlDuFSETPC - nyY0JMovwneZHWIGQNmUfDi5EmUxiLG4JZ9huvnI7Xc/e8sz6uKPnNzgu4qLO0HBAIuXc1tzbgy4 - m0PbhFnOnyvNs5734iqZyOEKZidHApFYfeIzu/C04l2tKXaDMbkNgdC7tobt3yKX92IiICmcrCav - AoOJcVtZDCAo1+rzps1dVe1oStyUAAAgAElEQVSw1qxPZpv46O377r23IeuTrEju591YuKoNxwZf - dowyFmv5HAMGjCR3WrIgMc87Emk3Grvi+c9Fk2sSOLmTCTnBvGfmPa338JSpqsE9ETpNatia1fsf - aDZ7F+kcjZK4k7O7gSCEc+r+WZxNEJQBQGwZDuRwQxaU6h2aQ9ffOlvdP6vzdpyqxfq9kFw5S8NU - 1OY0yZsKaLrxpufV1DqTVUsMGg7fADP7uSx/8uWZ2FAqmggAO9ghAjfkoWmhNNJ8+o6PX9N/neQD - RrmtBqCKORxk5HCwDUG2gBjE4TSkEcf2ED5PCMaLYuSmxE6LzXKIVaad5gv74thnltXdVd2csrTk - BHcXQpO2Tm+OhEaVH/m1f/dF9x7bVzYLastQAmeYMZjEYVqEQAnTDkxwQNrJUdIHD6y++HtewS/5 - +qPNqlEG3LwjBrs5zIjFIQ6yRQSasylBLrHjY1d0NBqR0XRrY//BEU9no77zO27fuv/uq2uFiUAA - ZtJOexa4nUsTgkCCWkEg4ra3knIqFYV5OkmHX/gCrE3mTepn1Q08TgYjArsLnI28OhFZFndXcXdl - NXFdURsXa4uhn+PUcTxwv913/8kHHp597ujsoaPzY4/4dM4gHnaAy43CwE5mZGByd3PiZjS+4uCB - 665vrzo8ueH60a234KZnY2U0ZdtsU9+2Pah6EmkT3LQndxnWr7sRlLiQgyULs4HnHRlBki0Lru+M - 8TgDi4RvAOQGmC/CwC3Su8NFtOhgWw4UuTvAxAzAHKPR5NSpjVEzdveu61ZX9916801v+a3f/J9f - 8Z2zWZcS11oBzjmXUoBlnNhZu4hH6wUYTkrMlk2aWuvwy1C5YOhTcnczG5K8h7oGF/LDhxBCCCF8 - nvlOS8kJChhMoMIFVI29MoYpaU6uxArKPvS7PD1vZ9GlA3EooIReMM08TMMRmIId4GEkc+iTojh5 - CSE8Ew2Tkc64bxjSNmAYlVve5JwH186ZEYoAQF6O1AyT4pyGaTEGV7gtpyApQQG5pCaKhBBCCOGZ - iIDtqYaLE1VyqGpOaejqb9px3/fVVNr2t37jts2+V8CGeY6Ofrq1PVrge551uEuxizkc0L1NnDNO - jxWXln77lB/AkEF+hp0Pe85P7o86POJwgBJ31d79nnd/4s47bn72TVcc2D/d3Hr88ZSzG7SP/vzh - iQzLzdVUdTQaATCz6u5t+z3f+z133Htv586grq8AhFihAAgEgu/M3DUWMVUAiTBMs/3lf/NLX/z8 - 59ZSc0quKkRmxsxOwykKP8mzklizIYQQQgghhBBCCCGEEEIIIYQQwnlIKZ3xCxHVWrevcm2apu97 - EVF9zDksbhWA1n743+ES2u0aIfd95rPf/S9e/bu3vZUl9aW0bc4s0+lUEgEQERHp+z4tZ+Ysn3RR - dw5AlIQKIYQQQgghhBBCCOFyN/Q3Rm29EEIIIYTweSAiiyvWDUxJ1YiWGXqRLhEuJDa4YHTroeeN - +slxVW5BFQxyOANc2cHGPNOSW3T9qQ8+8Df/4KXfaFM+mA/XvrS5mXXzpmlQCxvICGCV6mSLZD/g - 0pkywYBalcwQVar9yuyvP/yX03LCm77NrLCZAQlFXUxXGqCfC7GBQbYIdhkiDJbJBUPyKGwR4A1A - hNVsKMpCBOLlIxlmGIIjSRhAUXe4AyLIYKmJa+aSs45GtnJgfOjQ2lU3Hr7p+mtuOnzwmkm7lkhI - pbGGjQHGfBGjABA5hiy8Ae0OTd/9O5mjzWQYQj/hY7I1ssMNbrnW/Fo469Q2j0+P3H/k7jsfuP2e - R+54ZOtIl+dplJRrsZ5oCLWzBAiTmdGQLSqirmpgQiLq5t407BWVCG3qfV6rNRlz3fho97d/eM+1 - //h5/2ys+5rcmHstyM3IdI5lNgQZ70pKvVQ2nvB0GIqxLDIXdnW3OJxA7u7MbsacainnGOd1aYno - 7i8Ii+MCLTJ69wR6087myzs7Ntsd6T08kJM4lMCmmlIDQzf3dkzUzVkExbA1vfeX/s23veQlB12x - tYUksAxVMzDTEI2NqkPFJLgAtogqUkfT0Gy2srrq1YikK/Wfv+Sln33966/78R+lNJPUgFt0Divo - ykfe8PPXdd1q6RslVAc5ZvPpe99Xj51ey8xurosEJwFEWIj6qglgJjcvFURoGJLYqy0zThbHTXLs - Cofeu6MnONy6ecMJ99+HF9xCJtVVCNDetc+pRdMgp0qeiKoBzOAhHXxPgVdZPuGu535ij/KYx/qz - M+9nYFcd8+VPAhxGzlAD89bGfKVpvu5H/9WdP/0TByYrvnGcmozViRI7u5mTgmmZPjiEJREMNsQ4 - bRexYh8q3u5KLy3WMtXZbH7HJ0cv/BJ0Hdrc1HJwY4pSMZ23K5NZ30nbDHkyZ+dzE3AJhXZvo+0f - w39497/InocxiK1pvGnmfb9CjJTzypoTZEQ+X7bIzigETAR3dwXYKKd2BHZQJW76ro4OrKIoVtLi - q+p+PqMD23XLACzf87TM2zxxrUmSgZgYjtJNc87az6VpkjhOb93zf/3yVYnLdJonhNNbOL2Z5ltJ - vGgdj9N0VlohUyO4SBKmzvpOoQY0zKuTlasO77vlFtx4Eza2MEpmidlq36d9+5XllKI7uPqQ1r/3 - L/83CGEyBrzmTJyrewPKaW+G/Z5f+ax7zrJrfcWgSsBZtRSNnJcNQHLOVldqVz51R56WVJUIRDA3 - c97ZfpYH2cW36Sm0DX1IJVzdj4NXVpbhi0oOZwPATkbOHnXDL7rd6d17T27DJcbpzBqa7CQOODOz - SZp2c5E8GrU6m2cWfOgj+05vZmd3t2oCAMJ7m4VPJVHAtYwE1VGLjXlyvOiJtdGzXvRlnrkXsJEt - G6Xke3Liw4XCzNWsIWrmHR46mqfzCaGDAkI+9Fudf+uAHAwoATCG0RAGQWDCUCG2IOf9h6bcSDLz - PloiFxS7O8PhCvYKdmKWBG7G6+t++rhVFQe5A3CctWt4Emh5KuXbXUXuAMhABGZUtYQmGWafeQDz - Lk3MAYAZFYu+xZ3dxxCTHMePcFH40B2wsyUzaMiwsWE7X/bmm9GQ8Y2iNSXOnNUAh7ubqpqbd1e0 - zcFjJ/B3Hzr+zr+99sSphmtatGHhBtvuECEDMO+QGQRoM3rQy4P7Vl7y/a/C133N8fHqVm7FQG5O - O53vSuYCKGQRGg0jG1rd/BQOxxfcpB31XW3bNjej2Wy6XstKXz7xp+9YLdosrhdO/hSGE9SGzDdh - JyFSLe6oiR/0+hVf/7KS5VTtJqv7u64jc3JReIE1jsQpCScWcrO+iOuYfATDdIrPfe7kp+66+/ZP - zj5zf3/P3c3GJs+7Fad148PFWq2Nw8xAtt3WteW+k8yEQQQFdXqqPny03HXvyXZ0f5OPCdfDh69+ - 0Zde91Vffvjmm3Bgv43HJ72U1rZKV2Gj8djN6rw2TUMEZjb2uZZSbExpwlmEesWwTWKI4iMDeFh8 - TMNxFgRmgFyjWnq41DnDWUSIqJ9NNzdPj0ajL3vhl/7qr/7fr3zlK4ekgdFoNJ/PmZJ5vVAv2zTN - bDYbagoMud211u0aByGEEEIIlzHa818GCwqW/ajbk9IYUCeAjXQYy3a68D+HE+rhzYjDHU6sQ/ir - g3be5PaAskUubAjhmWnXVLQzO+0MMF7eu6cf5+nYMy/2z9g7Qc4xjAkYZPEWF8O7vBzhv5S6GkMI - IYTwBWC4vosdThBmEXH3WquqzvsuNU1f67/7979fYEkSuaspAcS8U0f4SQyQLQKwn64P8TR48qN+ - 53HtzuIU3oAz/7aqMdCp3/a7b/3FN/zC6dOns5zDeAr7zgV74VwxqJquTCZmNpvNnEBE0jS//pbf - /i9/+HatdXXf2ubpDThyzqWU7TI67r57i7GqIkIwUx+xvOHnXv+Sl7xEVaMXJoQQQgghhBBCCCGE - EEIIIYQQQrh0pJS2trZyzmbW9z0AVSUi93OeysvMBH/f+z78/T/4A7/yK7+SUlLV6XTatm3Tptls - BmA2m41Go67r4tLaEEIIIYQQQgghhBBCCCGEEEIIFxQ/dnjtpZWLHC5HRTWPRqu8fqA9cJSaqfZQ - SNqeX8Hw5ASnCoJzf1If+vB97/+aG79ptjVrPTsLvJZaM3yRfbh8ZhsydC6xCKSc83w+lyyF6ik9 - 8Yn7P6bSmfXEjmWFliFWY7hDh7DR7fu3684B2BU8vAzQRNdbSsgZAKAwhTncoUBKEEatsGLMaKVh - TlQJc7LOxNqD40M3XXfzc69/wfWHnn3t/huSto2OxFuxzPMEAzmTPZUSdk8QyGlk+0aHDoyvuv6m - 53zV81664SfuPvqp99/x3tsf+PhUN4g7aRziKh2hDslcZma6SFq2CghS4rZ1syH3wYyGyHC4owp8 - detdt//ZVx7+6uetvGg62xq3K6ensyGzBAAZb0dUDBEhl1Q4SPg8273vOI8ZX5eUmE/2DEfLkJtl - ercJdkoVKRZBYtsxw8sSRUPa9M72MaSbGbGWrkktDLO+H00auDEcj5x437/+uWtBB7VfHa1snDqx - trqG2mE+RVUmgQKPnMLRY5jPa991XefuadSODq7j0AGsr0KrrEwAraUQcttOdGu239t7Xv/6m17z - Q7LeGAwwdOXTP/G6W73nftaMcu37RIxeH/yTd9Dxo9esrZSNGZPQroO+qRZYu7oy29oi9YYwarhW - U4XAfFmHa0hN27Pcdv2+XblJmMyMyU/ed+/+m67P66MyHI77Quaj1VWow1zZUjvu4edSSOzz48xq - 4Iv3pwrhldUJZnNARwcPbDz0wP5mDK+YTNLquG7NuHB1ywSzZey7L5POz1h6ADt0V7vEYYm4KXr0 - 0/dc/+xn8/4DqH3Lef9Gf/z//I2DP/i9YBq3TY/UAKi6yGPiIRocDDQGOOMpBSlebIRbXvVdj/zC - G1fbRmdzAcnhK0fjtt/q8q7qYY5Fuv3wpR2C7hXuQjxuQQxQnXYHDl1178njN7UNgFohGUTnXmFs - WTDeAQUYDAKcJzkBSEjokJnM1JOk8UQBSUCdYja7742/tPLwI5JTXmu8bNHq6uxDH82lM++ajG5W - Jxlah4arK7wWLyBqV/P+9fF11+HWm7G+in6GUlRMuEdR+Aqn1SPQI4wT+5qXvvYHr2kEkkAZxZFH - yRkV4jDBeXzcEHbbTncbzqz2FHN0kmWFRidURmto1e58/wek9AwjBzNcIXu+qbuf8PwloqruQLN/ - DQcP6LJMZwjh/Cih5CH2HllhBDYmdzFiR3FPqSGtWbglciuw/u4PfnCiaEHZAFMBQHvaH9sRpOcX - 4K1AAqjCAEjpkh+ZtLe8+MWbmYsYkQMmTsvcbrazQw7C+WKHExGReU3AqNqJT91Vu54Z4sDTeW5P - BDVQytSk8cEDx+GSU68V9ATdYeFcKVQIBgdARCSMJPsPH7bP3r9dkpqI3Mn8vMJeH20zcQcRQORw - MInbyfsewKlN2X8YQzfirrbHY7DL+EwnXIYMbGRODICcxUEGkLEPR7edns+dTdeTO7k7wQFjloxs - sKqztdObzQPHPvJLv3pg85QIiiIldnc3J4a4CcDOAINsPOL53EaTdKzOHjiw7yU/+Cp89UtOreyf - pgxnJ3PCcOxzYiU4gxx1iLpffgHJL60WMgENUtG+uqHhuesVAD7z8Im/+cChwg4mOFAJzL7o8Din - VoQDBRBOUMB7EXLVEWGTkW68Ac9//pQSpJ07dYUm7llSh1pSgiQ1zOfzcW8HHOMCnD6NO+/eeO97 - HvroR2afuV+2Trewff8/e3cabcl11Qn+v/c+EXGnN+SkzJRSk+VJsuVRHsDzgJcNRdcqqoq1aDBd - dBkKTBsMVFOwGle1G6owtI3N1MyrGjMUg00zY0YPAmNsgSTLqXmWNaZyeu/dIeKcs3d/iLj3vUxJ - WJnKlDLl/fvw9JTvvXvjxo04EWe4+08sCZw0gEug0IzYECwBTGgnURZbQvOXDAWIhGnAkkzjbFbU - 9SCU2yWkWZrc9+D1f/QxjAbPuvz5217xsu2vegm2L01HvSMUZrkhlL1ioFEhmNW1ljToFRRMG50h - I1NgZoCgNm8ejWCkAKcuZgkMBEWZhVTBPkbgzlzEBtKssSiKsgw5Z0CXl0eveMXLP/jBD377d7yr - qorZbMLMqom3pm58af/cb6aUiqIwsza3W1VDCDHGopslds4555w7O80HS9u51NB+ZwJlKLOSKImS - mZGhaG+YiNo+g85XuZ7Cr2hncAxgmIGVQqagjByQGZkLQZ4HivnkpnPu6c1ItV3NDGCxtGeRnK0I - ClGIzZc1E05j+2wokgDgbqyxnf4vYCVrCStgBSBmyARB8OF555xzzj3ZbPPDlszcNA0zhxCaphkO - h01Kn/jEJ67bv59AOWebL2fhreuXjrnr6py9dzV2zH9O99N0O2r+wYrN5UK/85Hf/YHv/0/LgyGx - 4J/9qGE7jd7e2Xpu94na/HABQUTqul7L64PBwEDZbGk0+tSn/+6H/st/NjNh2Vhbb385xtiG3LcA - bJ0pCyHklAKBgW/5d//um7/5m+vp+LjnPalFQs4555xzzjnnnHPOOeecc84555w7ZcxsOBwePXp0 - MBgAYOaTK+FKRABytl4/fOxjf/2BD3zgne98JzNGw+FkMkkpiYScY5vb3ev1Ukqn+JU455xzzjnn - nHPOOeecc84555xzzp0eZBaYFb1dO3bfPi2DwQA7rhQ5KQAyKNBQfc3NV11x8WuJq0IDE4mQQjMI - xEQJYNaQWUGpK/52JkU8BOLGzAhU6V3333LPQ7fRErf1Pcl0MxnKuvoeSrpIE23/fVHyjtBVdCG0 - iQYAUBSAIUUgk1CopDQmRTa2qA2y9UMoECyZzSzEshxvu2DnJc99/qUXX/CM3au7h2FUWL/QimbC - KVhmZCZjEAkFItNFmbvTgIHZpDZCwEDioCfbtq1ecPlXfkUjk/13X/OZ/X97473Xpd6MB7ahMTL6 - A7ACDRgQLs0ow7LlbErcpXsEbR9YM8MImnV9tvYX//DHF/+LS8o0nDX1YKkazyYhBBgJANK8JajC - uacHj+7+svKl2q9FAo8x2rAz0gC2tjQVyCBF0YcCpP1eaNYOl0WBabrlgz/1bCn6k40iMOpmUFZx - fVwUAgUeOqB33rX+0ME8zZIMuRZiZiamxHTwvrtnTDOmbfvO3X7xhdi+vShLsGG6If3+wGzl6NGb - f+Innv39P8glMEv73//+Z+Zc1Q0CMJmEUGFtevATfzuKyULv8HgKZhJelGMlMkAU+eGN8XK/GEF0 - WteNlgVBUEcrt9TM0seOR12kdxODQAI6eujo8gMP8vJqQYScMN4gw3BlGSDNWUPIRYhFiTOqAHf3 - So9L72YAKIvcJKkCioCqONLMthUVphsIjBCWdp8zuf32gZAkgKBd0LuBlAGYPWq5NDKAFAYjMAhZ - +4bxxqS55Y7y5TtRT3kQBiS0tv6BN7z2+z72J9hznrQpuCLQBM4gjiADCzjYI2PHzzbEKKupcFHH - 3NTD4TJGS5MmDgJMF+lox7xCUyVwe/Y1ZIPBAKYwDqE4MpnJaBkBEEToyUQ+bn3XCOjiwwBCY1oR - owFSRK9gEQhmzRSWRQgbG1f/+AfOO7x+znIfsbbpmCxjbePwXXcOJzUMXCIBFUEKJMXEkBiohoNt - u6oLLsK5+zDqQxhg7Q2bZtZbXm20mXE5TkUejWTfnue//evRF5RhluoiVGJkZGQMNSRFJUzICjmr - jwd35pkX5mOG6vwEycQQlZgxnR24bv+FpgRra2DOI+sYdiqqL7YlIM2YhJAyc3XODgyLzF3+RNvj - nV+mvYigc4+XETKBDFUmMiRmJWRGNgsJiKb9IGVFZrox3mUh33DT0bvu3sVSJBOYgAgMTYtHe+In - fJdpChCgubaVpXzRPjzjokmQTCBOogxjNmUDoOqJLqeUGNSgRGK5BN1x8y2SjQ2iwDF9AX4iI1zH - 9ykWw5lC1WiEYV9hDBidxXWTz0hdT8XMbHOUVMG0tGvXjFBx90ac/El1fMen+38yCMO0vULnoBSO - ruO++8P5FwEwUgPr5hFFoOO3Qum016B27jhKAfOi2GQQU1jb4wcAm+fnkHWHK0uVcoRmYWbNDBhQ - 5riSmmHd3PK+H99z6Gih0SwPymFsxgIGW9e6KtP8hJnOtD8qH2qa+0bDl33b/8qvf83h/tLRosrC - BsiW4uaLk8Lmm2XUbfAZNanTSk0sQzFLKYuVVdEbTyefvHLH4fUqkRIxMgCyReL1CT66MXOACVAD - BjUBWHiiWH7+C7GynZZWUkKKaXlpxHEdGoWsTyE0sZzNtivRdNZcu/+mv/37A1ddvbwxHq2vL0+m - e1OsLImpGSkKBTEsEMjU2s8sC5IatpSebzecDRzEVLOaZSOoMAXhPpGmWYrQerIawi6iOBnHj3/y - js/+w8GPrJz3+lftfePr++dftMH9cWlUDqyUspJUp0zKam1csbEYWYYSlAElm7eQmUDtARCJjZAB - MIeMkxkSce5JlHMOIahqXdcSgojEmOq6DmXvTW9648/9/E9/x7e/a/HLJ5Lb/SUURRFjZOaU0qJ+ - ged2O+ecc+5sZ0AEeLHMpY3NNoYGgNkCG5OxIS/+hO2UzOJ8Ce2ivcU2AAQjGJNB2hV+YIMSmM/m - KDXnnHss8+G6xWrmLWPg1H5LALOBbMt022kb5WMjmueIt9MCunUcfh4V2C3CBrxxds4559xTqJ0a - ICI14yB1jKEsfv4Xf8GAXq83nU3bn5pZzrn95lEf56y+n6HTeG/4uCjQL+Xo+vgjH/nIu77zO+uN - CZ/VO/QsQYZmVldVJUWYzGYgCkVx30MPvuPbv206rY0JagAWid055+MfgQjtMqGUGDDDW974pvf9 - tx9tprMyFKRn3sIC55xzzjnnnHPOOeecc84555xz7stYG6Hd7/fNjIjaZTMi8sglAf+8diFBUchs - mljwMz/zC895znPe8pa3rK+P+/0q51xV1XicUkqj0Whtba0sy9PyepxzzjnnnHPOOeecc84555xz - zjnnTjUR0ZSzYd+eC//hZhQ9NtKkYF7U5mhrqnW5kNTDnQ/fccsD+1+09xVxLZVaMhMRmZESjJQM - ZELGxtamSZ5RGZAx5rIsa4q1zK6+4TMWJuBsBkJgS2LaJV0QGIouMaGt4j5PFLKuwN2W1zWPYTRo - hhAJsRGLBc2WLNc5SWCASyqlDmkjV7m84JwLn3XR81/5rDeNwo5hb1hKj5V0TJSIjAsuGUIQCmRm - 2klSnM6daSwciEQgSGTRSssVD1KoX7jzKy57ywtuO3zTlZ//+HX3/tOwH7TS8WQ9CCyBAaIEC8Sk - QDYEAgFiEO1ivNr4jyCBQr7t4PV/f9MnX/eMt2qNWZwWVcjalfJTUgN0M7r7DDp43JOmLfCy+L5d - vvUUbs8T59HdT3ekwLEZNrbZeEnXos3bNdpaaWqe3j2PtCYCG4GoSU1ZMKZrZSFYq2/5sR/fNp0V - uSl6wSYbszr2hyMJPdx+Z33zTbMD96OZFUZ9FmZWVrUGyQxgYMA85GAS7M77773x9tHuPSsvfiFW - llEKaJw4rBaV1PG6973v8nd9z90f+MlzJ7MizVDAGESM9fX1z1xVHjmYphMq+7PeANuGxdJweXVb - OeyBAprZxtp6nGykw0eOTmubxj6oJFbVSIYSOWMeR9W97MV1FZvFWje/12zEYFNROnznPTvOPx/V - CJpQx1THouqjKLlXmtn9R9fGO3acmZcKekQUoWqWKqSsIScgNWWYRV1a2YHxEUDL8/c8eOetlaIk - AsG25mdZG+1+zL6aP4XCuqOHYGSgplnhcOT2O8/Zdz52rcAiDGz2zV/9VSgE0yQaGqAsAcpAMrCB - DZahIoHO9lq5DKQ8C0XZxN0rK3Zkg1hWd+5OBx4IMCwCebH5H2tPPGI1jUxhOIQaIEiQkqasgEJQ - MCt0vLG+PFo5sU1SRfv2MQt1xe0VEOKUIQUQCqihpITcKwNSxMEjN37oZy+OFAox1E2cVKQYN5PP - XjWsmxLoBSBiWFRNU4NRC6ajfnXO3pWLL8HefagKpIQATYkTcdUvi6UDa3E2WtpYXbr0u78L/Qq5 - Qb8HDmAKVAgKKJOgTTpDKegOqrM9y909xboDiHTRd8rzQG4ylvZ0oC4TUExx/4PxrrsrbRimBuma - wvaayrC8OIepu3qeoEX7RkRABPXOPwdiibnNCuctlyo/9J07UQwEBRlnhpKmgExcGBGFGpw5SKx7 - Tewnu+XP/qI/qQegnKMYMchgpsdkuxig1IaGLuIHTgARFKAgpJQ0rSPue91rJkvDcckgE1UCMrES - qqztzVA+q2+BziQEsCGrSllwHRHz2h137wZbzoJ5Gz4PhD21e90MEDREo927QKyGrJnI45pPNSYC - UftW23z8hvJg985DoILBBmSYGUBPcP8rAIIAZAgMBpoMEdIcixx2UdncdFt1xSsxv8cwAuahs+0D - sIHa9uSpLkLtvixxdztsYOji9tLanGwyO6YRVDImMLKUIsFIczKrLVCVZsuTyS0/+bPF7XeGw4eq - PmfOsakLBENiAMrz1lcJ2YBeIQ9Eu2vHzmd9w9f3vuZrD1Wl9ZdEpKaYCWIMoJ3hIINYN661OHe4 - /Rc6ZnTrqWesiqIsoTPWzEmL9fW7Pv6pc6exyDAEpQyAkWFMOOFznkCllo1FZiVGTCgIAE+oeNZr - 3rTRX15XBnhp0G+ayWxyaHVYLiuFh9e2TRscODS78tO3/80n8913bScbTTdKjVVGUBQGAZgCQMJs - qmrJzNq+jlq77x89MT0nVYAYZlBCMkPOAERABlLl2PQLDIhyNDk0WWrWj/7a7177Ox/b86rX7P6f - v2H0rIvumRycDftpnIuiKIhi3SRQEaqSyibHzAnt+94OVlB7U8RBs4EISIxMrIAR7PhRLufOLKpa - FAURxRgtJRFhBrMocptk+WQAACAASURBVAjFm9/4xh9734/80Hv+S4xtHj3Pw7uPb+Wsu/t4vGKM - AIgohBBjFBFVzTl7erdzzjnnzmoKGJDbZS62pXvFACQTZ2pHXGCMDFMoKxhK81jWU/vVwEoG0jYd - Spkic2QCMYjbLV7McW9dh+Ccc08zBG2HAhWMrW0dzUciZXOoPDIAiJ3G9rldoGNA20q3YeGJNEud - pQY14AgOhkKBwtefOOecc+5JQfboy/9UtaqqrIhN3R9W60eOHLjn4JVXXgmgrmsDyrJsmqb7Q+Zj - ShWfjgUuT5ETfREnvsri+HmW9ntmytkMSAYFPvzrv/b2t7+9ZCEi+lLPYU+LPf/kaPfV1l3ariEo - yyppnk5mRVUq06ypv+7r/83d994/6A/G0wmAqqrqugbAQWxx8M8fp/0cFwECBOLnXXbZr//qh1Mz - K4tQhaKpazzK++h3/s4555xzzjnnnHPOOeecc84559xToygKnX+GNqUUQgBworndmKd9tx/LVcVw - WL3rXd/7W7/1a69+5StUVRVHjhwZjUYppfF4XFVVVyj2jKpO4JxzzjnnnHPOOeecc84555xzzjn3 - aIQ4NpElXHDeM+m6QH2igDY5YJ69oqB5DX8gFmmtPvS5mz516YWXBSpDBqAgMiIQMpuoklmb72Ck - dGyW6VPMWDVzQQ3VD23cd/N9X5CRjXWqABsTmEy5TUkwVtI2t9vQpaxSl2qxuSbkUSqBRFAg4WBi - OTdGoCD9fqEKSgHTaol2XP6MF73s2V9x8fZLerrUxwqlYDMjJYALkBAxhdhEIzJKRkRsYGJRIdYT - XvlyQli4ZymnnNvCGsxiyjnK0mD14PjgZavbnv3WF+3/4tWfuvqvbrv/RgnQfpO4FpCZRmsIMCIi - tDGj0lVrVRAU3O4uDc2UjvzVNX/6goteMTLqFSGrKQpu42qB3MVBzFNCfBHOlyUzAxERmcGju91Z - h4+tbnV8gvOx7Vqb3q0AQNzUWpbcZFhZ5GZDiHHk6HXv+a/PXdrGAmjGdEZV0Qdw+PCBv/ssjhzm - 8XhI1gsBOaWcLKMQKIECAJiBVJGipZgx2RtG6/cfuP/Qx/vnn7f6lVdAUYjW60d7NjoPduDHfmTb - erNEgl6FZkwwZD3wqb/Vhx7ugdCrwo7tey99LnatomQwd9dHohFslNLO9Y3ZbXdu3PFFm86GyTTn - dOxdwJcssNVq64Cb6UDKtYMP44GHcMEAZpjWgaXatg1AvbYxLctzLrp437e944ys7/SItxgAEwjM - jKIEpSu++3974D3/TY9ucBGAjJ3b86AXj270jAgm1OUasjFIu9ApOr4Q2tYyc0ooGEnzgIt6Yzq9 - /ob+678CmiEhzjaWB8M7f+onL3r3D6LfKwXZIAIAChDUAJxRd2wnjRjD3hHNq73exvraqF9hBqp6 - SYQ1zV9hdz62yVhbMtI5MbA0ADHAMIlqr/ne70ElYCSghC2Plk5wexQ0v5sxMCHPnz4ALIAiT8Yy - qDCrgwiaGs3k5p//pZ3jZmRQlrW1Qyv9CrVh//XNffeNmEU0RlMgqVlvOKW085KLlp75TKysQkJm - GCyXQgbuVVz1Hj46boZLtPe887/5m7BtBcNyrHEwWAFYTQUcQkBErGPRKyBtqDI0RRJhORPPLnd2 - Oa78dhvMy8Y0T34zQmawabCMm25aGo97qkxQg1mbsnlqWidra5kTeF5JMDKtPOOCSDlxwTi2gTXu - MvScc49Dm/rJ2g2aAFBCYjXCLBGHoKoKENGOqsKtdx35zOcuyJlTNrP2dtVOaZ1VI8SEIsBUkyIK - xlX54te89k6iRIEMpZoCtQDgQhUw9TKvpxqpgUiy4ehGfPBAj8QsbtnN2t58LpJKT+Ypju9Ydf86 - JVves6vtYSkMxCf9FO5RERFAakykBIJBSY1B5+yeCo+I0I7htCkcTNCTe5e3vLmksO5BMhAElnMJ - 3kFy6Kbb92QV0/YsNjBB0VYfxqOUnVY6JhbXudONFW3yMrUxzQRAldpbU9p68SNjBjIAEHXHv/YK - DBAH47X7P/zbRz915cWTaWWRMlM2JjbL6IYFVMFsGUBmNBLWQ3nf6vIl3/j127/h6w8SN8urKdPG - bMr9gGPbXppvJ20JjVYCTu21+RQxpphTGQKbhvF4dtdd+d77es2MUCi3gy5tB2RxgTixW3ozZWQG - OAAJkaEmvb0XFS948QNFb9KkXn9QUeRmY99SWa2vUSPNZ66+/hNXHrn688OHDuzNaVtO1DQEMIOo - G8bJBjU1MCwZlGBoZ9NoswvSvRHHDp0lGAAmIqa2e9K2dTGBAWGIgBSptgLYIWjWYh/gQfHAX37y - yn+8et+/eMvF/+prURUbQmOkWcwiZVH2UkSdIhesYJC2z2jUPr+KaVAGrN2XKspt9FOXSeC9JHeG - YmZVNbMQAjHnnNvvY8qqqhlf93X/SmE/+APvYcai6MATF0JIKQEws6qq2voCzD6e5pxzzrmnnfn6 - QRAy0WLcRgEltS2di9PxtZtv3bIlBihxO6e5uXkGpq4z45xzT1sGAoQ2J+GtHe5rm0QykIKsHYHs - fv/0tc+LtQDdMusF7SYGKNkx0wPeX3bOOefck2HL5NcmCaFuGpFCilDHuGPXzh/+0R+NBgJlUyJq - R/uLoogxPmap4q0fsXMnQtUAMCPGXAn233Tz337679765q/SeVy6O33YkHNWUykLI2TT7/+B/3Tr - HbcbMJlO+v3+bDZrc7sBaM4SQk4J3eogLD7E1d7NX7jv/N/7yEd7RRmbmaUc1VQ18NPiAwjOOeec - c84555xzzjnnnHPOOefc00jOWUSIyMyKojCzE03vzjkTkQgRUUx5PK5Ho963fuu3/t5HPnrRBfuY - eXV1++HDhweDXpCS6KwvFOucc84555xzzjnnnHPOOeecc865LxNsMDUyLsNgz/bzlqtt49khG0AE - pl26WVuNn7rIak4UtdAb7rv2rkO3XhRWwESJuU1qJlUQEYQUXQDEGVdvrSwGU91IvfqmO/dv2OEk - k6g5SIFEAItiEdhkixJ2i6yHLV8NmMclKLBZJrToiSWLuSGiNo3BEkstZSx39M95waUv/YrLXnfB - 8jNxNIRZf6W3OpnMCCzMhQhBzExzTDn1+j0zS5bUkiJnbQMdSE5zBHBd1wVLUQSGWowxKnMQKWdH - 6lF/NdVxPF1/wY6XP/ctl157w+f+5h8/9uDGvbNiLGW2kLI0WQEYEUgZBpgaIVOXjKOAaoJgHUcw - uedzN376Nc9+E5VLOkmgqs2GsPnOJ9Mz8PhxT452rZeZUVt39+xfjuXR3V8m2LCZy9vVkW5/AJbu - +3mlaOvycbtEM+sKepUlA2BBDQqWMY03/Nj7nzscho3DM9JeJbo+4djgtjsPXP35EYnEaCIETSnB - IAQqkBoYYAxjgEGMIhspGEykfWSrsxw++sBffmLPq1+G0VI1HCpTtXGQTfvbd+HIBNEQgVn98JVX - DtY26px4z86V512K3Xuhiv4ILIDBtKvzqgYSLFe9K3b1Lrx44wv7x7ff04f0gsTUPFZBpi7Atd1R - m5WdlAEShESl6iBicsdtg107UZbIWZOBCGbVcEmr8t6jhy7WDDpDrxbHFWhj5qzIOZeBwISikNEy - ZwJqkKBfDnftxLjWXIsRLTKlH5GKZFsCvNvSu5uBVEKkZpqGJBsPPNC/916cfx6MR9tW1g4eKLev - IhimGxiNjNFA0CZaAYAKmBJggJzN118CVGdVmVMqql6czIqcip27pvd+MSzu6ra8vHlimZqSEmlR - YGkJEgACB+ESwggSDUSAWkxNUfYe/+ZkIDEIKK177jamUtqf5YgSMgpADU3YyLd+6KdXxofPTZEz - zVRBeaW3hNlUr7nm0PXXLys0ZUOogd7SjjHb6rMuXnrWM9ArIQFkYBYimIVIEZgGOZDT+t4dL/ze - 70NRgQOKIqn1ygHaI0c5KyQgZiuGhRHMkFKWQFIWAEwTsV/C3KnBpkps1PammK09ZrsmCKRVTkeu - +8KupEVuMA/OJmY8VqAuKU7wFlEVRCAjZAMocdh28UVHS47EMBaDApnbrcWiGXbOfUlskAy2dhSJ - YWBTAJl0EjgwgolkM1bJjf75X+9+4NBStpRiQaKW27ONmE2PrexvfNLnoQLMHJPOgMmg2vvSK2jv - 3oYLMSrVioxMOgucCZEhfr6fcmrMnFWDKh64n9fWpE2TmO/obsARAMAnld49vwdWwGyeDAQgAXXg - nTu2Q0QJRgQz8srJp1Q2461lrklhnBjF3t2zskx1PR/cAwBVfUJ9iy0HBxE0QxiqxqCgOpjUD9x9 - L1ISUyWe3zIsnrAd0e4ODyXomdpzdE9XbJB5Y6eETACpLmYdumzpRQvFSiCYCYwQNaHQISW69baN - T3/23t/87Wdm0ThLjCECWSMlN01TgA0aBYC2w6eG8mg5vGPb8mXf8vbVr33L4X6PesP1yTSMhhIq - siz6iMEKAxmLQUzJ0I7m5zPvdDFCFlLVHmTY6Mq4uePv/r6PJMhKzKZtdlwmaPui0P7D449sM0Ud - QEnBBmLMRNapf9ErXwUNVPUrykjjvDHeWU96D63hys/c+Fu/Xz10pDfeuDDnIWEAJc0ZCAS1+VXP - YMRkZIIEJbH2EkYGbu9AFF3Irx1/QSyZQWjTiLvwOQYRqoCcuzE503lWHQlzHvZ7k9l4lerlQ+n+ - X/mN6//s4xe+9Y2jf/01oz3bHy7CGjKrWdaoGBWVxkTGhUJJ25bdwAqwKVuX3m3oWtfNwCfnzkjM - iLEBU1EUzKRmmnNMuV/2m6Yp+z0Yf83b3lZPZ+9973+d35Y+akvXTgB38+JfUkpJRNqCBTnnfr/f - ft+GGTjnnHPOnaUYXYdqc8UDAdR2ltpf0e5nXYfktIdlLwJot/4HDHA77Yp27JGg8vhu5Jxz7qxk - 8xaXNhs7IrQDUdQuYoZ2y64AtOvYnowNY7QtsAGEoMztHJ8xTNrBJdq6is4555xz7vRr5z03/5ck - 50SkRVmM6/rh++7/nY98FACJQHP7qRUARNR+iOVRHnG+yv2sXmJEp78Lv7C5sh0wA7rpSGSFAb/+ - G//jta9+TY+Pn6x5ZPL6Me/jWb33nwzt/u726uJgNTNizrC6bv7oT//sV3/zt7rfE5lOpwBCCElz - CCHF2OZ2g4hgOj9emBCYz1ne9gf/30dXlobjjbVRf5BTKstyOp22p8cj3zV/v5xzzjnnnHPOOeec - c84555xzzrknX4yxKAq0tT6YU0rT6bT9lxPCzKqakgEQoaw2Hs/M8M53vvM3fuM3tm3blkyXt61O - p2NkDSE82od2n7yVKs4555xzzjnnnHPOOeecc84555xzjx+ZkVFhZb9YumjXJQcfum+W1rWCEXgR - rrKI1zE2yTnYWn3g7/b/ze4XP3dEq0UUKJtomoekMCUAorI1hOLMwAwxpjpMv3DnNVY2NRKHtiqE - sbG20T+mmWHHJDsoGTM2X46SGmv3C1vSvkE5EYhRCHFmNlnS1R2y5w0v+6rn7XvxvpVnxnWafjFV - 5VCK6mhd9/piqjE3TW6jpTgEpoI36jGRMbOxEbEwzIwA5NO6f7SsYGiymjFzRQzJOUWNRT+oxoq4 - RytpkrINX3fu216454r/ceWv37a+/+D6F/MgUQ8IIECIODOATDBW7aJpIQYRGk9tNNLG6is//9cv - fe7LMc5Lxc6UGPMUV7J2z3Mb+O0czv70bs89fbprrw3HVrQ6rtxoGxdMxsf9uPt30i5PyNgIpihY - hfmOX/rlPWoy2SBBSUZ1TaGor7320LXX7RLR6YYAiUWNGBAGARoReJ60BBhghmTds+Q4KbkAydGD - D4Xh4IFPfmrPm98MMPVCn5UNOHoUEDQKCUc+e3U8fJSa2Y6XvBCXXIRBD4FBfSSBBmU0YnWAEA+T - ESmaGYiwa+foxS9Ab7h26+1UT5aKSlN9XPWltiQT26Pnsbb1vdU0p7xc9h+854uDy56H0QiHj1ZV - hW3bwaRNY1W5kRJ61ZlW2rWrNzsvOzsva64GgLlgUVUuAhregC0Z9RRggfLyObuaex/OdW26Gch9 - /IMvjpwt18i2xpwqAAuCmFNBXKZ0+IYbt+0+B70irh9drgYc7Z/e96Mv+aEfwnSM4RAIDCbkAqqA - PKk12U4bIgz6r/ne77n1ve9dUQsi6PXRHyZm1faOdlHM+JjweIVmsBWCfh8cYALQJKUVGJipjati - 5qI8wQ3iDGUwiKHgedFkAwgJlJEymgmMUOcbf/iD56otq+WNDVldzU2WOkNo/JnPTe+5exBCjqZc - JCrC6rbiGRftOG8Hdm4DFQ1LMG1bkKiaRZpSaik3Br1LvutdGPTQ7xkLqGiDgxiwNvI+QwRIKCoy - wqROZRW4EAMyQMhGXbl7507CcS08GUBqbXdhHugAA0gZGtQopaO33b49K2IGQ60tOU6G3CUyLMr5 - nWyzbwZmELEZGSiCZO/OuheUeVG7PAMnmPDnnGuDe4F2CIDQ5iS3SbooKCYtiINpmNS478Eb/vRj - l8RUWEoACuGo2YzR3RDTYlzgiRW7LVjMYECq6MDy6PlvemNNKMoe5ySqbMjUtSfKgJIPPZxaZiYh - NDkHGA4c6E1nrNYO9Sz6BUbdxUDtJNO7H1U0zArBaAAJBmampIkf/c7anSxSBRhKiz4daWYrVlea - sszEZoq2pDVI9REpwSesuyibQQ1UIiX0BJYzTTf0yBHkHNQyq4KVWLroqO6vHqUr6ue7e7JQl8Rs - xgpSJW1vaA0MaweIGPP75PZPErKxFYSQ4kqMy4cfPvjxK6/75V+9PNpgMmkEUoRcx4okNbOCCUpt - Lk77yJmxIeGBYfWV7/oOvOHVh5eGh5ioyVL1Gs0gDYqgkHm5cwUyQwnc1dLe3PL2p2dU66kEYxIJ - yLGczWTW3P+pv79kVjORQkHK856CEeYxcyc0l6IEQinawDKYETk83O+d9/Irmoolx950sk0bWTvy - 4Mf/5po/+pPeHfc8c6rDSUNsgKnCGMygADaYoW3/iLpuhqoqmwECqIIVaIcEGabdZhsd040SGLJ1 - L4ZJYEpkZjmCCGSUzRgsYIBTiixhNp4JMCoyxmtDkua+++77zd/ON1//3G/4NzuveIkg1oVwf0hJ - Z03NBFFmBYMNyKxGmtkyddMzBGNTtPHiZ9jgm3PHyTkzMwnnnJumYea2jkAbttE0TVEU/X7/m77p - G9fW1j70oZ8+VfNNRNRmdTNz+1wioupDCs4555w768nWLiHNJ2i6Xu2mbqAVXdfy9Onmhtp87i5A - vF2up6BupR/NB5Z9ctM59/TFi8BIoG0YuzE86iZgNjuksjkdc1o3qYv8a6f+29XVojQfqG+3cN4w - e3q3c8455546dV2PRqPJbFrHWFXVRz/8q+PJJAOaE4vknIkIQNM0mNcdfqo3+bRY3JHZ4/t6Cp+U - mTQb2oVhhj/8kz/+kYffu++cPeJrOE4zJagZieQUr7rmn779O9/JgU1VFe1xLiJEBDNVJeYur3vL - h7cICEFKDh/93d/evescUawuLU8nk16vt7a2NhqNcoxP2ctzzjnnnHPOOeecc84555xzzjnn3LGK - omiXBLRrYEIIqmpm7fKYk1CWoWlSm97NjFtvvevd7373r/zKr+hEzaysShaY5bO9UKxzzjnnnHPO - Oeecc84555xzzjnnvnwQEQOaQMrn77z45oOfn+V1nYfpGG3NceyyJBpL5cA+e9Pfv/lF/3ZEq6KD - YEzMZJoZIG0DedgExkp6Ri2kmM1mvGQTWr/9wM31oI5AUSDVSYhJWRRGyIwuTNUYpPOUjTlSBYzV - SPGIAqRRwYRgBU+LMCv3Ds5/1eWvfeWlr11O26tmiENlZb1ejw1IakLUxBkRWISZAYZaRmZFUWwW - E1XAcm5zq05v7TrSrInIjJCVzIyNiUgKySlRFyQqQYsSJXMI0vumt77jr67540/f8peH0wPJxoqs - CiNTasMqusgJoEujyNmWlrA2tWWZPRzv+6fb/uHNz/vq2ZE6cCBrXyCzMUyVvYLHl6+TXt91xvLo - 7qe1NhwbpIYME6aiLT2au5ygWcxSSZtI12X2yuIP2/Kl3FWYNmQzMBUEpIj12dKhtcGsyRS5TSCb - xrz/+iPX37CkiTQFhhKMMkzMyOZJqLb5yF0TrNxdzM3a2J88YIuz6VCw9ulPL7/pDbmehn6lGQQi - KVDXR6699sF77tq1bXn7S1+N4RKqCkIQtGlpxuUG26TH6yVY825IP0epWGdTLhgrq3jJ8wttZrfc - FWNmkMGECEA2EwIJYoLQZnp3eyltL7csyBnCUmrGrBkVcnj/57e96jV5VmtKKAOYWULTNMXKCHxG - JUktdOVxj9s4gykIzE3OpRR/+IlPvP0lL+9xATVQqM7ZPcGNBUQIXXDT8X8+f3RWAyvAxosbNRHk - jAQUAlOVqDJumv03lle8JAijthHLJevTq/+v9774ve8xFEVTkrAik7BEBbireH5WI4YEMJdVZRsT - gNA02L6tURtseWnHFZVTMyGRUEjVQ9WDwUBERFUFZm3fjAyIWmyo7D3+zWGgAmCqmZiJEpiggohU - SkSaoVHM0i3v/9BwWp9nobIMStIvcr0hZjh4GDdcn+6+o0h1qqppyf2de1ee9Wzs3Y2KUGm0RimU - RZXWJzxa2kjNWk8O90J1/t5nv/0bdxeCUKKQjGAQAnHb/BioLW0vm7uDDMMytLWcDchQgJieSEry - WYboVGWGuq3aVvCYBk0JCghIgGgmQjlplTPGkyN33nluUwvBDMQAYNpGAMOgCgZp+4jWHpsn+J4R - tdcXAnHULKMhVpdrYZsHKxpt3dQz8/ri3BkqEzKBjcmYTKUbVFJmjppzIeV4dt64ueujf9Ifb0iu - CVoC1la8BQCo5s1uoHUn4Mldg8jYjIiYkCdVkZ53KV71yimoTBnKbJwpGRAUaFsoBvQJJYW74xhB - STVbj7m5/bZlAuW0GfIz9wQTfbo7ui1NdzZwEWaB5ZwdUbUse+PZeijDiSW3upOhiYGdO2ZlkRU5 - d11etcxCOMmK1go6/sRkRs4QgqoyuAI3a2s4eKhaXa6ZrBAYiMRMidtcks0R7tMcT+LcP6ftZC0O - QgJKqnJKpNZWwY7ZzHJiWK9IeRaAHSkN7jnwwId//eE/+/PnTfIgRiarlCkbg2BZCFBTKIEroWlt - xQBrCWu7Bi/+vu/Cy1+yPpQNQjYRgpmRkYAKMkpJsgmzGjUCUMhCkbIoMrEYyLTN7e7Su8+k66OI - TMeTUIURMf7hqm0PHhwq1JS25hIRYFAC2wnfSDBZblLBgEIIqZLxvu143vmpmK1kGxw8Mv7rK+/8 - oz+02+8437Q/m5WmhO6ZuR2Oy13v5ZisODMiYwJx1+9gQGjePs1zu5WQqdvf1v7UlKm7LzIFyEiJ - 5vnk86soz8fSyLKWYJBm1STInFnHO2Yy/cw1X7jxrmf823+57V//y/GIDhaWIcSBsgWVkK0oino6 - RS9QVcTUcFAyZmgm9sbTnS2IBIBZFzAAYB6qoWUV6lkEEwNBwn/4D982m81+7ud+iQlmZjCQENGx - IRwMwB7HTcyilMDiz9v6BaraznKZmaq2m/REShs455xzzj2ZaBH4atoO6WUAsABFzkzEEOKQc2aA - DUQwsJ7GGUULonVECFACiM0s5wzLIDPkDAAcoLD52PLTYNbbOece3byVm3/d7L1mBdD2SZlg2o6S - 8elsEM1ICWBTzBdYd5cGKKCAakoUirb3nDPEV1A655xzp42qtsV2mdnMFt8/XSOoH9vxs5tkDKAo - OMaYYu4tDad1/OVf+e+1ZjBMkXPGMTnF2Fq8uN/vT6dTACGElBLo6bA/rV16edxXJlNb/ItIyPmU - lVQmIs3GQmaWM5hAzL/4y7/0w//Hf1ZTEYkxBhZVLSTknLslo1vuZNVXcz4OZhZC0GQAiKhJcTAY - TqdTKYsEe+Chh9/+v3wLB6ljakdO2vc355xzBkFzt76KCW3L0b4DBZOAPvzf/9/LnntpOwsfmyaE - kGPqV5XndjvnnHPOOeecc84555xzzjnnnHNnGp5XZWy/WfxvuwBGRNoFM/PFRY9SHwxbPjbbNAlA - zgZAMwi46qp/fM97/vP73vejZmZmTc4ikmPNzO3HaUMIMcYYY6/Xy9kLvjjnnHPOOeecc84555xz - zjnnnHtciKgtmgEzmjtVdQ+c24qITAkZpfSff/EL/+KqP6y2VdnqrpQa6db6JQTkmCWgEaVe86ef - /sjbX/ftPapKDEQbFctQFdSxKTUMytFsWqPkM6pECQlnpNvuvyGW09SGcHdZB21GQglKbTpMW8+T - 2vyFtqZcl+amxqoAAmIEAUZoAx1SRjaU0qs2RnvC+a9/4Vte8axXbQu7bR1CpQGZNWNCxmxU5FAA - UchIu9oWZtw9KcOwqC/CACBdHCvpIxe3nMr9QwIot89O6MqeZBNwux2JMoQVBjREMkrLb3vZ/7R7 - 964/+PTvHK4fQLE200QFlJSkXY9DZiZEIXBu195EVBVSrGNvfOU1f/XK57yux6sEVWuIA0GCkqpl - 1aJXavT1Nl+OFtWiiMgMIlLXNbW1gM5OXnjyaY9ms7o3qEqQKrRJUgS0Yd2GXiEAumKo2RC6QKEt - mbltkK7lnCSUs5QlNmjyde//qfMOHa6YUPYwHgOSb7rlwP7rt1kWBRTEiyCiTMYwNtJF9iwZZJ79 - w1vi0sxUiEDGmmk8USKsb4Ttq0hgKcCMuj50+6133HnrBRecu/1lL4URQonl1WmssyWuqtQUMwnr - o/KSd3/HnsKQ8rU/8f+sBtmuGFV9zNataagI/csvqxpdv/3ukZCozTT1iKS9o01bEoweaZ5TRICY - FtnyxhgPHbC6ES4wTykiotRGodMZVI/rMTNdCKZKHCbTWb/fYymh9Xf//u9/8f/+wGCj6RFpjLy6 - bXnX7lRHIdPmSmXBYwAAIABJREFUMa/3Oi9GZl1cIQNKBhhEgDY13pSh+ej6+j1f3LHvPNq3D8SY - TFcG5Z7ZBDEWdQaQ15KsFEg1qEDOKFjVmM/mQuYMmLSB7mIGApjAAimQGgCPLODW/paBkmlveRks - ACtRMswKQgjTpinKsgxAyhSKE9qceVhXAAOx+y9SDmSIEXX6/E98aOnI2j4uypyAaLGBKVIjEnDg - wPQfr53e/2BRcRz0ynN27X7uZdizD5MZVkdmzdSa0B/ERNPYoN+bEq2Nlp7zfd+9bxDAwKAAs4Hz - vPnZfNmkWBw8Nv/BlhL2BJX57jybjwb3FGPr2ivdmlq35Ry09uKkFnIeRE1339PLKeiWcAfrLgjt - RewRoXF2XGf1S2rvJs1MQcohDAcoQmRmnQfZ2+ZmO+cePwXPh1SANmwSKBQgy3U96FXTerJXgDvu - v/uP/vLZ9SRQNuijnr6LE/DYuM0THgRhhFmORa86UhQXv+2rp/1+LoWgZAKwor1VUCj0DLqRfJow - UiMEIoIh5enDD/ZSDEbWNfDdu6l0Qk34Y2qPGUaXCZGJa2GsLCtLzpk8HfFUO+YqaUzGDChpJkVR - YLhkckiQTt+JRZvHjRJQWMLDB+TCc0NVNZZVuU3fNNu8o9gaPExn7biSOyuRWtfbUiUFzAhkQsZ1 - U/fKnpjUzVQ1F6VwGchSXY+Xct65PhkcOHz7T/58/Zmr9h7d2E6WSA0QRXsPbPOemhRVijnHnACd - oerJsNfDvfdg/JylXgWmEEyGS7XljdkUgmS5VxUFCjRqOfekaoKsxxoFgbsTRHDm3g+nWTMoC8oN - 4nT9M59bPbpeZSPQ/D6kGx6ZdxwYOLGphWgQhhqkgjaYTicXPucZsDSYTe79i7+4/k+v1C/cvPPA - A3tB/aoPZTVtn6vddWRb25vNu5dFy8MKe+xMcVt0lAjz5CU+5mLZHU+LP2/7Vu1hAUBp8/vuecVQ - xTyaTctpuuu3fj9df8Pl3/nvexedv740OlBPy94oTpui6B3Z2BitbpukpmkiiSQyMptftZmgINU2 - hMm5s03OuSiKoijMTCSMx+PR8uq73/3dzOFnf/bnmGEKEUpJy7JsmuZUPW9ZltPpNISwyO1OKYXg - 80TOOeecO0ts9ivmMzSLniIXbAyTmHMADMgZZWC19s/o9HyFJlRt/ws0zSmgGA2W2o0jQOe9IaJ5 - b+xM7dg659wTJfOmsZtkaUdvgGkDCJQtGUmptQqRETLs9LXP3YI0ayf+ge4CIkpsYMQImAQAasQG - yIktt3HOOefciWkL7C4+cNh+DOPLL7f7MTFzHZvh8tJkNv3rj3/iri/ew0zNo69dAoB2bB9Am9tN - RO04f8rpSdri04oe7at1s5gk7TLnU/lKzQxMmrsdTkxN1t/7/T/8we/735f6/aZpyrJMTQwhtAWd - fbHPyQksqYkp6XA4bCep69iEqqxTXJuMv+Ud//7I0aMJAKHXr2az+rFWbqlCGGbdehtV+8Wf/ZnX - v+bVW2e6ffmNc84555xzzjnnnHPOOeecc845d3ZpmqaqKgA556qq6ro+uccJgWPUj370Dy655JJ3 - vOMdmq0N6l4ajZqmyTmrahsQPhqN1tfXy7I8pa/DOeecc84555xzzjnnnHPOOeecc+6JMjNmJiJR - WSl37BzsnthhWM2LIMgu2Rptgct+rxg3MRNqnl13z1V3Htp/WbUt5yEMyJokBXDVG6CxPIslFxFn - VPayikimePeDtzUyy4wgSAnMQFYjbpNP21dKhq60XVtibjNdSAFwgVmDqkKOKAgWQRGr1bLFUsb9 - F57/0jdc/tZnLl/aa5Z4XJECQpmTtruRFMZkCWBRWBc5So9dv+LJqj3SpT/Ilj3Q6pKtusAGUiNt - g0JCDFW5+vxzr1h52/Zf/ZNfOBKpWm7W4joCjNGGf5OSZo1IwiBA25A9wkzW1vOh/bd+/uXPeAMn - IMEAVYNygChxSom9oKp7WvBIhqc1ghGqQQVDmsVQFSgDAASAgJwhgtSlSydQm+jd/iHatpQ4EQAN - QQDtBUPUWz7407sPrm9fWsLhhxEJXOCGm9duvLFXz4JAGKn9W2Be2lQBhhXWFdCe17cyMBQGAYhI - 1QCwWSBEIGaNk/XxF/YPX3wFQolegZxBLEvlnsufvetFl9dH16ql1Ri1nk431Kw/TFX/t//qz//j - 3/zlOT0FEvoDQF/4w/8RtV73f75/1yTuGaxQr4f1Q9i+k190+fThh3ljsiQMTcdGCmERp2QE3RKo - 1pX/Ipihzf6z9TXcdff/z967x0961XWen+/3e55LVf2u3bl0biThEkK4ibqvdRhlxhFnJONlwdUd - dcfFVUTwioCIujMoKGAAZVwYR2RxUHZ9KawujojA4I6M4zJDSAIEAgnknk6607ff71dVz/Occ77f - /eM8Vb/6dXdCutMdujvn/epUqupX9dR5Lud+nu87TBpXlnAumDo2ShbwM8nbfSw0i5kLwMwINhzU - BkQzB0FdTobVeLxVD4fMihBk19rBO+7YVbgITWf2qGowxb3VBTXUHFNIgdhfdFaahOibAxvjO+4c - La1htILKxe7I+csrd/3am57w87+M5VpGDm2HujDi6FgBsBU4q2OeMRggJwYxJQiY4Aq4wgI/pPiT - EGEBumv3OgQAReKJ0IYGgKpy2H+NGd6jejjv/HEw9k1TVHVfFXhlENruc2/67fOb5rJ2XFOMfuzF - anEQgxHaqJ//wr7bbg2Tiay66pJLdj3tKVhdAwnCFpYHCC0pCdfBSzD4tdEDIs/4+Z+/qKohgqKE - IJUvBDjrk5EKqwg1qKTg9XMNPBBnR4dTpaXoXaaSo9tnTh42Tn0no3mBCACzApzMzKCFhpVI9918 - i9NtRTcZrHdB9Aa8tCnprXgnkx5iQKEGYw4Fl2vrYBeZWXmuwZuTQwtmMicKWcqbM0uKgQyulDDZ - 3FMX7p4H7/yddz99orGZUskPFd+VU+1Dj9IZSgAZlftNh1dfvfrc595ZFsJqUBOBgcCAprKFdUdD - NHNKUBgAB0P0h+69dyl0BbFZOE6r4qSO/KymOM6XI7EXxu5dYIoxB/M9rcwMsgawRQaq0q2vm9zD - JLAIwAA1O8HW83zLioUrxqgvVXhnV4hND92zd/CsZ04JDJhaas9rhBY72//Gs85jjk6eeYyw2dW2 - qFJOL11ZNSEShXpYEcW2m8SuLZkulHJ9s8X1t37pN9863LdvdzNdKSV0LYiVAN6uH9PV7EPrYeyq - Uk2DVVP4r+zd994/PfSXH3vitS9YfsG1y+edd9+D+2xpuL402PTTMZOW7CdtCQyrum2baROHy6M2 - 5VnaNl2z8ZlWP7JBFKXAYoPJxn3Xf/qSNpYsqajvRUEAW98ZVlM+ke6sEQjMg8HmeMwNBFgfDVev - uhqfv+3+P/njyW13rh8a72Zaqp3zQdtpB3PUl3CzH5pJw3cUPtt/EGXMjupiwmaGO0qJT9cJA2xE - R9eUR5VgcTZh038Fs6aYi9s/URQ8jM36A/cf+eTk7+983T949c+uPfPJYW3pweaIW1o5PGlG6yub - k0lVVRaNhYKpkdpsgrBPz5l0MWQyx9Jfodb3Jubvi0gIQRUhhJWVAVCPN48sL6/+9E+//MCB/R/4 - wAeIEEOoirLz/hSmJ4Qw84ULAFVNoQeKImvKMplMJpPJnA3QfDof8yEaARAZTbDgQFUHKbgmhlNv - wYR9P69zOuSwINNSwRxARWXaBnXaEMYBq4BBCBEaAQET5wmeTCZzzpKG79IgGAAFpC+fAS7RGBqF - Fc6tKDhaLMBCDTiepvJZKa0NFkqrrgElROIgBQYVhIAIxK4bc1mmsSuBzJKfyWQymUzmFOO9F5G5 - cDqEAEBEYjyT7uT62qGqKeIwifzBv//3bQgg3l55cExHMh23dACdc6oKs3NBhf6wXWZmqMKiEgGG - qira9gRnT/rV8seZpWVmnV2NqmDie+6770Mf/vALv+u7yrqGKjMzc9e0VVUde93mRuQjIcZYFEVd - F4cOHVpeXlZYiKoal5ZXf+SlL/3UjTewMKICaKbHRN9euDaq0nVdKIU1KgFveN2/fuF3f5djpqBH - LdayY870se9kMplMJpPJZDKZTCaTyWQymUwmk8lkMplMJpM5EyiKIt3rGmNs2/akVxb5oEQoy+LN - 173tCVdc/k//6bcnKfiRrU0AdVGmu2vH43FRFPmm2kwmk8lkMplMJpPJZDKZTCaTyWQymUwmk8k8 - co4Vi9jxdCSZzKMnKCRdb1HWh+c9ac/V9+z7Cj/kMgdtW60qN40Gsok78NHr//zyf/QsZ4OSRYgc - W4xeuWKjEHQwKE5lrPNTgXH0NP3S3bdE6YjAjNhCHECqpGKy7cAgJd02RxNm8d6T9zCgKBA6DAvn - t4JosVbvau6LT1169nd+y4uueuLTrOMiVmAL0pZVnQLgsApARogEFTBUlJLHejGgSG+42365nX62 - 0xryhTmZQikCwSj2u24ARImTr8EoKnXgwKaFEBqcV10kdf0z3//Lv/uBt9+173NLFw62dKoGioCp - oFJTNc8F2JCs3yAEF7b04Ke++Mmvv+qb4KOgApggGsECkSJoy3Tihp/MOYTZtuTxrCaru89lkorJ - t7EuxdUFNEIVkpw2BEcwBRkUCiYh5iTZ3oGCDSxQsojJJjyW9x28ANLt31eOKkw9Hjxw5AtfkI0j - K1VhnQdDjsoafdxqBhgIC4ZsgzFIyUDMfcglBSgZzTCAbN593+jKTVxwEUCIEaSrF124unTF1mRa - rezqjG1pMNbQ1jWvX3DZD/3Qq17zGgwc6lSLRiWdso5c8cxffs2dv/2OBx48PGqbpZU1bB3BaLDn - mqv2fepGCWFQDNR3BhMiIop6tJFRqRey9nvTG/kgBJoEv/f+2DbFaITkAjQTTi3mM7CMmJ3hnSWY - iADkDSFa6QowwXVX/exPHXzzdc3mJlRrFlx9tXz5K9ONzSUuTI9pRPVmWU0yp+NgiIpgECZxLJ4j - 7Mhtt1ejFfe0a7Ayss3oQrs2ie/5ru/+kf/4MfAQxN53VtZbsaulFHAK73v2YsREoKTuJgIRxMEV - Cxdc8twzQ5X6U6QwdSXt2gVigKNIGFbPetXPwVHTNWU5nEz8ck0oCpxoxUQo6rovKRgQRtv87b95 - 51WtXtAZqVpsaViia7E1Bonfu/fuL37R379v9+rynmc/Axfvwa5dEDaCEksh2kYeLHVtGNfLW054 - WFz6ky89vy7hGIOiY44gAXFfpCi093aD02WjBNNeLaYAYl+O8TlS5WbOMNhS50oBMFJXoA/brQQj - JY0DI/Z64Eu3rafolr1vPvW70ucVp8gVRwQy8kDHVK+vKYjA83CBSQuac0Imc6L0BspUty5oRRkK - wyh2yw+ON//qo91Nn6u2NkZVFfx29M8+3y1sBwtS3pPDgAD1o6V72X/Dd7ygHY66quLQiGjqYIry - 3KwpijQmloddTyFEZGaFElTHDz6wqoHUpQG2+Rlnw6M0tB/nd0EK6piwtmpgqBWFaD63p5SjThwb - KymgkQAn1fq60akMmPxVa3823dq3f13NxUhCDIYaKYg5Qo0gNlM8zVTEALK9O/OYERmpCz83yRtg - BK8RDKi2zZZTP6RYUlhqtXxg/8ZH/tNdv/u+K9uWm40BQYMxiwKcApzbrCA1KEEBcc6imjFghdFa - wOH7H9y1Mbnl3X+49bG/ueraay++9gWo4gMPPDBYG3WshmgOPuo0tq4slriMQcvUUQSQ3D8GAsTO - rAKUoIWU1I6Hse2+cms4sG+JWdX3ObzXdTND2RBhxnpied24gS6FWBGVpdPox1sT/zf/7+e/8MWL - pv7itqnBDARoABxxTRwsgnQ2VkMLTZg0rDJPWt+dOW4LZ37Y018XB2R2lrf97BRt+/MWntDMvJ5a - ZcpsKaI+lKzz3cDxqCjl8KEi6H99w28+80d/8LznP6/avX5/s0lctOhcKU3TrI6WJpMtKTmyGiEN - ujFyOylztmE8t3cTUQihLOoY43g8ruu6qjAeb1bDwRve8PrxeOsv/uLDAEIIZseO2Z88RVF475k5 - hMDMaZFHDjGQyWQymcwpIa+efGygfkTFto1MZiDGaDRZW7276y6sLrxrcoSZR2u7vG9hU9BpWx9o - Mqh2HTyyUdfltG0G6+dvLS09wIylZTADlhahWeo0m8EIyBdKJpM5BzFC7IcGAcCQijxjMIwwmWJp - +f7h4HbgIBm81ZVolNNXPitIyQHMUdg41RyB+cGh3AVgOEKIUBqUtQER1oZ24Aa5hM5kMplM5jSx - OARNRESkqtnbPSeEMBrUm+Mjd9137yc+8QmkhehED984Sd7u3oNOfC6ouwHMbjg47mOaYkxT0yfs - 7V7AcPS9EnNvN5hMrayq0DW/9/vveuH3fHfTtaUr0hpO5qMna8iyDfqRQgDMxuPx2tpa0zRgKge1 - N/1Xr//Vv/yrD4HQRSVmJ+KDf5jrv+tCwUQGAl776le97KU/EboOJHlhbSaTyWQymUwmk8lkMplM - JpPJZDKZTCaTyWQyZzVd1zVNU5YlMx8b7vwR0Mc/dK5QBQyveMUr/viP//gZ11zjvR8MRqoBak3T - jEajoii6riuKIq29yWQymUwmk8lkMplMJpPJZDKZTCaTyWQymUwmkzlzMLMAK0jIaGDDp1729E/c - 9xG2whABsG2bNZWUga7F6tBNfCCHcoDP3HX9p+/4L//wyd9hDQuccxzb2HWhpoqdheDPKGOtkhLH - Tqf7Du4NS8rJn6pKBiUwqZEpwQhG4F5uupPeIwpmaAcBuKtGWGJfxE359me/4H94zg+6SS0Hpawq - IgkxwOBDI5YOBCsxDEYaWcVAxGLHRhRRIyS3hM3EE0nKoOBTIq17aJJsVJUZUJDNDJVmpJilk43V - HJlqUIHA87LbJVK89EU/887/+817D3/ZDREFBliECLFzPnjMQqYy9XbZNkzuOPClew7fcXF1SQ1H - RE6EOASNwswmM/NF5nGNmZ3tEZjPpIIwc6pJQtKqks5Hg68KB9EutgUnfbcBxFwYmEAEdBFOgBTl - irblNwT44MsQYLjhuuueaYroy6UBfAfg8A2f5q3xiKVtfSWw2EdMMoLOKwlW7mttTdGoU5WG3qTY - K0tSdZPiajkmGMdJxH37cP55CnAFREUxQBeXqrWuo6Ic3r2xNd6z/rSf/RmUIwjDGUppx9NqtAQp - CKgAcMQIl//8y25/47+pBIfb8WpdkyquuHJl7/2H7rirLAvvuwJkRsQPu2rTAKaoZBBIcIoCiJtb - bCSVA5uSwSLUyTwO9xnHgr0bs4OulrzShSNOL0sHhA1BVZUDKcORww6x2nPB5PChkTgjf6zVKQm0 - AHBvoNnWLXN/1tMTC96TckVupYsbX/ririddicNTNxrGNqwsrfzj534jZIpminq5KMoILEkZkALL - ncVVbwr3JiCnSqpgAQAmdg+pIycgEjrTYmmA0QAEgALxBuv5iADqcth4DAYFLMB7lCdgNjcgQlNr - b/v3RL0LmzoZ+OnqUkETwsHDGC7hiB9/8XP3H3rQjdxl//3XF5dcjGoAIkipIt4RmUlQmE2b2Kzu - um919IyX/QSEYQHDEQSAlqBZc4uSoXx+EQYAUIdUZKTkzeWFmKnGGEAEmPu3cqS1zKNh3slRHB0/ - Uc0izNgIWkHR+Oaeve6YzlfSfsu8LN3WA/dvnBBmIEKqMzum5bVVM4MaWW+TBQBSNigxALZzJNpm - JnO6IcCF5CaFkc7HL8jQTccXS4FPf+729/7pZbFz6BAAxbY6e67mteM3EGet2RNIj5K1pA+wDr75 - H+BbnncoGsSpOTCrKRsEDOPIvf9SLKlVM6cMIkLUQgltiJMtl4beQErWtzaAJE3vy/NT1J4nUIQF - EVR1TMJUIjpXQiefsTAQAWUAVq3vikZJokWzfyfHsZGX533b+XCtMQjoDh+CKvlI4oSZDWYmIjb7 - 2OIFlvN65rEkybD7NqahMCgQGEqggh2LC51r45rpUD02NrB3395/98eHP/FfLzcq2y0gKoCiaLyv - KM1jAGloAYjESddcs2t9A6S6lUiKNchW458Annz5rn3v+L3ND33siu/57gu//R+2IdauuPfBA8Vw - qV5eGm9OxHRZRn4yLaQwQiQY9bpoUQCIfGaNuBhBfFgzve3/+2QZAkQW4+On6auUYmUFWEgf+Q4Q - sOQGoe0IBjULKIDJbbde4LtlxIFLw3AmChZYtM58wf2wIBkANojCGUgJgDGUTBm64PQ+ukqyhWKJ - dw5/KyHwbMSPlKwfEeJZcufNLSOwIRI6BoAypk+ygT0DCAxoUA3jZabKTwf79Pbf+r2r7t6//OLv - mziz8897cLoxKJeXVkbjg4cHRRGiUS+eZ1HiWXmaY+JnzmzmuUmBbXs3EZmZxjio6+l02rVtVQ6I - qG1bEXnr264LIfz1X39MVQkoC9f6UxMCwHufft05570XkaRIyfbuTCaTyWQePSInME+XOTnIwGAA - StEIhr61VQVcDDwV9KylZTfZRMUkPD54uHROiOjYBXanDN9u3j1cGm01hwdVaVvTpin2SvlLl+7e - D4xlHv0JpChNAHho7sJkMplzDyP0SxANIIVEUJqJF0RaESlj+8xlvpJoNahThy1zcsqmYI6bnsAd - jF0UMUi/uBlbwe3t5HUXXP4A0IAdmEABXhzHeEYNN2YymUwmc04xv9EiDYybWYque7bfgPFoWGwI - ichkMllbW/vVX3/DuGsVABPmU439wvodn0/i8xACAU6cqhKITl/r6jGE071zC4+UJgQVZeksxGBW - F8W0849yb+cjBSIStVd3V1XVTpumbRj4bzfceOtXvnzJnovqlarrOgaVZTmdTtN8ynz1abZ3P3JC - CHVdNs2ExLmyPLS58Vd//eHrfutt9XAwnkwBmJn3vixr7731F/1xhnSISKO+5Ede/OpX/LyFOHAl - ARYjHnrWOJ+jTCaTyWQymUwmk8lkMplMJpPJZDKZTCaTyWTOcMqyBKCqRMTM6BfJpPUDsxszjs9i - oA42Qug8M7pOf+zHfuz/+bM/u+wJl3Rdp6rDqmzbdjqdzu+rPZ07lMlkMplMJpPJZDKZTCaTyWQy - mUwmk8lkMplzEFoUOmYypwElEHMIoSRjg035ij1PGbrVcdxgTs5PsCIyjACCEuohxk3j2BHFw+Nu - dW300Zv+4gmXXXlJdTmmrg51RXUEEREVMu2mTGdS3FSyYM1Wc6gzrzAmp74rCaRJS6Eplnu/gsRm - 7u7evIAd60k8CuIhLcUtGul61Q2/8/kvevrFzx7QSgr8rl5DCExcsIOxmSl6CaIxIkPBRhBN8UJ7 - 2WrvtiPrf5a0D4fR+4yYTE+nZ0aVfHpmYMABaqyAAh0l/awxK8MKChVI225rdX11vNFqG5ZlTSX8 - 6Pf85Ls/+Dv7pnf5YktKCewNHpQEpmQGIgKrGtjBczvmgzfd+cmLn/0i6linkdiZQ4xGBiF6HIcL - yhzNWX0xZHX3OU6q6MzBkQMiNJSR4SMQyXuMalDsNAjXDihn7lEFOPlzrZcXOlfBd5j6i9Wk20Lb - WIrzdfMX7OCD7KdSFWijjygIaguxwmyug4qGiN5RBNiOCiNYJBAkBXE1M5AaWRTTjXvvXnnW1dEC - u0o1MjubtiTRpNgXw2R199N+7lUYFABhUAZSr34wWknbD1FZoGRcVTC78pU/fcdb3rqrWj5ycP9a - 6VCV9VOvcvse3PKeiQoqVANFPbYq662NYEBhbMRmBgMZasCimhTsHIhE2KKa2dzJdOZw3Mb77OyQ - xQh2AHwTy7JAAXRbmw6umxSj5XJ5DZPN0dVX+bvu8ZOpA+9cycqLm0+RyJKdnZLS2wCFEIQBohiN - oAVZGQKm0/aGT1Xf8BxMWnaFn4x3r6/8t+ve9N/94i/APMzFEE2kZD76ojlrEdPeZA4C8bYMdK7+ - Bcx6+ZmBlW1l1zqKAmbmKAji0gCkYCGgdCCCn7TFoDwJ/eC0myyVw/5F6FDQt/3kS++87u3aTKZb - RwYMDIaTW798x/U371pZftIznoELd6MsAKAeQdF0PhTlmNDAlpYGOuL3f/hjL/vIR9cLYHUEFggs - BBIHs+CDK4RA224wWngE97b7HXvBBE0h+Oelis4uNcn27szJQgAbK7QvrLcLRzVjYigimISsVMXh - I3poQ8yMtsshBYwUs74QzeS+J5keAykoBZpk7pgH6yswFuN0S4AaeBaYEikj0LxuymQyjwglVYKB - QTCCKC5Cibv23vXuP1zbu3/oVKAgwixH20Iue0hv9zHhcb8qgdGIbq1Uz3zRC/3udSsrC1GcC8Ez - AwYlBiX3pVpfZZ7WoZbHJWpOCZub5L1LhTAJ0N9VRQZDryA9UWYDZ70EYgFODb+iHkDIjBwxDGYh - N2ZOOXN9bK+MTTGcmQarqzartAkpu58M/Zj0rJvTh/fdbgP0mTcSjGxy6BAAVmVTJphuB+C27f4T - 96LvTOaxJTVuCSraZwpTGGsInSOiaTOcTIZsOHjw0Mc+8vkP/MWFdzzwFKnQTZwr4Opx0zj19aCg - xs8vbAaUoKm5bR7KJSOJvL03DR0BAxK0TT0JK1SMb/7SZ+7636vPfvKp/+OLqsuftLa6umm0MZ1y - 7douxOnGUjmkABhMML/59QyMOW/gzuJ6WWD/1oPX33ixGSzKbFhMMW8zsFLqR+jxKouH/w0D4MDm - gwFDoSP7j6xWjtugAAlMoECIcKSVsMU0+JYcwQoQEEC8s1Fh85qLZ7Lto9CFEYv0BsDJAG54qEbS - duuIDMY7Xi5sBwAcEZEFRU0kbes6vxzqz7/3/9w13rr0Jf9yurlJKysbvjsy8evDAUIEjI2jKci4 - d/TtlCJnMmc0OwZUY4x1XTeTlojKslTVpml8DCJUlpX3/rff/rZX/NwrP/ShjxKh892p6hQ450II - AMysqqpq5X20AAAgAElEQVTxeFxVVQpkkMlkMplM5lHyeFaOPWYYYEkGO5/bUYDQEh40NLCvbG3U - wHgaA2IBaOf59M8n6uZWBKzrhkD0foLJBGjS32I/BWyG2HcTySz3XzKZzDmHAYgAC6BpYp3mq5vd - hkYWfHJTPwcMAAdPAPvTm5w4GzmS2ZImAG3AxgRbQAMGZOiGbZgIeofoaUxQJpPJZDKPb4qiiDGq - KjPHGIui8P50NgXONsqy3JqMDx48+Cfv/0BaXqv6cN3GFDU4jfY7cQDUFCe70OWMol9WbDseadac - i10AQEDX+cpJG+IJNOBo4cnOr8XUSWeGqqqCCf1dcnjHO9/5zne8o5s2RVVpCD4Gke37D7O9+5FD - htIVXddpjNWgbrrQdO0NN934sp/8KTBtTqbCUooEjTHGruvoIdb0EFAwxag/8L0v+rXX/SqDVI0Y - vm2dy7dEZTKZTCaTyWQymUwmk8lkMplMJpPJZDKZTCZztmJm6b5X55yZpZUDMcaTuK9WIwDU9XAy - mRw+vPGSl7zkD/7gDy6+ZM/W1laMcTQaNU2TBOHp8VTvSiaTyWQymUwmk8lkMplMJpPJZDKZTCaT - yWQymcyjgpmZGaQMMo9dq7su23P5/n33ESmSAmJGCnbBUrSbvhoEJmqBOLB7D9z2sZs++EPP+/FS - y9iglhKsnbbiStiZJnnQSO2Dh/ehIAWxKow4pZJm9u5EH1ZFARhBe6MowxQEMjAgsYwdlnnXKKz9 - 8Pf+2OVrTx7osj8SBwPXNW2MtjJaDl1smmYwqvog7dR/XRRESQzHvbeBFDYLKW8EMsK2lUZmlsnT - HtidAoD+d0jRh2SZOSJMGUrm2JL5kUfD1QOHNleHqxK6aTNZXVptac8PPP/F7/vI7++f3ksSiUOn - USiaoeAiBGMhb50ma57A15PP3vHp5z/n2qWC45YGDVKyIhpIVQlnkvo9kzlZcpyacxoDDNp5KkUR - pZ3CM46EL//O7zZNQxesX/OyH8ZqLVy22jqqyIAIEgUQwUTgWSmvMbLRzW+67vLpRNHJ+csUA+69 - 996bb7zEyWGgUytHQz+eOIKlisqYTIxAUFBU1qRzhkEMDN2OfkVQg/VKX4CN1MSgpk5kq9uCIzHE - CERGG4h5OjmIiy/bT4NnvPK1qAYoAYZZUOKCayghYjxtR8sVABibn5I41O0XJoeeUyztWdntx0cK - YVxw/vlPuvz+L32ldqwgUhDMEc1DspId60YlS95yAwxCiGQGRDNnSuIsdGSRDPPoXWcUx18rGjpy - ZdvFqhRnBKADlYPymT/3M/vf9vb9+w/uGQ5EgEE1WF2lrkMIx9vsjm1H7qtoMkiEzDTwILKkeSIY - FNPp5p1fqS48H1deQxAqyLY2njwc3PC6Nzznda8Ha1E4BSQCXlHJWR1bLrVbuFeiKSDY4bQ66tN9 - 28sc8/m7QQAxSDzogcnWVUsDAFGNmbxHPRxAPU6waSLAoKwNCKrOMVUM30BsPN26dHUpHJzGrpO6 - ri8875prvw2DYR+0bjBAp7Z/QoO6Xt+9gXhwwFvLy5f/1E8j2sv+9esgAgc4gG0avFBRwCkBRREA - SqVKr0zsG/EzCXfvLEwHJLUsKSm9Z+8SQc7mayBzprEoi0/9qIQSHJOpcgg4cIimHVkvtKOZdm9u - qpt/cYeM/gShvoenahaF3MqqkszqIM4WukzmpDEgMIPUFhornfBKF8sHpje/5V3F52+7lKwSiwFH - gq0uFTr2ZHN15fEHOpJyIKYhGDoBh2hgdCvVU57/PPfsZ98hRVkU1DYkjoycGqCBAUAMZBx5Zik9 - ExuVZytmxkRiaPcfQPDCkEhmZgun+tS0NWhH0W0wZq6XRiBSMyKKqmSn6scyD0Xy42okrpeWxzuP - 9klrOBa/l0Iwz2TeRDAASgiMSDi8/wAUAmM1sKVhblWzY0Zi+rHWfD1kHluMwNr30cxmfVBT1/lL - XMFS4m//y5fe/4HmMzdfZWFtZJiOAXShQ8CorMddg+CL+XVroBQ1nQCKlUMMXSpdTUEOzCiEuyZa - BFNRiBvAXzz1zX/8m0//7SeveeGL62uvXb9ifdMf0fNW612rftxMulizAIiESDBKhbUywIZ4xmQZ - JQRYQcAtt7n79g1NLEYiEHGKqy99e/4kU2yAj23hCqgPCgehcjCabokPhdSm2sUuGljIyExRzLzd - SKptAhAZEYujBjNpdyQ2QKDzCZ6+YZMqsp1ubwBkypZmj/p3Fv602DGavaks0EoBGBuMlEwJKGY9 - /Qaoi0K9L1xJFjfb8RXr63f/2ceWvay+/Ac3286tr9tgsLk5Xh2tBj+NDGWQkRKzPQYOvkzmlLCj - X58ySwxdORjGQmOMSVUizg2XBpPpVghdjKqKN7/5zVtb4+uvv2E8nh5nq/34xIklJYQgIknsEWMc - DAbpeQ4xkMlkMplM5uyAFpo/BgHUYORg8LAJYgsAmCRV7GMiz2IgAh5goN5u+ZWAIs5WNxJACHmY - N5PJnOsQ1AGAdhFGEAOBHerWOlWdsAbFOK0lAeJpngLnhcf5D3mgTYtxSBDRhgkhKtkZubwrk8lk - MplzhyTqTt7u9JKIUvTbr3XSzgiiWlHV73nvuw9vbhjAzmlUiCAuCM53zggQEYMICDEQ6Pnf9vxh - XTsmPqsXGRprfw/bjseCxWt0xF4jot58yxduv/12DfGrb/Cr/mD/PyNmMJuqbwOICSgLCb57//vf - /9pf/MXzd+2WUjQEVS2cU9X5VOmivTvz8KT8bmZt21b18FM33fDjP/HSAKS2eNQYNAIYDofTSUN2 - nOmvlAmi2j/71n/ytre8tRARkAG+bQeDgffetldqMR56BU4+WZlMJpPJZDKZTCaTyWQymUwmk8lk - MplMJpPJnGkQUVEUAEIIzrl0Dywzn8jyIgYgjmMIIEymE+c4RL3lS1/5pV/55d9621suuOCCwwce - rKqqLEsAMUY76YAjmUwmk8lkMplMJpPJZDKZTCaTyWQymUwmk3mckcM2Zx5LoiozVKMYMVWsxdVP - ecZnH7zezIP6xRTbehSg8cGVEIPAqko22+lgNXzq9k88/ZKv+4aL/nFJFXkRF9vYFBWDnEY9Rsf5 - NUSJw74D96kRiVONjplisilEAMYBYAWzAVA2BIaByVip3xFOJggq2Zz48sJdl7z4n79kOZxXTVc4 - VMXATUJbVFURcHhjWhZ1PVqbtBMqemMCyMRIYoobz5EQmbk3SvbaREGSYwA7VQ5JLn6aD2cKcRoE - BtPt0shkOzkUlAykBiEsh4hW27JEODIZ1tVF9cWDqv7mp/6zT3zxo/u6u2NtYFhyihizgkBqAEEV - xGhlc+/mnXfuu3Np/cJCKotRWQOiZNlxBgBgdi5YlfLVfJbR23P6/81ebv99IepQKr5NmVD6CWJA - Gz7z9n+7/sDWpVRCbd/efVBg2rqRAxfpw72alxhIwiUYQAYyRTs9T20JjKrUjQ0O3f4v37ZC5MfN - UlU2XRd8GFaVdu1ixkhCU52ZfpKcjm0x8hEbIEyREFXT7ggzDGymFkM7gYWIgoXFCjQNSqHz93yh - mTznX/0KygIMEzTNtB5UDDaDGYjRe7s1+cgHCFMMhi/4jTfc/KtvrLo4kgJGEMUTn+Tvucc1MbSh - hCSrVNIxzg56CuHUH1sjgxmRRYMCJUEA1SAhIHQOhQIEW44KH3GctZ+posFcETv7He1P3GmH+19d - rLRdqcHXZaUGLhhAq6GUAuKOhHDh2npoJkGpGg2rpzzxyP33DRlOAYOBZ5q6owvERY1Wsk6bIkRA - U8Q0s+hLx6waJ+HIZ29ZXdqD3ecJ+YEj3RxfvryKcQsuyBmLIBicAPM8sDPAFoDZEZTtp3hMjie2 - UwUsmqcX3uiJgOtTJKDUrIliSlCjmUN4e1NqBmVqXYW1XWAHFiV4pm/5hVdBHMgVTB7gVJDTie1s - /1OmFqmQfpkyFRV8uOaVr7znzdftLiuxAl3Da2sIHo5gBSAhkElZXLjShnio80eWq6e9+tUoBYMh - XAGDes+OYCEA4moBx2ROVRQM2/Z2K/pTxTI/avPjcNTRs2OeHHVwM5kTwQAltWQVtB0FIkP76pVF - VSxEHDpUT8ZiuvBtAsAGO7qU0ZMudohgBkMMFH0xxGiZiKRPigKYd/n6dJ5B/dhHA6MXAaYyKCkG - t4+hmBZqZYSYHi9mYt9sOC7HPULH+XD6OZrXzqf+8REdCFOAdbuxARgbsWduhIJAwVg876Qy65Yr - HX9nv+ZY39oxkBKUTAGGuXmQ/BTGlIwWWkHbmejYndqhh+yHhBYPy7G5r79CUlsh9pFStYyoY1wb - T+99zx+F62+6ktVp41uQYKVwW1t++Ijrl4cK5TlvFc393wYCoGTjQvZdcN4l/9OLNuvKl2X0nRPS - iEJKit7I5vtuBAWB7FzJ72cGxtGCIykttocOcFAHEEHnt1RRauKmp3yi2ogUk5ePF0DWYMrCS0tg - VgIRIWqSqWZOIbP8QjAYK5Ia01wQYFgby8N++5Hy0Kdtx5kXw/TQQagKymjEZswEoqiRLZWQakSz - giJn9cypggEVA6BKfZWk4FmlqQTAmNOgOGzWnIpiWkUtVAc+DJRx4003//kHD/3d31+4ObkqmDTj - CJQlSMCRmIvQtQUtdM1nuY+t3zA7mgYrDMQIAUWBqJh0WhAKV8SoGrpKqAhxbWJrA7r1j/5oeMsX - n/T9//wJz/26A5PmgbaRwaiDOSZJUnHCLMQ2qyE1DdjSnAQvuqWxPd6ieHS5K212wU6OdFRTzp3/ - opEqoM00fPaW8yZhRIgWAThKx2S+CQPoqO8+IkhTBz6qOYCEw3SrAIQlhOC4JIkWoyqcMJOSwSID - oqQwS41Mmc/ipB46I5IERidsAJuIqVOIwpmJLg689IciJdtox0vacdhp9mR7WMbAAItpEurN9mj7 - i7UIghoQQ8eOK0APH7qElh740EcOyfSKl794anzPdFrWyxvNVARsMGMynY8D5QI0czZwnFZlVVVb - W1tmlHQCItI2nY8dcQouUIpIy/6d73zHD//w/3LTTZ8N8dT4NogoyVGY2czMTESyHyWTyWQymczZ - RD+V0L9icDQAFECKKEAAfAEoWhVmpxpnI8+n/pEMzKJQ4w4OXYMScHB+Yf5iO5pTPx+Vm16ZTOac - hRefWFq9A0NXSzlFBDpfovNpNId1Nk52WspnKCGyARBNY1mkgIEAR4gGNRZnMQjIHvmcbiaTyWQy - mZOCmVU1xbolIjN7fHq7F9dYz++/MsKkbapB/Z4/eq8BCtIQQLxj8fnOybB0PH3wTAzTJ1xy8Xve - 9Xtryyuxax+T/TiNWFonRUq23bojkqab1vUwRg/w+973h695zWtbU0732M2hE5gYXmwAErOZIQQQ - AQQzMDe+Y2AS9E/f/4FX/NzPbm1slc4NB4PJ1tg5l6ZKjbIE+gSIMULYOed9uHfvfT/yv/7o3v0H - +iNpKMuy6zoA08nEiZtNivW5YD4JTcDXP/NZ/8e731WXJYPath3UFVkxmUxceWpWBGUymUwmk8lk - MplMJpPJZDKZTCaTyWQymUwmk/maMJ1OB4OBc05VQwiz+14feSAvBRCDsoiquqIInRehqPbxj3/i - N379TW984xuHw6W2bZk5xhhjrKoq3WybyWQymUwmk8lkMplMJpPJZDKZTCaTyWQymcyJkkJnfK1T - kTk3iTG6gmPXCReVq8ete+olT691acpNZICS5ml7UUWE1aXARwZ1TayW6q2mccPxX/zdn136nVdd - Wa/pVJ2I86SqPgYhpzOFUnIlKPWeBfQR5DjJLNL2jU5jiBgjNWcHNw902jCj874qyugDk4PF5DIw - AvdxSKAESt8iR8ZASAeElSVW/hA//dJvfOE/+r7dcmEVlzB1VTXoQuuc850n49HSUgg6aaZFXQbt - ADUyAsiMjMSg0KSAVAJ6XzjTduxTwPqX294JPlrocDrofeEzu9nMKc7bpjNSI4VxG/zy6nrbHIzB - r6+uTNvGey6r1W//xu+6b//e8QOHpkXoqikY6qE+EBUEqMI5mEKEuy4EmXzx3psvXXnKhdUTtIFa - a8GbCDPb4y5iUGabx8oF+1iQ1d1nEwbEZLaeBe2Kcx9hhFmERCIiwFTJHCJgDWKDremNr3v9RaOV - KyMsTApuuAtrtfv0237z61/7C/BMbI3FqhBCgIGUhWY/RoAGtJs3/PZbr0KwtiMqmAfYPNTccUfl - jSDmYw0BQVu/Hc0Kup1VjAGw6oKbZ/5HIljUWLKYcAiRDCBTAggOYCIIB0dkQWFFVXWFu2O0/pxf - eDkGIxBQIsRQD6q2a105IALJQmCtJKgyoBjAAiQ+/Zdee+tvvHlZCa3BGLt3nf+UJ97/mc8PmB2o - VV+RU4SFMF5JtTgPsxUdoGYhOaIjyFCKHLh/7+699+LKK4yJTfdE3Prmtzzl9b+CyhlJjMYCgim8 - wQROZ0dgVrGloOHF6SxhkhuQF0KOJSUhQMxFZekTAgBDqhE83PDP/+Y//9g3Py80oa4HaBpcdhHt - GurGhimYq2DcIYjT0qKGhZNqkNSEsL6llWpNtyBoRrJOGVaK6siDW+Prbxx96zeTYxeUq+F00tzy - m2+5+rWvxRKIDWxggrFvuqJ2gKbwucmca6A42zL3dq44O5KntcTWviGyEH/NZueSobPDCRgrIcAq - obZTUBmjCkUUpM1GasNZakkRGJYkteT4SIhxzy5ceBnUUNST6TQsr6KoUQyBgmyWSS0lY+HkPiJY - 0vEJYEoOcEY5wIjvcbI8WOeDByoVKCCARgRCUXbQbjQ4zLxRDp71ip/ZUxWoKziJxAYIgYsCBhC7 - BUU95try7RQyFk/PjstyJ/SwL89FUh/bzAgQETN7POz1Y4nSts5TlNmCbqs+lY2JxHeq4opqgC9+ - bncY1yGw9fI/gBnKCp3ZChfOz8l2EWLKzRRIx+Kw5xJvBEt5uxeNR7DSOdILIWhy+OnMgCgWYGaO - gw9sjpkh4Maf57WYtFBAdYeQw+ZBTGd1zI4f0L543K4LdPuTtvh+73PuhYinQ98N7Lgweln4wvvJ - 1WG8nWxT1AM0MYps7F4+ZNSpFkWFGAmIpGJWRGUgEgJD6cySOyfZdLKEGgWCFhqgCnOwCgBIU4aS - frQlVesKsvnZnNWMUDpa5q0U+mYAKRkrIMozlyfSGKWqWjRmLlhcCEqIJsZqvjtPysGhjfvf+38d - +qv/cPlkU+KEBYEBg7U6hJAt3lpz/BxHyUyeLh/MWzZIg0spz2pEwTCFcGFwE+1Gg+peitf81Cs2 - zr+kHVbo2qJ0RNS0rStLpbSl3lGulJoZuuizzzxKlMBcqe8GaPcduH8ghfmWmch6ea0YjKEzqepJ - VL/99c+KeTvfGCBH1ARf7T4fxFFIrb9VzCgbm08tfU0dCaAAgMAG3mLltV0eAYhJnKtKRHScGuSr - bX8+iDwfJCUD07aNCQAbiog6aNlMcfggr12mrmCjGL0QiEyUjBg0u1mQ5ik/F2r5zNcKYXgfWZwQ - u9gRK6RogkdBBovKDAhFjgYrLIoYSNCwV2hRogphedItTTvceueBD3/83o9/YnDo8NVktZ+S94Vj - UUVIBm0zDQJi9LX3AkZJhkOw1urkJwsoCQgogCKF3o6ejRlANJARUHTNJS4e+fR/uuX2z1xx7Xfs - /p+/f2l1dA9CrMum8euj5cnmhiscl8Xm5rgcrITOg8CmTqFkragRFMyWSl2OBAClAtDISLMFJ3hE - eT5XVPS7qZERuB8dkpm1OhKMINAaescnbzyvZdd1nPoMaqk7nbaoogaIMhsR7ISyPBNH9Zx07NH3 - 3qMQHddeOwenFiWicBQjjGAwEIHJDAxhZooaLJpxFGrFTcXGwk1JQcTEmQmHMOjiQOMooAzemTnq - C7sQwISiqKIP3qKjIjio+YExqaqBiAgSNAhSkHxNLWGjqBAYcz+uptuN+flFkxTC/XOtCQpWbS4I - 8a6//OB9cXLxK165Z3n3IVPiUjTCrHSkqjBlYc395cxZwNGZPeWAEI2lANB5DyDEKAUDEJHYtoZI - xAVTPRq8612/97KXvexTn7pBFa4Q7yMzM3MI4SRSYzN15FyLkoahYozM20Wl974oClVdfDOTOWnm - o51f64RkMplM5uxnrr5Oi/zmD0Cc/VMAqaFkqrFD/xk7HY8GRI19eiIM6ICAoL21VreTvT3+k8lk - MucqqrMCeGG8Wxleo+8HFnVeOOqsf3CaymedLeSJln5kvlapS1PMqug8ENOkUC6hM5lMJpM5naTh - 6DQ8mB4fh95uAGpg5hh8IS56X9f1tGupEKmLD3/8ozffdmvqXrITDUZE8+ZSv/C7fwVVBUGEY9AC - +PEXv3hXPYjjSeHO+vF8NbCpkrHNVpGRkSlY0HVlISHE733RC3/99W84uLkZgaooW98BYClUY1GW - vkvjAPOVXEcv7pxPU85Hqy3OO+/pLo3++oxMDPu37/r9l7/85aPRUrM1jhJEJK1gtDQ1Pjsv6U6/ - xy2L+57CW1vUqqpC51W1ruuu64wFTG3Uqfff+wP/4vZ7750v0AKh8918Cxpn819MUKtKF7qQbpZ5 - 2lOe/MEPvL8iEQVInbD3nohcKcfeLJDF6plMJpPJZDKZTCaTyWQymUwmk8lkMplMJpPJnC2Y2WAw - mIc1DyHEGIlovsaXiNLaYxE5xre9sBKJoKowhM4DiLFf1PAnf/JnT33qNT/yw/8yRcGsqmpzY4Pr - 8quIu4+Nqpzj/2QymUwmk8lkMplMJpPJZDKZTCaTyWQymczjEjMksQgRMZGqcvZ2Z04nzBRcUCMN - NuDRefHCp+/5uhv2/X3HLbHGgLKitjEmAeAkRo0OUE+DgkJouMQkTvfRvf/h03/6L77pxRdUl3YN - ahmE4F0h3pQMVYRTiMIIkRDEksdNLRnZHIxhAkDZnz57txE6to1mXFZ0pNsqK1YNKilsHJMlF5UG - CQAC90E9nYNC1IzE2g7DZcSJunZ42dLVP/jcl19aPcFvHqkL1wl59QQ2r0LMgA8NAFfAYke9ukI5 - 6SaZzZInS48SgM2jaswWshz119MbRWdmqWOQGkRnMkz0Jq/erQQAJgoGURsmVECUYts4FBGVeCsm - 8n3P+8F73veFw9x1rulgVUmhVUcxxlBI7+3RgIoZiJ+79/pvfe614wMdd26lLCqnTRcgxWnd2czX - HNuWr80it8zeMRgRQY2IYWi77qyO7JLV3Wct1rsdk7HYMQgSLAhR1CjKaCNg0O4//+Z1l22Mv25p - tTt4iGJ0w5qsQ0VLzobjCSYe8DKozfHUwpAAAhM0gg0gEAPRYzy+SG164MBoeR2BMfWbn/v8so+F - RSQt03alwCl8Fkjn9QUDSqCk6AQAxJnkMNU0DtAQkTROIiAEg6o6JyFGCDMzA16NyvKO2F394y/F - 8iogEAbUtIOURVn1Ua9ncVUjwMQ01yGJQ1WjaaZroyN3H9itQFEhNvWei+p77uvuP1KrViiDdr3t - e2bss6OEehTne6sEMcToS8ebt9+xfPHFVDg2ofF4bTCCjwhGDiKEFE9KVGZb37lRnf07raszFza+ - aFCmhTcI6IXThKJ41Qc/+MBb3748WhJiOI82rHz9Mw9+/O921VXbeKGBcy5qGyJKwaLpMomsjmUx - GBYJOMD7dsR1e/AAbrwJ3/Qsjn5j2i2df5EpbnnTW65+9c9idYjKgRTGRV0CUb03R8xCsDjTY6cN - a//yMVvkOjtlc3XfsZ0j6x3VjAjV4WgJ4wdlVKPdQtOY79jmiefZZQAAPuhgeTh40hMBBbtua1os - DaeajNsufWpBfX1iu5yCzAmBts2yiGARB7Tf9Euvvfl/+7UrilHFHUIbC+mYZbS8EWw6qPep/4bX - vBZkWB5CiiiczvzOFHAyhR0HOu7ThyV3OTOnmkjKxmKczIKYmeaJyNSgYJYAhxD9wYPD4J3ZQvGl - 6flxS7lHA5EpkxdGUSrPr3vt/5u9QUfXIGcrChiRUSoEjaEhWF3XsbOu68hoWdgeOPhX/+5ddNdd - Sz4A22eBFm6cYNs+PJy6sqRJ/GzUF8IEkKkY0PdyOX0g+TN4oew9fdDOGzBSIgFInzAYENkiA0YN - GKP1J3/788+/9p/UayNvZExIIVqpD8qq4MDsGWea0D31oZCOf3/xRsxNjQAA5SCqhP40aV9h0GL7 - JF3qi6MSCgapGKfIqjoLexpZOTkj1aIq/n/27jxOkqu6E/3vnHNvRGRmVXX1rqW1IASSMIjFCwY/ - 4BlvzPjz8fsMtjFgg5dBxn6MMeZhYMzzvPF4fWPAeIEZMGazzTA2Y/vNeBs+2Bi8YRsGsIQAAVrQ - 0lKv1VWVmRFx7z3n/XEzq6u7JUGLrqa7db+f+pTUVZWZkZER996IuHF+gIiIr1U1xiTiJu10tHMp - jteXQhisjO9882+tvP+vLhmvLfWtSl6leSOhebnbL2mVzuLjcxr7qY9gcAXrKWqEq6bqDjp+zHc9 - m69//Pru3SEETUGVmTnfOzQfMCgwj++eLUxxZjEBnAL1Lef7rMw2wnPOuM1DXyMk78Aup76XErFb - YX7ehjXvk2ReWQF1wk0NYaIzsOZPfYaTfpIPhZyiigFdZ2YGVjImzcXI2bxCcwuW9/dzrSUvzkdm - 1gxGa9POg01TLTxup37QTPqOvCdi0wREYUeJFeREU+q3CbmksrK2LQT7zOfv/MAH7/3A324/unrZ - 6nQxJSdkFhPQRz3xXLji/tux+ahsU7b9A9iUXgZ41aXUua4Lfbjzv/3h+OZPPeHVL9t32SUHiZJz - k8l6VVWqmvqwtLS0vjJeXl5uu7HmIR/ByIxITAnMQCIo5WzsPAD7svavvKvSpo5CaZbAxvPobjar - EHH4SHv3gbqLjmFAVMh8IJNrtdt86EOzfOsvFeVjFeNZIfhNY4+kneeqV3VcOcexawPQeLBJG/qY - wA/GFTgAACAASURBVL6KTsYp9VVNo4WVvmt27Nh26cVL+y7es3sHb1vCcIjKWRcJwLjVAwdW7rr7 - 8D33rB06GFePLXrvu355UFcxrU+nQ6oGzbBt12KCGaKpJ1IzMhM2J97mdzsbzYbsBjt1KPgg7xSA - QJ1jTv2lrR78m3+Y1G+9+kU/rDt2H3MeRhpJkrIZGcGRCkKKjsClYy0uFKZaeW9ACMHM+r7fe9Hu - X/v1N9zwohffdNOnDYkYZhqjAuyci7H/4k/6JaiqKsbYdV1d1zm3+4w8bVHkAHhmzhOMROShpc4X - RVEUxXF26v/qxv+nE36x+XhQt+z7CYsyi/k69VC0HLMURfEwYPdzzkvTiWcCcXKLuIXt8/00vZuu - +D/AAhdFURRFUWwJI2hS732KgYgGi4urR440o2FvCuK3v+udiUAMER9DABg2G7jMZsQBmI9yiMhg - MWrjpGF+4fO/1wEionbeH3kKAJjk+fUGsnzXjlYsYGq73sy2LSw+7/nPfeObfxNAH3oCjElTAiFo - erCJlae1eggwU+b7Dh54//v/8lue8YylpaXVlZVh3SSk47HfBLIy/+cEqhpjdCwi0mvXNE3seoOJ - c5O2rYbDf/3CF3zqM58NeXvdPFfrlM/OORf70PdxVFWx76/ct+933/mupqorccJktnEFusy3KYqi - KIqiKIqiKIqiKIqiKIqiKIqiKIrzG82r1G3cjQggJ3nnf+a47vvL7X4Qm8v16M//3C9esveib/3W - bwYshDQajfq+Iy4lWIuiKIqiKIqiKIqiKIqiKIqiKIqiKIqiOLfknPicjqAaAVqyHY+5+PEfu/PD - 7EShJLBkQiRcgy3aFAAsx2/N8tQSaV9NP37bh6+9+LqnXj1axLbYxlka0vFq/rQxv4IsxwkoSGFQ - Alt1Ft6sEpS0TZ0issCgESBQMjNiMvAscUwNs5QH7zCdoGqoqvxk0m5b5Gmv3Lud1aXP+cYX7PH7 - 7CgvDBZSG0jhKqchbppGsjnpbPbf/B+bBao+GMP9BlOdLcZ4kOops+wKtpy8hQhKgIcxzLFBQrNc - 7/zWr//2P/jwbw+XRtOwbgwSQBUAW67IxzAmU+N4YO2eI+3BAV26VC9aWCdLjpiYcyJY8TBEdjzG - /gJQ5o2dT2jjA8uboEIIkZCgGmLlvHDda1/lol1x+ppvePoLv+nbHqVxVNerK0eWKg/wJK6TcOx6 - ocVLqsVPvf43rnvNq8E67bqqHgARRolgAprlIRtF/exb3r57rV1eWgYI43VMx93+g800eAbT8eXB - PL0oMQFMUJ4vL8+zjnI3k1hz8BAbROFEUkzIsccpASCQwcYhXXLtozFtpRmpqt+2/Pnp2jU/839j - cWQxkHgoYFy5JpkmUoA9NoK61cABEIIQYIghOTIsDK5/8Q/f80tv0C5yahEJO3ftvfzKA/d+LMKk - YvQn5f0kylGg8y4zZybJPNs78+IO3n3f4uEjvO8SimaWKuiHf/n1X/+aVyMGDAYaVJwHEPreV/Xm - mKZNqefnUjojMzxhcTSu62GIcbyy3AhtX0Lohss79OhYQITgjZHmsainwwidgh0hmrMgbTx462d3 - P/JS7NmxtLg46SZ1kj3SfPo/vv7an3oVBMFzmLbDQaPEVtUMDTGQEwcmI9FZ5GISRLhZrvUWr89Z - dNdJtcMAATY2ftjxIeaAgL7rug5O+r6tnMfa+tDXSJP8N2KaH5B7mgiMY1zcuZyHMlXTHCXefvEl - ORU7fzveJpzm+lcgQntwLWDJeVo5zJtQ19Cu2r5zbW2iITk/jM5WPG6j9un/4TUwXFY3YAd28KyW - s8TBm1PZLI8Uz6XtuShO9EBbp5HOEnSZJQExHbnvYKVbXl6RTgq6d272w1NKal4Yo9B5ovPmhoIA - VtXUJ1XUdW2cJMRqcXHtM7dcffcX9kzGOOWDU8xDEDFL4c7x2JYPVo3ngdC5vzaa/2r2QLAS2MCm - W9dgKVhJALjEmxLHNXGOazU2sIGgRogMBTupV6Otjo7sfvLXDxlHQk8kfVRmByARDNw6KKR1bIQ6 - BXfOHJ3axps0JYBs1kcZDBRBwRhGyhaMlZSNrWdJNBsW5hDbfAYkP3Y2mNyIWjEWmw1SE2tkTayJ - DQgUUi2uIRdVIzRZVEMwXWPsvGTv4QN3XtS3S2048KbfnPz5X120cmxkKbHm0x8u5bDx0y7oufF+ - GQKA8rgRUKCuZNymGgZObRxPdiyvP/4xzXO/s92xLaWUUvLei0i+WUhP/ATzCFMvjB3+XMIGAzgZ - DN1kCjveyG4+z2Tz/OczzrnZ2E0BIpyJFOnigczDemedBDdNQ5tWOsGgW9utsgGWMJ3AbB76qwDP - A4U3/dnx0PGieOjGXe/AVg+CpkaGoesgVQTXzSglMzXH5NQQp2ZwIkqBp2vbJ8mPA266+cD7//Lo - Rz9RHz180Xh9SXgEM1iXwAx4pqS2lTXHydipjIhiDHb0iL/5k3//8lc+5d++at/11x0ajCZVpUmj - cm8hMZa3Lx5eOVQPB0ocWfMVADKIzg6llTQxkyFRLu9+/MD8dOV9VwlEIOM8bIPOzmVtrBCvaRh0 - /c67urU1MjUDCyyBaD64sFmONQgCO/3q4Uy5nZi9QQBgBgxmBqmS9mCOqZ8AlUckeI2Vg7jqmMgB - 4fW9OxYf95jtj3/c9V/9JCwuYmkJ1QDEClUjFUrWMxkHZU07WHbEEI8civsP3v7Xf3f3P350cPs9 - l4vf5sxiq10vQM2ICmYmcqwRMNM4H9yzQdSQCCAT0xNOF3xpUoxTYGlhoT0W7vrv77v66mu3ffPT - 1paXu7pRIklw5KAaDJ2kHmFkvrShxQUjpcTMBqSUmqZR1bW1Y5dcctG7fvsd3/1d3/PZz9/BDFVU - lev7eKZyu/Pr5ioGAHLEcj5UsfM/6qP4isu53bkuxumUxiiKoiiKoiiKoiiKoiiKorhweO9jjMyi - atr3UvlqOBgfW7n9jtv//M/fn6dLxRixcRPdg6oqF/r43Oc8e2lpCYCqzqbpX0DydA8zMzPvKyIS - ERH53uc+7y1v/s1ZkDkINL8UmRKYkfJvvuypdERQTYa3ve1tz3rmM/u+r6rKzDbPMymTfrLN68HM - lhaX1tfWxuPxaDRaWVmpnB8ujFbW16vh4BWv/Mn3/eUHHny15U/Oewl9cEKNr9q227tj5zve8Y4r - r7ySDJyT1XP2N+U5tuWTKIqiKIqiKIqiKIqiKIqiKIqiKIqiKIrzm5lt3IQoIn3fM3O+yzXf6No0 - Td/3D/mm16qqXvayl733vb933WOuDaGvKjevsPkgy7SpQMFXsOxyURRFURRFURRFURRFURRFURRF - URRFURQPJ8ycNHlUzkQRk0XB6Norrt/+sR2H47EOIIcYIAazQPNQrsRgUyWlnL5kSGHqRgt/8rd/ - sHv77kduv255affqoemOwXYL62RqxJFFiWECYygESSkaByVl0kRGWgNbH9ZL1HWdmYnADJbjgc1A - J78wzRMfnANrSjENa9e30fVYol3PeOKzrr38ej0YqkbYXIxaV00KD7spH7O1xKqAQgwOpICyqSp9 - 7eOe8pHb/v4T4390HimZO2UlA3mejI3btf0H7r5o9FXkKHQ9QcXXqWRoFQBwIVR6KdHd5xXD8ZBL - moXjEhSwqnIwCiF5cWQJoUU7/bF/8azdbcKkJaJeE3qFpWFFcILB0mQcliArq1NMWzQyql2HYJAQ - eq4EQAJIISmi69LBI3XbQ4B2DO/6mz9P7XQoswpJs8BCAgy0sUwEMdYcYLy5VhWdEkNIagoCzCEB - USGAAzEzVTWaAbx3jjW6I12Y7NoJL/Cc4JwxFBYDDTxTTuIDYSNReZZGbAAiYIAjOIcQMGzWRgM3 - PbrHAGEQ0+WX+898rls5VlmQ/BDjPF1yo/tnnSVu5pykzaWmcpK369L4tjtHl14mGkhqn/QSEMYt - lodkKpT7dvG+hoFODjY+90KOGbFPrvJXvezHv/Da1+7kYWzHTCaLy801X3Xw7/5ud1Uj9ilGgTkR - jem0+kYlJAUJakcxpsZJ13crN960/NVP0oThYAhSatceMbrojjf/5hU/8aPeww8bA5IRYEzsXQ2o - WSR1MEAZDDEkQgID+GJzY8+A+0nvNhDp/cSDGRAjjAlmmlztoAkxTcdtxSADZtGtAABCYiRXD/fu - xrYRhrUe67UeHAvpyud9HzhXHgPN2oFZQNXpjk0IEKiB854yT+9mEYbZo17yo59945untdcYgrNr - X/HSyxYqEFDViBFVA+K2j3U1yK97QhE6ediNO4vzEc23283ReWYGZhCRmRhgvHrk8G6bxWpunY1K - gsgL450R1Ox+D1IwHwWcr0iPd9Unqn3V9ZFIjCml1K6vgZodQrsmk4vH3QnPsfHZ5ahkYHMioBxf - Oyc20POHYN6bw8CA6BaGtxoQmGDkZ438PB2aLC+5KOXeQoHEMEB12vgGNq0nU7Rt9DRYWPQGDTFv - IEqqxDZb/HOvySWFMWCcA9IJtimvPTGMIgwwxbwPMgLpLMp7PtxVo/m47oQnh+bobtr4ZoCC1Hsh - M43BkpGBfCW+8hW1fRrv338VbHBsfOvr3rDygQ9ePg27fKVdSpQ76/ku/xBWprERwVjBjLixTMiR - ewQI1oH1xcHhi7Y/6d/8aNy9c0XRdZ2IeO/zvUPMXCLxzhrOH1Gybjxxlk+7naU1T0TeezDpvLiw - 0vncmJ/T7qdtrAbNSWNmoi3vTjkZxlNORlAyNdo05KBzsPkuzm9LSwtrXQiaBJb64IUhbty33ntS - 45hc7IY2HYLE2E8irMPBQ0c//E+3/uVf49O3bl8bX9T1C6TiHVJUgzkwwQxJVYiwxa1l0OCrIff9 - dqHBsWPO4vv/3f/z5BffsOubv+nYcNgPF9ZNB3XT9ePexgvDKgBGPA//VGdgA5tGgoGVlAmmZ2An - N2yMZADMDttp/t0IbHAJC22//1OfHYk4Rq+oKnDC8ZN4Xy4FBLDcdGx+U45dCOMAhbKBBEheWsYx - pKl4Wd6269rrHvO0p+JrvgYX7wpMh+q6rZrIFBUpGQBmFqGUnDgG5XuYpQLctl1+z75rr//qa39g - go98bP+f/ukd/3zjaEILGuoUKYAUCaoIBHMkoGSaGzeCudlZNYt8+icrADAwFAqTNACuWfD/8Ou/ - +uQrdu+5/jH3eA7OazIiTuzb2FtNzouFM7Wqi+IrL0dQOO+JaDqdDodDBEwmk6Wlpd9992+/8Pt/ - 6JZbPgfM0jtyIvIZeV3nXH7OHH2RUtr4SVE8ZPlQd6NkRj74VdVy/FsURVEURVEURVEURVEUxcMK - 5Ym+ZsKcQgyhHwwG0+lkuDB617veNZtLprPZb8ycUrrfa2x5louIhD42Ijf86xfl07Bms2lWFx4R - CSGEECrnwNy27TXXXPP0pz/9/R/6kCNOZpYUYHLOYpzndp85jA9+6EO33n7bvr0Xb19amkwmwoSz - cGPhecvM+q6rqiql1HXdYDBommZl9dhoefl1v/L6t73zXRuTdUUkaTr54QAAAmJIBFiyoP3yaOGd - 73jHEx//+PXVtWHdqCmszLgpiqIoiqIoiqIoiqIoiqIoiqIoiqIoigtHCMF7LyKYzxWpqgpASimn - dwPINZrzH6R08pSDB+fEtV3rmW644cXvec+7r37UI9fWji0sDPu+/1Kfwspt7EVRFEVRFEVRFEVR - FEVRFEVRFEVRFEVRnA2qagmePQOJowLa8s7Fix93+WP/5nN3xlFUqGrOK0yqRsRGMEIizQGIYiBj - X1NMk7HT//bXv3vDv3qpRlpY3BXa4OYhT4kt5dQrdQSQghlqyUgTFBQJxOa2OhLLzNp+ambMHIIS - gYgeMK0N3EdtqiqFFPrY1MPUCU/lydc/7anXPWN6qK+SSd3EtVjxgNh3/dS5h9GsDzaoMZByyp5S - zohQUKqY22mq69HTnvRNH/+zjwz3NH3fGuykJFljNYNSFI877rnjCdemvps6WCXegJQSnYVA0OKc - RER2AeVrleju883GBD5CZFWonxeA6vquqmtKir7F2tqdr/2V5ZUVoRqkGIdGBF2H9bWjd96+vO8S - 7NopJji2vrNuPv3rb7r25T/G24bCHkBVNTliuI829JTDiPYtLw3uO4i2RVKkfuXztw40GREpAWTE - ABQKAhtyZ2xEamBITru2WdA4kAM15+GIuZlOBjCMEQ3GYCZSTpB14tEjHgmLEI5TnTp64st+AouN - SUUQRICgQ2+AMzgj8PEIo9z+AwAYDjCI4wSI9+jDNS95yRfe8Kv96kpljBSxuLTjkVfc9/FP1KoV - Q01AYGOQKuchQu5I2EhnQZsGzFNCFWDVBXZHb79zdM1hXtoGMQl9c/jYLb/2G49+9U/AMdhrH5kJ - xBCA5vHrs2UVnBzm/RXHrhkgJizU9wz8QqQBc+iTVENc8Qi+/fbV/XcuHU/NfIAU1gd5dkPFTGqz - VZnSwLkDt94xaEb1k64HTdCH4WhpcuiA1x7TDhoxXGwVAxEohxi89wBIFazHXz6xEKIgbUQzbqEc - TA8AdHwtbISDnfhpKhDTH/+7n36qCTVV100aM6wcGzYNdZOcv0qbEoQj4SjhsmuuBdt0fGyw/eJk - 1VQNzoPzi0WoA88ec7qbjkAlFy9TgBiMNH8HIGDUwOnNlJaWRt/4kv8TonAEIjQ1jCAG8gA3lZ8/ - ZpYgngDNJQQBd1bS04vioSE7MW9+zsyYyABL6s1g1K9PWNOWNyfzvc8ICkPeuS5cSgowa44eJIAB - hqkZEZFUvm1b560WwbilSSfEzCccjpPRrFQp4Gw2wkjMOR/apXl5zBPLZBrByBgAKdvxIf38oHuj - Zz6T343MsQHGOst3tFncOPk8wCDKCdYMZoORqkupcSwEL6ib5CpvHMZT7wWkDCODVwU0JzhudbT8 - Q0HKRgTKnVQizqc2jDQRR2ExU3UCEYNTuFnanObHzvrTfL7mxH1VoeZ0o0ynmDqFUzZiIooxSUJj - niGxpy5EQ9xT+R0h4qbP3vz61/Onb36Ug/MYt/2A8zkjgjFDLW8up53kS2oVAKaYM0034ng1YDCU - 9T6Nty18anH4tBf/EK65+jBYa+/mGXsxRiIS53IV3ZOe+lz8ZC8IAoKmdjxe2rSG6XTHsqdJzYzJ - VdXsn7nxK7aeEsiQDHXTqM4G6mbY+thuUD7QGU/ETGZNDNSMuezcxRYgHU/Wq2oQYSNf4dCRqpJW - ranrlCYNuwo2jN3IAqLitjv0k587+MG/Wv30Z3R1vE+kCn2j2nh0few6GMCM2oMUXQcAIlt9LlQ9 - c99PGKBkjaSFldWvcXLT699w1b337v2+Fxzo0mDn7q4NHiycCGQmZJyIjXU+WJgPJyh36PlUVU7y - Bp9m8W6CskEJSkgMKARMBlEgn23YtELqFOreDnzsxkttVhs+D4HIlM7YeZ5kBAXns2tslk+yqUYw - lgYSIqxT70ZHetxbu9WrL7viGf/bFU97Ki67FLVvq2pK1QRIXKtRUgKZOGUiMZUEB4fIHYkaoKLJ - 2GppFo4hJJLmGV938dMff/EnP/W5P/qT+/72I7vWJ7u0H6o6dqqaYIrEAgCBYIBLwnm0T7NjnPs9 - +Hqw9c8Q4pDiNq4nq0cuHsnHXve6J/7UK5euvz5WLiWLRKGipCRmMhs5FsUFoqpcjLHrp4PBoOtT - TH3TNMy8vj7Zu3fvG9/4xh/8wR+86857VFFV1WnUBfhiYoze+xhjzgJn5hhjVVUlvbv4cmyEduda - GGcqab4oiqIoiqIoiqIoiqIoiuK8k2OMTRVMVVV3oZ9MW3h597vf7RylOJ92LBJCOOmxumneHAEM - AvCUr3vyE57wBAdKXXcBz0MgIudcF3oREWaNqWmaG2644f0f+hBM52vNLIUznKdtxiIaU0B6+zvf - +Ys/+3N9DGCyc2ue/1dMXtm06U6KTIi7adsMB1VVrY3Xm6ZZnYybhdE7fudd/+EXfpGYVZWJAaRk - LF43l9KeX/Q1gyd4cX2MZva773rn05/6lMn6eGm0EEJAzqonyoW5zWg2C6goiqIoiqIoiqIoiqIo - iqIoiqIoiqIoivOT977v+3xDq3POOTedTpumyb81MxEhopRS/v6AT3RSgSIgl+WLSQEY070HD738 - 5S9/29t/azgcdl0o8w2KoiiKoiiKoiiKoiiKoiiKoiiKoiiKojjXmBmDXHKwFAjknAV2ff01j3rK - x2/5+2hHe3TCDDaiZAqYkEFlVkVcFGJMBuK0Hleq0eAQ3fWeD7zze7/lhwdhGR0NIQAHUSUoKRuz - KYxF2aBKZMSzJB1OqmDb2jobRgghGFlOkhDOPzMzw8kvzTA2IITkwY3jbr3dXu/atXDpNz3+25vJ - Aqd6WGM8WRtiQeBCn5zb8ujxc83GKjNAwSAYJUKEYujq8Wp8zJVPvGLX1ffG2xNFI4XBiJXUCArN - OaLGyl6/cM9n5foUx60wkXBQBW99xk9RnBUluvv8REikCZrjb2CAUe0rqKJr0fafftObLg7TxVrQ - TpAAdrj3QHfbbYfuul1TXHZCu3bWzsE513bLkw5BESNVBLicGihMo5qQgIMH/vrNb7xi/30LLGgq - tAGfu8VP1gZ11U27Cg7IHUzuY3LwqBIAY1AOEsoVwRgWgUTzBnpzqSYGWNApTOEcQ6nVOGXe+YhH - YnEUrO3a8WCwyy1sg9SQ+ljohn4kGuHcbB6lbkrJPGFVgaAWjZxEQzIFizQDqLtPbbvzVdIo5FLE - VVfRrZ9Px9Zy7LCBj3ecBN2Ui6nz6O6Nt2AAGxpgdTztbr+rvn4ZqjXRHifdyjGsrqDaCWGu3aa+ - WIHNGU6c19i5xRgaUVdf/3/9xBd+5t8vSUUcNSRu6p2Pe+zd99y5IADBEjQpb15jXxonFKP2CZXn - PiglXSaMb7u1bhweex2GDcgGFU+67sZffu3jXv2TiL0HQDXAjr1GYwLYgRQEmMKAxBsrVc9idHTO - cJ9FAOav+WLMQqpM0afLFhabcYuubyqPrkM37duu2bQ7bJQzSyQ2WsCe3cY6qEfdyrH1wfb/8U// - cJ2vkBOx5pndD3F8Z/O9BgAUxkwbmeMMBWr+P17zKphBHPoWzQDkgByUCuTIQyBFFeFNQfRaxkfF - uY8NCrDNGvYcpYzcvJs5JjOQmjOg67RtPTFt8aY9azlydDcB3ishwRxy2vGWvvhXSh48zI56cwMU - YzQz5xw78p4H3iF0S1VFKaqe2MfkZhc66/sNAAOqDEDVNq8z2niE5hU9G66c8GQGEGxLvlsOdM7x - jTAgAQkAjBRkCSCDKji/BzOFpb6bxsobSSIOZj6Yh+Tkb0IkgMBkVikSnXb/u6U2FSg1QI0QGUFm - Qx4xMMDGMBgExjBsRF0jrxQApHnjUJycpmywxMkIMBIDDGyUA9kD1FEtnkkhCp9swXghge+9c/Uv - PnjLO99z8dHVHcZ11wWgroEAzMapOUReH0KuJObLSVBlPV6W1OBrHJqkbrG5Y9h81fe/oHnGMw6D - QlURCzSpaq4iKiJmFkIQOWvDloc3TaIAqB9PMW9BCJR7BDpzTa6dcmACwHsPOvXHxZmXA3c3mBnq - QS7Xi42Waovz0xnwRhhPxSCgZBtb18nnlzdaTi2bR/FQKeAcs5jTFFeOXO081sc7xcMi+g5xgtWx - feEL+2/8X/f8803rdx5cOLp2dd8vr64JIETJIgEk3Agbk5FGtdjNBiiOZ2OYLX4LWjkgEYigOjTQ - waOPHvo7//C/T1cnV/7Ivzly6LDftjwxYUnddOrFC1Fg0/kOZJglbZOpHB8cPZQrOnRiM5KfmQxM - kE0rYuM4wqtivZt87jbpWsCcm410PZOpntAffPnr0SQRG1SgAFgAh5U2jRX1tm1Hkmt37X7cdz5b - vuWZ2L4QhtU6Y0wITiA1s+TxNswIICazlJJaSiKuC30POFdXXAEUo7ZqHRItjVw9cu3qnsc/7upH - XYOv/+gn/svv97ffvry+vpC4cUzamyLFfETDeUhLUEDZkPIg8DRHWUHhTRt4WFisXD+O/R1fuOk/ - vfnRr3m1XH3NmF0PwIkk4qhmxqVEfnEBSSlVVbW6vua9Hw6Hqtq2LYDRaDSdTh/xiCvf/e53f/d3 - fc/+/ff1fT8YDKbT6Zl66XyQAmBhYSE/bQgh/6QoHrK8CRFRPuETY2TmkuFdFEVRFEVRFEVRFEVR - FMXDjYiklISZmc0MTMs7d7zpLW9eWR9vzFSh/Kt8ThWzOXGnTmwQIgJe9KIXqeqk7TwR8wU76SiE - UFWVh89ll7337WT6zP/9Gdc88qrPfP7WPGvL1EAAMc7URY0T1/vvvff3X/mKVywNh3mW15l5iQvO - 7F4RZlSVqk67djQa9TGKd3/6vve94pWv7uaXBphZiFJMD/J5mSHGWIHe/va3f8NTnjIdTwQUY2Qi - A4h4nttt5RMpiqIoiqIoiqIoiqIoiqIoiqIoiqIoigtAngkgIuvr6wsLC4PBIP8zhOC930jszoV6 - Tne2AIGYfYxBhD7+iZt+/KUve9vb3kYMs/Qll2yaFTQ4rdctiqIoiqIoiqIoiqIoiqIoiqIoiqIo - iqI4XcxMsNQnJkpk7MQlDtFfuf3Rl227em3yCUMPzplVs2RGnUc7MaDETnM6gkYB19Mj7f723vQX - H/3zZ3/tC4ZhyVqhWWaXGakigsBG8zobDjnyGSrI2Ut6SoT2GTSvGiFQNeTUxGSUQ1tYT52swcyq - ljR49lDpjqVnfuO37/FX0BEZ+AbaCqSqmnYapH44hvOSKTCLXs1ZEaAISn3bb9u2LU04BPm6677h - //uHL8jId5gKbfpwZ0G4UNKk3X1H9gd0lR+SkhpSSs7XqvEr9daKc8r5Xu+l5JqcbxQAetIAFZgH - YA7mAELXIXXoJ7e+9nV7j6zKZAoEVAnS4/bbD/39R9vb7hiujne24b6P34hjE0xaaKwrHq2PgOJL - fwAAIABJREFUb/7FX0Lfe1jsewIRiAnWAwEYLO4hv2NpBDGEDhpw110D0xR7V3FiGCmZiiVGYiiR - MuANDupVeRZPqQpVOh5LfD+BPcYSIQqvkmJKoMHO7e7Ky7G4EL3zC6POy9FJC6uto9qPImAVK1RV - Lac7biRXCiA5DtQ8VACqJA8XHLuUE4vr+mtf/ZOrItE7uCqZYdvCrisvVxaAjtdBs3m2K6mSzeIk - T8EENki0BePxXXdjdRWpByd0423Wf/53fhddB1gyxGQaZxlxDPDx1PP7q6/2lWXQECAexnDedu1e - BUlVs69NCBdfvOvyfVNCZMAhwYhPrz0hA1KSvH1AQGRmC5VvunbtUzfjxpsQIjRNU7/g3d4ufOLn - fgnr604V3RSxJwIjxyxyBEfMVyADlCPbt7yBo/kXAEVOlVWQHs/iIqR5HimSIoRtSa1tYbC+A+zo - oYNN02xMzDXSnDRmBAVffvU1EEdN01uqq4EfLbzyfX+GYQXiWWE4Vptv+w9x88mrSZBzU2dvhwhO - QIixR13D+zBaNHZISFNFAgztJBAAgzie5aZDCeqAGqjBGwHjRXFu2mgfclR2/gLAzEmVTAXmVLGy - ql1XgXirp87nfZjImADAOSLKNxVc2I431MYAV+KYKMQoIjHG6XSKrheDIxaC2/QFQXJQj+RJHZkj - ZvNkFawCCYHnXzQHZmYmYWZhZiY6/jdMENqy7yABC5jnX3L8iwTMJqSOE3MySeYSCwRWeWFDNFUj - SlRLDSCPRoyTccwnQOjcu69jo3M0QmAOzFNxvTAAp3AJLrFLTpJ3yYuKgRMhzTpNzl9GrLmLM4EJ - 6+yLjMRUVJ0aKxROUcE8ad1g5LkORGvatjYVm4yOHOSbb7n73//SoTf95iVHDo+0Q9Iuohbfd4BB - CUlSkpREdSMF/vTerIlFb5GRjNAzOoERGLAePHT3LC1d9d3fc8l3PPu+qglVnUy7GHJwNzGziAH5 - BqHirCEoFLHtmEA5wDlvt2fu0H7jmU4IAmcSf/z03Pl+KuFcNv8omS0PdlkBNPVGyO7ZWfdkcEZo - W9bjW5cSjElnZ5yL4swhU9bVyZGa0l4B7rob/+sm/OkHxm/4rfWff9MdP/Jv//l7X/KZn/zZ9h1/ - sPw3H7ni9juvaqdhdYVrhUu9RcdOSEJSS6TB0LNEZkVFqJwwkLZ4uGGMBAQFmEzRGioBDIshXLz/ - 3v5//sVdv/HGHW3ru2Pw2sZUNwte0UTUkXwCG4wQBZFhZAxzZpXqlzOYJlO22TMosRLbiU9nG6MX - QpUUn711tLrmNZpZPiVFAE7zPMkXWyTkhQiMzs2TxQ2rHWxbtb538eadi9t+4Huuf/Ov4DnfsXrZ - RfcsLN7H1djXVg9AkjQEnZJLLEkoEjqkyAYWscYfQ68LNFxuqgEC1lusTZs2LCUsSUsaEpKMDvBw - fXlP+pfPevwv/Iw+8xlHLr/sADCJMV/BMgMzyIgMjAh0QFBOG2vptHjnkhk4Av20j9sr7IsxffRj - R//oT7bdfncj6ARk8Ioqkg+gLbxuVxRnWwhBRAaDQYyx73tVdc41TdP3fV3XXdft3r37ta99bdNU - AHKq9xmRI0MAVFW1vr6+uYpBUXz58rYUYwRQcruLoiiKoiiKoiiKoiiKongY8s6lGFWViLo+iK/W - xutvfetbHfHGHAZLakkBFaGNqScnTXBgIMa47+JLnvVt39a37eJoKJKzvC9MqpqvleRzzUIE1dpX - L/y+FwAgwM0vmxIbHmCS/0NAzJqSsCTg4NGV33vve9m5PO3E6IT7IDbmojycseVbL8gMMSYRR2Bl - NpEPf+QjP/JjL1nvOucFRCAKSfuYnHMPdL0gz/VxwOtf+8v/4lu+2bF4FsxmJNrmfcLMjAB+2H8A - RVEURVEURVEURVEURVEURVEURVEURXE+izF677uuI6KFhQUAZhZjVFXvvaq2bVtVFQDv/Rcp1XJS - QQDAAO990kSglEyBv/uHf/x/X/u6tg96YtmxU/CJX0VRFEVRFEVRFEVRFEVRFEVRFEVRFEVRFFvP - koCiRRZRE1MRB1Ea2vITr35qHYecRGEByQxmENM8syFncto8fTmaNQvoCOuqOur+9qYP/P1nPrhK - h3tRg1c4gMRMEEBTcAsKoAQT0pq1ZvVbmdh9HBGJCDOrIWfLKGCkx0uIzP9no9aH85wMKdnQL+7b - duUTrvoGW60W3FD6lFrU1UgZJKSk0IdXJBAZGMpQMlY4JRglIyODgNCjwQiT6jGXPXGRd9Lmaimb - 1lPeisA66VYPHtsPl5SQYLhwi9sUp+XCSNpyX/xPinMKzxJ2cwNFoHmnB5DgyOEb/9NvXLyysl0T - nCBO0bdYnRz8+I2Dca/d6pIQp5hYcNddeOSjEVu4emi8nSuoIvReRqbax66uB+SBaUIX3JGj0A4W - IA6r66uHDiyaToJVNRsUpEaWMJt9uLlFJQbPUqrNSGdlquY5asd3INJkYKOcuazMUxAvLo6ufgQu - 2p2m02ZheKzt1316zKt+ClFpwTMw0c4xeeYaLj8rEZBzD+cly+bJkQpCTErsAMAYvkYCnD8qbhtx - 1XWVqxAn/Iir2k9/vlIly4HLBjCp2iyieRbJzKfs+0QUNDqYA/UrqzhwEIMKDJA0irR/P0KCduac - 8zRfS0yI8xWSw4/PufRu9gIQSKB0xQ//yC0/97MjJe6mVHn0bX39444e2N+ktOhJo9npR26oQoRr - yDSEQT0M3aTvwtAhdnF6+x2DSy7B7t3DheVj60eXXcPe3/j6X33cS1+CxcU8kTUp2KHtTaoch6Wz - j4nPaDrWF2UgUkBt4zMk5M80B9cLAANSQt/VXT9qhnbsMDUek/XJ6jHp2hOfDEqcCEEcLr0M4kPo - EjmtmyOaliox78gizEBq8xm9OUX7NLcf3sgeT/OXJmjO29YQ2NfOewO30dhRMDiD1LPU8GbgjaBQ - 2XjR4/XQ+PhI6RzbpItis+Mb77zXYAMRmZrBHMP1amtr1nVMZ2PQZ5wbEAIRmPMhh524nGcwVvYr - SE/+lxwvZGkGohACsYlZXdcYSd/3CaQn1buE2azhSQCQf2uzPlpPyFrfFIpsnLOZ2TAbl8yHVbMn - 2prv+UVtIxY6AQwjaF4YAAQyI6RIsHzAD2OzEDuFVYOGOmqnrWvEjKCcD3QBJnMMKJ1bh/s2r4g6 - vzWFxdAEoE07qzBy3DoKxEazfUuhJ24XjFny7rw/n5+RyUmQakZQ0dmrIFf+hIbYV2pDhGEMCzHi - zv23/8+/uvOP/+xR9+2/rO2SCIgsoaIqdbERrxpOWnKl+xnmfTG6sRHNtymQIRDWQQdH26/6V9+5 - 89nPPrIwOsbwMTrnQMdfRFVjjERU13XOMCu2GuetS4GQNjWqmodsZ9bGFmXzYyURAQCm/KsyUNpS - PO9EjUBM8Gf/7IcKYCERKHfyesqJJC7j5eLM8cJDV3HbucMrv//Sn7j8yOoS1XUXB22/rNijShoc - 9SJspnG8LgOZTlPFqDyFEB3Y+zqGjsAGAzlmU9UUE4NFxFI6eRx3BhnIAUAX1AFNM9RuooBE7CVx - hw7e8ad/YhQve/H3R1lacX5qOiAWGAOiMOIEJAJICWCDKBim+SwBHJsC/BCWnwwgGEEBJZDNegs7 - MY66UoufvHmXYQAoNEYQgQGk9AB7uZ3uwuTxFZlhfrkoEQfGRGx92BxYGFz1rd96zbO/G3svXvHV - +nDYggzMpkKAKaBEarAYAxG5XLzf1IwTg4jJewN1XZf6IMRSixLa0DJzxWIh9oZ6YdvBlALbniuv - vOZVr1x593+9+3/8Md97397USwoGiDiNCuTB+3z0PhvInd77DTH6yqU+JmDgXOpj1eOKEX/6v/zh - 3kdfv7Bj95GqIhgSmD0J4uYxf1Gc5waDwerqqqu89z6llE9T9H0v4ruuGwxGqjadTtt2luR9pl43 - Z3U75/q+996HEAAwc0lZLr4cRJQ3rbxRMfPGT4qiKIqiKIqiKIqiKIqiKB5WYoxVVfV9L85J5bvQ - f/gf//GW225LADMlNWaozs7MP8gkIiY20x++4QbH5Op6Mpl4cUSEC+K2llPVdd33vSOvqvn08mAw - mHbdc5/73J/7hZ+fhpjnhrBIsjN5RcPMYEiWBESw9/zef33RD/1QjNHLmZ9fdIHx3vchSOXbvr/5 - 05964Q98/5GVNRYKMYEIZk0zaNs2xuicO2FT3zRZloCf+emf/qEf+IH11TVRMLN3zsxyWvfsz83y - dFNmtlSuZxVFURRFURRFURRFURRFURRFURRFURTF+co5Z2ZVVZkZEYUQvPduNlVgVk1wOp3WdR1C - OHm+wZegDz0TA2pmzAghveUtb92375LnPf85p7mkD6VeQVEURVEURVEURVEURVEURVEURVEURVEU - xZeIoUnNKIJAlUMbU4jijFWtH1x/1ZPf9/E/CWjXaG2WpxkBaM60JoIRzJAYZABj0sINMFzEZLzi - BvV7//J39nznnma0AHBOQMvJngQFwdgpPCAwRwYQEZSx1cVMaB7d7XIddKJcfSX/VpVOjqFUi2Ys - Xjj4uGrf9Kxvp0m94LbbeFpVldCo7UNAXw/9+tra4uJiH07OpbqQkc5SIUxAZpuyzEaDhcn6lLhe - Gi7vokt3jy4dpwO9AGme1b2JEXxtadodXLnnsosekcx7GjBrSqnkdxcXhrOab1t8mYwQCJHgAAeK - IQFuFvfT92i7m375DfvWpiMLmlpAMY04cHTt7/5JjhwdptCALBk5QNN9t3wOpkCCWNIWsb/5t9+F - ZLBEAlfX0xRAgKbb3vifl7vAfQ8GLMX79hMlIniAo9ZkUZMJd4Kpk2PM43pwhOQQcb+4bZ14SmIi - ZiaCZMks97kCYmNWYSVKRgIOKTH5Hrxq1G5bWH7SY3HtVcHBBkOaJI9qrfEYMoY1CAIssve5xzfk - zO6E2Ra9kYDEs6w2wODE5Vw0z2JgMKNqHvuqV+2PScTDV6hqDJpdV18zFUlmArBzEQqIGRwxzxMZ - xUCA0vE+g8wcYNABy6Li4Cc+OQsS196T7YX7wtvfAVMgJkIihI0c0VxAitRO6YG+8mZxUAoF2KOq - VndsX0mBvKDvsLiIbUvbrnv0lKBmPMtC3fRoIprnzj4QBiwBCQN47YIQO4YqnEN3dH31Yzfi6Br6 - dlHEhXZ7CpeuTT/7q7+O6QSpB4VYoQO4IgFiG2cdv0ApGYzOwhq1k97epsJvmgwIObPbAkyRwud+ - 7deGsY/thJoGfYv9+6uoTiHEcb7GiCSodpXfefWj0DTgyqsXqQ5quPIVL0MlUwA0y/Q9Tk9dmC+G - YMyJuAdHcAR0o0tQsHMwzSmkA6EK8AA7gGEOidATAjQRIjRBQTqrmGYMA7Yy0+3h5iwn0T98bM7A - zscAG1G6OjuYtAp87ODhYeWR9CxkZpshJQVQVRWYZoUFL3RKJ4QZKiHfRCEiZqaq6FsZjaJxMlLM - j/eNWblS4QiJkATJdRtplo8bBcmhAzrA8qE94BwYmr9y3qESAiMxADDAtjXfDY5r0iqaRBNVEnM1 - KqeSCJEQ5xuh8SzSmwDvAAsiINFxP44cfVMDEGWvzqVKkmf1MA/1G8nW5wIjwFGvOQmZ2Mj3upfr - 4X0rOLBSH1rZtjreO5nu6aYL/XqV1tnWvcUKWgEV4Ik8QchIjQ2kxsaOhElgZMpQocQV+UaqypyL - kVIX0HVumvz6gNb3TFYWPvmp9tff8qmXvmL8W2977NFDy3EqrM6CS6kGkwnBR1UlgCA2+2IDTNS8 - neYhEsmsH2aDBDQJArS+ua1ZuvJ7nr/zOc9Z37H9cArsqK5rjck2AeCcE5GS230W5XELhemEmXF/ - +dm6KY31TL6wGZiipjzmY2Z9GLTzZ9kDjIjZiAGrhwMI5wheJj7N0fNpI4OQpa6FKVnKu7yIbHzu - p7Q1ZQBdPHRsZF0aqFtKMhh3+9a7K9Yne44d3d2u79DJAGve1h1PQSlpIEQnFnvzLGxsIadmq4WO - CSoaRQPHjjQyK9eGRtOWB80nRVJ4AgPWThRsIpFEkw1ifFS3fvSP/+jI771nx8rKANRXVVvzmKM5 - qKoQV973IaRZvjV4vkM95P2c8vPY8cOEjMkZWJmUKWhISGaJ1VZvvW1h2iL0TmYXdfikFWaz8eFD - YIRgSMRG6h1ZSBWoN1ptFm5f3D74l9/xtf/xdTtf/CPdvn2Hm8F6VfWaCIHRCTpYC+uJAiiAIjkl - ttl1MBJjgTlLXKWKg7CK9xU7gkZO7RBpkEIT+4GlGtAUFMzOrYms1/Xy8777q378R+++aOchJXGV - AKmPkp9boAxyYENK2riK9TTeuhFUuNWoAmZoVAYToen0qmn3qbe8tbrn3t0pjYyEXJ9U84m+orhQ - qCrNz/ullHLUcT47RESqeuutt7785S/3XrqukzOdD5EPTML8cnLJ7S6+TBuntvJGtRGsUhRFURRF - URRFURRFURRF8XBDZqrJOQfACCTyxv/85nzCNKlhPv9YVTcuzNaVA+C9z3Mh6qrOk8sGzj//uc8T - onwRwcx0y29123JkuN8pmiklEVFV5xyDGJRC9CKjweB7n/d8mk/DV00wAxGgZ2T2BW++Pk700U/8 - 8z999KPsnGIWrw5AY3IsZtjqi+nnJlX9/9m792jLrrpO9N/fb8651t77vOqVSqoS8iCBkBfI20dA - bKDtVm+P67jXVgTkAkZtoUlAUMTH9epVr7QPQK8M+6ooctX2LY62aQcIqIjYV0WeQiDvpFKVqjpV - 55x99lprzt/vd/9Ye+9zqpIAVaSSSur3GRmVc/Y+e++512Ouueaaa363LlQFzlJijDnnWCUlNF17 - z70Hv+NlLz949BgF6jfyfm01TdO/qJQSOfR3g9apIiDFBAMDb7jpphte+Z1aZFjVzJy7jgiqgm23 - J/RX0Bjkud3OOeecc84555xzzjnnnHPOOefco91s8j0CkFKaPwhAVft7bPu7FPtbFE+6u3br15PH - nyigBhUragpgftfsj/7oj3/obz7cz/tc18O2ZKXpSJXtr53OdfUgI1ucc84555xzzjnnnHPOOeec - c86dI+YXtTG7nO3cGUKsSmoVjXMbOBKRWkssLHEx7Ln+yc+nSUUqIQKzuUoYSkCframkhbUEFCAw - tIO2YLaW1srK8V/7r794x+Qz67waBlRKoUKVJRNRMuEiLADIOGgMGtliH3B4Rr+vCQaDkapKRoxQ - naYybp9IguehQn3WGDSrVDw4f+XiJ+67ZkiL2koIbEU0g6m2wBNt62HKuelfcg6hAigssgYjBfVz - 23DJEikOqrpda4a046rLvkI6kELvt3z6yM9GMoVydO2QcgGRqAIgH0BzrupzE/rZ5vtUwbZtH+lC - fVniI10Ad2r6kYQBAGJMUbvMlFAy2u7jP/uz5zfNqNmsFxOkQSkYTzb/6WN27+FFVEyWYAIYEAyx - aXHz53DNVdZtVsPFlToduP1urI+xErucOQ1SCKVpo0ncHO9KSUqDohDbPHK0NJ0pqhCK2ti03rV8 - LHdLe89f3LcPw0VUNWJCM9H147TZtatH89ra+urRug5UhVSIhYopAAMTGUdiUwXUqGNqQ1VWls5/ - 0uW48goFMFpssizEUU7xqu+7CQlIEELop7maVsXaJ/ScOPlVf8ye1ewGkJLxPDmpn/gMdep2rmwc - XK2Prw12DjFaxKWXTm69NUlLQFu6BKZYobRFNARAQDZNeO0j/Rggm833ZSbaJeHUtbjzDlxxGQZR - u6ZWxuEj6JpYx6IEDtNw8X42tVmR+uDks6pxraZacuQhqgTQM2567W0/9ROT42vDGOXI4bBjaXjt - k7uDB5pDxypFJDbbCt7os1f784YHT59lzDKoGapAn7OFjATo0ePr//TRpeu/ikdDcESXRzJRG/7L - W976pJtuAoeQQgH3y21QVTArIghCHBgyDYk/0/pvRlu/GZhAYtOvJ8hDAiSjnQzbdhFETFCD2sbd - 9ySRRFDVlKLlAiIRpcGoG1R4/GVYGKIowBiOJivLqANibEqpYgzU543CtiVun0bB5yuG5pvffBI/ - wnSrpOlmD0OfdNjPdGfoG6MI/YZ80rZ7Vm3Kzj0IMghPG/3zbZbIYArVYFq6jsWgj2TT3x5zexPP - Ds9KgDHIaBa1J6pKYOpTczkNRwjNwcnmzrqaiNA0XNnYQFAypBhMRE2gMAIxmAFGl8EBMc4O0AAU - XYe0VYS+2bBt2lI7Y/8CubTMMVAMxCCS3ObSBUoSWFhnjRNTQmEoNAVsGG9w6BKnKg1TJCG1QgSA - SRWAUDQKCjZSED8kU44+VIqCAvoGgKkxTI6v59tv/6dX3didf97y/r1Ll160eNnFKxfv5wv2YHkZ - AoQAsMGKQQgWozIrh2IoigIYIYTEIUQmFbVxx21Jogux4sQgRWnQrN31kf/x2b/8oH7y5r2HVi+Y - dMMioZlQgBJYQTZvgk2PZtMeFgOsn/azPzk6tSCxThABZoDCINJ6W2R58RYKV774pYMXvrDdvecg - l7QwMrPJ+qROlWd0P+JIbVqTnFC9n1jV902fh/Zzt90qNn/kof0IN6Oz5isbeFY/MojVznDn7skF - MbIT6ucH3rJoen+f9zW600YGsohiUEahhdztLJmLRjaogaZNSpudy5mh7x9hI4IB0wsffUeHnXym - edLp4xlgzNNW79ZRmCwakNGOIulmd1lMn/u9Px4u7175xn9bLqg6NqnRNJuLg4V20kZLdT3sg7SN - rC9s38MQVHFajer7RW8DgJgqqQBGRjEw2UAIRcZ33700aRLDDAGIBAXEbPuU7tMLCqSncZ2pqmLb - lRQ4l1KN6kMq3a7deumlT3/5y3HZxd2F5x9JqVFY4BACm7AqyBQwUoC1b0YawjRCe14q7X8JGvo/ - s76g0240BAOZsjEMhWGEArSBjw5qCbbynK/8ysXBp378P6WDhwelW0oBJlCITu9bjjEsKLVtU51y - x8WJlSdAhkqwS0p776FP/9ZvXvX61x9uS9y5a2PSDkMNb2C5xxAzSylR4K7rYoxN06R6SEQ5S5UG - t91+x3d+53c2TZOzDAaDebaBc84555xzzjnnnHPOOefOZimlrus4paxigW+59ZYP//1HvsAlWCaU - UjCbbhhA13X9cKyXvPjFO5YXJRc1TSmRQUTOqSEoZBjWgxe/6EW//s53Aqjr1LQZ8xzvh0Ifzs3E - amZmBPzqr//aM3757ZZzP0czERlpP1TezM6lxQ8AfUr35ubm4uJiO2mIaLi8dOzo0R3n7Tl86NBo - ZWky3vjWb/u22+6+SwETe7Ah5aKSYsolq5YA0pxT5Fe89GWvf93rFqrB+vr6aDQy1ZQSMz/4zQjO - Oeecc84555xzzjnnnHPOOeece8xi5vn4maZpBoMBABGJMZZShsNh27bzX0/pnW+88cbfetdvXnfd - daurq6PRiBn1qB6PxzH61KzOOeecc84555xzzjnnnHPOOeece2TMMhwTwAHGKDqNEgjRBk95/LM+ - +M/vtTi+b7JRVdPUQCWwTbM7jdD/fSAitXkuc+FGYwHp77z31176b24IhGG9wJkDgsZBlgYxAEqw - aRC4og80ONMTPRBx5MRGHDDLl4A9SKaMEQL3ryLNfNXlTx3qChUOxP0ryXgeRcfUx7ye+fzKs4sC - zMZCTNZan9lqBDACTKSKQyl0/vL+RKF7kFAmBZQgLOubq0qd0gjAPLnZuccAHx/2aELQAAGoawUW - 6zqwMaTFxvrtP/u2SzY2l2IQiG12lAtaPfT+v0rHjw0NMRi0K33QryKCqs124447F6+7miDj9WMK - XLSwCAWspKrutNQcEQPaljWXrk2J0BWMS3foSNQ+rDc21nXLK+Ol5b1f/SwsjNAJEBESAAQwX4RO - BxtjjEajzXU9fGB99ejGvUfRlSxFRKAUyCqAEUUkDOvjki++5pr4FU8GSDY3aWGx2cwa4moMfPFF - GNZYqDuCARUAU8g0X0iAsC29mwwBMJqGOhNhms/IoFkMVDCAGFW45jWvPv6TvzAAKTquCCuLy5de - 0nziE6MUTYQ5qAIUzbaOFNMcuG36AG4iUrPKlAuO3HLL7kv2g8kMA9WltoMoJAelzGErsHv201mU - t7kNERfLkSGqRa2u06Wvu/GOn/jxxxULo0XEGry58sSrjh39iBRFf4jd9lX6KclA9CCzofGsaaKA - EdQIHTOAKDqKab2Z2KGDRz78od1f+Wws7URpBsNBbZrvOXzrz//iZW+4KTIKmEM9GefRMAEUU23Q - XFpEDgg43VjrUzPL6xb07UYEopCoAMVKTYAUbE5+6hu/4T88+1mhj68iwqTZPHBwUY2BDmpAitQV - o1BtdPm8a67Enp3IHZZX0MjRSbn0h1+NhQjwcuQCCLj+8pqmfVJpBEDborq3x8lz/5MKGAQGQH0t - 1P/JfEUbz2O7afpu/XNnWxS9c/dzQtXbB+kxbe1apKa5Uyv2sGzM88rSbBoWTkRn/Bz0EcPzf8kQ - TMkUUMRpwimpqVgONghk5+8+dPg+k8IgBsgQFUk1qUa1RBQoRAKxFi0lowBDqjQjRoh2XcaAwUO2 - pg/qDgADTACr8izC+cytYmFtDHUowUpTwEAVKubBRKQTE44SQwmUiQoMTH284mZMa6Pl41wvbeZA - iVBgpExGUIYRhNQICrAhqfLZtKlYsRACkZkqU6gAg/Cx1QurksebdNut+e8+ciDwnTEgUk7Vzisu - H+zcveeC89MFF6Q9u9OuFSwvYXEEE1QJMcAMTLBQOtVSKgMowBg54+B9+PwtGx//+LHP33LoXz69 - WMoFkmOe1KJ1wCAAhKYAQAQHABAgU78FTIvb/49n2wZOdXOoqggtk4IKAorHB9WtC4MnveTbl/6n - b56cd956YlWTrgvEKUSTL3IGdv/PPpvW7WOIgtQEoicucyPoCeuAT6+d/mC538zc9yhl2GUFAAAg - AElEQVSZmZ2JeHD3QIG4RgAYMIpBiUECA8zoQVfUQ1ccEzMBqdJWO9vMaHZEO7mcvkG402XgEGoC - dQakygITK/XJ9QQiEGb1HbGZgWAm88f6RkXf0cHTSwvoO8b780I6wxsnAUHnp6faF4NNhZABS4Gs - yFq+LHef+pXffOp5FzzueV/1mUGx3bs0hE3JVWIG5baExAaZ1+SnEdd9/4LRtr3VCKqFOAjUGAyQ - aFLGxsb6vQd2IidE0f5VJ/WEMNksNHu6bE+lGIaNriwtJBvnCfFavXDfnh3XvPyl1fOemxeW14fD - hlJroGgxskiWtqlDNKALKByEmCxFRVJEAfprX6TCyjAgkzHAZMRgBYRgREKByUwRDaTExjDWoGAW - QOrqiOaNUF341Ouuft1//OjbfvmSA4e6zXHfDxAYKaIUqAjH2rSc6lcOfU+LTUsLgC2QGaCDjeMH - 3/9BPO0Zu174r28v3WA06krLZGdVe9i5Lw+rSgwhBjK10XBx0mVVXRgt3X333TfccMNtt93Vtxna - Np92e9U555xzzjnnnHPOOeeccw8nVQ0hFJE0qLLhHb/xzuMbG1+gi5+oH20MVQUTWX9fGUWil774 - JVVMmouYphC70oqVSOnh+ipnUH9ldvt13v7n7Res+zH8JuVZz3jG05/ylI9+4mNNmwFwCDqbpvkE - p3UZkRGsH8snakAV+E/e/ac/9EM/9Lh9+6TLMQUyMAfVBw2lfmwzUS0yGo1yztWg3tjYKKrLO3es - HlutF0drG5vf/L/8r5/+3M1EYILag66EwCGXPEixy13iGAK98IUv/LEf/pHF0cLGsWOj4aCu0tra - WkpJlUI41+6HdM4555xzzjnnnHPOOeecc845585p0wkMgfmYgbqu+0E4RNTneU8mk/6pL5rbff/R - C8ePb/7HV9/4rne966LH7VdVM1tbWxsOh2oFAD3wFNDOOeecc84555xzzjnnnHPOOeecc2dOH9PA - Qn3csswjAgkaLexbvPTZVz73Tz/1ucVdkBYhkM7GRJDNZniYhk8wgYP2z6qwFu403XfLWvv7H/zV - b/1Xr9xfXcoa2xw4ViGFgg6GACWUYIzp1OdnevgEM0IdhyaIFaB9xGgfh/jAk7IQkYgFjVyqJz/x - 2ZUsmahxMSXti0wwsBIbZQNg59BUFUaqMED5hOSbgD5UwzRLSalqC+/bdSFpnCZpkE4z+6bv0j8I - 5XJ49aBSAUAUAIUJ6Bxanm6O6ITpdaaJW49mHt39KEMApNT1AAZMOkDRNJ9729v2rB5dBiznGAgc - sFHuec9f7CiSQpWCqkqeZQepgmFLVX3ffUcWPvtZuuZJCxVnoD187FM/83NX/59vIqSaa4ihayAZ - 0hEJAJjhyLGwMRml2kqZmOTRaNeTrsSTrwMKmCWGMBhCIEUsRVWtBozhItaOoR7w5VesEJAFTUbb - oGnQddYVKgUmWF7Cyo7dy4tIEeNNCXVY2dNN2sFw8bDmjeXFJ7zoRaiqbMiWEyftM5/t5IUzjeWe - /dpnDgXoA4eyMRAj6uooUyLWLLHLg+XF0RWXbX7+5tLmAUcza21Scbz/x91/3Zj2R1qrTDYOr+Lg - UVy4L1Y1Wqvb9u/f/OZnvemNNKzD7MNhZ3W2igEKDlUCFBGBErJhVK8uLZw31qEgF03VAPv3Vxfs - lzsPG2DSMU3j6PqlZWb0xWpJg83bOgbqMw21lOWU1pquvfue8Uf/eeHJT8XSEtaO045d++r64MEj - n3jzz137/d83qKqiWo+GMJjBVImRYiKYqRCf4dbbfLvaFsHOYBhUYQEVsUzWAgC1b33+Cwbrx1Ay - hEGMA4di2ySYQQyQvvkWaNNIh0O+8kqoYmG0sb6+sLBrkgjDCoNkotIBFVvfVjGdHpW2//slmq8W - xbZDGwM4qenJfbWx9TWVgLD1pWnrhcZ9pvej+8DozgWkNpvDcf4Q27ZEwz7F11S6TP2O9rBt1mam - CtV51N+J5XyMYIP2M2oSgoEB6uPKmQiEoszBOK637XBUPeHrv+6Cpz9tqe1UVUrRNmuXrW256LF7 - D5SN8eaxYzIek+SKKQYaamyPl0UOm2UjIYyGyZpmMtFhghbAtg69vFWPncEVzIalJZ6M1QxxEDc4 - 3Eu8XsUmDHdfcOFwZcfSeeeNdu/CwsAYJiYmAtNUHQ9p+dprpar7o0uIBFOBAjCC9GGcNj/+nEWm - 8aBqagAhBCZIEAxyg9wFywLrQEJghqRq9d5D46oeh5gZLVkXgw5qGVSjPbvC0igsLtBgwMNhGtZC - VLqMSVfWxu2hw8199/HGeLHtdnR5Kcs1bVubKkwATWCGtJgYYpit6r6pSPfbpwzbZlE95eNY25VA - XEWss60P6gO7dj3lu165/PUvOL64dJTE1CKhZAEbUiWqfpR8ZFkf264GNTNTwv0TlB8SZCf3I9IM - pneIPfQf6k508tkWh9Qv+YfzqG5m/TGdiEB2Qm73PF344SqPe0zjLEpGwYJBVYoWNZ21b+fnrUY6 - P3l7kLPIaef4SROMn/n2cP8JfUg0W9+cUAJCQC6FBTsSt5P20jj851/+f566c+mSZ119++ZGiIMs - eVAtUAGJhsilT/8mALOuo9OKHp+/oq/PlbYWCLHB1MyYKOYyyIx7D1LbDMEgml7UEQAItL1HhGEn - n25/qYUhLFW01uZjozTeufPC5z7vom/5Flx60b11XKsHLVEqwoZIgBgZUqpNRUmN+mMRG1hBAhCB - DP3U6GyzggKgYsT9lzVjwfTsXvoo7nl+NtBfdhKBxtileLvKJV/3NU9aX7vtF3/lwpKTdnEWvx4Z - WRWWq8gm+qWvhfkxdJrHPW33pv59lwz718ef+f0/vPKrvipa4Z3LbSnsl2rcY4iqEpGqAjCznDMz - D4fDA/cceMUrXnHLLbfBUNd1KUXEGxHOOeecc84555xzzjnn3KMAGUyEU9ScIXJsbf23/8vvxlR1 - uTvhz7YP7FUAiIGK9KMOKHAwledc/5xrr7o6N21dVQQrpfTzEZ9TIw/IoKpN03zXd33Xd7/qVegX - nSiI8BDd2ENEtu0+oawqht/7vd/7/te9jqOJCIOYQymFAtPDOsD0rCAidV2LiKp2XVcN6uFgdHj1 - 6NLK8qRtX/qy7/jnT36CCEoAkfXD5R5ooJSoACoiBKiW5371c97+i7+0Y3lpMt6o6zrGOB6Pmbmq - qrZtQwiP9hu3nHPOOeecc84555xzzjnnnHPOOfelI6Kcc0pJREIIpZQYI2b34TIzM4sIEdV13XVd - f2fuly5Gvv32e17zmht/53d+G6TMvLy83Lbtg8/jd3bN7+Scc84555xzzjnnnHPOOeecc865x55p - iImxEsMyYGysAIEkQy1c/5Tnf+BTf1Kwvm4TVenzMkMfLqgAb49cYgKTmVIBVBkZqHc0n1v92B99 - 4Lf//b/6jj3p4mQjKEeOsEgGQGchhQ9HBCEb2HhxuEhKkSq1zrYSK6Z/sH0gBxnMzARJqx2D3Y/b - dSmPqwCYqVEwYlLr/6yPNzgHB3sIKxsrGRnICGAyBqCkBiEmtUKCHaMdAVELOKiesIQZYEMxgJmO - bxylQGAStUBKdCaSndyjyTxv69E+A4xHdz+qGJAVIUrpQmBEwUZ7+0/9zJ7VYzsWB2jGZAWTFmKr - 7/+bvRw21tc4RTVT0UCoUlARKSBAm0kVw/E779lxxeWgEIj2LK1M2gmajJBg3Ofz/PWb/6+rI0WK - 2jXMEQcPDztLHMeWm2Ha+YTLcO3VGI+xYwWlhBTAcWN9bXFxKW9OqtEIWaAdlpZAgsAyGYd6gJSw - NAQAImL0kdfIHQiIEBGqFwMSmhCl3shCF+x5wk3fg0FCGibiZCwiEgjEISgMMI7EmB0yCfMgKhCB - AQMT9cnl06emKXoEiSnUetn33XjXm3/uwnpx48Dtg6FhebR40b6jn711Tz2grjDAZgTYtiMpGdgY - NE0eMoIRBIiBoMJGg842b719dNElaDOUR7HeV4DNFjEHDqA4L0PvrDxQcyc5hQrQABZAA3NVPeWN - b7z1R35yr9ooctu09eLS6AX/+vDv/rFtbAwBmM3zv05MtX5AaoDy1lePOn2YAMt5GFCHdPjmW03D - 4rOeidEQx46iXj4/1fHw2s0//bNPeMNNcWEE6VSNY03gpuQUQzClhyfqdh4vBgA837iYIIZIipSw - uYmmq9bWg2aUzBihK+Nbbl00QskwMKOKqWnyYJQ2M/Zddy0Wl6UU5EwLgwNVuOwNr0OMgsCB49YM - ezyN1SbYbLs/he88TTLDLK57++MM6PxjiBC2nsK2HQjAbMezrTcJAOgLr3fnzgrbKgkmU+rzpPv6 - BwAQQFIyMSjAypktzDSdjgDAzKD6aG9ifiHGsyMyA0Rm/VmrsDXS1dWAMkipSoMspa3ShS98fk1k - oIiQtlctJgsGqCJ3OL6Bu+6957M33/UvN5db7trFR5v1jeW0QtY0k/UEDBNKBhjComQKDYZgFuwU - QgRPDxm6dQVAcbga6juWar7uifte8Ly9T7mWl/aAEyyC0LFpoBSqFJjbzZBSbEseVJPh0ETUQDAy - hH4zMUsKQJIIo89B5y9SjocLGRIFqPWRkGZWSgkAGIRM1Lf4oLDIYAJZM6Kq5EnptKiokRAoJmPq - 7ribU1SiLGYEioGNqZRIMRHYlK2w5mRWqSSxCIBmQY8FAAJokUPWAID73bhvs9G0qGx9bjf3AZ9G - Hdmpdf8YOPGwMHKFeyvcd/mlz37Nq/WpT7tnYbjRtaPRyJqsRZbrhU51Izf1YKAis54a9wgwUkAB - ZSj6Y4FNU12NoA91qPP9axjvTHokTENfQ4pq9nA2UpWm8cj9CaPN+nOnxbLp38z/PVuqcvfoZGZE - zMREHEERYDCHYFaU0HdpqDGmfeACbJ23T7s4tnaP/hQToBPP685gDaZAq8RCwcABCKaAMDQAOSNG - ztlivVDWj10o+Z63/+r+fW/as3fp8Kik0XLXtFHjYjXIuVBiZSVjYKuZdxrdAye9hA1sfZPLiGax - 4qK16KiztdvvqomYSDUDCExWzAAwmxjQJ5EbYXrQwSkeDpQwyXbnAPa8pz3xW/99ddXTmsHSRr2w - GUgTRbIBWxRRVS0gihTTZsz9EmBjMjWwMDJz/12iIhgF66+XqJEKCyBBiQzRIhHI2Gha0sJ9gU0B - MgRT7ppUVznGdmn5ls31x3/TCy/4/B13/OGfXKBxUTpMihWNMRDQqabEJF/kO55k2ts2W/iYdvch - AAFY3pwc/czn1v/yLx/3Td9w59rRennJ8qm9v3Nns9zJjh071scbZqaqg8Egd+XQscOvfe3rbr75 - cyoAkHO2/qonPZY7D5xzzjnnnHPOOeecc865x4wQguQSQuhy/m/vec/q2poCIUYpiq0x5CcPJFc1 - Ilh/ywoJAd/1nTcM6rrZ6KBmolkkJGZmLY+1Cwb3v8i7/RprVVWbzeTffdM3/uiP/e9Hjq9t5k6t - H/6z7e/79zmtT1dT9BM9BwZUBVWk33jnb97w8lfs3bm7bRo1C+HcHSVNBqiZWQghS1lcWr77nnv2 - 7N17bG39NTfe+Fd/+yEKnEXNABgzq24NSgfNtnMDgGFV565LoOuuvubX//OvLA0H2uWUUgqxbVtV - HY1GWxH1zjnnnHPOOeecc84555xzzjnnnDtnqGpKqR8z0LZtXdcAaHZjbR/UHUIQkaZpQggnztjx - xSd0zEWZ8U//9PGbbnrtW9/6FjPt30enc03O5lvAmZ+I2jnnnHPOOeecc84555xzzjnnnHMOAAhg - NuZt+RBkHAwcuetyxcO9i/u+7rp/896Pv3u4RBtYmw9tICAYWCE8nagEZgowUZ+2ywZlbHTd0mL8 - 7JGP/pf32Yu+/hU7R/tCM4AQUwjaZwGoMZQerkgltZ2LOyDMCGoAgYjwwBHRCrAZgoahDC/d+/jK - EhVErqxAEQFlLiAEixAmYj3H8l8UfSCXRp2mUs4iSKAoyhYIWYQDVSGmUPUbzzQ0BwAYxjAGsZmC - bXMy7p82MzVlAKTTnEp3LumHbNm2sKdHezSCR3c/ujBCja4JdcBkA0U+9ub/dPnmZGFQ2/g4NBMD - VVr98/fafUfH0i2GkEX7aDBimKoVEBBDVaSrOG4cWcVdh3DxRcyMY6sri8N/eOsvPf0Nb0CdwIrN - 9T11xc24mzSJDErlyJFo1mRpOQz27w3XPBEqWFmBIIdq1bQE2L69G0QrKzs2j29Uw3pzslEnzl23 - s6qVUmAGkU6jScXMWAVqPBppmy1EqyrhoSJujJtq5/K7/vzd3/vB96EeSD0sAu3ysE6BzfrZtTjC - ANNpZDfNdsxZmjKA6ZxlNI/G1jA/IBIKECJjYXggcFo9vne0iNKCMbji8sN33D3u8oioZlaV/v36 - V27FLE0jjsD9NwJAVEzIeKjh2F33jg4ewb4L0DXNsWN7z9v7iTe/9doffSPAoY6zgGTMh3ieWu7y - w6IKiYHS5FjXDDSig2qBCsY7d+VqUjbW64UFmGFjbc/XXH/0r/+mNOtB+zYM+jbXF6wi9f4TqwUz - MglEBGsN9aCejNulEJq77o4xDr762agGGK9jZ7XbCq1vfOZtb7vyP3wPVnZwP3Mdc6BkKjCAIozP - 6DI1gLa9P21fiQRSAylEMN68621v32nKEASGJRw9unHwvr1BsyFEsMCKDBjrk27lkktxxePRZF4Y - EeuEcXeU/QsDpLo1jciBUv9Bs8xsACrEAkTgdKYlmzVobN4GmqbpztPXZ7HcNg/2nv24NZPa/d71 - NEri3MOoPzvSeY4m+ig+ZcAY/YyBBJUu91F7DxtSI7W+BGGeptg/9ehuc56AjHkWAdgveYIBKqYh - RbBq1lAlS9VxbXnH0hEjBZkRG8ysmCpMzQaDAaTEIsMdy0sXXrj/6U/bnxWTFv/wsXv+7M9u/fQn - VxoZZF4mHaZoufRrUgn9Iu4DvE9czA89ITYeNIPBAcLy0699+su/HU+++jiVQ4OR8QCciCthdNCi - YlJgFgdLgxisSC5FSkfMBWKGCGIFEfddFQQLfcnPujrXDEIAM9i4WFEgEEhgDKLpDigCGAhQ6xIw - ACj0jSqzthUFE7jNpiqAAQFEIIUR2GAG7dtmHGAGVXCCZAjAQGCCsZrBLEAxX0jTA9isEsC8y8nQ - N1FP8Z4ZYVrXbCsrd6IMnvkVz3nNq5vHXXgw1WOhqqpFSmBlo1IKmEOs2iLprFtf55Zp65SIQWBS - AtEJSer6ZdcJtBUyesLnmpmJ9gVgOwv33MeO/tyPpvm4rACYYEYhKMymZ6MPxzqgvieaaPqJROhr - s/7wNzu1VOpD5fvu3jNdKPdYpSEQkRkUpGxKigwlsChARv1pJBMZgYhs1hMx69/YFh+vs7Pb2Tn7 - qUdNnw7qZ+ieFnR+LysFFEEVopaubcd7hqNuc/3Qxz517zt//4JX3YARr1ppxJgiG/G0daBAH1kN - 6yt2gO2L3147N3/VtKk1++4MMAgqBOvzxYegJLjztjtYRU0EYAYxax+uq7qtqjn9A4wg6Ghh/zOu - 2fnG16/vWrkvLuQ4zI2oxc46DgIDEziwEKlYLmopAEwAG8GgpACDpC/HrFBqgKKP6BZAlRhEbMpg - 9EsPpjRbhn14toGgVZXarm27LiwOx8PBQbXzX/rth++4864Pf+TCEnZURF1WEWKIoNJTrm9t61qf - 0vRKj8A4IJDQ0Oi8rtz8J+9+2lc/a2nvjqNaiNgv1bjHjLqux+NxCIGZiahpmqoefv/3f//f/u3f - A2BGjFXXFcACR9G8VWc655xzzjnnnHPOOeecc+5sxcyllBhjk+WX3v7LYJhOZxCe/sG8u9/6v4cq - tg9tUMWVj3/88573vLZtU0r9+JMQQiDqcg50bt0SIrmMRqNO5SUvefHP/MJbp2MAH9K7evogcNPp - letidueBg3/9oQ998zd8Uz9Cvr81cT4f9DmFmbuuG41GTdemlJrJZHF5edI2b/zBH/yjP3t3SrHJ - BUQxhKLCzKbbt+4ZghnariXgSVc+6d1/8keLowUWI1jbdRIjEdV1rao558Fg0HUds18Uds4555xz - zjnnnHPOOeecc845585FdV13XRdCICJmNrN+5IaI1HWdcxaR05hsOcYqd9173vPet7/97d/9PTfE - wOfgOBDnnHPOOeecc84555xzzjnnnHPOnU0Y4FlkCQPKMPTzbKShEI/X6bnXvPCjn/7Hu5vPh4WQ - Sfr8tWCzoBOFEtTUTJT6VxsAUjBBIjbKZqn05mMf+/33vfNbnv/S84YXhyZxTmTMKEoCwEh0miN+ - hr+t8c6duxgBAqYAEwUREz34nOuRYi2jJ+x7gnZChhjqfoIWEIQUQFQ1goKAcK6FF0ifvkSlz4AH - mDQYSzEFaSBSlEAUQIM0qKgq6OzkAA0G2EgV0pZOJNs0vVuJgw+scXj053bjNMaZuUcSYZIF9QDd - JiR//Cd+6nIpVW4wWac6UGS07cG/fB8dO7oMXSCORjVShTBgsEKyKcAIYCpMgWwwyfmWu9AWpNhq - t1CFlY0OxydQQARMselqo4oCKZDz+vq6EAqMl5YWn/B47FhECkAQS+M4PHL+eft/7Ecu/D/eeMEb - Xjt87evuvujifxkNj12077Zh2tyxPMmSLEAYGlgjWwpcxzDgOOBYYb3jainkkIsdknznCLc9bmn5 - Td/9vR/5b6gEYcjK0Xg4qLVkkEUggDug9EHCNs1e7fOdtmJWFf0xMAMdOPeLsY/6BgT9v4RBeOab - vj+ORtMjbgDO27N8yeM2YYUUDDUowRhGMEKfWjQNNZ7/aogEmApAREElNt3q5++EEQiD3St85Niu - XGCEGPqC9et0GoJ19oX3EVA2hRSxqiG5SFel2ElEWrr2Na+6nboN6wDAApZ3Y//5g8svtjoxbQt0 - JiJ60HgvIyhDGWxgZdYQlIMpQylKa1YP68lmG8GVyB7gvk9++shffwStYjjAZA1BV5B3rx7/9C+8 - DRsTkOXSNKqRECxMc7vPpD7oetu32/o4KYAgBoIKRO5497tpdW2RAjMQgM22/fwdtbFpkQAJYIIU - 5YA4SMMrr8BwkImVuJSSGc/8wddjGMRQURWJ+6wsMqDMNmKGzH48FWpbG+Ks5ECZvjHbdH+a5XZP - v/Y8vgsCCEH6XWP+t9PYYX3UHx7dOen+9XDOrZmd0Vznns1mckTfvjR7DLQyvxg++SfSGLmTQmpk - KEWEeB04njstgiKsRkCgOAj1QhwuViNrxQoZQhviahUODfngAlZ3hOa5V+//+Tc++UdevfHUKw7v - XFwNtLFZUiA2BENURKWomJ/S9cf3M/Rf5nQf4oHzzrv8B773ol/88WPPfOIttcqOvdBhKJV1tJm7 - cW5zmZC2Q9ZRhHEYi2VmDQhEKQCsFgCAjYPGIBWsMqsLJaFgZ1OTvg9WlD5wkUCEhBgA2jqU9M2e - GCwFC2xcpToyK1DESpYiKgAYxSCqRghMifvcOiMyYdGgTIhAYCYKhqBAzhQ4VrGKIYlRZ5KhSgbK - hIxpqDdDA2lgTYokFJQAGEO3DmWnsvN1jLx7+Alu9r/yJU/6uZ/d3Hfx8bCwFBd2Ki9waJqmIS01 - beRxRjdMQ2QjYwDzFEz3MNNZOPcsU3kWDnrm2QzZtM4/B6r6R17f2DUzEDiGh/nTiadnO31B5muc - 7eSqxh7GTdE9NpGa5pI3G5lkay0IEkriNnAOLIEQQaHv1hDRUnR6TqfGajxrS/RnlgaYAf22K4DY - 9NEzWX6AwIRkEq0EUwITCIYsGAxpre1SRQtVkGYcU1wwuuuP34cP/OPuxqjpUkpGkFwY3J+gslHf - 6uvbe/Mo7i9df7Z7QgEN6CdeF+1/DWIDJWQcueMekzLtKaJgAoACWI229yCdtsLxUBcXL7sOS3uO - pLrEGtnOW1hcMl2u4yCyWtfmZiJtIbGIQCGVOpUBaw2LBAQrA2kXc7vctUu5HUgb0AqXLmgOWhgA - kbEQFUYXNLOCCqEEFFCnoSuhKyELZ0IOlpkA06UqLgJVB1SL2Lvniu/97tETrp4gGXOokPtQAYCF - 6FS6aIygYAWDlAwwKJADclQlqCKE0ULW+lOfwXv++w5CKeXLW8DOnV1EJKW6D/CYTNqU6htuuOH9 - 7//rug4AVNF13fLyMgBRifHcyuFwzjnnnHPOOeecc8455x6lVDWEAODDH/7wpz/zGVVUVbU9uvsk - 8xEl8/RuZrzsZS8bDAak06mHQwgxxnPtYll/U18uLZlOxpsvfclLlhaH06ceujF0BOrXV/8LpvdV - 4Ld+67eOHFslQyQWEQBEpOfe+B9mTik1TQOg6XLTddWg/qEf+eH/9/d+V4AmFwNCCEUFZqUUfvB7 - luphffFFF73jHe/YuXOnFbFSGLS8vBwjE1nXNTnnGGOsUtEHGClP9xuB45xzzjnnnHPOOeecc845 - 55xzzrnHBmYej8fMDKCUUlVVCKG//RaAmTFzCKFt261hHlsjFB5ktAJt/UcUuq6LKRjhLW/5v//i - L/5CVR9wPA9D8QUmhHbOOeecc84555xzzjnnnHPOOeece6hYIKNgxn3WKJgMrCANgaqScyxhT7z4 - +mtfkJCM1IiFpsMk+lyUqAgKMhCbsgqrAqwcBayoYmoMk9SMh6ufvO8jf/C+d6y2d03KGhmCUh/Z - JKx9Qpid6VglYxjtXNkViedjNlT1C+f4BCTu6ov3XMIkoKIS2IbTl5IBFkyjgi0C59b87UYwYiWA - hE3JAiz124ZCKFHWHEIwK8RKCA80uoYBgjERVFUkN7npR+mQwcfPnLOITq4IHu1hW+dW1XBWm29I - hH6WLQNm0XIMoA9SGlYB4zEkf+Ktbztvc3PBgAhEsskmpbT6D/9Y7r1vR5YI6kwBghVAmQDqZ+8K - itDmDmxWSiU2OXRfOr6OxWE9GmyOx+cNwmd/5T8/8fXfh0Toul1FbKPBIEEVm1Y3eLgAACAASURB - VJPSTMDB0iDt2oX9F2kuHBY2Vcd1dbAO177mNVgYjcnq3TtiK1ff+GqUFtKBBc3k0z/987sHC8W2 - DmxGyiCGBQUqZeYS0kaKm4uD6256NSIwjCiCNAJxblHVUAVXybQQgQBQH2I8X3LT2ckM02W4PYXV - tv9m2k/QRYBS4CpSpZuiu5UAhRECL19yyfj2uyR3uZgBifspn3T76tq+96siRpaiBAQGRJdCOHz3 - XTvvuxy7l7DZpMgrRv/jLW955uvfgCohMAggniZMn4U5bYZ6EPofjCkxqWoMDCUsLD7lB99478/8 - 3Obq+jDU6DqiPHrGdasH7owwajOpkBHNs2fn68gA8HQxnhiCZfM/IGhGFbA5aRNz4phM83h8waBe - PXj4wN/+3b7nfw26FpMuDEY7BZHyp3/hrVe95tVpZSlpgVYQRcU5l1T1+w62YmFnX23+y3TDOKkm - nz6l93tsa+EQAWDtVzd4/sUYoNTnmylaQafHb/78kwYVxse5UmTF0bUjt95yfp3KpKGAXDAEjPm4 - 6MpVT8R5FwCURoN1WDMcre1Y2hcjQmRKbZEqgrZ/mW3FfkhyCBmQ7d909hFbC8xO3q0w2yvmLSmd - /qwPPtOac480Y5AqwDRL9CSQTR+fbuxmUFMRPIwh2qwMwExghY3JmEwAKIyJZLZPsT36E3+N0S9z - GEjVwICBU0jNpEmWqqrOpsTEKTFTKGCDGSlAZqIaiBQIFAE10oKQSQG2uh4jrachjhy9+Llfec3l - l977O39wz3s/GI6uD3JmCBuE0EcxbiWnnu7yVJpOBqrTA8HWgUMISmygY1W9cekVV9/wv+H5T7+7 - kiMppcHS8U1NCBUxyCiAAwgUDKaiShSilBKrSAimKqJFtKqqbb0SRDYNNZxVuWcT435KUlEACmZj - qIKJWWFQI8BCP3MmUCx3BhCYiZQA0r4BEQJR33Ikg1r/JYlhQGAwYAJRhRKYQ0wmKgCVolAjpMgG - qMxaHQZAp9GkxqB+h5ouupMzdMEgne9oJ4bssoEycxu4iTg6TOmaJ37tDa/Ek59yoJnQ8p4C7sbj - waBquq6u60xSTKuFIcTath3WA9F8Bhe++8JIQUZE4CDERGEexWp9L49h3nwE8GXtXHS/FqbSvJuP - iNhM1RB8/tiH3vzgPtd35cz+PcMJxDNGICJjApERYETTtrQCbHzCqSVs3ipx7jSFSBAQU1lcOHLR - hQf2nNeChQIZAixAVDWLlbazboKSTQMZB9OoWqkm0UotiSbVaErW962EWS0JQKdt5pkT9rL7PT6r - XR/42W2vmjam+mYBDAG2Vf0aAzqZ2MIApbOgJQCTXIaIl0b79G+886qnXLz70gvWUxh3EtNCkQ6U - QUI6bd5Tf6Z+/zr5C1KallPBfdubp00vDcRalMiYKItBgW6SDx6Ipsx9SLiJKQEcosl86vAvq+Ip - FMriCnbuFYolpESBmTfWjqdB6iabiBaZEQ3ExsxKZCBjA5TVZj1lQRFMqW+J9cHYAKBKzDZbITRf - QWrzFTcNLYcCob8uYphMJsOF0Ti3MukGsWqyHUDYd+nll//P/+6Thw7Gg3eczwAhBAQ5zcOpPdBa - o4CsJQlGsdqf7ZN/+l+v+bdft+Nx+xqzTJj1QijbtIEvhNnPatTXv31nuFe57uwVUuxKa2ahSsHk - R3/sx973vr8C0LYSI6uRiKytrYUQRKyU4tuzc84558493J+YYKsHrr/ur5hdrrXZc6d4Ong6+rOP - +aWGrQLMzlAehjI459xZYdYTOK8J+36p/uI2gHnt/bAVh+fXC7YKOD9G8LZH/MzaOeecc2ecEYoa - Bc5SfvXX30FACNR1HWYNp/u3SNRAQIixlNJfr1ushy/5thflpl0aDprJhPphzAVd1y0uL+X2XAnw - 7gd0DQaDnPNoNNq/MPza65/zvg+8f6PJRNOz8O0Nz9M7MTeYmAIgZmZIUQPE8Bcf+MCR1aNLowVi - iAjIiOZ3fpxDRMTMUkqt5MFoWGBvfNMP/upv/EYxxBRyFgDMjFIAhBBUtqVuz9ZHvyMs1sM//oM/ - fMLjL5uMNyvmFJKIbGysmdlwOAQQYzWZTPJ6GQwGWh4gvfvsd9JYi+2P93z0mHPOOeecc84555xz - zjnnnHPOOfeAFhYWzIyI+qlaRCSEEGMEYGYiQkQpJdkamXAKA4P7aYV1dhPIG17/A7tWdlx//fWq - 58o4HOecc84555xzzjnnnHPOOeecc86dXWw+d9o0DsvAMDWgqqpJ0xhLDEk6+6prv/Zvb/7LdlLK - oBEumOU9zGe/CNMUwgKCog8uZVbenOTFxeGkmax1TVjEJw7+f+/67/bKb3xV7mqUEYwB3j51B9s8 - KXFrVIaRTjM3Z0lqSsrz+efsS40sJOOk9XK9QhRUNXIEsWkxJRAHVdDWKA6jWaiQEnW8Y7C7Rk0I - pXSBiWBK03kcCLo9sPLcQYZpwggUxLA+CIRVA5hDCI2WWMVSCtRMVU36BGMC2FhmSTogJYIqOsud - tAAiRR9P4+Ye7bnd8Ojus0AfEcfTZCKChWng7aRruUKERmMoZ+IKwGQDZXLzT//CBePNPaiAVssm - JyIrx/7m79rP37FLKBpMJVHIJn0ooRpIAQQzKAuYSK0KplLy5jHcdgsWBlge1sSjMpmsCcoGsr3z - Bc//juufI/1xRDLWjlXMkmWN9aKnXAcJiItAzIlvXYzPetMPII2goa4rBaTm0KcXawIrRqOrfvon - H2RM4/ZkYDq/D75mRgC4T0UmANUQsD6dkoljH/mZ5u9B2/KM5w2AbbNgpWmlztufYmif4CjgSOnP - 3/velz/nq6mqqVhUxt7z6x1LsnqsFlgppNMVhHlmKk2/T99IIYIV5T4KrkgApDSjccZtN2PPV5oo - JS7N8fM2CZNNhGhc6yxtM/QhkiZED0n+8kNkvgAZZBGifa5zSRRQky1fcOMP3PnTb96nFkMnREFl - 5/XPvO9Dfz/UMCyq0sIsBPz/7N17vG1XVSf43xhjzrXW3vs87iP35iYBEhKSAEJEHkpQFCh8oZS2 - WrZVKpbtx6ZsJAioRaHVXbaND974ERWFsgGRLi0+Fmq1SNu2Tx4qpVABQhJCnpDc53nuvdaac47R - f8y99zn3kZB7c09y78385nxOztn3nH3WXnutueaaa67xswTjWYVy4/xe5X5MjqujfLQGg3QWFwVT - NASYmkZAHZC6sBTXVjZW1/4yLn3VU7FnF1LglJYMDeS2//2Xji2OnvFvfwq0gYVFEFzNSBGEzhJL - zeAYrXaUQ7umf2Uetm3bivWSIudtQW3byuCtBKvczSMhSyQdQWENKP8qMRJUyBAUvd74ujdc0puj - FtIjGJTHN32qCaskJkDlqO2MHNaj2hWX47qno14Mbe+XbcPRnbv2fM3LX456AcrEcKoMH0Jwno0w - 21gYQHX8O/bgzHYH8LSGMiDY2pW27yzH7VPH724nbS/Mj7YSdDvDzIjABDMr63PHnOocSQ1MZibE - MGiCiKju+OkUUY4IJyGGBfRjMXImpglkBERCYsC4UpDp+b6b5aNAfhFKqpIPDEK9NlyRQ7BoBFJl - Yqiqca6iboCS5hP7WRO9LdmRlBQKblsdLF90qG2H+/cfeOWr2t0X3/s7/7lZX1ugro/KTs3ABgtg - QrLZCMJp2BaFaFBwynGwENLEjAio43FSrhe/tLDw9H/7imNXX2HNILE1ChdJhANHnR32SRUggykE - BNLkHSPlzgsAeBFLpkQqOg/90OlGfG5VkydjgBw3pm0CiDkyeocYsZCDJClnQiohZ6hrAJhBTGpK - aoRpfDepETAtqUrgWbVKByDBbHpIAkyRoGlaBpVmO2zS+aEtM4JOOxsGJJ//pKnCplHuRACDOEFD - PuAzBBCF52m8aFBVGnTN4I7U2dWPe9y3fdOuF//zdjTadD41ohQV4KGbICqxmbLlt9lgLGSWAj/g - W3ben1+eB6JyAjPqIYE5zftvwKxjn3erM2pocz/Ijg+sVQILnGPf91EqP98CHFMZYDq78jsn23Yk - 3molyZIys6o6hmk+4O/s8vRqrm4ip0SAspBYisSsnN95NlKdxiE7ArOdW016cT4xTqogR0pru3Z9 - /W/9FoUImo41zI6mADGSQiOS2pFDk8NHNw4entx33/oX7lz9/O1y8Mhy3y+2cdl0qMoxmgUhAJYA - 9q7voyOwcIqq+fBNSDbN45k3fXkoSqCYlU3f/q85FZtmQwQAKTgv2awa9daOQFBRDAjo4GYt85CQ - Us/p2Mq93a2/9/4nvPxlbdocLu7t2mhsxgEUQc5MEnsAYmrQB3+dZrYMs6s7RpJv5c1DGBbEs1dE - NQyGfdioN47KsXsbVVII5i8alsKJ59f56/tpeR7gxC8yVjn6x1wcNNbkYwpAJYO6MyXnQQmqgDOw - KVwEmRml3K/KIdxKHFkjhExmqeQ52hwuL4+xza6pzBZo2i1hU9jWCZFBDFxLHXrECkYWU/DkjYcb - Ehde9ML+Ux/d+PODuzdbEFSZoQY9rS4rGZhUjadLBWI1DzVCj+QcGCnE8e5mz71fPHL4z/9y/3d/ - +30NhWZkEFUlYkAbohCCuUoJdYqAdU4NrMac+5vnWC+6uKBNIxuBrfFkAGZWVVXXdUA+E4GTKsY4 - 6Sej0eLGxkZt7i1ve9t73/efqsr1fQQQYj4LZAApnZfJBEVRFEVRFA8ZT892aXqqopiesjDgoAJE - oGcAWBwujDfHupODrnkIuqr8OHYwNAQoApDARoxphO28jtO2nmFRFMUFhgBiGAQQaALStNVjwItI - ry1I2SEXsiNm28nzWoL6WUZjAicoAAEMMEeqBhIo1yKWUo/OVRT6co2uKIqiKHZKvkyf6+fmz/mR - R3q5Hiradnlv9qUBiJpEZPqqDcwMIKmpsJrdcfc9f/rhPzMgJcNs5ZyiI0IgcqZKJITIhoblJd/3 - fcujoTPqu1ZoNmvKrK4HF0Bu98lXS0++rrr9Z/oQmqYZtx0x/8SP3/AnH/qwTNfqtuvCNJ1odwbp - 3UZqpiCYapqd2Oet9td/+7d/7t//rDcMmyr2XerayvuoD3TB99y3feHzms+fU0o5Jd3MiC2lxCIA - zIiI+pTA0oX0xre8+e2/+U5jGCHElNd43/f5irmmlJ9fHKegyHeHKAjYt7D4gd/7vase91hKyTER - kcJC6p2rAMSoAMcYvfcATpnb/Uit9lP9XcXW2uP5zxhBZ1P2t2/Vtu22VL6fbO+iKIqiKIqiKIqi - KIqiKIqiKIqiKIqiKPIduHnygMi0VFPXdXVdY1qljfKPmel8YhIzE1FKmMd+T22/QM9mRJpv1yV0 - vb3qJ//te9/73muuuTrGKGRmycx8JaHriYjkAesVnF41g6IoiqIoiqIoiqIoiqIoiqIoiqIoiuLh - EGNk5nzhWERSSideR35w8rVpy7FSRGf2JEXx5ZFOwwEowhhwACdyAGKK8KQp5ekTdRx91zN+8J0f - ers95uBKWhuqY2VDhKA1EEHMiSpBjZAIBkvMMDRSh3HLDhhgLbZ103+h/+yvfPD1P/riVyziopHu - dlp5uJhC0FA1tSUSknkED5GZpT4G57yBAc4JakaqCCBlrR78yxUVG/tLLnpM5bxZEm5SinAAQxLE - ZsmJswTqRDlbkQau2VXv8t0otuyGGtI6A2TEVpFp5AAkbMsdf5RgY5eUwWIKRGOCATYAKuFh37Xi - XURSEBHFduIH2uVfVAewUgQiKIKAiMo1SWwzbvhKwjiwl9LqPWrlQ958mhYRQgiP9EI9JCW6+9xw - fFEoA0IMdeU79AnmAIAqAwLQdbe85a1L4/GSKixhsskSkWzyiX+0e+5dCLE2MSQDMQvZtNQRDPNa - VEoGqGOkYE6EYrd2951L1zwBoZKasbmxsLjwqXf91nX/+l9/z/OeB4VYwKSDqa6tIMUIWti/H8MG - rgK5tg39nsWvfs2rMBD0Cc1AgC5CHRKjIoERWAxM1UM+Dm0VOdpKGt7++IlFkOi4L+Wkx6cJ0oTN - 0C85fumHP7T6xtdrtzriCknh6j2XX/6lY0eHrkKM0zVonBNWHiDjc147SUwXgfW77ly88lpaXobF - xbru1jdufNObnvIzr6Xaxx7K3MgsSIt0+2KeC+ykdctAAFpgREzD4dHFxWZtY5hS3Qi4xr79+57x - zHv+6iNE5JL6nK/pEGfFpZTANk2Shx1fZ4pOrMR3QmqXGCTF3U427zl4pPv7vc96OvbsJmFKnV8N - VywtN+ubN/3yG5/4U6/CuMWgMQ3kKxBqdgnc9n1TVaqQWQIo5rndyAV653sJw/LycI5kJTCgs0J3 - W6tDE9gBZhWRKfoQqtqrRiEgBRxbvfk33nnReLxskTghRHCFu7949PbPX9IMEHoFJFnl0CqwONr7 - rGdgOECvfml5HNbaweLXvPwVWFpGCDl31DHD4L23be8ObV9Xp12A7MS9kr7sJnh/u9spfqQozgNk - YMI0M5mYTHOUQmYgZs6phNjhSKxZCDERMWnAZDwdZ9ke6zUt33fhyAGluQHLEY85NNew9cJ51ofZ - liGIbd8ef+zIJ73EVV2vrK7sGTWom3sPHrnqB/7Vfffc96U/+dBjAzeiyWAGtZyvSwyBnV6aYO66 - zReJoQmSl9aApGCHHjYh7ir/xG/75v7ay1f37XHOWUoSIzpNZCZIx71MbO9fbH1l09CRrVd94m+d - cxhs+T4WgubhQ8A5aI/pO0wmYADEqgSX32IlUzWAjXhavfLUz398/wEAOB+t7X5+5hSUgJjUAcIO - nDPQ1VQVqlCC8xUrqXISA0WECAM2obxr7xHn7q2qJ33rd+z5nhdj/0VHmtFa5efPjO0NhvHxx9rz - vu7w+c9AqjlAwtXY1hmy3EU0nueZPiQnttdKEDbOCYsKKFgQDXau9f/Pazpb7XZcQVtlcK50rdOY - 7Nmh5GG4pMFEvgKREohkns80z8Cdb2q0NW5bGoriTM22orHzty/6rYdzzeytq3pmZqxptH93ozqa - dHu7KOwQDXfdg1tvbT938xdvvPHY5z8/StjjGukmrg8Ny7QgucGiEuArAWyj13qrGctJ4QBBabpH - Jtr6t3l6N47fT20eMb61/W9L7z5pTyWDM3XQ5dje9jcfv/JrnnPR1z3vi21rJsr5d81IlQQ6zWh+ - CNcwiYwZqmQGGIGh+UGAAyTBsL5Sd62YnrL7cVbqaydG7wjLo7ze2GBkkZCmAxmzYPI8YG0gIJHa - cacS0/72CUeoE/qTp+5entifAcCAGCUjTqxOAUDhxg4k/in/4js//U+f6AhYacXUVz714QzGBHlr - zIZziHtisEAZSMaAtZPFpr79L/76om9+QVON1pOC8lsUQWrGIhKPO3HQWTu88yd4RfEgqOpkMqmq - qqqq9fX14XAYgybYwsLCxnijGQze/Oa3vvM//kcAfR+JyM7rwIeiKIqiKIqzLGd2z88elYAFCENr - gIGJIgGyubF7h4daOC9K39UAgHwRtwMCaGwAwcpYT1EUjyqW57ZM5fEXAVIKhOgNjaIBEmBpZ1tH - 3nZ11aBpNhkmAW20CWBeYBZSclARysGZRVEURVHskFwMN992mK/aXTDp3afkvTczVc3R3fklq4G8 - Y3HveOe7lOaTVKCqx12/234rgSlYQgijwbCbjKOmH/6hH6KoJFwifgGIyNra2vLuPW3bPuWJT3rq - E5944003M1Ovs7Duh+KEX7dt/2f8p9//vdf+zGui2qCuu65bHg67tofzJz7J+UZx0pxywHvfdR0A - 5xyxEVG+jVIJBmPvwfS6X/jFN7ztrZT71fNVd3wWNTGDOcUIoKl96IID9iwvve/d7/mqp15nKaa8 - v8Bi7JumifFCax9OuXqLoiiKoiiKoiiKoiiKoiiKoiiKoiiKojgDZlbXdQghV1c3M+99rq4uIqrq - nIsxAifldp/8RLPi7PmHDx069tKX/tj73vfeyy67NHQdMzNPy85WlQ+p3J9eFEVRFEVRFEVRFEVR - FEVRFEVRFEVxPkkpOedU1Xs/Dxl9iPkUudp/UewcnWUw5e9yIgBgRMZspGRmIDQ0fNzi1ddf/bw/ - vPt9iwcq3lTNQQQCSyCCGsQYpDQLRzUCGXMyA5slYqhDZ3pMD6eE3/rjX/3eF/zgE/Y8uV8ZVxh5 - 51k5TAJzlZDIYKYMZc7zKOqcSYWcAwEjU1COyj6NfYSACoPQbezbtX91fF+M0RgKgE6R4qOzlUNk - ta8EXrRmkoRoEk2ZTMhyJClAkVRgeLSVdRd1oIhprZQERGWFMYNDgq84Qf3QHdxcDRoAGEEJMi/d - Nw8WUcDYyJTUkARkQIJxiaYsLggluvuRZLNCpQQV3sruUqh3mHQbVT000Lhrh14wmWA8ufUNb9zV - ddXAT9oN6nvfVOhZP/537a13+dAN2DFTTAm5UVMQ3W9KkAJg1pTWV1aX7rsXC1chRjTD1PX1eove - Yh90c8K792FzBUobR1dUlcTtesxlqBswJxiNFlpxYIYa6hqARtQOcf5nCFu1huwhF8M6yzh0nav8 - yFeIHYZ8a+qeIIPKFGmMxuPKy9Mdt3XHNoYkpipgg95fiuQpnt0QgobNTb33bt41QjBV2zUcrIUA - S9BQu0FeIcnMYnDu3CrKZPNk61z/inOsLASowFR7cPjKV7/s5je91ayRdtOJwNc4cMllz37a3R/7 - 2F4HTgC7EKJAAFPWrQzak2IRt4d4nXp5SBOBAdnsxGjto/+49JVPxYE9GDRSS99t7uVmtBk/93Ov - v/a1P41kPKwB1/daETvBqKoUkFyVV+aZYERgGE8jxmhrSch42gMjxiw4dkvupAqgqHuFCAS+8gFg - GI/HiOmOt/3qniNHLlocok/WO6p240t3r/zdJ/ZXo9BOGibyshrS4qge97rnaU/DQgPtIDUmYx0u - jbWGbxACvAcBqgBMleTc2k6K4kIyb4KIKE+uF5EcmP3wlLskIjJwMqxvmFkk423FDMUAQEvK64PQ - t93CaKTaB7OFPRf1GxsXv/T777r5kys33X4ZVRojQynnSoKJCelMeiiErS1Dcl1ZKBOigQBRUe/i - ZfuH3/oCXVokohACETFzvtkjD9KdxVd9jjBSEw4xeAYToEkULsEIZhpnuxNRNEIymMJDxZgAhuQI - ezNVKG/V1Uf+ggyz2MVZjvnsLdi+s5y4SKB5mGgOjM8PuxxiqtFm/TsGhFnAUYP1ECEBYgID4nxP - 1WRxeOtys/t513/tD/xLveTAvaub9XBxzOdWB7d4YLNBRpPKq2ruEdr9bz9nVwoRtrVZP9rG6R5O - x69bNSMYLJ8pP1ynpUZQYqobgNmmmx7R9M/rtmUgmyfUFsVOmV8LnGZ4O16zrqu4ck3bwCc4mHzF - Vfaky6vveN6V7di+dN/hT37q4F99fP2/37RrdXzAORmvjipCMDUIee1jgi00tXYdjOeXMAhJTPMB - XwlKDOTBlLyJKxmMIPmEHJqIE0cApNPu7oNEjqTr/Z333fKHH7726c+qG1iz2COKcmIkOCL2UBgS - QRl8Ot2uaQScnSLK2oxAnOMEoMZqOHoUMZw69Pp0PHCFffMee/YYkxGMiUCqSkyAnfCLxgrd2fZE - 8xsJACBjGCuYuE9IkdzoiU+85kXf8vnfef/lFbloKaqCiE5czgdGs6tZStN3gebJfAqz/E0cUL35 - udvwmVtGX7t/xZKZJ0IyECFocs7lA73StDs43w7P5uooijMlIt77tm3NbDhYWFtdGwwGdV2vrW0M - hsP3vOd33v72d5jBe4ohN+PzsxaUzbgoiqIoike16SjxNBgbAEhZ4aG7gQXAzUaQDRAcN8q8ExKQ - AAeE2edN4DDSBDBmqObBqDz+o6c40SyKorgg2PSCHGZz4Ww2Cc7IOIUlYBewDAyxNVCzc02izqY8 - zeWJ5x2wBhwFNlPXk1OzCIWZlfPsoiiKothJuTCuqopISinf0PgQb2U8d5x8DYxBSRVmQkyzOUUE - alNcOXrsgx/8YLKUzxRBp76lk6YTGwiWALSTMQHf8HXPfdrTnjZZ28D0XrgdfmHnA+993/dEtLy8 - /KM/8iM3/NRP5SR0237nwtmmimMrq+9///t//KX/ph+3TdMkzTNMz3t8qmvWuYB1nugYY8oTa4mo - bgZHV1d2jYZvfutb3/y2t1aVn/SBiOx+uvmmCtW6qfq277pQO6GU3vGOdzz72c9OMQkREQmz977v - Jn3XsZzrUein2gfvd6vb/g/z2yXIwFSu+RVFURRFURRFURRFURRFURRFURRFURTFaSOiGKP3HoCZ - hRByTScAIQQRmddJN7Mvk94NAGDmXKLdO77jjrte/epXv/e97yEiIsQYWNy4ndSDBidHd1spElIU - RVEURVEURVEURVEURVEURVEURXHuEpEYI4B8UTillB9MJ1//fXCI6GEKryqKLdPK+nkWBDPnPDUC - 713e98zrnv3xg3+7sv5FdpNgkQjQXM2ActQjME1mIQDKbBBmpISIPDUisY1Tm+zw2sr6+//ynf/8 - 677nSQeu69ej9kOOzUK91OlEKZExgUw1EoiI4c08wEBkJEKAgXUAYyU1Oo1SCiISY7r80sffccvN - wTaVlGGkpqQ0W/j5fsezoJm6romgmthVlnpy+efKRA42YgInYiBqftepBzE0OAIrEmtP3ZHxkWiq - 4FmC7omIYdFyHoeZKV0opYKKh8YetnCvHVaiux9xrFBADRCelm7yUIIO67oLsfaNrwfoAtrNT//y - Lx3Y6AewSdhcGHjfG9qYPvqJzTvuWogmoHyQZBARm1myk9/gaQumCseARgZGzh+65dZ9l10GqmFp - aWF08PCx9j3vG9Q1DwZ2bI2cg7aT9fWKiWqPAxdDAFUlmniH3bshDsT5uCSCXvOibP+T5+hhqapr - ENR6sMLLM177msNv/JV+bVXURASj4UVXPX7z4/80ZEkpOFchxi//pNsIZL+QSgAAIABJREFUMXX9 - 4ds+t//xj8VggVWpC7vr+h9+89ef+bIbYC5CXMMiBLi+76vqnNslFRACoLkDBUDABvRqQioL/ppX - //jn3/Dm3a5KqysWk6s8rrriwMbasX/879577aObFkXX7ZXIz7D9FG2DLteDfjxpUzr8d3930TOe - hgP74V2ahMH+x4Rjq5cs7rrzDW++J8XrX/saLEolDgyERCKmCUxQA7ZleOaXZoxZ9V6ibQXI7Phq - wTSNH5uunAhPAAvU4CgieBD1HUI69K7f3t+NXT/BZp/aINUCNibhUzfy2rqrhh0MdT2ejLmWgyke - eNKTceml8KxQJrTGR6rmK37qNWAGTbNhVRN7TwRVJT5Hd6iiOL/MG6W8TyspA7O0YACkZuzE7P7K - CZ5lOcpTzColrK6TIFLyBMzq9M1bzhL1+uWpCXuLPUS6kFY87d+38BX/8n+48xd/I20G0+QITDBC - TJqb/TOw/bjGZshHDA8OiBFwrPXoouufjisu3UDKg3H57g6+4JtxiongGCCYQggUYYQobCBBJAMR - jGYbcwAwK2Fqufos6LjOAh//tZ7clbj/3G5MhwNs/mOz6GZL0z6BTIveJgJDkTQHPbYpRnG6ONwU - PpZAu/Zc+Y3Pv+zF34jLD9xVu7apmoXdXVDV0+sfFo8oIhI2g1ndNGZmAJ/NcAjFLLx2Gjg6/x6A - Je07mG7d1mV0YQwrnFOMts56T/inlAIR4eEb1uMIYNAgD2CbEU3Ta7ctGW9bzlKPt9gR06zufHgl - yp+VyDUL424SlAd1PQk6bidau8HiwtpkrRkuLy4Odz/2sn0v/CbcfbD/i4/c9Bd/KUd9s7E+IiwB - VUxIxoC2Xc5BmxUjV0zT6PPxl+mEnqvx/HrJ9KSbZmHKpA++OTaCJWuYrvDDW/7+nzb/9u/2P/+5 - RxHGakwsyokI02UwzX+R9Gzd+6rI9fJZTJ0RDh2WlHa0OL4BNGywazGBzAxMZiBVENP0KhEddzQ7 - nYtSZ4LUCAqQ5p6tGAEUjLW1NAQtfPuLw19/pLv9dkxiG5JjEbXTbeVOLuueM+BTQgKEidR8iI/B - 8JY/+fOrn/GMYV2FpMqiAIyj9jS7Vpa3Rti5OjpZPFqJSNd1+Z7/lNJoNGqa5uCRo7t27frPH/iD - //AfXuccYkToLZ9CXjApJkVRFEVxAZtX9il2kpERQNPh5dkqb4Bl4LuuuOaxoXeba1LT5ubm4sJe - qFHseMdGXRTsfN1pnEzWl5aWQqddM7oV/Ef33NYDm9A8+JiXlIwEpDjxXLkoiuJCQbMhMjKZtX2m - GvtdsN3AC/fvfUrlF8cdAhMR2Ix3rn1G5HyR3cGYZhd21yu5b6H+vVu/0KlFF1WpGgwm7ebswmRp - oIuiKIpiR+RJUwBUNX+7/QrmhSeltO1ut+lsARB57z/4R3947+GDNpv5ZGbe+xDDKZ+HmTUlL05T - z8ANN9ywurpaOQ8tUwsAQFWbplnfHDdN03Xdd3zHd/zC699wz6GDwOzC6UPp3NkD/boTfve73/3D - P/CSmjjGyCzMO9a1faSFEJiZiKIm55xzru97Et6YjBeXl37tN37jf/35n2eRvg9MnPJ0mNmqy2tR - 598A3aQnwDPFmD7w/t/9hud+fexD3/e7lhYnk4lqYmbvfdd1j8iL3WlkW4Hf8y/yTIsdnW9QFEVR - FEVRFEVRFEVRFEVRFEVRFEVRFBcYVXXO5ZtzRSRneGfOuRhjrrE+/5kHei4imIUQ8i8CEKGPf/wT - P/MzP/vG1/9y37fOVQTad9HFR48dbppmh19ZURRFURRFURRFURRFURRFURRFURRFcTb1fV9VFQAR - UdVcC+IMcrtzwESuI70Di1kU29gsu+S4SAUFYGacY7khAkkxee8v3XP58677lj/82Pt5d2TpEyMl - iEFAgdTAABNUtgW0CQxkqkAkYiKYOY2uY0+3bX72/f/fu779Od/1rCuem47ZCLWqAmyWEsUcR6AG - U2JlgHmW5cgAjEjldCtt5jwpUnflgWv+4lMfpsaMY15QAxKBZ4Ua2HSaMq2AknhWStG0JlI1SkRb - a4xOWoGPJkZGDkjTMFtOisDGprH2LmpSiutp7dDkUBCNpjmIU2cxF/kdYYMxzAwKYW+mRGZkasal - WF9xQTjncoIfbQQAWIEEJEAAl9ugEKiqay9Iio0JUrjxF37+4q7bO1yIa2vN0KWjK+gi7jm0cutt - C2aekUyjKk/jepKBhEC2dfzI2AAC52QktYrZiawdOYov3YurrkTs0lguIm+Hjsa2sxCq0RLaCbpJ - ajeVqV5exNIot5Tm+EiKV/3IjyAkDIdIBgARlUMPMHSeFXRcZN05w4AuovZgEFJC44GljcUFHo8X - WSVFQJrHXdl/+tZ+ZY2B0613RgYh8prC6hHc/QU89mquGoh0R44MG8GxFewdOuHU91I7Vc2d9XNK - 3nASQbBVy8wAAYgF7KGKkb/qhv/lvl/+1YsGi2IdqMfE3JOfum9ih268aQRXVRJDD4CNaV6Di6aB - XifIj9xfL0ojFmq03aRxlXZjivHYRz+x+0nX4KlPHgwordw3XFjsdLzcyoLJ7W94yxU//SqMKtQO - DYUQxLtgRApnDCgJDGoAQXMlPCZsnR4RnxgSRVuf8784B1WwRyLqoGyRYsK4PfqmX63uucc35JYa - aJJhg0mPz9288cUvjYTG3YaI34hd3/i+aWj3Mq57ChoPNrBXoyMil7/2pzFs4BjCUAWBvcc8wfdM - 3syiKI5zwhmS0ex8DJRzsgEoTHxlRqo7vt8xQxUwFcPAYGtrzJxgzBDLTSfLLN1QoSV17oFVVdW2 - rVMlks5osPeim+87es03Pr95/4fGn73DpegN4ATAYGeQ4XqKXyCdHtQURCBAzY+r6nFf/5xQ85in - od3TnyUCkFK6IKNcyIAEBzCjTzDAO6QeBnTKBEcKQTKQkkJABO+ApPmonEt2MoGYLOU+Mo7PSZ/9 - oQexMAaAdH4EP6HjQcKWtDeoAoxEyNmhQ4c2RAfi4a6Dql+UavTVT7/iec/d/axnYmmxHw3XnYBM - jELb9X1f1/VDXWvFw4gMZgZGNRzlPifRiTu1PfSiqDYLUd3aUtXMUtfClMyM1EhIT3tovnjw8jBf - bj6EDEQpRKacXvywLACQQBiOzAg6PUhsP9MxTM+P+MudBxXF2ZKvCwIgEu3J05DJYmAnfmmhSRbj - Zlr2i8SWKB6yznEYXH358AlXXvdD/+PmJz550x/934du/OTF6+tLx7pdgAxcnEQjVjgxZShBt9K7 - jRmkYEz73gpACUoM6HyMiA2Sd4TTbHVbQ1PVPoR9oFs+8MGnPeUp7jKfaiETMjiDgROZkdLpP/nW - 8pABimm7DSUQTYfyhCjBKtDGoUP1NMl6pyTmevcuNE1gBIPM2goB5R4t27TRA6Cz6t47x4C8QthY - FTCnpMYhmfZB28HI799/7Xd95z1vfOsuAJVLBjGT03oXpi9B2US3nb4JkAjK0GSMVMV4IOg/fuQf - rr7r7uHoiZuIASRExqQJCmMjAImYt433lMLnxSMpX6+l6aXulJKTikn6PlZVtba2sWfPnv/6x3/y - mtf8O+8pBBPOJ4+67eTxQo1+KIqiKIoLwRnMwizOQA6+NkpGeWQXbIZJj9Xu/7r+G66d9LtatrZf - XrxofWWDiITDfNLC2WeM1roQdu/es7k6gfkjCUuNvOXuI9jVYOCVp8POZAwwGVk5JSmK4gJlIMqn - vGTKMcEAEoCTYG0dbfs7z77+SRvji3vhRCKUYqt5evROLY+DOUDnF4GM+DDzZ7p065fuwvIiBsME - v9mOR00DKBudNImgKIqiKIqzY/v8qJwBrBdE+PTJ53dbobx5+piaqqoqQBCEFN/1f/62wQA0ddN2 - LYA4nzdi+RfnzwBNyTmXYhDiqx//+K+9/jmWwgm3cT7K5xj0fd9UtZmJuOFw+L3f8y/e9utvtzzb - LA8FPPRT8JOeQYRi0ptvvuWjH//YN1z/HAMlmHPO0vl9vr99cz7urhNmZo6azExEkmlI0UlF3r37 - fb/7mp/5WWIOKRmgBiIxs62VRjrfYInghDWqAFD77Xf8xgtf8M8mm5tLC4ukFrqeDJWvuklb17V3 - 9TQF/AKyldU9+0JLaHdRFEVRFEVRFEVRFEVRFEVRFEVRFEVRnJEYY1VVeRpSjtw2M1Vl5vnsmrqu - u677Mk9kYCJ2EmPMc5xiVAAi+P3f/4NLL73kZT/+Y0QUQkgpDZpRqcBeFEVRFEVRFEVRFEVRFEVR - FEVRFEVxfqmqKl/qnZe5yFUvYtzB4ldF8ZAxtpJWFJiWIyEzVSIzmDF8SpbUmmr0tdc+/5YvfPYz - R//GlgCABKK5YmdOZGTOQY0GmAKISEYmcKasBmZV0chqNI4JyfoP/M3vHju6+k1f9Z067jdW+8oN - oZSkVTITgTmDJyVBEAVBYQywgRObkZ5uBXVVrarmsl2XU1fJSDoKbIBNg+SwLcmFDDSLnUqwiORJ - jYw0xzueWITFgEdhymPOAdn2ytU4qLE3sV6dSIekTbzjyBeSINE0D92OL9mqBLEc9C6VVIizHyB9 - 9GaiF9uY2fk+k6pEdz+ScvuU84oSkHMDYYAxuSFU0XUwIIZPv/6XHituJGFy9OBgMEAfGIK77jn0 - sb9fAtWeY+ymSTaEHHyoybwniydvoNOpgTFCCMKIXbvg/bHPf3734x6DwdB5vxjMhQhfgZ2FSGZY - X0MMSfxw30VwTmFMpCzYuwcEjEYpqYBh00KmMosmw/xgeE7uKeKhgGiECNih76/4sX9z+5ve3LTM - kw0i4cWlpcc+dmX10wssKcXTbfjNdOCYTTdvuXl0yeVwDtTsGy2gj2CHFMAszkOVmc/BA0t+76aR - WrPj6bTHo0mFwJVIwtLifXVNSfeyYJy4GlBUXPf04Th299xlXSsMyT25rZd4JkW1HKPr0DjE2DdV - k/q2WcfajTfVRw7XT3+aLA6QWhfbihvhod9c/8Kb3rCxb/mpL/0RwHyzgGSmSZybBuQZlKaJikIA - KcEE2MryI5xYmZdAthWCFfpYVW6SkheidqMRxubmbW9+6+OOjh0xKm/9pnUdQ3DrnYdv+uyCaYyp - qaRPMQXrSLC8fOAZz0Tto6pb3LO5sbnpfHXttWgcaunbtnID8LSOXwiBnTCda5tJUZyvcobfvDFS - Ak+TVg3KBlIWaSow2cNyMjVtjlQbtW51zTEpaS4lnoMoRKEEo1kcbHH/Ukoiwkxt6JrR8uGNFb// - QDqycumzn33oCwcXQt8gWkpGIBARnUF6N3IAMB0XDcuCkOAIEN9BdHkZ115zuJJemJnzgplZHo87 - 388iHohOD5+aciytBEq8vHCvVUHcQONQk0ugFFVbSmlgEAUBnGPsptmetj3zePq/bbsizwZHTmle - mlYJoOkPzx8kAwxIljusJhyFe0FkRO8OGQI4UVUfuPSx1z/nyq9/Lq563Gbj711c6Ooq9SlGrZh9 - ZBJuFuuu78/KaiseBmRgsILAUi0OjXLXb2ujPbutfd5ibTZ+x6bW9ZjV6jVsjfcVZ5XOBgQx+5wb - F9OUiGYHUaZt56w7wgiRBQtLMJ6PIp7Q/hvh+CzbC630cHHuoOMvGJBSMtSugre+7bq2d56FGGra - BzMzJqlGWtOmpmQ6SLb7Wc96xnVfiZs+c9//86cHP/rRowcPLWgcNY4TRBWmBs0HWwZI50NeW1u1 - AUZkMIAVYFIykE3HHE63ILUAbBr7/iKhlRs/g7/92PL3ftthEhhgjg1KqqLIPWg987L1Jy+XEQgg - IlFzsM2Dhysz2cndNxEW9u+DUGRSMAFkRgBI2QDMr4JwPrionXiRYycWCQBbDp/LjyiRSlWNwRMn - +7/+ufr+31+/406KOnhI4xjG062IYAxVJ1CDGgRoHE1W1/csDu77xCcvvvzytqJOmEgMxm42mmV5 - JIs4h+RBSwhTcY5IKQ0GgxRtMpksLCy1beuc+6u//JtX/eRPqmoMYEZKVlXOTC+MFJOiKIqiuODR - SVO1ip1DlC+W5IsoCgCb63s0XTyeHOh6drE7dPcyakV0MJDm8fyd+NwbGIKD63uoVvMNu2N+Ad0E - VoNzWtv0LBKg6X9FURQXnK3r6QyACMSzMG9YhBOMx5cke7xiz+akt55gAt3R9lnBMMmXH/OlQgU5 - a9ql3Qg9EA2pM2uaIaAMpjIVpyiKoih2TAhBRPLUqfl9FyKSUvpyv3q+ykMEqprTjonYmP76r/7m - M5/7LAAQd11nAJjs/sf/CbCkXiSl+D//6I8COhoMY9eX08osr2Tvfdu2jqTv+5e85CW/+uu/lmB5 - BugOrSgzUyAq3vOe97zw+c8PaiLch8B8wd6SQ8Jkyk76GFS1aZoIfOAP/ssNr3plhHlmU1S+akM8 - xSTMrVsPoFEdkSP+xV/4P779Rd9mKQ3qpt0c59ZARHxd931vZiklkvO7fz6fn7D9i5MnReSTl6Io - iqIoiqIoiqIoiqIoiqIoiqIoiqIoTktVVX3fe++rqsrp3flxVfXe5zDvruvyFw9c4klVq6qKMYYQ - AHgvZhajEuFtb/u1q6+++pu/8RtVISJmF+xkp6IoiqIoiqIoiqIoiqIoiqIoiqIoigtY27YAmDml - 1DRN13UXck5QcUGbl2phIwWEPAxOmz3VxS942rfc9Rc3r/UpuYlUSGpKpjIraGCAMZsqKaBRwQyG - EYyMLFkO3uoSBovoJu3hycE/+29/sr66+S3PfPHeXQfQVU7Z4JIFS8wkAiIkmteRAxucEhKrkZ5u - XoOqCvldft++4SX30QZmMTI5VhLGgMqsfMU0Vw7chTYhqSRLlmvaIOc1GIOmkQ1nbdWfrxgAGZSV - DBUPNjc2B0tDEsRqcuu9N0eJNovhVAB0igoYQq5ytQUDKZERSsBScYEoDcQjKlcGVQhYwAyVaX4M - gxiRocB4/OnXvuaxm5uDrp+MNwb7FhHWsbmJm2879JGP1mlS19VGGAdS9aSOLP/2NCop533mP6bT - ytWz0Jr8MKlC4wAUjx7F3fdgPEbfOcS4uZq6HlUdoqKpsbIuoOQIBy6GsLJAOIC64QCjIcRB6mmg - cYyYbljnQaDILDhPAMAEIlgY3FNXE+eNPbODMa64Anv3RD69Kl42PZaQGHiCdGwFG+sgiavHYtvx - fcfu/vXfxMYaOAEGkj4GO+2kqp2lsw+ZPbK1eJNeIB6iMLgBYNf9u58+vGthTROzI27gF+Dr0dc9 - p7rssjCso2ji+bPCAKVZAO2p5PiuvA7nHwAYcEAyuGG10be1SM1Gm+v93feO//ZjWN3AuPUESRPQ - ZKjtxZOVS+794ud+7nUY95hMEDqJCQkQJEEiGDiBIzgxgxjT4uk23Tnz/pk/tq0CMpAqqVaOY+gq - MWdhEAxHN+/4uV/cc/hY0hYL3LdjUuLBCPfed/C//f1iv8ExNY4ppRq27AajanTJU78K+y6Gr53U - 3bHxOprD+/fve8kPwAywajDIfzbEoKrOeyZWOw/2rKI4953c4M4zmAGYGRGZsKsbpoejqKLNCpo7 - UJ00rK6RJoUZZq2lMRmJEs6L4+sjLZd5dZV33o/HY+/q0Ou6sr/+q+8d+eDd7McgIMHZC1QhJMCA - yDyp/EVXX4PBcNI0EaSqObd762cv3BwXJjBACQJ4VCm6QD5dfsXV/9urd738Bze++bm3XnvFTXt3 - 376wfGhhb7uw2xYH2iAIgkAdkqAHgiHRtMOg4PwBYxjnjsED9CXyvyZGYhhNR08SIzACIxHMGBCg - EQwrWhLe1crSUV64t1m+bXnvynOfu/QTL3vyu3/lqne+sXrp97XXXbm+Z7S+PFrhNGbtBVLJyA1c - QNjoEEr9zPMRg0maJmev5jaAzmpf/FSbhcES+h5q86jmsvU8PAgKNRgsJjbY9MN0hwtaKzh5h9GI - 2RERESlByTS3S+D5BkAGLof34mEnRJO+nYSWBux3NTbkzsUowXupvash3Aae2DD6BR2wVt1o1O7b - 3T7rGRe/8lVPestb/fd//x2XPebgwmDsNUkXJfSSetE8lIVpp9W2fxCMzMgIQMpHZALm41SnqWaX - QmCg7rvHTfo7/vTDOHq0Tj0ZG4iM2FhJlZQNfPp/4rjjAuXRkdypYIByb5M1IWl/bKVOdroDrHb/ - QyInS4SFA/sBTcxgyuNvzJwX8oSneYDBlrOOjcmYDEaqBAOT82t9HC8s2O69177oRSsLi4lYzHBG - UeInvGsEIIEUlsAMEYIGj7jXu7s/8jGsbQpiYk2kqsrMlpSgDMy3gWkf8qy8+KI4DXrieXw+r1Hq - 2iBVTc4nI1c1n7rxMzfccMN4s4sBRJQzHvo+5jv/H5llL4qiKIqiONfMzzIVBAY4ARGAU4x8qlOg - LtoYOiaCVp26FEkToMBOfI4EGoKHyXmodQEbfRr33KJmOLNpR5AJvLXkRVEUFzYCCATOM9cUDMf5 - kqFRF/uNYJuE6CqkHW6fA2vvQpCu504xASaElnXSjlcRxnn0ksgBoEikZSpwURRFUewg732+iTF/ - m0vlXmC53duvLc6mh5EZSISdM8Kk737rXe9UwPkKgMEAeO9P+WwMMCAwWGTm3cu7v/u7vzvGqKrn - 2DTzRxSTeBdCIKIQQlPV1zzhyn/2gudtXSk9e6uKtuWA6+xa7Af/+I8/f9ttIUVfNymd9+/L9NLz - SbOn+hhSSgBVvoZR1Qw2J+0H/+iPXnbDyxNDgS4mkGtDBODkfqfakkEAM/v3P/vaH/hX309mDCI1 - 56Wpq6aqLWk3mYgIM5/j8yq3r6vZGqP5x/zhRMd9aH6cSM/tV1cURVEURVEURVEURVEURVEURVEU - RVEU5wXnXJ6lo6ohhPw1Ea2srAAQkZzbLSIPUHK9rmsAbdsSUZ6x0IcUogLwngG88pWv/MxNN0E4 - msZc3/lBVm3dXrSwKIqiKIqiKIqiKIqiKIqiKIqiKIqieISEEPbs2eO9TymJSNu2ZparXpyWco94 - 8fBigNmYZ/Gi8xhJs+TYwxgJQs6xd0l4zE+69LrnPPF5S9jHEW6aqj3LgDAlgMzBHANGSA69IEky - ioxYIVUJPsIbzEBeggtrsvLXn/uzd/+/b78rfHYN90bpxNWsCxwblyqnSqljBFBStsQaJEaJOadA - T3d/YaIktS5ee9lXWDIYHE0rsyhBaWu2xqymA5vZpNuM1IERNDA7s+OyuuelM057Yc5/vBVtyWwy - Wy1MSpVrACQKX1y580trdyYORDavkmqzMI688szABiHvpZ4/+TleD6R4eDzAdKzzyMORRlk8EAIM - pHCMBJ438znBGxvjd3zTC3/w+q8e9hMT5+sBNteRIu667/DH/2FfPUDH43Z12NRt6JhYk5rBMUSg - ilMU6ZpN5tMEAYhgihrcdm3T1JPb7xxc8XiYwYKrBZF0PKkWF7F2FF0rxC0zdi+DpuHiLfTJP/w/ - wfkETYCAESNEYApSmjajD3qu4SPBE7pOhxVbiOSBqsJk8rU/9arP/9zrFusGXQuN2LvX7dvbra40 - jNMNTSaiPqWaIMTr//hPi8/b64ZDZndgMLjnyDF4RuqABszsqnOzVjchLxcbad4syYCqQtthWHuq - UgoyWIBLT371K27/pdeJjResZrXgnQeNrn/W+t+n8V13DkMSUgHI9Mw3CYXziAnjcT/0YkaIacgu - hRCOHLvnT//ssqc+GVdfi8rr6lFZXh5MJq6Xxg/u+sXXHx2OvvKVr4JUiEDN4sQYaRo4DwCWv86L - t3WUny3q9omwOeZPDcEcFF2LED755rddPOkv3ghNVUX0UVMljHGLO75098c+tleD9NGJ72OoGAHY - ZF167tfhon0IAa4K3o+lWV8YPeWGV0AYdQVSgNuurevaez/tlJyTG0lRnNfYphFuyHG/lo9gUII5 - x1VNwg9Dx98MBBARQX1vGLeiiUyNt6qEH7ecOTiwOBU2hXMppa6bMHNTOaM8gDDClZcfrvkSJ4gE - gikYueU/O3c7mEEIELSq48pdce01bW+KimWaECgiRNOsx5wQf1b+7jmHGKamQgBRFTXEqq4vPoCv - fua+hfrSqOgijk1w1716223r99y9dtfn26OH11ZWwqSrzByhMmJTH03MnKqYEiAKkJJNj800i2A8 - uSatEbZi7wEAiZCIE5GSS8QKr8RJ6lY1ccVLC81lBy675qqF674CT7oaexYng+pgxb0nJTIlMo4w - ODEzkMakbbKqcnXFXejJXaDv4wWLlcVYta6MJD9kOIsZztMt8uRmhaASFapkijxOpyW8+2xiO270 - 00jn7UNuN0zTvJ6yEsx29kxVGcF71I2JMGS+SWwfSzrxfP3cPCcsLgi5B5K/NjMgMZvzMLKkoYsd - yBwxe9fFSAYxFucEbAZoUtIxY0WTX1jkqhnWwyte8RNXfOuLDv/xf7n1Q3+6t52MQmxSqtJ8eB0A - dHYenTuu+dhtYDUCmRLnWOUzKC9PhmQJMCE4UusnBz93M77whaWl0Woz6FlyQrPM/i6MQKe3x7PR - vEnR2fUY23qEBYAaUrLNzSok3slh4kTc7F4ORCYur+KEJASAGDpf5w9nA6KUz6RYgMSK6UkKh7YT - X7VsK3W9+1u/7cgf/Nc9SnRs9YzbW4Juy14nApsqGYQB2CTFQT2w9bXNz9yC9U130XJkI7OkyTGb - JgbnKvAK3rrkQ6rQcj5VPOK89yGEtm3rug4h3HbbbS996UuPHFkjZjMlItVpK+qc6/v+kV3aoiiK - oiiKc8XWlV1GHoMGptd2U/j/2bvzeMmyqk70v7X23ueciLhDjpVVVBU1AGVRiAWKQAPiCMJr5xZp - EWy1lMbh45PhCe9jowiOPMWHvo/a2n7sbiegnaAVhBIU2kZERayCYqgxa8zKyukOMZyz917r/bEj - 4t7Myiwqq/Jm5bC+n/uJjHvz3ogTJ06cs8/e+6xfzomdONYsYMbJP14+AAAgAElEQVQkwodpH7KC - ieSU3yqJCNYmWHYIjJorohwlzkeWeZrbLccuvzHGnFuO6tzWaXp3uZtAXqdzc7o4DrWLyKMuVVT+ - akv2zwShEiJOIJ1PthZH0lQezoOQQWUhmQkCuMdq5RljjDHnPhHZnMVber+Zed4Nfu4hIi1ji6oi - Mh6PD62vXv+hDwLoYld+g4niQ3b+K9SBY+xe+u3fvTjok2rOyU4rN2vb1pH33rPzXdeNRqPrrrvu - Ax/6GwVky2ZflGF3Jajgv/23//7mn37T4ZWVQa8n6ZxKoy+UUNe1EmIbhanLSRJ99GN//8pX/cex - qA9Ocy4fbccuS8756JUwn4+lKNc4/ugP/dD3f991/aYZD0ehcpMu1lUYDoeep5MqmTnnTHQ2ZdRv - nvtVFns+a1E2/YLDbNIvbUwqs3ljxhhjjDHGGGOMMcYYY4wxxhhjzCNDRNNJC86VO6WO08LCwmQy - CSGUbx96hlLbtiXhezohZJb/7RhdJ0SIES9/+Sve8553X3LJJewUchbNaDDGGGOMMcYYY4wxxhhj - jDHGGAPv/WQyKfdzzmWMOKX06B/53AguNWeBTYGJIqKqzjkRSQJHxMxZtOaGJH/1tV9/y52fHY8P - EGJLkT2JKm2q7z97QKgDgJwBVRZlpgAwFIBEtDE3lZfQjaj73Mq//sa79/2HF7xqT3X5QnWhox6J - c+Ica1agVFeACLEiKxGUH0G1CGakrC42l1/wxL+7J4DBbhpsMYtr5M3hP6oqkkZp2FKXOalED09C - pY6cUil0wVSSac5DpFxCGVRImRVKAqWuzYPBYC2tJLS33POZCdaEJkKxhJMqzVfdlCqg7DVUFMoP - 8IgiRYw5M1l092Oq1C4tsdcZ5DkBnoGyp1k/8lsv+rcv/7Jn9eMElc+x9XUFkN562+oNt/ZFpRsL - EjFFiUzgLIRpQZ9SU/RBu6qjJhGW3O6yFBVRiml13329A4ewYwmDOnetQ8Whiu0o1BW6mFIKyzvQ - 64MoxeT7vaEClYf308pBBARfHpSm6Whnbmg3AAKcol8xiKni6Y/6A4zHXa9/eDja4z2QJbYLT3nq - kb13pth6IlWV2VtHADun+YT1tqJm7zklkS53hw/jwEFc9njNQNvtWFr4+C//0jPf8H+h6SeCA51p - JZj4OLnrm76r65Je5TgAQO1Aevnrf/yW/+dtujpZ1hQkoyKt3eJznx0/DDlwcLhypAGaJsgkJkHw - XlJigLkEpwoxA4giZcdEmxK/5jSBCQ0BKStYCVBhhU9pEPX+T3568dBK/4uu4t27kCZKAMkCKY8m - O5O77yd/ZthrnvjaV6NzcEqD4ELthIiqOE6u8QoGM4Ay4ZYZKYn3LAJmzhIdO0DKklHs0ALj7v4/ - +L3hXXsvzbKYxWdBxy540g7Dkd5x+8qnblpaHzWOiJByrHyDHNual772K7BzAaRArRnjZnDvYOEp - r/kxuBp10MmQeg1Y6qbBphJyBJpXMDyjthazFVRBDCJSUWay8+5TSwmb4jxFdfpBK5+scqrZQZrl - xXFMXHIetphzkCyUU8NhuG//ovNc6opPF3JK7MP/MFBOHtDp8SVxRqMekhH88hMub+//BHkaJ1QB - bZTGe00n9/7O34TNMdIAiECEmIC+P8S48orLiXwQXy7kmId2T//2XM3tBkQFhKRKXLUqwl7YDZXq - qvdArxdE6lrrwYK7eCc/6ynLimVxEMG4TQf2r923b/Wee9buuXty8IHhvXe70dCPxr5rG8mVilNy - WWtxLJmUSYXLDlKJVVQJDkROkLOSIINc9n6cg/gg7MaqE2ZZ7Pvdu2nXtl1PvnrXJRfuuPxKt3sX - mh4UgENdrbAfeZ8ZKkIQAggSVDkhUxYGPLVOO40AwGS5j2cVVpEsmJAs7t5xUHPZw5+WkxZxwOqB - A8jimYmo69q6rrH1x5fzzdGdvwoICwiMttOUJYl3OD3NqkyEfg+LC20WCaqqznvRRJKJPCuEpif/ - rLYZmC23eRiPiECSaQKAhRnMYCgrkJWFA0gAYVVC51QJAuVMThFyzmAe9pqYpbnqql0//H/uetE3 - 3vzH77rnIx+5cDT0MWqC90gCBIqqKaEKiAkOqAK0g5uFPgtpJlZIycQ52d52gYbAMUoAHGRZ441/ - 8u6nXv2UsU9dr26RK2YfUxV8JI05sXukO3vSzf1pOWfnA3uXYqoZYBoeOLiTmU/BYCuAjbMSck5y - VsAxZ5Vmx45UVcIuS3KeACUi6DxQnDfqnuP07VJYARJVySRQB0UgnyQzVV2o0V986rd8277f/u0F - 1Xn9cZ4tIgN04i610hc0bWMDuunlcWmBZwi0Jmg77oewZ9TiYx+vrvymjhKDvQuSJhU7EpAKwAzJ - fBpXjTGblGkZ3vsYo3OubdsQAhF1WcAuuGoynuzf/8AP/MAr9+8/TFQyS44KLPmC8znmZ5eqWqaA - lG/nwSdN05RpIud2FIo5Y80rX2DWN/JYL5ExxpizmAJCYMznw8ETAIEA7CmLUymDPgQ0DJSWkTJA - W3HLCiQseziFCFgzKYJOZ2yQ0ux8jUFIgADBBnmNMecoKl0+CiigXGKzc+kO4gDyIOd9FbtJ6yEV - JLEr88u2YP9Myn52/nvMrPGUEsjN50MpNqa4GWOMMWaLlBnCzLy5Hu450Fm9eTxrM2ZHxG1svffM - 3MXYHwx+6ud/Nkra+N1NU8gerAylVS7kHAPolT/wA+Xn3vvUdSg9ruf9uaUqORdIISIiHTEC/Nd8 - 1Vdfcenjb7vrTgGYIFpGRkBE0EcbrT0LoYZzTiVn4B3veufrXve6OviYs2MqU0zLpi4iRFSmoT7q - 13o6iEjTNDnn0WgUQnDOdSnWda2KFFPd762PhlXTfPBvPvSdL/uuCCgQUwZBoVkF04selYAqVDFG - mba1SVWDZ5fku1760p964xs1S2y7XlVP2nEVgqRc+TBfjLNuBMGFMBwOe72eqBKREvbu3fvq175G - yWUVEDGzOs05V+RyTCz65p9609Ofdm0ZN0w51XWdUrKrE40xxhhjjDHGGGOMMcYYY4wxxpiTslGF - b9OdMj1pPnMDm6YiOOdyziGEGOPm35xfkDv/TVVNGc6RZAUwGo2vu+66d77zndu3b8+5a5qmbdsy - ISrG2O838+RvY4wxxhhjjDHGGGOMMcYYY4wxZ5oydjyvhfuIQ7tF4JwrD8LMKSXvrL6u2Wqbq1VM - swucc2Uz9uygrBmOXMqd12on7fnW53777/zV3ijDw/GI80oKEnLwpOq8V+kmHaoFQGaR2AwoVBXK - rBTAmeAJqrnTzD0Z6Sh3k//8l2974Zd98zOvfsFAdzWyGLiRqIwGSIqUWZSE4ViYBJpBjk8qKIGI - cpSA+urLvrj+l36Vj9DmNBmdFosjgBVCgCp7itzde/CepeWLe82CJCEixXE/kmdH0Y9TRyAKgDyp - ck7EzM4xE5MPo66VkMZY+8RN/5DdBD7Nsx+URAhOp6msUKjCMS80S5rIs2NG1pKOa85T09hUfeiy - SWcNi+5+jMXUBl+jBZKw50xoM4J2ThKg3/Kc5wwgiAmaOXbQnD9zU3f3Pby+5gEGC1SgEPUKJ9PA - nnzi/dMxAZMzwspeciU8vu223u5npPG67w8QCTm7usJodW11NVTN4OLHgRkpCnEL5qUlOI/NyTe0 - 6XbqLKhvqkAp0gWUQO/w5B/+of2//huH7rlrRxV4YTuUBhfsiXfdCdVymGWASwBnznriQ4IHSy6l - pzh0CXfdhYsuYvZwIa2v7u4tosuoMjkmRc7J+eq0veoviIDq6G/d5nubNiElZLAPAVX1xNe97taf - /0XXygJzaoc8aKhNO579nMknPjlKObeTtUlc7FfadV1KPcciUho7GSARxxyY9QSlyqbNQCn3eRr4 - RPDETMTM1MWV2/YODx3Z/cQrceUVvDjg8QTi+yCMRv3e4NDq6gNvfeta8P/j7/7m9e//S0oCYTgN - 5DBqqaklZlV1tYdCRIJjydGxQ9t675FatBHeIWck+dBPvOnqwWKzvv54qI8duoj+AmKk0QSk8fO3 - 7P/Uv27r0oApRmWAfBiJSK+/8Kwvw87tWGiQHJTWm97tOV/7mtdg0IfnDHX9BpLmq9wYsxXmuzFS - EKmSQHk+7V7A2ZFfGGTnEojppNMET2JJCKpIWkqJU0jarqxi3NW9KkGUeFrZkKDT4ECdleg0x1FO - mAEIzQ4cCicM9fC+t3snAknMAgiDADyS+pibwi9n7w4AFTiCEiaESc9jxzbHNUdWhtL5dEI+P5mG - KJGQKiERw/mhr1nFefUiSkgMgUP2FXm/rG7XzvpJV11KwknQjSCK8RBrK1hZwcphHDwoRw63w1G3 - OkbMuYux69q2S22XYsw5l5i6UFWuCr4KPgRfVxTqC7bv8cvbsGs3duzA0gIWBlhs0G+ixlFdHapc - diErISuEFJx8rcSkYBWCAGX/IE4FDAiEkVhI4RSk9kk8m5Ron8zIwVdLSwlUstmhp7aL5zifdyJi - URmPIbnkNJceduta2gJHfSqnh29RxE41l58owIpHW5X5CxFCvX0bvIsKIsdMIkmRmZnl+N20Z38X - kznblAawwpXobmIBwBBlZWRKBFUVVgFB4co2mgnCmHi/Cr9ahT1PueZJF78az3v+3X/ypw986lO7 - RXQ01pRjp4MeEwk5QFA1tLquSzVpqzxty5bmEQsJn/zW7wiSxaFk70DW1/Jnb8Wte/tPvfoIYrne - NShREiIQn3T/pxy7g57+gOEAViUiIlV0HbrOn7pS2htPKwKACCAIO7+w0DELiIgBCFQAnvVMCz14 - gU8Dnr5qLmcojpU9MRNPurga0/K2bf1nP7t9xzul7aDiJGP6ksAiCkjOD68hJQCXF8jT1pcA0PKq - CUHz0nh05MZPL3UvdItNGS8M7EgBSGnXleAoZSjE2m/mNGuaJsY4Go16vd5wOGyapq7rI0eO9BeX - uq4rEQjXXXfdXXfdF4KLMZ/syX7J6q6qqus6ACmleRRESYNwzk0mk3m5gS15kcac2Dwwvq7rtm3P - hWFVY4wxjykBMpDLKPb0qDLrtBVyChImZUUmAU97qlFOoIS26PaorkUuIxSlI1I2Ne0IeTa6YwPA - xphzls6+ivn+URlKNL3FdBcNAXiL9s84Zgy3TAgjCLHAAwx1ZfLRLL7bGGOMMeZUWhuu9/t9di7n - 7Kqw/+CBP3zHO0/2QXKOgfgFL3jBxRddqDlXoWrH47quSz60OUa5PkIJ3//93/9//9RPOgBMklVV - mU9lfnYZl3EMUdy7/8Bfvu+93/ZN3zK/fm9eDBplDupZNS4zmUyYudfrOecE6qFJMpTIu3E7qZrm - +g/+9cte/oov+DgpJYX26mrSThgEVk3yrd/4jb/+q7/Wti2AwC7nzOfE5Xht2y7v2jVaXU0qRESO - f/otb/7IRz+agDJal1QBBE8pqQcu3bPnqidfrQRVJcesPG5b7z3Z6IkxxhhjjDHGGGOMMcYYY4wx - xhjzqMUYnXNldke5LdfbppRy3qgvoqqDwWA4HJ7ocYgoZw2eS731226767Wvfd1v/uZv1CG0beu9 - L9Hgg8FgZeVwXdfMdum6McYYY4wxxhhjjDHGGGOMMcYYY04/AlRVffYuhmsuftrXPeOb/vh//97S - nno9tY7A8AFVymmSRqFC3UdK0yqdNEv1mk56UOZSko1EICDJjAxkGhLRn370D2+/765vfN5LLly4 - 8vDqcLm3HZ1rY2wGjeYYu9ZXFRNBsg9VlHiyBRQCBwDb6h0XLj0+duvD8aprEGlzWQoumV8lvVtJ - xKX7j9x71Y6cpHVgBquqEABRwJWQ702Jb+cJArzTNkUkF0LtmhBj7GIH1dr5KBPtdTff87m799/R - XETDVp0DCeTYVA4GhAFW3r64y0kl08gMkqwWlmfODRbd/RgLIaTY+qpGYAW6BOfV5Yj19Vt+7hd2 - o9WUpO2cY17s4+bPH/ns56u1oRfxYBCI1AO6KYqbFE6md47ruD8vdaNDloN37r3kmqv8olNNxA2S - MDIkQ5CShP4iRLC40Fe6fXVltT8Ac5mQyGUxMC+9yrNIndnO8syssLSpKVAKtpICzOjXe1P7hIW+ - jFtWQtWEJz3h8L57F5MEiCq0FNhSzQ9ZXJsdUhY4D6KQ06E779jxRdfQ9h3QNGjq1MZ/+tmff8Zb - ftYFhy654Gcr8cxQaocTlCGAK8lGNK00DoKbBqICZSWQx2AR1fgJr3/N5972S5eMUj97ygIRDHrN - M5/V9Jf33/SZ5RBXRqvLFZyDiHQKD/WOWVS1NOeO2kbn7878CM0AadnACFBWZM5tVAF6dVWD20Nr - 43/5rN97f/iyp/vlHpARQq7Rtas7fJ1Hk57gx57zNft+6q378uRpb3wD0grYoxmgE/YMBnIGl5ai - co5QgiSsjaBAB8TJTW//1SXotSRLkxUiicOxbwaQiDjBaIyVleENN6zde+ciMomK4+DDKHVgv9b3 - e575TFx9FeJEJonZtY0/sH3p2h97NZoeKk4EAQFQJj8PPz3acbaRM2ezMeYsMQ++JgUrtJw1kUCJ - iVRUgOzYLy1kz1ElzHd6W4MIeVomHMhpfPgwVtZ62xfWFUogLWGKj0kg31mpxOJidnanxEIs5EB+ - z+MunjhkSWAI4B0efT1TBQtQTii9h3McVbp+wK5t3lU+qtZbHhB7RinHboYCmZCZCESgkpjLrMwq - rARFJgZxCM4pK+dWEYlbIs+OXM8LfK/HO3cFAkMhypobkZ5u7t2gjaOgKqb1TxVQlBYFE5SUSZmS - c4mRmCJRJoRqscspZU1R4Fi9A1EGCWVS8QIAmaAgIQbYqUDLjqIU8y/R3aLWNXBWUSVhTp6Xd+9I - UFF1RDKL0N7KPb2SSl4focskShDngqrSedVXt/VYGQ8K3CUFQzBp6eggpXJCt3ULI4T+ngvgKBIp - kRBUVaGOHeWNE+cSQCsEPX6ctzFbQxkI85AzVqbSAwCoQEhURIjLoIKCASp9TWVAglS8KilAeMC5 - xe07l573NZdc8/SVv/yLT73zHbvXR5f1miYr2pQFIFQBqdWKIKKgaQscs+P2IzuOTtvPDFFohQao - 7rwX//jJxWueRLlzXFNOjlhFsyPyDiff5FOCKACE0i9R8tWISTQDnohAmLTaTSjnUz72IqogwLEA - idHs3H6IKYsyk6oCQqwi04LmD45D2nqb37eyghjgnFJV+VqQHa+6prniit1Pu3btf/2vhfGoLrtc - VSYqe+CTWGUkSjx/Ksy6iZSQCQRZFrnjk59cPHykt2NbC1JVR8QKAZSYpq1HmT+aHX7N6ZRS6rpu - MBiklOq6FpHDqyvL27etrKwNBoODh4581ytecettexXoYvbep1S21fIp+8L7rpRSCKHrunKd//r6 - uqqmlDBLj4gxltxuIso5O2dJkeZ0K5tfKXKRc56HeRtjjDGPjMznJGwExM7OyZQwi9JWQsZ0RJtJ - CGDCKb/FrMXG0zNHErCAAYYydHbqRNMllY3hKWOMObfo0bezfj8ts4mEkbzPjtSzuFpVSZwCW7d/ - VgCudOgp5dKPBOXIlJjA5XjBvPmYYowxxhhz0jb3c26c6ymhaRp2LkpuuzbU1bv+9M9WR8OH0yt6 - TKuEFN/3Pd9bee+ci13HzEQ0m1pw1DPixFcNnA9IoQSQkuI7vv3fvfWXfvHQ2lCyAmVM8lStmo11 - nmXaLfCbv/mb3/HtL4njiWOePR1Kl7iqlu7xU/TsW6sMKgFQ1dFkTETeh5xzaKrheATmj/7vv/ue - 770uzcbNT6QKVRc7B41tywBUSPFNL/4/fu3tb09dSyqDwWBtZXVxcVFSHo/HIYT53+oZv6qO/pQR - AO/9of37m0HfEbOv/vw97/6z9763rCKB5NmQeJvUAQI87/nPX1xeGq2uVlWVUlLVEMI5E2RujDHG - GGOMMcYYY4wxxhhjjDHGPLaqqso5lwtpY4zMXK63LbNunHNd1wFwzg2HwxNc51jmh6hzLmXR6bW6 - /OGPfPTNb37Lz7z5p6uqKRMeVKVt26bpV1UVY7vxAGTXThpjjDHGGGOMMcYYY4wxxhhjjDHmkZvn - bc3p5soVG3mRpbKlEClUG+1hEr7ii7/+83fe/K+H/n6wpF3XsaoSmB0JMiE4SAvvpg8ihEzIxFwq - wqkAIIhT5FkgQGZM/KRaln/e99d3/MVNL372v3/65c9dP9zubPZwV1PkShDg0SGlDhSniQ4nU3Iz - 5+y9jzHWNLjm0qfdc8Ntzg8zshPQNBNqU8Q4QESZUnJp7323P/eqlCnRRjEIwdFZBjjv6n+KqFbe - ZeWcFZRVleBcxUJ5La5pNbz+4+8LC3xkrV1Y5slE/Ebg1lGFdIgESS/YfgEJk0CVGAQlK49hcJyM - 2bOPRXc/xhRgnu58VDBwQOxw5Mhnfu4XLpXcsJIj1+sBips+df8Nn+qvDZukDsxEkERQpo2DWUkV - fWTlt0jhVKrxJN96i3vmtXk89k0FEuladq4bT8DB7bkAorp6ZByaCy6//IpX/XDJWdqI+zhqz8jz - H+hGadYziwJEs90+TVPTQEATvvzHX3PPW35hx3ITDxwODCxv6118UXf3vRWYgJgkQJgIqqXA1vGf - QJQAEClQgdfW1nDvPVjeBkbXTZqIS7cto23hPJyD6EPFgD8mHvyyFKBZ/iiB54GZCiV0SnWosS1/ - 0Rte+9mf+bkLU9hGDhBkATtc89QLlrfd+0//sEj9I6PRtgaawAkCZCgTMRNE0wl2TKyzED5lAPPc - PSGoqC+poCmT5p6Ak6b79h/8q+v3fPEX0dVPRL/ngEqAQd8N1xZ8wOraBUw91r2/+NY8aGKWlZgO - C339G94Ax+gm6DWIHYIHFF386W/9tm94/lf1JnG3b0IXH7c2qjT2F/zwwP2D5R2+9ogJBIzHeset - +2+4oZ/idkcsPrOMUybK2h+s1f7CF3wdduyOowmYQz3IGavsrviPrwQUjVsHPCCILOLZ9s/GbJVS - m1sAoulZ1vzQSapErACYogKDPtVVJgpCDycx6xEjAhNYSVUdJA8nWFmr8kXMEAIrSJF5VmfzPDux - eySUQULKDBFSIQFRJgbRtgt3362iCuemga2PqIny4NKr5YAPVYAgClposNCogvg8LfdOpVcFSiBC - AhJE5gGdpFJK5IMwHo+DZ2amwASKRJ2qiCtNrAzV6d8RM/O0zmlWJWiGMmlWJdVMyuRA5ISE4JSE - yDEpclJIAhJrSQAoWdxpMg7EgR2zS6QJOROInBM4ZTdtH0JYZu+flJxR1mk8cEkeFctePrsQKdCx - 0o7tmTnNA99PNVbIpsDFEsCqbUQXnYqIzIvemlOufDBLqHAJa3LEcTzh01sRORP3du4AsTqnxKJZ - kZ1CNbM6JkDLUtpuxDxWPJQF4FnDhiAASGVT24UF0OmxG1qSxkgAhQoDCtc6HitW+71l55Zf9rLn - XHP1bb//32++4aYLJnERLBg3VTVuuxDgK6Q4Pd8vh/bp8M8j+mQqwAwFuggNWOrVsh7v/ejHHvcd - L64bdhokKTnKKqIljedkGvPKSoKNj+l0MVlBRKo0LbJOwGSMFFlPcRVxIsqqIDBRSlkc+eXlRC5D - ykXCx1nk2WgFn8YditL0+uH5NqNASsl7xxyGkAnLrhe/6MaPf+zSjhsRAAJkVUdEzPwQua06e/xZ - PydByqa4ufU+//s+UXfggdHe+/qXPj6ypKxE5IBMWlL9lDAdRDz1q8GYLyDnXNd1jFFVRaRpmtDU - hw8f3rlz97779r/qB3/wlltuKW0UVaSUHsEZf2njOOfW19eJqGmayWRSnq7kdqeUvPeqarnd5jFR - YuPLLQDL7TbGGHNKbJwazDO8UcZ4ZP4bwgwlorzFQyobfYAAlDBdhvmS0LG/aowx5y6B8nzs9Jim - /7wH7TT2X7GSCLGSKCBEQpyJAQaYbK9sjDHGmC2gBBVx3o+7lhz3Bv214fA///ZvnezjCFCDrrji - iuc/7zkxxsr7TqRX19a/eiI0u7Zw586d3/zN3/y7v/+HAJxzIqKq3vuUulPyRCkl51zOWQHn+J// - 9caPffwfnvG0L8XRY7gnGtU9Y5VRJBERKBGxd877BB23k6pp3n/9B77rFd+dAGZKqkRQ2Xw954aU - EiA66wNxwHOe9exf+39/Zce2bUcOHer3+ysrKwv9wWQygWjTNGXg4CylhJTS4rblNnZtF0era2/8 - yZ8sPVUZ0KwAnCNmTjE3Tegm8Yd+5IdHo1Gp/S0izFyHMIoRbEN4xhhjjDHGGGOMMcYYY4wxxhhj - zKMlIuUSdgCTyUREptMhRDC72rdt2y84qaP8gqqWGSA5C4A/escfX3nZ5d933feGEEIIk8kohACg - bVu2WcnGGGOMMcYYY4wxxhhjjDHGGGOMOQ30mDkKAohzjgSOwuqh4baLLnrxc/7d4b994O7Vzw96 - g6g5xuQa7xvuklACU0lZ4lwqok+DJ0AqvKmABCtkWloT6qV14wxNiO/4u//ymXs+++Iv/w4PV6dG - cqgyezA7qXp1AiZxwq45qeSVMkMjR5FWn/L4p/31P7y32bGwJivkSqLcNHt7I4KbVJAzx7v2753k - YeUHlKA5g/S49T5L6Nv5Q0RCCCIUYxIW5xxDMmL2QoP4Nzd84J7122l7dgldJ5tLXSjNErgAAA7I - Xd61vNvlCmBVhZ7esB9zhlFVEIgIirOrqM5xWTTsY0kBAatKCSuS1LLzWB/f+uu/c7mv6vUj3Wjk - mz6y4tChez5xw1LX1qDgAFHRaYjgNC9wdjCbRwptpAbpcQKKdNNxYv6/TnVAOHLH3p1XPcH3e1BV - EuQEpRxFBKh6qAL5CiL7VleeAKDkhdNGQs9GYveDSjKdaendCmQIgV0pIMUQwEGm0VSQUVUdOby2 - LTh4Ai0NLr3s0N33RcnOsQJRUXum9FBFo1RLg0VjzhX5Pv8ceGMAACAASURBVHR8+x29iy/Fnu0+ - Z89+srr+6V/+5ae89nXoLcCfeXWXWAAmhaNZCpROI2MzECEMVAoIg0GKGhQzsu81A736jf/p0z/7 - Vn9wfSEsQqJkYe9w2SWPWwh3fezvdrOM1idNRc4TgJwlKipSZsfHq8NF821rVoB9mrxL08WsPAPI - MQvAIE9wJNtyXP/Up8efvmnhkkv7z/s3jgj778O27RABp5i7pX6/N5rE0QiV30nUUXXrm9/cNE0I - YTSaIEvwLClXilc/8yvkyGrtHYbrJLnp12DK6wcGyzWkwyhDPe6658iNN6SVA72aYkoi8ByIaq04 - NW7SDxd+zfMwGGB9HJpF8TwU5X7/nddf/yNvfgsGTUfIEGjukXMakFGi0c+oT40x54wTZetpFmYH - 9gIkAE2oFvqZofPghy1anmnAM4lkBwqi6dDhKmXnKc+SxRXINI0MNA9NGKSl6rpkBhRK6JjHpL1t - 27qciOAIMeL4p84nYdZ7oAwoQTSDWIlRLQ7AOkbO3stW5r6fyUjBgFN1mlUzVJxKJgDCrACVjpjQ - OEcQyVkkiRLxLBQTzF6ZwCxQVU0CUqHcEQRgImX2YDAxAFaWEh6pKpJUsmpiFc+BiR0zWAWaRUGq - onVTeQITZZGkOaoIa0BVCYcEAEpCmlhVSBQAgRRVdmXrynBCLASliPP1LT4bETlxmOSMXuXqKol6 - R2CobgpnfVBc6MkoG+GxBxoFHNSpYDRhEIntyrcEHX24ZgVBWeGIJ+MxNp2Q6tafnWZGtX2xJWgI - AuScnScCIWeep7nPfrnsvvjY/m5jtooQqwZsBFqLkgCirAAEUDghQD2U3HTLzICAREgZksGkxGCn - GAwaSXFMmtJk+zOffuUTr9z7P/78rj9+z2XDyVJXpXat1+8NR+OqgjJEymcTmH8KH9EekRTkkDIT - JEY0Li/Dfe4zNz3unrsXl74oI7WCFFxkJ8KsROCTPV5L+RMCVEqrRggQQumFIyIliZMynnQKlVaH - KJgoQ5PChxqLi5lYVUlZNQMQZJ4db4QYCpCQTnv59PQkD5HIrGfGIRGY69B2XU5CFRRYD9o842mT - x186vvnmbVlAVKrjiyqpEtGJ+tbLK5v2+cxalUIis1ZfeTcZs0BvSn1XrXz2loue/sxJD+oYkkt/ - VSZRYpS3brqB2/7WnFbee2Zu27Z8y8wHDh/ZuXPngQcOveY1r/nEJ/4FgEiJcACIZtEOD73L2tiG - Q3Bd12GahQDv/Xg8Lk+UUlLVkttdbtu2rapqS16nMcdDRCV5osTGlztly3ysF80YY8zZijeNF4Nm - nUHlzqbz1jKiDXVKUIWolhlgp/wWAOAYUoYhlFRIlBScQQAJiMvZLwEeEFhFJmPMOY027ighz3bS - jgVOOqeZIITMwGym1tbtn0syNykYIvPvp3jzkQQAaGNKtjHGGGPMw/Tg+STziSI5Z+dckizs/uw9 - //OOu+96uOOUG3MakKHf9x++p9/0cs6xbesQJEXV+WVM5SGtDQOgNOcAMAMxdt/98lf83h/8Uaeq - 0xFJPOrI883rmRUMykycsjjgV37lV971h++gGIlJVUsHOBGVd+ps6Q8XTc65lHKpLt2lGGPMkpXp - rz/0wX//iu8WwAXXxcw8nfFSqM7XPwBkFQJ6TW8yGdfEX/q0a3//v/7uBTt2rq2s9OomOO/6g3Y8 - UdVerxdjnK6lzRebPML5WluLHvSJK8vZ7/dX1tdCr88eb37Lf9p77z3lqlQoSsR7Fs05EzCZxCc/ - 8UlPvPIJRNQ0zfrqWtM0RNSOJ95yu40xxhhjjDHGGGOMMcYYY4wxxphToYR2l/kbTdN0XZdzLmHe - pSpx27ZlQL+qqnJl7nERuZwVYGYmohgjAOforW/9pUsuvfiFL3xhjBHgnDUEl1KqKivlaowxxhhj - jDHGGGOMMcYYY4wxxphTZFMNhwdldc/q9qvMf7nknuSsiws7hquTy5af/KJnfMu7PvA7kYeuyRld - K61TBZATmsppp1AGQUkUkPIINC0KV6o9lAhnEANIWVJGb8m1cbImd39073vvPnT7137JN3zZJc/H - aEBUIWXJkQH44ENPTjKIxzEkxxBczHzx0uVX7Lr6lu4TCHDTlyilXl1Ji8A0RCNnFw8NH3hgdf/y - YDdEZ3UwREg2Mt3oTItLPR2YOWbJwmDmAFCSFNs8yoN0+8rnrr/hL9LSuJVh09Co1dqDBZk2IjxI - MautIRBdXthO6hx5gDTDOSd4qLRWc56Y1dc5i1nNpseYAt57EJBbT4LDB25529v7+x6gwyvMoQkV - coeDB/Z+5MPbBX6SfM6aJc82vBI99OAIT51XOz1xFE0J1xHaiPNxKiFHrI/l5jvga2jOLMwO47G0 - edBfRtMHMSSp4+QdQphuQjI9asrs66hXOD8ynXmhhnL0fQWDBJThCE0ddu5c99w5ICfA8ZVPqhcX - k0BEpuuMSQE58W5AAWZSSSQK1Z4Lo/vvx91348hhx4IUl5h3rQ8xmUDTpqKwZ4aS0c0CAArSjTgt - mn05lFApmTbaFN75MWji+mh6T3nDGw4tLK2lnNhxXUVEDRm7tl36VV/ZLW/n5cW1TtskAJiJgKSA - qqMTrgXSY6pxKQBW8YQcJUdhRlUFH0LW2OVJA+l3kwscd3fcfu8f/0n7iU+gHaMdIo4wWalryusr - 1WQ0SDKY5J2d7m4nVzL39+9fOnDwouH4wvHkYhcuHI33dHFpbX1bl3uTcT0eNU2F8Xraf79jj6QY - trj//v0f/tC+f/poOLJ/V+Vdmwa1q3wQpRFwWIUv2L3na74avT56A9Q9VGGlV+8b9NYv2PMj11+P - 4HLuFCkADTmJcSveT2PMMXRe5u+Y2oqYVgNMUHi/sG278pbvnVWnVRoFcMSBaf3+g5XQRo4sIHTC - xHGzmQKZONP0UK0kwhDiTDQOjOWFRCW8kZ0CAqJHW/qQwAywEhMLoKLOucXlJUgec47uvHvjlKBU - GoA8PXZv6txRIDOES4oJAGiJ9SYwM3vP3rF3zvvyc1VVmgZ9ueCcc1VVhRC89yX6S6Ap55hTm1PM - KeUs0PL7IYQQaiISkIikLqcuas5OKLigql2WtktdTlCunA8cWEEqSpI5ZU5CRzUXlTb2FgwFlaBT - czbJ0EyIrAhucduyzLoXtwLPOhYLIgqqOHLY6XRRiMhOyU+58n5uPkcmgBQlw3LzqQad+LzjlFBg - affuzkGYlEimlZkJqqSgB52qz0NqjTkNWFH6hMqQQyZkluSQCZmgRABYSwsHJQ16Fuot0NIZQAoP - cNM0hw8fHHajVY1ri70Di82BXTsuu+67v/TVP3jLUnV4oT+mZjgaDzw5QGS6qc/6kIBZy+FkqU4j - d6rQC0CepIZRp+6+G29c6rogQkQCzaU9onqyny/ZaNIAAEhJhRU065ArV+2mlGZF108ZAbR0NxEE - qoTewgA+gBzBkUxLvYuIMh1318F6OrqXNppJSlAiVVZppVPnKXhVzXlM/YB+delXf+UQyCKaM4i4 - lF9/GE9RNsjNlGRWq51ZmBROQYqUdKHyhz97qxunnnJgJyIZuayfxNPHYQUD7izvzTdnHRFp27Zp - Gmbu9Xrr6+sLCwurq6s/8RM/8ZGPfJQIOcF7r6og4pNLcmQAMWYiKidHzrkYY1VV0wcEAJTcbgAx - xrquyZjTaPMHgYhEJOd81g+rGmOMeay5MkKNaS4suPQ/J5CA0nTYenYUonJ+tYWIN49fl2WYLoaW - pHGdzQHwQDjDpgMYY8wpU/a9G1/TGWKzaUVlTlG5LTvNLR9fUwiQy4wAN+1E0s0HBZ7PE9hYNmOM - McaYU4FICOydAOPJ5O3/3695/0iK825fWn7pS1/atq2qOudKVz9t8TyHs0UZMZw34I6Zn/MlX/LU - a665BoCWEWWiRx3dPXvezfdVwcjAB//2bz538+ezKhGVes2qKiJ6Fl5mVDawLsWUkquCr8L73//+ - 73jZd2GW2133KpldTbApxXrjEcpQ13gyrtk94YrL/+gP/mDHtu1rK0f6TU9Vu66bTCZN0ywsLq6t - rT34o3F2TbNUIMYY6irG+Ofvfvd//YPfV8BXvqwiVZ0P/JUK3S9/+csBkCK2XcntzjmLyEmODxpj - jDHGGGOMMcYYY4wxxhhjjDHm+ErG9ng8LlMgqqoaDofz+Rvlf0uYd9d1DzFeT7OrfVOSctGucy5n - TYrXv/71N95443g8XlpaAjCZTPr9/ml4acYYY4wxxhhjjDHGGGOMMcYYY4w5L0wLtR23WgUfFW1D - s6puoppFyTHVQft+PHj6pc/+ui/9BhlyahNXpJyyqvMsChUWsBBkVnvtqPkTerwndugtVsNRTqRh - gHWs3r3+qXd96Lfe+be/fcDfmbYNH5ADuafc+PGkU+GHyEs9/ismatu2qr0jX8XBM578b9qJqE5z - Ko+qJjq7r4zMXYfu9jtvTpRU9cT1WPQ0lLw7cyiYnE9JmH3V1Fljm4Y+SG/Z7x/f+1cff89huv+w - HqCK2lab2ueEEiumsxAHAACzMgRN09+2uIPhCPNYN7Z8pfNc+ayddRV1Hsy248daOd44QWqxsnb3 - r/768uqhbZpreEwyiLFv//rH/n73+lDWhgGMEpREUAZ5ViYwMdOszCgwz+0mfKEgOspEmTeiQEnB - KnWWI3fehyPrIIgDCFgbeXYLC0tQylDkpKw5hGnumWw6LEGODVbW2Z0zEs//cfPjZAYSMjCJl3/n - d8rObXkQMicQYxwXL73MeWSFc8wMEVGAHyJqerpW4QARJUWD1N55OxYH7WiIQR2Ha3uS/vbXfi1W - V/NWVw4/STpdF7N64vMNDGAgALXClQK7TjIkkwBo18bb4YOSUkC///g3/sTdu3ccBseuC0FIx+CI - QbP0tS+gJ1xV7djOHl0WZg6eiaAiX3DHqtMNW0nhZLrlM4EYGRjHuB67yBpCEMkCtONhw2khjsaf - +/QD73/f+gfei89/BsM1tBMPoG5Q95EDxhKy5JUj2xcGTeU8ktPYHTrovYPjdjKCA4i518PKGsB+ - YTf2tbjxnoPv++CBD3944dC9u3REopNx2zDyMNcZIYQ0qC7+8i9ZfP5z0RvALeh6B5bDGH+mjk94 - 04/v/uFXYnkRuXU1eUTSkYeEUKtDJ1pW+wm/jl4nZ9TGY8yZ70SHSE9cKidmVWWC46Ud2+H8Vkdp - EkEVKJGCpKT5wL33BpnG4ZXd7/wbOp/O6x6BEs6XuATzzSpFKivxqPZ5uafBAUCSAPA0N+PkmsRH - B0wywISjAt6JaHl5CaSpdkOX81lVU/JRUkIGIrHAqQaAS+blNKUbnBwST+MwWUHKKSFGEmUlD/YC - zklTSlVVeRbSiNxKHOY00jSWPM45p6xZkEDCDuzhw/xL2QlYlEQpZY2SO81C4pxrQtXzTUMVZ8qT - 5NQ79Y5CoKbmXpCm6irqkFwcV+24yqNKW8eRXUIt2kvodRTG3k88Jl463wlPiCbnVVfLOUBVib06 - D6Idu3eXfcBpaEcRgSAM4OBhnNK6wOaEaKMnVCVPRiMRUcVp68YR4h0XXpQdZ4UCRDQ97yZinfU8 - zg4nZ1f1YXMOIEjQNujYoQV1iaVjTsSZnCCQeKfsFE7FIYMiKBI6p0LKrJ7Ek1SkDOXJuOsNFl0z - cIuLQ8IhiXln774wlq97+rPe9pO3bK8nu7a7aiEm1Q41A0dv8EqSGZlP+lNQksQdHCVuOFRgTXGx - CXf+0yf9JLEKAokAYGHOj7KEPSnptIenDMAQUYaqas4ZJKdwx0J0VNeQiDJjcXkJIDB55vkCJJEH - DwVt7F62nACiJAqUEHeGgHInOQdGVYOokk457+N84Vc+F/0BShfTwz38sZRmZMkmp2m4nWyKKqdN - rzczoHHttruwnkLScpwlokzIjEyUiaBMgJPTFG1uzFypy991nXOu6zoAIYQ3velN//Mv388eKYEI - VVMrFKqS88N+4E2BCKqlrH/OGUDXdSmlUj6g/AIRee9DCOvr62rMaVS2T1VlZjsDMsYYc0rM41fL - LIsMZACUQQIVUiUol2RWglNxIqzKEIJsya2qU2HV2awAZVWCgBI4gXIqS4jpnD2yMV1jzDlKgQ7o - gEwbwy4OUkGQBTkj56CJkRniVJyCt2jPXAbVScrRgSFlkq9TeBUv4gRQgSqplPTukvD9mK4/Y4wx - xpz1lERp2gVKRCmlcdu64P/xH//xlltuySpfuMFBOGYE6yUveckFu3d64jhpnXOYhSKbEyIBhEHe - uZe97GVlbT36XGR60HVj897vpmkApKS/+7u/G2PMJzHKc8bJIllkHj3e6/VWV1f/4r3v/f5X/gAx - BIgxA2gnHQAfwokeR1UAEHDNk5/8/ve9b9DrS9ctDhbG43FdB2jOqROR8Wi0tLR0zBo7G2fOTCYT - 7/2nbvr0j/7oj5bc7rZLAMqwiIhAQUDXpaaqXvyiF/XqOuccY6pDrVlI4dkdc/GNMcYYY4wxxhhj - jDHGGGOMMcYYYx6ZEIKI9Hq9Mv8BwGAwUNWcc13XAJxzzrnyXw9xwaPOCjo3TQNCF7usAoAZw2H3 - qle9ajKZHDp0qK7rUjz6NL08Y4wxxhhjjDHGGGOMMcYYY4wxxpwHSEGbA0EBIRbizfX5hURIhRQQ - 0gwA3q+Nu8BNFeveZNtXXvvCp1x5LcCCFgFZneMe1MWsmZBcCXSaFjshBQsgHlpBK9WqpH2BREmI - q9W1rg7eZ9IJlmqoG7bNff9471/83B+++j2f/iN6PIZVOjhsB82yS45OMrq7zNPImiDkUnXV45+y - vLiDyJXacaWOnBJ0VvlDCeQAVvXy2Vs/18ZJksgMoVKH9HyvgK1KJcBbNY/TRDkqxZX1/f/wrx/+ - zN03xP4wNRh32XvkrMz+xI+D7Uvbty3u9FSVxAcid2xxHHN+e5TxL4+tM6+KU8ne2fTdQ5cvfljF - jaePKcf+7Hi3x3+C46XkniBC94QPcNw/IYLmiJgR5fM/99Ydq2uDdtzzRAzkhAMHD/7TP+f9B0LM - zM3Y94Z1b612qxWvVnwk+CPerXKYkI/kZFOKpB4bKnnMInFJ/gQAJd10WPXkOCc3XMN9+5CzJ0YW - tC1chSogJ+c92CflsWOUTMyNemEPCjY9upTYmba1EeAwq8h1VACnBwi9PpYW72qHazlHBaoaTYUr - LssL/VRqKhFymXzpjzmEsB5dpAsoeZ5KmgdcjQ8cwOc+19QV1teausL66CVf92L0ape7ksZ6gq1L - pl+z/OzTUKhJAYDl6O+LaUtDj/kfbQY9TLJTD99D1UMvPPn1r7sjuIN1vUacfYD3qAIc109/eu9L - rq12XzgE1kWEHT3MNFoSzPKZGAIgK0DT95IZde2cc12MRAgVRFGR9kUGKQ/G0R08fPhfPrnvA9cf - +duPpM/fjH37cOgQRCn//+zdeZwk2VUf+t85996IyKWq956tRzOt0UhIoAUB0mhBC3wAPyMkg2wW - Ccv2EyBZi1ls0P7xszGMxWMTNjwbCQwILD5CEnoCpGeQEAghsQkPjPZlpNHs0zO9VFVmRsS995z3 - R0RmZXX3DNM10z3VPef7yU92dVVWZlRE5o0bN26cX8Ks9p6xsYFjx5xqYCpSQ22NyXo5GGBaYzLF - 8eOo6+knPnHH+99/259++M6P/V1x7MRe0mKSZKrDCuSR1ZELxwVp1+rFT/5afuQjwIDzuRWqVqZV - eWRcPfX1r8bAY+BRBYzHqmDAEwEQaJ3UhfN532LMeYDRNWPcZ2N3N2Un0KyiyEwCVr97zywUqU8T - 5KXbyRS87eqBTB5AP20forGuj9yBJgbpntkLEUhBCYDuuD3qTqQk84EDBkAqmSUHz4MhhQKAZjAx - gfQB2KNu9jPnwWjsRqvwBTzlHEEPrYNzoXn8JomCoCR0ckKiEkGJFAwUofLeO/KqJIIuj9I5l1Pb - Vah0Xc6cY8/k+uBbJwRVUtUsEBHp8jOF+t4LQdkREYi68rUppRSjihDgyAdXdHGeUIZQjpKjQMhz - EIKwCIkSMkOIAVbq+rXcZcNnlsyq1JX+f2ht3/OdCjERwYGC37s3FgHklpqB+QHM/euIaffWAhjS - H5cxILlIbV474QSOvABEZIN3D6zuEKGL5WAFwEpgRWiF68i5T9ftWgp3PzZzt1mXDsC3HAMqIRNH - 9jh4oPWhlUxQZkBIVYl9Zgj1aVJYWiRjzqFuDCkDXT9YAAhteSfTYjCNEiDdG577bvPmw5hZoG3K - dRvDYKie714/kXeP79o9xmOueur//ZM3Xbz/NnKJBq4qYupeW4Q096NSW0723HdMYEdENNEJCBzc - FOLbNP30ZzGZBclwnJWgTHRqwvV9svnBVOo+rkoAusBsRRbkpLkfxtme04zdkZt/gS7yNHnvVlag - ItQfL3TrX1XBtLzqHpSWZHkBhFBVVc65aWYAiqKIinY4wiWX6CWXxpXV+T5PTxnU2jzCWl4nfcdu - Pm7bDwTp5q6TlEnBCu9AdcRdRzBZc81Msyg2x+tIlaHWZzMPFu99hjrn2pxUdbxr9+te+4Z3vfM9 - AFICE1QxnU4BuMBncq5pEQHSf5668AnvPZbqAjBzjNE5l3POOY/HYzLmHFJV5xwRxRhDCLjXehbG - GGPMfbI4g755Wln6TGxSgFixGNpbhHZ3Paazct8fXy+OGUEQUoVydwy9WGqc/JUxxlxgNgcMF+dN - GSfPfOpa636umZ6dlnnpHvMZ4Uuv240R9Uvr+q9Oyck0xhhjjLlPTj+Fj4jAVFWViPzsm34+A20W - H9xpH3yyefeJgR/4vhdP1tZDCN77pmlGo1GMUdUOLIHFacT5f7tTlpurJsv3fNc/K9kXoQCQc95m - evf8GZcvQXHOqUiXXT2Z1t0s9t9462+1KSXJixM0/XISLaaXLJ8D3YFTRLox/PkCUyv5/X/ywX/x - 4hdn1SQQgLpVSABzihHLE56Wz1kTGHj0I65+29veNhgMRtXAORebtixD27YxpdF4LCJKmE6n84sr - tixJ97Q7Oca736CAEMKw+uznP/8DL3lJnZMSutxuF/rz1FVVdVPGHPC0J19z+WWXxqb1xKPRaGNj - A0BRFDlnOq+vRTPGGGOMMcYYY4wxxhhjjDHGGGN2jG6WCBGJSHclY1c4WFXrugbQxXh3ZYjuRfew - EEJd18RclCVUi8J3BRLvOnL8RS96kYjMZrMQQjfpYumXub8/wzrUxhhjjDHGGGOMMcYYY4wxxhhj - jDG9M5h1wEJg72JufEGaoxNXNKNh3P+cp37XRcXDfB2CMGIWEUBcwaB5fc7lF+zC0mgRFsCY19MU - kWFVSVRWrgqfFYnQ+DStjte7j/3+x975pt/5qY/ffZ3uj8f93bNiI3PaGu52uqC3edITQVS1qqoU - a+Q08qPVYs+VB64qdch5c0m6PzMzMvcF5YSBIF8+8tmpHo1oyDGUSInnNT1451X2uGdL4SD9fb9y - ugQPUp5HoW1JyFo8YvOhACs74ZxznRstJOwJR3HnX3zuQ3/+iT+q3VE3UAVcABGlKOScAEriFG6R - P0oCQLPbNdy/p9odxGtWImKGarJ8JYMuJOU8d4+p9Q+OLhZIBX0Rpc3M4L7gjwLU12LuHp4BAG45 - n2YeftW15/Pvim55JnSVOE+9X7a5e1ja0Ep9YPGi0ij191265nITv/mwNmnwBEBEXVdpSDKxZsRC - G6zhhje+6dLkh4iTFMEZeYbYHv3oh+juE4MQJo00o7GurO667MBg5PywBAng6qMbfLw9ceutVJ8Y - ElybSwfNUAW7kFLqC0Xf0/omAIou84YARat5WFbT2cbaZz+5+pjDnBQRWuuMiA/sA1JO6pLjahT2 - 7UPl+r+//3O3lrZarD4FCLQjc0Zp8V4hOMAB0AB0n4wIj6e99jU3vu4/7QUkNewFK+Pq8FUbH/+U - JgU5IYjkkGP/hlwuyz1fAQJkBhQOogpVDcwbn//C+MorkVoMV1EEIaBt4AFfaajaLN5xV4GNF+8u - laV3Nm++88/eygHcli5Lf7/55zEvXr6fi9otdXDdzzNYByNfxq+79j9i2nz8P/3EJVrtTtFpizKj - jTh0BV902fCvPzY7cvvG+vrAsYNQybmRbnZrzqAu+Jyh86RwmqdTzTttYEAVmtEVQZdWWdURINAW - JQGx/0scQaIwYZAk3nTb+pdvi8xFOVgdr/LKEPv3o/SoKhQF6gaqSBkpo65Rz7C+Fk+cmK4dy03N - IgOQV6+SkHMUFA4sqFuI8y2FDXZ7r75q+JWPxuoIBKggVLM2aVF9uZCvfM2PwPvWV23WiuHgCZwh - BCQA0Mp37Qffxw1sVbsuVIuCbKpq2/mB5cQribBETYOkUDiGgEVJAQoMSl5jbGajRz/2C7/3/tJR - mbvw3q5hTkoAzfcjCgVnJoDdPATiDCiLate2K6ljDFy+9TOfQkplcmueWxATe65zjqLkXZD8wK+T - Cwb3MZPz/wopQTkCyFFpuBtcELOIqAiREz3jSo/dJu6zG/vf1i5/yhGSIjLzcLf6AVIeMNxD7MjR - qaDvMigAJVINCg+AID4zwPM+WEJXwbTLpl96ElVdRFcqCYSW+m0AZP4zlT7MG4te7yLfsdN9vrr6 - odq3qHnxK/0GpG6sQRXC6pAdL6JWdMv2m/+XGYJu9MCuljmveGaJ4okVbnDllbcUoWjaUXcwRBD1 - AJgybTsAlaBAJgLgNIMg2vWNs2Mt4yTefVvVZhJ2ntrUeEfn1bDdDsfzI/tMkC77I5MSZCVpe3xC - MQMgjxzhyGXJ3D/+vlrsMGReVblr4YU55VQFlihEyMBUqTpwCVb3NOyIoZodFArAZYUyhGTx2gpm - hZxnY7jm/KbgTAK4Lt6+C5fv3pKs/cDXYsfc5XkvEpoJ0u35dCkmzROrKtrklbwfSJtjdneXw/Lq - K57471/3d6/+qeFNN1E8WjASQB5NK955L4VIjYztYlKHwAAAIABJREFUVEpXlgyhWDJEIzIKdj7K - RUc38Ld/X33Ls1rSBGJF5f1sNoE7sx6f64coPfpzRdIHwDnOqSXnC8eeXGxmJNspG04KJXT55Uuj - mqyigX3URITAmGasUVGt7IX3ggwVEJEoEReuyGnz14T6NkSoD0U6qxbtFSuEhBUCp4DG5Im7wcZW - HMMJSHx16Nnf9Pkbf+1Sj5CQFZ4AzymK6zuMm72++ToRBni5DwiQ9o8jnNQ9g0SMfLXaruP6vxg9 - /HnHVLLnFqxgJ/AigIjtcs0DpmuzuhF1wSIVjKht26IoiCilxMwAZ5GMPBwPj919fLQylqQ/ee0b - 3/6Od6T5QX1/0kIUQI7p3l94UbhfVbz3KUl3uNMlc4cQYowioqrLyRDdZf//YCkBY86GxfvQe5/S - P/AON8YYY+4rFVB/rOrQTUIQkCDDh4HorJWm9JxS9lVIdXP2u0GiBAGrKhNYwUpQhgSCnx/FWCys - MeYCR0CYf7GYKUfdGTQHOAJvjquTnv3Rq61neZbG9kW7pYQDmLoZOvDWRBtjjDFmG5TQnTKg+cRm - kCjQxhRC0JxvuOGGD/7pnwIAUUwZiylm/cHs1j6RoiiK2NYMBPZPe/KTDl/+MKca24YJTK6Z1c5t - rfM7twNzoM+B+V8tWFqTpHBEqtg9HD//ec/97Xe+q+v6iZ75bEtd/nfz7GTOAiC1/XeygID1pn7z - //jVH375K9s2VmWZUip8YE9128BtngtnMMv8rO45TKdefiFmbpomOO+9r6ez0WjUti0AAWVFEUKG - Jonv+f3fe8UrfzB1c+m6GXfdX9z/3ayAC5znI/8+hBQjFKS46sorf/Otv35w/z6naNvWOy6KIuak - xL4oYxYiELNnFijRyethsb7O6vo5aULgQs4ZgPeemXNKqtrlvrPCOdfETI6VkER8UeScb7vt1hf9 - n//yczd+SQBSYu5rf3cX8tR16+bXenzX87+jYEeiYG1i4wuvQIzROScidkhijDHGGGOMMcYYY4wx - xhhjjDHG3H+LC2l5XryAt1YxEJHuUtzustzTPcfmjIIYGwCa0eYGQNsmAMzIgk995gv/+uWvePOb - f7lJsSgKCOecy7IEMJtMyyoArMgPyUk9xhhjjDHGGGOMMcYYY4wxxhhzYSIiIhIRxWbZZ2MeeMo6 - D2ZeBCHxaSokLGWskMtI5AHkLMGxc6kY1Hx4/NjvfsqLf+uD//3o5DaupnWaUYm6bas+WQCZ+6TN - LhiaAFKRPkFKugAoBqAJWYiRIVk205naBq5q/Xj2mbs+dtsffekxD3/8kx/7pEdf/ITBkV1uyszs - vc8qIgJmIiJVAKpZNDki54lIc9bgRu1sGlwmx20Ti6p69mO/+eO/87E8ym6gETKZNeOVcSNtJDhG - iKxKCNrQRqjkw9f/wT95/PenSA4htbEoAxHlmOq2qXYP66ahHVbQYZ730deywKJeH4Au7oGEodKn - gTCUoQyIkEBVSQAWElUi8gAUWVVFEhEVruSGBlzVmsmhDXEt3/k3N//Je/7mbXFlgmKaapQECJKS - c5wkixMiDDnMNmKoqIVmJO8HblY86tBj3cTTRItR1cSZR2S3WB7zkENECs05E7uUEjPkfA7j22HR - 3ffQTPXx0MshfH0exjwSENj8SrHUP+H50zLNs7l18wenuT/9UtCWL5dzvmlz8U4JOZ7/FEDlSYAs - 2u0EJLWFD5Do4gRt/tS1P39grR6HIp44PlopQAlNe9MHPlBtzKqiWmfed/VhXH4V9u2FBxwhtygK - MFeXKlocmH4F7rzpyCevH8wm7TSPPBfCs5jKopLUCAvr6StzUR+q3S+kMhjQnEvVuHECN92GSy4B - KMY4FcF4DCZXDbDetuDH/Yt/DkdgAW3+4affgDtr73M6NL+br6UEiA9OsmOalaU477Nq3VJZ+EOH - +Iab2rUT5BbTMwlZ7+3PXIQMQRTsVGZ3HcWXbsTVVyE4bSXG+L9/7ue++lU/Cq/1dKMYjhVIGXRy - HLpuXcyzju7xP4vv8NIPRbt4LwbAUDAhApmDcxEOX/WG13/qZ3+23ljfx0421oajAYKizcOnXzO8 - 9da16/8+bqyn2XTSyCqjzRCgYLBjiKQMmZf6xVKpuz69e7kzsZn4tOXBC07hABKUlEVUAW1amm7k - Y+HYF7/YOqY51UyirGDJPsOpsGgBdQpPYOaMJmUED3LcNpIACVXNLKOVQ9dcg4sOoCzqjfUwGsCH - tdjS7j0nRoOv/JGXYxBQhgYC9tI1xMpMLECG8GYmGpZaJmPMA4aVBQA4c+LltoJARBlKgKowFN5h - z/5JVea4+dvoDw+zUBfp1z/B5j2dWUtNAIig83KSCoqpnG7gyJ1h18OIPdirZqToOBNVcoY5ow9B - 3K8f1n5zS7fGiByUiBjzDaaqi93rdvVJa8vfEmLhIlFghZd77SRccEgXuy4liIKgPM/TBSBd8Vko - SzfiQxnq/oEcReXN+1M8QBmMS1tQ5/0vPd1Pl175AXlhc+4xSBXZeX9w33rwB1MGFhlyrCRn2oyf - hjJos6yqghXZKQY55uPHQARR1e4qL7vY6oHUH52SAIm0VOozX13MaW3ddwO/AgCq/eHvdl6m275b - XpcVpFnYIQuyA6qh270L7FNfw3fxeFb0Yb2ALLdglihrzrF+j63A6XZpp74fF9/hLtO6+yYtvinz - R3D3Y2KfqnItiF5x6PE/8srrfvwnikmd1k5UAVkQAiTrTOqKmCHujLu386E4yotGuzvAXp3V+NKN - 1LYiQhQASI7enVloM80/nt2ntY/zUQjBUZfARpAEUdX79dHtQsGXjimg0EXnlBTKlH2BagDC8jo6 - 9c9ZfOfctyXdSyu4fzvNWzyF6y5ZbjwPvuZr1t/1ztgeY+3XbYziN5vh7j245QrnbjDHbf177iF4 - gAnkM4Yp4sjtiI1WBbuQVEg9Qag/HGAhEPh0ZxmNeQCklMbj8XQ6VdUQgvc+ZyXmKPHEiROjlTGU - f+Otv/HmN7+lbfoj1qXf/offll3ycVEUXYJCSomInPMppZxzURRdmYCuXbIJHMYYY4y5YM3HZLrU - qP4YoT9+cJJUiRleoRk51s0gOI1nns61rSUD0B9B9pPJFmcitkxTsY6aMeZC1bdv/Zw9BuYDhn2L - +KBOtD15VJ83F3eeNe4ejOUyxhhjzPlNSag/Q7Z8Dms8HG3Us5jzL/zCLziiVjWE0Mb2np7GeZ9T - Iua2bR3gyYmk73/x99kUgvtO52dXAUBUNIey+q5/9p2/++73ZElyli/06fqTv/zmX/mB7/v+3eMV - iak7p9PM6uF4FCWfNCDwoI8MDAYDzSIig8FgNpuNRuONjXVfBHJu1jZtin/4xx946cteJsz5Xs8+ - 5yQgcs7llFKMhXc55odddtm73/muKy8/5IiajSkB7Fxd1y54LE37P0n3/XN2fy+KoujOtaWUoFoU - BRHVdR1FnEoIZRNbVxZEEOCW2279nhd978c/8zn2DJEsClEiUhG4MN/yYNDe0cqznvFMBxLanGtx - X5bHGGOMMcYYY4wxxhhjjDHGGGOMMfdfd8mtqnZV1BcX6m6D9OVK8JGPfPTaa//zG97w+rZtq1AU - RTGdTgGMx+Occ8rJOZ81/QNPZ4wxxhhjjDHGGGOMMcYYY4wxxhhzkvtYrm3+sD7mkBIgIChBybsc - 0nr1qINPfM41//RdH/6tRHGKXO5GAjTNwymEMwMQUlUiUFJwn6+pfTQD9QEEi9yBeUAXYbQSJpOa - UzMcj9fbO//y8x+88dinH3nwuuc97vn791yiOaRWHUpHPmchsIj4wjsXRFJMTW4SsTL5VjL7wjPH - 1IhqRYP95WWPPPjYG2efXI/H1GNlZZhFSNV5SlFL9m2TfMUSU0Nrn7n5+vXHHWMZDmglcCEp55xD - CCGElJKKMO+0YKmtBfFO/s6cLqI7BAQhKCkWm1vh4BiUs8akoXBFVaTUzmK7EsZNU8cixWLGK+37 - P/LeP/7b/9ftTRGzzCBd2ookIFEHyZAoHnDkoAlAbnMZxw/bd5VPoaAQc2RmRVJkC+p6yFLdkr53 - /xJaHnw77n2s4MUNgANcV01Jlm6LTy/EIXlEICpivwNQ6R6jQAJaoG/tE6PfQZzupps3LDUQmZD6 - PYqABJSASIgOySN5hVPQ8rIBIOgibUbR/57AiRZMnuChBYA2YSY4wTde+4t71ib7RyViHaoKLuDW - O0/82V+5Y7PIQ3fl1fue8Q34uifi0hHKmfiEXauQIWYOOcAH+IgDFa6+7MC3PLs+sE9Xq0YlSy7g - tE2Lgj6nljrqghW7db14GBEpMgDX5vVPfw6zCIl1s8EDj7IAs0434HSaWjAh5+Xc7vPXfGtL/x90 - exeIKIrwFT/2Q7ehmbaJfAFi7Nu3ctklU5DnQFm6crNK82291D4sSoW77n2y1FgE5+qbvowYsbam - jEHwK3XGRkSSgfdQUYAFBYMBEXT9kj6aah4LSzuggNey7mOau2irboUkFBEuAr5AVWLVP/q1P3jL - /tUbATc+MF1rGsRcZQ2CKy9bfdazwuFH6J59g9FImAuHyoEIKUqdkcj7cqina7JYwRDG/JO25dN4 - T4tKooAQgR05p5xibqb1apI9Td5VNyvT6WhjsjKtd7XNampGMQ0lDZRKhAIVY5C0Sjm0GWVgVtSN - 1IHrqpTV1d2PeORFz3oWLj4IAdpcrezKXDQc2vHwk836FT/8cjhBzm2UAcoxBZ/QN03atXhMWESc - XgifL2N2sC15mUroAj65a8m7Lp73OLhfqlKpa4N188jkFE5AqtsupedA3U5ZBZRRTlvcdAtUM9QR - MSmEAGZmnJuYiQuXc2e99Lqqeu8xv2zjbL+cMea+IyIwCSQxcOhQ7ZmYF8cD2nUm76FQ7Bm8CqTb - HVB3tAWBgIGgWL/rLhBUhTQ7Ziu1fE4IoOt33+2JQVABExRKZ34w2w8aoD+IpvlRHol6ZhGAkYDW - oS2K3ZdeYt1585ClBCrLjcnMCYV9+/DVhx/zshd+iUmrMgkoghMq9g5K3neDaNvqRSst1cFnhRN4 - pTs+9zmk7EU8kwOllB7Y0yKbQ2297Xf3uv7/qTaHm4mVqRwNt/kCO0MkxuFDfGBfdkEBFXR534sV - p5B7GUO7LwhEgBPcddvtEFURxww5/dGZ0IMQcG4ubNqfPkBWUdXBYEDk6rptmkZEmP14vFrX9dvf - /vZrr722bQXANs7XppRCCG3bEtF4PAagqiklZiaitm1zzl2WQIwxJbue3xhjjDEXLto8W6PUhXkT - ANQtSy68Cz7UKXpHg8DpHOV2G2OMMcYYY4x56CFlbD0bpQzwxsYGgKPHj//B+96bVJmR86kHp5uT - nLufOmYABAJwcN/Bb/qmbzr7f8D5je7hlCIzM3Pbts94xjMOHz7cXxVy9ufv3Xrkzvf8/h/EnDY2 - NpjZez+oqhwT68lT/TcvgjlXtlwjIxqbNsZY17UvAjmeTDaG41EbU1GU7N0fvO99P/DSlygjZSnL - cJpn6/+Vsiq6E7KFd8GxxHz4skO/+ztv379ndzOZBrBKHlaVqjrnlpdhZ9z4tLfU5mbW5iiFLwlu - OqmbOlblcLyym9g3sWXvkgjY/dmff/gfP+dbP/HJzwBISUT609/9ScCcl6cMPe1pT7v88svP+pY2 - xhhjjDHGGGOMMcYYY4wxxhhjzOmklGKMAFQ159xdqLuN63yJXFmWALznJPi13/it3/7t3w6+jDFu - bGyUZdk9f0op59y9ojHGGGOMMcYYY4wxxhhjjDHGGGPM2cSsHhpYPCkriXCMfhZ9BLuSV59w1dO/ - /rH/aFDv3+3G2kAUidE6ZGIBkzALs8KJEkAkgChBwAIvYAX0dCmKrEgxEuC8+qC+0IzZ7XfffN0N - f/4Tb3/V2677pVvCx/OBEzMcaWV9VBZeKYBTm2azWZvFhTIMRt6PiQdJWbgQDIAhlEmKPdUlj/uK - axCRU6saibPk6FR91gKAQ4ZAhAjC7pa7b/3El6/LwzpThGMiyjmSAxchpXQO4snODAlDGbm7gRIo - KUl/6wMUWOAUThAEJJQzx0ySmFqmRE5BDFTs00YzyOHAcE/Irp5EckylO54n7UpqBmuT4vZ3/NFb - PvL379VqNssTgYd4iCfxrMzaxX2CAEdIAvZOoKpwDqS0Oxy4/MBVWSFORcBggPP9jHEyFwo934O7 - d1ou7Dyhm7fmYc6DeLtk7v7rRUYvsBxgvBTHvYj5BpYCubGUzL01pXtrGOeW9F85NQxYuxpbW58T - Wyoq5cWS9d+UrAmQ3NQAIxMa+cJP/cyu4+v7S4c4RTNBanHLbWuf+eLkrhPVrn2Xfs2Th193DQ5f - kQsPD5QF+1Cvz5rBLuw/NNNyloHRKEF0vIJdq/uf+Wx32WVpdTSFKoigp4aSdgWsuyi5zcJVi2XO - CkgGBi5Mb74VR48hx7Zez8goPFRzTCh9Oa4wmaIYnNH23aFovl2Xtl23t3e+QGxQ0YlR2foALZAF - vqDDV8ZBRexVlQO3svlO6z5TBEBZ5iv8pAJhBG2n0+N3HsHxE6gqLn2qZ7ujfPa//BJmCXAOwoDn - LgcbjrtIbO7KsnXP1EUZ7SiLbHEFEuatS7eQmTAoNXhUxZN+6JWPeu3rblWmPQd9WQkBVQHPWB2X - T3zi+ClPa3fvO+HClH0DRIUQvHNgmjV15i79kPWeY60X7/OTbnMMMBMTSKEAiIi88+QqsM+5ynGQ - ZQgMGANGAZSCQuAEJMKqrGDmwMG5MKgGdZQpuCnDRjWorrxy11OfEr72CTiwBznB+czumPIRF+6o - xhf9yL976rX/EZXDaIjBsAilxkxdba6ltogBBkO5b2GMMWeFYN5fYEAIQpCubVEG2IE4A6DEHnt3 - 8eo4d/t8EoXofL/Bm9muTPPvbE/Xp2RSBpgRgJWY9cs3OdWcMyQHMDMTnAqJnCY43Nx33vvlTjyd - XB5z+5afyAX/QD2tMeYBJIASoYvuvvhAHFZxUZ9XuTvSlPuZ2z3vsC6nyXaBskXG2pG7gOS4f5g5 - a1jnB3rdcdP63Xe5bm9+SlnkbaD5MYkSujcMgwBkhTAi8zGkPVcf1jNPBzfmghHbzL6Monen+rax - K77hmkc89zk3kcuuKIiyAFB2TpBlO73opWGYOYKQwhMduflmiARVp8KOSLaZ07a8O+iadN46vNMF - d2/vyZdsaSgWXVOibmiPhGk4Hp3XJyMSAwH7v/IRU+Yo6K5s9oBmVULmbsh1M717GznuBEKWoHT8 - zrtArN3ojYIhrFBAqd8dd18bczZUVdU0jaoSUdM0zrmyLEMITdOo0J996M9f/ep/T8Rd67W94/qu - 4r9zbmNjg4gGgwHR5hCBqk4mEwAhBO/tgNQYY4wxFyjl/uzOyd8HvB+xm65vpJQGZRmzwu200+nG - GGOMMcYYYy581XCghDe96U1rG1MAxJwlu3sZt1d13qeUHDEA1fy9L3hh4B126dd5JYQgMXnmb/u2 - bztnL+qZ/+sv/mLdtoPxCEDbtt77xUmcxUhGN8lE6EE7ZSki3nvn3MrKytGjR733oz271zbWQ1XO - mvrt73jHS1/xiiZrzBiOh3Vz+hLS3XycZlZ7djmlHPOA/EpZ/c+3/uZVV1y5e7wyLKvjx44Nq0Hb - thJT6QPm59x3wv298N6XZemcSykBqIYD59z6ZOOuo3eVgyoTuAhZ9S2/+ivPe/4/vevYUQXKQQnA - seuu2Mw5+xAAdJ9677xCX/CCF9R1nfM2py4YY4wxxhhjjDHGGGOMMcYYY4wx5v7w3ocQAEwmk0Vx - gG1c50tETRMBOBegKAp+/ev/wx/+4R+GEEIIOefhcNhNGrHrfI0xxhhjjDHGGGOMMcYYY4wxxhhz - jiixOJZA4gAoJeEoHIk5TVE0u579hOd89ZVPW5WDeQMFQ7pcAIISA8zKizCdeZIpK3EX+CjEsjX2 - sQsRICBnlCVCwbN2kqSuxs4N9ES8c726408+/wf/9V1vfPdf/ObR4ta0unYk3hyLCarsgnJgIkpJ - mjqnqFnBAQlNk6LzRRkCmlym8Vdd8cTdg/2lhsL72awBxJHmGpVH1sQBKYpj4iJg6P70+vevuSON - q7NmIvLeR8mKDCUmt9MiILtwm6VwXQWJkGCR2019NGefekQQEpknebAywFCfc66qCiSzyTpEB6HQ - jCY1eZzXwrG76ObffN9/+6vPfmDmjvAoqU8KBjzg+1wMAroqHBnMDHLCrpWcAWYUNLj64ses8AFR - EgeIOmWoAyxS86GuS0l4YBJaHlQ7631M88jkxWL1q5cELH0L7URZMknuw4w9NEADISh8BifiltAC - GfOPe5eJuyjiTNLfb+Z/b73p4uvsED2iQ+7TuOYvt6URIYAFTgBBFmTpmjaF5C6InEU5Z9JMSMjO - ByTBLP31z/x82WxUPra5jnEGFkBn13967Yu3jC66ZO83fzMuvxQrw0ZF1GGimDrEqhzsvZnoS4qN - YujK3W2E5wG1JJOM0croGV/fHtxf7xo0yM67k9avzG/d2u1yiLfUIWJItwlSHrUJX/wiUsPtNOeE - 0RCivqpyrEUV490q9xiffN6gPjFZtuayd+skxozRGCP/uB/94TgYiijUQQQHDg4uuXQjRkcuqURC - YuR+pwVAFSpECj5NgS0SVlQ+UJOmn/s8VONsslKG1Tbvm2WkjNhANMWaGURdP0OXU+a3zPfcYQ0Q - CVzXNwIaIHkgdJ8bB7iUGVyiGiGUh1/96ptDUW/EkApqUU9aYcZ4gD27x9/yLXuv+fp04PKjUrU8 - 8NUoQyXHsuJMiOwyk1DXB2PSeYes22rUv88z9528Lo5XFp0J9HndIAJYiKJIEsl950NBgIMysuOW - XCM8y8QcmAKTJ6iiEZm0sj7LG9Nm1hThaFG0l1162bOfPXr6U3BgFUHQroEiChZH66PBnQcOHH7N - 67HnIMZDjMo65pwV4oILyPONOG+gTltt3hhzliz2gIuSiNI1En17wRGE8aC45GCaR28KqZDI0r5z - 8VX/+d3WZ5gWeyQHAYLSuGnWvnBjxczMLMlp9uRUOefMfJ7vfB88ygSC814ewLzu076QqveeiM77 - YwVjLjiqqiTKGiljZcXt31+rEtD35GmeBXv/PrvLPbrFF07hE9pj65hNC1aGbC+y0dyrzS0nQHe4 - 6lQAaY8fcypQCADudrr3azN3fYHMyASCkKhjxAR1qJmPaMbVVyXbY5uHKlLWJGU1zN6fcLq+Mlrb - M175zn/SPOrqE1qi3MVAm9qG01Qjg0D399LTrgPvVLzk+thxbEwrUcp5XoB7+5/309ZM74YkMB8g - fuDISdHdQsggHo0f0Fc516JDU+Bh13zthH3ynkCiyo4SkAmZkFmUpR+w3RYiqKQg0pxYgwqrQjIT - dW+AbqDWmLNCN88UxhiJqCgHTZtALhRV3cTptB6NVj784Y+89KX/xnu0jXRV+7cxqB5CaNsWQBcV - 4L2fzWbdIaeqqmrOeTQaAWiaxkr/G2OMMeaC1Z9N4aVOPvdjPZMNl9KwLBo0YBYg1jE4G50xxhhj - jDHGGHNWkG6ZfLi4NTF9+eZbfv2tvykAsUtJANz7uD0zA6KaAfXkX/iC77b5JPfZyRcy5JxFpCzL - pmm+49u/3XcndOWsT+GLIh//zKf/1x/9oS9CE1swpSiFL6mfvM48P6Ok5yS3e/lVlqfZe3Y5phBC - jNEXgRzfeecdw9UVePerv/Hrr/zhH0wAgODdxsZ0/vsnTW0XAIUPBKhkBwycHxTlB/7X//f4r3wM - Z5U2TjbWd6+uAlBVDn5SzxaT+HfU7VRZJeaUVcAk0DZFJVTDQTUctSIUwkf/8q/+j299zqte93oF - Zk0C0NQRgEBTkhBKgFObAeSmJYhnd/G+A8985jOdcyKy5ZIHY4wxxhhjjDHGGGOMMcYYY4wxxpwT - OeemaQCMRqOcs263dmz3W0SuaRpmtK2EQK9+9av/7uPXZ2h32S85JInsSWDX+RpjjDHGGGOMMcYY - Y4wxxhhjjDHmrOvKS5A6Vg91ChZOwinlduBGg7Q6mO379me8cC9fdsnwCp15zDPaNp9hXgaBFaSM - RR0VQOeR0icFNpOi8BBBSqLQxG0jGxEbWrYNrW/osVvbG973d+/6uXf/+O996rfvGH7hrupLa8Wd - M7+mHJ2jwhWlr5g9oOqn4iZCNahljT5r0YSD5SVPeuRTBrpCykpg7zKxKlQRs/iCu3kZiVRHdMPx - T//vL/2FDGJybdbEzCnnJELkdlr5FppXIGFl0s0gPCUVEiWZ388zN4kTOSFHClYtBF7gxAM8iZGH - rvb1FBtcSRunaa3dtbI68Se+MP3Um9/9pk/e8ddxdAwr7UaatchC0M3SIYIudLdL9yCfGZFyIrCH - Jrjaf80jnoJJIGIlYWXKTtWBvFiyptmcRvVgL8f9sONKFW+WpOm/IQpkIEO7+4Qu67aLSebl5Mzu - V2SecAyAIG4RyN2la3dlnLdEKS9u3N8z0Cd0Ln7mNos+49Tf7VLAM1y+pxxIIgKxQhmMpkHK1739 - HS6lPaMyT9ddlyBe+U9/6IN3HD1y6JGP2PXEr0FZYHX1xPq6CyH5EHcdqHftu6UIs4c/7Kr/67VX - /tuXH3jNj91Uhjuq4TEOKTNXoywKx/ue9CTdtUtHZZNaoZNLC/XFmEhYt1RS6h7lHETAHk2KQ+/r - L30RJ45xXQ/KEgB80JxyzpN6BrgYL4ypgdLHum3tEADw3mdCq4rKz4rBzBUaAggIxe7DD2+V2IUo - YIbCyebqFJAoQUD5dAW2COJUCujarbdjMnU5aVuHJlZ1c90bfwZNQluXwQGiSaBwjpaWcqkW+U4r - 29Rl1QgzQED3yU2E7KAMBXtXiTiox2iE1fHVr/p3b/3IX7aj1TUKvHdvzTxLEasjIOOKQ6vPeuYl - z3iG7tt/Z9NGH9ygmNZpnl3e55h3AUxb1rBSwZG4AAAgAElEQVSebrXQPP9pngLVVbXr87sBlX42 - LXXdPoEq5t8hZt9KypoUCoY6lQCUkKFbK0p32eWHvvEbDz77Wdi7K+UGnjME1SAOB2vQO1ZGD/u3 - //arX/EKjIZShuTKBj4Mxs5XACEBvNTAwFK7jTmH5g0CKbp+h8xbbAJzJoYDuCZC8PuuenhapGUv - Ul2x2ebo/f30bj6nKgTgrFXdHr/xi2hj6cCUs0SAVUiVONzfaMOHNFXv/aITfz9zW09CS6GAIQQh - dLuSB/AljDH3RzeO07UA0QFlsXrl4UggcgAJEakShGXR5p/xS+jpQl6p63kqvEDrGieOsiYrw3qW - 9Fu5Gy6gLspXMavz2hqLqPZpuARSXRxjbfe1ul04dV9LFwrlySXy7eoKDl3SOtsFmIeuoqim0xqO - KRQNaDZekYv2P+6F331nWU6jAiwKVYARQsiStzNESdINDi6aU6fglKiN6fY7Q5u8ZNLsnDvTq2cX - J43uqWa6qiqYmZk8EZ2l8ZluaCKRYjQ4B9Xbz57IPB2EcNWVcTCMzsP7+bDtZit6/7FqkSEbM8wa - xwxV1m6PLsDiWM9ivM1ZlFJyzjFzFwjRxWxXw/Ff/tXfvOQlLwWQYv/IEMptPH8XDd4lfzvnYoxF - UXjvAahq93JdyYCyLOcB4cYYY4wxF6ZTevUMAULpprNhEUqEtq7DKTM7jDHGGGOMMcaYs6ebnC+A - QN/ylreknBXIkkGAc7iX85VEMfanEAj0FY+6+opDl5eFtzkl28PMsWm99977w4cPX3XVVTj7l/oo - QI4U+Nmfe9PxtTUBFUXRTRqk06W8Pyj6sOosqppSmtaz0WikROWgalL8b7/833/sta9LirL0CkTJ - CoTg7mnqVEyRGZ6oct4xv/Ptv/Oohz8i1Q2JOuJdK6uTyWQ6nYayiDkNBgOZn4LfIfeyNCVgy00V - TGBSgjpm74WpTpGCv+7j1//rl7/sW5/7vI/93XUChCIIUJSVQHV+YYL3fj5ZtF9xTWye+9znjoaV - A9n5O2OMMcYYY4wxxhhjjDHGGGOMMeZB4Zwry1JVc84A2rbddnS3c46IvC9EMKjKGPXEiem/eeUP - bWxszGYz730IIaVU1/X2XsIYY4wxxhhjjDHGGGOMMcYYY4wx5kz0eSmsIHVQ32U1ACh8aOp6QOMx - 73Lr1fd9xytW5WAxG/vku5Tu7nelD3YB0KdPkoK6GBcSpT69GyTz9G7uamjkDBEQwQWwB4KiECpV - PfyIctW2w9nt6cZ3ffRt/+V33/iev337zfK5o8Uts8GJmV+byVqTNxTJkSLGgpxzLmdNKQdX+Oxd - 65/4FU/ZXV0UJ6kMLFDRVJaILUQAB2aoap3iJE5oVf7kuj86lu7UgSTKSsxEgUM3wWOHYagjcVC3 - CL7ts9f7IhjdEgsg3AVsq4d6UsdKXapvt3WKwm/MNhBQrpa1TniQiz16pL7po5/9wFvf+8u31l+a - +BMylJkie5CD0jz6kxL6QB8ACMSaRVUFigDvnNS02+991OWP4do555SJuzIcODnB3TwEXTAZfDvv - rbxI3t0axZ1B3Q1gwBO4y7qVzfYCUDiFAxzggQC4LksYrMwtc0Oc0N2QwHnppltvGazkM3yGT/Dz - h3XN1HxRu7xtJIVkIHWL5wHXP6EDO2UkRmZkZVUHEgiqEj484QXfyVWRZk2pWqgGJkg88KgrDjz1 - 8XjiY3BgD5Jgur5r12pan25wccP+g9XrX3XZT/+H4b/6DuzzuHiAveGqH3/V5a/+oVsu3jcpKhQD - 5aJpMqrVg096alMUybPSUhr61kCjRWop5qFEAkDBBFFSUEZumxpf/rKur4+LCnULdjFJUVajaoSs - RRnOwTviLOsqL0EhivnGVYCREphYQBqGKMLDXvqSZjjMjsEOSjh48e6LL2o1B4Lv1+qWT9M91Uzr - eh5MKETDZIbP3cBMhWZaHYcY9zMhCYigSWJDnjAv08Q78eN6CmYwk3IBBICABESgBTIABXOAL+FC - 8sCu4Us/+qfF61/7hb17b3NFcmXBDk0Np6gUA8FVF698w1MvvubJ7eqeteSLagQSpkwQkAiLsHRv - 3b5gFhjKUMfiXA4uu+VPNUGou4coRDUThCGeKDB5Ik+clbNwVpJMLmsQCZCScsHkHGVKU0kbgqlD - Gg7z3gMXf/M/Hj/1GThwAJQx8n5YgNSVwwkPvuyGnzt06aE3vBa7V7EyQoHkkMGEoAkQIAICMFpJ - mZFJMonOS80/iNXZjHmIWDQOHZmXzlQCE0G1i+6OoDa4A4+8OrFb/CJIun1o39QrYzPNW04b2nof - SP+7CgAElDlNb7+tveOOIklwSJpUlcjlrtKfNRHb0vXguxqIyme3pV1UWrT23JgdhYhEhEjhfHbu - wNVXZ3gFCVipT4EFQNsa9NF5aVcFFnuGLppWFSRUKDiljSO3U6qhmfk86OCfl7pdc9/8khPgxFGa - rLGKAkzdMO79HNQ5adtpt6kDQEJKfuWKQ1gdJdvC5qFKAQFDlJPQtN3lh8fWZyf27HZPu+air3/6 - bU5j8MEhAEEBUTnzPDWlk093EJRUvEgFOX7zzVXOgZxIIta8vatn583EctYzEalqd60sETEzwW37 - wtnNKu2bIxvafZ8JqlBwBFANdtzJnTORGBPHWFnZc8WVDXwUJQJUuYvTVmYoqeL+nHNRdUCRRSZT - XVvzSpivXjqlQ76IZjdmexbj6JuUoVwWAxVq2yQCEQBcVMPrr7/+JT/wsslGw0RVVQHIWbsQ7m28 - tKqKCDMvqgOklFS1aZqiKLrg8O4x3QOMMcYYYy5Ii0Mw3vw/QxiT6YrKZO2YD6GrhWRjb8YYY4wx - xhhjzp7FzPwFASnRTbfc8iv/41cjVGl+DJszhy3z7RXL50czkJmZAQ88//nPFxHZedd+7WybU+y7 - OXspJUfM0Od927cywGf/5GDKyp6v+8THP/DBPw5lEVPOKjFGKFN/XeLmg8/9fELW7io9ylmGo7GI - roxX12ezOqViOHzjT//0j73+DQJkxaxNAmSB9xzTPZ5vYoYIsmpRFO9+1zu/9uueCICZvfdt29Z1 - 7Zxb3bunia0Lvk5tn22/Y+6VqL8tXWeTiVqRcjB0ZTmLMav6orjzrrv+5EN/9sJ//qKnf8M3vue9 - 72tUMuBCMWsjOzdraoWCnA8lgNlspqohBCCH4BgIcN/7PS+YzWYxxnPwPjTGGGOMMcYYY4wxxhhj - jDHGGGPMqXLOIqKqzOycK4qiaZrtRWszeRFJKZVlOZs1AIbD4sYbb/1X//LFcBwlt23rvd+1a1dK - 6YH+O4wxxhhjjDHGGGOMMcYYY4wxxhhjtiIBN6BGCApPGqCFk8DCklMVfD3dQKSDKxevyv7v/abv - e1j5iFG7UkbvBYSknMSlzMjwqhW0cMoMEBKRgBbpCaIEZSFhUrAyC0hQOJBHUrQZSdGqTlqJivWp - RoWrvA5Aq3Kn3vqBz7z3x3/rNW/98P/zV0f++A73+WblKI1r+IZyKtKgSCOXK9ZKpCIuBFFE9gwv - edyjnoJcsAIaAXWO0NW7iMIOWQGmVqMWcsvRGz/22Y80fl2cqGrhPFRJdMflASlDPTRAA7SAesAv - B990uekMYQhBnMAL+RxYArRQeEBAkRCDVwZUeNK0x2W93jW5ob3+f/7ZL/3uh3/9BN+6Tkd5xW0k - tAp28IFBCVyD283U2kW2b8pEqiwCqGKYVx5z+ePHtBKoANClcnRVU4i3nchhznvLs622N/NqR9lh - TcOC9jdC1xYz97c+tNspSPosasEiRVtA4pAcEvf/TUACEmkqNJWafE4+RZ+ST9HF5GJ/T23qbzFR - TC4lisllcYpT6uTMn1YFKujjnpnA2uWCExSgLtFzs7yWIyICM5ykBAeQPuEVL4vKvhqLapNbsOy7 - /PLRFQ9DcGhrDApUxTQ30927f+1DH3rUD/0wVnY3VVWv7s0+qOe2pFwSDuz/qle+4sjq8K6cI3E5 - WAU5VMN9T3jcmtNM3UragpbCSjdXeVeQKMExmqyD0bBOMTjg1ltk2gTnEQqI+qKSNkEU5OJ5//7v - dWkreVEMiyAC7/tK3woGHMbjuz3PiAUEYgyq4vJDGxKLwlHuV6kQdN5pAMD3kgaUk1MZgo58/vOY - NXBe77rdOw2T2V//5LVoa6TIwYO1i/ojgMDzW7+QixfaKWgp217hFG7zf9rtWfsYQ0YuQuO97t6N - 4eCrX/OaK370R28vivXBcI0YRZEAFAwPDAo8+uq9/+hbDjz16Xn/wUlw04BpQOOQGPk0q7f7P8s8 - 1/bU6njoPo2AqKooNEMUmvX/Z+/ew2W5yjrxf993rVVV3b0v55yckwsnJ1dyJSRgiOOgggw6jjgO - zzjOiIrMoL8RGVERBVG5KBcVkIs/AR1knhn9jTjOMzI4iAiCEkbkqggkIeQKITk51+xrd1fVWut9 - f39Ud+99Ti5wbmGf5P08yc7enb27q6urVlWtter9IpNjcszsPLFXcgLKGkUaknWSNUfDXtBd2xcv - uXzwLf908enfhZ270O+hCOCAViTzMPTudWFvb/7il73i2he8EIN5FEUiyoBIUsABnhgJcECBDHDh - EyRj2ohBMP3PI2UPM2brIoCVlaDT6o2kIJqc4Slxdm7kXX/3OQ37PG1VuszvTbndNA1qVZ1GeB1r - dcXZ/k4EUgSwh8j6+viefcVo7FiyZiJyzimQ1UpznpATTWv9WrpjEDkGU7a23JithBVEBFUGhN3Q - uf7u3ckHgVdiTI4EoFNynk3dv0GkXV7ybcNZwbR1L8kfQbwI1laLeuxUQFBGPhnHgtmx3sn0+lrV - M1Ek4dA/dw+KIm213lhjHkYppRBCj7kkl8exKufuA0b96pxn/8ChnQvjEBwRC5AgOQcOX/sZvw4M - KZh7oPHBwyFrwXycRe3vlyDN0w4P7nqKCEog9spO2Z34km+8MnTSE9Q1LEyZgcI//NXbTyIlio5R - lmdfekVbVGNJ7JwKsoJ1chXGOJFrHFFIYOckS93W60MmdZOOe5kuA476xpiTLqWUc/bel2XZNT5f - +cpXnve8n1xaWgGQs9Z1vZEveewDS7Ozly6923vfPU/OuSzLyfglUfdrXS6FMcYYY8wjUteh5jYP - lStB8P5nPrOf87ayinGUU2IiADHbeIoxxhhjjDHGmFNOpzMGBXjTW97cimo3ZXpKYnyIP2diiCpU - oN/3vf+yKoPERDbp7LjknIuikJxFxHv/L5/xvZ4Icuqn2xO6mzN+83VviDkrT8ZreHrLxmwq+2R+ - +Ddo1LIsy9WVFef9uG1CWQr0Za94+evf+GYiKEMJotDuTsWHnPfYjcPPzQ3e9a53XXfddXVdiwg7 - l1WUEMpCHY1GQ3KulezKYnKXCm+Vr3n6jxAyT/5RQtHvrY1Hy+trB+47/J4/f++z/8O///anfce/ - e/az3/dXH3SOR03TfXxNbNm5nDMIznuophhpOkcoxuidjzE6dhdddME1T3i8AwXvjnP2gjHGGGOM - McYYY4wxxhhjjDHGGGNOTHfLbXcHbnc3blmWOedjfZ7gy5hiWZYAmqbx3jt2o1Fblu7zn//iz7/o - xTln7wtVXV5e7vV6J/+dGGOMMcYYY4wxxhhjjDHGGGOMMcYcQYEESkAGBOpZHItncazwDkKZSOMw - 92X+4sUr/+1Tf3Qw3tZv50MqnEK7kgsEYe5CN1nhdCOztct/VMKs2n8X9gwwE6WErp4KOWQCCGUF - MPoDz+yGdR1zKyHlosn9Gtvrz93zyf/2vre9/U/f8P5/ePddo5vHvUOjYkWK1Ehdo80FpcAJEE09 - Knk09+1XffdOv5vq0qsDUGdVj+A4x8myhdIXVbE6XisW/SduvH5Z9rc+Rk2kyG1s20S0BfOASMEC - 7kqrsjLApN0/k/A80i4BRxiTgDzqaoIAs7fTxpq81LKmc41sG33wC+/73b/8nU/v+795Ya11qzzA - sI1gsEcSNI1sjmASgoAFLPA5a87qHTlCSsgtL9CZ11xwbRql4EiziEjOCp4Efn5j1pnZYh4B0d3+ - G70A99Pt2jr9qiCaZuAQVKEZRECaVGUmDwBJsmcHiIqoCjvX5pFzIaW69AWSoBFkRsrgLm+bAYEy - SKBd/PdRTaRCgVCgKp33MbahLLqoX9VMxAAQE7xHVPjg0B16EIFxbAeh4G758yxrZ/bewEUJEVQe - 2f/Zhz/6Q099srqWHOUMxx41wYco49ArVzPtJbr8V37hxa9+JbSACyUFBUgTgGKSwMHo4bG/9Auf - +/XXX7TSYhxBhMLjMeeUe3YP79gbmJlck2PpHVImgKbho5t1rVpg5Azn3LCpOTAgcRSDY+8DnJPY - 0qBPrTTjBp4Tw2+x8OjjIg6cgQwG4AlQNCKFYyQJwQMKLsCj5X61mMbzCrQJIFx4nr/9xmZpuRQI - lImISXSW6qqpe/oHbCUUheMckxvXuGcvykBzlVCcEz7bl4gZZYamrMJcAnCYxsATmCahzqc4ePSY - dds7umZFAYUjOJbpTkDEk1R7dO8IUAWVJUBwc5e+4mU3//47w73sWEsFUoMQkGow0C9w8fmDPecM - Vg/nr955+N59cWV1wZUYjb3kEjw9T5NJaK5mcSACMpiO3NT1iP8cJUpiBgQlM6DkQxMje78KofmB - 33HGrvMvwNlngzyqCgI41rqh0gMBfm4t572Lgyte/Iso+ihKhC6Cqmtno5u9fcXk5IeghATp1hzP - /i+6Im7GwHufcw7MimOe6m0eGkGAadAmWDa1qCLiiQAVEbAbQbadt4cWt6Vhneq2AkghADsnOdP9 - GpTJpcqx7sO00T4xAAgUFeX7brp59zVXLQdywRGRZhFohloG10O7f+nSyUesCmaBKnW5iF1A70mj - s8qVIgBEhIjy/bMfjTHfODnGsghZmlayEs654MK7XdlizKQMxQM1IMdEgc2Xll1dZgCkJKKOyEEP - 3n7bZd/19JqpTokt2vmkcs61MToGs4+iUCWVQA53f3WgEghEyALHTlX1eCshd9uIEJTgFKxQiHch - 56hQ74osdNZll0lwiezzNY9e7ECaNUlQVpFMDCrWC+1fcu7Z3/u0lf/xnu0gVhVFJgExSI6vBT7q - ryiLF9SH7kPKkAQSVSY6rmts5fufKqoqMxO73DaZeVBVKaVJN+MJEwIpmIgVmrv+H45E6JWyxbqA - jlVOilANLrjoLudL78GogZ7jJHCz3O6NEbhjPhwTqUoORBW70fKyAzUpsfdHdd1bT4s5KboLPRAx - s3Muxtg0TVVVquQcZyUo2IeDBw8+97k/tnfvAQAPOTr79bYeqtrFdaeUumWo6xqbSgZ0vzZL7zbG - GGOMeQSj2biqdP9hCPVTrlIrMRVwCkUWfiTMZDDGGGOMMcYYs0U558bjcVVVYFofjua3LY7a+Pkb - vvCH/+NPFJNp3kdflx49WDUZI1BVIiXFk574Teed+5hYN0UIIjZl9KE9yDRNUYEwEzG1OV95+RUX - X3DhLXfecaqXpijLtm4IuOX22/7oj9/1Iz/4rH6/Nx6OUpbAzrNLKsycJMeUqqrSY6/+fEyYOaVU - hqKu6xzT/Pw8ssYY27adW5gfx1YJSfQVr/y1t7/z97W7Q2dz2LwC+YEHV2eP7jxj+5+864+feM0T - lJBE2PukAlVibnJWInQ33jDXKX2j5ruqKhFtnp0lIqpKzhNR27Zra2sra6tLS0tfvfvuffv23XHH - HXfeeeeNN3/x3v370W1k06lfbRbM3j4hSwYByjlOd+RNydwpJQIg+Yee9ax6NO4VZU6RT3RWmjHG - GGOMMcYYY4wxxhhjjDHGGGOO02zywOwOXOdcN69g9riqOuceItI7pgigaZruKSfTA4CmyUR43/s+ - +NhLLnr+85/PzL6sRk1bOK7remFhIedc13VRFJM7lI0xxhhjjDHGGGOMMSeDqnZJUcxMhNM/NMoY - Y4wxxmxp3vtuzLcbaxbJzPwIyC41jwSkQFZOEMcQEmY4EEAxpoZKTogEcjG45K7YcfUPP+3H/tdH - /r9xeXhV26zi5lA34lmDANqVWBAGVCGEjbBJBSAgTOIYwaogCJN0/7ebEBEzAMQkpBzYU1eBBQLK - CRkFS4FDcs/7P/fuj37uQ5eff9UTLrnusnOuLst5prJOLeUiZ644hOSY55GLp172zA9/8T1r/t5c - juukTBQEvks1YEiOUC1D0eb64Ogrn/jiR77zsf+mCKXkVIYixS1XuWWSXkSyue3gTZlmBDiQqmLy - K0oM1QQIMwgOmUWcIrnSj2hFFoa3Hb75g//w/pv239SUsfZr7Gp04WzOizJEHFJXIJ8Ann6sCkaX - pCNaFUFVckRRwTfFnsGFV557TTkqJEXHzALnvagCoiqELZiGbk45mpWRUSHnU0rf6CU6UVsrunvW - ItDmn6ftr3ZRPg5QwAMt4CCCJsVeEQAgZQKTKOpxwYzhfa5fYn0NSn//u/+5asS1MUurmkkZgCMi - Ikfcfa5Jcs45qwLEnquqp1X/sf/x/0EIgQkSIZnKABGEQmMiX0IV3jfDcTnogREbQclVKACkVgNo - FtALnqV4s2LS7KAIP/5Xf3Xra161sxqUqRZNTggiaNowP7ivaVfm5i//xReDHYoSFLq1QQqIn1ak - 5gx2oQRwzUtecug1by7qpXKxJ8OGq/6OKx6/dGA1jcZtanu+0NhmwAfkdHQ1MJml48hkkZm6Y2EX - RE0hBOTMCwvr66tz7HaetR3NiPuLMs1gPl1NY5IxTZ72BAAhMLrZlgrOKjnz3Nx1P/P8W379tb2U - 59VhPEKghfPOHQ+HLimikOYkuds2ibp0vgetsUSEGGOACykfvu3OM847F64RjwFCHZvXfM/3vOwj - fwVtXDVQoGliVQQoupj57jxDZhXJt5JuqUCbdl6B4+5bAbpdojvGAwAR2jYVVYEkUL38J5+PcX3D - m9+4WOQFH1zbzM0tIEs9aqrF7bq6SrvOcnPzZz7+idh3sP7qXc3h+0arq61I5YlyVkkQZUwiUkXA - BNGNYzVNg+tFFdNu9C4EnZmFoCoanCCPPa814jj2tm/jhYVd552HM3ZgYQGhQKgA5JiEQTn5+V5S - bVzRcPlHH7n+p//mA+gVKCp1mz+jSTWuyefF02aNIJBu/3PdXigMCCzhz5iH0SwDjxRMszp76Jo0 - JWnZwbudl122fuDwYlDqsrMFkKzYHPysoO6CDzgpB0dCIfnez99w4Q/+gJMkBbdtTa7k4JPk0/vg - +ygwO/4fZyqsMeaU8Z6JSLOAnZYO27f5nTublWGVGzoZqaub+ommhVlJAMfaXRmoS3l04ACaGtxD - CBYielJJluiJiVREmD0pO8nIYxw63IuNE1UFkSMiqDKx6nF2mMo0BZgVpCBwytF7F5PUOeVy8YzL - Llshl+3E3jyKsYK0G2YRnewL1Dh3eBDO/77v/uyfvX84HM33Ch21zlOMyR/XWRPNenUUkwt+Uoox - ra1DBJJd4fNJG7yUWSMvBCUnpEXVE2JlouM6hrCSkJJCeeMgNAkaV4CgBGGC86f7aaVzoZZcXXDe - CtGusozjoQcgysqTU+auXVUIgY/zExMoOxXK4lS6Z0fu1pvQCT2zMUcgohBCFkkpNU3jve9um1cV - AN09+UtLy8997nO//OW7mTjrSTnJRPfkqhpCIKL19fUQwsl6ZmOMMcaY04weNUrOUJRZq5Tc9FIU - sDQkY4wxxhhjjDGnUG7jYDCo61odzS0urKytVnPzr/31X9/I7T7Kg1+lqmpR+Nympzzl20II2iaR - kza48GjjnAOQVVTVEYNxzdVX33bnHaf6drq2aUBgdinL6974ph/4gR/I6+u9EKpeX9ooIjlnyckX - YX5+fm1trTzFozwpJREZj8fz27c3a+t1XavCOQemcV2rZ1G84AUv+JN3/6lgMtl+w9c3NH3RxRf/ - 9z9+1zve8Y7t83Ok0Czj8bhXluh2gemdKd0EXfcN2qLX1tZUNeccY6zrejwe13UdY1xeWWuaZn19 - vY7tZLY/UVItgs85Z1EBmKHA5n1xltv9tYgjhkoVimc+8/u6utsMEhFyNo/IGGOMMcYYY4wxxhhj - jDHGGGOM2Sq6XB8iKooixtg98pB/IUeWHuaNwjIKAL/9lt/btrjjPzz3Oara7/fb8WhxcbFpmhhj - r9drmiaEMAsTMsYYY4wxxhhjjDHGGGOMMcYYY4w5cQoCVEjB2QlYuYtHVSJ1rCSZmJVYXJGCk4Vr - 9zx5eN3w/3z8Xf25lGglJjgHItGckQGkrownKUjABBCoi2eblV/osiFpOo9CBTR5cBJHMakFKpPJ - FZO/1Ew56wiFkvNL9fiTe+/7wt5/2OF2XXHh1Zdf+sRzzrhwrre9zL08ju0Inrb1y7mnX/uMz936 - qeXR3eq06g9izNQmp8jTMhakk1fJ1fhvP/fhb770aZBQ+AVp26IomxQDb6l8B3mguAkGmEEiCiF1 - cM4TQ0SSZNGGGYDGnAEi57jk7OJqPryiBz50/Z9/4pa/TXP1ejFcauqFHUEbELoCGczqoAySSfGP - jYQ930VgkEpRFLGpFVgYFGvrbdH0nvot3+1GFSlAibXo8h2UJiGbVjXD4GtPsjoNbK3obgAZAsAR - unTtCQUYRBgn8YG7nEzXQ0ogh7IooRmqyIxRDSG06Uu/9ZaBCo3Hc4UvAp+/tuIdqeaq31PNEBKR - ybS5SeIWoYtqZspKOSVqalpdHb3mdUPJdVWO+uVlL/gp5IyiQBupKBTapBQK5+d7TRbvmAqux3W/ - V7GCAkGRkrIjdZiVfOLJMYGJgcJhW3nJr738rte/KWSfdSSpLiuHsl+vjladv/DnX4L+IoqeEhAz - hc1JnR5IgCg4gRHJh/5ekTMrV6TRQum5dpjftf0x5y7ffrsH4HxO7bRZBNC1fZsXqnuUCQiqopOq - SV2qjfceOULyoFci5aXDh+ZK90hpCrYWJNYAACAASURBVDcO25gGOQMQFaeKRI49vE/S+EE1nhuo - qzCM0Iy+8GMvbL94i4+xIHdUc0CqjActtcaMJGCmoLSybz8OHMaFZ4lGuD4PR//un30X2oR+SM3Y - la4sw6ZCbrMPi7dgevfGBkqbArwFIDmqfvr0YJyKAGQAjKoPERTlVb/8K4jx8299a3Xg0G4XeLxa - cMjjVVc61IodZ2N1Befurs47txquYbSeD+zfd+cdrm5dI0WSStQrABLRrpRYt/cxiEAAEZGoEBE7 - VlWBiohkVYIGN1SNhV98zNmL2xbLM8/CrrPBHsQoKyjD+9jGuk1zC/OqKVMaky5z8d8/fP0vfeT6 - n/6NV0MJwYNBEAdABcIAgxg8WRI3je6eLhhCtzLydI1xl+p9MqJ/jTEPjnVSFnAWvTn5jiYXKgoI - GITk/VmPf9wXrv9Yj32RWkxaMRxxpkJygvGrQmBhYBofTiiTjG++HasjX/W4X2VVIgmhzG1zQq/0 - KLb5I1Lq4tmBLXYwNcacUpoFxCIi7BKA/mDxkovX777X102RT0JrQIrZeXBXf1YAViVidKnREkd3 - 3Y1xG0phZmSrtnxSZXGeoZPOmu7UHynFvff0UwxQURBBVaFKxxvfRCBWRGZ05/wAJqcNmh2PhcMZ - O7Dn/Nq7THaEMY9S00ERJIaSZhJSOHFKPOwVZ5y/+9KnP23lPX/B9agHkIJPXqAaKVRyvbwCgYrA - cZZMJ21nlDxJI1cQMhH1e8KktLmT7YTppLdHgayk5ODd6Z3crey6LpHzz28X5tLhNaKuMe7e1sZ7 - E5pchTHJMa7PSQ+UqkoTkUU9smp34eZ00pUm04/JMrzNifDexxhzzmVZZudEJMa4vr5e9gdVWdZr - I+/DTzzv+TfedItzrkvyvt/d+Jg+eAxCCN2d/zHGlFL35F3+hDHGGGPMo0s3jWQyoDP9qihzKnPm - 6Tw/ANNzMOt/M8YYY4wxxhhz8pVluby6urCwIIwmxbLX+8AHP/ih6z+y8RubB/gefHCKQArtBhT+ - 2Xc8TbM4JkeUT/97VB4W3Vqezb2eTBcREYDAjlSf+u3f9u4/e88pXxDlqterx0MC7r733rf/3u/+ - zH96ATm/urbKoCoURVEIdFSP2fuHYXynV1aj0aisqrWlJeecC15EwUzera+ulDx44Yt+/k/e/adV - VQ7r5mvPXbr/xki46aabPvWpzxAQmER08x0NOu2OmX3zjR3Q6u5pICKZ3uxBxABEBQATC1F3Q0Ed - 0+y9zlbLpiG/+z/10cnek5WgAuBJT3rSxRdepDERqaoyT+4B0UfG/TfGGGOMMcYYY4wxxhhjjDHG - GGPMaa4rQdCldwMoy7JpGu99SunB/+iIaRbTeQjUhXcz43VveP3uPec+5SlPkbpeGPRHo1FKaX5+ - vm3bqqqapkFX6dQYY4wxxhhjjDHGGGOMMcYYY4wx5iQgqBcSQEARlIg8K0ORyUMlE5QgCq/w2Tnp - UROeetUz2jT+3x//o4WzFlbyalYIZ0f5qEoppB4EcNqcFyAPUOWTZ19J4XSS260kyrOAbRCxY8pZ - R3EMZp5zgtEwL43yvffcftNf3/q+XYM9V+x53NUXXHPxtgsWtu2g1juQS/47v/W7773+81ryXYeH - c4M+AwykSfwjALCykI51nXHgLz/+f374O368HdYiGJSDJsVTt+qPB4nQbJGYlaBMCoAhElxQzyK5 - ibkrUsGeoIk8QVkZ4pKE8VCW7ov7/vH2T3/0c3+9lA77bX6pWYLXM3f1V9dGlQMLBIxZ/u/0Y+Uu - AlX9NAI1KUuTsiMi0jjMc1g4p3/e43Zf3avnQFFIu4R0JRHqPldL1HxUI6JHTD2kLRfdfYRZPSVG - zoBHCJNIy7apfVkEB8QIAKMx4JHxmTf/dn/Y7ADvrps579BEtC2k6XmCZzCnpSUiYmYi2tiNVQEo - FEwCdMV3lKkkh1HqF+VoPJqL/Tte/5vDXnUY+I6f/zkkoSxVVSKmXNdFVSRRH8r5XgVABQoQwwdS - QlY42ihbpNMsYeeZEmFQnfcLL77x1a/eXc17kSLwynD9Lz/99896/wfQn0fZywAzqHCaEnkPTA83 - xJikjsL1Kgzbq3/lJbe96XXborbrQzeMFAIuujDtvyesc9u0HsyMlMR7JAEA1unxQzg7oWndJEJ2 - ClXu/jcpj1dW+s5jdRW9gJTmF/oYrRdVCXand343PcC3CqiKJ4YDmgbq4Sgye6ZrfvaFN7zi1RdH - 6vkirR3yVbX9sstHN96soqwKVqiSQBVQPGAy1CQOVuEZIHIi8+xXv/SlhT1nekQZHd6+beeoiRgn - lOKDV9WYcsGu2xEwDYuVoxd/S6L7leuaxuICDO0C5AmqUAUxAgvAoYcYrv65n8O4vuEtbxlAFigj - txiNF3wZmoSqAkRzQ4vz6JVucX73VVdiOMLyCu5bxvJqXF0fjUaxaZ1ziqyqqkqyUZqNmUUmgd3O - uarfGwwG3O9jfm6wsIDt2zA/ACvYwQW4AkrjOpJjOF97xvzivaltvZfgLvqZn5731S/96q9iUGXn - XPe+CIBAdeMcDZPztUk0ME0D2KcVwSA8PaM8nXcoY04fs6g27fLhCKQgSPf45mA8JTSO/ZVXLPeK - Zj0Nuvp6DFEQE2R6BdPFBB5Xu7zpr2jyIwFAIbJt1OCLt/R2XbOkORRFEtWUHXFX2s9sZYLj3B6M - MadUztl5YvZgqtsmaT7nCdfc8LGPz8Vuvz3yt4/xsp+UeXI9dQSh7mpToQiKuP8AVtbC4k4oW3TQ - ScdEkgWq5JBzdgAEq/fu7at6nVzvA6QQ6AldT/GmU32FBBeaFNsQRr1i4ZILEZwUVbbjtXnUU0Ji - ZBInHBQAJxeWUt7+r55x98c/7e66uw+BgkmPtck98mW6LwwIEzGkWVsDqKulrao0CY4+CVSVmAAI - QVTRq8j7BC2Ot0l/wAxpAoggClUFE/zW7r/9OqiS+BIDnrtwz/jA3eygAgLpZOQmA7NhruPpFVGd - PoFmaRvOSoGVIBBWFoDBmQCwU9nyvWlmq0spMbP3HsCsTP/i4mIUXV5a7fX6z3nOc/7hH/4RQFmW - o9HoZL1ujLG74X+aKYAuwPtkPb8xxhhjzOmKoAAROxWnswFYY4wxxhhjjDHm1KpjOzc3N6rHoSxy - zq3kX375y4oijNvYTRLe8JAjocysWTRr34fLL79cU2bnHEiOdy7io1zOuSumTApHPG6b66677uF4 - YaJ6PJ7MTgfe/Dtv/f7v//7zz9ld9XtIoqLtaNyfnyt8SG1beK9fOy77hAyHw36/37ZtURRFUSyv - rpRFJdDY1HMLC//2B5/14es/osCwbhTwnlM6tuXxjtfXRwCqqqjr1hOIOWXpOmW6TV42bcCTLhuF - 0DfgKykEYNXuFkAh6HQ+D4EEEMndMnvvu9uIMI2BB3D05B/92vdvMEEVz/6RHxqPx4GIQI5ZHzH3 - nxljjDHGGGOMMcYYY4wxxhhjjDGPCDln55yIpJQWFha6O4JnNw4/EHnAO1aYufursgzjcXzRi170 - 7ne/+6KLLjp06L4zz9zZNE3btjnnnHOXDn6q3o8xxhhjjDHGGGOMMcYYY4wxxhhjHm2UhQB1oAzM - yu97zJKbVUCzDGeQuryeGL2nXPPPpcj/++/eVcxV1QCr45oChCe5q1Oejvy5IzQtwkAb1Ri6/GlS - IUWXwigAprVTlNBEcQUoMARJhZ0ok/Noc/I+KdzB/OWDN3/5Mzf9zQXbL7po1yVPuvLJiws7I9G5 - e3ZduPvcmw7cuL3XY2IoJlM4FKTTmRyUqEKMzWfv+OQ3XflPHnfG4/s8t7R0eMfiji01VUNIlQSQ - 6WcyKy8vrihEJOWYkV0gYs0SW2lDoCbXkSP1EIv6rvvu+NQXP/aPt39mOR7ORUxlbqjpL/aztuPh - KNAk9oIVAlFwV1QDG3EYnrttBpOPL2f13nl2eU36WPzWJz4t1L0SVaOipEpdzCZPP2ur9fooNSuZ - QkS66cfT15aLfqH7facMARqkAp4gzXitX1W9oBitgYDROhT/+Ntv79UyaOUSMKVIqg46app+P2gb - qVc0ayul62nOvii7ZGYojoi9JEcEEDkmiKhKV/SKeg6lK2Kieu2MBjvq8dlE+1/9G2OhWJWX/OTz - 0eu5okDWQIAIVCUnDqWQxpQKH0gR8ixsG0rI0+huAlyokAXz7nGv/bXbfv11ZxcLo3r4/o9/5oc+ - 8mFUPZSDzGijVMxJMwVOAICCQNqFEsGpQBkKlAXS+mNf+NOH3/CWvmftN3DAmdvmLzhv+Yu3VlHn - mMFQETxQItKmrKAulRSkotPCSSv37u0f2I/HnEVlqbEJKX32bb/7xJ97EfoD+NO6QeTJ2yWETSFL - 3L1vAoqAKBByoQQSytDsWGzva8L6ClUDuArnnz+8806sDyuBY1IASjqNciXF5NMBAChJtz4dkAXg - rKpzVO6/Z9/CPfvd7rMQvDTjxXLwxTf99hUvfyk8E6gIjAQQwMjTsOetmcpyRDWqSUr3UcFLR20t - LjYaSgIRIEiRReE9WJQybe9f9YqXIEXE5u9+8w0XLm6T1TFG45SS71fapJRz2LZN1leZGIN59Odx - 9m4IBWBRGVAc3gdJyBk555xFZNZqF2WJ/hzKEt5PQrHIoZwDOThNsfFVANCsjUsP+KrnK5T9kaO9 - 4yHKYrw4f+0LngcmBIfCgyhqHaiCZBBN37oDQYnRnWlMI71l00ogsOvWVZcdpTxdY3aiYcypJkJQ - cPcV09xuAgDJkwqC3WUkR3a44Fx33p7mS19VeKashKggVf8ARfi6Pz6+0oqT4Lruj73IGTGtf/xT - c0+9lmJmp6oiUR1vufO304vQCUVDGmNOa+QgquwcOQdOI8SFxz/usMdZLJNzON04jT2e5z/qZwVT - d2LM3VVgBSrXhth7oNxzsYjYKd/JxaSqKiLsfAZExBGDsH7gwNk5T1v/B4hX/zp1fbvdpuIEwpPv - BWAmzUieDrr8hGuuzM61m3prjXm0UUBpoxJ3ZgAixE6AVmluERck983X6PJYl5cIqirHt1cCDEi3 - b4oCBCIwKI8bANA8HdLhr1ER//5vgR7ghF4JCghUoN038K7oVVlFiN2xvML96+wrAXpE6zS5KCHu - eg5OayKSvAONFy++MH7645qVGZq1+wRnv8YKAbGCjnz860cKaSMDSiQEBqBw0m2E05WsDxiYbszX - S1WZmZlHo5HzPoQwHjfeK/sihPD8n/pPn/jUpwE450ajmsipdkMBJxrAEEKIMYqIiHTBD2VZdt+f - 8HsyxhhjjDk90SS3OwMeUM6YdMSd2uwrY4wxxhhjjDEGgPc+pUTEWcG++H/f+Ft3fPkrSeWI3O7J - fPzZt0cioCsBTAzVK6+8cueOHWgjK2KKcNb/fzyYmYgYEGiX4f3Yiy7atWP7vfctPURZ5ZNAFYDz - hcRaFavD0a+++lV/+F/+a9u0lKVXVmjbuq598FnlYbgBqSrKZlx3K2F9NNy+fXsrMm6aYdP++I8+ - 58MfvV6BUJRNbIP3McUj38vXfv6UhICyKOu6AQD2bU44slNm87uczsma3sHz8H7teowE3c0CzEwA - cs6qqtBuQZnZe9+27cZCMxGR6uQXjtiRH2gVHTHWz1wV5dOf/vR+VeWmSSmV/aod1+S7of+NOxLv - P3PAGGOMMcYYY4wxxhhjjDHGGGOMMQ8P5xyA7i7dlZWVEIJzjohSSg/+R5uqVE6/5qzdk4xHsaqK - 9fX6J37ieX/wB//tsosvOnhw/44dO1ZXV8uyzDkTUTehxRhjjDHGGGOMMcYYY4wxxhhjjDHmJPEA - oF2yJZQ1Q6CcGQA7ZZVJEE9ymQT9arA8aku37Tuf+H2juv2/X/jASJYXCkSpMyNvlExh7tJdZwUW - FEKTvGclTKo4dJVVtKvzAZBkFlU4gROezKwgyU6kRGQoCTmwgjLx2CHloqK2lax1UQjN5UNxaWl8 - 8Na9N3zwjvecMbfz4vOvvPqay6697qo733tjmVGnMTgLgYSnQQYCarunrduxL5b+8tPvueBfna8R - vuzFeGQ9ja2HpjkN4/GQPalHppRI4FVZCajbRL08xOEvHbjhE7d+9Ka7v7CWV/2gkEqdc6SQGMcp - +gDuUlInn5eA0hGFMCaRSbP/O0kGKQrOUUlpELafTec9+fLvCMNKhch5ncSvQygB0wIZavVwHu0e - Abnd2GrR3bSRcD0pRiPTWjmF9znWJVPfF6gbpISUP/pbrz/T83zMZ62N5tXPKeXx2HkPRpLoHSFF - koR1KXsVVGncgFukjDYiRqS0URbIBXiHEFAVYCaCI4JnMDeHDpTbt/mYekRAaFdWir5GRUp532+9 - adXzn/z1h1/+4Q8CCh9Q9lkEIREYnhrNhRAxb9TYoY13ymAQVHPsuaKgx77452/4jdd/6mOf+7G/ - +CAGfSlLYWSgCtzUdVkVGeiitAU8Dfdl6DQS2APzPYz5UFRX54V+Qe0YnspLLmpu/XK/59rxuAfy - QFLMAqQ3r3xMH2eaHNUAQNkpFkJob7ujOOsMjNYpMOc0d98ymoRCt9hGdOymtZS6POzuuO6IVVKS - HFyAY4AYWKvrecfXvvBn973yDQVcCF7iiBf7/d3nxFvuKJFFuswhEpDS5CjBRwYvdacX3kHydKW3 - aeDd6u1fXnjMOegXcW0c1A3QRwKEZDziatD9auoy4TBZ4COWfwuYBopPl3GyYLx5qe+/tL6kRBiN - hgv9Ep4hBAK8F+8T1HvnIPCDJ7/sFVgff/GNb9y5bYGhw5Xl7fPzGtv14Thw4YmZqdsrVLuzNgQF - n3suJEEVqk7Vbezv0yUVARTM8A6uiA3gAgeuVX2XjDU/J1Vvfa1Gr1zKqQ69K3/plQgeEMz14YMy - 6lQXvvBQQNqmKXq9jV2c0NV689ydoabputh8DtGtLp7syHZ2Ycypd8SlAUEBUjgFK4RkltLHXXQc - ITJjMNh+5WXjW+7OXVNDSIBzkAyn6Fr/yaG5K9OnxxY1N80q9JNM8e5gIegPxwdv/NJck1xZCafg - AinL5FXMaUCONSXSGHOKOeeiZGbvCC548Q5n7cKObWl4X/cLSqdkv1VVggOyU1qIittuL775ySJi - SYsnFxGRZBJyhcsAETnnMBqPVu7jBAA8Pco7x5KPP8ap20y6w7ESiCnG6B1F0uWew+MuocGgTrmw - UtrmUUwIChbKAJyqm+1w6seOXL+44HuefttHPrOI4GTsT6jt5ckpNACwavbEGlPXJKgqs++W50RO - oWeLT0RCEAIxZVEw9xfm5eR2zajq/bpPTveC3QqukUeB5857zLAs8vrYBcikOj53eXvTj/B4X6OL - 5SaSNpEKiHTaw0IAK7Trc1LmjQ3GmOPRVe3vArxDCCLSPTgcj1/1qlf9zd/8jQpmd84zc84nJwmi - u9WfiEQkpbS5IoAxxhhjzKOWQjI4d0Ox00s33TzVwRhjjDHGGGOMOTVSSqEsNCZ27rbb73jrW9+q - uing95iQQPG4q66QlBxRF/9tHlo3sZP06IFUZhYRZlYRyRnAXH9w6aWX3vuJT57qRSqKom1bcuy9 - h+Q/e+9f/PlfvO+Z3/OMdn2Ucx4MBsN6TCkTk6jwKZ79n3P23jvnRvV4bmH+8NISF8X6aPjDP/Kj - n/z7zyjAnpvYqmrW45k844hFpWkbAKEousTrLs/+If5KH/av1MV0A9Aup1uT5tnwf1cOW1VVVUTa - tu3G/iaje6JK2o1BzyY0HBHg/SAYyFm+9198z+LiYvf8wXmJidmm/RpjjDHGGGOMMcYYY4wxxhhj - jDFbSM5ZVb333UQLVXXOHcdNwUQkooBUVdW2tQruvPOrL33pS9/x9rcNBoPRaFSWJRF1c0ucc4+M - 8rXGGGOMMcYYY4wxxhhjjDHGGGOM2QK4i1JmdNUVVLrHpnmRrvuZBCSJQQRonCsH45abQ+2//tYf - 9uo/9Nn3SlpyPc4suUtQVCaAu2DsIwjAs9zujcoZKpNXwST0jQgMkEIBJVaIC0iCnEEEDzjlwIWv - OOURleTVJ83iWgQ00gxTEypEGn/l83d87KYPsFP4QiiqZmUowMKTqEwSYQGgGS6gdcO7Vm75xM0f - /7aLnz5PRVytnS8ejs/h66QE6hLNmZVIeZaEXfVLcRKpzdIk12rImVLSNGzWbrjhs39/69/es377 - qFrN/ahOWkqqblTHQK6qfM4SJTPBMZAAQCbbwAahruaFgIQhk1BuAAQiSlERy6d987/Ywbsq14ut - MgUhEUzC2gFYrKYhom7e0yNg+tOWS13eaE4BAfI0llpSLNkjRrQRa6Ob3/q2wbi9vGkGpGjbwfwg - j+u6bargQRFZPBRrI5QlRiMsLcd79ul4LHU9Xl1myTlnEWEFETEzEWUlgcIxB+/Lour3wmCAuTns - uaBcWMTKEKyoehiNCl+gbQI5atsze73t7J/37d9612t+LRXhop/5WSSg30fdtKVT9onUOfKawQ4Q - EHfpnAUAAYiVsQ7pE4Mi+nTVq19+Vf0yVBWcEHvpwqRTrsoqxuiD8wAgCgi4O7p08TZKIpqImUO4 - 7Bdfsvdtb8PyvirXpQNtmz/z4gubO7+iY1YRcpyzaPdEBKeT1c4KASIzKAcF00aj5wTUNM3Bg8Xq - Chb6mO8Vms8Td9tvvvGxr3rlw72JnFRH5F/LZGUCgGRi8uyzZJcyfOGAfjWniJQQy8DSa3MjWee2 - bR9ceOHaXXt5OM6SCSAERs4UBXA6y2qHQmdprAAYSFB4l1Lb58Hy/kPYdwjb++XCNtS0oPz3//n3 - r33hC5j9LO89AxlwgNMucxrYUuksClIBCQh5ElnNrjszm2baHZ1gSmiauiqr+f5Agdi2RRGyikr2 - 7DS2jh38AAByxo7+Fa96JUZjEN/9B3+4f9+9Z/bn474DF+zYLqurhQg0gxQ0PWATkHQajE1gQpea - Q4QkAOAInrq1qwCJBu+R6txKWfqktCrqd2y/YXkJZy486edeuOADyMEH+AAiKKekrnCV72fJxNy2 - OfTmkmRPG02rgwjQQkBaAO7IKnFdZjC6RePJksi03TPGnFKsiHzEj122Wybt9lSBsDIrhLhxeMzV - V93z3usziBWqEAY7RgZwEqP6aHKRSYDCqZaa20OHRnfcFZ54eaNKHpTglY6nXKJ5uJz21wfGPKIJ - iYiAFEkVqiHAt7uvuiLfcxtoeiZGkyPCCWb8kIIJopN2gUBKYMkDLfZ96dazVbfSqfwjR1dXlxWi - wuxEZPXAfmkaBlThHOesRARmzXIiB/DJNd7kCoMypGTXEm2/9AI8ZueYADgh4uOqcWzMI4EyCAoh - 1X4EiShJcqzsV9pa5+fnr77KnbdnuDoq62HBrMe4s9CkEZ3WyFYW4tkel2NCFiISgL1LUfhYd3hl - 0AO0090LEBEcQR1E5hYXJpf2x3jUeIA0cQJNWpfpqwBZFad/B7QyRdG65P45O1cZDoB0K5Mn11PU - XZHxdOjl2O5qVoAJqmBSSCIFmARKINfFgetkEA2z3lRjjpeIdMEDZVmO61pEFhe3r66u/s7vvPV/ - /s93T0ccNefsXDjGW/S77f+B20NVnaUdeD/pe8w5dxnexhhjjDGPIrTxjUynlIDQdfQoQWg6pUuP - +HVjjDHGGGOMMeYkYuamabJoG5tffdWvrdXjPIku7iYwf72jn0QQAQN79uyJMRI7VgnexdN+hPAb - o8tgZmZVFcnOcdM0l1566fWnOLqbgLZNAIgoti2Afi/88stf9i3fdO2ecx6zvryKoix9UKYkuRvX - PKXLMxvPcs6N6qbq9w8u3fdDP/LDn/7Hz7vgPFHTJgC9QX88GoEmtzdMl2lj632AzXA6RB/YJRGF - drndoSxi206jrbfKlCiZvRVi2rTOtbuLcDIUT0TcZWzHmAE48l0GfFaZjt/r5mE8OnLNbP4sZ+/8 - Of/+2Zpl3IwKZudcTq33PtkkImOMMcYYY4wxxhhjjDHGGGOMMWbLmN2f2yV2O+dCCG3bfn21Zbs5 - AAxAVcuybJpxXdc0mTuBT37y0y996Uvf+c53rKysAPDeD4fDXq9HRMeRDm6MMcYYY4wxxhhjjDHG - GGOMMcYY82AYG+X9lZAnRRDEqTgFqSghMxIDkChDr85LOXC7xgfX//m1/1ok/t2tf3Vf3g/XZEIm - MNhBGImmUTubEhdl9iNtWgBAut/MCgUSg44osMB5JIUHM5FCkmrOSUYNQQvAgVIriVi9C967nJFT - knVt+jtCPY5FWGxyW/Oq70MSOHeBmKykQtLVg3BA08AP2paHH/rkB6+54J+4dd5Z7IqypTIeplnd - XWh3l2pOCsiwWVcXtcrcF/bt/uW9N91648333HjLoRsaN8wkOlBxLmZRUXZQacsCIVCM9bhBVaII - xWjUFm76wXSlRLqirLOaGZOszklwgxDHJKWrhIqLzr38my/9p7TslJSZVZkV2UVACMqTpDx+sPr5 - xpxeTnl0t04TrboqVJPsGWwqykObHgQUjE0Byg5wEEhGTmgSYvvZ33rjjkbOTlK1qSKHFAFgeckx - XFWibdDUiBkH96/fe+/qwYMUkwxH23sDNEnbZp7AECIClDZl3yhNajprQ7Ku7UG0DtmHtRtvTqE8 - 46yz5s4+B9sX0e+j6iElsHhiNMNSwqCtF/tV04wP/PZb9hdzj/+pF2ChX4o0rBkZKGKMIXSHC6Eu - KUYna0AIvbJMaei8R7+COFQEQJQVaNK450tmzklCCCLSFUYiEgY2ik4TAOSc2ZdMgoVqr8ce8Laq - B2SM6+pxVy1/+R7nCAJVZcLkiEGis5ZRWRnSBYF3xzxFFw0tJKVQMxzWt91ePfk6tDW7qqS40Dq0 - DQoGOzBvrjx0ZEEi2fxxb3Eb1ZQEymB2KBmgpm5DVWQ4n/Oen/npA699bc/lXlXF1ISzd2FuIEnQ - jFmVWAksgD546arcwjs0WZ0Dl+Y5NAAAIABJREFUoLmpSwS96y468xoIAPFNM7+2hrpBf4CY4Px0 - 1UmX9LxFTdOPHvqEY7ZiGFKVHppAXhWhKDIgYM8MiHclFBCFc+ixAFQwlQWyXvO8/4ikqFvkfMPr - 37B9MBdi63NyKo5ArCIiIq4MSpgesxmQLo+XAyvQTZCVSUCvqhIza1XWMfq5udWU++fsOutHn31G - GVA4AChLOB/bHELIMTnPnpCzEpNnl2MKoZRuC9LJ20MXwwtJR58xTtA07S8feWaxZT9hYx5JHjKu - kzZ9J5Fp3Btsu/zyO/tBapbEECECiSqR6hEXBieW89olgUMJTkGKCuJHw0M33HDmlRcvBdc6iTkF - X0I3DlhHvZGj8/8elbrYXdyvSCKATdURHw5sTboxW4kQiB17eCaRnCXnEFJwu5/4xL0fep8id1dA - m3uRjl3XIxlBRxwRFMpMokoZg6SHv7oPKXpkBSkgm0o28+TSiafNu7jZRevxLdGjiYKViV1WiKTc - YyrGcbR3v1Ol7jqXCBBVhQY5vkOC8uwIPDmTJzDABBFpyJ35+MdjMFiqx8XCDsnxZL49Y04nPLsS - J5VpvzycIkGKubmlenVnWZz3lG+9684v72z9ifezd/ujdFfkmkUSRJiZiJxzbZPYHdtJGUFYkcgB - gHpQUpocJDIAZWIlCKBufiGGoojRbbT8jK6lIDniWECT9TAjk+fE5PSeAEzX17SPlCHQjGnUtxC6 - 4YnueqH7624YbItfCKj3kTG/Y8coiitDaiIBioRNZ+8ATmRj6ErKiwgAghPAzfpOORPAyt2o4dZe - VWarizEuLCysrq5mEedcrzdYXl59xzvf+Xu/91+UELyLMYcQYoz5pJ4JiAgRiUhXC6Db1C232xhj - jDGPXptO7LurKtaNPr3uAsoYY4wxxhhjjDkm3aDV5q8PIauKojc3+K/v+P2/+MBfOqKsmlI6tpkI - 00nXDJyxfUdRFCwqWR0T8oO+/GwJNzuxWYunn25SzVEjsKRQqKoys4PD/8/evUdJltV1ov/+fnvv - c+KRmVXVVdXd0k3T3YJNQ4M8RdEZULxXvYguxzWXq+j1OjoqS1Eejs6gs3SEEVARFAZnuWZ8P8dx - XF5FlwwCojPgXHko0DTS71d1V1dVZmVmRJxz9t6/3/1jn4iMejRdWd3VZHf9Pis7OjIqMs6JE3H2 - eex9fl+AmSaz2eWXX36h54dACgkhxNQCCJWfzuJdd9/7k2984y/87M+NVsax7bzzOWfJuRrUj2AJ - 5sXbX/5KhBBSSgIQO2W69+j9L/+W/+sTn/6MAJqzCLznlGU2nRKziux2kKOoiC6uyAMzx66bv9Qe - QsxaArpVTyurTUSYX0pQ7qhqCfAWFcml07m8R9HP2Y2tpy4+Aq647PAzb3jGoKoypcCcuuiDjzkT - 2WBSY4wxxhhjjDHGGGOMMcYYY4wxZm9JKXnvy8iB7e3tuq7PLbp7h/e+bdu6rtu2VYX3nJLkjHf/ - +fve+KY3v+7VrwGgKoPBAECMkflRLX5ljDHGGGOMMcYYY4wxxhhjjDHGmMcvmedy7eQzAqwk1Gdp - 7xRLEAIgROJciFFrN9JIzWb+ui/95szy/k/+WaZtDa26RBCQLJfyL3VOSpHP8opaKoL2yY9gBSkr - MSBKQgrZSe9mAME5KNBJzsqMEJiIVHNiiCKQ1nVF4rqYJGfyxFWVczrZNqTImrODMGYRdR9tKyUL - VueFSZUQaszaHOM2p/X3f/Q93/T8b9qebblck4RdlCclOUuKjT74YI+lpKHlp5WPhbR8Fn1hClaI - eiUoJHMCRCkLp+xaV2Mrbtx97Lab7rjx5rv/8fj2A63OOr89HW3G0EFZlQAmEIEkJV9x20kXY11j - ZcW1TZ7NusHAp5S4TAgAlnLcwQK4UwpkMCt7DT4OXFr52hd+o86qmscxRu856+m1bHgeD2/p3Wa3 - w6v2oAsb3a3z9pggUCltoQKuZE8rQFBXQloQCArMAAFWgNTM3MATEqYNRDFtP/PWt1Xbk6eORty0 - LquSSxKdNsQZpIgZR47jyNH2nnsmx0+4nEllnwIQp4TplBTMVDKzVft86gVSuNJEZRWCI4jAxXgg - kTY5b9164rO3yjCMDx8cXnkFLjuM8RjBgRxyHgbPQkEdb25fMYi3v+0tV7/2tah8fWBNEThn9lWJ - BoYyQOgEUFScNTsQAO+Gi5S4frEwFBj6IYDMYKYMMPMiVpoggIBYwQRAuHLDFshVqEN+3mtfdfTH - 34LZRHxmV8O5g9c+5eTHPrwSnGYlRcgkBOXyAQmUMyMTOZV+e3LKd1sTutV67f5bbrv8i56CS9YI - DEkV8sff+jPP+pEfhqsxHEKhDBUh5jxvIB3Elbt7Mr17OZoVOzPI5f78AQYwGFR93aXBAFsx719L - m0cQO1YG/Oozn3PPe//7IQ/KmqT1LiDD91musjMFhZf+vmQEAiQTmJE5yrF/vOXwk5+MAxXquo7t - E0/m29/ylqt//MdQj0BoGg1DIojAJ6inNC/1tGdGYRLmCe7sSkT9/GHMY6vLvC5FyjAAonLb/840 - r0RHS39Tal8BzI5Y4GuIYlgjyQ1v/AkkRRbk9OFf+MVatCbSrgXEeSzvFM5vgbMFH7bOTVcOvPC7 - vxuhQk6XhgrICAG5Qz2YzxaHKgBwvm883Tz9ywVf5p/YnfrqTIBfTJjm68L8y0fzBUFnfJZ7aV0x - nwdEIKJFeTj7PjyCFBBinR8qUGmsadGoCiljHn2nQGLeyNX+K69eufbykyeP7CcXogSBZydKQsKn - Hy/oaceQnxvpoqmLmUVo8aAwpxA3T/7t/3fV//F1K/tW1jlgKNPYDlGxsgCZ++g+7V9hvmWfz1L/ - 1fkcR3GPU8vlU0tux6kZ5wwV1gvS0traasxelgkgcl30RFTVJ7M0g+rKL3l+W9XqokYQQOyzRADk - SljqrniFlG0Kz1t4AQkrKKtg4MCtTO68F1vr1YrPqBNDSXeqCStIORPrvCljFVIoiRB07+z87z1C - LMQxpSGrSjcO1WCWDmXcctPNQUQITEiSiQFAUnYIQN7NqT2mfrOa+7aekDVksCAnFWRVP/iC53zp - rB5rNYgxOvu4zEVMy8kBTZkwDfDC4w6skpByx1QPtiIOvuTFR//oj9YmHm2z+ynsdLcAIIiSAEIM - IbB3YBJVBnJMTMq7OaIiiFPNJEKAegWTAhQBYThS6kQ1iYOCUV1++FgdvCYX4ZQXzbkQAOZ5endJ - jAbKc/o908wCMGfu+0tYMon30AQt1fiZObdotwHto8JJOqcA6oygYBEhTtzv8JP2nTR784x106Zw - 2RN1vG9rczpyYIEiQln6fi2nUML5FKln53LOIChJGFRELmVwVXEiICWXhcQpBJLJA1yi2Y2ZO32D - 3a+2qihnOQHMo7KJqB5WG5vrw8FYVVMSsPzO7/3+O97xLgBQxJhBiCnuHBnu4svWT6KfNPMiJEDm - OQeLC/LtynxjjDHGXLx0J7fbzTuAIaBFP4hy6SXpB7HZzr8xxhhjjDHGXJRoqX9wfleX7u+Mr5s/ - h6V0I+3cCgBmTik554io6zrnXOVDG5N3daL86c/e/JP/7g2OfKsJgHMuy4P1di1dfUSL2ekHSjtg - PBy1bVc7F6owa1tfBSbSvqug7zUoc7KYw1Nefd4vWd7L0nLAWe/vtQGp5zZvizfNfYcrafnDcssK - ZmZGSskFH2NMKY/HI77wA/rKaNEYY/k1tqkEPv/Of/mDF73oRf/nP/tmF8KsaYb1gIgYBHKqmvuL - DJFzJqLBYLB4BZx6SoOWHyEF+gsUHbOmDGXnXJScUlpZW9ve3lZPAnCoOsl3333PN/yzb7rz7rsz - oIAqQEi5n7RmLM6vnNNJFF3cQBeXtYgA0Lz4gPbKVXafI0p8+TqixX1denf9I+V/8w9gfq3DEhLA - MUNyBuDYQfLLv+mbV+sBUoJkAVzwWSQLnA0kMsYYY4wxxhhjjDHGGGOMMcYYY/YY7/3ybc653AJg - ZhFZXPZ7NgIgpQ5A27bloZTmF7Mw3vkf/tPVT7r2ZS972bAObdt6zwCgqqrO+67rvK+qqpq2DTOz - 7pURF8YYY4wxxhhjjDHGGGOMMcYYY06zHB/GzKqPh+BS8/hQxhton2aNPn5tPghBiBcFE3wJJuXQ - iXjnEeMAPtC+dst97XP++crKvvd89P89Or3TrSCKiICdFxWQiMIRKkaKEIFzrJ6TipCUsiksgIJR - Q5jQgmW5GMgpFS0YpbB6lr4AixM4gBRZIxDZgUq0JxQQ7wAH0QkgQRFKAJAA1GUqGdgMFQBdwnhY - bc+6isDD7m9u/O9PesoTvviK51bH1wbRKZGqLorME1Ep9OGZF6v2fImpkijKcJFFjQjxzKpKyqqK - cosSISSAEDkicoAKQVWFHHNWJSHp4zvJMZQpJeGKo3atTniUteruOX77Z++98TN3fPL+rfvWZ8ci - dwjSDVohoQBB1JL6u1Q6hQmSJDgA0Iyk2TkASCmVz9pJ5YDMSZ1EBwCcRTMqBCgSIjvOLJhhhfb5 - 6epXPv9lV42uc1vDSUyjqsqxhVtMkaAOyqXi616rV2MeHX1KgkIVxBRjpNMSoB9rLmx0Ny1F6KBf - fUvaMKe28fVAM+AgQEm/bZoOg8oDSbIPHl2H6RQif//zb983bQ427aF6FI+vB/YYDNHM4AkOOLkp - 992/ec+R7uhxmkzHgv2OEVNfixlA2SDoPBaznw0G5KxrMpe8tPIs6Rw5Ik4q7VbbTKbb992fB9X4 - skOrT7oaT7gC3nPTwSUO1Qq5Zmtr5cD+m3/6Tdv79z/rda8doEG9AsrwVKKJU4q+qqXruG+0yzaA - +7DuPjf09MAQmd+6nTdSYtBFSoBI+S0gAkSuqmhD9NLBmqYESqiqcO01g9s/M10/MWR4ItESTXrK - x9UXrgJI3aJAmZIwoEDXzsZVhVvvwKXP0xQpqNe0fzpDE7EaQKmLqCpPp0Yj63w+T4sr3otOS/Q8 - 43ECSATEGA9/9d1/8v0veaFPAiJ0LS45MLriyu7IHV7AhJwjGELEp5axIoWbF3UiLPYIhAAvqHPC - PUdw8DBm0xTjsN53UDKaKeohgHpAGSo5EiuRm39B95h5lPiDfc5nXeEe9AmnPnvRnihYiOEABw4g - DUgCBXL+0tf8EEIFUTQNKg+SXSwlF6CM8QpEwASmHDtXVaD6zPJnD4bO/iR2Z3nSWf5wL68fxjzO - LNbrRWDbzpqup+dfKRh1kGZ2xfOeeeSWm+rj06BcOydJCHTaqssQ9Il9u0Baqh8Kym7KYjNNEmKb - b7sNd907WrvuvrZtWYbDITWnRYWf+YI7dy7C45ay4+TOeJwe5P6FcEaguzFmT9ByVgwCkKiKc81g - hNFo5eprtzb+YdV7TRlZUIJDdbdtxRn7r1oO3zhrZM8KpYygOkjS3H57fWhfy1Xq/0pO25G+CFvv - R4D2/RYANEslimnX3HnX2nJl7P5AigHGrgNi56cRdrb/JRE4I3Ak7w8fxhdcOXW1q4YssneKERvz - +UIKIs3kaJ5o7YnVgeA6H7A2OvDMp3UP3Kfnkdy9QxbTAgCCEjh49F0dwnBu97t+pMK06APg/oQE - CUCSicgRiKEg9fsPNCGktgWyEFhPbcmXdghL+fjFPupSG0TlP1YkglJfLb1EhnsRzBoCoMwqmZY7 - wJi1nHnjMi3eq+2Oqioxu4BQhZV92R/POqOM/sSjlNr680VyHme8RPqFCGXvyqemQqQEsJAoCakw - OBFQstj36KIye4hzTkREMgAiKlHZRJRzqqqKiHLWUA//8A//21ve8rM5qy5OciqWOyZ2S1Wdcznn - RT9uzrkEeD8C78oYY4wx5nGHtIygEGCnZ74cIdrpNWOMMcYYY4wxD5/3fjKZjEYj51zpLIgxEvG0 - a11V/8vv+b5Z1/Rdd8Q55932TFbOpZQB3HrrrSGEKlRtM6uHg67rdroGFAIhIu+9Xb3ZIxX0EeWL - 3G4AItLEbjgczmYzX4XV1X3r6+sbGxuP1mzJab84pn/9b37sGU+/4WlPfepwNGqbpvJ+Op0650se - PADnXF3XKaXJZFJV1blMYzHAteu68WDYNRGAqq6srU4mk1BVSRGGg0kzu/X227726186mU1zuVrF - vj4Pj542BrUvmq2i88tnRBj4xpd9w6iqu64j7XPNRaSqqlLX2xhjjDHGGGOMMcYYY4wxxhhjjDF7 - Wdd1o9GobVsRKend5YrjXY/bUQB488/8zJVXXfW0pz710kOXnDhxYnV1LDmnlJi5qioRtG2bolQ1 - P9TLGWOMMcYYY4wxxhhjjDHGGGOMMcY8mEXAaR/mXSyPdWCF9DkGrCQgkDonzqfRoJUXXvdVRPiz - D/9BlybJz7birFNxFYOEFZLQdPCEEHxWzTGRn2ci9HGcHugLs5+a2/0gs7uIBN152ql5E8sVPKhb - ZH321UX6pAYGAGVhcQ4pd4MAytrIVpLtv7nxfXfce/szDj17nzuwOl4dDoeBg2bkrBplWI+gGpNK - hmaA4Mn1+cSAEoH6yRA5EKIsL1slLqkIVLkgksvAkgQRwBGJU2EWZHXKrMKakSepjRLdWpikrY3t - 43c9cPst99x059HPrjfHOjcNY9qWzaZqqCLylFVUwTuxE2ereH+2JczaZ2rIPBmkLDFVDOqAlnMU - 5ytiTGfdofGaO1Zfd/iGf3L9iwfNOM7kwNra1vqJ8TBE7UBC8GWp9yG5luxwcSMiXcq5f0y7sNHd - gJBKCZvJ8AAI/crkRwPVTI5yzupcK5kRhlUFBZCVFTFhvbn1re9cmWw9KXdV5UW5nXSVG4IT4ha2 - j6ON8tnb0vrJrY2T6JoRUc0MSW1M4YxBaFzW20VlHAC00wTvPG3ecLOysGRAkJnAREP4SjXO2jht - das9cdv99aFD42uvxZWXYTBAbIWdd8Nus33iynDz2MbkJ3/ut9/3ge/5i3djbQUKMKVAXaCINgyC - 5uydyxCFOIDolFo+pIu5BAiO+jgfLc88dSErmARwIEHFPuZpxf6LfvxH7vqpnz5MzAmUI0bV+Asu - OznZGLFPTQcSJXUKUJmWOGHq439OyRmaLz0WiaT+/jvuuOwZT6OqQk4e7pIufOSNb3ruz/xk1Na5 - CgpEhSfnyuJe3oA99Ndlr1MgZYBQh9d/4P3H3/gGaWZJ26ryqAb7n3LN+pEjhJYUwugARxwon3Un - 4KwLw6kcvfWzl157NVb3DQcDpLy5fuLmd77z2a/9kQSVtYpAwdUOIl2rPhC7M0LeH9e0RNsCJcOe - SiY8mJgCA5InM7d/BSJQgfcIAeR3s4gIxOg6hFBWM1dVKqIEOj3G1xhzsREPzHIef9kL1//03Ssn - G0oCuIzsgFLOsE+AKHsR532oQGDACQCwQglJsFL79ROb+e8+7q5/siM35IqS9vsz5QAV/XalHPCU - GVk6VgTprpPvjDHmcYkVyAoQWDNIVRkcSFDXT3jWs+/85KeG8C6lcuSYCAp4eoiTeqcq+6ey8xuw - 2Pd3zmkqbbYG1SM3fvpJz3vWAyqKQCoAkxLPG3DtNy/zM3IEnBEBa87EqiASAinnciQw2dq4/fZL - RFhBi0RNPe+Ntej8Eykv6FQISDnrIDwgOHzD03HJASGkchxhn5e52JVeBHgBKxKjX4OESJGV8jB8 - 4Zc85673/VVeCrQ+Rzrf0S23PD/RVx6p6rpvMUWVzyfvVpf6bMrri/YPigh5IibJKkTh8ks7msdG - AyW9e/kVFq0Bn1ubINJvBUjhQEEJk21SlK2BU3hBCe0mhdBiQmfvCdtTyDGCW9m/Tz1TOtsTzveV - RZXLtlLh6ioTiGjpVM6ic4lI2dL7zBlO3yvQxa5Xf8i99FQRgEUkShTB+9/3gde//vWP7Bla7325 - 5L5czD+ZTCy02xhjjDHGGGOMMcYYY4w5P/OOoZ0OtHk/fjm3L8uPM512ywBSl1fHazHG7elkPB57 - 77e3t+tBzcF9/w+9+lOf+pRABAg+CJDTrocixJQJIMJHP/6xJHl7Nq19mM2aEDwpiKhc1iWiCmVm - LM3hssX46qX3ePal8VgeycAogyHLxQ7QM9+LEEajUUppOBw2XXvs2LGVldWbb73lUZ/VPuM5ix7f - PPn//Ivv/LM/fffKYHhg/4HJ5uZoZSWlCMAxi0jTzABUVTUaDVPa6UY97RNe3FvulxqPx5ubm2vj - fdPJpBoOtre3ASKinLOk+JGPffRbvvVbJ82sO2ti9JkXK5pzUpYYY+lyMlVlkEIVesP1T3ve857X - ti0ROedUtazFj49rz4wxxhhjjDHGGGOMMcYYY4wxxpjHPSLa3Nwcj8ci0pdjVj2Pfv/yF8eObbzy - la/84z/6o1C50WiUkhAh5axdR0QAhxA4eAC6+6FHxhhjjDHGGGOMMcYYY4wxxhhjjDHnbl5wXQBk - 7u+Rooq1dwdefN3XXLZ6+W/86X866R5Yu6TalJMRkjpUjomFCIoQhURTyVqlUsNEAa0ACM9wofN0 - HuTFWeEYqUPtQRCV1lXhpls/cdfg1vc3f8wgT672o32j/QcPXHZ43+F9o0vGfmWlXj0wPri2sn/g - V5y6mEQSVTJk9X1lD17czKvWQ4kICtF+QWrrHUJgVlZxCkoRTUdRQ0yuSy4m6iZx89jJY/cdvefY - 9v033fXJjenRppv5iihIyy1WsxvwyVmnHspwDIAZRErIEJJzLwzCyk4qQDrflXQMUtQRQlBGkqSq - 3oXg6u2t7dUDw60Tk2sH17z0Rd8worUhDTnUG8dOXH7w0In1o27ggHlMEkC7mQ1j9r4LHd29U+Hp - tHyJnLNzhNxJzrWrhR0DedY6yWAiytie3fuL//Hy7emIPGKbcufHI0xmUEE7w3Sz+cyNm3feFTbj - QGgVRESOFZSZhB1OG+TGOzMii7iuRW63njZ7yuURFuZQKl5lBVgRiAI5EGuUHNP07vvuP/pAffll - +598Da64jEdjJnYx02RyWBibx7/jBS+86Rff+dRX/yBGIwQh9FlsjbZjN0Sf7cYE5vk86E7kp5SQ - I1BpDOdBxeWZutMwCeAYiKhqKODcEO0mhm52aA1NLSdOOA8MK3radd29d57YmOxjJ/3fgeYNGkFY - +YzmrX+AiDy5FJPoFLfcgadfh5w8+yrJEw+sYToJg9V+blICBWK4nWVKO8v3MZ4zwj7ktnX1EF08 - 0uXa1yvjMaZbINDlT+D9B+LGCUpdFWjWKjsSsDvnbYZT5ekMd96NLxxiNN6ebF1y+JKUFSn61bUW - i22/cvAgzmW1udiUb/7S9z8CbYpDH3Q8hIpjggJVjQj4enevnMvqSIDmGF1VkePTWxNjzMWHAM2t - VA7XXNs94cp8YoubRrIwSosjCkB3gjzPQx80iJLPV35nkDChynqwy3d+6MPXfPPXjQarwtWsS2Vf - YTGpRRYgnZoIyLqzt2OMMQaAVwIRHAuUlKHqFGD2z3n2yT/5k0s2pysAszJJRqmhu9spCCCMvo3W - cminYLiy/0oEUnhJR2789DUZXkUIADuVpYLCQopFRGPuNy5sZ6M+N1YQkYgqEYhViXPG8eNy4rgX - WeT7zhezAnm3i1QBIdXFAa6CIAxhRUt0tHbXfMWXwDs436WMsNskYmMeT8rpq74PxgsAZOLsBMqa - M4GEaLt2+55xfRoNu+1pBXX6sFq5ndVcyQ+GUBARs1Og1MI+r/egQjtzRQpSIiIwq4iAxHm+9LIZ - qRBjUfpflxv0nf3zM+dgsb0of7YzoTItZBapAGxNeRH+rewhIHVC0HkaOvpg71NeZc8QgiqE4Jig - NN6/lgmip+e108PYzJXjKQBJhAd1JMXpqQOsYCgv8t2NeUg5ZyJi5nI5vc75EJg9EX3gAx981at+ - MCUAEnzo0q53HM9qEclARG3bOudEJOccQnhEXt8YY4wxxhhjjDHGGGOMMWcqlxst7i9Mp9PRaFTX - tfe+bds2doPBoJP8K7/2a7/1+78dOIhCAXKua5vzma4jzRoVf/lXH7z3vvuufMITkspoPI5d2z+B - yDmHnLOKiBDRomO0hFgvoqwvKmd910IgopgTCJPZdDgeu7pe39h4z3v/8vMxj/2lGoPgb7nttld8 - +7f94e//l42TG7UP09nMMeWcmdk5571nZgBN03j/uS7t6YfPL30/27b13ncphkHdxm4wGrZN18Ru - sLL6X//bH/7Qq1+9MdlWgBxV3rdtfKxfx7HnqIKIiKCq0HJVx8tf/nJVbZpmZWUl54xyGY73MUbn - bCiRMcYYY4wxxhhjjDHGGGOMMcYY8xhQVVWMcVGgoPT77za9mxmqUMX6+tZ3fdd3/+7v/s7gwEBV - AKqqiohSSqoCgIhms1ntbVyBMcYYY4wxxhhjjDHGGGOMMcYYYy4sp1CCEHQexkwKFpc3w+r44HWX - PPdfvGz0e+/91SMbtw33D7ZTEwJlURL2HIiqLjUQqQckqlJqnvRpsNJXtLjQ6d0opTf62E5SBkk/ - RULOYMrEGIzr6WS6JU2st8jDEU+UT7T33nHPTe7OwOJrHlL0EPZSDcJodbx/bWVtHFYuGR+uXF2H - ajgcDurRYDAYVkPv/XA4BuCIiYhoPsZDUFGNjCixS+20nW43W9vt1jRPjm4d3dh+4P7N+za2j2+n - 7ahtRo40CWvarkxySdRxUEVKiB3qGswghYqqCJRJWZHPo06IUP8RO4AFTrwDEqeUlRxAKqK1H2Lm - RrLyoud/9RP3f6FO69ykikfsQte2zMxABkMJ1C9hpZL4cxEGphosxk2Vukequx1Itedc2Ojunahp - YacAIxFaggM8OTQdKg6BEJPzTim7gUMrmMUP/dufePKg3rc9rWLXxlQfuMRvb6fjx33tEJtjH/hA - e++RA4Ow2sSKHRFYVSRLlvJxsDu1+V0qp6WERejOIjtnJ0enr5lDO4+kzADPY3eyKjQBcNWAuzgC - D+A277773iP3HLryyup5z3K9AAAgAElEQVS6L8KhwxQ8GsFwhJVA6A5vbNz2trdd87pXwdVOA0Oj - SuA6IjmwAHxqbrdAGEIlUowAzZhn2cg8rs2B55nbfQI3mOFKTlxSJxiNsDn5otf8wC3/9g1XVbWj - hK0NDMLqNVdvffxGNx7JZOvMRpVKyNxiZpaeIciOvM8ygl+/7bYD11yNYeWhmqLfmtz4m7/5tO/9 - bjg0OQ6Go/InDoKSulQilikBTI/1ppNYXaUMGgxv+Nc/evc73p4euHv/aIAM1IO1a7/wgb/fWtNO - sjKw27hUL1hL+f4bP3nZDc9MbbOytm8ynWFzG22DcZNyPWaCsOaGKgdcfGEttHQL5Pm3X4HKhwgw - vBIUIlAHhle3210xx5jHobuqmk/RCpUZY0RV07AG4cov/6eTT3yaGCrqyAkiCCRQ2onN49NT4h5C - H+xK/R8upYrCeXRdWk3N+m234847V9auP5k6FypAcMb+TMntXt7n2bnzGN9hNcaYRwQBpEpAJhCR - KqBZs8wchk/5ovikazb/4ZMrAFjK5U/Mu07LXm5uZan5ZQBd7F+LlGPb3nYHjq/7yy9XsNOdNlxJ - MA9zVbAQFJy5nLXkx/jR1IUmHtxpFgI7pyCXG9x+x74uVrL4ZHi+odx1QKwunWdkLR8uA5mAQDRT - yU+6Es96euvRkSrIkdOHl0NszGOazM+dOwUrMiExAARizkrkyOtJ4X1POBSuvLzdmrimcQ9jl5VK - z4wyKCeBqwdQJXJ9a797i31p1tLZ06/OqsrslCirRlUNAYcOpuFQt6ZAf8qRztZY07zv6FwCqufb - H0WWWlW2tpxK2UyQIoABIe3DwkuDtHzmYjkvfI8gVtWchJDTYP/+TWgmhMVmluQR6WsRgYDceNwy - Y97iS3/6iAFa5Hbb9tScCxFxzoGoJHYTETFDNSVh9v/r7z7ymtf9cEr9Gh0fodxuACGEcgF/SomZ - c87OObbdQGOMMcYYY4wxxhhjjDFmN+b9fTvdZmcb0cdA6asCz3vxBGDlRZfe/v0HZrNZF5vBYKCg - wXAUm/ZP/vzd/+4NPyVAK5GIs8qsbYiWx/2daamXcOlZOWvtXUrZOfdDr3vN7//u7zFREztWVVEA - fScFkQMT0fKVK6xlbh+6A3L5ioC9mfO9PFefe+zl4pnLaeuYD91s224wGrZdN1pZ3Z5OwP4X3/VL - OI8xIrt29ilUle+6RMDffuSj3/p/f/tv/NqvV4O6CgNSCVSpaowRADORYlHu+XMtAVr8KwPIOYdQ - 55xDXWlKk1lTVZVz/Ju//Vuv/uHXtV2ngGOKWXVxlePprwfY8NKHxzFSymVJDn34hq9/We5iXdel - xLb3PqVUVVWJ8TbGGGOMMcYYY4wxxhhjjDHGGGPMHleGcKSUyrid0vV/HuVmVfuhPt7j5ptvf9Wr - XvWbv/7rzlHXdSEEZgohRMltassVzMYYY4wxxhhjjDHGGGOMMcYYY4wxFxQtaqoQA32Ci1OQ+pEf - bx7fXF279IbDa9/x0voP/urX75h8wvsmDLlJkpJDcuS1cl44iqgQdBH7Qh3N09MudH2PnURQ5fIu - yvuCwHukFpVHRPY04xogCiUWQiSLqCYwiB3DzXQLnqCsSqRMHdM6efJ0H0i5jB4p9T2ImIikEyIq - teKdC0TEICVRpCgx5ywipa6HAkJCDklTRlYSrRSsAgilSRO9JzjKWTXDObBHAESgChJoBqk4R6QZ - qudQVObUhcMJEFK4UphlkfkZqR5wlNxpytKuDS6ZHG2/9gUv/bLrXoStsOLWZm3LXldWVrdObgzH - g0Y7AZR4HoEhgGpZ0GrDXC5q+jgI7n4UkkoySEB9xK7MM6gBKOArEHfNDI4wm1DbYWsb25OPv+mn - n0r+cBNDs+1r1GtDOXoEpL5rjn34f9z8h/8V99xzueN61oYkSCnnGCUC6p0L3jMhl6mWbBYF+iQb - XsrdOSXD5kHybBiAah+u4xjO9cG+ztOsa4jFe+LYjkUOKuJd9xx57/uaj/wdJttgxmyKwLNma1Xi - 6vbJT7z5p3FyE5vNoNVVDgHqVBhwYCqNrALat1MZyABIwKfkA50+e0qYN22SIhhQEHsIKTzWxhiE - 7sCBbR/AwDBgZTx8+jOkHqxvbc3bM9Zz/g6IpoqpFs0nTuLue0AKZPKoVOqjJzBpQKgHlQIxaf8R - C0MY6hUsUEHeVVO+5xBEhbzr9x4O7D+xMtLBGBlwAap89dXNeKzex4SBDyx5V1FJDKGuG8Skt/6j - d4QYOcmVK+PP/vzPQbpKurLwyDuRLIKLcKilEpSQCXmxLqPfwJdQvJxzStlRUDCTB+b/fG4/sW1A - 0JxABIKqZpUsVirLmIudEoR0RjgKfuKLXjwbrc2YMxgEIcgpwX47uZ4PZ3IAAIIyMmpgIF21tX7v - hz68kqUWIiqJrqfoD3V05z4e9pwYY8zjj4iIyOJg3oHA1DqPSw4eeM5zGxcSkIFYDpPyeRy8CKCL - ErrzRpg9nOwceslAZHD8JD57ayW51ICGMs3PMQkBENaykSk7wKx7tXry3lGOcUlUibIKEfmctm78 - 1EGIP+WTdP1zd7s8SZS0BOKSAuoWL6GqU8WhFzwfB/dP69Dl7L1X1b0WnWvMo6qEWCtIiQWknAmZ - kaGaxSlEaeYIlT/0tKdOgku7OcWhZT+cTtklpnl3iYL8yggM5dJ6PpxVsW8+yrlBVgZQCuKXNjq6 - gJVRdehwYuadM5Blfk5vZk6rHd8/0kdLL707BfWtFDmVKubpiXXSPhccAAtYSiw1ylLtH9/DbQ4R - KaFsfIf7ViPR6ZvYMx7Y3esDKEkI7Adra9m5nUb4lFxwZuDhhMSbiwpzH4CxiO4ufaIh1B/76Me/ - 93u/7+TJLRE4dv1JyEdISWgQkRLXXfpoy4PGGGOMMcYYY4wxxhhjjLnQljvdlNA0TUwJgBDIu6Zr - 3/0X7/mX3/vKk7MpQN6HpPNL0YIH7XpgR1X5NuUQfJfz+//6f7zzXf+BHHeS2TsXPHsn0CRZoKXn - YjGTZT73chfhhfC5R84oYTAaiqqvq63JdjUYfeJTn3zXL/3S53EUeNclAeq6EuCD//NDX/mSr/r0 - Zz4za5um62Zt23QdOVfXtYi0sQshPOQLntbjPBqNuhRd8E3XuioMRsPt6eSXf+U/v+oHf3DWdexY - ADhmR1my1Xh+5M1LdRPAAAPPe+5zn/jEK9hRCCHGyMxVVZXharT79sEYY4wxxhhjjDHGGGOMMcYY - Y4wxnxeq6r0H4JxLKZ1fv/+iRm1OcI4+/OGP/Mi/+lFVGg7HzrnZrE0plakAqOv6EZx/Y4wxxhhj - jDHGGGOMMcYYY4wxxpgHwazMClImgCAgYaBt4yX7LqVYdxt01f7rvuOl33P9JV98GS5tj2dtdeSq - KriUOqHEwSWBgqG+r/+/E6f9qLyDUwdxlEIcKYEZRGAPMJrcZe4iujZBE6CAIw7MgTTk5LtYtbFq - 4mAaR5N2tNWMTs6GG1uDY9vj49vjB7ZGRzeH928M718f3L9RHVkP926M7l8f3ndicOR4fe8xf/cD - 7q6j/q6j/o77wh0P1PceH96/Pnxgc3R0a3x0e3R0NlrfDsfbejMPplJPNczUNRRa5/PKsHbqNGog - rp33xMjgDBUg9xEV5ECkQpJ3GfaqJJlTZiGFy3Dzv9YyjkUpCpKAOcxOdC++4X978fVfM+z2DXWf - NlitVkkx3d4aDKokua+iobwU8SAXPpnd7GmPp8IpF7YEj4AVPsPPw3VBGbXAK7JDx6rkq+EYLCDB - dvuZN7/96L//mafM2mEz1dT5lREkot3kIPlvP7j+nj/HzTc/QXGwHuY2pQwwMpTZBReIOOYcU1KF - DwElyAcs4EyciTOTgIE+Z+vsP5Ayp9zfV8dMBFWIQDKyICti1uGAGtVJ6sAy8OxyqnM6xMi333Ly - ve/BnXcgRjTNqKp8LUO0T5pNN97ytl/9iq/GxgzHNlxKTjxFOIUruea5pLxBS1Yc0AEgwJ3yKdHy - b/PkbgY4hHl2sXpfRcUUQBWu//5XztZWNqdTwEEZ7NauuGK8uq+0YZkoMu1EyNFOjNAiBgnzrUup - 8xVUh11ubr4Nsyk0wVHupvsmDTpBF1NOwvAVLSUUlRdnfbS2jBeOAsKsDC6Lz+OZr/4hrKwhAwoQ - sG9t39VXJ3YlB2gRtreLCSgotQ/cegskQTCsx3lrskZAsx1I0AgSwA7kiB9e+NRj0GLVWHyXyvoR - gEoxItSKIbsBB6fsMyMmhWSkc/xRkjAcACDvRSWllFWY2LH7/L5xY8xekBkNdFpVuOwJlz372etV - FRmiMRMy9XmrTjEPC9y9eVJdSf6WeaJoFrAHO6mlvfdD/wv3n1hhJyKLzfTiycu0LwPIfa7fBd7f - M8aYxwoFlEgIUGUFAUJQwsy59aq+6oVfQStr6tASEsExk5xe8fahCKDLTfoOIgDe9fvwQ9KDbbf1 - 0Y/VOZGKEJRYwUqcGVqibqGs4P5fF69vPidRIiUfUlafs8vp3k/+wzhGL7L4PBSs4F3ndpeXJwBC - Ws4YMMBKUEJSwtr+J375l60HngQXGaRKyT4vc7ETkkXl9MWZdREBQMQxq/hqE7TvWV+87lzabZVw - ZSj3TS6d8rh69isr8E6URKCad3tYX3a2td8nV1bF/ORYn9tdInWZWlLUg31PuiqTQ9/VtDM/i4r5 - 5zLN8r9yErKc4WMiD/UpT46fIBUAmctc9bv6Stznl5OQyvycHl/o873nRVSVHCuR278/ejrzKObh - WJy/dL4K+9ayZ4g6Xe7FYSUuT+IzMtTNRa4cWINO/yHe2a9j9kQuik5m7Sc+deOPvv7HNja2tewZ - CESF6dT1Tpd+dsl7X4K6c84AmDmldC5RDcYYY4wxxhhjjDHGGGOMWbJzmp4epHuo9Phrf6VQeYgF - XPoKlUhBCbpyYF8CJVAmfuvbfuG7v++VQgxQqOs2RS0XlhBSjItaumcinDFOgQBC2yUfXBNTmeOf - +uk3//wvvoMrP2kbgbrgyfUjEwSaVfr7Zxk9SEr9z5lTe7Al8Fhx2ltbjKLpP8Glz6sTjYqN7Ykf - DG+5/Y5//i3fOo2dnt8YkfNGOz8+OADTtlNACbfffc9L/veveccvvStChuNRqKuYU8w5q2ZV9m75 - HZ26BHYeKd3QpSd62syqQT3tOnWuzWnaNL/ya7/xr/7N65cuykMXcxYF0ePpCqg9Y2c0AgMVu1e8 - 4hWkKiIpJVV1zgFg5tLxZ4wxxhhjjDHGGGOMMcYYY4wxxpjHhLZty21KqTxyHtf5OhcAMDPmMd5/ - /Kfv/o+//MtbkwkHz8FH0SKl1HXdI/kGjDHGGGOMMcYYY4wxxhhjjDHGGGPOoH2KmSN1TtgLvIBU - hRLX2Gw3M0ldD3k2uESu+M6X/MCXXf7VT/RXrXbDWmUQErjrNAp55QCpACZhUiYBFJmQL3w8Ql/3 - naB91iqzMgtYoRFwEAI8MpAYylrXI+fHiqGkKieXMkt2JRYbWoqYgAkEQKGKlDSJZlUlgMGeOHhX - BQ7MgeEgJIKcNcXcdTlJ7mN6A5EHucyUgDbX4FqoyhKi+k5dq74R34ibdoOUV9SN4aukmAm3qNRX - cE7hQc4xM2WVrEq7ze4gJIfkwAon3olnZSURTuyp6RIRvLox7XvKpTd845e+/LBeydOhNFzTAKqQ - 6By1qdUSfoE+1mFRssaqlphCH/vRuRe2rWLAAfOKNAISQEopIgXAJBDtWsQOUT/xhjddfnLz0HQ6 - biYDD0jk2KHtcNfdJ/7yL2a33xw2Th6E8LTN05kDgmci8p5Fc8xRNAfm4BzIxRhLKz8vVLQoQUXA - QxafktK0AlCgE+kUAoDABMd9iE6M6jy8QxRNOXqGh1DXDZuONk7e++EPpVtvxnQaNKdu6uJsLaX9 - m1vf+iUvmP7yfwY5REUXwbwINYfOg976oE0IOJUPiPpcsZK9M5//PomHAUBEExyrCDzFGB0FRi1w - WF25K83CvjUoojKGq6OnP32ja0v9JmEI8bmE5RCBGUmTIwTo9gP3Y/MkctbU7huNhm1329vfDtXg - nFPMiwsxmMHzbQywuxzrvacE/rRZqWxfqyF8tU6u9ZVIhieo7L/m6kYohEok7mpTUT7V4JnbFI8e - xYkTiBGpq7zz0+nfveMd2N5CYDgALKIAYkwX4F3uaSW6nuZ33GLbvFiDSn59E6Eg7wmyk177UD/l - 79uuFRVmdt5baLcxpijpgtkxBoOTXXfpP33R+spa41QZi1KYWITAPZxDhTN2UZxHTIgZI4jecRf+ - 8bbQNeGMKfSJfUslGvu4QZwyh8YYY8gxEbEyhEoDL4ToeN2F+mlPTwf2t3VoATBK7dRdHTIviiPv - 1M/tTymJasmOpqwQ1aC61qX1m/6RUwqqrCJAJsrE80MmAUAqpDz/RR7TZZQfHaoZjuE4p1SljJQ3 - 77yjahp31kV3vttsPjUcNzImVaDLDg+vv349VK3jalDnnG3bay5mi53PxW4q5nvLDuqc88Sk6rhK - 5PHkJ28G3nV099lomaLzbjxCCAouSeF8vi9+yoksJYAJrKoqOTgGuVYVzg8vu7zMPwFu3oXwEKHd - CpK+ovpyc0EgVfQnnJkZyl1MmyehiSFKspTe3Z/BFAJpv7kqJ0nOOS/80aOqgBARPGM8EOcVfMZ5 - 9fOfbyJSsAC5ChgNxTsAkP7sruwsGGZFH8xszLlRVSIqzUjbttvb2z/wAz948823ln91HLT0Izxy - HUVd15Vgj0URf+/946AjyhhjjDHGGGOMMcYYY4zZU5ajkRfjPUpnnDCU+kvCyPHJrS2q/G/+9m+9 - 4IVf/qa3/uw0t51mMM/aJlQVgNJZUA8GKcbzmJPSHUCOyFEG3vimN33Hd37XA8dPbM2mneRM/eDo - TIh6Wlj1KT+PV6d8UqVPURfp3TujJUtnMYgy9JJDBz/413/9T178ovWTG96HjM9bR0tKWQHniBwl - hRK2u/YNb37LV37VS3779363iR0H32lm71zws7aV094U7fx6VgIVgFy5ZIP+/Vve9BNv/CkiygAx - UlYA9XAAAKrW33QhlAFmITgFgvff+LKvjzEys6qGEFR1NpuV3sb5UDRjjDHGGGOMMcYYY4wxxhhj - jDHG7HVVVS1uy4iL84jWzjkTOQCqUCEARPTWt779Qx/68MmNreFw6L0XESIKIZQri40xxhhjjDHG - GGOMMcYYY4wxxhhjLiiF0z72VJwIQxmipJlT5sw1ExG1vB8HD6WrvukF3/bV17/sC/gqXU/cimcI - IVEfxELKTtkJs/pSImOeoXDBZr5E3xJ2wnB7HDyLgAkpQhTqIAp4biW1OUeIOFLHYA9msC8151V2 - YgwcITCYQQ5Uyn0oRDRJShIFKlARUVUhUVYwO+ecYwJIkLOKgIi8r+qqloysokrEznv2nphLCKwS - KSR3badZ6uBD8CJCoiIQUYiqqAgIcG7X+RolImQnpU5ZSDJDHIgwdiuDdmW1Ofjyl3z7pXwFTwYD - Gldcp5SzJg6sXtSp7IQ4cAnOZYCUoRc+m92YR8WF/SqTwkc4AdoIAlg6aSLFBBGNFZJDpJSwmW56 - w89epTzKiSlmdC2mVCk2t/F3/3Dig3/jjhwPTVcRcis1w7NXcjlBMtixKjzgHGWRnHNCJkfqkUhC - cCLiRLncqjKczptMIiiQ54G/JW+amImhJf4mUPacPZJjIQigAhJ4ghNwBgOeAUZSESgTRDFm3jdr - Tnzko5MP/U8cvb8iBAViAkvtOjl2961v/llsz0CsrAnoRMFAl6FAAiV1YAdXkmTyPJh4EVRc6DzQ - po8xZlYCKlZGCIEBD2ZXoXYv+OHXbLETrkgCMmFlZfWKyzIhC3w1aHN2zhEhAvQgI/fKJidmBGZJ - XQBVzEc/dSOISLLkqDlyFxEVswYCygCQgZbQzbPJHYj7lvQxrgT8kDgCMl/72h8+MRhMvKoHSFEP - L73y6sROeHfJemU55SRj7/Y3Tb7xRrCCCUQDpX2bm5AIacCAsEOlUF/5i6qGFgEO4iFOpY/CkqU1 - ATvJ3m4Q4AhgKNO5/4ABruqa+OwpVw8rjteY3SAiAKogIiIqMVGf75m6yLESqRBIdHWE5z3/2KGD - cVCVUD3JUAWBUT41gaewq21AHyI3D/lbPtLQjOChBErpUBePvPd9ru2GnD1URFzwYBJojLEaDmJK - i8hYmRejXG4mjTHGlGq8ROSIyj6fApG5IYdqcPVXfPmJOqiHRCCTw+5Lpj54FKgDa1ZHAIFyXo1x - /abPYH1jlKNK8lVocoR3oa6iZCEAQlrO2JVTURf46P1xIdRVjFFVR96PY5z8/cf2M1UqS/v3gnnY - 7Xmct2UVBxIFkSPnO3RcDyaK4+P66pe8qKvqVNVdzCLCIFXLhTUGQF/aXgAv4gUAnKeUEoNdIscV - RqPhFVecd3R3vy4vwsKZG5G1K74gQ8QRMzNzSmnXLztvdAlLMc9KOWfnXCm0LSLZVVPvLrnm6kwe - AAl5AgkcgRmifWR02d9fzPCi/SFdOtFHAiBndQRmApBzZGBfXZ+46x6AWJIAiSFMQhBiPTUZvY8M - 3+1bfVQsjmqVGAf2NQQQP4IHKqokhM758eHDGK9MZ205lAbJvH+I9+qyMXuNLn7Kag7HSYW8mzbd - bNq+4hXfdvvtd5ZvlIKyZPRdq4sv9HJ/7UNYnO0p3bQlq3vxIBEtKvjbeSHz2PX/s3fn0ZJcd53g - v7/fvTciM99S9WpVabFWS5Zkayxjm8bgFdtsHswydOOBxjBzxnazWI1hYDgMPTQ0NtCGhoYGM4D5 - g8UHmgPMQOPGNjaMMRjb2NiWJdlaLJWstUq1vCUzIu69v9/8cTPz5av3qqQqlVT1VL/PiZOVLysz - IjIy80bEvTfuN+dcBo8o+wI+0z2+OYfKkU+5H2MsJVIJEzJnV9n1qNnOzvWXyBhjjDHGGGOMMRts - 2SuAmZumCXVVaiyVMGobcgznhThBEzQTZaIEiorP3Hb7f3jHz970gi/7gR96210HvyigDBZwkgxC - F7tpS2XbNDPL3rrT3oZ+yOU5BFEokLKmrKVn9F+89703v+iFb/6+73vv+9+/PBxyVXUiTUoUQgLK - 6glzmUBM7LKIqLJzKWf2TgkiSrRFlez2yvlOIr3BXNd15d0pU4YKQUSUGExZNYtmRWYIU4be/cV7 - 3vyW7/3mf/lta82QvW9TPoc9bhQArX+4UbS0JN11zz1v+f63vvwVr/ztd//OkaPHBJQV5ByYk2gS - ZefZeS1t1cRZJKbkghPJIuKdExEAquqC7yR3kt56yw/+6q+9S4GkKoDo+As3/WaqyPpqWVXWkyWl - hVCzEJBjDqBv/MbXDXo9BqlqafgD4JwrrSQi1o/IGGOMMcYYY4wxxhhjjDHGGGOM2QZKu7+qEpGI - pJTKRUPTa35nnfr6X9Vxfw2Blg5CWXHLLbfcfvvtXdflnMtlxYGdxNMeD8EYY4wxxhhjjDHGGGOM - McYYY8zTZjo0a2lKtvF1zTYl4MSciZXGmWY8HglBsmb1EmUo0tWu0pEPo8WF5sBrb/jmb3vJG6+Y - ew5WPQMhIEpyPoOSU3GJXHJeiZkzo5Onc0gTAcAQKANcsq5LPswk0RVJJLmuq5sUYvRd59rIbaac - KY9frnAynnwCpUlodxmbhcfTOCwcUuLllERJlEW1BNNAHeCgTIk0qoyQo6foXOu4YRoSj9iNvG8D - hh5tQFch1YhBW0odpczIDGYmwribCsMBOZ/eOBWkqASIgIP2tJOUNPkQYkJmRaaw1juAK7/vG952 - dX29LruK+6LI0MwZnDtuI0X1KpASeDdOvlCQ8ni7Wnr3BWkSpqmq6pyLMW73zISn/ntM0LURQgA0 - iiBUAgJyBUEzwlqLUfz8z/zc/jZWo2FwANQ5VwO4955DH/irw7d+ZofIjopqh4oAIAs6lUjUcjX0 - 9aGYhoNwtBeOBD9cXOh2Len+fd2uXcuD+eNV74Eoo7nBSq9aq+u1Xr0awqpqy6yhorpW7xRggnNw - nhwDgIiIiAIZElNe30rKUC4le4nD4UkATykgShIME1h0DrRTtX3w4GOf+Fi66y5HhNxBMwJ6kvbG - dOcv/TJiQ6nJGsGkBAQuEdfM5BUe7ME8LX2nGTwbR0rSmX8EkqcB5AqvADgzYX5wGNTWPVUHJTjf - v/76yM5VYXW4Nlf3uxSzIjBOnSxGZSEAQTglXRvi0UPoWq7coNfrE3/snf8JiTBqnB+vUgZSuatl - 22zvn0v5tdTsgKy5hQKhB9Xj83NdcBR87Ebo17j8ikNtN8rqByfJQj85BTTlXpTm0Ufw2BGkrEkq - 8D7iT77jZyENUgsBMRMoa9zeG/S0CRTQya1sDMKZDmhX9tHjP7n8cp/oZIwxJ8FwUM05d95h5+IV - r371MYgSHAfPFZMHExhEIEDyaXeFL8cSShAwAB7vO4UIIiCHCqhX1pY//3k8/KBfXXY5iUjqoqrW - dU2Om6Yh78qBwXpu9wW2nzDGmFMrp2wyTmEtRaQAUDA5fyyn/qtfdni+jqD5mnKKZ76kmbM2Vswm - OJbymSBVznMxL3/604O10WKo29jAu05kbdiwnym+SyWUMpVdw8mjwQ0gbdu64HPOtWAhxsOfvZVH - ayyzybjAbArv6S5A4L333nWa29xWrp+bTvv9o7t2hn/x4lGoFa6ua0kZrNZqYgzK8S0hEwA4hVNR - ZFEVggO5TBCHuh/27418GkeupVJuMwEru+Rcf/euzrsOmpVAW1wE+0QIrS9ICUosBPIOQM5RNRNR - BnKvt3DpZQ1DXSBQyiCAFJKxecGPe3zOBJoCQQRdh7bDsWM+ZZAqSWlBEQKRExrXGc7OnLbaOOcQ - Q6bVpwoGu8QsxOu16idsltNff4Ekpqb2vGNBc6r6PVX1PD4/mtkyJHQascrmAlfXdc65aZp+f240 - bHOWt7zl39x118WS+u0AACAASURBVL1na/6zGcbTHFw7fjDPMF3XOeeYOcbovS+5FCGEc71e5vSU - NJG6rlNKIYQYIwArr4wxxhhjjDHGGGPM+ezUrXJVr845D5tRzMmFML+4GFVKaLc6B+8fO37sve9/ - 3/ff8tYXvuhFL3/Nq//zu379wUceUmIQZ4Ji2kX5qVl5QIBM9Od/9b5v/6433vziF37rv/q2X33X - r33sk5+4/6EHXF2p46jS5tRJTtBEGiFh0IuSm9j1er214dB774JPaXsP6auEqqqOHT26sLgohCZ2 - STIFH1WU2FdVJsScXK/yVfjc7bf/1rt/+3Xf+D++7OUv/70//IMQKgHa2PFWgyafK+PunUBUKOH2 - u+/50R//P1/05S9+w7/+jj/5sz994JGHkwhXgb1baYbDtiHvuApdTuRdf36uVLY759q2rapKoORc - Uok5fcd3/ev3/PF/LaHddN61GD9jMfP0V5ah/9O3fKtmcSD7AIwxxhhjjDHGGGOMMcYYY4wxxpjt - a5rGXQZb995jHMItAMoFkiXGu67rMlzy45m5Fk/RtvFNb3rzl+5/cDAY5KwhhK7r7LpLY4wxxhhj - jDHGGGOMMcYYY4w5n5WmZNruUaXGjIc0gZAIZeUEKgkCTEo8SWYmZVJH0nd5MNftuW7XzW/61h+6 - cf+Le2s7e6lfCzRKIJHUsRMf0Haxa8Q716ue2gEvpumUKEmoJXSGxundgB+nVU4DZwGM/xAqaUUE - kIBkOjTNNBJ2HFaN9VBa2hhQS9NJy61MNykUSqwEBQtDCSVLYsNESIzE6BiJxyskhGnmhtJ4ZXny - 0JZhHKfaPorcYlAFx27U5uxAFbcSieBTNcg7Fpt93/ctb7uIL6+W57z0xluAREkyZ6WUOY3Xaryt - hRU0jjnnpyPv2JinxWlHC5+23NGgBgTEyk4AQuI4Qhasdb/y0td899d8/eUqXsX3fLO60isF1uc/ - f/jWT1VtM9dH16aSqNKD8yGooMmpcy7s2hl276p37ezt2wfv0euBA9oWoapCGIhAFaMGscPR48PV - 1W7YHH3kwUHuqB1KF0OUHlPFzolqFi3jaBHGRzgOolCFF5BSSdAUAsAMTHYYG0qCUmR4+CjJQyvP - VSerDzzaSvZdwg3PHo5WKlqMMfZJ/NHjd/7+7zz7e77To2ohjoILDAF0HL7tHECMSXG8pZLu6WbK - xzx9chm4i5HYueBv+NEfufvf/czVvTmMhqgDlnZWe/Z1h484kIMmQBlEG6K7ScHKZe8iBNbJloEC - wqJ6bHntvvvmLjoweuxwcm6wc/feNmPUYSEgdfCVm6y3EBymuyLe1vndOanzBGRSGX9eO3Y853v/ - zUNv/5nUNaHfQ9vh8st2XnlVPHhnM0z16cxcCaoER5KkW1vhL93f371HCI7CoO32eodRg/mAHOAY - qtWZpk9te+ODntlHxv/qJH9JAQJz+UWXX9ETuZ3cPXGBW6zD2X1LxpjzGitYnWZhyq3HI3PV5V/z - 6s/96R+3w0d62UE0Uo4qjsAMVlI5/RPBcaghK8iXkyqSUjKJlORw9GN35OD9R/7+73Zd/E1SV13w - YHQpimdmxJx6VZ1z3nziZCWWMcbMECVoOdNRsI7zvL1S9A5XXrr4gufHD/2DrDQZYCI8oauktqLT - A8uTjAhNUkn3pX/4+A2veEUXR3DBhSrnPI4alazEBAaItZzbgfTxM18vZEpQgvc+i1ZdQts99E+f - vEyUTjzEl3J2z7rVof/JkaJiSBdJwcSRpEUkYMjV3Jc9H9dd07B3mRxBVROEGayWDmsuZOPvv9Kk - EBOQAk6TJkYgeJeSCqNfz196cTr9ynZWCIOx/ltWQibuHC9evP9Y4KhQPUW92skpC8lktjLTEsAA - qaoiQ9kxp5RiqOjii9LiQtPGXgvJbamsyUDgUtXGpSZxktBbFjG7PMGkkCeCqqoyQARlKFLmptVH - DvX274k9F8mXhhnGCY20CojSedpo4YhUFcRCcFWViER1U/uZnHGjC4EycdOrwv49EY7IEaWZ1i+G - MtmJkTkpxqSZU2d+WKvDJoSaBG3bxpx+4Ja3fuKfPo3xj1h0i6/r6e33VXX26n1VTSk556wHhnkm - qapKRFJKVVWV1OeUUgl+NttLr9drmqYMI0JEMUYbCsQYY4wxxhhjjDHGnPdKvf2J9fkxpxACmHqD - AYDl1ZW6P1gZDm+99da77rn74x/7p0996lNfPHjfsGmmKcuOnapmFYCJHTNJzuPZba7U39QPQU/y - xC2eP+0LrYiiZe0PHzn+gb/98F//7YfLf+5aWrruuuu+7Pk3X3311bt27dq9e/ell1yyb9++JB2H - yhMnRW9u0LQtE4W6kpS3Xq3zXmm1KbWRK8O1qlcLOOZ0fHXt+PLR448dPXTo0L333nvHHXd8+rO3 - 3nHHHSujoQC9qtdInr6cmes6DEfxad0Ap/zISwN2ueLDOZYsx9dG//0DH/zABz6owNVXXHnTTTe9 - +IUvuvbaay+/9LIDBw70+32QE+jy6krP+5yzAzFzaVFi77/0wIP/y5ve/Pcf/0cB5ufmh2urxAzJ - J128OXsmQ3I7ifmyAxe94mUv05x8qHI+yfY3xhhjjDHGGGOMMcYYY4wxxhhjzHZQgrqdczln51zX - dcxcrgIuvQVyzkTUtu0pZ7P1dccp6/Lq6hu/57v/5E/+eO+ePSsrKwuD/traWlVVT8FbMcYYY4wx - xhhjjDHGGGOMMcYYY4wZKyHNIBEkaGLNAEG9k8BgESglIbCKEieXAG6HsmvnZZwWvudrf/QD//xn - H/jEn87vDENaVifZo6XIDN+HY9IOXYcQ+HSHaj8tG6MNRGicsirjuO7Z/2WncCK0MTSo5BMpoIzp - 2BDTkUJKLPeWNuTBjdO2IfAlG2L8nyQzT5bpn9NXCfw4YXOaLD7JTgJkdtOdQTgRK8/7enh8FAYu - eCihEWka7FtcpON+Z3fRLd/8vx/Iz9rBe1OjqLSTxMQgAWUtOSJKIECJxtnhZX0EYAFDceI7Mhce - VdUzjvE6bzzF0d0kqBgQKIG5iV3tqSZBEhwf3vaOn3/zK1/lHnvU9YJqjl3s7VzCw4fWPntre8+d - Czl6grQIDOc9hcHqKI6S1Es7Fy+7BBfvx+I8gkfVg2MIgQjOoepBBFBUtaZIe/YgttizaxDzoO7t - XF3BsUN6+NCxhx5ujx6TNqqgZjiVlKMHVMfRbApkBpXobpRHZvK+lKexOuMHaFIYqqIMqJRl4KkS - 1cNHjqx9cofXwZVXIQNKeW14ye6l++7+IoZDxzwITnIHdhKVQzUejWmSVrMe1bGx5KVxqncpQOXE - p6zfcyCPqp937V55dHmBWFOkfjW45ppHD398qR7EduSInHexS4E3JNMRoMrCMl0iFGUkMFb1bRw9 - +Ojcymp/fh47dhw/troYcOvPvfO5P/HDmBtAEsg7eqYN8VR5Gu9jQ9lzZjBhbkF6A4h0kqq+x1rs - X36pHn3YL6+oYlMQ0akowM6lJD3m0f3396+8xi3uEBFqup1z/U++850v+JEfw6BW4hw7f8FFI/Ap - dr3TMfKKDb8bmnnolLcWjGOMOSkhR+xIM+QIyf7LD+x5wc1HHv3A3kQ9IAFZRQgViIhK3t4ZLWQc - zwdkAFIiWwkiYMaC8zs13/m+93/5q19VhSqEitlFjamLPnhAssr4VZOF0xklFRpjzDPVNKi71KAR - SpynB4gki+eG8lVf/Yp7PvKp6CSmzpXczyexRAJKPVcJdzwhrbXO+cHb78DyKkiqPXsaEWWqq0ok - blwoAxlQkJC6Z9gZ1tkVQkiSkbXXdfjSg/ng/QPvFSdsT53enC4iSqIO5JxLJE2K9WDxsSo892u/ - bpkoOs9waTTs9XprKY3jiu0DMxekSXm7xSk8M0eVUsXEQCaG57kD+1fONHNaAKZx3YsQIiR5h91L - nXfKT/YnyDquogMAgpbLaL1jECmYOCsNRXcNBvNXXnb86GOuo1JTo1uMxk7Q9WoNpS3qi2hSiSTj - ik4wCNAqy/CBh+avf06j2rBmVQYcqKwhSMopRGlBEQAbawvPD5MgdCWEKjNOktJ9ZuvMDI5EK4EO - XHyA6l7X5jl2OUf4TbtxEtk6dNmYE1VVparOuWbU/ciP/OjffOjvAHjvc0pnZf51XbdtG0KIMYqI - iHj/FDfWGHOOlAEjcs7le17GqjjXK2VOT9u2dV2X+6Ww6rrOhgIxxhhjjDHGGGOMMeetk119pITg - Q8ypbWLVq2+77bbf+K3fvPVzt33u9tu6JNOWKp1ptVIgSQZARCBWVZWnvhluerkXNnRvcMDxleWP - fPSjH/noRwEw4JgBQGT3rt379u7dt2fvNVde9bM/93YOPneRc97unQfrXm+tGX3Xd7/xoUceefjR - Qyurq6vNSIGKkWVD13FHVFdh1DbELktuupaIRGQ4GrJzkwjz84ICzEgCZCGCKhiIABO+cO8X777v - 3v/3z/88aenihN07l5aWlvbv37t7adfPv/3tl+y/yCup6upo6HvVQ48++j9/53d84rOfK83ZK2ur - wfmUz05jlnkiCPDEHfLrX//6EIKoytNQRBhjjDHGGGOMMcYYY4wxxhhjjDHmKRNjDCGUqyCdczHG - 2SvpyqhiJdX7jBeRE+6//4Ef+IFbfuv//s2qqto2zs/Pd1335FfeGGOMMcYYY4wxxhhjjDHGGGPM - WUfbfeAGYyYIIIhCAVWSDHLKpA7KBHIKZSaIAkDKTAB6g/l2teu5pYrnvvbGb756/1V/9P7fUM2j - es1XiIxOwYDP8MLBcdIsT9tPhgAVoWmeLAPTYNlxPJCb5M+Oo1cBIihBpmGsMxGrOkmkLUEb49uN - SXAnYIjM/hdNhorZmLM5XQcuL5o+XhK7FVRCsqeBRnTaud1F0zQ7FnYsrx4PAy9e25h3LwyaQ/Hy - 6qrvf8MP7W0v7rWLKWoIoUkte9aSL04CiIJAIGFSBpi1PF42kyhNx8+3UTUuUETrIc7bPbz7qc0p - UXAiysRRVaBzwdeiWG6wInf/5M9fPpSKkpsr6SoaRHHvwfYj/5DvvLNqY43KZS8CTYiih9bWcPFF - e1/+isWveS1uvgkH9mAhYODgCCmiClBB06JyCCzIqHybW8gIPoMTOKI5igFj72666XlLr3rlRS99 - 2c6rnx3nFlaVGnXB9ZgDk1MgAQJoybyh6V1lHWf20HjTTad1ojl4x87HjNRppQiqYaVd/afP4J9v - RyM+az3opWNHLl5eu++nfg5HV7G8ypoB4R4rRDWfGOlWzMYM60zcGAFgKBzAEMKkDCUAUElQRl3L - RftHdYVeoF4FJTzrUt6zO4EAYWadJJbrScpc1RI5BwXUqWfqAby8OvrCF5Azjh6bE+yu670EjFaR - OmiGSgWEDRto2+fiiCLFCHHIAGf0nVQeij/8yw90oZ8Yo9Ri4HHFZUPlzF5O5y1Tia2KooAXuMPH - 8MX7wdAsPlS9LAeyYLgGygA8u+nX4AKhQAYSOG+YUCYAJavJrU9niDZNxhijqqTKiqzQQMc07v+6 - Vz+yZ9coeCJyjpxjAmWQiMiZHh6SeFJf7pUCSBWOwQxNcESLUNx9Nz7+ibmkFLOmXLNnwBEzc4xx - dm7j4xZlevKhhcYY88whpGAdH6WTAsqkcJQb6ZrFuerG5+KivaO5fnIhQU+7Xk+3OP5XgrAIi4Kh - 66eQvSSDhx/Dp2/dCQ05aRYSZRBSxvictDx/+59HPV2yimYJgjkO+OhH9zQjl1KaxNmOT3VJGHJm - e8aUNRCxq2KKKWb0/WFH9XXX+Zue95gSqopVGOSYmEFsn5u5oPGmbGQlERZxqgRVBUkmzp7WnKv3 - 7Drd8nbz00sdSQeEhXn0e9E5ChXAIkJn0rC5ocZPqTRnsKoSETMgiRXM3AHRud03XneYdQR1XCkg - CjhErFeyTeLMZ1d4i1KCweXNZaiSgNURatXle79Up9xTgmTVXGbIWVmFZT25XAhKfGatKU+pEnY+ - TiuvvLDLSkRnLbdVQdm5wzntvOaKBELZQjpbccakYKiSKOkJTVbGANhcz19OsZu2/Zl3vP29f/l+ - AMyckky+WLJpOtGp6xXbtgUwLaPKJfrlQWOeSco3PMbIzCXy2XK7tyNmzjmntB73EkI4h+tjjDHG - GGOMMcYYY8wTMb48aVKHXxrRmqYBUNe1iHzyM595zx/90ac+e2uTJE56I+dyMZZzxAyiEEKp5yQi - aIZmkLgwaVPQTdMTWbGT9U+emc/6/IjKypRO1G3KArDz7LyCokgUicAjRx677fN3fOgjH/6Lv3qv - 4xBCHUJg5zZfF0Aq4+u1zi8bPqnpOg+HQ+/9+z/0oU/fdtuDhw+tNKMEKKMVgIi9y+OodepUh20H - 4iRZgRACM5dN/HREKW/+OE/5rZDJRRmiYOequsfOJwVASbVTAZjYC+jQsWP3HLzv7z76j3/x3vcm - yTGnUdfmnJ1zzPz617/+U5/9XFUHBcBU93tSLkegTetwkjW5wK5FOFMn2Uyl4aPtogP+1bf9y9i2 - lQ+pi1s81RhjjDHGGGOMMcYYY4wxxhhjjDHbRAihpGiX6+m896PRSFVFpNzWdV0uCvbel84Dj++E - MQ0ZzPSRj3zsp3/6p+u6VtWuS48/E2OMMcYYY4wxxhhjjDHGGGOMMefOdKD7Mxrx3pjzhDjNXhMp - AC/wmXye5BqQgoRZmSDCWbkV18IjSe5z1e/6C8N9z9v5FW/95p+8cc9XDtolFx1lBO8817FFamPl - mJ7SXGcdZ7GVCSg9MUQ5KYuSTHK7QRCC8Mz4KrOBEaRwmV1mJ+wy++zLxNlDK0Ul8Ao/vlWv6gW8 - xUQgiEOaTmW5tCkEYTKGDLymIN108jqeGInHwbnjDNqtR70/JSGp+tXqcKVfz7lc64gH6Fej+WuX - bnrzN7x1V3tgl7tozi12qUNNYFVVmqSVA0zKpJ7UAeVrAFIliJLoeJWs9LtA6cYoRt3uwd1PQwQY - wSlYnc/I3XAFOaJNv/TK1+yPmGsjJOfYQjpowvFjR/7mQ2sP3LNIuU+0lkYdeYR+W/dl9969X/3V - 81/x5bj2auzZleYGbe3hHbxDFYYcIiEN+sNBf1nyMYfV+cExyqPFwRHCquOGGIuLWlVwHv25cQ7H - /ovCi1+49NKXLF137ahfLztagYxU4Fxd+RDY0YlbZzqqUinIMk0GMjpxrCVVggMTIJk4Y8DwR4eP - ffYOPPgQUovR8T7LXOhdlOjzv/zrSIwuIkWFZAfUrkt5PXt7mgWipfCRjY9OQ+R5HCI0XVcGCD32 - YA91z/muNy47OTpaViZ4j35/99VXjVJXkYdoitkzyXrMz+zbmUkqUhBBVIm0D+rFvPrFL6HpEMXX - VVw77pvh3b/521hdgwhIJmsh64k427zw9IQQAojBYdSNOiRhRm/wtr/+4GEldb6u6ygJjvfc8NwV - oXyax8qOWCQHzxKlr7k5eB+GjQNDOa01vZXVO3/9XWgb0gTiLXMBn+kY40MgbCq+GOX7NTPE2Gze - /ePebvPvpjHmqUWkWVUEDPT64Tgivuzm/k03rZIm7TQnx+ych5LoGQ5lOInZJoBLqh8rVAFyDkEF - miMP1y4jd+d/fx9E+qHKTccKryQpkW4RfUSAE7jzcKRNY4w510iZp+dSBHiNiCMXsHfPlS/9qvu6 - EQ/65Vqp08LjLHCmSYUdAaCS+TomBCEGUInsauLxv/kIfEUxBiJSaEyBw1aHpgLIeZjGel6JMQZ2 - NTmIHvzoP+4nl9qofsNWW8+OPf0ddhmNG6oMeECDO0jx+te9VrkO80tRISJV8DFGMInapXHmQrf+ - c8O4rknGhaEQKYBMSN6PWHo7d+TTruHY8NMuM8+MxNh7yQEEjg6Zx40KZ9yQSZOZT98FHANwRJpF - RZh9dq4NvHTDs1dr16mWXYEQ4JDWZ8Prc5jcObH+rTyVmYmViIiEoKqqyirHvngvRtHn7FRKnUjZ - vE4x3p2RKCE/tU1SZ4jGNZbKCoGiCpkgk1KYtqriOV0CUeeayuHKK7qYQwgiwrw+V5q2ohnzhIUQ - ui69852/+Pu//0flEZGzGTlMRN77nHM55gwhpJTquj5b8zfmfKCqZS9cSmZVLWNVqNlWADCzc857 - XxJuuq6zjmLGGGOMMcYYY4wxZpuqerUSZZXSWtcJBGCmkpDtQ3DeK5BzLu1NMcZSS1b+DCEQKMen - vD9AWRNiVmhZGSJyk6F+U04ppwxVEIhBrAAxO+fm5uczdDgatSmWQYS3LwVcFUoU9+RPr0AWuOA6 - 1TZlOOdDJaWjJbOgtLVSjDFLdt7Xvd45fhtbIrjgQ12BkCWP2ibmpIAwCZCBCImSElSgXc4lpHzU - tUQUQoDjfr+/vLp66LHDCiTJILD3bdPknPv9/rl+execm55347XXPZuISqr6uV4dY4wxxhhjjDHG - GGOMMcYYY4wxxjwp5eo559zq6ioR9ft9ImLmcplk27YAmDmlVC6ZPC0+BChipwDe854//q3ffHdV - 9c5gfBtjjDHGGGOMMcYYY4wxxhhjjDFPm82jsOr2Dy41FyBWeE1OxSmROEXICJmRGZlVWJXHgdGs - AEVCXls91qsDUuKoA8yF0fxed9V3v+6W173kO/fyVW44V8lCt5acc/OLveOr7VP+w9ATU2YmWQ8C - SiAZT5OkaSHkSXyDjIO1p5GsjJnEVyjzKfNAy4KU1idM5oL1AOwxmk564rRxqevPPyE+U7eKsXhc - nbZUQSDNsO3p/KBZvNhd+cave9OVC9ftdvvbldQ0zWDHXEQadW0IYZJ5McntFgf1LI4UUB5vSRIh - 1UnUiLmQEdF0xPJt7amN/iWFE3hFhii032fkFil9+2te268C+j2J2dV9iODBB499+MNzw7Vd870m - th260O8dQz4+Pzf/ZS/svexVeNYl2DmviKlZo9QGddDQ5PoRCs2BS+4L1RdD/cjupfsWFw4u7bx7 - fnDPXO/wrl2PDuaPhH63sPvR422keWhPIoMqVH2wAwG7d+LmG3d//atx2d5u58JKoCFEVBGFIpBL - iSazk5IIS3RIjOgkOinFgVM4BYAoopI8UaDACKJBc+hzXed08O/+Gg/fDd9Rj7E2oibvHcrHf+Kn - MIwQIeTVPGwB7Tl1ACMTMsrWmynTSaY7AC17KhrvEnzJyYYoSSpFZ4astqgq+Aq7Fwb7dgpxalqQ - 0iUXze1YJCobYvMRHo9zkAkKEIEmRX8k7SBgrsD95SHuPgjHyeUuyKCmi5ZbrERIBiGPN1qGZuAZ - ko28NhqqMOB7dc+BWQH2GNQP10zOcyeBHBS44gosLZVMvieOiAggeACBaLhypLv/ILIgoT+/Y5GD - W14u3wgZbe/x1M4AAQ7wYA94MAMMnk6Y5nZjU3r3E7t9/GnGpgeMMc90rGCCekoIkqjitGP+qq97 - rc7PM1RVKYlX5+AIOM2yf4wUQeGUMyMTUCL+GJJURBmsiqDYF/PKHXc+duttFbhiR0lIkWMSkeD8 - +txKKq2W0vKZsQc2xpizxgm7SWy3EikgiOxp2LTwoX7lV8b9uzr3ZGM+ZzNrZx+GUkl19aJLUR79 - 7O14+JAXeHaemEQ9GIASKZHQ5nozc1KOmBReCV96YO2+g3Nd5wGQ21CFV3ayCjpl1efW83fIIiLJ - gXs11rQdPPcqvORFKxne1TElcZpVVLOqPAMqjIx5MqgcQet6WZoJmTUhCcERCyGSJk+RqV6cP7PA - 6dlmg1J/BceXXXkVqiqBUlYixhk2YW4ofoUgKDVAriRWMjOJqqqAUvC44lm8ZwlVJSIEYkZSKEFO - UoYrTtLgoYpS70zQSeR5ED163wMYdj4mpyAiBjHIKZyMW1kAyGSDnIfp3Qxa3ye6AHZn9xyF4KJi - /qJ92LkjA468qo7jzzfujIVU6DzcQuacUsZWRwUppXe9613vfvfvlhT4EGqAH/fSd5qZtmoAnVms - akpptpGptDmd6dsw5nxUdprltmSllMfJbCsxRufcaDRKKTEzgKqqyvAixhhjjDHGGGOMMcZsC0qi - kxaiGKOIuFARu1Itr0AUVdWcNcackhA5Zg9wzgpwCHVwngDJOcXIIM+ONjYK0IltBDNO2Sy2VcsC - A5y6nKNoBpSdC95XAKck7AKxV3C5FEtBohAVAaJIzDnn3KWoTL1er67ryRY4k8uxzq3SZOK9z6pE - nAEBYkoggKlLWQF2LktuY1e2oBIUCiaFguBDyCm1TYOnrf1li49/1vrnS8w5pViy1ZnBRI59FUQF - RD4EYi516yBWQlLRUrvuXNd1TdOIyNzc3LAZgSEicCQpkvc+hNFoNNv+9YS+peaMlNG3HdMb3vAG - B3JEkqMP1tHLGGOMMcYYY4wxxhhjjDHGGGOM2cZSSiGEtm2JaH5+HpMrggGU9O4YY13XROScO8V8 - TtZDI8XIkxc6h5/6qXd88IMfpE2DvBtjjDHGGGOMMcYYY4wxxhhjjDHGnF0EcZpDVifeSU1SQ4MQ - J07JdZ1vI6fMogRSeIEX2VH7Cl2XVpTbJjf1YK5d8/146cue/W1vfM3/8fy9L8+P+N39parGw6vN - YC/JUzniAus4ZHpzevd6gDeEVaZDw2fiRH48MY8nh+SQnGQuU8qcsuuy60CJkBhCkMltIiRWIR0/ - Mp3KUCeZSvY5hCahlgookzAJO/FOvM/e58plrxhHiWfakAI+TsXekAt+JkFFohCWkY527VnCmr9h - z/N/5Nt/4hK9Mh1FTnB9btEliaPRaHFxvusaUjhhJ8TKrMTqWR0pT8P3lERIymqrBSdd2J5JHZz8 - 4z9lK6XkWc+7penfmwgABA+WFjFi1NzzC7+4N0ZWaGrYA13CA4/e//F/3Lm66pJ2q6hrLCtWc9xx - 1TXzN1yPPfvhAzQpk5JkSMs+hSpSWHNhtVff9Ja37KoDADhC6lD3kBMcQxVJkIGYH/7Pv9oqXBN7 - QpXmoJGo+gPewQAAIABJREFUrbyCFNkj8I6XvxJ33/no3XePjhwLXaoETGDnNG1I4yglVKZJxI7y - 5kAcBxAINI7yETARqSSWtBSqgx//xLMWFjAfEeYrz/3V4VVLc7f9xq/d8L3/G8JCz/WTxh6F6aaV - jeXfeGNTWcbMJh+XlcKzwUKAU+V+Pyucd9e86c33/Pw7LiP2wUdomJuvL3vW6pHPzHmmlHMWOBqP - TrWeVkKkWuY8+RtahvhiIUVPsPyFOxavu8arrrVrFanrmt/5pm/6nn/4G53uoMp+ieQMivLzjQC9 - /gBASsn7SRgqAb3w4h/7sQd/8t8NwEpEGjHoL1155epnjgdhVoCENm4PIbiZ7874QcnErs2JnZec - Q+LDd9918bMuQ3DI5LLsCvUnf+U3XvAD38f9gfL4U3HTQwGa/jY3fC0VvPnXqZPY9/IHgPUP6Lwt - 4mYOesZZ8tM/T/2SJ3JrjDGnws4REaUuopFQ9e9biVe/9KVy6Xti18nyEa9CEGgWQijnMxjf8tbR - rZPd42zJBmGQ6qQMV4ApQ0Sk8hUk1o6bUbOn1//C//OXX/GcG2lp4SgJB3YZWbNEgKcd+gXjowjL - pTPGmA3KwTlUSMc52gKQKoHr3vyRleO7rrrswCu+8sh/fd88V4J25qB9/bRo8icUBGg52ieF4nHT - vpUxHhDaCXrocPTI6m2fX7j4QOpG6nwgl7OoYwELMxSEBBWMz0DP+vY4rwmBdXy+ExmllpZ1w8G/ - Tp4GZe9dXlubTzh8+61+uJqarhe8ZIHyeBjucsZEIGFM4m5PPHvaeGoxex6XBM6D1bU5VlytsF7x - mldhx1zqL6y1nULqXtWNVubm+m2Kznukp2zTGLM9MCE7RSIAIgQhEiUCyEGzZk1CPjmH3uD0q4xy - KYDHJYKCoMlh2HN02cUaeqKM3HliIhI9k4HoWTcWuiQASBFTqjw75zRpqfuLRNh/EXbvxUMrabRW - EcNRjsm5sscBJiHcmJTk4zrVTURzybnmmRMFVkmHH8Fo1cUdqD0zEatqVlGgNEKJgpUYylueepxz - SoASkbAKHErOOIDpvlUI4BNPnSaldHn+evlM43pLmlSdgokaSQuXX44qIFQ5Z+84IY6rUJXHNXiT - /alY846ZtZ7mLrMZ3r/3u3/wq7/yGwAkI4QQY8TkovqzsljnXFfyGGYeOStzNub8Ub7VzKyqpWHV - vufbUQgBQF3XpQwkopTSNPLHGGOMrje3K5SFeHz2N64mlOkJ6eTsxk5HjDHGGGOMMeacUUJVVaPY - aUqZlGjSNkWlf/y4F72qllRvEEE1xggIEZUqsqzyhDpvzLZm0hmFRxMxkajmvH4tw+z90pFaVQFm - KDGTZiH0B4PR6lqXIoO2dZ2sENpm1Ov3kwozZVEwIStUiVlVs2QAYCIiVVURAFr+VyTFiPI/st6s - vP65nN3bWadY1kRZ1UlXeC3fuSRS1jymOH1iLt/JrAyoKjMrc13Xw2bke73BYLByfAUMZAWRppRU - ytZYX9YpNvFTtDWeYbcbzT5ANO6r8Lqv/wYAzAzxOW3s2GSMMcYYY4wxxhhjjDHGGGOMMcaYbcV7 - r6pVVZXrImOMIQTvPQARYWZmXllZqarqyS5JQcSA/Nu3/dAf/N7vXn/9dbCBwowxxhhjjDHGGGOM - McYYY4wx5vzzDMoqNWaMFONkaBJAQDLNZJgO4M/KBIltcs5VlY85V/3QtO2OwdJotXNux7WL/8OB - r93/kduued/H/0x5tH9p/sjxY75aH4WDNo3ewDpOlhnnywAAT0ID1ntNyKYfXcmpEWK3aRSI8bI2 - /LPhhQqQljmwkmwcVWKcU15uy8J5sqrjWxqHJUw2y4bVKyu9caV4/N6Vx2kKyjMvZJm+063KlhJg - Mdk4MrtBJmOfTkc0XU9ExTivB4E8ZYL60aP55Te89hte+C295cW6W6jquus6H9j3nRCYeTRqa1/p - ZBgb0pLds55zMVnLcVA6zz5mzDZ3BtHdokAGo2RU6+Tn4ABsDAMGg0oCi3jNiMDR5s7/+IsXd+1c - 6uAg3JKr0/0PPPzhj+5HylDxCIom80oIu2+4of/cm9Drg1lTpJTJewBrzh/fsXS413/R974VdR9O - JvnJDJxQmsg0/vra/+vHoUAnf/vjb796bnGQVriNVa/Wrs2ZfH8nug7XXL/vogPHPv3P8b4v5ZTn - Qt12rQcD4qqQu0gAe26iuECqIIVTOOGZeA9QKUNVlQDKQjkTsyIQB0HodEeiR/+/j+37yq/Avj7S - as/3R8NlrwokKHlwD4wEuASCwANwJXeZpu9vHM/Ns+M6T4rFyRhf5QMReM2pSVQ5x5hbPNJb3JeX - AwdoRl3h2huX77wnpNXAUIcU1TFYeDJmlGKyD6Bp0S0I49HJVCkDWdoV3PcArrpqR1V17ajqL736 - q18OSeXDb5PW3hMguWUmkN/Wo1FP9wvelxQ9zgT1cGDKYXluoS9rOwiZs4dz11yTv/CFmFYcxJFT - zQwQIwrUQWk9kUgnIa9Qdd51uSPShV7PNe38ygq+eBeecyU4oMOgpd2HlzFM6LcNssIBNFCHCHiA - 0Cm8g0Oe7qgUTsDTXMExkghhwJdfrUy+Xoyyt9P179v5ZNMKnfjAlsOfPR0rYszZRwTmbVxgPrMw - JVJC54aoJSsnCXlubm11dOUb3nDbL/zC3iF2Z0A7BkYK72rkKCyJGIBXuGmZXI5WFErIBABOypFD - +f9ISE4VmOwgsvpyTpQSQVVyDcwPm97ffwwf/fjg1V91ZL5qYhNC4E58VbdCCmKoApkZEIdMCiWa - TSAzxpgLFiuDJJM4VS8CcMNJwCG6QTVYa1a4Xx/P8ZLXf/2n/vwfNY66rh1UiB2CC5qFyCXNPK44 - I4UXlPq1yFzSuzfVFpUzx/HRtQBwwq7kv0IEMvDpro/8/fO/8iUNaW/H4rGjw8HijlbaxJzBrNKT - yMiZ+EIryYWgYFLUSTJj5JEYXuAFQcACkCjQucnJi3KK2ON6/dXDn33/ey93VAFtTH0fckni5Ek6 - rILhxvWSJKXatyy0nJrN1DFiUqEJEODRJtQaM7CKWvZdtPSyVx2aGywTEvlaFKNUhyrGyI41C23n - k19jnqTSyOFFAGRHJVUayqFyo9GahCwpeedzzt71UPVON0lZAQ6IHRECM8FpTF0K8kCFq19w82pX - cehXvpPUqnDwPuXTGwufN5Tnsp63llE5D0VWEBMDniDK4PlLbv4Xj/3z53ZXrJFyR5XrSe6YBAQg - K3G553RatsjmmG0CxvWZ02H8FZWk3drh058MV13heAAFIJE7coAojyt1COoBcqqAKJ1HrRcKbnPy - 3mlMFQOrR4mFuaQIjAvecnKkgNNSLSlKkHHqthCgvF4+u+xoXC2aFAAJsTTs5q+9vvGD6Fg1i9eU - VYih7MQ5BasqSYYAMq43Nc9Um7//pziIIiGQqiTJg8Hc8WMrCws7uiR/+Id/+NM//bPTZ5XcbhBk - 88HeCYva8JcAmKYjOOdEpNwvwbflSVb5Yy4ENOlkRNbbaNsqhVW5LWHexhhjMNPKzxBVCFGGy4QS - +SYAIFy6yinAMmnBtyNAY4wxxhhjjDlnYpd8CAKI5Jw0eBdTJipNV3mLzsGTRxSToOgnXs1JJ7l/ - Uic2Q4ie6rU6M+dyFZoqnHPD4dAxe+8k5S1eNe5i8UTW59zr9/vHVlcq50c5YZxTDhCNo6+LadT6 - 5j83/s80Sf3s3262xTM3t2Gd+DFseF8zr1WFd4BSSpmdE1Efqpik6dK4Kcoz8szaPPH24qdumzyT - bmdbG0mmLYKOiRWv/epX7dq55MhJ1l5VD4drLpzBJVrGGGOMMcYYY4wxxhhjjDHGGGOMOV/MXgg5 - ezFdSqmqqpxzCEFVy4XDIYRyGXJd123blpfEGDf0C5n5g4imvXpiEgBNG7/ru//XP/3TP77k0gMp - pbqum2bovXekIjJemXHvhcngzsB5NZ6AMcYYY4wxxhhjjDHGGGOMMcY8g4mAmcul/ZOAQbUhds12 - pODxaJkQRWSwkJAKdJqczTzpliDqAOeYocgq5LSNkQiSu5rRg2+Xu52DXV/zvG+6dOnyv/3kB+9+ - 6Au7BoMVfyT5hpk0aYqoKzjPsRHvwUykkKQKMLMjVlUWIoUSY9IXokS8yCS2oKyVYjz+Q2awymx4 - Nk0zHU7InKYSFSSg2QEkpOTOrN9iwy22Cg7fgDaEkc+uw8R6Mvdk+FEBxkEMQgLC5kFfaPI+Saep - poLJkKcMZIKWtIbSe4RIFQqhEr4jcAzp4MX3ZGGedn/VC1/zypu+YSEuydB57uWcmVkkK4FEAwdS - pxlAVpLZOHDlaY8UARzUlS9HeTf8eEP0m2cqIso5qxIIOW8xotH2crqDAsvM7YxSEGz8QSuQUQYg - Tlhew7Hhnb/0Xy6B7w0baEY3Yufk4AOP3fr5xS7rWlM7UMBQ0fTmLn3JV/WfdyMW5451o0ayVgEL - 8yuSDy8MDu/eeeW/veVFP/SDWJzPtUOvRl2jqlEFVAFhdqpR1ahr9GsM+pjrY77/8rf/5KU//NZd - P/jWI7t23tXGQ4n83I5u1KE3aNZaLO3eedPzB1ddhaVda1kJ3vmQga6LzjsQJEmvopSUFASQEgDS - LUawmnlEQKLIgX3I6CXpDePKpz+Do48BkRB7mvY7f+t//E9Yi74VjMrmVYXqZNCtkm80LfhAKAU6 - TcdYWr+HjQ8oeecdwwHkX/i2H35MJDqfQWgSgr/4uc8dAtxzMYIYPEl33jxQ1bTHHivcZG+hggq0 - cvB+dBFJKiJt17wDRJFT1zTBuwxkQFWw/Q8Zy1aV8fZZ//mMVBDcc2655VjlHxuNXN3DoIe63nnZ - Za3jTFzG/hIADObxwGeb97IlCopL7npODOHVteHBe4HcHTsEx1Wvv9TJbb/8y4ixDxHpfEkb4vFv - 0Du0cTQzS6bpis6O4QUAEIyzYoDpbnXDc7cr2uIXYYwxZ4x03HldSZSg8AAr0dAHfMUL0/XXtIO5 - xEgZ7DHXHwy7dtM8WAl542GX0OYdgQCZNmX4EYRmjr4GKV26Nnzkv/03DFd0bbWuqi4LOd/FiFL+ - qZCWtbUzFmOM2URZSIVk9jwuJ+SkzoWG+Hi/j0svveoVr3gwx8TIgtAPwxzHcaiTrLJyeD9Oen68 - I8/ZZZVynsazgmvbRz/xSTz62CJ0deXoYGGu6UaAh7KSlLF0J8+nCy29G7MBSCXsdr2ucPy/RXkk - 5xzaiAceCfceTMdWmDEIPqbI0+224ZNi3fjZbfocT9zauUMvAIDU9b2Sb/zWb9WlXcfZtR5KUlZM - CUoMpXLubMwFS8BC5VcwqUQBAyxZSaGqxOoYRCTEoPC4BekGBGJAQHBELglySg5IDL7sAHYsoO47 - OGRhKBGm+bhPEm+q+QTAKpmwBnfJ82/OdTXKORN78sgI8JhcBjspuCa1LlvVJa6/P12fAHjRuWY4 - uv9+lzUnkSQiEkk6jZMVK1V2RMpKpzMO+9NFiZSgyFlaQFkixk3L641ZkwJ/tlw+xV6PAQIYJELo - JDVMe699TlvVnajzBExPohhgUmYVspA8s5WqCqNmra7rruv6/bmm6f7qr9737//9fzhb81dV7z2A - 0jYJwHvfdd3Zmr8xxhhjjDm31uuXxnfWzzgU0HLGs6kvjTHGGGOMMcaYc4IU3nuIMnMdKu99ShkA - E2G7T0CWrECMsQrBuf+fvTsPkuy47wP//f0y31FHH3PgGBADEgeJgzd4grRAUqKom4d5SCIpUdKG - ZAoM8xAP2ZJliZLCBknRjN31yuHwhrXe3T8UdjjskMWVRO+KG6IOW1zxBA+QBEncADGYnu6u673M - /P32j3xVXXMB6CGBmZ75feJFTU91ddW7Kt/LfPny6zTJedBpIaXUjXfMzIzCeQBQPfsr/AmdQIAk - eO9FJKUUUlQlZiZy8xt6u2uvRERnf4bP8yljgiYV0Te94Y3ee+dcjHE2m5VldTa/M8YYY4wxxhhj - jDHGGGOMMcYYY4x5fIhIWZaz2cw5l1JqmiYPYpBzu+u6zrnd3vsQwnLg9wlU5+MOcHcHStvG7dHo - llveMZ00ZVFPJhNm772X0w5yazfLG2OMMcYYY4wxxhhjjDHGGGOMMeZMaJf3AkCAyCrcPcWsvDNQ - ibKCAVZiJQZYCEqqpIzoVArBSjHws5o2q2c/6cU//+O//GMvfsN6vGjQrJRNRRMutRj2CxBilKJG - VMSoUdQ5KspuiIa2TYlEGIkk0XE5bixgWSQ15HyBbtrJetNuolMO+LmIB1IBZP6Ynzn142Ncg8tv - /ogWM7wz5b89+eO6OFHayT/SpZ/RhVYs9xgRInLOxxYeVHoXZqhd3YtrK+mSN7ziZ3/kea+jjYrH - 1bBYk5CAnF4h89wQ4VOH6cjStBOby8qsYrnd5rxxJr2vCPCAz4HdDPXI2cHQ+ag/ylBOQAQaCDRh - Inf+7r84MGtoNmJJUKCocc+DW5/+Yv+BDQqzij1HTKZoB/v2/b2bcegieA3teH3fGoUUqbwn6p0X - H7zon/7jp/2TD2BYgCM4Ob/Lr6IX9KbYF3BocNWv/eo1/+S35ElXjsezsizRTOsD6xgL+gf8i24a - PudZY0rsNMRQujICUYUZScGCQuEFTgDoIqdtJzxsKYYnp1znUCKRpCoFO7Tx6N334+57ERJCosnM - b0yf1Piv/vZHcWwKFaQAUJoX6V3fOQFEdjumc9u0DJIUZ9MpSkLBm5XfLl1R91EUWOnjikNjRZvA - jJIJXS6pCO9MSkul4TxAiBeT6MYD9+DIAyAHuDbFCvjrD38Es1T5Os9viMG5Qpqwu7k/xxDgFAwk - oAUSAQSn8IqeeCijrqarK+X6vlkbEAI08pWH07AXkQdoggJQOIJTuNMEuZGknqJKSlFKOIc0PnoU - 37qr7A0Rpu32w17iMERME0bNMNVFi5ggpJAIAsXUL6oEpyiAAuqgTJrPKySRJHQb1IEZLsEl8vAe - jrE8eJdiOSbWGGMucITEEFIP9QCcoI5JSXStetbP/fTRooquEIIK2umkch4AK7zAC1hJgUSc6Lj6 - z2kqIY/OqVQh3PWZv4u3ff5iikUrUCeuFHZOxWvwIi7n0pF0xfqFF/VqjDGnRMroop9zY19XLKvn - JiXHBZRVndT9tVe97NjBVVrpNRGzEJx3SpSQvC9TEgCgpByFWiACZ5K+owQwfBsuOnKs+eQnnUSq - Ci40SXTCXlCl4DVE4kiOAS96AZ6iC6HxiAyv0ovi58GrwgjMgVkpB7IyK6ra1Ujh//3L/oOba8wg - JJXjAnsXGx6QrplSheWEzGAn7ISdEAuTctdgKvC5RVF5RJ6fcx1+4KVT4gJciLp5Q2EiSrSrCGJj - zmeJc8s+QZkULKAkBbwTcsIODgkUI0R2cU0CXQukSA5kRmIJEMdolNauvBpV1XJShJRU4Zxz0p0Y - P14SYbtQfurV1f6DARw1giIjkaY8twDml5jO5P29YNDKxje+hZQ8kFcnHGsXhw4W+NQFpSeSyDvX - ls4RzF6FlCmpQJRFNY+nD6CL4D6Vpa2Wj9yUmyWBxaUdAIkQCkcrw8FVVyZiOAaQUpjfriyAKIkQ - 9Ew3gdmT5pf0HrU6vLm9tbq2bzZrADDz3/zN37znPe9p2/jIf/XYFUURY8w7ZEoJQAghh3kbY4wx - xpjzAJ3Y701cV1nRrvPMgl2pMcYYY4wxxpizhJQXdx+pqohIjKFpVZUBT4SkJIo9O5EoAQUTAySa - RwfOgwLvdSGEqigFGkUgCG2EgglnfZ0/sdsXzFCAHRGhqirvvUgMsUGKKgIAMUETVFgTSdzT+/O5 - OGnamUShClVV1FW5b33l5ptv9swiCaTOsdqthsYYY4wxxhhjjDHGGGOMMcYYY8x5KqVU13VKyTlX - VRUtbpYnyjHe3vsYY1EUj9B1Z5HYvfhZVds2fulLt7/jHe8IIfR6PWaOMRJR4auT75XuegsZY4wx - xhhjjDHGGGOMMcYYY4wxxjz+hHLe5RJlKEsLJ65CLRP1bfXCG178Kz/3/pc+5aWXpctWJiv9sKJT - TpHhaJrg6gIlq6MEnYU4bQMc/BCzUkalzAppCgkOkbuIT1LOaaGLqRvec293mWBWz1KyehIP9VC/ - EwNLEEJkBIfGo/FoHSLngCJm9T6xF86vcozQpnYc96+sl9SbbqeqV8e2OLzvhl/6yV+5/vIbwyb2 - 9Q468RKiZ+Yc60ACikIRlISDUDpxyxrziHJ3KVUFsKdDmXY7OnDON2FoFzwjQFhkSy+GJJ7/SiGE - gOnof3n591/ShH2Sep4lNYgJG9sPfPpzva0xh82VajUIGip5ZX3fi27CZZdjMBT2sxBmsZ3W1T2Q - y3/j15/xgQ9gMExlrb0h6r6mBinuLnqHFBVioW1RaV1huHrp29/+v//lpzY9Jioya1DX8AV6Na44 - fMnznjsri00I+j3vfEgKcgTEqKUjng+3fEL3NQHL0pM7EdegCFUIMziENeeOfOPbuOdexLY3HA7U - D0bxSuWP3vwytA1S7nLHfGbh6gvKZdkD2Dtf93twQIln/er7jjo/ijE2LTSgV198zVNHAiVIVAC5 - CM6bMW9SOWkxMR+E2hNRK1VoRt+8AyJQYgaH5pAoRlNMZw4AtPIOYC7rvT0gdQ5s6+Le5vu7Agoi - xixAccMt7zg6bXtr+2fjbfQr7F8ZHLq4hYDJsWdABFFOHMJ7sZ94AkQ5wSlUEzuuvS9ieuDLX8Vk - grpghJ5HP4Yv/N7vIQDjKTF8AfLUaEgyY08xCsCp2+/yTiRAAhIjLVLYGXDz3KgIRJ7vz3omuYPG - GHM+oxz1J1BHWgAEklJESR9gxdOvv/imm471By0jJpSl15RAQkuVNyFKhFzP6YpZPTnO4THPjqqL - zb4Uv/pHf1Rtj8rZrOAiKJHzBPGSGAIIqZAip5nu5ZNVY4z5HlpUsBhd2xo5BSvDuwAB2FMBdUdT - xA1XX/qKlx4JUvWKSYQrCkUiEGQ5DjWBEiifY5/JWbQI+kpXFv72P/0EtrZ74HbWeO9Z4RReo1MB - WJDDHS/EdisFEiERfIJPxx06E0OoC8MFmFWK0GA2/vonP3WwkWFRRME4SlGWAtC8GTW/Q9fCS12S - 0nKdl4UJRCDq/opy5K4SGBDl1tcPlcXTXv8TWO9PGA7MKqwKEs2He2BpZzPmQiQEkOQvmNBO4ZVz - pgtiTqpJVFWTcBS0zW7PV1XRRT9TJFIwkfMz0CU3PKPt9RsNoCSAEOPxPxdOzLFXonKXPuOZs7pO - jpIE77BoMSVFriCc2fm/EwwFm3ffi9GoJmWIaiIws58nwRHAThVQPfkK1rlBCMIuKaGNPuUdpDty - 8rxyxPPncpmci/j8I5Zaz+ZNW1EhACJj0uutXX01ev0WKHwpIaqqg+ZLbLmpc17O58B3c157DHHd - yy8eDIZN03rvY5DPfvbz73rnu0P4Xn6J8t31+XoSM4vI4kljjDHGGLPXLeop1F1hVwa8ACpQYSwq - NfOqmzUZGWOMMcYYY8zZRgCSOOLSezcf+haAA/k9OzmQA0S6a4cQLZ33xLzHL4uxovRF6T0AN69U - 52U86+v8id2+gIAXwfMimoSJSl9450rKF8yZNb+YaY/vz+f+5IA8NU37Q6/8wfXVtRgjqVZFycwp - pbP91THGGGOMMcYYY4wxxhhjjDHGGGPM916+RziltMjbFpHc9SgnbQPIj498E/Ei8Hvx5/Pn8alP - /c2tt35IhaAMsCrZ/cjGGGOMMcYYY4wxxhhjjDHGGGOMOVsUkC7yIEf1CuaROSICgdOipLJHg54O - +rLaD/vf/LJfeNOLf/ba9eeUo+FA1nvaTy2XRTmdhhhFRJUABnuoRwQSQRiJkeZRAl0a0FLUwiLC - gPd+sCYpaB4eSvM41CxHL+RonsRIjOiQeGmdAKTiRAgIMZUl1tb6o81RM5Ih719Ph77/ma/+2Z94 - x7o+qRfW67Qy25x6kCfqQvcg8625COs5F/MszDlOVZe7PO1Rux6SqfsOzhdc55nA3UhIORhDAIUC - DClTQopvfdNrnUzS1jHMRm5tBY42/9vf1VvjNBvVVCLMxPmtorfykpfgsssUAnVcrawMD27DP3TJ - +lW//WsYVhgMdeac1iReklBZwO169qMyUa9JEVyh38Ow/uW//r/XfvvXty6+eNwfjPt+u0rb21vo - 93Hd04fXXS+rw2PthIgKQFJiQo5rz6E7slQqdSvkpJTreTCP5rA1DbGC9ATxyMboy1/DxjaObiJo - qSi3Nt72o69EmoEEyg5cAqXCK/IBA26ppHxspIlxFnJO6Kydwin61cN1QYNVLkvRCI/y+huaqt8m - LI/QtYj+yYtzyvRuAIBn0X3Oje+9B8c2wK5wvoxxdWN0x7/8fbAjhYdCJMYgSXc7/+cW2vmXwS4f - rbpxzhT9IYYDVL1UDUbjST3sIc1QUn3l4VSVESRExBQJaZ4/BByX8k4KchABFJyjtlOAxCJF2tzC - nXfj2FHPGiZbbrJ9OOGOf/1vUHo4ABIRURXBF62o83lfQwDifI4VUAhBHJQUBCYwKbzAKwSIQEMI - mGfKUp4LY4wxAKAUAXHiSfz8kJ4SIfQGD6te+jM/9c1+HfuDwjnk1M6uhF+uY+TDahcvurssseOx - wiNdyjT+1Kfwqb/aT10MrSR1IgwRUmUFKSlYmb6LzzLGmPOMEgQM5Zwmi/l5uECJfRIFmKmcFmW6 - aPikV//Qw3VN/ZVBUc2mMxFxzk8lclEAXarzTtiOOu0GCn6sSEFCfXLF5jG9767my1/pjUaUIjkP - EoYwlFSddAX9aWpk57NF/XpRPc1thaTIRzeZb4FEcKq98ai97Tbc/51hlDRpum3kHeZtF0sVWwWJ - U2FAqWuCFAKU5yHfS9t2HvsqQOTyIed6z3x68ZIX3N/zujKMMbIKIwKSOH+EhTAZ08l59vm7nK8B - OIU+9E4CAAAgAElEQVRXdsqkTAqGeADTKesuW+cVUBCrSAAl71mCcDW8+NnPHQ/70alzyr5QcJAk - j3PTfyLMChp5rN5000O+1NIxARzy1Q8BlJbi3Hb//gwpBeHhjfaeu3sp+BgoCUQAEsrvyvNX5uZK - Pb4mcvZxvj3YM5gwnhYCp1BVycdlLC0DoDstrrqT/J4vIClIoVCFaG6AJkTmB0kvf9ELE/MMpI5S - iqV3qkpd45sqqXblM0HJ6kdmWQwSg0D529++681vfet4NmVePhlYOqyf0XXZoijyGP3OuRhjvqRU - 5PNJY4wxxhiz9+Xq7eJEkVScCrouhULH1872fPciY4wxxhhjjNlTTu7PDyCPnMvMRJQ7AhJQsePu - MsBenYp5nPCg1x9vbzIpzy94nOTc7NJw4lzN76TTY0c3+s7N+43kXvM46yv8iZ1AwLAuY9PmK58i - SVULdim0UHUAicz7pIoD9vr+fK5Ned0ubxHM7+x585t+qleVmlIuVWazmXO7vsHJGGOMMcYYY4wx - xhhjjDHGGGOMMee+tm2LonDO5extIsq3DKtqmvccyL8lIubT9s8R2bnTJL9Dfr0qVPEHf/B//OEf - /iEzz2azetCfhXjCXy9NxhhjjDHGGGOMMcYYY4wxxhhjjDGPu5wasBzzrARmV1W9ytVxJLNjgaa+ - DoNhu7/euOSmK37sl1/zgdfd9JZ1vaRq+vuKtbDVDktfF84xVMFMvvTC1EZ4QZHgBU7ngZ6M5Low - 7/koD6xgqJ+Hvu1dAoqLiREJkbRLwsnLRjg+o1ZzZI8IRaUIEgJYUdVQxrHppOiVZepf6q568wvf - /vpnvu1J7mn9sJ/HVSW9lXLolFKYQgMo5VRcBS/CdPQsrQWz5yw6SuWeUedBdLc/w79byjDOPyqd - mD3jASeKaXvb//T7Bx8+ulYXEMF4C4SNz362ufe+tZSqiiZN47nYJr7oxufgSZeDOVZFbMMspO2C - 3JMvf+ov/gKcQ7+v5CkPZUMUW5SOU4pud0vAjvqADJ2XlFTV9yr0PCbjS9/97k9/8IOX1tUQtO/A - /nTkiFPG8593wOs9n/u8jzT0dYgzR/AMSV0B9Ni3f1T40ksbo8aiqKah2ef96KGHh1/7Op57I8oy - TUau0KG6z/6LDz/3/e9HUYPng/wQclL4rha1W+CyZCAGkJeq14M0gH/Re9//jd/83bosSlWooDfo - X3qZ3Pl1iCwWiU5aNpnHLC2/vSocnEKkaWd33FmvH0CJGtL3bra5ibZB4eDRNE1dD/Z2bndGogDn - LKTuqbxdGAJRZl9d8573fufW3+mLsrRgh4sv6V10sLn/CMVQshNE58ARmIcFLq9VjZAc0e7gBKKA - aMncUzzwxdsuveQi9FNZVh7UbG73BBiN4SAy415/nELt+o4BgVuOWqf8IfmNT9prFVA41+1ri0Oi - AulMwuKNMea8JCCFEispOBEELJQANEkH+w7AFYd++Afu//d/tFrWs+m455EUQM7nE1JmpW7oTz2h - fsO025BCgCAVORmPDkG++Z8/ftWLb+65kvrlNIb87pIrkCSkjoQJZ3gWYYwx5zVWdNU6BwlC5L0G - CUkL77Qa3Kfh8NOuvPqHXnn7f/wvV7iyCIEg8J4TEGOOEuXFaMCAIN84lXY1E44gbVMCBxS3//Gf - POvZz54VtA1lCICUb9dShUKIusPInm+F2BUGhBWsAmjOMwegxDm9mwksAEEIXuIa0W1/9ol9KZQI - AjgHTwhN67shntPO2iMhZZ5H6naUlypAJx46FUyOjqo+uDp4/uteO1vbN+6VPS6U23ycFUDzB3UH - fba73cwFqythceJo+PlXrCBlT9SCvPdVjO3W1hk0PhBAuSFLVB22IGtXXo1LLxu5IqTWIYG9JCWN - zPy4Fp5KmKXQ1hWe8fTRgfXmodkAiKFr2KOd0uAMZ4IUTFql+OBtXzz87KdPi9IBKg5MCkrHrTvh - eV71uYRVCSBhJcc4dqxO5Aiqqkt5Abuc7VzjElK0TA9Xpb/xOZOyjMyUIhE5x23bkssX2iAAda1e - bE1dF4DFpdPHeiAuy/ruu+9+85vf2rYxJTCxdy6m781xPIQAoKqq8Xi8uKQUY/T+TC/NGGOMMcaY - cw4rSW6jYmiX5K1Lud25jnwWZ9AYY4wxxhhjLjAnX6bMSBFjLLzXmNoYnnr1Ne+85RYBi8jp/mSv - IIWIFOz2799fl5XGJCm50w8BvCfkbbLSH7z9l/5BUknEopqHOVa5oPpjSErRMa+vrzvnmLnyRZtS - COEf/vIt09AKiJihCoBB58H+fK7J17KX1mq3+w16/effeCMpSu9jG5i5G557799jZowxxhhjjDHG - GGOMMcYYY4wxxpgTlGWZUnLOYX6bcM7njjGKCDN772OMuf9Avrn4lBbj2C5+VlXVnY4Jv/mbv3Xo - 0GWvetUPbhzd2Ld/vZlMbegSY4wxxhhjjDHGGGOMMcYYY4wxxpwlS4ndWKTVQlXH4zHD1WW/Xw6D - tCG2AHNy7bQpitWbb/jhG572zP/2lb/4/27/KxY32RyhjM5DkERUYlRGxV0iHHBC2iYUIIJQDu6W - PZ7YPUfHj0pK86VSCHXrgXS+KubjmQqQwxyEunRzAOMt9ArU2nfH+i+99pWvuvEnLsbhXrNv8lCz - f/VAO552g19IHAx6G6PNwpVQgLjbispQnr+9MbuQezoB2NPDq+w+HyJ/YeYBkK7Lv0IAM8FjHv+r - cAlo4oP/6/954KHNFceT0UZ/0EdS3Hlvc8e311hKiW0Ti155LKRLnvUcXHUVANRlE9owGHyn5Gv/ - 0XvRq0CMqg/4pkm+cvlDvS+h5LzbXeCKMkVoIvJwrHA8bdq6qqm3jrJ5wa2/fcdHPxpn0zbMyvW1 - lMiFxl195fqxDXzrAURxIECVkRIcgRQul1b5vanLJTotkXnSuTigdF5ievAb37jk8itQeLc6AEWE - 0XBzipigKcE7YpofbM4EQRKI4ApIzpVjB+/ASdfWvnP/vYfq0vkCLqxd87TtB+5tRyO/VLzuzPjS - ciktonRy5DQJNCAWHuO776oPH8ZlB4gIs9Gw7H3h9z72rPe9B8Ne0RvEGB0xufPhGOaW/0PdgbkV - dVUBCCRO+n0k0TAmdih45corH97Y9iGWp3nDxVqV7tsDApg4H/Mc8YD9aGsav/oNf+NzoRPmskel - jibf/sjHnvKr7+EagAxdPwlc/moSiOB3zieYum+K5AOwgBVwBCJA4bQ7vp42p84YYy5gShAFCIsY - PAVHFlZU4qTw9ztc/baf+ex//+x93/jWoV7VTptUQgk+wSmcCCkXQpjXeuZF/nfBCUW9tKavfekr - 3/mzP9v36tceqaqpI00sQCBEpwB8PqAo8W6zZI0x5jy1nNOcY7BzNc4zk4oQwBSjqHcN0kZd7nvD - a7/2t5/ZvPPBy4o6hQlSWzlqkxJ3LVO8KNNJBGDdZR4oUVItSlfHOPnbv8NtX+2/8AXHVBkqhEgO - QAUhlUheSE6urJ3faKnGLYzAkphJKBGcslNiUScQQnBSpYSv3TH6289c1E7ViSOooFBESQzOMe2Y - 17wYyNuNAVE4gIUBWq775pVNAEhAEGJyxbHC73vFS/CS529QIa43blLhC0gAJJFDl9idWaXKGAA8 - T7UHAIaQzu8gJY4qntWlOH74COvuvjJETlVU1RFEEEk2y+rJL3pxKOqxasEkMcKVYAKESB/vhDQS - CkWJ/esHb3rB5L98fE2hjASQMisdd2hQnEl0tOrA0f2f+9zhV/94vb7WukoVEE6kSt2hjQUOUggA - ka7N8hwiUIWSSnh4o4zJg4SQCACRLl3yoqU9gQTKO6WxnviaXKQH5+urnowrLpuVlXifknjvY2yJ - KK9spfnVJQXArLAi+oJx2oPycnO3CI4d3XjjT/70wxvb3TMqkkSPuxb7XV1EJKLpdNq9EXNKyXK7 - jTHGGGPOJwoInVTb6xK8T+jpcT50ljDGGGOMMcaYPeLUVTBNUvV7McbS+Rfc+Lwbb7yxKIppM+O9 - nnJNBIBBYdasrKw243G+SkndxdmzPHuPKs8hHVeJVgCz6Wx1be29737PYHVFCVEkpUREjs75Rfqe - Kupya+Po+tpanDZNSHVRMhOYfv0f/6M2RjCDOaXEgCMWke6uAPO4EYChqurZTafTwrkYG8fely6l - aE1AxhhjjDHGGGOMMcYYY4wxxhhjzPknx3V3fVecAyAiAIiIiNq27ff7uQ/SI+R2P7KicDGmGPG+ - 973vD/7g31577bXT6RQEgLvRGJbvxFfrn2CMMcYYY4wxxhhjjDHGGGOMMcaYxxt3A7MrL/otCImI - 9vsDR75pmmY8Y0/OlUljcspcDPw+2Q6HXO+1T3/ycw/d9Ldf+6tPf/1Tm82RadosaxIfYwRHOAfE - mpUASI5ChShJDtmURYJ1jt3sZuOsrITvGVmK614eZaWQnRdgnpIu8wmKnEYRc56p4OLBmh51V/Se - 9qqbX/3sy19Qy7pL1WwS9vXXp1ujuihSnHkmdvTwsaPrB/ePpzNBocoAk3afQVDt1q0xF5bvQb8r - UjhAlyMhWQBBGzFN4Vt3HxQtY+yvrOHYFpIe+fRneuNJKUEkekfjGPpXPAnXX4PKwbswHruq3i74 - 2ve9F75EPYjV6hQuAVXlBAJAoewJAsiu518F5AkpQQSQuqpJIYKpEure1e985/0is6oeB2mZG3JY - Ha498wZeWz2Gll1BRCGCPYSgBDpV2g6dqnT2jlIUJTAhhFAWRdPMCmgNOvKFzwOKOJV2xBoO93pf - /PCHEYKHSLdWZefws0vsAIcEiErbzgCn7FD3nnrL24sDB0MUKMFXuORiqWsqCyGQHjcBXSLdKVPi - mJxAk6JgYGsTDzyAJJQiPA9I1ycjhIikJOK9Pz9yuxcbfR7Sp1CBoqh4FgHHWF/5j5/65MPTKRU9 - oEBSXH5ZO6jFe+R+j8tdH9Ed3nSenM3OEVFMEBGoqoimJLNmX1kdveMufOtO5OCY0PYlHpiOb7/1 - w0iKJvoQSgZ4nv6tgAhEMB8VPAcUKVjAyHmuCrCAIzRQCtQGtAEaQaJ2SDTGmCWJkbriuisdFQyw - I560Yba6Nl4dPvstb7mnLkdM5Lq/WhryclHSL6qRAMCQMxsWM0atC5StHgz4yn/4T+XRh3ujzYpV - 4SOVkX0iSsRKYD31mYkxxlyAhBYNbQKIkCoroAxxjNDMAHVFEVWSwJW97bLAFYef/COv2h4MpqIR - kAhAnZsX60s1Juycdu9mlkQKBwmpbMKTZuHBj/9pL4QqKasCSOQTs1BUyiO++gtqaFdWOO2yZ4U0 - 5Tq4Avm4zADgBARxGgtJq2278X/91/0bm5WEViQGhATPvoLbOdgev4lyAywrWJh2crtVoUoC6nYV - UrAiEj3EmF5y8Oo3vKYZ9oPvsZZKHCUJiRCEVbqxpMlJrlBfQNvLmBPwotFk/tVabg5JxOooqkCS - b5tm4+gpG50e+RMEqgp2ICA4Pjoc4rnPmRSOvSt94YhUFRBVlce5gYMUPV/ORDZK/5SXv2xU+QYo - eiACKwGcr2fsRAXv/vw8qlYqk9u/js0tHxuQIokm0a6qwok4V1VYhOXUjXhnnaqyaNwalzF5dCEB - XYOYdG2PJ1ReNBewtPPKBVIoITGmrli/7jr0ehPHwkxEzNw0DTPP31B0J+170XZmzmO72sQ82p68 - 8Y1vOnZsUxWqKAoHwLF71L987PJ9+JjfhO+ck8e7YDLGGGOMMU8sBQu6niKJeOmE1GofxhhjjDHG - GHMWPEJ/OWZOIcYYObfdJ5lsjzy7fJV/Tz8iJFbUdb29sSEizHweXI8YDofj0WhtbU1jim1wRIVz - njnf43DW1/kT9ahhOlldWWkmU+Zuz1VViEpMpErzDjakIFE+J+b5fH9UJQVE8w4Z21CVpfe+aRq6 - wHLljTHGGGOMMcYYY4wxxhhjjDHGmAuE9z7GqKq5/4aIOOeY2Tmnqs652WwmIpLH2zx9/4Ec9a2q - qrr4b359CN1IrkePbr3zne/a2DhGcBbRbYwxxhhjjDHGGGOMMcYYY4wxxpiziJRZiboR/gGoEshh - GqaT2ViQ3DyX05GXqESOo6dY8LRyo/5Thte++kVvuuW1733FtT96qT6l2BxW414Zc55LDu1eSnAA - SJmUSXdSU4/LXNj7IzrkCF4sIjyUeRGdoJ6VWTwpO2E+Ps8bCpdQtX4wXXHfGb7yulf/0o+++0WH - bx5M99PYo3Er9TDOmroooKGsnFBqNaysDbfHE4WHFvPJs/LeX5HmLNgZ9GaP231/LAJoETEjUIGA - FAmiyEHDIUGAFm348sf+xzWVQqWIimmLot74zOfk2MYKJZLIBDjfkFu58bkYlICAUHhPvrhzPEJZ - oRiiLdCgBjFAQAnxiJw/n3YfdUOgEnBA6dSXCZ4AJLCgxyXIoSqe9c9+Z6Neja5HbaoYEMbKvv6z - nxl8NU2JXKUAlBWL/M6dYa1yHgx3AV3HrVsRJepKeUfQEEoAIgMu4uax6Ve/BA3sCBLrpjkYIyZT - hAQgAEACIpR3W+4roIQECMDEVVlBQSjABXr1hiNhDzDKEimtXX/dNkPYASBmABEgAnvI6VM/RQOx - KlOKWHG8+dWvICqCAslTWguzT3/wgwiJE0Jo0nkQBq3dgwABiEtPkoIckgP67r0f/8/S60MI6gCH - XnnxtU9tVETUoRuzaSER0nzLEiBJkxKIAVYlgBlE0B5R3cajX/wKjmwgRlAEWh/G+0PzlQ9+CNsN - QgNIw2gX6d1JMB92LQnSfGcAIE2LIAiNYKI0AY0RxpiMMZ4iBVBMmp6YNWqMOdni9MJGcDtHCFiI - hJE4CifSpXoac1KJyrPBCn/fi8qXvvCIc0rggAFYA4qCoiIBVBBRrv6IkORQbQCgM+ke7ws3CQCX - 61o86b6HvvO//bt9samaCbiIVIE8U6lwSZCgth8ZY8yCkigJqTBUCYkEJKTKMdbeKUmU4JxzIDcT - oNyo60vf+Pr28KEjTrUEM9oEZgIY6qAMBQlIwRCG0C6rPLmEjoqBqw/M5O5P/TUeeqg3HpVKAJP3 - TZJAgqpoozpXPh7r5JxFgE9wikQIDolACi9wylzVkxRFEpN6D05hpZ3hyNG7/uufH0riIMJQhgc0 - RupWs+Rj96NRBRKJMkAghgAKMLvG87398srX/Riuuny7rghlmTwEzN2BfN6qKzwfFtmYC1uXZQ9Q - 10pGApLEiIqirkezhgtP0J6m0X33Ot1d+anQBLiiigHOY1NSuvpqXHN1650m0Sis3ScSOfc4X0hh - RakU2jgbDqqnXy8HD8YeTadA6j54ueXnDChBgJJkbTzB5z/fL1wTG1KU3tPxL1OAcS6WP6RwDE2x - UG2PbfaVU4ggEfCjbvicz52IJR9+AXZQzW1cPBVqq961L3/ZQyFIUQghqoCk6vVijKSnWO+P4Vhg - 9rYc1UDz4PZF6z0zhxBcWQjBuSKE5H05Go3e8pa33nffA20r3jOAEBK7Isopd5RHCQVn5sWJQW7S - yTfPL35e/HbxgzHGGGOM2evyyZ4QWoF3jgu/FRMWNVGl488h935/CWOMMcYYY4zZO055VYgIIskR - AxARJqrKkkQJytjDj1B1zCoiKRVFkcf3pb1zowud5laFGENR+BgCAE+sSSDKSfkcWOdP4CMcSELM - Az2zQ9Cw6F3siBkKSY7gc3dUwjkwz+f5Y75qmEO7ScV7FpEYo/f+PLjBzBhjjDHGGGOMMcYYY4wx - xhhjjDGn5L333uef853Ci/uI839zt4Gc8I2lu4lzwvfi9cu9C3QBAJGCiOEc3XnnvbfccstkMsm/ - 8VU5C21ODc9dFJ7IBTfGGGOMMcYYY4wx5pxlIQ7GGGOMMeYJQGRnnuYCptw9KgEgzf+KOFWvWqh4 - EU7KEFJV1FIVwYsmcSlVMbqoiaqmfwWueevz/4cP/NgHX3vdTx+K15Tb65xKUYq+jeVMykZ8kzgK - EiDMDGUG5Wk5xnvXkbXnFIWCVb3MJ9VS4QU+qUtKQgz2cF5AAnaucMQOUAUzlVLXo5VLJlfeWN/8 - 63//1h9/+k9d6q7gphQBM3tiCZGdJmqUU5QgLOJoFhOxFziFJ/WkuTuLzFNYbYhU8+hU1TknIiLC - zG3bEi2icfekXUdE5MKHFn84LxkdOKkQiFUUCRqxtX2gCUWYQQUxIhHuvH96/3f2FV5CYsZMsa3x - 0AtfiF6FshRCcrRZFncjft/v/CZKDxE4eA9pF0kbAFQxj84gnEH4TiK0hNCVogJEUMR0BvY6WEVv - +OR3/cOjvZrKEm1CFKji8GUHb7g2VNUkzLxzMYrQqQthPn3RLNRF9Qjl4wcYoqGtBZt33IEHH4Kg - 9CVm06ppPvex/xnTxnWfI1ABYdzEXS/sTtkmXZKYAuRRlnTRwVCU7bQFOwwGuOKwrq02IsQ+iJD3 - BVFSSIBf2k0WMw8A3cBDRFELAcdAocEd34Q6tAEpDkkPQDFpEaXwLiHqni5qtXskAJB86OiGYBJA - 4AmbszZ5Rq8YV72Zr6Ee5AFyhy7u7dvHVQ8gpuO+d0rQLuMNChbCfM9eTGCQNMFp7CeMPv9FbG6h - ZEjT81jTeJnoV/7ZrWhaaqaVtgWCzqaAwDEcpxSVAAcAmpBEBVqUjNCimXHbUDPGePwX//yf33br - R/7uQx9BGzCbVUSn2ceNMeaCowQBJ4KSsEoedM8JAzxrp0VdQN0EfrS68ry3/PTmvtVx1QOxttIv - uZ1p6eEcYkiqO3U4UgXJGYfGhZD63jWxpTYeVr3///lzfO6zh0KiZiaqjriZNKRclrWSzFJjVR1j - jFkgCEMBCIl09TI4Vac7wdtOUApIeVL3m0Hvutf/+NEDK1Pn80m1iEKJlIVI51Xj0w0i/CgYqmCQ - trFow4GQ7vj3/+FATDyZVWWvbZuy9ErIDRCScAZV+D2N5s2v0tX8mZVIMZqMi7pyhU8aJ81o4OVA - E+/5+J/sm7SDJhSacmWmq7qSHncc1K7+leu2Sl0c7M7WI0HOaC8REpwDezdKabsqcd016z/yqsnK - ykOzaeGrNIuOvAqxLraLLM+5MReyE5qO8jdCc+NU4bcm46rfU5VKE9p26+67/KlTck9NCaKp9CVE - AqCOt3156UtuwrAXmecp4Mwq+WrK4114EoCQnCum7FK//5Sb/959BNf3LrcfAUKcGDlK/Aw/gsBt - XJ81G5//AqaTQV2lFFSVFU7ghJzMV7meg0cKAcSBKiVKMnv4WClSMoB8oeu0M5x3GyHR3CAJFqII - xAgGfFFEdmEwTAf34/DlWF8V75mZCCmFlFK+mZl10X5sdaILXdu2KysrbdsSUQjBe7+1tfVLv/j2 - b33rzhgF33Wc9uK6Uf45X0lKKX1v5t4YY4wxxpyrGk3MzMyDumqatD2dDi+9CDGCCSAQL+qk1t/W - GGOMMcYYY84py9f0aemZvft4fut6jXTXf3d66Vwgj4+8ofMLTvlie3z8tgidWVcxY4wxxhhjjDHG - GGOMMcYYY4wxxpyPFrcVL+5WJqIc1+29F5GUUs7tPt075HuTVVUEKSmAz3/+i+973/uLopxN26YJ - vV4vRVXVwWAwGo2emOUyxhhjjDHGGGOMMcYYY4wxxhhjzIWMAVam/Hh8ereSJI5CMbEkFiWZh7Ll - QOjEEII44TJU+8uL5QjvT4de/aKffMcbP/Ajz//Jw72n99uLfVNwYIogIU8oCidOpymKl1a1DRqi - gpzjwnFBOS5oD2MST+qBPLEQhCSRCKs4TRwDYkCrrImlTVETXPSD2Cu3hr2ja9cPn/+mm37+H7z2 - fQfo8CDtd9JnKYk491dRJCHR7j2RiBWciIRYsZynEwmJ0YKijZJqLky7i6PQRcy95lKOu1hhwAMV - MURAiO0Isbnj9/9Vb7TNmuAFEIRw5LYv1+PGizLQRnCP+JJLcNVT0B+2EW2ULcb9B4ZP/Y1fRa9E - 6ULftQ7IocMCKDfgCagFByAyEu8yW1gBhdOc1w0BEgRe4RX9UkQDPIoK6/0r331LW9fgEvUa6iH6 - BT3tynFVUNX3ZZXfTOi4HOtuCB48ypjLupTeTQpWHYLrY2O5/ZsIjFGLolgpi4uaFtsTtKmUAAhE - piFWlT+zEX54aR1C8//p2l/4+WlZ+dW1cTODIzCvHr5ixk4cS/6jrjw90fJSKymrlhG1QlhVwrGv - fgOziKoXU3CFrJf04R/8YcwSZpNHWzfnvG5vZyh4sTAEMOdvksywWpctAEdXvftdR6mYCqMeYDBE - v0frK9sxRGgSAfLWB5A3CkM5RxAp0XxksUUQPDGxIA1cKeNJe/9DevsdmE3hGZLCZFS0k8tIvvRP - fwvHRtge0XRKJUMbSUFJU4EJZhEzh+BJSpKECGoRZ5i2f/HOX7vj1z98z2986Jlb4crR7MkhffpD - H0VkhMQx7PENZowx3zOJIcSUsz5V8hEfgHokgoooF5Oyxg03XP/6191Veu2vBEVqtWCkBFV4lw+p - QrnEz8P2nWk56xnTmKreWpQYJpP9zfiL//bf0T33r0NV2hJcwxWRJKo6RsViBboxxgAAuqqYghTa - jejLmNfmGDlUVRhSRZSJg/Jmryp+6OXuhc/aKqtRQDko2wSncIJEnJi6ZqZHrQqeRAkJiApHDERi - HiZ58M8+gdu/vp9dbFunsWTK7YrsXJQgZ5r5ukctKs5O4XItSRngAs6DJAZloR7RdBt3PXDfn3yy - 185Io4/wAsoh7afcKNpVzBMjcfdD94vc4KHwHhCUjJjQKsVe72ivd/2bXocnH9oW6Vd9kMQYB2Wt - IXbVQgUD8l1E8xpzPtopYwERkgQlT41Edo4hvm2xuT29855CdvfFUSgxxRQVmFAZ1y66/PteulmV - icSLkrIShAAIKZHS4x1ozaDSV1F05Pzgla84sr4+4VIUCoBEqGteO+ORu5lRCNZjeuCLt2E24Q1n - 9ugAACAASURBVBTYkZAQxAu8dPULySUbnYvn/yypSIqQRg/cX8TEBFV0W0nzfsLz623H/6GC5m1k - MWlREBEEQNAZeKOsrnnZzdi33jgfQkISR5SgAmVXsDJ1m152Cvlzb+WYx093gkYCEufcZDIhciEk - 70om/+53/cqnP/25WRMUIOYQpbvoMb8Gsis5pZuIvPf5mRjjI9xCb4wxxhhjzg9VUUSJIcTQhJJJ - C3f3ZITV4bytiJfaDM/BupoxxhhjjDHGXBCUTr5CpICS5gnnwTS/tWNnykt98rLvzbxhBZYX6DzZ - ao954wLI1z0ZS52dgFO8WOc9bWx6PDfHCfLdJUuTMcYYY4wxxhhjjDHGGGOMMcYYYy4YRARAVUMI - RNS2LTMXRZGfcc7lF+TXLH4+Wb5PGQAzK+ALJ4JPfOLPP/zhD6+urhIRESlTSDqZzfrD4ROwaMYY - Y4wxxhhjjDHGGGOMMcYYY4wxAOYDKeTQTpqPbiHzKSrlDO/YFtPgG1B0iiL6KvoysVNsb2/01waD - ldVmxPvdU17z/Lf94st+7bXX/9y1/WcdCAfK7bKOtUtuNIrToMUKZg6pBobQHjWaJrPYzJCi223k - 7rlnJ0JbScS14mL0MfoohSQvLUkrEiFKwgwnPIirw40D19ONv/Cid93y/e+78dBLaVKXVV9K11IM - iLlXCUhUk3Z5DE6IBU7gFF7BBBCEEZkCUcBi2v1Q/MYA2OvZC2dajuwkUTGoy8N2gKQERu0KtHHY - TAftrCRFG+A4fuPr4aGHVxjStlwgAVL21q67DqKgkl1ZDldmde+6d9yCQQ9loY4TMA5TOMB1A0lR - N+TQLhO7T579bowcAZAABSVV8i5FjWCUJfatzC4+uOF8aFoJqYXi0v0XXXv1rPKj6bT0BWsXay2n - GM9rPurVqX7VvWD+PBN8SkUK29++F0c2IQywTNvBpLnjX/4rTEakiiTwRV34GM5kYRlwEKh0C52X - v6zgi4cZ48IHRJBgOCyueapfXZ0lYSpCjJrUETmik+OTFqNK546ADDhmInhou3EUDz6ErU1flWFr - m2fTn/nRH8Z0Al+4M5n9cwzt/DvffxiEvIrqEg5gkBKh6m2vr6Z+bzaZTGYzVGXv2c9Iw1pd4Vyx - /H55yhFEOh/SabHj5KdV1bOHY0IYgo5885uz229HaOCoIOnXrNsblzPdfeutX/nIxzCaYTRGFCYh - acswGaRYNS22x9jaxnhabx3DaPLfP/LRr//urc9x/asDDm5P9mkajLdXJ5N9R7cxbTHedJ7t0GiM - MR1lVrBSLq4ZoiSAFEURYwQghHHSrRj7r31N9Zzn3CdQN4gg4jIpogLF4ugvO+muZ3RCo4SoqMuy - nU5L4oqxD0hfuH3zP/3xSjNbT4HHk9WydAkaojiCd3v8fNUYY743GEK6OPEG6fxkXAkg6ga07U6A - 83CrIpiQay9ev+bvv+bI6loYrh6btEVZcM75xi6TZk9FFcRKABy7trno2PbmH32cQ5StzaF3iMEl - ghCxEl9YJ+cKJJZEYIXrBsClPNZtv6rTrGUHT6mSdi2l8R//6dp936lChGhuAtR5brdq6oalBvSk - NhABlESXo5MI5AFGbAHvAty2Kx4eDA697KUrL7/5rvEksu/XvWkz8R4gceTzh7GCVRkiXRsxLMPb - XMiWzj+ZlXYGDmdNKlVdt2FWqPZCwDfvqje3dxXdTQrHpCEqlKr+Q+z3P/tGPOXKbc9K3bn6Uh4a - A/wEpKORYxGZEuPqa9ZuvPFI0kQslBsIl+PZ+AzaY1XhRQZJ5KEj+M7DvD2pHKsmVmGIU7j50S0R - CdE5d+mIRGMoY8J4Ojm6wSmSgudHWz5NdSUX4wSwSm4wiwCVBTMUiNBY9Y4M6voHXt4QzySllJwg - XyJKBAEBnNO7aZ6drlYyXxDmwdvHb27vfb6hvSxLEXnXu979yU/+pXPEzN57VZV5QSRndIrHzACI - KCd2589a3CdvjDHGGGPOV7MQvCuqsgKgqkWv/7Y//RMwwCzgtKggd/+IXX83xhhjjDHGGGPMrpwy - LvqUt6ic7r4VY4wxxhhjjDHGGGOMMcYY8/+zd+fRl2RVnei/e+8TEXf6DTlnTVkjhRQlsyIgNCCD - 2r6ltAg0Ntrq86loI4gtTWM3tgse+tRGwbbXe65e7dJl2/2eYj+x22f7njSNEwoiUFBAMdRIUjn/ - pntjOGfv98eJ+xsys4r6JZVFZtX+rLsy7+8OcW9EnHsizomI83XOOeecu0jMLMbIzCKS/00pdV0H - IISw/b6I2AMPW7t5nXK+QrnrUggM4N/+219/z3t+P0Vrmi6EkojM6MIuhXbOOeecc84555xzzjnn - nHPOOeec2xXdCi/dHPCf0Q+FYYAZ2fwOjJJxB2qAJAZRkiSkQsbVcKGexelGF2hSNhOcrK6rnvD3 - n/qK133HP/ueF/7wNxz5psX6YFifHBjsW6rGzToEiBFNhJpVVTUYDYuiyOdUXNYYkU2BaBxBfeBd - Hi1EFQIMg4zKUEFCKotmOF7fc5Xd8O1f96rXvuwNz735hUvpwCAtjXiRNJhZUkTMA5a2RjplBcMk - 5+dg69kE6oAIJFBUUkB9oBL30OUfoJk9yBlQl4uwq1fvCH4hbP1FgIIYVBSYnYGlD//iL99UT0WA - mNC1WJved9tHDlbgpmYgJlgRbLSIq65FKBBZEU5Op/WevZjsQVElMwNpasfFIOWINTASSkPJHAkA - gm3/JrthCASDEpQBymnLgggMAnVtiwAUxYHv/56/e9ev3rjWLLSzRtclpvDUJ8uJk2urq2OQKIh4 - M+KLt42LtBn6ZcR9Dg1Appyf2nwRYITG4rAsBg03Rusf/9Tk2c9CHavB0FKq6g1oROxQclQNhEGO - MN/l/OZP7984T6YjBBA98XWvu/Pdv3L1noV05gwr02Cy55ojx05/dLEapXrGABsR2YN8pkENBoaa - iaIyIuPu858vrnsOmq4QWQwh1WtAizWVPUu7XlmXIMoJfSoEgA0cgSCInYVA9drqYGFExRBF9/g3 - vP7ut//8geEoSBdXz4Qq6J5xV0+LNjLN04lwbm7r5t+5sJiRJkNRhLaph1Ik7YqpHfvEp68cVOHm - m4Us1WujKiSJB7gK9x079jP/23oIq4PiKW96PTiBCF3Eavt/fOu3v+xl37m6sR5G5Yjllmk3Tsxd - ndbXisVqff3EZLJctukIl3f8/L9+3Nt+CkiXXNSTc859NZBBTNmQm3/IzUJSABwhxCbUpRgATJYg - fMs/evUHP3f3ZGVjfx1Ss16KREsbNUJAkTZ3GPJelZ53XMUvSw3E6NCWRmEk6xvpxkG4+71/tPSk - Jx36xm84qeiEKgpJpE61kQYKD5SH55xzjymyVQkDYDIoQHnnHMoGIyhUCVFAxqwpDKv72tXrn/Lk - m17xXZ/+9799jU6qpinIOLeBN+2+miWDQIRgKSkhpkbUrpTBne/7wNJLX3r1M55yWnXNEKyEIqaW - 2C7gUy5fSogMUZQKVhgoMVJua3eRUhqMSt1YW2w3cO/9d7z3v96ULMTO+rWZ1wgpGQNkauDEACDa - b39zo4wJ88361toMhLpBCdSd6XjpONns2qtufM13z0LVhTAcjGcb0wRUo9F0Oq2qskuRLQJKOR8c - GtlbUu4xj9RsHtgNwPK+L4goWSpE2rqtglVc1h/+6L46lWl3V4cSKFoqOKwK3zeZPOclL9FBFaX/ - JW9WF2SM+T78wzhz5+qsgxqDYig6LW7+5r//qQ9+vI2oukbmsdN5h9wAgAj80NPa+qNOsEJS1dZH - /+rDV9xw07GUFAggmMIMfVy3JrpEd/xZtUoRJ05w27AmIhggZucuByXMj+UozZcbDAowoW1aSgiF - RB2shlA96Qm48uCs4BACW0Cu84mM0FkSCwCxAQRGX9Wr93Y95vRlrG3bEEICYPzTP/2W//Jf/rgo - Q9tGwMwoH+kREVWdH/XZXb2hqlVVNU2T7+cr7YuieFjnxTnnnHPOXXIGQiBt2q7kstW2g2BUoQxg - 3tytFAAEmJ4/a8s555xzzjnn3EVARgBsW0PsgS8W8sba5eexdunXvDwD28srgew8JfyxtnC+qraW - 9c7F7rWKc84555xzzjnnnHPOOeecc84591hBRCEEAGbWdR0zM7NZHr6zP4Vg8wLkL8vMUkr5flIY - IIw3vvGfHjiw/1nPfmbTNCGIqsaogfyKeeecc84555xzzjnnnHPOOeecc85dXDnyZXNcf7Z+nH8g - /2/YHHmhTzmNDCOoUmASgHOStHUmMmEiUzOzAKCFdjLWQ09bfvFTXviCo+v3fOSzf/2hO/766Km7 - FwZFQhO4SaRE6GIDhQASONrFzZu4yBTQeaItgJyeABiEEBtQBCNIKjnxqBjvlYPf9PRve9oNXz8Z - Lk/X60g8mIxm60292paDiphBZmRqmiyKBSKBEc/zc/tIDABQBgCl+SpD/7F+8ol7SB4FWd1n2XXR - zyFYCUhnDSpjgKFNDUKBme5dm1XagjtoAku8/fbBbKOgFmIqaBRxMJo87hYYgUKrlKqKDh24/rU/ - hFCtzKJSSSgqGRC06WYkAPVpXQAoj258nmyXhzIDAKkghr46YBj3QdoGAGUZAAKXmEye8safvK9p - MBqbSpMMpIu3PH64sFirKvVJOEp9Vvf2AXeMkBhKSHz2h7Nhe4ROAMXYWRFWu/r4mROYboAKSFmY - 7SH6xLvfjZUVKIGrbtbufm7zslKowQzMYCTqVx/KIZZGK0M5vXpGhpVxQDnANVfbZDSDqQRIEaHJ - TJiBHeNIz2dhnlFOMBgSONGA+dTR+3DmTFxdx3BBZzOarn7ml38JUqHVC1lllxSbb+O3re5+K6YJ - 0OFkRDCLiuEIg2q14DNtQ4qwsICF4d5bbsZoON22/SbbUSSo3z5tfZiyKoECurYFAOuEaEA2mNXH - P3b7+oc/KhzEjOsa9bRo2iuqwUGSI213bVvf/o63/+07/tcvvPOdR3/hnRu/+Mv/ywtevOfMqSun - a9ecWR/ddd9C3XC9gbaTaiApTkbDuL4KplJtLxhth3qKy3tXwznnHh5sCAo2wLhvPJAa5Wwvg5kY - mWkxHEyD3GOEZzz91n/0is8HWzFSCEKpCqX+Nm9+wEAG7rcsu1QEntXtOFBR0upGGpdSzqbLJ09/ - 4j/8Nu67b1/BiK2YCqiLkUQe7kXinHOXJTJmAxsZ5R4phgVYMLARG5iMRQEgEVpBZBUCknZhcH8o - lv7Bt9stj98oB01SkOYQUIbmvsK8X7/br5RMifq+RlObVKGq68n69DN/+F6ZrofpTBRMAjNKLZPy - Zd+g2gWjfFOyzbzbvISp7VI5HLRtO0o2ruXu3/7d8akzg7YR6tvmZiAFqW1OSlkTIRGU+pJABp63 - yOYfofljUgITRIoOxfFkZxYXb3nFy3D9tSdAPJzM2iZZLKqQkIjQdVFBSiwK6b+iRdbI805J5x6T - NHfAEwO57gXlx8hUteu6QShCNNTdl/7utsU2FWl300+qDIBtVWO48XF42tPXzVQVYCVEtrRZBVx8 - BkSyRAghaAjHIwZPeerkhhtWyCIrCGIQA/rOQ7qA/thARABIq5S+8D/+ClFC2wkZoCAzmjcp8iGr - S6/yEVhBqJKdvuueiojm6eXzsnG2XGNnZLk7WhkaAjcRAIjDTO0U8LgXv7CueCoAc0FMSS0lkBpx - PvqU3z73GNqMOlBe3VsrXUQAMPPb3/72//Sffq+qihiViADOR32IaDO3m/lCDhnGGPMH5YmEELqu - +8pnxTnnnHPOXdLM2pgGYaCqg1CtNQ1CQBlAotg6MQ2mF3I0yDnnnHPOOeecc+4B2PlSuj232znn - nHPOOeecc84555xzzjnnnHPuEZZSSimJSFEUIkJEKSVVBZBTvZumyXfymAHnpaqbz5Zlycz5T1WY - 4cd//PWfvePzw+EwJSvLUnw8Meecc84555xzzjnnnHPOOeecc85dfEqabwDION/YmPv7eYR3YiMx - iJJoAAQIBk68FTdAxJYMUVkRwAEUQKWVY9k/Tger9T0H6fpvefLLf/KV/+KHvvkNz7vmJQdmVy9N - D06mS8NmWMZCFGawyz1lIGctbE8+NZAhJJRduYTFJRxabPbvaQ/duu/rXvHsf/xTr/lXL3jCt0za - g7ReTmQ5tVrP2uFwvLS0R5TFigJFASFiU9Jt56XkiD0ypv5PBUXaljlsEEMBK3KwhXO78ihI8t5l - ubd+dOEEdNuDLwzIPyxhRP3Uz7/zaqsGBUFrEOHU6dN33XmgCk2MsUAStEHqYohrrkNV2XBA49HR - pp5esQ+jIYyWBsOQA7TABULFAYCJRtEYNJLyZm7ybkcXIgUiKKGvGgLNlwADAYipBYAEkKAaQYqN - MpxY2xhQNaoW4nQDh/ct33QkDkLa9tG5ij/PopqneudPIGNWFu23HwDIUAyrjRSnlXTLoz03X6sD - oJCNtXUx5enG5NQZIEBDAophudv5JYChgMI0r6MWiIACyWCmKOXJr3stlYzZzEiQDMuLB6+7Zkop - BUqMHek2eTZ2Ro8zkfWpd0gGIkbsQhdPfPLTYXk/VlfDaLgQbBGGpLBweVe1BiRAYYQ4/wkQIAYk - SBHA2qUaXSIppsYoilvf8Ppqz9LamXV0ClIsj9MgcMF6TvJ3znPdEWcFBWkiRAYE0VAWiAqIFaZ7 - OVSnVruPfrr7kw/g2GqwYkiDen0DQnH9ZAixmp66arr2ZOGF+44ura0NLXZxtY2nh6PIUo+XK8R1 - UIeg6DrMIqZdqAZxYyXFKafuA2/7OURBuuzrOOec+8oRUCYtEwBOxHlDmjgmjhaYlIKmghBFT8VZ - vbB4sirGL3/p8PlPPVMJUYUuGiDl1jbQAAV3zInpAoZKJAMihoIUDSUZQCYMLLHFD3+4fu8f4ORx - YShSExsRySfuO+ecA/qQZhgbmFXYyIgSSSI2YjLkllpkNIImqBQ8W98YFqOuGK8Pq2f+8A+2o4Vy - MDbqGwJiIMvtPlKiC0jvzohQMISjAnuLauVDfzN93/vKWcsocvdZJSSxPbt59pihhMQAIMZksEI0 - yKytlxLhzz/2xfe+/8rxoLNaSfsN67wrMLdelZAbVpH7QYq3EruBQlFoboXNPy4iCBskSjkbTa57 - wfOql77oS7Gl0VJbx40YZVSaaEpNKIsuRqP8iRBFUAXQCVrxAZHdY5cSjM7u+u/vEBGUzAJRqZbu - +Gx9zxfHTSp2GbRtABM0pVSVj3/xi7G42AJs0Jz6zJrYlGB9l9XFpQQwG1NijWRtOcBo4abnPWdt - WHbSVzjbOtP4QuoGEgZgqJLGu76ET3x+rMIgI02EjvtKMk+fL73ONzMLLIXq3XfcwbknjGEG2urc - 3frORjAiI+qL0PaCRMRAYLQxzWB7rr92/LRbVyZVS2QpaWxZU99jzTQ/RNT3webHL8Fcc/fwI+Xz - HUAlIiL61V/91d/4jd+SAnXTqaoZETMxg8lgm8d7HuTS9weRUiqKYjqd5sRuMyuK4iuZFeecc845 - d+mLCma0sSmHozo2XBWwqEEiGMjnamx3mZ/q55xzzjnnnHOXGzIiy4ek+p5/o63bdtZfzXR53vo5 - 0vkNZ90ufXTuFQsAcNb3182mttFlvsp2czv3gpltBz3zZYzbbkb61f7Cj+7bjqXtnHPOOeecc845 - 55xzzjnnnHPOuce86XQqIiIym83ydcoppRy8febMGQB5+K8Yo4h8uYFr+wuT27ZV1ZQSABEyw8mT - Kz/4gz94//33A8hXMTvnnHPOOeecc84555xzzjnnnHPOXVRKamRG2yIWjNhoe4D3jj+15DihuGg6 - UhSJOLEqRaNIFstAAwlsZqlVdEpNg9k0TqfNFMpjXaxWFhZO7v/6xef+z8/+8be98p3f/8wf+7q9 - zzvcXT9u9w11UTCIj4qMga2hVAykCDGEboy1YbG6cMiufd5N3/Jj/9ObXv/tP/33jnxbOLbUrKCq - xgWP0RUjXuDE6yvr7axmCBtLFNFStCQqcrgDQ8U0R4QzlA2MSDm7A5tD9wusVBsqqkcgxcM9auTg - hkdBbjcupNyTgnKcDm+9mQAGR61MEbtJPQvT9di24ALEZz79qSq26CIz1mogVC2Hg7d8DQqGpvVm - NiPC8vJ13/1qDAoQw2AJqv14NkIBQOpv2g9mbNtGvDnvUE3bbjv+3gx92fleApgQJACACAwRQBWe - /s/ftL407khQp7CwBADXXb1RSmRWCJmwCYx3DAtFmhcUGaivbvpbnxFGlEhaLqahOjlrad/exVue - cM1Lv2n5SbfwZGhk48kIgQS2h8vbfuXXkCgpUk4S23Xlz/2N+nntU2sICCUCoyzOVEU7KDkIVCFM - 11+fiLqUYkpFKAIH1R1DSOtW/BKD+g2jEClAzAndwqBcv/turK5AIyySJarXP/LL70Lb9SvQAKj1 - t52rLY+otdu5fCQRME8B2hEAFaMBIVQQhpHkhPmqOJa6pf37oVp3Cfv27zlyTRv66Pf5VnBeZua2 - DzTGABm6DmWBrkNgpDYKAW23V2Q5pekX7jr+3z+AT30OK9NxMcSpM6EssLEygi52jZw6tZ8wSpHb - tggyCoRmA9M1rK2AFM0U9XT1C3d88i/+/OhnPoMYw3iQCoh1h5Ki7qA+erhzzgF5x8FgBMX2sSBZ - VS0Helma1fVgYaEjrIewUg2e+v0/MLvuyvsHWEMMBSxCAOTcboKxGqnSBW/xNCVIwHQ9TcoCagkq - XXu9FJ/8vT9oPvSRA9ONgbVqbVVVXZ3Y+h0AJSipkoJ0nlHKQABCn2kHJaQLXlDuskG6LT+SgO1x - knNf2Q7Z5rv7ve/+b87RlTbfF/IhLL+68nrPO7RGAKmRkjEb5hmj9rDtm88nw8hVUJ48AyTGbJut - jIu7/5nnWLeVy1w4c4Nt+2jFeZ88WhyNRu1GZzJYmUxw8403v+xb7wnUMG+OAWwE3Qx3JN18b2Ik - Ps805xhgIcF8KxMIcQYBMFvdu7L6qd//g9G0Wega0piQqqrSlHL/GQG5/xFgJVbq2+kEJagSlBgI - +QUXcWnuXm6I91+Uth7ZarfOQ3bnjWcFkFiVNl8DAMzQ6dpBA9amn/n3v30jAtZXiUDb0oLZkEcj - PuvTNx8h67sj8yP9+mIACAF11EbCMTK+9abDr3nVGtAsLLZqRDQajaJp08wMmlJXDMr5J/Cjord2 - S9+HYP3q4r7rgw3ExpjvMzBgF5Rvivnq4P6z+u6KzdqCL/HOgV3KBT//WuePbRX7HDa//ZWbf85/ - Mrp9L277ONB61hu22Xxq89m+ArlIM7nT9n2AXHjY2IxgXJQSUrfQtvd/7LainlUWQTqvIXn77z0z - Qp9/Pf/qgciK8gxzd2D/8ov+3pe0aQsKIbDl8skAxCCPSEcT9yVYU+o6TdXC4oYCz3/u+uGDMymM - +u3F9oJuZ28Uzq8fTB+cNBJRTCg1HWz1zAf+slJQikpbod07x6y/uHaWQE7EkTkRY9vjuV7Nf3aa - CoI0zfqdd7J1ep5vqYD2dbgxjLeVnIxhbDGVAgBrGmf7Fo88/1lY3rMmAy2q3FOfL10motzjuHPX - ghU874Xz/q5HuW1VIhs4FypV/Mf/63ff9Su/porYIYQAIIRgqqaK+cEeEWHmfDX7blVVNZ1Oy7Is - iiLGeGETcc4555xzl5fcxh5waGarArAEFAPrjwid2/19aXXWOeecc84555xz7nJ0njP9HvKzzjnn - nHPOOeecc84555xzzjnnnHPuYTQajQDUdT0cDomobVuR/qKSyWRS17WqxhiJSB90REdmmCG/Jg90 - S0TMnJKJEBHuvvvoj7/uDW0TicS+7DgFzjnnnHPOOeecc84555xzzjnnnHMPm/6cB6WtACOyPvYC - /UgXebBN7hPQbNvYm6Qi1HVN084MCQJDUrIQpBoURVEwJKRyiMkC9gzrxXB6uDjb//XXPPcHXvpP - XvfyN7/6ud//tCuee1hvmKwfHNZ7y26h7MZFHBZpIBpEWWxbuMWOPKAcbjEPZnrg4Ti2RWjt+Pch - egjTZ4DzkhNFiFx25aAdj+rl8fTAwsahb7zhxd/9oh9+3Svf/A+e85ojwyfQqXGxNl6kfQWPUwQS - 2IJGK0M1Ho4YBKglTSlZUjIQERHNv0HOue2zIDbTeeaJP2RgGM1XkA+R6h6SHcFbl396d9jdy+e5 - 3YKwFXnFMCAlBFasrn7il//1daGjGNEymHHsWHP33UumLNAGpUhCRaXgysMYCJqNYTU+DZYDhzBe - QFHCGLQzoocJINkMccqjH28Fb2+diGbb3qTbUnn69+ZT1oRzCjiDhbCtJkdMMQQGYF1HpUATArA8 - vO6nfuLkz/7SqG6pjmDDvqX9N984ve1ujR0ZirKcNacLJg4Gg0YQgclgINNAQP9x6DOrpeiMahCN - Jmkw2P/EW3B4LxZKFEBUbRoejbXdMKVytHSmtdgaYhpVoQUUqHYTb2iA5iXGyNlO5bbaUQlMFZXp - pje/5e6f+8WDpzYGoUAqcOCKg4evnn7hCyKkmjpNg1BajLny7IOFDAYhwDQxYIpExoyoUcCpmR2Q - Ah//KJ75lBRnXI6KLl3RbGB9DWEZgdG2KCmRKlgASyRCBMDmOT2A5IJ1SZ0cSMiDbBO4ALY2tQQw - IKHf3jBgHAAwo6qOD4dXb6yNUxdkiAY4cmN3x50xxgqIyQwWCAyoKbGkeRkmA5MCEJ0P7J0QCGZg - ggFCUEvKVAB0euXUB/8mfPKzi4cP48jVkP2AgRksliJBwAJizBKYoYJOceaUfeno2vrKfV/8YrW8 - cM211y3ccB0YDaViPGrXVw9Vwy+8+93Xv+lNKM9eDM65RwARmVk+CZuI1M+V/qoyIHKun5WBvK9C - msNWGWwKA6jkoHVdgk1lxvsWDoVbf+x7//Rt/+rW1TDc6CyhkipapCAaW2YpArVN6oCKxwxGCwAA - IABJREFUvkxw3LkB33nrExUlA7EDgACQyaw5Eorb3/W/P2Vhee/znnamKLvOhEuODZl2gTpLIizE - 1rVCQfPukxUAgEikjA6kapV56+jRipTMAIIZMZkBbcPM2wIt++BhzPs4dhVtaIQEsLBFNQLnXHC1 - pm3RTxMAJ1bMG+WXfYvqMicGoI+3hKBpZgSBiYJBykib7b55tbC7qEtmJDMGiFnVGCoMSpGgZDBi - Ug4KIzRBEyHoRRxA1oDEfQeZ5uhc6ltqDBhp6iOf+69EhggLQQaRU611kNOT4Z5vfzHu/PTaB/6m - Wl0piJJZBKrxMG5sCDH6JG8kghKTIUBlHj6aGDAIQMaW63IzMyMwTJEgOenH9FDsZp+/64vv+d0r - /+GrdGlRq8GZlelkslynGjA2kDJAkRHZQBrMxFSgBo7EMCYt2FQ5GV0q6aQEhMRGiEETQQwwiDKA - RJzm7XyGzVOKgdgxizJJUcX1OpRFq8oMSrMrAg9OrBz/rf8od9+52G1oTKFA2mzgk2IzTNfAxgxI - bq71BYwBIgpg7tIsGooRUkJXY1jAEhHLimDj8L6n/dj3NAcns/Fi0sLMhE27RIRSKgCAQiMRGDk9 - l9jECGXC9o7IyxYbVBBJYdQHkxsBCEQgbtVaQhSmpCYksAsJKCWbd0DPk90tMFInIilafpyZVfXS - 6h/YJYIGTSCNxIk4Hz9QMEC5OmAzAIkUpKJ5xjURK6ly7uE2nsc/M0Cat9eccqY1gWx7SnH/w98e - e6yAKDNAysZQ0otXQtmgYDYQIogTBQCiQYmBCKTOmmVRXt/4zJ++75ZgrSUWAODE6OtC5OqLhWdR - g5EUwZKKBI0tDBQGK9HuHYyf9KpXxrHNloOqWKcjC6zaMpg0qIoi8UXf2SCgNEoxMRtxWK9ng+VF - affc8PLvOv4r/2ZJu8GQ4sxgYBBJ0NQq9+uIz7e3n3ucbHNFEsgYQajrymj7p+v3/NkHln/oH0oK - 0xAgIk07KIcbFhNZYQZLILmo8yuqABshERs4MiKDDcMIUZBpFEQGgDIxGZRLrmucOlPffvskULfV - 30XI65rmy8EE4EL7Y25KYIAAMwK4gKTUkVBb8r0Hyuu+45tP8wA0MY0MNbaIBIMZhdzRSClxLkus - gPWHjS6VLaN7qM7dmbEHa66aJSIyoy7G4XAIcNN0JPLH/+2/vfVf/mxKuUghxihFiLGdv63//6Hk - bTOz5b24XNLMRCSlVNd1jFFVmVlEct9Ofo1zzjnnnHu0IoMQTLsAkBQxKkwEgp3NOvSd5fBT05xz - zjnnnHPukWEPcMD+gY7j02V9BtPWiRCXqwc6QXbn+uKdj1/Oq2yXti+fcxfVgz/rnHPOOeecc845 - 55xzzjnnnHPOOecutsFgkO+UZYl59nbXdUWRx/VCURRt226+PoSQ87zzn2aWB0nNlznn8W3ztcwA - YjJmNtO//KsP/cu3/uw73vGOICRiMbaqOhwO27ZV1X4UZuecc84555xzzjnnnHPOOeeccw8rM4QQ - 5uNRs6oy06MguNS5L4uNFTn2hXOuKHIybH96wtbQ8VsZGdQZIee7qQHIqRyIUAhDYMiJWUKmmiwH - zJBBYZazTkSBYGpFrDh1B220/+CRZ135krV6/fjs/r+9928+/cVPHD12D0IXKp2ltWhTLpEMJLCc - bktkimRMZswAjIgIOVJ2/o0F6L8hsC2u2zYjUxn5u+YbM8xARtgW0b05QL1pjjHP0SPI1UM0mIIE - IoFIoKYxFaYBIXQlt8MF2XvDoSc85XFff9OVtyzwchGrYlawBlFiYzIYWSCGmgF5BqL2KUsAQJy/ - tSHnfqkZaMcXVORgE9I8w31KHRSU02E9r8HtkAtEHt/HtkbQVRJWVU2AgInbtmXuE6EvU7uM7p6P - ciTnjOwTBJi2aNPSbCbt1LoUFvfjxCnceXfZNELQhFKK2sIs6sKRKzAeoV6HkIisCt346lfDuDGU - dP4xgwh8nswZOs9fZ/2g+5dQH/JkYEMf+kI73mYhhBRbEaGyqOtZNRwbIhUBZblKYbEYFUFQd7Au - PP6m2e33EGjE3DbTYahCYd2szYnjKVc4BDVMgRIIBdedDkaDuummSHEwHB28YnLdtbjmGqSE8aAJ - UUJAPQ2T5bWumRWh4SKyvudP//SN//39QMrLPKULWGNQQIj7RbM99JxQpzQEUFVfit1ViwtYW9dq - wG09OHLt9O57zKKZFSCL0UA4Z9UYmHLO3TwdLecqiaHsbOO+o+O1G2XfnqbrSqnS6upn3/2um/75 - v0ATERhIrdbCIwOLzLdJW9tUvtRiu3u0+f/OAc54x38g5EBXBHnuT77h7rf89GQ04qgww8LS4pVX - tp9aTymRsKnmUyOpTxA85wM3t9Y7FtHmYxZIBkwaUzx9en1tpb3rzlgV4717eWE42LtMkxE4oG4x - a6GpXl1dW1tt19djW4fAXIQnPP0ZOHwIZcg/sqoanTp9ejxZIpK4MXt4Fppzzl3+tjcqAMCYz3mW - +7hQBVCn0C7tHXztE578j19z76//ZmjSniI0s6aApJQYSCmRYVgyiK2JX8k2L28+8g7rMIBns0O1 - 3fPvfuuaI4f3XnGw2btwpu5GUqbUiIiEEGPbdnVQSCnUwUCbzVrv2nnsYJj2sdkMVX7Q6Dv00cYP - VS5Ifcs7py4akCIAIxVjGFIudbq7KbuHHc17TRLlKGtVzTHWjHmPybaqIf+xi7VGBtuRrEgAVKPG - TqAgzc0bUSRWA4zU6OImrG5Oe3ty52bX0Y5nDUrozNhSaVQG0UJW0e254cjjv/97PvbJzw2NB9P1 - AaApntpY31sOYlvzecbHPc8S2/ygRAZAjHKDL8d+gxBmenWJT77nvVc+/Rl7nnbrqY12z97DJ1fO - 8JDIEAxiSNs+iw050zfH9/Y/Q2KjC4lSvugsd8+BADI1YptvyEQhBiG0AoaGUKgqB1lZWRmXo6qq - unrGZJLaQdPio7fd90d/fKSri9jwgGatMW+10+YtKca8FSXnFC010tQVoRRu1zYwGGA4xnQDw1Cs - GY4uT576fd+Nm647MSw7oqhJGGzaz8K2yXAfbw+AEwF2ns+6HCmBDQSbR+rOC7OxmbEpWUfbu5h3 - ub3YtFlo+8/tq5qdryECLu/DUMoAGMYM0py3DaK8xdycMVLAIvdLlfrMbvDOZaQAE3J5U2LLfT7b - 6zR64DVhDMxX6LlpuA8fNhA076mm/JMnZmOyIsVOKJbTaf0Xf7l47KStrpYFOtuqHLev6Bh1GMQg - bZfyNafCIGDa1fVkH554PZ7/rNMldZQo0VBKRDWCmFpf/eojM0K9iKQuwlIoixhtLUUc2L/3Wd9w - 4j1/WN/xWepmBcCB26glNCLt9jspIJoAVASrZ8XJ46vv/x9LL35+W1JLQmQptkUpSqRdx3zR48qN - QKZkLPOSR7a509AfPNs+jH4hgWYzfOL2/V1n9awI0AihwgyGlCO6JfeOKROYodjWS2b5qJshaVeE - qolNvTy6/ptfiMVhV42h+VvsSKOfb9zVtiZy8VPc3aUhX1geilLNYtS2bQej8fvf//43v/ktbatF - WXZdl4trivECpi8i20O7AVRV1TRNvh9CAJCvb8f80KlzzjnnnHt029FqN4b1rQ8iMFRzs5TmHUq+ - h+icc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOfdox8xN06gqM7dtm6+AZuaUUowRgJmVZdm2 - bU7yPu9ERCSlpKr5znve859vueXW7/3e19T1rCwDM29sbJRlmSf1QBNxzjnnnHPOOeecc84555xz - zjnnnLsAO9OsFFuBvjv0A3KSbg8rYTvnBTvewzvDGnTHlE0oSUCgVBJRCGGs+ybl3n1POPSCp71o - o16/99idn73rE/ceu/PM9Nhsts6Vtl0TNSoriFiYmQwSY2MEIiMCM4QoDxrfdaqEzfHj58kgIEGf - mGEwgKkf8d4sJ3yw2Y6vycxKIE0JZjk/TsEGYRpKwUVpHbqZaTSBDKkqOzq4dOima7/m5mufeO3+ - m/eUB0MzQl0UOhANZMT5sykmVqOzYnHOcp6ckfPnMMwnsjPtxMPC3ENmDCTjHMzAuNxzg3YdBG3z - JDJSA+cAFckVhwFqf/2OX7gJhrqjUGLlDICT99xT5jiXDkXgFjSltPe6q0CKooTIal1vHDqAcYEy - 8C6zLAzIIx0Ltv2s58lD2/PFDUjcJwgGAAqYggBWy8mFUIZICAB3EcVwrABZMChLuP4n3nD8535h - 0rXDagFpiqCHnvG1J/7sgwND0K6NqgllABlSAoGEBUHAhBRjSjEZATHZKtvex90YHn8zlhcBRgDK - MTamFVdoJdG4KSYnBvylgp71xjcg6ht/5q2QAsKp2ZByOBTG7hOtt5LKti0lIShQSgFScPcnf/4X - N3zD8xaJCiKo4qorygP7uqNHBywgRI0gzmnVZBCD9ilQyujT5TgHjM+JSLcxS5+7R0YL1bhSkSUu - 1zemaBpIBTUISh5FwKKSbCVeIydIGyfq87Ev78GrmcEBi0vraytBTZq6SHF43XXtHXfGOC2JQUn7 - yDqCmWzbINE5lctZ0UfzDbYZKwcrLIoaz2Y6FZ7OOkNjpKQgJdKClZmZQmzaNBwMDh5YvOKq4bXX - YVChbTCeIHZIjDP1Eo0MgzOm60UBeiQCrpxz7tGGFKE+FXW4sG/ft73i/o985ugHP6gn1/YzYAnG - HAbBUpu61CqztUC5yx3KvEVgzTEPan2uI1go1mlfSXd97Pb7/s1vXvVTr1spTqMMEZOuo5RaqRhk - IhKk0ESiAliiLrImjoDBQh/n7B7VNnc4yGDxYU72zRF2uQyZGcjENMWYY0QF/e76ubs67pHXB2HO - sUFT5J2rxugrWllm8/4mm7dk1FJK0rfmwPPMZtoMer9kGDFVISlaipwSF8VG0x5lu+KGG2/90R/8 - m3f/2vVtPYiRYHsnZb1eD4JETchB2spKlO8bmKBkEIVSv8zZTAmRAVKO3Nfr0IYBoBLCytrNKXz2 - V3/9pl9668L+PdPpahgNGoqi+ResIBAQDDCQERkbGEaFQaFROqDPzb1UGCvlbgQVQ1CwqRESI7Iq - sSgLtFAFlM0ikwbuUmRNB5eWmqZbOX2qGk+CNpMQcO99t/273zh8Zk1mU2W0CYn7/HLeUZC2Wlhn - d+MaExJDLSoLxoJUIwKVYD1qe+hQ8fxn0re+qK7GxKGzloLk7OXHmnMrgc2eIUsKwMwIdFY38QXr - V58Z1HI39MMx1a++RNwws6FILAZlJNYcyR2gBuj8WELqo+tRJCsUbFADGykh7/Lp/CDFfIetj+Le - zP/OXZYCGKmeU6UrlMGPQFeLkjL6xLIkinlCuagsD8b1xslhW3/mj/5o77Hjk8QQqCXk/QSkvp7M - 0wEQROvIQMGi1nUJicA0XqnKIy9/Sbp60lKYmFitZYkZo5O8HDQyUk6gN7aLmVNuQKemoEChICLW - 2LVNKHHllTe86Js+e++9h9ZnewRt1LLgtmtKLtXa/N6HsuEzgpo2CgGCFEVKg+n0rv/vfV/7jc8e - B4kcSIoUU2mWTGcwDYyIi1f/J0JkiKFIKgowOCERA9pv2gCQlonRb+uVUjceDO7/8EcXWqsiuD/s - lPcNKFE/m/mNBigUpNxv42CExAYkUa5j1xXjuGf/9S95yeq4qmMTCjE/wPNY8GAHCHdQWIpRm27P - nj2rq+si8nd/93c/8iM/Mpt1ZRmatsX8MvUL+yL5jfnaeAAhhJzbHWNU1bIsU0p5+sy7PdzhnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecu7zFGEWkqioAqppHzzAz7QeNwWQy2djY - aNu2KIqu6x58asyc31gUxdve9rbFxcmrvus7AO26rixLIhKRruv8umbnnHPOOeecc84555xzzjnn - nHPOPQrwPNc7n3ERY2TmsUwWaGRNqrW7cu91z7zyBVqk4+v333fqnts/f9vJ6fHja19cmZ2MNrXQ - UVAVpWEVEc3M1JQIaqaKBBHant1LagBSDrY9+7sYYJrABljKp2YQgSBGOovRAoSgNA8OIbBxaohV - KAWJYYkWDywfuubQtVcsX/WUG78+pEpSIVYW9TBMB6KFaGAwjI20YwOpsmrOH1Llhzw4v3MXiZld - 5kHCZ9tldDdySIaCAKiBCfPINYuIzVIIVR0Ho0W0LQKvf/zjcTodEwulCCCpiaTxEIcPgghlhbrp - ivJJb/hRVAxNhQx2/XXOeYTm6T60+TRB58HSfWiMbr5iPh2CwgxQUwiLARGIgCVs1J9816/sJwQp - QdSljQKGQ/tteTQ7dWaxCiFpioBBDURgJqg1bdcAiQOFQpm5CMvXHzl49RU4dBAFAYZiYF2y2TpX - g7YsZhTqYXWccevrXn/94gSkmFRoEwBULCjR1CgKsOAhp2nSuS8lhTEIUKgiBEANXXrLH/3Xz7/9 - FyaTxdhuFAQMysmN1584ev+CSNe1LKSm/fI0EMDGmkOPHiAHSFMXEDbuObp48+MxZp3NCHpwad/H - 3vnOJ73xDZhI6hTlkMEhILYWAoGQAM5hjvQg0758EACF6ZHX/uipd727wEYhjNRCl6rFpXi8Kecv - VAIbGWx7YtP5c892bggLsEKTJSQIoSAUYoDFZm1AgSDRYoQqrGPUDJTl/muvKq6/AYcPo6gwHKJN - qRrFpoOEshrUFa2YrjNueuNP7B+UCL7ddc65C6BFyW2XailHi6NbXvtPPnT69OyTt7cbU46AKWsE - qAAbaYKVhVhMF5BWS33iHcNyMiK6zkqgND1sdPTPP7T+m7+z9APfqQf2Tyml4YC1IaiCWIqgZdM0 - VRBAk8TEZqQAqwmD4dF3j2qbIaxmFmCp6cgefI3vojyQQQhkSACRGUiIyJDaBlAjKCkbs/XpxUaP - gn2+y17OgiU1gmnTYR5ues7uaF5VF1I/zFO7kS/y2crXzM2TuUtw1zMlUyIK0BhLlOPRwrSZnRDZ - /8Ln7v/C547/zn+uTq9MCsT1NgDzRme/074tMzW3zJQs/9cH2BvN08xZRWHgeRAvNOlyUdmsue/D - Hzn93v/n4Ktffp/OZiF3EHJkGGlukRUKgJU4Ub+GgoJJCXqp/b5ySbP50shlyQhGuYchN9wBKMiM - 1IiToihHNqu7WZdSnIwG4Chrq3ua7uO/+R/4c19YmE0HQlLI6TouVSV1LT9AALxthabPHyIlYlNE - qCSEQjglBVI5Wg2DjRuufeKPvfZEUa51Wg7KwDFqBMIlWU4vOpvX2Ju/5ZQfS/1vmb6yrrJcVpWs - D59Wg6Yc2m1MSmA1XFrFedeUuGMmoEhghUANSBzz/hzNfw4AjMgI2+dYlAAW40RgYt2qn5UMBOW+ - x4aBfg3lcq7I3XY7Km6b/9IuapQ18k/FGGCj/IWVADIGQLPmqqTpb/929rGPXtF14xBi1/A873lz - LmBQAjOlNkVoSQFCKYIDOg5nUFS3Pn75uc/8PNogo1K5ClK3XRqSkrIpA6nPO2fQRcyxzlq1qqjY - orYdQFzwLHVrqV148Qun7/uT2Z3tYH1jWKFttOSAeSb9l20C5K+dSFVgeSORUABLFk/e9kl87nOL - T/yaFW64nCQxS2qWQCAiu7i/GE1sUAQQoKwgAvF8/6GPogfnBguBVG1jBVwd/8Qn9tfNSCS1yoDC - CAKoAqCt+HmQGlIu1bksKKmyAqi4XEs4XpWHn/M8XHHNOhWJTEjTZV1BuC9rl8cFiaiqKoKcOHFq - Mlm87bbbvu/7vm8264pC8sZl6wr2fJDTdleARCTHdaeUNnO7AYQQAHRdVxRFftn2P51zzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHOPBfm645QSM+fQbiIKIaSUBoNBXdfr6+shhBjj - g+dtp5TKsmzbdjAYdF3Xdd1gMHjrW9967dWHnvzkr11YWEgpTafTEMJoNFpZWclh4c4555xzzjnn - nHPOOeecc84555xzl7V8rgUz65ww6yyKyIgHFQ+6qSW2K2Rh/+Frn3zts2e6Po2ra83J02tf+uLx - e+770l3HV4/df/J+oqRQZhYhIgJULaIzQDEP/cnnbghb7FRJBbLjqyhV0o9vj7QZ2AKAJ8KkAsCS - ajRSCMoC1YHFQwcWD1536IYjB44cWDy8EBaFCukGYToe8KSQkoxSqylpQMjnk4A0kYHUSJXMSBX8 - WIzGcZe2fB7UV/tbfKV2Gd2do8WMYZEIAQwDUk5lacAWYhtSSvWGENBCT5wYGliNGQKopUTF4MAy - hoWpdnVdliMdj1EJymD6oOeOPQDJueHYERVFADYDCAkAcx+xxWSbed6cnyJAgGRqhAgQaQnFmQ10 - BZJ++hfftqzxUB33DkazY2uJusHeRWgD0IGnP3Xlzz64ur4xrkJB1naJCUWBplUCqnKikA1FsXfv - 4tVXlo+7ESWjJKQIJcQEJJKSWFaYjhVyrArPedM/PVRUMEFZIS/bCmY665qqCBIAVpxVKe+G5dim - HIpGKAQKIiMMR5jW9fLy0WMnryAqAKQOR64Z7PlMvbKaYAWBrY8LyjlBSn3KtJJuRqNRH88DNhCo - SNadXsddX8RgUJZFwXTm5Iml8QRdA61kUCVwiigYIfRBPFt5UtanSV343F4ihDGo0MTjBnSp0DZ2 - dVmVgwNXrJ04rUhmfZYR2TwfHcA8WG4zUouNyfoF278gv4ojzdM3lWAKJChSUaJpIyGWlahVK0lx - YO/ikWuG11+N8QiqCIKCmpVTZTGSwYjCYFqW9wl9ie3Zb3kTygACiiH4sl8Dzjn3yDNCMmtSqsZ7 - 7+/iwlWHn/Gmf3bbT76p2Lhzb9AiobXYKcZckVDd1WXQXTV1+gBCgOfh3TkGMj8ljNRNh1xeOZU7 - f+//vnp/ueeV37leWjdZYqKSRCJZaxJYJHSCxBol5TRlMjB4Hkrq6d2PZkYEqBiLqbYt5sGKeAgR - kl8W2WYwNwxGRmLatS3mO5DukrItn9sCTNsGmrbvln9lgbw5uhWW288gI2JYzqRVguU2wLzsnRMW - /lVGBkoKYRsUqTHM2uFwhEG5gXa9Sze+5lVf+Ny9p//8g7yxMoJJha6BzGdBaWt2yMBGBO7vQ5WQ - CEoQy8/C8iOgMubaGLFrhOnqUBz9nffsueHmfc9+2lrXVFwpcStqpEEtaG6maaIQGYmxmeNbajKC - QuzSipomzEtdZIj1tQLPo4uV0AmUEAWJQMza2bgYttMNGZSwiHp2BdPa//n79R/+vzdAu5jGJSPa - HhTaJAHTzu2XbVsjZ90BkCgmYCAMQ9elIpQs1d1Ne+zxVz/jZ968Wg02FhaNixlpnDXDstLHZB22 - mc59NoOpssEAIrqADrKzenI2sSHXEiAF2OwrrokuDYlBRoCJgg1i2kJte1wxkIhhXMZcV0SFAcTE - +P/Zu/cw2a6zvvO/911r7V2Xvpy7zpHOsaybZcvYxrGxwUwSQwCHmdjwOAx3MozxEIxtYmIEhCd4 - iEPsAQxjLgMzPM8M2IYHCJ4wk0wIJg5gLgPjBAzIYFnGsi6WjnRufavq2nuv9b7v/LGruvtIsuyW - dKRzpPfz6Gl1V3dXV+3ae+29V52qr0Ul6kvYDED7XDcHKwQJpgYWghEvArcKgK1vKSvPjxnnQeW9 - ee9LJygrWMFCCiAYgoIMJZa4uRE2Nm57z6+uzKbLkaXrCGAN/XHuTqJXGOgvEkkgRJuWDsCY42Ya - 3DMefNE/fPV0aZxWl5oJtOuGg1XdbqR/bsMQzIhICIUBYDFgXhJKDGZjDm0pbafDwFXNGW09XD5x - 7Pqvee0nf+InD4zqklsDUIW2aauHXclFO8HFPNvuBREAuFCRHMADaZcffGD2gd8e3nJTzTAoQixl - ZowYK5NLdU8vvsFqzKro17ewc+xAAFgYhZgMBESzA2D8+Z/rfQ8stSUkKQBFNiUoFGwkoPmM4s4J - DubLgA1q4H4GMueSl1bPXXfNc1776hnVncQ0GrSlsJ+8uD2YYtt0KXFVVbffccfrXv/69fXJcFjP - Zu38B5gB9E9GPob9l4iICIClpaXJZLLzankza5pmMBgAKKXEGLuuq6qHb+7OOeecc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc865p7kQQiklhABAVfvXOM9ms5RSzrmUAqDruv7Lz3QlIhJj - bJoGABH1n7z1rbe+733vSanuum48Xso5T6ez0WhJ5GHX07/LhF1Wbz7jnHPOOeecc84555xzzjnn - nHPOOfdo+nePZ+YQAhEBINa65pzbosac6lCLaskUc6RMtY0P0GGEZ9MhtcNFn1My5UabaZ6uba5d - 2LhwYfP8hfWza9Pzk3Zzq10X6grlgqxclARsLFQZzObFrf6d5wGw9c1v2Dyvw/PLtRrG1XG9emC8 - enj1yJHVY8cOXnVk6aqV4eq4WkYHy0SCOIvMIXIKVJnV3AUzMxWmGNgAVekIMFKCskGhUciI+0zD - U/gQOPcQRHTlZ7uB/aa7+7Ia96lgW1wEQBVmf/RzP3M9c02EEAHC/fc0Dzy4bFBTGJhgBou8dOwo - YDQcWZaZ2LmuOUbUwGpOpZNY7SMVTAAMARCa35DQX7iba2EAIMbeCDTv/v78HgGBGCoBBZubiDVU - /vKnfvqqLl83ayvpWrNu2o2WhuAhplPkKUTATNAASCcAAgWOPOlyNaqz0oWurY8cO/HcW3DNSVQB - o3o23RzWywrLndSrg6aUtbbTlfHpnF/6A//spkFCIAxqIJWMSNACgcUBD8OwoOOQzJT32avaTUHP - l4oyQGAIwIAZYoIwot7yHW+4/Sf+Z5lNlBhsvDRauu7Z5//iL6oAtfmKomAsAuuEeQhILw5N9ZdE - CoGYik5v/+T4hmelYY0QDiBwzj/7X3/ld/7BbzczrYepijvrkva3DeD+8XpajPox22llAAAgAElE - QVRsAIWIepRXD4SSMe2qq47h/jM4frzc8QmxEvpC4TyeRTs9y73d7oeweeaQ+4eAFEwwRsA8Zc+A - ZNRjzAQPdFIdXL3qObfg1ElUUWoOfTBGDW2pr7p648KFAmtSmi4vPee73nz9gDFMFuJ26eoQAva7 - xjnnnAOMuyLVYKnJXc7Zlsbjkyc/741vuv3d75Z77jlCqOsks5xVUggClM6qfY62tqgiE8hgbP3/ - oIs9fkUldRsn48rHf+nXnzcaXfWa15zHcLtpSoiJaykS2GKMLWUjXXQMmY3mV+Wj/9OagogIaoBG - NeTu4oOOx9tu33umZDAiBIO27eLMAZjXi7kPiF75h3xXPKNgKgREVe3az3AQ+tj1IcP+dTwAoGYl - 98f/i7Q3QGDD5XaOzYY6hixFBCFWMutyJx1RR1qtHDib5brv/Lbb1y9s3PYxnk7G/arc93FJ0c+V - 7Zw89RNq/X01MCAMxvxMivZsHsGYoAKNkduiqxA7s3H7T//8c2/6l1c/65qpSBugUF38hhH6ZK8Q - K8CkBuw5mdjH+fWTSQkMCBChBLAxYAoSglAfMmcDkZqUUsKwHi8HlvbC+VOjqvvQh+781++/MStN - p+OEplMGqlRJbh4pA72Lbd6F3flYDBwBU4ADaFJKy6PJs5710jd8uxw5NFtazlxroGZ7dmC0VJoZ - cXrSFtFlon+k6OJt0wikBjIt82kBIlJTwuPdhvsZYZjCjFR2/pzC+IqvdysZgiEqgsGgCmVgsS0T - 0L/WkUHcjx1K87FRaD4P1094KYFNQQbTh+yvd7+4eGmRXfTYGM1jy5cuZU0ALDIgrP0NIGMyDqbR - 5Pigkl/9YPnIRw8TQ4oBcXHw0B+F8mIngcUyCMGyChgcebPT+6mceNWX4uV/awqTEohYY9joZlSz - kRA0mAUFESzMB8Swd6i9BMSsy1KBU0qZoVZgKMPqvOnhL/m7w9/9vXv/y4ePdjhUoTRtxTTv039u - CFAFMwjBUIisNj2U5Z4//KObv/Y1q9ffuI5iMQhxigEwEQmXeJNhAxmj3w0txggyBCUFlObnFMII - iqhlOBjc8YEPrIgscZBcUkQxwmLSqV9FH3GF7M9TyCQYhLDNOJPohtd+Fa49OatHCsoqCgHRpa7R - u6fUzhnD53SYqqoxRlXd2Nj4lm/5lvX1DSLMZm0M0cyKStu2AJi5lMLMqvs7+o0xElHOue927/xR - Zh4OhwAmk8l4PAZAdKXvvJxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHP71nVd - VVUxRgBt29Z1rapVVTFz13UhBBEJIajqo3S7Y4z9C6L7vHf/Hj4x8n2nz73pTW9673vfe+zYMTPb - qYM/eXfPOeecc84555xzzjnnnHPOOeecc+7S6N863sxEhJkXb/ZO01mbUooVS7GSWyJOzISA3L+P - /QBqO+8bD7YS8gHKx1cNBxVBNEihUqjTKK1sT7vJpJ1Mm81pN+26TiQHMRMVMREBQESJ+3A4hRDq - VA0Gg+FwOBwO63pY03ApHgxasTA0UA7RUrI6dkmniJwSByZSVS1FTGEcU1KARBFArICpFdUSwm7Z - aF6DtYBL29Zwbn92Ava2ZyO7Qu0v3Q1AAOt/bW+6G4rSVdPpiFGaJqaAyTbOXThIZCrzHzQwpwI6 - cOgwiEvXWjXcML7l+74PITEiAI777Ir1dUra05HeuW3GMIC4/y7NC2oQeuhvFyAASVouiu2MGe75 - 8XfSdPPUsMZkq7IIszCMCpXpVgBBBKfX7I7by4XzPJmNB5WWkovGGLaLbMd6jevla44ev+kGHDsG - ImhGgjSbw+Xl3ErDoQwP3G26sbr8Bd/z3eByTT2EGEJCSrmTWCVKKECISEZagFJSqloWopAeR0r5 - onWVoV3HVQIxtIADRqO1EI5TGIXYUaiZ8axrcO+dYWM9ZemXnxAZcVAQlA14WNZvsZhRtESuEqib - zXB2DSFCZxiMV2L1lV/4MogMloamAKPLqPr8Gdk8tLYINeGhtakrjAFZrWLGoPq8N7zxzL9422qM - a6fvPzhexUHOIZnMiBGAMl+OLGRK2teGyOadPZ6nzfvFy0oQhkH73WS/bmuB9JsCAYTWsN0EPnH0 - +M3PxdUnEBI4YjgKUpAFWRECLDST3B2++hOz6Sve9gMY1OCAFEGQZjYeVLB5cfCpWXzOOXcF46qq - FWBpVoZ1N2s2R6Mjf+/vnNxaO/u/vWfj3nsOBq0qbrtCZENGjLDuc71qI+hDWowgGPc9SFOkGq2g - NU3gsr11PQaf/MVfveXENcde+uLpYGkTVELMFZhMc07RBIAF4UAGUiYDQ3VfLUF3RdE+ikl9eBVR - QV3HUONLcs7dl5mDgrLA+oPHfg3eOcDwI42nmC7OL9iUVant2PCErg08L7ESYMRQEtVuz0uGSI3n - YWCyS9iRfQwIGsRYbdaUwXCIYWJmQq6MeatBNdq69vhz3/rm2/7pD1WzIrNmZYCcAULQxTE8oRCU - 2RTBEHS33h20/xN7KSP0Nd8AtqJ1ROlkgBnffe8dP/8Lz3nTt+uho22oB8UACEGICrOBhRgAG4LN - r1OJ9RJ3aveLDGym/XwKQWEBisWD3he7rR8TSIOCDJA8rAfTpiTCmPOpkPDhv7j93T+3eu4B7mx1 - NGqn20wciJs8GwQy0UXzdx4A3sE2j6bvfOyXVErIM0QoV8ONTibXXnPya78aX/jy86N6c7upU9qW - kgDrLNFArvgpoMfI+rPORRp9PjetYFUlU4Cf0DRpMEAMZgxSJpjhYQ/oFYcNQylRUQuCoWUURmEA - fZebYQxiAGRkBBhLP1FGyqZM/QxWVsJu1RwQA4OKJQAwfvhS2plfIejF373E+1/j/o/3h65RmY2V - UGkZN1u4/RN3/t+/eYNWSZtOkQLUjMxsT7B8fiONGBBAGVpQJ2o5bjENn/u8Z/+3r9leGlQxbq11 - YWmpxDhtmjrWpPNnFAhICgPa8CSsP8ogEdFUk3FEKSJNEU7RRqNZl2/5lm/64N987DCZbnbMoED2 - GQ63df6YKXDRGM4CNpgZg0DGJqsB58+dufcDHzz1Lccny7HhZMJEwbomBbrER/PMqiDr56qEASAo - BQPAMLCxAQb0+fakivs+vfZnH7mJDDTf/5maqTICg83mQzdsnpbvvxYGDMGUoVFQIrZGg9ELnnfV - q77kbra6HlLObZ7FyFf8GOE+V59Twzurjcfj+z59+uu//hvOnl3vLyRClrL4nAB0XQcgxth/8rkr - ZX49/bO2IlJVFRGVUkIIRLS0tITFS+hLKf0r6p1zzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPPEFVVARARVa3remtra3l5eed1yv1bS/dvM/0oV9L/PBHlnFdWVjY3NwGUooFx+x2f - +p7v/f6f/umfDiH0jfCcM3P/cmxveDvnnHPOOeecc84555xzzjnnnHPuCta/k7yqisiiGUxVPEQg - zaolM0sMTATRrv8ZphioT34wjBUaCcxkBliwzFpijdpsZATgsDEhqVWL/AqUGWpFBara3wYiYmZG - wG602ABQa2RcowrK887GPM/BgAUmQ+40Q4qqEiMQI8WtfIGYQwrEBlWQMoyZRQqMCWBjUgKYjI0g - 7P0499Qzsye0R/TU2183YvffYe0kVeb/QEvQliNKujmJgxrNFCFs3XNnNZtEJmaCWQFiv1GPRqhS - VJqZ5fEYIYGSiSI89m4QA2HvzZvfunm3G1gEpS/+FTJAtTKFCnL+2C//sn38U4dn7SkmgpVmPaaA - VsEhtg3IwKJ33jX9mzvzgw+OilTQpRiapoup0mBTxoTToec+L526BkcP9kUd1BEUtRSMhuuSsbKy - gbA5GL/gu9+COiEAw6gAC6ETcEghiCkRAdSJxsAhABRBIAp9qWl/efN+4Nxz3xUIUChxqkAQ0VBV - iIpJ80Vvfeun3/4vllIqphVAB1bqI0dsssVZAOielBrPo867ab2+f7aT8oqx6koXEBPV5/7yo0eu - eiXGI5QWXV4dDZELZi0NawCp2rldi7w6gPnd1Cu95sgcsmlKAVCua5ltrq6uoi0YDbpAMGIF5gF6 - A7PQvGkZFgW7vmI4D7USsNtSZQCqxP0OOmgBFUahIFwdOnHN6NSzcOoERhXYECKM0LaN2mBpedK2 - FuuuSr/ygQ+86bf+w9GVZQxqJBYOakhArIYQucKXvXPOPZWarovMoxC062Lk9dLlSCde9RXxwfW7 - fu393fkzRytWUlFJgOXPfoUPQVDMS8vzfXzfqksBXYs4wKxBxTpUHjRdc/rcn7zr3a940xtWXv6F - NB6fpyxEiMwKVrAyMbOyUb83L0/oknCXocWBsiGYJjMuhWzRE37oOfcTcDBGbCiCUqAaHvayDrvM - 0sLPNH0ftB9ASA2CIIWe0Jff2KKlSfOEq0FFujw//ic1YwXm0z9P4B9+gmjOo9FYZ50VKVJUodBh - iklCNumWV+obr3vBG//xx37mfz26cXY6nQRGsPmCDQay+WG7MKBMBDai/sxpZ82ni4ZzkMAAtqII - BUxYCvUhLZ/84O/Nbrz+wGu/OgcYohAbk9C8260ENkTVMM9gk/UX4jLcxIxMg+6erAO86JgzwGQI - ysHAqiC1klOVUlsOTRvcf/pj73zX8QfOLMECoZluV1yLWohEKCL2mVYhsos+6T+yIRCkQ2Bkpona - 5lXHlr/i7658zVfdD+tiGCyPu66pQ6gGo9nW9mgwlMewz77y6W5HefcyADCw7CzZfY8bOznwfkux - xd8CNChguvePKu1zJuTyw1CYBVMQG9BPLipgxNQvBFKaDx4Ihr7kbQTqp19MQar9xP5Fs1skmE+j - Y/H7hvnWRTsTC1h8uTvEX9r5lp3HV/rxUJkNIB1IOz6/+fH3/lq86/4VxGlRilAACoLuzuAZGLA+ - /m1ggAMgUMVmkclV19z82q+2UycvxFRrWB4Mp6AspRoNpXRxdzwFGYJxeFLmlkIgVSog7ZRQqmEl - FWUroiEOhsPnP++aL/uyc7/x71aqwkUl674mZNlQhX4tMCaowgzMWIHd/lu/feor/5vheDzRiohV - 0eW8XI+6LJ/9eh8rNigxrF+bTAl9hF64b45z/7hHhZEK67Bk/Ml/Hp29EHPXSa4CSgYHs8XGPx+l - Lx5qGBCYspCCgaDoOJ0eD77ga149WxrY6vKk7Ygohkg7g4h72trXS8Q5Jb7/vgde97rX3X33ff1F - RP1Ww/2TnZg/zUMhhP12uwHsfRm8qlZVparM3Ce6c84pJTOrqsrMvNvtnHPOOeecc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOOefcM42qqmqMMYSQc15eXsbiNc4xxv67/Vs/E9GjBLyZuX+D6c3N - zf4nd140/aEP/dE73vGOt7/97czctm1dJ5FL+D4DzjnnnHPOOeecc84555xzzjnnnHNPgq7rYox9 - Nhu7GW+YBi1ihpAiczLLIsUMMVQgNYXAAOlzFwa1Iv0VYDdlwww26/tJoX8DfDNTNSMjMmOjPZni - vtW9KKfs3pi+EI7SF74D2MAEQMwURsyqxSAUOVLfYtVS8mBQiYlAAAWDDUJWtDCFPjiiANNuXse5 - y9Cj/DOnK8X+0hE7iUgtmWMynleWo7S//8Pv+DziZQPaFgasn8+z6QAKhdG8K9RIXjp4BCFAYWaU - 0iaAWAEhWSD0Y8l+EBAAAyli/yVBwEbouFTEbNCCGJALQgQTggAMg5BkFEUr2G7+8id+atXKMS0D - yQmtdR2RMtuiNaTYnOK+u87d/cl2/dyw2IBBgDGMA6e4lTUeWF2+7tnj605hdRVMYEJVyawJPATx - jKhFWhuEC4PwBbd+L6oKoQLXWCxAEFBHIRg0EqAGshDQAoVQ8+7dfYyxIcNDm/M0DzqFEARgZhqP - sL3dDgcba82grsXETJZe9MKtu+8WAwN9I5rBZGqwwJA+t/awejcAKV0AWUDuZnqh4Ox5nDiEFCCZ - c/jIu3/qxd/7AzAIIbc6qHh+9+Y3FoR5q2lvz+1KRECTC1VVHKat7emRNIAqwCgyPrja3n++AuYJ - orpq2kaY2CiBAwOixQxA6DOqEUSkxdA3tUmZggKBRzArwBZZOxgMT544dNPNWD2IpTFmMxhABFF0 - HWLMg8GFms+Nxy/8p29FVb/ph38QHBATmMEI0NDv1sHgy7Cc6NwzSH+E0R/9qyoxeYrsCqKkHJnM - uChDNSCkUBQbg8HqN309tc36v//N+MDpAxFJYApO0AwOQUQCEUKQUgyIIdgj/VP4xflYv8udV1mF - GFAoIgEtRrQoICqOZKruPXf7u372+d/RLn/F32tToOG4a1qUMkSIoKBMIRRYV7qOS1VFeyK7ve6y - s/OajWBWCbbPrwci6xvDO7VP40WHdf81VrP+CE4VgaCqRKGZzZA1inCIRY1gzAEM8bXtqUZETduO - BnUqhFK6zQmbgfQRjsJpHuvdFyYWk9APcUxQXaoHzfrWsvZJTohI4EAhWCkwu6wO/tk4ciyzNlEs - mi0ChEoNpQVCyQgUptW4+tIvPN5u3P1z7z3R6kqZmRpqqIEy0J+oRnQG4Z1iNKGfNsNDF6mSJgAE - MwQCWRDj1koSXD/DX//vv/iS665fecFzpysrLcUURl1bBuPhtJlx4mBaqbKpEmfmwgAQ7TIKohvN - a5nRdBF8ZQBCAKdS1MCRQzBwWyqlKsatMh0fGM7WN05RVZ0+f8e/evfK6QeG212IABA4iCEgaO6I - QPTI62dfdGWGKQzg/kfNiKxidAJj2uL63uWlE6/+iqte9/X3V1rSyIoJSgogE226Og6KGIgfQ6P6 - yjWv80L04g1TVQMxxKTt+rXaVPlxHq2S7mm5G2YtY/e1hSEEK/LQaY0rTSmFOEzZEod+6srMEJmJ - YBm5C5E4kpR2kK1KoVGRSADLfLVjIBAF7V+KyUFVVSQFiiGUohZYVdSMmRHYzCAqsDQfBvYVvn0C - NCUPl8bTJg+qWFlsppvViFZyO/2l/2vwJ381LNpSIU4crOScuD92nR/akjFAwSKggsyAFdQ1pjMr - q4fiy1+ML3/lRhoUTgY2mAoFjijCIAIUECIQiBhAErA9vED/xFJTCZGKUAhVAElXOAAEK4LReK20 - z/u6b/jT3/2TST431lmlkoGKuahGZlENRGoWAkMf+THiwkogqBmIoIRCiMVOnJ/c90u/ds2tb16r - a+ahGYwpX+LjKyUY9Q8TMG+vQ8EM7qChrnLJpLIMDmpZpe7yX/3Gb56ygK6lMB8sTCzMt2plm2fa - d8r0RBABQVNEYxhWlXW2yfX4S78YL3l+Hg5ZiJmylMQkpUTyOvIzx+7q3e8mqqrKOYtYCKG/fDqZ - fed3vvGv//oTO7ObOt9bAWBgXt02s1LKo/8xZmbm/sdijP0nfbe7lMLMfaWbmfvXyQNIKWFxzuWc - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555x7Bupfp9x/3r8Audc0zXg87tvb/euj - H/0lz/1P7rw+ur+EgLpObZt/5Vfef8MNN37jN37DYDDIIpE550xko9Fo1kwB0GX1Vj7OOeecc845 - 55xzzjnnnHPOOXelIUL/BtTMrKJEpCr+BtTOXVIxRuwpBM9DfgTSrv+3GGaAwCgSItiKAVAjWJ/1 - IFVoMESNYfGPMnYiCEo7fVTRvrZCfdYWRmYX92j6xPfiItv5KH2wdZ5HUCUYDIAxz2NPzGQMUjWw - gUDMwbIFMBGMwvyKDLSItRqBACFl6M7lzj3lmNkg/WYYQsg5E+GK7nfvu2uihkDgVO9cImgj4zDH - YdNFIqgAKGfOoGSGLQrRAMEChxgRIozM0KmVNABHWGSCCURyrNJn+MuPrA8T7xmRwAQBIsXOtCaO - CQBSghBQACnY2qDxAJLRyZ+968evKeHk5sbBFNFtGzJHQjCUwkJgYGOjuevTm3d9CpsbCWUVSAQm - oKLGwlrXDQ8ePfzcW3DyGrBhZWhaqK41W7Pd0nB5IobRaDIcd4P65je/+YZhwiAiVkLRBIH7MRHo - lw8AMEwBhTEWi85oXsV+fHhnhN2z7GB9MA9IgSmlG97yXZ/+sR9LM8tZh4eP4tzpdPgw23ltG1YY - lFgCwQyqIFr0+wgAyC6+nZGyCggrxPnjd6STr0BuMBgtpziaNJg1SJHruqoYIggMUxBfdPPsiu92 - QzGsqlnXLKkMRkO5sG5UIjMiZdMUA6n0OzmoGiiFqEXUBAoGIpGRGQOEUmBmgTCIBASoZlWE0TZR - KybV8OCN14abbsCBVVTRiuTZlJnj8kqeTZuc42jQjUafZn7+rW+9OkbEgOHQODQiKcQAXezfeb7Y - 9Yrvpjvn3FOJdGePa9Sf6YRpCrOVePPr//t7ZtvnPvCB0eTCgFQEJYMJIqKAmnEpgRnMUspn2vvT - 7v5SDazUd7wZQDDd810QMBCpZ8Jnzn3k5/+Pz5Ny5O9/2Wxrc3ToMC2P8rTt9905d4UxGA+IbXt7 - mxfNM/e0ZGZ92JJUY5HJdPszRSIfBwZ0T3/Rgiq2JtXqijCAfj5RjfpD3iv5dOoKxwaFVTFBlUXQ - FTQNm5oBtBhqHvfjs/eIkgxBrGtmMECVmJlZCaJKRBcNb5cBJSiY+8N6UmEYGwNBKIullCiEiZV2 - uT72ZX/n5gvtx9/zy7WktLWhHaqIEAGFKXIGRyj6853+xI+E+py0AiBjGJS13yD6bUcBWOw3okrz - uGiZbv3Wv3rn3/+xH62fU9sobOcmxqqdbg+Hg05bghIEgJICEbtF1csoNS2sbItdFUEIwjBQN2vH - o2URLW0X67oejqxtWymry0uTMw/eOF7i+x+87e3/cvSxj6/MtpcYsid6rniEaLTN94wIO7OYNp86 - KGZqRkAkysUQqamXzw7qI1/xpVd909c+OIizlTFaY9P5TIYBhn5Xa8+48yNm6+d55xvy3iMQqGmb - zUyB8ASN5P0SZlPkAhOGiQro8gnQPw7GKQ4Go+HWxiaIEofIPIg22dgYhrCqtpoL5Q6UUTIubHYb - 5w+tjjCoMFxGNQQlIDQcGo5tYKuqTgsYKSURaZompSSlCyFwiFlFSiaiGEIk1iL91BMbFGCaJ2wv - dcq6HsRZO6MqGgXTfM3KSC7clz9y25n/+PurZy+spiEgTe5YwXu2LLJ+NoiA2F8cAaood5YVzfKB - 84dXP//13zobVdsxCeLOPiaY7p1EMpr/ByAYWKF0aQ84jBRgNgBsCCANlsmYUtiYzcaDEY5e9ZJ/ - 9M3/37t+4gXjpXZjY1BXlnMAYCZAiJGlZNHwSOMMGdvOlBntTuhVqkdn7dn/8hG9/Y7Dz3/+bDQ4 - 1zbLB5abZpspXNqH2LgfHQEmUwYDrOBQV9vNLA5qzbnp2lWyg0LbH/5IuP/BsLGlBgmg3blc63dS - 82eodu8wsiAFLE5xMDNMQzU7fuLmr/4H0yMH19pWqkgUKiZiUn3Gjc6up6rD4XBra2t5eVkVTdNU - VTWbtW95y3f/6Z/+BbB7lkNEzCyy761CVVU1hMDMOeelpaXJZNI/OdQ/cavzg1hvdTvnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOec+i7quZ7PZ0tKSmeWcH9uLlInQthlASuFHf/Rd - J0+efOUrXxkChxCIyEwuXLhw+MjBra2t4XCoqlIuq3f0cc4555xzzjnnnHPOOeecc84555zbHwOU - OzYoMYyBAGOjPripfeeVDMYFECYFGEjWV3oXQW4F+tZb39u+qOazyLD2ya29H8nYaB7h2vuxsADo - Q94wJuOdnkvf7YbN/1AfXAkKMu5rREZ9oAhGiy6OwaAgqGl/g9meFqEW5y4z+053LwrT0E64CoFA - UtC2BznENiMSyKDlwv2fTtC+8WwEJTIiZq6qCkQAOMZWShciwrzVTSoxpX3Vmm0xbgXerXcTEAEr - peqrkyZgaq0DhUAZTQPpcKH56I/92LLSTXU9O39mZVCX2SQlIgJKC2IIcN+Z7u671+795MDKKBsV - VAEpkhRMOrM40IMHT77083H1CbQNlpYhWa1wlbrtaUrLwwOH12J9jqk7dvRFr/9WECNFlAIkKFg7 - SpUYAhg6j+6E0N+dPtq9W+Tpl0dYxJT3+3jtds0Xvat57/ziJSlAjIxhOp/CkYarnNvJdj0cDW55 - ztk/+ONlCgNDZYAIEWMRcbc9UTRe1LsBEKGoKiEE4pzX773v6MY6lkd5skHL4Vii237yf3nBP781 - QnPTpXoA075w1N/H0N+mx98rf6qZWQQtVQGdahFmptESZjMQcrsNAKA+9yIikZmKRls85gwDikEK - UgADxAhgLSYoBijFWYIujQ7feCOuPoFDhxCp255W1ZAGsSoMTrP1zby6+uDSaG1p8LI3v3F1OEQ1 - QKeIFQAzDSEICsECsFui0/neHMHr3c459xgpaRsBmBLYiIyFkFNaX6Fnfds3f7zM1v/Dh+L6+Qr9 - AQABSClBtORiqkSfU7/WCEIqjD7RqgQy5j2D9+JwQsft7OT9D97587/w7O3ZqX/4VRemkwfC9vLy - 4elsFoOExLO2mW434zSsY13M/63905kZGSjAohEXaTc3YbLn+/0BmDzyL+8XAYCREhTn14bHj28n - sf6oT1TJQgie7n5qmVEIDClsgGqzvrFEtkhoPgEHgmoGAsysz8YTBZFucwoDmbEBASZWoInYLrd1 - wVgpmBlRBxILRYiBaOCqMHPc1gYRWUlOXD386tcc35ze/eu/+pzlA7K1nozbohWBErgDy6LGDQBQ - QmEYISqTXXzaszuCsxADFFWIlAnLWZ670fzxD73ji975z8fXnmjrUSaqU9U1DScDVAggGIENVX+S - djkdzPfpa4VGBcEUJDTvoy+Nx92sDcx1XTeaN7WJwzDi0KyfPwXwnfd96hn12mgAACAASURBVN0/ - k/7qo0dyO0qhmWnd3y+DUpFgZhr2VKV3TlF3ECAGBjiECAYITCKSWDYs3guMXv6yk6//1u3jR2ZQ - 6TITKYENaiAEYwipEtguow76k6Cf8IXR3hWpn6pgAIpu1tRQIvQb+mNhPA/3GjCfI0YAoWlIF/PD - BDMjoit6f6HEQFhf367jkIlKabvtZrhUP2tptNJ0ePA8PvqJrT/58P1/eZutrUXJud2mSEYgruLS - 6tKJU8dued7gRS8cXH8dDizLwLbqtAXr2jZWKQ0GOWcqytQ/CaAIxMxmaHJX8W5anY0Vu88NXNq7 - bB0IqlHZNE9obWN0773/+Sd/7uh9pyvkIHWnmSDMgQXonzhA34JmIwYQ5usVW6cBWK+Gn1hKr3jL - d5TjhzfH42LMRovJo0Wfd35PWQEiCIEMQaEEDZf4DpPC+oI4CzOIk4JIFWoERdzmavSqLz/y0dvu - /He/dctwXLq2URtTQIyaWytqZnuHsofhnSdvFpF7rguSdtX9p+96/7+9/tobJExjlbJ0FPiJOpR7 - dML9EmYA/T4IWYMxsWmEJWBjFjf0k7/xgeHmJJpIgjJU0D8aBNh8+sl27lf/RQZShBV0HWIMk8Hg - HsQXvvY14XnP3wpVNhukIE0hIo0RHNQudY3eXWZIAYxGo7W1tfF4eTqdxVjFWKni+7/vn/3O7/y+ - ASmFnIWZVRVGe7rd/XDzOY2EVVV1XSciIlLX9WQyAVBKUdWqqkQkhCAizOzpbuecc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc84559yjE5GU0vb2dowRgJmllHLO+7oS69+QA+iyAHjrrd/z - 3l98z4tf/Plb29MYI6kcOnTo/PlzVVWFECaTSV0NL8V9cc4555xzzjnnnHPOOeecc84555x7ciip - sRhAZgBIGaRsADj03VNTUGERUAFEEYRq4Z0ALLAIYigYtJM2WLxfve10QxmEvR/ZWGle+tgJeguX - wp2wBA1kHCyRIcjeahwbFyU1EiVlgC2xAcpKbCADzyM+81aD9J0G7m8NsIh/O3cZscsuLbZv+093 - Q4tqoMgpACjTaaoNqkNDUIERyLDdtOvrIyKwQWAG6ztLRFxVIDIYRIZLq5PcgQNEEANCkK4J1eCx - 35vdRjUocmm3Y13BCrLWMLQdSv7xr/zyV3/+Sw9xem6stOtoNl0+sIzpJgYVcoZmtBmnH1y/6572 - wXN12xxkldYIqIexNdpqy2C8unr0BE5dixuvR7clg6TDtL29vbq0zMCka+Lhow8W2tBy85u/+9B4 - jEGNFBC5ZImjIQzoGkpBsMjR9B8N1JdDaGd8XnST++/S4w1Z8yM1GC+KigTCILzoe75n623vHsRB - XYd2MqmvvTZ8/I7m3jM1iBmqGX0E/NH7JgRRhESRue2amuvyN3fGl7worRzQYqvB1rYmmM0QkFJC - l1ElGJTUdrvdTwehz5vnBrlNHECMrQkMUNW2MVGYqYEIosoczISJwdFUVcUY/WakAgICR4shM1og - 1IlG44PX34ibb0K7jZVlWClNV62Ms0iT2zAar82yHTloR47e9K3/HZaGGKTCIcOGwwCDdI0G4sgK - XQwFi33uExNqdM65ZzQjyDw/N8+vsiFL2YKF40du/o5vPz+jB37nQ8vbm0eqkJuZKaJ1vOhSmkgM - weSzNPd0T52UABj1aVg27O5NSQ0YReauHaxt3vGeX1q+997r3/SG8YGV05M1CSRAtDgY1lRDO7RS - mD/rnt5dqdgAIlIjIMJgaNc3IuwJ3Pn3oea916YEhpbz6yOlmRoYzKyipIZL3dF0nw3RfEommIFo - 69y5ZWgf794TP37sY4LBeCdkSBqIk6LbmgKI4AJlxAKDEQfOOTNfRuVNIwhABCYzUvTH8YAQjEmZ - cpvjMFpI923PTlx1+Pg3fm3eOnvn7/zedeMj7fTckFmhmkERLA/ZzKyf/1LqF+7iJNCgAO8pFDMs - 9K+XCkiCI9tNue/MH779R/6rt33/oWtOrC2RMY+qlKVTssIAEAzB5mVe4Yu3xqeeAjA26xcjsfYt - 4VICM2BChSqOrCJdN5ldF2I4t/bnP/T20cf/5njXLFvpOq0CIEz9iTSpEYQQZBHwfSQUmYsCUFGD - ISQBZmphOD5XVYMveMkN3/XGyZGDp02Xl5e7yRbqYICCGf2OlYW1v/WX0Qr6FFC2vfOzlmfNgB5j - U5sMBuKLo99GACwRsN2ACPPRR9nClb7g2ZCLjYdLubRdyUvDeHgwpLPnx10+86E//OQHf6e77fZn - CV3dltBsMaymUKwwGBS7s5Pp3ac/9ad/tvX+/3N9VB960QtOvOxvHX3FKw4cPzLJ3bTLZTBk1fFg - WEwLVM1UYWQAMT/CRvEkHOcRlM0IqCJXs/ZIO6vW1v/0h3/kwD33XVPXXErRmaJUgWFiCg5QAwAl - SP/EBhAMgCoMoW44nq7Tc77p6/CyF24dWDnXtYM0ZhgrQKoGhurFw50tDkcUT9JISABgNL8lfYBc - RXNV1VKwBlQroxu++es+8pd/9cB9p1eYWJChFSGAskkAArPpIzw4BigpgIufbAGZwfQY7Pbf/YPr - X/5FB171yknCdgOO4ZJO67BBaXf2qN8+hdgIJlqHmHOOrCjNQTL83v+b/+y242J14I5JVSA7z/r0 - +1Z+6JUDgZEFMHRAqkenDStf+sXpNV+5pTwrqAcjA1RLSknMzIx8GutpTh/2CQBMp9MDBw6IWF3X - bZtHw/Gtt976b//9bxEhxpCzAHicRe2u6wDEGEspbdsSkS420pxzSglACGHvl84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjn3iEopIYQQQv/mrDHG/Xa7AZhZCEFEAFRVNdlq3vjG - N77vfe+76aYb1Ypmm81mBw4cyDk3TVNV1SW4H84555xzzjnnnHPOOeecc84555xzTyojVQL37xPP - IGPAyGRRPVAyA2lfrmGwkCoFAAQDQAYlZQNDYSAoALa9b32/SHvbQz9y/3HPD5GBjIOCjMmYDBfH - XPqQxp6rnbfkBNRXiSIgDMLeYMvF8bDLLDbknqHMDDQvPjwNut3Yf7pbs7WJawCSEQJSVWH97H96 - xztfqjUxi5bAjPPnqq5UTCjzZaRGIDIzVAHEagQr203zJf/jD8IUdQUGTEIV+0Hhc7w1O3kY7P1I - AOlWN60HlaElbZGBjdlfvPPHj6b0DS9/2chkpcvcCnI26bDVQQTTGdqCB840d941OXemMhwANGdl - q4aps/BgK3m8dPD6a0fXPhuHj4AALVhaVoipjUcDSNksurV0aG00/Lw3f8fx0QApoRTUNaQDqpBg - EGPm4UCArdINYwXSMB/dGH0JDOjDV2SLh0cfPjR/rgxKBCiDQItKuALzP0oAEHbGW2JUFUIpVWVb - 05baajhE6VZvuOH86Qu5lYphMDEJkaHQxZD98E2hv0I2YykRSMzrd91z5MYbMTRKQ2kmh1aWb/vZ - n3vBG9+AESPG/sbYzo4H/PRo7pSCVFqoQHIiyirVcIjpFLNJJSUYbJFsVxipBmIjFZO+WcVAJEYI - oAC1RmXW6WxYDY4fXXnO9Th5CtuCGFAvISo2J3FpCV3JsMnq6v1ML3nbD6KqYQkhghJmXRxGIs1k - ShrrKoJzk6u6xs46MN+ICkIGGEj7XeWcc85hkaJjCJsqghAbUTRbEgSjKQc+fPDwP/nH50aDB//j - B9tz544NBixZRLLYIDJzyF3mz3as2Z8g9alXst1TL+kjpv2Z3kIRrRN1k63j27O1/+c/feLM+k1v - eN2x60+sHxpvi5Q8G3aWs7Qclw+sdpPtZ3aa9GmPASEgggBsr6+v9H3P+bcelz4e318RGcgAghHI - 0JxdWzJiUyMmIiIygPRpcVJ1JQsgKbkOlIhRynR9nXSxNjzuorsR1ABCH3AlQzBEQ55O0eRILKr9 - DNP8fO7ym/jpQ7D9TFuUEMAKArgLoGS1BBTlKrVR7y/lqlNHTv2T/+H2aPf+mw/dXB+3dr2giUPa - bmxEgCGYKri/k30xHQBAwrbzZb8RsYENjAKAoDsXUju7ymjlb858/IfedfPb3nro+quno7A2nY6q - YWG0DAPFwqzKNq9NXz76GisAIVJAiZXAxqwsbTcYDDqWYq2RoWtGItelhI/d9Udv/59O3fPAynRS - STZCAlhDfwplpLurjPHifHfxt/ZOKRZlZoBFtQUUZiHMqtE5qpZf9rJrf+Ats9XxhUFVDYfbG5Pl - waDRLAwDKZjANp9JVbJn3MkRGR5yp8mgZv0cbjfbJiIKQHki/2gwklkTrJ8XMDwtJuDIsJwGOUsn - TUwmiejM+fGnz3/qJ39++tGPHS9tlTRqY81sEJmrMNvuApIgKJmFbsSozA7Ntq5uuPv9cxsf/vDZ - X/rXJ7/4b6+89tVLzz55ocllNGg2NiIx13GYQktURBVIHEwAzDeOPv8MezKmXEishoVme2Vrs9qc - /tUP/8jy3fccL4ImGxTgGCgwctdvX5GkGEEYRgoCmYAA48D1pvLZ8fLRL//bh//Bq84dPphjrJSV - AUUyZdPCkPmA0D8twf0yB2CEfjC81OsQKwMMKn2JWkkLERknsElBqG1p9ECenrz2WS/+1n/0xz/x - kzdlOpzibDbT0tUxSsmBWVQfPsoYQfc+y4J5Nrt/AoYJqZld28md733f9S+88eCJI9XywbUsVXjS - xisl453ROMZoXQ5WqpDTbIqzW5/69X9zctLUpQBKBlLAEAggmPZ7fqWLHx421Iy2ABFUDy5Y2D5x - 5Pnf9vVbh8ctDxNYlWddm6pgKVgnoZ9Kdc8ctHuEIyJtm6tqMByM3vnOH/n19/9Glaoud323G4DI - PA+/8+Lz/ep/kYjMTET6F8M3TTMYDACUUmKMXdf5S9adc84555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc84555xzj0JV67pWVWZW1f6TlNJ+690ckogwR2Z0XQfgwoULt9566y/+4i+kKiyPhk3T - TCaTQ4cOra2tVVVll9c70DjnnHPOOeecc84555xzzjnnnHPO7Q8DUGZoX+lmUwJA4D21UwP6LK8u - sm5EGQCZAvNWEP3/7N15vC1ZVSf431p774gz3OnNL+c5U5Gh+NDdpdi2fEQRtRxbS9EqlSqrKBUV - caRKyyqlulBwKG1n1ELaLssR1FYbRBzaAUqZJMlMIF+S48t8053OFLH3Xqv/2HHOPfflI8mbkJAJ - 6/vJvO/cc+PEidixYw9xT9zffMEugbt8VShBIfJIkQcX5V1QFYclJUcISimyAKJ7uQsEoMu40QAV - 4ShdSq0CGVrCSxdRYTyPEylxPBBYfJx5wlF90scHHSy6m4A+OQVSgg9QgFJCqE/0B7wdyQXKCSpy - 5mytCqAVeIIqSKGqAoV3KGWWZTAYQBN6FRxKtHds21D1DrBBFzdE8weC1VAhR8wmEH3rK155WYub - k9btaMo5OGVijMfwnuoasylixqlT23ff1z50rq962PuckiA552dOz7fJrQxPfPLNdMONWFuFcyCF - 93EyDv2VONmdMVow9wZb7G/+ru+5Inh4YNCbSQ7VigMrEVSIWYEoMba51+tXvuR5MCAglLxqXt6X - vfaTAcGHGZy3yDV/2PNMy42x88y//aY3fP2zP6Py1Ey3ag93w3X1rXfOHjxLXeITHIkQiC6d7qiE - JAgBOSIhV6GeNFOXHU7dh6c/nXztnfOT8fq4RlKIgBU0j47udlkuzgd7cvIeUIfJ+B9+4sdPxlTV - fczGCB4XzlWknh1yAkEZnAEIiEU0A0xgJlWdZcmQTDmxr9bWD1155aEbrsGxw/CUY3JHD2M2jcgp - arV+KClv5qzHjl32rd96WS9gpZ+IiWtkdVFQVVA47nrTJraD0K+qGgLQPOVoMRAwxhjzYWAFUGZr - rpvgkEKFITGmGDjV9eTY+i3/6mvvUWy96c184cHDkF5dz2aNqDKTAiof9APvJRocCpC4vaUEyt28 - kFQhsoiGZcqiPvCA8xrRcDq796/e8o4L5/7RS75xcMu1u2uD7Yy6clyFJsfRaGSZYx/fiAiqDEcq - SLJzYXO95B1+hPr/LrtxMbYjKIEVFx44vSLktEtgJSL6KASHmkdBVSFwItjcacdTehzmuDoP5SbA - QbSJ2NlxJ4+TAlnIexXRUjmfSGQeY+6USD0AJcrEChDpbDZZCUFEYsqh7jUkZzWvH177pG9/8QNb - +f1//jeHnR9StT1tV3uQtps6sXYh0PNLXItZT/eMlHkZgUhY99JMy7/DQd1MJsNW9U79m//8qk/7 - /u8OVwB1nVQSmJXKtTNhqCalDzd8/SOu7K10SbRgZS/sM6r+YNyM84DBkMno8qpa343yjr9/y0/9 - /KG77z80ntU5VisVmraJqImXKykBUAiRU+yfSO8RgAUCUfauCq2mSU47/f7gf/7Ua77zJaOV6uzA - 66BumqbKoESeHADpevB5R/txMUV99GgpAV2pCwneV6EUs/EEpQf5yHUiABhox9O+ljZBP24S02Oa - 5ZzrGrW0hy9M5O3vftsrf/7YPQ/d2EbJ47bSqvLOISZxkFA5ryGLZm01Cwtqggec5CRxtjvGND30 - +t9725+9+drPe+61/+T5OLKBlbUp0TRrQ+Idw1FWyjk7uOXNKMHPjz8WldVQ1+ceCpub7/oPP7R6 - 6q71cdNLKoqKSVmzSFY4B2TS1J1orN31LwZAyCSR9LTjdMv1N7zw6zYPH9l0nmJ2zmeIEpQEKlRG - vN21NY8Saw2U7zPt1eHHa28xbyIoC0kmrwQoM4lj17YtOCTHOfRPp/ay5z7nulv/4aHf+e2BEnuX - Y84kIIZzDx//l9YSgJKU5g5AJgBw6BZ2WY45bL73jvO/+/ojX/vV2lsfu4Nd7z0ooe6LW+qnCALl - VrML8FnWk6zmcPp1fyQf+MAgTgkpE1jAgGeCltFHNwTVpTpZVigZlUeTaOTd/X3/jK/5qnTDtQ95 - t4KKhZJAiKmqZrFBSoN6ECXblaxPAALsy+3u9/uTySSEmpl/+BU//Eu/9MuOXRtbBZxzqhpCaJru - NvXHkNvtvc85L15YZjHe+/LWAEaj0XA4xBNvEGuMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4x5omFmAESUUiKi8m1K6aDrkZype60ACMG1bX7HO9793d/93T/9Mz81nTaDQX/WaMnt - FhHa/3cnjDHGGGOMMcYYY4wxxhhjjDHGGGOeZLQEvHYZFHtxtSRdroqyEqAOgNB8sRLa3WVoKenF - wSolxkIJSnuB348GKQNEyiWBaJG3DUC7b0HKCl7krWRyi4gi0vL/4o/nE6sAPN8A/qhEihhzAET0 - EYwl+hg6cJQLqwKi4AQIoXaMnHoxsyhADEaUeG6zSlkdxHW5OU5ZwG2J7mYIIXifcgvnwFBCIxIY - vgoH2xrqQgEzIQMKOMAr0Aoawbh596t+4gThlkm75pTQprYZ1EPKXtopVzVSwrkLuP2283ffzbEJ - irXArJJSI1AQx8q3ayuX33QzXXc9en2kDKSErBpDi1Axti6E1dXzvf65weCZL/m241WN4AEBBygF - QEoTyMyqgJKqF9S9WgEX2yos0ruLvbauZCkpALAjELjkK3d7/VjxUkM7Lz0hgEs7TnDw8OEb/vj3 - zv7IjwwmzXBtHZMRprP1667f3N6ezkYDD8oQRSQ4Ryq6l/KsXcR4eacSwCMKONKIXsL4rvuGNz4F - qUWvGjhqtkbvetWPPv3ffS96taJLN8V8Cz8+PuKXEoIqFP0mevbT2PQBNG26/z6XWkes4ORFBDWT - ioqKAh5wjqDUiGbvZNCbVeHwVVf5a6/H+jqcgxLgXb83G29RqDj0sx+ciml2aONp3/4SOMKgD1J1 - YZbbHkBOQQoSBYvAASyu9n0IUtv4OizH3igYCEDAEy7pzxhjnhxY4bTMpiopwatIZQYWKUTWKtTq - sU2JLz909bd+49n19env/PrumQsijSO0WQnRAeScXipdbDFcYO2SuReRfgxhgIWFJdPeCCOJ1r5q - mxZE4sTFyeXZje84dcd3/adbvuJLV7/o81ePHronN2nQX+33mumM+PFN+zMfWyTKIAZREsyanQub - kjIR5lG4i6TLx6gkbjrd/wxw7t4Hrm4z932GOlWBAnzR+NR8DIgGdsgJqjj9YIk13asPyssDxceC - SaGYR6iqKoMgunnurNxwDTuvqkSkqqpabih6QsmcGPDR+8yZIQRxQpBatI0phyoxpyQua5/Us5tI - lWl2+ctf+u5X/OjpP/2rK0Z5rVfnWUMOmeAEICktdneOKAAFS5mdkYLVZUbrFJA6w6G7QkfiADST - pq4waae9sV5+it7yspf/43//vYdvuuZsFTK5kDkTt04A8dpdontCBU47YZkHijthp6gTnEJi21Ju - XXbSHlNd35rhj//utp9/9VWbZ3q7F4Y+sPezUesBAjLKOpS6Euuue+oHn784ZoCTJIEkyCglvzo8 - +vRPOfqdL9laX21PrjZ5phKDo7o/0ESOHKkkJ0rdxU1WVnocc3+fdEgB0WYy1ZxUAf3ITB5LaUvK - s+m4r1rCwpW6puPRX6d+AlKSTKKIq57Wz2zV77nnL77/h2+axLXZbu0FEJ+QYiRCCCTqcpsiJgR4 - AnNXMqrICh/cCvP26MIa8yehPfff/tv73/ymyz/zMwdf8iU4frTfq1ObppSoDt57Id3XpSsvHaoP - r3l/RJlAdS/u7KycPn/Hq1516H13D7d2DtVVjG3NAKtkzYroEHyoCTlFB0eqTuFIQACBgOgwWutf - GK5+2nd88+zwuvY3gmB3ujscMqBKaDycwmc4VVWS+fW0IAwgs2RCZqBcLXz8KIOEVAkJhOQAsE9M - yjPKElhzzJlzz0+HK4kmJ7/8i/u3vWf6zlsHlWcmEXHESOmiS2GLOt89KJnW1P3ypksxBhhIaXZ8 - UN3zB//vketvlud8Jp04pik+njsMIbBKyGDVTCLETpAYI4r9nu9PdWVnhr9515nX/8nVrDNMe8FD - 1Qm7Mi5Q1aVVZermULR0mJi4gp9x2Hju/1p98efc08Zq9fBslAlBiV1AZGqzrDpPYoPJj3uXbq9S - SiEE7/1rXvOan/v5V6NrORiQLBnKTZsAeO/LPecHbfdSSs45733TNCICoAxZRcQ5R0QrKysAmqap - 6zqlVFK9jTHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMebhcs7lPmXvfc4ZwGO8 - SZlIBS44EQkhxBgBOI83vOHNL3/5y3/g+74/pcTMIQQR8d7nZH8wxRhjjDHGGGOMMcYYY4wxxhhj - jDFPagxdfMRCSm4DAJDMIyoYgJIHoKCS59BlMGEerb0X5oa4CIDb9xaXfOtL/H17BaKLwF6KjdPu - 5axuL0ocPP8T+Qytu5eSMARdtka3vsiAipbQcWUATh/HPBFjDoSIME9q+Fhvy4frgB/VUkAYBPKI - i/ZiNhsSkQpSBgMppumUs4CJGaQlNKMrMpCDwIOyasoZSJAICp5ZkABlOCwHbl1UwhfnxnWb4Ery - mQhyRpPQxrf9yCsvU7121qykBEmYjVEHX3skwmTMlcP5czvvf/+Fu953zPvBbFoxUkSGSM2Nd9m5 - Q8dO9K65ZuXqy1EHuKCSyFUIXh0a+BlBmLHWi+sbV/3rb7xq0Eeoc+1bCCNQzJVnR+B5pjiINCsx - OZCKKKQOHqKgS2RPKeZNObik4TDmCy6He3dl0j0kSBee/YhxVrT4pySb0f61CqiqMch3SvPUXmg3 - d6rVVbSKa67O771N44g8oMgCEXi/l/F40Xt6RoyoPSFp28yGdR2bZrS1PXzoHK68HBpZdU3QEndH - zT0sT44fMXvtY2Ve1ph3pSX46uJ6Wv7JCEkwm73zh191UrVCDpzBDpN256FzVcwg0hLWpyDWvSPC - 3BJPJbVVGB47tnrFydXrrkO/j6qCaCIHXym7qSQ9cng35kRh4v1TXvZv4R3qCsM6AaUK9VwFoIlN - PwRRBXFO6hwRAQkg+LoWadh1ses676exb0BgjDHmAEov0MURK1MJMlYFKJK6qo5J2tikHu84lys9 - /nVfg0rv/s3/Hi+MDvuA8VhVCcg5l7jVbhY372x4kcBIsnhykedHCkBYkQkyDy7zCqg69kraxszA - uqfe7vahmdzxc/918L47r/rqr7j6qTefbyZNVM8sYIUn3Rt6XWrMu9dRyP4R2mJOuNSNz9dTIjkf - 1smwlvw/CAkrP2yFvG9t3dxyL8V8sf6ynsTsFAyQcOtEiQlgCKlAE9RDgyICctGGdZv9wUf4F4eG - fpBAXJo/T+Kg4TFHYy7HtKML+uVyZJeeKTnxe8uzdq9jlRLuiPkWcNk2ZSgpZ5IWk5FublKWLgMS - Qio63zulUnsPttkM3VdjFAx4kebsWTQzolUFaQaLwgGUFsUq+2NxCftKeFH4JSqSlEGi+6rBoky6 - qN2y5fokH9TMDysAkLLSvASAbvxGXUEtl9iiULu1LDUX2FfMTMIh+CY2lNPs9OmNrB6aGVCBMndD - xPkZSJduDh4BdwnLmQggVVVG5RTx/GZoGhl4ITgiQITADpIvebwuOs6dpSlR9+1FZw3tX+zgBKQk - RAqAFi0VAMl5OBhMcm6yVCGwSkpJCArUR4+dn+489Vv+zYNhcN8fvvHwaOdo8FmT04sLkBQKkpJH - vNRykjBYQKwki8VZAah3SC0Gg3pnMtvY3GLFm17275/7Xd927FnPqHr9mQtT7yJxJobAQZww7a1B - LirNReVZLsaymxeV57IPWbZLr2IsdwoKUmFIJijKhjEAAXLO6716NNo6xtjYnZ39td89/drfu2Jn - Okg7fedyjCBUAHunTDEmPy/JxUHRMh/WctFz3z6SIooET4586/yWU72v8wAAIABJREFUdzsrGzd8 - +qf1Xvj1OHJoc6Vu2jZ71hgrrshxTNnDAcwqstT4kPLjGnX8UbN8oPNe49+1laWPdgpWFiLAOREh - AUSIy8VZ4sxIyI00E8lZS6vvQHLQLkNBUg6lzi9NeJEgCdMZJGUoa+lVRIlo0egBAn54382PLkF8 - UbdL9Vi86nG9wickxAgSh1uT+vTZ//Ef//NN49nqdNTjlKM6QgA8QQkpqWpmcqyZqbtKkKS7+sKA - xpyQ172Dd+PNzR7gppN7Hzpz59/87fWf/3mf9Jzn9E8eO0K0HfNE40xBPgh1YwaGyPzoL5/gvDTq - w8Vd/7xnuXiPls+OrhhZy5mOSvIgTft33fX2H/mx1ffccXg6qQSYthVBpLtwVXkkpRhjAHG3fqIy - ggYESA6bPf5Azc9+yTfJDddMNo5sTdpq0NtYXWukLc2AkmTAEUiJFCAGlQs1lx6dPn72NRHdxR4G - JElm7xycIzcVnYlcCOH41Vetv/Cf3fmKVzXbuytJGXA+xHYWiBbXmpdHd/uOiDLp3giWgFZQB9C0 - 3cDOO//vX3/GM55GvbDb6zfOL48EFi8v/3Y9Ggl1TSiWG+2LTop9o5FShVigcGWoyKxgEAMIjn3b - bkxbOrP1vlf/X4cf2tQ0HvR8SkkzWLOCUeohwAGL0O1uT+dv5NiNYo4rg9Flx5/6z//pOFDor+/O - 2mE1jJIFOefMTIFdv+6Ndna5rj+cw2eeTJaGLqJgdr/xG7/1H37wPxGQuyaTiFlUADCz5KyqgDjn - 8sFD3pm55HYTUdu2VVURETMDiDGGEFS1qipVtdxuY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOM - McYYY4wxxhhjzCNwzol090GXx+VruX/5AFQBSjGCKMZIRKqaMwh47a/++o033vhlX/Ylq4NhkxpJ - KYTwxPuDnsYYY4wxxhhjjDHGGGOMMcYYY4wxB6TUxYbC7eU3KCsEWjKF9lmKL+Glr13IN+YRqZn2 - Mlzmi8nDvl5MSEqqV/djLUEPDIAUrgRAKJRKRMjyBgiU51kh+yJedJGFSiC9OMbLmI8JVb1U0vKT - 2EEjJRgEEOdFqvIswbtmsutRgRUsaKaxmQ1BrC7nJIrAyBCAAgImEVJR4hScq8J7fuFnnvJN30K9 - NRdKODUlqKp4YtISwrP3zhIbrhygKkrMAFKMzlekhGmDpIj5rlf/kjt7dpimt2gbcus0KgkRwTso - IQmmI9xzz+TUXePN8yTxEIm2EwCaoYB4ivVAjxw/8pRPwdGT0IghQ1qACAEtZ6G40j8nMlkbPOWb - XoSVIbxHqJEFwUurvYoFcMGVrSbAzR/AMRRwTPN2di+5rfueS2h3aR8Xr2UI5qlUi8jCxRd1KLEj - rsvNFPDecgTujhpKhvrDm/B9TzAxNGJl8Kk/9AP3f98PXNEbNBF1NUSeHb3xxgtvPxcjgvea1SF7 - kX3Jc4sgUYVmBIImLdsibePBgfSh99524torMd1Bvx+Qw3SCnBETUQAjAylL7QBwyiC3vCtPAAqU - eCSCaGLyKTbe1wAaScyVavbkAEiS4BhNxGh86kdfeTJSaBrvCXGEUM3uuN0n9fAiyYFChgc0gwhQ - CNCwHzH1rrrq6DVX0/U3ICaECgqoR3AieaqCELa4t9lfcVcdf9oLXoC6hnfwXqEyrzxuvtX90Bd0 - tcEF6s7ecuQJ7AKAsjgBPK8ST6CSN+YTFX2cDTo+YehSlPU8hnAvRDArwPDkSQSKdqUeNbzygi87 - enT9rtf8dnv3vVfUfY88atpBz81yJiKXlTIICMzEPqeWSUBdAF/J8X14UmZJ+M6AEhxBJZIyAZ4Y - QEqRGTnuXL+2ce+fvvGdt73rU77uK4887zmTw71N3xclhkeTCHDBJ8lRIgevKXvvGS7nLAAzEzhp - 3ktJBIC9XOEuvhGipN2mQnKZqe6PVBRIiQxUUpkvv0RIeTG9VHDJUiXmJDmrElNgRwoRydAY3Gza - 9FuuQ5giNZr6RCRa5RlykuyU+ym2nrojpMtp4iS8nI9I81GvQrkLyS4huyxM3Y/30nCJwOo8iEEM - R+hRduAEpEdfhWhei3Rp5OeUQ2Yo59C9pVP4DACZXCZkLuMIFUIQYRVWFULi8qOudrLCaZ9Yp+n8 - Cdfi1rcdb8acIB4SSxZj3st5nifrPvooVlI47AV+l0oRMgZJ87kzuP8evvJp8MHNqB/q3bQNcsJc - 0imVugPByl2IrHK3HiKZh60qVAh1ZgDRlVRpAZBJnMJlEDS6suUCsECevOndQtDuVOdyFsj8rK9z - AlS4hBQKwKxMwmXCKlDtYlmFIaTd+UkKhQixAkLMyhUNZjs7gyN9Hm9O33PH0dGURbOHCFgF0uV6 - 7kViX6rB+WBIy60+88ZQS/WmKmP0vlPXP+czHoRQcKmZVbVvJDrnSJj28nj3Npu74GcAXZmUHcwl - KFQAIBMUKNnfXsR1kaLzU2Beko++/FnZZwE4OREGlqZh4v00ZwDBc2nayQdSYZEWaP2gPjY8+S9f - 5FeOPvDbv4Pt80ecIIkCziEnOAJzUNEMEhKnzFjEq2cGqsxCIHGAgDIDRBmACpiQZk2fIdq67fNP - mYS3ff8PPvVffvX6Fzyvd/LwmCi2vr9yZDpJtePACSlCsmP2pW6IZCiYynW6pSxqLhP6cuVPGKW5 - 1qV8X+4OQdfCY95SzY9LKR1WAsipKsMRkQokJoYLnghN1gRy7JAEOUsOriaHNvvtyU2hh9tvv/NX - Xzt+y1uvaJtBntQEzeDuljEkyaqgRTwuzRNkSXLJ6sxghghEAec9eaSsyB6iIlG1GfTODFePf/Zn - 9f7FC9PG6oWeB2tQ55OAAoSiRnhOyGUCpeB5R75cVk9WQsIAC5PCCWeW6BGdMNQJXAYAZQHYZUBd - okBEtQDUNk6EcrmKTB4iE2yewWTUgyOViaLvQbHLh340lLrxEqubt9XZC0ghkmV7F5oVFLiXVWYy - qmrmCIAzkLk76OWidqmZTvYel2BjpXIhpfRKXcKwEhJzaSuU4ERY4UQI3fOPq35MwxZv+YmfObG9 - PRhtc4XEygqdJ16D4QAhKqeUajfQ4KWQbAAe0JQ155pRK2uUy8bjwam7tn7hF9/1utff8FmfNfyi - Lzh88ri2ya2tjrKQd+QdSUYUJ6IEYhLmrFDNRETMxMQKVSUIA5oXb6dEBHLCLubEICJKkoVJAoRQ - Od+Mp8NQuyhoYt8FBh2atfoXb/i7X3z1+v0PHY8ZbQoeMSFQaeQZgCb0SEB5caqJSPAUk7JD8rTj - 6vuHK8/89m+J/8uzxhsbmynXvb5Ink0nvh80lyEZo7RKBJ0PgFn3ui0CfLnQ9jgf3dJUZARFN0ID - REkceWSQZiGtASKaMW/3e+uf/qkbL/znd//ia6/JU9820rYh9GOc+kUfWGLMwaTEqqUXI2UuJ8ze - YuwhiBSgg5gm95x64CdeeflLX+Iuu+7MoG7b2KtDkig513Wdc4YuRt3lFzlaAt2FWSBOu0HmvJGH - gsvX8q3rBs2SsxI0KldVr2mj64WoIE29tj06m61O2lM/98vy3juO5RZZiMSX24fnI2suv/vJyILQ - Y5mJ85wcC0hj8up8Du2gd9tG79O/58W45spRqBXOq0ZtlQGVisRFsPJslrjq65O/if5ER490BEmR - UiLHVehNp9N+fzhry/SK/vIv//p7XvZ9WeCIVQUE1byYn0kWAHnp6wfDzMycUgLgvS8PiCjGqKol - uvuicO4QAuy6jTHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMeZRW07pLo+JKOcc - QigZ3iXbu6RxP+Kayh/SUYC1/FkfBTsHyL//gZcfPXbieZ/zXPZBlZScSGJmHzilRERt2w4Gg9ls - 5pzbW5/u/yPRxhhjjDHGGGOMMcYYY4wxxhhjAFU454hIRBwRM0vOj+JXusaYjzgBAV1e6/yZJRdF - VJQFLnmisu4FjfGlE7LlYV8fvpJFxlb3zF5iHe09U9Yw/7DI0qq6beDlJ5diSQSPf76GMY8GEQFa - OA4xRiI8qfvAg0Z3d5FrSuTKR6t8hfPnjqwOeCt2wcbtTEQIClGeR1MriYChijZBFEJJVFMOswlS - RE7kK1UCg0AgFgEETgGGRiVPUOUqAFDk3EYCXKg8AXGGlNHIba/+pfDg2aMCOn9ufVDlduQqB1ZI - AhzIY2tHzpy58K5319MJNWmoJbFOlR2CmyjQ7w2Pn1y56WZcdRVGu+h5KDWSBK5f92cxx36QwdoF - pute+m3whGEfhBQqYpcdKkIIhHle8gJ90G+WipS6f2WpHVxOrV4k0HRhOWDXJYAC8wA5h/kn3kjm - geDcfQBu6X3pEo8W37JIJF8JqQtuO/gNqEPIbXJVjZPH/bDOOw1lhcADrB90CLic5zd/LE4lXTiP - D5zCTVfBM5rWNe7vf+zHnvW9L4PT8TQOhpVzjJxARO7RZwJ+NDFkkSeaffCQDMCzHzWT9XoQ21RV - nnNEdpg1D/z4jx/e3g5Mw95AxztU9XB+69z771ydNE4lsAdrkpwEylCPyH5Kfv2KKy572lPRr9Hr - gRR1ABi+appZSxSHwy1IU9ef/O0vuaYaoplgZQVQZaVAgiBQDyqpolAQQS9xrPfv1CP80BhjzMEt - Zj5LT3WNrc4zyUrAZGRc6PtZvXH0C7/gqStH3/GzvxDOn+9tXViteDrLGsqwi6raQ7SJKUk7YILq - RXGqmM+XFhGq8zTTvQWoW4y6+D2SQU2Tna2rnds+d+7v/stPD/72r2/+yi+94mlP23J56iJXXp1v - c1R2oddXVfJORATZecdAlKyamBmQEoC9pAxpuJuFKilrppL/1yW/Lr+gLLf3mkuVqsxD/soLBV3h - MBFDckySY8Wurqsk2qt7nHOObVVxn3g4nqw2bcgN3vbOajIjReUqQlPGp/um1Zc8louvtPwvKSC8 - N1llgipUkbKKJNXcddAHuRWBgHk8MyukizUluFzeRUgZBCWZT7nLYI/mm1hCrMvEvtQG2ptLKwu8 - Zm3jZONwj85s77zrH05G6RO1rTKDgeUU9sdgUS0Xq2AAijqnjfEEd99Nz7qlVV13K81ogh5771Oa - X5LYqxIC2st3L5He85jJkhMsvChVAuleljOAEm6pBFKehz4/uV00LF6cBSgRy9QVcvlRLrUTVOK6 - pTwzTzh2StSda6zEmWiS216/lycXkGXnjvcfjQIgCdh1We+kDxtMPmoKLgG0e1MbgqqErGfecztE - dTqCXx1UdTNr2EFVvHa1en6AF6fP0iHuEn85E5SElEsplQlNaUVY912fyoyyWjrg1SXWrnTz/kRJ - 3Vtg7xkhMPO4aSJ7ZfjLjh79mi9fWx3c+muv1c1zR50EjzRFya/VnCKUOJSVLJcxITvt8llR2ikS - 3Zv6da1QEAkivZQryXf+6m/42+646UVff9XJE9ONYw9sbq6tHppNW/LMzsE51dxKFklExMxaIoLn - Z0ppw6TMdhaboSDqpqDlWiHt304hKHx5VVleSRgi2l02KN2RdyG4SrNKzr26mk0aUUA5IQfvPZC2 - LlzJLmRp/+iN7/mt3+zfdc9lk1Hdxn5F2nblu1wJ986IeX+32CxmqMA5hlKbkyD1OKgS+XonNtNB - /766uvqLvuDYC79usr52NnhB7g7iopsGQPII3cKTlxC0JAETvIIAJ0yQUv0Iwii9D6BQKrWPFKKa - S2MDIRIm0t3d7WtXBjh9+hB5FwFQ5TVGVAfcpPlh5TI8Kd84wQB07/2nj6j26mprc9zr90MIQO62 - qkQ0g2ne1zgpuzAfYOyvMNI1FGVJUeLSSbKyQAAuCfQ4SMvwGDDAKsNW2jf9f/Wp+1ZGk4FKysgK - BmPekZFAqeuLF4M6PKwjWDzp5mOq1RZrMl0ZTZDyQ697/X1/8sYbn/+8y7/wC/Nkurq6OmKeQhJx - CMF5p6pZsgjYMRFBVHOWpEJwxFkUVEZYXRlngShUMgBmDs655DIjKdqcp23T7/fiZNJTPcwckPHQ - +Xv+4PfPv+63Dt13/+Wh8rOoAIJ3OSURh1BOu3Iti+fjKle5NMsxIQSOGWdbnL984ylf84L6f3rW - 6MTRHeJGo6PsAOeJse961HLxdEPi/d9+1JQQ8nmG/b5faSx+B5OJt+uqCXT8y7+svf/sA6//w+uY - dTqexWnPOX1YC8QKAkH5ot0sIy6RMoDiCsrgNJnmt71j/JrXDl/y3UF1ZXXYaG5FsiKJNm2sQyhr - ICi6ms+l7Ssr5q73FwAMZIJSd1myGxKUBpM0VD1JqW3yoOpPYmy0Pbra62/urKX8wM++Ov6Pt5/M - UqeWGJrLfb4lZF0WueAAQu0nszTwnEQESKKBfaIw4uqUp2d+w9fi6TefZWp9rUmC86kbHgvP51OJ - L/kLLfNxJcZ2dXV11rZN0wyHq9PplDjUdf1nf/YX3/AN/zoLvPc5Z5SK9piadBEREeccM8cYV1ZW - RqORqs5mMwCqmnPed+e5McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxnwkMHPO - mYiIaPGMiBzkT70zgHLPtXP0spf925Mnf/mmm25YW1sbjXf6vkoptW1S1V6vJyIppeUQcWOMMcYY - Y4wxxhhjjDHGGGOMMcaYJ7wPkf/F+qGXedjyjyW3e/nlyy71OY8PtZ6ljDD7JIcxHwUHj+7mkuin - xKQCSD7/5jfLzmhFakCRREcTzbEkomUCAZmRgawQEuQIEhCqjGnMEhNU0QUasgJtzsExsxBLl4pe - qbLPYAEB0FbqaoicsDuCB5rR21/xiiOJrkhEk/Fqf4j1/mw87vXXEBNigii2tnD/faN774kXzq2R - U0nZQVUpE6kTrWa+f/gpt+CG67CxjmaK1MALwizN2npwtE16JmOr5unq8Bnf+C/W+330A5yDZISe - J9IspNQlZeOA0cc0X34v45D31qF7SwFdzpZ0iczsGZg3qLwU0a3gXELpDh7DTC4AkHbinH/Ky77n - rv/jlYenqUeAExw9tHb51Rd23+eZNCXPB4qhBABWWRPdvevU6jUn4rQN/dVB5Y5OI0ZT1PVgWJHA - lbgtUgbNorjwROoLCAIkpYpK+mEGCFngPIQ2qgESKvKQSBQxGt/xyh89ub27HliZ0mjqG0XMO3/+ - dxsNVRCGZBXJSIzokSo/q/uD6244eeNNGPSgESsDbWZUO6hqbKNqXh9O6t5DLjz9xS/GyhBQuIDe - OhyDQY4yoEBM0ftq/4Z/0BhUY4wxH3OZaIcp9fzx5z77H918/Tt/6JW9O97rxtsblTQtqgox6ijF - AagOtUcjUEoQIDOUurhKFkaXTQsHWYQVl+DApbhHIjAgJSpv2uhg6OM4hdHomYdOPPiXt7737R84 - +b99+vGv/aqN6y6bkDw025HhKthJmysXVElJBSnlRJydZ+WkWXz280RwACyLAQlxF6VLUhKFlZwT - OIXTff0SzfMpSwqv7o/IzcSZ9mWplh2KbayCCyCVFNiF0M8pznZHa3W/bWfqnVSJJuMj0MH5Md73 - gff/99+cvfv29QfOEQBGLJnECjfPnS2rvmQ6JhYR0EuB6EKaHAA4gRNAXcnGFvaZVVyTXIbriXTp - v48GK/vsBJxJEnNmAeBUPCAkrHCaFMikiSU7IS0r7+6EEJASWmYlFrBTVJl9IicshOg4MnhA2uRm - vIssD77j1svGsRaGZKLlzdi344+eEBIxAIe9oyyEIPn4JD74F285/LnPXj1xst1pQ90PFWazacWu - JEESAZAyrFagROqWksssOo94LImS5TERCOwUCipZkpkYgFAJdSaCEoT1yToOYu1C68vBkS65HCBJ - DIAyQYHEIJU8z6gmRZ3gFFDJjIY5s5TmIoCDMAkzgZQSg9Z8M9k9PGtx6r7JA6erJKpgB+QuqhnL - +ed04PoQmUESpGuOlCDIlbTygXtw23sve/bT7/WYbu1sDA+1bfKeo0SlNK8PUNLuVV22K5W5o8xT - X0l57/hSV+tIZT6BYiFk3sv19PI4BvQqWJhEdTiopG3vabavvGJt8BXPu+lo/96f+VV//wNrqekz - 2oRqGHbGca0/iE1Dl7pLipCZslAJzobAAQCpAgRx81T1zGgcvMjRM6PRm952150XrnvR14dnQdYG - k9G5UA2aqIlcZiFHFLyyB0CimgUg6lqPEthMBKgDLbWH7pKnTgmLJSg4keuy0qFQcVpqJVRbdg5A - zrmNUwY5OGXamkjVWw9AKzkEIDVVbC6vFHfd/f6f+tnx3/79FSpudzyA9nqDyWzSLxtCew1v2aTl - eqjUNQtQJucaRJ/VhVBrFoVSzISUpRmuPXDs6C0v+Kcr//sX7fT9BWqyA4Q+odJe5xG8wDzuusrs - BCBxyixUDr8yWgUhAw1BkotdjVGuEwthdX1jdO78ytlpf1eDeMpSOUqS+IAXIKiL75XS+XbbRuBM - 7c4Yk1Ga7K4P1zJxzJSTY/YABAwFK/w8q3sRk6wEIVHWcjHKSddClthygjqBU3HCTqQ0JmU01Tou - 2/P41QcnqFT6k+a9f/xnKw9u1zF6AgRaBkXzSl4a2xIhf6DGqvSeGzU2d0YrO6OnHl45/Sv/dfMN - f3LL8z9v5XOfv7KxNllf26r8jkjT5pp9XdU+pxyjiDBz7SpmFkHMmckJISuyljEmkXdA8mgoJwUk - MifyCDWT10jDXtPuDHvoT8ZhMsW7bn/vr/3W5J23HZ82h3nQzxIVDGibkqKqWGKEcmbK1G14adPi - rGUghLqJUd1wuj7Y+NLPH37Z8+LGkYaokRaB1EMkUwJl0QNf8XoCyUQT5857uuxfff0DDzyw+5Z3 - DafTXg8yy4vWDgrichWwq5hCYMh8yN31a8pEWQGoSpV0A27z7PgDb/7rEzf/wRVf8HmTqU4k9Q8d - Hcc4nrarw40Up9w12uWNKIOFmAQecAIGWIB521vGHl1vQAoFSVZCYkgbe/AaxUPXgnMVNedOX940 - kz9805n/54+uuLBbI5NzKWdPEHA5E4G9Jh1A26SBY0kCgEX6xFAZ9/muQXXy8z578LzntGurja8b - pZ6rNXfjCSUIVEnmQz7gox7Tbj7ClqdLdPH4o+73d8djx4GIZrNZCAEc3vrWt770pS9V1RBCjJGZ - VZWYVR7LyL+qqrZtc84557quR6MRABEpN7cvh3arKtGTuP0xxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDHGPKGoas5ZVRdx2kT0iLndy7dU8+IZ731KKWfd3Nz9ju/4jl/7tdc654Kv - nWNVBVyMsdxDnXP23stjujXbGGOMMcYYY4wxxhhjjDHGGGOMMcYY8wnoET/R9ORw8OhuAACJMndx - eWfuuf9KMEFKwl4cT0v8kqqWDGoFVLtIpVk77SHDaVDyuvhMGAEgZoVULjAEyJKziIApkyuZ2A5w - UQHGaApJELz1Fa84Mtm6xVOdEsYz5zwmW3C+N+hjNAEHbO9O3v++zQ/c6Uc7qyIrACDiET1mCu+q - tcNHe9fcPLzqavR7YFUw+oN2OqrXNhpup71+O0s6WJus1Te/+N+g71E7BD+OTe0ryVIRIKpZOAQA - osJ0kKhpmufG0cVPXzKiieYZzHlvOTCgy1HfBw7U3qNdGDUCO4wn6PW31tbW2l2kBhrhGNfeoPc+ - qE2jAIWQmtZ96LXucapoRpOHZHVzKxw/il6VZpP1Ktz+X37yk77npX5jvdtFEZFEodd/QuV2AyUw - UgKlLpIeBKXgAXIgmSVuBEzAFOPtu3/2F49OxmuUdTqdNU1/dRWRces/5PPn1kIAQxktYyRomHuH - jx6++jpcdjmOHgUTmJEjqmFskrZZQ+CNjfvGu6kfbn7xi08MV1DVKqB+H7s76PeRI0LQktYJVCW3 - Wy+uRY8hyt0YY8xHgYARqi3RPPRHrrr8Ga94+QM/87N3v/GN4/H2IQ8SVJ4rpZxzGxthAKgAKC8G - Evywvv/iVDzai+AFwFrGZqgryDgRsELQzfMnEA5P9dwfv/nP/v5vr/7cz7z+iz//uquv2p6Mxr7W - arjbzHzoZRWoOiYCSY4ptxCtiAnMuhwluPeBfgD7ozCFALo4yprnCcGM/T9VKuuRpW+7fel5V7Mn - laSU26icAru1uqdNezRUnGZVnKAZy7tvvfP333Dub//uiiT989uX9/ok2rYzBdgF0exELpVGXHK6 - lzZ6b5u6A6ckur97zVBiIk8cVDkrq4KIqoNHBZf44VIU4oQB6SJXiQWiRKWQmQQiToW1JKBzBmUq - qbpQ5a4ESZS4lKTkZuDikXGrb/8HOX2maiIhh4BUhpjKNA8NPVBI87xwGEQlaLkExQNQglNZmc3u - v+32kxe2Ql0PV05szWKbCa6CKqkAzBDpNrJ7VSkKKXWA5jW5HBpaHIou2ThfNKJeqvBPanu57ySY - zwigyMRKUHBXOWmxp8K6NNEAMD8HS2SmAI5KgStDYh6HuLtB7vRf/FWvjU5zeYuL4s4fS2XYe3uG - qkKk2xWpJa5d2MK734MbT4YjG64eqCpTSCkxS0lt5+68K1nsWDQK0h196kLN59mtudSDpcz4+b6D - SgQ1Myno8cxxFwIRE5G00Xuf1wYPxHZwaOXy53/OJ1dr7/rJn04Xzq43kx7nZhwHzsVm1m0tocye - 9847mjcuJAJWgNSrApQAZgFBtAsrFS+0CqyO4va7T932gz+28SWfddkLvmRjbWUm+YLvxxCYXZTc - pKxERMQgJlcqTOkuQCBAWXJXxl1eOz3sDBKCkmB+IGR+oEThwCVgliFJWQGwMjOREsCsTF7hNWbv - vYvRTZuTPY/7T2/++V++7zd+57Kt0Ylm2msaT0QgNM2AvUq6uJSVL26Pl6u6aM0Bojk2i2jbtvYP - Jto+duSZL30Jnv2Pz3hM+71pO6ugn2gzJFYWQIiFIMSsIAGqc7iMAAAgAElEQVQxswqplPIoy3TL - UyZNqhkAq3dghiNIFq2F5N7TvVGs4YFIWStyZclHibRcSAKgoO7MXhzQmmly5wdWTh6NLs6EMnkh - BZjnJz7QjW26WkoiKJVTS9KwAkyExTEmVUAJGUokTrlsAASJuesqPzLFfGms2msTzlyYvPfOa1Pu - E5DhCEQEVV28t7p5gRxYjEqEwz1AkC6Meg6Te+677Rd+afu3X3fLF/6TY89//uDKk2tVNe33Itxo - Oq2DD96X2zXbKKqZyJFzcF7L0VAq7YyyMsGzkyiakTSykCM4Yc7RbY8OS7OqDc6dPvsHf3TXH/zx - 4Yc2b4b3WX1GlCaQQ+VS02YgiShDIEu73F1sIQIRmpja3qF7nf+kr/4q/mdfeGa9F1Wa2KoPIfis - bRZxRCRK9GF1TR9bAm4l9dc2tmXnWd/57bd/57/D+0aHc8MAMaCO1QNgKWfp3kn58FWpKhNApArN - qeerI6SyPX7HL7/ms48dHzzzKYdWhufHu1T3q14/pczqCQkQJQVIwCBWsFuUppbBOgRQiFI5Wbqu - AV3jT6LkyPmqApLz1My2h7E9QTL+kz+99Vdfe/VofIwhWUBOgExeur24uG5HoKoqbtJM0qAe5ti0 - RA+yrn/Gp175dV85Wxk+KEDoSSwd+DzvvayLoCVZnPjJPt4zHxIRee+dc5PJTASnTr3/m7/5m8+f - 3wSQJa6srJSwbRVh5yQdoD8q2rbF/F70pmmISETKby5SSt77nLNzLsYYQvhI75wxxhhjjDHGGGOM - McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHmE1e5mVpESq52CCHGWNd10zQHWk+5MzqlRIQ777zn - RS/6xl/5lV9ZXR02TVTNvV5PVdsmOU/M/HHwh3SNMcYYY4wxxhhjjDHGGGOMMeajhogWuRj2+1Zj - jDGfIFSVPr6SnQ4e3S0JzA7KKP9LLdqHgyhUoJrGY0fMJWGxi2AkUnVEQrmJ0x5neCAzQ0pCDIhB - UAURnCZIBhy5njgkIANJsUJwbcJkhiyYTe/8P3+SRqNrKR9hmp29IFUV1gaICaLY2UXb4Pz26Pbb - d+6+f0A4UXl1DJVQuai5Ycyqqj5xcuPKa3HiBPoDgBAkxhQyIfS5Xnto3DRrK7PVwe//yZ9/x+++ - Dj2PmtF3mTWjdqEWUHBQAaly5RSSASEJ4APVEF1aeu+hLn1d/rGiRGU72ov3JsDvT+ZzCiWQLOW9 - PToCREUoaxuuQvHMl770gR98hUxaVbiUcfSy4cmrm/ffOgAgyADTAWL8GDJgF9vZ5PY7B4eP5PGo - t7JaNe2hpoIqmha+mk6n/WHFcMjaleUT5pST+X8NoCCPKuV26NxkOvL9qq4IbcRoeupVPzaYjk9U - rLMJvFOS/voKJru47T0XTr2nlnY2QyCMM3YH5C47eeT/Z+/eo207yzrP/57nfd8551prX84+15x7 - cnKSgEEuggkWKopgORqVdgyr1KpSe1hVWDq6KtDEC7RgV4ljWCrViLTVrTRqWV0gKCIXBRQIoGCI - EnIjCTlJTs79fvZtrTXnfN/nefqPudY++wTE7Eg0Ce/njzP2Xvvsteea13fOveb+XnWw3LUPvU0g - QuC4tBQGc6jNhuNiy86hxsVgJ0Ve8Av/EWWBUIIYIah6R8DMDEjNeYBaMyJOlgqabtfrUlhE2tXN - /lFmXZZlWfYVsbSY7W/R0egCpd5Cteu1/37wrIP3/t47wulFrCyVUGI0QOERSjcaCsAMYoESeN0V - mS4BSwZhdPHmS/FuQ5BpBxZwChAaQeHgAyQhpeTJHPPCaNw7tbT6+++5/yO37HzxP5l/xXfPX7Xv - hLRhZjYhpUYq5YGviK2JI8dU9LzULajrdrNMRgbdlEyOO91PXEtiGiCTtvfaWIjXf7L2CBkAISSe - jnW6YmyXqfbs2uEYakUVOBR1bMcSB45La6sLF7Bc4867j3/gT5fvu7cfR/vqYV9QMKwdd0/mvY/O - iaijyRBr3YBqEgZdSx136UolcwpvCuOu9OlF1tK2ymIKwMi8kiqUzBO5DcVAlTS51BUTHdgpnMIZ - 0/QnJoZ2M8bgzMiUEdnUK8jYACKQwQSTkQuh9WoEgjlFaSnGerNZ78LKPe/647k2lYyoXYp8srAe - nX3eCDZ4XetqM2yS3Cag5MQXzl74s1t2/asfPL10Ic4MWg5E5EXAXQq9K44zAOr60wCBnAFwNhn+ - EswDLIDRNDNsALrgsTKUDCzANDApT/GYoz6qyQ2wsRAnZiGC8fSkQGFgSNUqwYxgRMJQwBm6Eu90 - hqgBZEZAMJXh6m7vcM/hM5+6dXtKDIUjkbWEe7epPs7cNRuCoHsWZUQGgCDop7jb/IPvfs/V3/Ls - +dly2CvPNUNXzDK5YEyQLkyuhGmYG4puQTJjUhVlY5B2+71uj9dx1jWjafopvLIRVBjrY7FPDDNz - zmnTpJTKQU/MNaP2fBnmXnrjs6/ace+v/Nr4zvu2jkabgodZKy0RjCAEhcIcwzkzWpvh01dP1pW2 - mZQZQl05lUCGQgGTRsfO9xcMOHNm+P+9//aPfPy5/+YHqm9/0WCeFo01khkqLrzvmVEbIxMBSkgM - IUSHxBABWueEmI3J4FQI6JLCZN12bTr9jYCRKgkgbN2EssCzOYEDmDy30kLMBzCcSRtjwyaDMNuO - xmm8vLss3OoQ7/vEyfd/WA89sG9lqZLouh47TMQM8OtOBdm6CxCgLzlvVlrLHlOrMihKbWs1hIoA - GtV62vvmxuc979/9W1z/zFPBtb6IrQ2KgciGu55PaWwIykIQYgMSE9tk3x/JMdQBMLAaA67br7KQ - qRkI3hDYWJmMbbi0GKreg7ffsZXZpQQoEaltOH09iWdPV3jt1jQwMWM0PPc3t++74RsuYmVZrX/F - rnZcF1G8ard9GwHdv6ay9iRkIOXJcYG7nZ43ODIo1tZeIYibHmWMnXXfzGyPc1/3WARF1cSlBx4I - K0tzEKjoZMWe7MSNupWcAXSRY7eRoxcZCodWkGo4gIDCUIj2ncWVpaO/9bZT73vf1S976czLvmPm - yn0rg4p7vUZbMTYwHFMoiElhatbEmog8s2OQwdQsJYXUBDNzxM4zAswZp9hfHQ6i4NxSfN8H7vuT - P/VnTl/F6GmScTLAgz0cjLSJDOo5P05RA4zhBc6mKWhACKEXllejd72jIez5if+Fv++7ljfNDx0S - k5mW5ERTkyIRBS4Zk2scT11F6K9EKRa216094w0/9Zk3vEEePLyn4jopDF6+zOWbySBn+mm3hmg3 - OHLGABQwDaDNTXrWmeU7fvFXnvOzN82+6IbUk6MrFwf9zZo0gAEvrJOAujHAZLS2LCJ36e7JUJwM - 3cAbwNpcN+LChVi3WqBBu2Sr2wbYevbi+ON/ceT/fccVj5zc4imJec9JhXzZmoKUAK+X9udKAGnP - M9q6UfRn5uLq6hBoN8/RgSsP/vAP6t49pyy2vX4U8sRNlF4ZFGKkgAHdMaPb8TyBG2/2j8Aevfqb - UhGq4XA8NzfHvnjo8OFXvvLHz5y5wAwzOOdXV1eZOYTQNM3j6HZ3nHMiQkRmJiLdfekAvPdN05Rl - qaohBFVlztdXsyzLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsiz76uhu - ZxYRZiYiVe0efGzffdnt9ikl50jNANxxxxde//o3vOlNv1p53zQSo3SBcIIjtpTS5L7pL7m/O8uy - LMuyLMuyLMuyLMuyLMuyLMuyLPuycrQ7y7Is+xrUFRym/rGn5u9n4+luIgDMDIOqOZFgpHUNKkAE - RTuqPRExm07eyEVqDuhyP6NmDIldkonVOesCfAyCGlyX90PoumyigGHAQFKMa0j6yzf+4q7g/cry - FcEHQhCjph2EHrzHao2mRq+H8+cvHjo0OnFq1mwbwUXVWCdQYloyWK83u3PH/L592H4F+j04B6PU - LcWiRH/m9Mqo7vewbdf+H/kRDGZe89OvRQhwQGBzaAEFHMK4HbuidNPSVCMRjniDXWSbvtmNH/Xo - ZMZ92W/SyVemqZvL0orrv2WD3e7Ln4BgVhuqUIx6fljrDBxE4ctq/5Wjh7/oOLYpMnfL6zE/uYFJ - KsX4+In+Su1mQts2rnUV+dt/+Vef97M/g77rDSrp2n6pdaEAPYnezMdAi+jgAFZwAJdckskglGgi - 6uaBt729OHVmT6uFGeoRkKx7V+LixdHtty8eOjRf8NCwaSEs1nF21+65Kw9gx05UM6AAIxjScBhm - ZqDApoWh0vlW3v2pT938sQ/tDkC/AJsGrxQAJoYBakJkxt4mmyZEBN5flrN70rTPsyzLsi+LDaGo - zp9fnCuLamHTxXqlSe3W73zpC/ddfc+bf3PloYc2xdGmYG5cpwRjCdzlmLuaMQzTnPWlTDfsURVs - AJcFgCdjibIADLFF0m5AIaTjOcPcWLenOBy3x975h1/4i8/s+s5v3/Od38FX7luhQNWMMq0OV2pN - VDqwG49iSTydhm7KeHoEUjJeC0K7aREQgJFO45S49CitH1kr2yTMCTAwaYJ3b/YHwUiipqoKzmBN - E1TnXfBKfjjG0vnVW2994E8+Wt99397Vdp9FUAwBQUABqYEvUCSISdMkd/mQaZow7B7idXcmrM07 - hQHUBYCZjBnavYoudWwmOkGmnq3YUAXbSIVEJwFtYyNn8Ap0qdHuB4Fh05ji2uRPboEgMnYKoUnU - UliNulGnGmuQuKtgf/bihXf8UfO5u+dVzIQdRTU3qa2vG0fQlyyWv0u3oM0g6wZxkyGzpjlLX3zP - B174vOfvuObqqlw4J1anqNSdCuharblrOq59MM28o2s0KpyBhdTIGRlNH19/GwnZZO5tOCT75MPm - ATUoCEJGBp70cRmAm3zchebVmRCUoYlYiIUIgNMueIxufVCGmRHBmZYS91UVHz1+5h1/UBw72TcB - w8S6szRQt/Spm73d9rjR9YGhAHffJwQQvMKpIg57Fy/c/xtvv+51N1tDmzdvHTMlMxWd1MIJBppu - kl2cnHnSeWVnMAJpt3poZEw3SQVofa2dJnVeOGjXxH7iTuHZAKgj5qJIKY2WRs65qhzU0NWKNh3Y - 88w3vPbo//32o5/8y2Y0nlct12bTOkqXToG4i5QDgFF35tXtgtZxkyCxSRpVKHcUlY2bpXNy65vf - Gj75ief88A/3d+8NW7bUPixKqluRUDpX6Np+y4QJNI2qshGMAeXpXVXd1mWTU9DJ9Nq0K0zW9Y+7 - nZICDjbJpjvnzFSTqLawVLgwA+6trPZEEev61lvvf8/72tvv2H1xdRDrwhE7EjITJIUD3LqfuN7a - Q0rrp2qy+TMhxpYMwSEpn24lbbli5sYXXPXKH8U1+487jArv2aVx65VFknNP/X3EY0aTDQFCasRC - ZqDpEoZOoujdgleQAmpmRmBxRGzExpxIy9hc35td/dCfDx96aD+SWQQpyqKupdrgLmJylAEwacNP - uvSmaR69B//8E/v+5++euWLzFTt3nFpeCr5kKEN1OoRY/yTdbkpBBtZL453pR0bdpiS0boBkk42v - 26t0I5knDptWRscffKjUSNp0+0OCV5WumUyTPS9PJnvj66YKAqbPAEDVmrYCilFzXfCr584fe9cf - LN7y8ZnnPnv/S771iuc8B/15eC+gmtAAjafkSJxjT0YgU6fqFL4b4aBru0sw9anl2JSxCSurOHvh - xEc+dvqTn9lyZvHKcVtGFIxxRGB4340+SFQjLIDhg9OkMLLJJSsGYFCwMk6uxrB10yORn/MTP4bv - /57j/WIINlcKkkOCiVcRAnsPo5gE5L5qi+cfgwlgfHppZdfmLWzNN73+tXf9/C/KsRPzjkoxI0V3 - iLfpYXC6hj5qK2MCgJTEACZSEcAKkSvg3YWVT73xl7/lja9feP7X0+zsiNMqFAhkcMpE3G0R3b60 - S9dPhhEEo+k4czoCJ4OBFTACASwwM4mjiuJmloULF5c/9LE7fvO39525uNPgkyVAk4IRJVFgnUbH - u9Hm2mmBmYqi9G60uixUrs72Tm9beP6rfxIHrzoN15ZFosAMraUKIdnkUA6anFmQGa07x8mersws - xjgYDEaj0fLq6JWvfOXDDx+bne2trIyZkVLq/lvTNN296CIbq3d770Vk7bu6X/h0t513Ge+yLL+6 - ryjLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsqxTlmVKKYQAoAt4 - d3dAb/Qv3TKzqooYEWAIBX3wAx8+cODAq2+6aTAYNE1jRkTOzPA0+KtMWZZlWZZlWZZlWZZlWZZl - WZZlWZZlWZZlWZY9wYg2VCJ6sttgupu6zNuk68KO4Kh0rgglogEK01SPncm66BGTgaEwkGI0GqNp - EBMAJhRdnZu1IVayAuTgQYgGA7yoM8XZiyD+4i/90nxqn9XWfe8Y1IxWXVm1SYIQlzNYWYEQjpw7 - +4V7bOViKe3WALRgwBySchtK2bzgt2/bdN016JfwBaAQhQjAnj2Uhj4cU1vcue15r3kVigByIA9i - a2sKVRJxLgSgTYk9BkUPgJJJTM5R5QKgakobfh/a5fHu9SvX3/pUXxKUWpee6vJHCgiBATeJ+Dwm - DHhCSubZmyYqCnA6+O/+9an/8qZ+q449omL7jk27dsYTR8FGj6tcHwAZ1Tj0IJ73dSzmFub8sNk8 - HGM8RuUVVSsonHMhgHRa63xSIGjZ1QcFaCPIgYGViwjlX7/hF/b7MDde7pEEhmlDjiFKbY0kzadv - k+On51tWYt3aW9y2Zft112NmHr1ZcEBSiIEaEPuqrMlWB8WFgq59zc0zVXlz+EWkhH6lSTg4Bhgq - Gs3MnCPHCgCsgEAYXDh/KWC3FlanJ7BQmGVZlv39qUq/X/rCr9ZjFm5QLe7YNJjZcv2bDx575zsf - eu/7t54/vdMHn6K0KD2ZrksUY617jcSTzB4ZXHcUtUspSiNENjYlAxsIaFo4wDF8ASKkBIgywwwx - CqLs6YUdpy+e/u133fPeP5+7/pn7X/FyXLkXO7cjePQc+j0x1noEECmYYWDB5DNMDuFrAWZMc7xq - 1L2AdUOadeMVvvwxAytxV+rtgp1GJgQjBUdwKus4E9PAAs6vjm+/++FP/9XSbZ/x58/Nga/03Hfm - 1NRx0ygDbIiMmBAUjq1PCIO+jkbdT+q60Wu9au6StAYHU76Uy+0a0QTtUopKiAwQCgUDDA6uCNRz - qFh6KoUhfZnx21dYHwhrLWolJgJBADCJh/L62TadJCO0DgCxMRkbWAiJ2VjJ4EydAQQhFKL+2Gl8 - 4jNH3v3+PcNYqURL5ljZSCYRxMun5FK18TFOPtb1xCdzElBgDMx7bDp64tCbf+Pga18zAxuWZZjp - i0VhGEGoWzG7IiM7ZSU4mwSbp/MeIFEWXYt8rzvvALpm+VpmkpXUNjLzn3zYKSk5o662qwR4BczY - 1Bu8dAM9VZeUxFij67K1CngyZnXOuMttCsOgChirUwDUj5GPHFn9wIdO/9ktu0dNH2aaFHAMQddN - 7+7EAQhrifoNrQ80Wf4GsEyG91BCKFxRD8Nffu7I6395380/2ZsZHGtHYWYAiJJOY7vcbQ5d2xWT - Bx89ATrZx3Qpz8meBmub0nRl/AcYDxPgVWPballS1e83xmqmJqIao9tyxfmi3PO/31Q88+rD7/ij - PcdO7faFSdvVlJ0BZkokBCHuXrWztfBw94pEGAIEmWxZ05eJQAizg2Z5NbX1wBVutb1ySHrrXXfc - 8qqD3/qi+e96afXsZ1yxdfOFkBadjDkReacohZ05AoxInMA0JD+dg2tb8WWnY84uncCyEU/q6d1E - AhClaEDTtiEEIjIRJg6+z2pheaW3tIJPf+bBD3185Z575ut6F5sPasGNWtEWBaFflDBAE1R0OhHT - Ja5dyl0JwpjuBNTbpbnhuTsE+UbpTGuruw8sfP8rtr3i5bp963myKMmalnu+GlSjOvV6PY0t21N6 - F7ExRknJjKGgbi0jgLtdBHUHQTXqrucowDCGsiNP5JTISMXG880qvnD8kbe/c2szQho5EmGMqE0B - QeAf85ZmBOkq75PLGN1AhQGUZsVw+WrH59/6ti0//x9s+dwCbWqaGh7JYd0Qgnnaugag8AYIVKbV - YSIAatPQu012SZPwvFft9m/dMag7+j9xAWA2gGm4eH7WWYSZBwEQJihgk3V4rUH8eO5wZIMHSJEY - 4OCR2mTmCGowTQXZVsT+yRP16ZPHP/HxOJjb/fwXbbr2me5Zzxzs3T2oPEib4OrK144iMcCsUgic - mBPxqkFSIAMplpdx+OHxXfecuu1zF+65bybGq5zX5VHpPAOjmPqhr7G2qI2pQYNzBVVm1DZJgEKm - 0e5psJwBhSu2bnpkrvec//DjePGLL1RhlRyFngrIiA2s6pkcF2IQ1WgIT+X7QJ3BgwkYe78kMc5v - 3XJdec2rb/r0m99y8OSZ7eMxkdJ09V5/1Fsbpq5hggHSbRPeVMwZHLNKPVB+VlPc9ro3vuBVr9z0 - nd+mc+0qByHy4stEADdeI5uwMiWFOFMiEIHB3WBs8qOM1350d1B3ytY2mwZlm5a2prY8dPzCH/zx - 4fd+8KrRaNtMv7447DsEjyahJK5VCjxqve6WvwBgh7GgSIJQ1LObTsz3bnjTG2Xv7jOqFgo2knE7 - W82MZVj0/HA8tGBdOxzr16Jc737K6Qbw9lgu+TKAJqWyrJpWmig/9EP/4sSJkwBWVsagbtRo3T3k - RVG0bcv8WJ72Mikl55z3vmkaVQVgZmbWPZVzLqXkvWfm4XA4GAw2+vxZlmVZlmVZlmVZlmVZlmVZ - lmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmV/m8nfd51+vP7TDenulSairvkdWyOiX3/Lf911 - xRUvf/nLZ2ZmoiYwqakzMPkv9+d4vob+DEuWZVmWZVmWZVmWZVmWZVmWZVmWZdnj8Lh/pZtlWZZl - T3X2uMrFTyobTHcDtK5+EdUKoBmOkFqQQxdMa+PafCEis8mbstgMCm5atAltgifHbiBAAkgN7CAO - DGEQggJIaGsMh/e99a3zKytXxraQhNSCC6TUL0qr6xIMMVy4uHL/Fy8+cqwajQYiRTJvbI1awBhc - MxebNs/vvwr79mF+Xpwz57wYpAUEnqMPNXHre0tFeeA1r8agh16I7Dw8uoJU6ANwjgUwQ+k9AAbq - uq3KEEKAAaYwYuPLS4UbmbFYV5MjdJ9d1iEEMMln8fpIJ2gtU3fpRzMgXU1nI91rAiCoHMGIXDAA - qpjtLfXCXJv65hOp71W8f//qsSPzRal1s9GXKQpH6MOWDj0wf93VfqHXnD9fDma2QA+95dcOvu5n - OWjhAgAwQfXxzcwnDDMAUZjCGLUgNne9453+/PlrW5tdXqLZYLG2JjIRRmOEgOXlw391W+/8uIeA - QW/2wP7Zq/dhxxaowQUkjyYBBscWuPa87MNFx8/4qVdvJcJ8D0U5BhN5AME5KCwpBTh2CjMQ4Awm - mjx7hgPgyMHs0qpAkw/XCntPrjmaZVmWAYBKHAfvx+0Y4MKVzvmLMQ1dmFuY3/NvfmzPgQNfeNc7 - Hz70wA7vqjY2rYT15Vp69AddrtLZ9P/Y5EEhNbCQEaiL25XBpShmYEUrIEII0AgFCgcHSuPYGy/N - u7I9c+Hi+c/cdtut/poDO7/9RVd884vmdu9uVkYjsO/N1AQlJ9YdaVgYvG4EwqbrA40MCHhdbnlt - srsgoOo0nDnN8llXD2UoGQo1h0SmhUaX2lkjrI7s0CNHPvPXR2+7I508v7Vp94+WB21NREzmkygM - ip4HC1TRG7jRSACQ99SmuDpytC4naLw+h06TD9Tp5b1BgjNFVxMHABcJI09tcNLrndu8adNgtucr - UjZVmgZBN4IBhtEkbHjZSFFp7cBOMLCChbugIsN89wKmuV8G1Jn1k5ZJvcnMKDYf+eQ9v/0/9rc6 - nwTWGmO11UHPiyqI2LqMKK1VzDdePO7q3V1RmAmTaPpMidXl0f6qOvGF++/8z2969qv//a6r9g2d - LQXfOBaa5KYB8GUZv7Wnmj45KRuMJgV6srWvMoBpNp4m840uhZyfopQu/Ut2adt51Ch/mkjnRGES - vDfy5p2yVwAkNF2ZTQuxXtS5RsthffZd7z/y3vfvHbezKTkkAxwD1G2nYJnEbkFfppn9WBjpZMoB - MjaoEBwgKqVip6Uzt999x3/+ta//0X+x9+ufVcNWQqi9NwIZgbryLk9a7DbdCikZTc+MlLnbV9j6 - Hcuk+b1+HdYnuMu7pizLoUHaOMNBVDWlovBVMXtiZdXm5pYYB37g+3fs2//A//X2Rx5+YAujlPXZ - 0Uv9eqx9Ng1XT/aJ1J00X/ZagqN6ebXyriRqYttjzDovS6s7fbhwyyfvue0z9Ixrdn/Ht23+lhdt - 3rVrxSj6XmLXrSpCsMlhwXmdzMxupzLdCXVblgJre8LJZr7+RBWkIAXMQecYIbWuiUWbKl8gDpcf - PHzmzs//9Yc+sOnUma1LzTXRitgC0gaLgCfuVV6aNG6agp2qKlAEZ0m6qvQldOkkcW2VmNZlkRTJ - YRzoHKpw7fXP/Fc/hJe9+Ly3IXNiDMrSpVjXNRelcy7G6L4aS/wphw0EcwaCuOmeWiZf1Om/CijI - G5GwEhGRVW0zN16dPb/80K//1+qBBxcQYcIOyhiOMTdDGG9gA9PJfkbJumscwPTCiGPMwo2XL566 - 9bP6zndv+2ffHwuKm7Zc0NT4SUDYiMkg9Kh6N7qetwDdsXtaIIaBdVKKBRnI1g4sismwZMOp1w1R - AkSSCgVWAgEiiJIc6PJEPXUl78d16q4E751TSTE2HgjOqQoTq5oz7ZH1DR5wTWxXx4sf/ei9H7+l - DgGbZwf7985edWW1dxcW5ua3LFBZlkUPntEKxiMdjuWjwDYAACAASURBVG1cL586vXLm7Lkjh5eO - POzPnd/axm2tHhyPe4w2tVVwMBsn6bkKSgkaGAUDhLYVRwxwQluFAqkFXRqmJuaRDxeq8sKm+Re8 - /mfwvGccddR4B18ReSep4sACgyZVAJJEyRdFYUm+8ux4kmtGw5mZmdK7NkZ1QcrB9n/yohc0zd2/ - 8qagaVMTneJRAxgjKMEwWWmcAkBSeE/OjBzIuZSSAs7MYJWZLS1dNTNz22+87cDpU1v/5T/rDfqr - nhJKZd9dr2XoZKxu3YbPztANe22yWrKu20K75RYszTq206e29QiHH3ngLf9PfevfXJVsRizWQ9dd - lCQqYCI6CK6Nwm7tVSiMAAOpdVf+GK3zZ4M/s2XmhtfenPbuOVEWwc80TfRlVZKjGCvv2rYhMiEF - GSucMhlgxPxUHudlj01RFCIyHA5//Md//NChwwCcIzMTNeeciHS/jOi63d395BvFzF23m4jati2K - onvrg4g457rnN7Pc7c6yLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuy - 7KuLiJy77I+giEh3k/VGn6q7/xqA9z6l5L2PMf7cz/38/v37b7jhBjNyzoEZok/pv8iUZVmWZVmW - ZVmWZVmWZVmWZVmWZVn2DyaHurMsy7Kvcd2h8GnQ7cbjSHdr1zM0AxExgRCCQ01ggkQQYox95iTi - mFRNYc4HUW0U/QIVHB45hrktMJSKXp0+/6tveu4b/6MHWz1EWYADUsKoQWzv/5Vf3qqyvxlXKmQK - B7BDigChidQmDMd69z2rp0+srq4MPCpmSlHNiPumSK632Pe7nv9svnKPxpp9BaCNqdeb09URh5mh - tG2vf5LSeNPs82961ZYiwAf4YMQEniYHAUz6x10b26Y15F5ZYF0RE6BLvakNuqzGCABo1ZhJgC51 - GdHlrFhBADk1GEESCp8wiWx5AG3rfAE1Yiqc6sYzka57yYSk5pgQGMLv+OiHb7rxJX0PB4Epdu0J - Wza3i0veAJpsDzrdHvgrbh7MICZJyaeIw8fQmy17/UaST3FGPNoGZd8ZlGC01ol7sjBAhL1jWIK2 - d77uF64O5c643Gfrm6Ln09KSL5iEEDzGo/rO+04/fNiSxdn5bQeu5muugXdwgEJhbA4qKL1KuuDS - eL5/jPSbXvczO4oSzsM5oZDAXWw7YBIiJM9AF+ns0uzwIM+XNmSapEcV61pfT4d9VZZ9rSIiIsrb - 8dMbQQMbNDI7A7eAT2lG4JWt6p1sxjte/rKv+4brH/hvv3ffn39sz8rKNiJSi1ECQI6iGAPOwxJC - mHSkGTDrktdK3ltK3U8CqRCzmRqxMaI4AAZL8AQYLILADsGECRqQCGbaBGsWjItlHd9z78UvPnT0 - v//B4MCBq154w8ILno99+/t+ZrWqEoxIweqDS6CokZkdjNjIwAwzMxNTJi7YfDfIMlIiAikRqUSA - iE0BQM2sa8d6wGCkWqhU0vbbNowbjMb4/F0XPnfHib++XU6fnjU9YMpJihR7EA/AAAODGWQGJBDg - AB1aRSDA2sQEJQhN+rhsYFvLdiuBu5Q4CDytJJrBDAQ4MyIlAoGQirosTvbCxa2zB//pS77pe18u - u/afUbAnZ6IbagUbT4K4xgB3QXMFlCwhGuCc88RmZgZVhQ9jM7ArhR0cwWBEao60FEFAQvJt2x81 - s1zhgVPH/8c7Fj/1sb2jcT8KLHV3UfQ9NCZlNsAL3HQQ0b32x5O2JVWCEiuBjF1XSI0oCRSbbSLu - zi88+J9+ae/3ftfgFS+X3oz2qwCKYgpj9gBExDObmUHMDEzGBDYylKBmXPsyuOCbcVuUpSiIiHXd - 7SCPIzH9pNSNigE46+rXyuaUYGCBN+daTd35gjfzBEsGCsoOTABDjVSdgkjMUbIRO/LNePNyM6MF - /ub+4+/6w5XP/9Xepp5N6iDdiFMNOmnjkoIZKgw8rqptFxlFty8yDuKUyGBCWhJMYRgvROhn77r3 - oV/Z9dJvW/ie/4mvOsBzvSbGJFb1qpQkpqYsyxgjM4jISIUhpgpRsDNPioK6yLjj4JvRuCxL6249 - mm7O6ybqCTzHMCAyK4yIyWFsLRUoxKLFGp6KniXHYe50vbLjm7/pmp3bHvzd3zv58Vt3R031ODhz - DElSEkxgBu8JZLK2VyeQgh3UkBzI4BVOJ69HxUrAkgjDPBLAKRqjpRjUdtfW3v3Fc3c9cPy3f3/H - 85675YXPx4tuRL9q+uVyUYn3ib3BkQEMiJkRETlikFNVVWUmARlBQaBuz8SERKxmosRCPAmtJytS - 6ilmmxarNY6dwGc/d/TWzy4+eNiPl66hpidSRnZKDgC4TCi6ELO0BbpTGSNiY24UnoQnG8KkFu4U - bPBMdbKqF+pxnMx9ghHMY5Fxen6Tf8EN1/7kT8jOXUswqvqwaKzjlAAKroAYKOEfJOX+pMIIcVRX - VQGgjXVZVdpd5GGvmERJSZWMiY0cmG0Ux6E/a6lNo9EBDbjtvqNv+227/94FGVISZpiCDZsdMDa3 - kZvyDJyY0F3nmC6IQkAGLvxqMx70/JY0Pvqb7+wfXRr8yL8MxiszM22olKyVBIIPnuBSEkcGNZix - gWEEClAyWIyD/uzScOTLqo6JgycidmSpAaAEgnWHWaestLHD9UYZAWXgmUHTxpKRBCZg71S0u5LU - Hbi6SeimZIOHMmUokExA04t9JkZwDGYApkrG0O6CgYPO1BcrdtIgjc6l40fjX31mRD45CMEmaW0F - CXfXo4xJg1PeanqFpSBWaPImjiEKz9AkZCjBJlEoMiMaGCCBB2DJsw/kY2qJ4EsXx0KAd70h4cig - DN/47Of/bzfp/NwqF64sjZyqsDUVcWgEZNF5YSUDucIBiPa46uZPIqEXGmmEFQ5q1PjyPGjLN3/r - jRJve+tv4PiZnd5ZSgokQxkgEa4s6rYFwXmkFj0CA85gyRwBAtXkGE5hZo4hZCZaxGb/sp34b380 - /uKRvT/8g73rDpwuRsNeZUXFicjQM1aFamBm1133BBuRwpKCvUsmYAabJgHgPBVNmquH1LbNez58 - /x/98dypszsapdgE77rFwmAzAMRQSxIATXCBkrNWUJUY1cqEwiG1MMcrvcHFnTte8IZXx2dff44K - osoilXApxQIgjY6gUDjtLtWydUMjgjEZYzJezp4OzMy5YGZt2/Z6vbZtnXMxKoDXvObm2277PADn - KMlkF9ndN752Ifrv7HaHEGKMAMqybJpm7REisu4UCyiKYu3/d7e4hxCQ3x6RZVmWZVmWZVmWZVmW - ZVmWZVmWZVmWZVmWZVmWZVmWZVmWZVmWZVmWZVmWZdkTjJm7f1NKzNz9FZjuPujuS1/5luq12ndK - CUCM3e3YuOmmV/3u7/7utdde45xbHQ5nZmaapglM3ZOrKhF1Py6l9KiOeJZlWZZlWZZlWZZlWZZl - WZZlWZZl2dey7nepzAwzVXXOPT3apVmWZVn2d2JmM0mSnHOmSCkR4Sl9GNxYutsmWedJuXBdG08B - N50TKpcKo0QgVVXqai0Qkbi0GkQRYJCiTfOFoY3eBCCMxxieQ1Hd+ZZfn7m4tFetHI8cCbwDKVSh - DBDahLPnFx96ePHww5vbVFoqmMhIVVPBjbkV0MLWXeW11+7ZuwNz1TCNqoUFNK2IVYO55Rjb0jeh - aMrBgVe9aqEMKBi90hx3eXB+1HyhSwnkaYFmEoOczBSaPLbRbhCthePWPxXBAGZSQGAGCfAMOEJU - ATvDpKQH9gYIYKAuq+SLCtIlJQlJ2G/wfW8GYoUAxJ7ZgNiMg+P/44N/8sgb/0ulqWByoxplmNu3 - f3X4BaemSbupp3VNe/1bgnhGAHOTtGSgbRYPH9105UE45soF1TBcvfXNv3bjT70OVSCPtm3LcsNp - +ScUAc5BALUYWAae3PLi1oohCVojig+Mso/Rihx6+MyRI8vnzm3etLBtzx5cew2KoOOa+4PUtL7X - U4nK1HIaE5q52RMSX/AzP723KlD2jEME27Rv5QAGaLK1TWYtdUXPyZcAfEnVd63bTZPN1ja8emZZ - lmX/cFhhBJAaMSAgOAMDo2i9nbsP1yv9bVuvuel/vebGGx74/d//4p13bqvTQlWkJkKsX3iYStLg - ESMm9UcCewKRRW1S6mKo1NWpoWTdgbprVU/CupNGI4GNuhFRF1U1it1/CKZbCy91XQ/r8bBevXjn - g/fcH//wvaOtW3e86MXzB6/ZdvAgtmwCTDVqKKgKjaZk2pq0agaQY3IM5pTUwExKRKRqZgQl1SI4 - pGSaWNUTM4wAL7FoRl4JplhdaY4dO/WFe0/feVfz8OH5lfHM6mh33cyQeRWTaN1ITievCAZhhTFP - RiuTlw1z04EtsBaotulXJ98PIrPpOHAy+k1QwDsgEGqMTGLgVfZDKuot2/d/z8ue9U+/HVftPCdt - DCy+aOtxVRXtRs4WdNLLZDJmIzI2cHRqpMGX0jam0lpicOEKU2pb9YEhcKpe1CucwZk6S56o1CSj - lSo4LA9X3veHD37wlt7J07vbZiY2bAZSskn0UaarwZSxTebh40FgwKBdtVPhnBk7QzJTGyDSOC7e - d//hejXecef1P/qvZ7Zt57lZeBobta6V4MWxIAmzGYxJYKZqCpgIdNAvAcQmetUAbiVGlcqVDrBL - keanR8FRp/1UsIGVu2SyMjzIFIk9ABYjUxYykcI7MVYFQ4JoEClFWGNRN1sC3LgOajh99sJ7/uTI - B2/ZtLiyU+u+tE6NoF92aStNh/sGtr9PFZ28QomNFITUogCUUUg7aGn55JlzH/jTez5768y3vvTg - S16y5eDV0JhGoxh8wxZVyiIkQFSiKdgcUeEK511Mo8IHUkuxhXNRHAc/bpuSPcAMVQIMRmuRV4U9 - gfXu6YmhAhrdZM6xOgqFttFqo6pqBnI61Vu/7rqrX3UTrv7ofe9+Ly8tzqZ2ph3NVq6ppSyABEkm - QAAolJAoqgQ4BXhywmfApKp++XIhg9HkSwZ4RWh0k+h2xXB0dvX8LYc++1cn3vZb/euv3XXDDduf - 8/V+xy5UfVUWYyEm79g7UTSaooGdI++c88nU1My6G7SUzJyqt8gqTMTkAzGlhHHEuD57++0n7vvi - 4p1305HjCyvDhabdoVpATMW6/CoAOAKcATbZIRt35y3d5QUGqU33iuteHgC0yarCjcaxT0BVSNsi - hCVLF0u3vH37Nd//zwff+33jLZuPpVSFqk0tgnVzg4wZIAOTTtbtrxkGqPdFv+IkpePgXVOPmLkM - ZWzqghwbmMwrBSgbHGIzWtm3sKk5fcGn5ISO/uG7Tv/Ou/aujOZpWKj46ewjhZ9G5Dc+XZcWAxlY - QbC6Gc/0wuo4OqRnzc099P6PnP3Cfc/8gX++45tfKPOzrqyiQwRa10RDK0reASAiNkZ3UYJgJkVZ - tO2YGURWlqUatbH2wo4YgJLapdNp5Sd2z4BEvGKysH9/7UtLjWeEgodtLJ3vDpbTY65hw9HuqXVb - yuTyXHe5yrqh3GSoY4AQYCgVvcktl0IWpwviskV52VzRZjogsrVtUy+/0EBQmz5eeMQIKArHIG8a - VY0JaqjHwoQRfF31TvTCwR96xez3fc9wbnZl0BdXJABmzqJX58AME0CIZTI6ffSLfSqazkBNbACZ - KjE3rlgtBjM3ftMNRPf8n7+hJ09vZup5tlY0AUBTtwkoex5MJlGBlOC7QX43VFh7fkKjqCpXsjZN - vamlgmnpk5+768EjV3zPd+z47pdh3xWnL54J5SwX1bhumQOqnhhRUknJTIiZHTtWNYFFMisSiiRl - QilaDYc4dO8973xX+9l79jQyGI37pOgN4nj4pRfyaDrGJvWtRCIMaxnMuOGqtExtr7hQVBe2b/+G - //R6eeb+0/1Bm9ygnI31uFsPJ2tkN8CjyfHcKUgJxkZsxNPLZU+Psd/XNCLq3nbQdbvH43FZljGK - mt188099/OOfAtDrleNxA8A5t3bf+GPUVbq99ymlpmmqqqrrOsa4lvEmIhHp7ievquqJeI1ZlmVZ - lmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmWPhYiEEESku7e6i3Zv/D5r - NtNz5xZf/erX/M7vvH379u1zs5tWVpcGg0Gsx2t/PhRAF/D23ue/Mp9lWZZlWZZlWZZlWZZlWZZl - WZZlWZZlWZZl2dPP40gj86TbNs3kXN7pMe1aQ9NQMIOSmnk4BxhEsbq8uGAClgSUDnNJsTJCv0Jd - f+7NvzoboCvLu7koJRVRXb9vsY71OHhPYlhZxalT8aFHVs+egrS7fAjeIETMidxKaodVmDt41dbr - noneDEIB51JdV6GwJjZtMu9XRkPZvOmk4+fdfDOKEs7BlWCCI0yyYYBMc90MMGwagOGuhrmW2cbk - NRpBpn2Y8NXoBynQmjKxAxE8Q6HRDIUL425iGNYKFQ4GR6CuQSkGIqh1KaVkQdUCb2R6SGEKVXBh - SSkglAViQm8wnp+XIvFoiCKgLHD1wfrQg2jaihh2WRrnK7/VTogFSt6hlfbsOZw8iWuudKpQnfF+ - VwRWRygGSijLEiZPthoTGUbDUTkT4Oqrf+5Vi29+a3P65Ky3VEhIQmPFQ0fOP3Jy8fziYMvm677l - xdi2BXOzGC6Dk1UOJN5bOx42ZbFcuLM9/9yf/mkU5S5yCBU4wJgUBa1fqZS69DZ3mT4BHOxLNt21 - NHe3OCbz7Yltj2VZlmVfFWzsunQ3Kyh6VW9IHskcueLE2TObFhbCtpnjZ09d8a03XvN1V535s48e - ecd72oujGV9WYqvjEUELgiWEAlBAkRTRjMgCU2kws+5I4bryLpRt0vhWQmLIJBcNZyCDQQBhVUCE - IAwjEEB1SgYPbHaYhQ5XluPqEIvL5+6791gZTs7OzuzcuXDlvoWDV/OVV2PH9n6vj+BRFPCh9b5V - TuCkRtoSkWN4JSZzYi4ln5RWGt/Ft8lBBKsruLiI8xdw6KF49OTphw9fOHZcF5dLSTsC9Qk2GgaT - gqCEZDAGA3AwQzeAE+5GqsoGp8yGaQS5Gz657hPrRniT6uGlgU1jBoAYCiQBDAXDe4LYqLYS7Ge3 - PuzjxSu27n/Zd133spfa9q3D2d6K15pL8qUorEByZrKhVHBXElWGZ2NWpO5VkKFpXdsWg0ITkKzQ - 5I0FZojJ1Il5kWAWACcxSELboInh0MMrH73l5G1/bWfPzkfZ7EMpNZsRumE7yOAURN0Q0ng6B7rH - 8bgComRw3TdORs4eUJgITADvMevhoqwcOT48feGOz9614/pnXfGN34BnPaO3Z0dvrofkhmwj7815 - c4XBBXgykJrAaq6bFH20At6bc6Zm0u/3qY5OIaxGqmRGqqQAs7knONX8xCIogcmwNrTrksxVSpZE - gwMTw5xQMDJTkbGZsIFggVCaFNpSbBETjl/A5z5/4hN/sXjffcXqaIdan1Kp4tS6RvLasmZcWh/W - NgnaeLebrDuDglLXYYUzVgBknhQOpogiDMyQ8vJisbzYnHn34Y98eHbv7n03PNe/8Bv9ji29wtmg - v1iDnYcrmDwJQUkVxk3RJ9GhV8yEIIyxJF9ULjA3ygo2VlLlSZz+iUbGbI7IhBNYjJOBIzwRo2l7 - vlITL2K+WFWpCQu7ds394Pc948bn3fFbvzO6+95q5FaXl2YKaAtmMMGVJZLVsSnAvqhiW/vpBmuE - NO12A5ju1cGmrCys3Xm6l9AtgZQiAxUzpzZcaOaGZfOp21c/ffdZ53RmbmH37j1XXxP27g5X7sfC - PDbNhn6/cgYC2CAso9TtQmEGJZhBDCIgwvIKzpzHiRPDoyeWHjm6fORofe78QLWMca80lWop6iSa - WgT82lphTGtxYQBre5/Jo+YtTQ5fBDawdafsk2/wBQHkATFO4yRcLNXt8raF9sbnPvff/hj27j2f - JEqzsGXLqZWLxWxFbcu6dsbEAFiZCMJfvlj/tCSMEdXBo6rH1KaidBzUORfrpVkLhZBXeAOSIUXE - hNhUq+fxsVvLh8+tHDp85K57i9HS9R5sI1ahtWa8MeAMPL049FjTuWTwyoB23zx5EApw5RgSS4YZ - dLS8DZ4fOnH8Lb959Pf++9y+3buuOxgO7A+7d/W3bcbMAGXAUC0E86WyE7AYKf5/9u41WpLsqg/8 - f+99TkRk3lfVreoqdavVrVZ1q9WiQRZIAglZ0iwQGiSwGRYzLBvj0TCGYY0RSBokDGLN8DIvA5ox - +IOxWXjJy9YYL8DYCFsGe4YxCNAIWYOFQK/u1qsf9a77yMyIc/be8+FE5r1V1Q19W92SCp3fqnUr - b968mfE4cc6OuBHx98w273e0iaFt58NCc2jbruUmwN3M2JRgPE5JSXN/SgcLZfSTdvvMmQfDWvZd - UYdaF6Kq8jIA28nFnoTsYQcM7ERKAFzc5KADZ3NJzKAcXI3Bfv1xHALGjGwyBmyM/CZbFU5KMGKU - zsfBsFWBZQRjOND3aEODgMUwAEMoGy0QBdaD2/UrTffAzcdf8rpvCy954eUY99v1XoIDjhTUxD26 - w2kQVmKl1doxJZSJ4Rt8AzZwYgM8IsFBkF5ETt86+fKXfyG373rrW+O5S90iB4mqWSQIUSRPOc+S - SwB3Mc9SOWR5eIAu0ekEqGZkBCA6Np3DPLX3P7Tzi//yk7/y68961StOv/qrcMq0nU8mG5ehs753 - jg2oDZFgYB+QlFSRWqFJypuzYZIj9hL+4H0f/63fvPSB9zaXLtwm7aZzjz45xRzo8MwdYICE20H7 - tabNuQ8Biz1d6+KFrJ9su+Geu1/4ljfn0zddjutpIAlx1s8gDrJSM6xWNB/UrkTOpSnqstK40dvD - 56OSyH6o783ZmqYdhmGxWITQNE2Xs7Zt++a/872//o53EsEd/ZAdYGZVXWW7P84PTCkdTu/OOROR - u/d9X762bSsiAMr15OU686qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq - qqqqqs88dy/XRAMIIaiquz/u3O6D67gdiFE++KGP/O3Xfcfb3vY2dY0x9n1PTCASjOndBfMNfKem - qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqeCuXkos/2VHy6nkB097WcDqVVE1bnabkR4ARxJCpx - 0o5GsDtfYD7HVmtwG4aptEj+Rz/51unelTMNyWwuqtEHnS1CN8WlKyTcxAnm+/rA/Rc/cp9durjW - 6zpcAPM0c2RCzsqT7uTdd528+y4c31IbeDpJWTlrmEz6IXuMi83JTGQeuzPf9bqndQ0YmATlxsBm - kBJM5MuwDx/nY7mGD2dQ8XJOAYIuf8nxBGOmH/W3AnFvQ2CJoAADM5IhLSYxwhROpAke3T0wmxMR - QUgBikQJZOAA4aMHjBAMzuoUGMMckdB0mO8953Xf+f4f+OFnZN2SYLs73HQn77pz5wMf9KHnPG4J - 5T8CmOixtg0zCwK4R2Cd5fKffujYM06zNDCNidf2Zh/6+Z9/9pu+WzQu29KRssefYg441tcmM/RN - 26BND4jesbXJOgD71DIuXP7ghz5w0/TEmb/8UpzYhiZE8fleZsT1Ne0XM0vehn2Jl5nvefObn961 - IML6RqaAko61bEnkEFqGZR0wjFnpfNVUXfOADr6uWvTnzlKsqqqqrmHgTOwEYCAYKCvDnQFW883N - zZTSucWMJ91DAV1366lv/IZTX/wlH/+n/+L9v/+eU0on2slkNoOZaNIhl/iyEIjIU0KCNzEgZfLD - g8cYjVlGHUf5dIyDBjmQxqg8HBpCHMxoHXDoomdgEyBkv7g4GTHbR3/pcj77yM4H/+Th3+J9bmZN - 3H7mHbS51Z48uXbTqW57u9ncbLuujdKuTd3VzZCSpmSLhfeDpTTs7C4uX949e27n/IX5ld1+f9bv - 7zV7+6fn+1spT41PME+cYOp9yrAYGITsMAMxhOGGYUB8tGHPyQC4sxEA4jEIuQysAIyvq17aNmjO - gwJEUQI5TH0x5F4QTq4/OKOLk3jrq19572u+Gnc8a3dtPU2mj+xcCjHE2Fye7zdNs74+3d/ZbUNz - xEbhAIyMSqooKVHusp68MusfemitFTJFn9hBFAGDJUhZq4bZYnblwqXz59POlf1PfOLB977v5G5/ - etDjl65sRkKg+f5AjBLVSQ5nlMxyxuHkTi+L6wmEdq/mgAAZo9ClJAvDATInqIGBTtC5n1ykvf2L - w+++64H3/MFiY+q33DS58/a1O27nm7a3n37rECJJwxwCBS6JvmRDowCazAiTK+rhllOzSTMMixZi - BCc2gpMtI0Wf6Cx8biiJqkZwgoKNARiQN1LaeugSXb4CNmFATcqenQPIIIMBOaW9/SsXz14++7Bd - vLz74Y/2H/7Y0wY7BWouXGjh3VozXwyBDzZz5zFCERiD28vTB88eHTn8YJM0wrKxEVxBhEbggJlt - wbeadn7x/LBzaffhT/zpH71n8au/pKdPTu64fetZz1q/5elNN20mm+1kytwCAnNwGsJCdZig8Ti9 - QDhxy80X9/d2NW00G0SlU2Mj+4wlerIxkTk7w8TdYPDS4ZCImKb9RR/bEGKbFGdNF9vHJ0LP+7Ef - nP3qr73/bf/0FGW1HLSfMg3J86KPxEGCqvkwRA5umRziUAbRdXm7ADkDEGMTc3CyoYWwsCk5TFwn - EiYEmw9EQvCBaLEzDA9fOPe+P96Pclaon8QwnYbNtbC1ETbXZNJ6G7rJxM0oG/fZFos8W6TZPhbp - 4rlzIecm6zTZZqb1lJ/eDyHlFlZil73kcQsoAk6eV7vZfhC0SeOuFghexic3BgxQKUt1HMJ82Zz6 - wbsYhZqZWz+dXoncn9y4+xv+qnz1q/ZPbOwL8WS6uzvD7kXnw7vqDpRQc2awjQvwSUhKviE0pmua - 0kNnbxkszBaL+ZXFYi+bYkhpYWmW+93Z4vJumYDp+QAAIABJREFUvny5v3h5uHzJ9neG84+szYfT - fbuV+UweGiTn+WAal4nXcHbIoSNLj/PqPgBghxhAYL9qd9XJkgIOYRDBDGtkbc79pYt595I+9PBD - f/je/UCLrvXtLTmx7Rvrx269lSaTuL4R19biZD22Xdc21MaNtTZNJrsxbt389CtsppY0L8zaEICx - LRmNwePkj7JBPYmUaN/45D335JtOLnb3ZbGzxiGrMcAOK9MzjptlQRz5I5wODmgByzDtMRl39aqy - sBnORio+VoTLF/DBCw7wOAe+3FpHdn1ud3l1aQfCMs8DgC5GDmypTwpjXOmBYxsXuDn5si9/2d/6 - G3bb6Ye7bocCc2vE7pnBRCqAuBuTsuVlbrehdBT2F+gQBwNqnN2hjDnJniHG6S0ve+lLJs0f/vCP - hkW2i7sb0g66aEFEzEQdgwg5mS3X++EVVsoGBCwGtEAnLZzM8hpog8Lu5Ys3+7GPv/2X/+jf/MbJ - L33hqec9f+vMnaef/RxwcmEywBQwwIzNKAdxqGJ/jg/d//B/evcj73k/P3h+YzE/3e9sNyHPdzOw - 3q5DtU9zIVYAZFeNuQ4A2XIj3WzY7wKgIMF5p4+vr6/9Vy973rd/68725v7GpnIjLENOFMjJ/VDf - TTB2kDMDZASwE3zchxqL+c/E6qqeYiKiqiGEyWRS/vSSc/7Jn/rpX/qlXwYQgqSkj/tK8keXUgKQ - cy5fyye6u5m1bbv6e4+7lwzvqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq - qqqqqqqqzwpVZWYiKondzFyitc2Odn29iKSkAN77h3/0fd/3fT/90z8tJA51d3cFUXnn1ZXXdPQ7 - mFZVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV9RfS4bOJbvTw7qNFd9NBGMxBRrfjcHgPG8A+Zi85 - 4PAAVldkGBACkBVnz+P4pD2xvbiwG707/zP/x63Ma2Z04QqZxo0NTyk0HUBwRq/4nd+/fPaTttiL - GZOIMIlIjixKst8RH9/cvvUZfNttWNuEGwZIt257fRMCjKDwyfrHh/2945tf/IY3QCZIA9oWApAL - EkOMA6+yCWn5j225cvna2EKCYgztXi0WeVLTkRloOArcc69ZRQKcYI7dOVQhQBQMc24bQJjZQT2Q - wEyhbRAc7PABiEeaLM6moYlQggMx5H4RJhOsb2Ke+uPHdX8/7e7EtTW44eZbdv70g+Iu7gSUs/rG - UDRmPEaMipkxw7IJSBiXzj987JOfwJlnQpgNW47dnSvYv4y4CYrgJyFa/knmRmQBoYe0W/aX3vTG - T/6vf29tPkSmrItwYv2ul7+Amy2gTexha8OHBUMixbRYXGHf25ieb+IL/5c33xwmGAzdpjMUICDt - 524anA/y4cdW5zzGdZd+h0rYqJX0ukfPkFmGduPq5CJ+UptoVVVV9WRRRmIxQnCQW2Y3IrbAJpMQ - c87w3E0CMxbDMMuyaNenz77ttp94y83v+5P3/fNfufh7f3SrTE+bikNCJ3nhMBiEAfHk6C1HMAHk - 15xwbwCze3BjPRh6GGZjqDcAiB/kN2dDlAgmyklALBHklhOyTwgdsycf+tma6jYLN7E/e0GJjGWf - eI+gDAeM2JxpdPChbC7ubCruJ+GBmKhEbafWB2GIgzKygQAmBGDIRoTxTTJAYEhDKHFr7GCya6Md - yZwAsIEAK+NlUIAeZZTMfQbQUQA1psgOQLSVh9v+4vHNU//tV7zoa78Gtz1j13xGE1CXdvubpseI - h1k/tLFx4dmiJxYD83Vv/mcoUceZTckYIGjj6fR88cAv/rOHf/P/DruXujy0RAwXiJnFRnLOOVl2 - cyYIs0NMu2x3DXk7Rix6IjA8Je86JIU5ooIBJYAghlW4O8G9BCwfLkiOhpcx8KUiUQI7OJmC0TDU - sCjZ3maMfqvpsut0yMOlxbC7m+57YFdogD3s5FR2vYxpXHtGcA5u0bNc5vDI9uaXfftrj331yy/F - MGSDByMyEnaQezBjwMhu3F02AtgZQCZRBuDBc2uLU/P9d/3gD3Uf/ljU/WCDm3IQNXf3yGwpWzYA - HEREIByctuf9VKnLRkNaBzUSKFFHBPiY8QmIwQnkV7WHT3MWSj6zAyCDs0ONymOogx3SAIQhIWfn - vGgIk4ip29rebDGb4dzF/k/u2/f/sCfBiYjEl9hhxAYJMnXnRxwXbj35dT/0Fn32M3hrc54U4KAM - MicmN3EnH3d0niIMiJvDM4gcbRY4kwMODnF/mJlYmAqyUm9TijGs7Sx27fjxvf3LN/13r37Rl977 - 4X/xKx/6D79zKvHmYnG825Chn9sw6Todhj7ldYkwA8wJ7AglmbyE815b+ANggrUCmJoqAGKowXLO - QEchOwy9OzfODdg8rStOtU1/eZbOX1QGOCCwAQZXVSISYiIidndXzbB8XJzMolEwREVrFECBSkfs - CvPSIzgsweAtAsCEDOg13S45s5d0dzMeQ52NUboSt0NJ306TOFmkLLKV1if3t7T50i9+7rf9Nb3p - xMX1E/tG7ENQb9ZiZu9UfD4Qj/2Skyq5A4mlLEO5cTuII1of8vblS//uf/vRj/7xA8f7PrJJY0kz - EQVlUsCIQZEwgcMGyTptg837jjSy9NhTWBMRCJpBDjYGxBAAMPJRc3MJEDeU3O6rG0MCAotC3cHA - PBtjttFt5CFZ8nXlrd6G/Vm+NLP7z2ZGr79PgiGKEzLcyhEKbqDd5dg9eGLzNX/njcefe9eVNWgI - oZno0GM5wAUjBmJmAFmewv7BwX1OOHbiWa9+1Ud+4Z/cGbZ0b4fL1lrGuGVuN40HmY62PB2cIEZs - lJ1V3NghDjLwWMstR3XSaOoEuPgYwX79+x0+pGPjCnLAuWSCC8q2YwAO53aXb6NBCRojI4ZkOSVP - 7kyCsB9Cf8v2/WvNX/qWbz72la/Yj81Fkhy6wC0NYDdlB8OEsjvA5TgTARirI4AQDAyDH6m8+tzj - zECT4WwgKJlGIxM2oa1jD+5fetrzv/BLfurvvutHfuLpcaqPXLypXed+kTyTY9J2OffzpF1kMyuj - 9WozcrDBQJAAGEyTQQUhU97N87Um5v1Lt7CcVN/797/3yH9870PTzdQ123fe3hxb39w+Njm2yV2A - ZpvPbL7YO3/+wn0P7D34YNv3XdanaRKgJZ92zLmsEHg/W8AMCJNmGAYCyDF26eOWziDtNU1Co9kZ - ciXIR46t3fHXv/7mb/yr59bW0ayTtPP5fG3rWEJ2dsVYk4xDmjM5xEvKPTtBiW0s7MePq/4CICJV - ZQ45G3MOIfzCL/zCP/pHv1h+mpKKiKoT0RM7xlmuGC8PyhXj5epxHPp7Dx9t162qqqqqqqqqqqqq - qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqquopEWPMOa+ytM2sbdu+7w/uCAs89u0p - Vre0hOryzrDAO97xG3fcccfrv/M7U8rM7E5q6u50iN/od9itqqqqqqqqqqqqqqqqqqqqqqqqqqqq - qqqqqurT5u6HcyH+ApxWdORoZHKsksvL/1cFIhIREUMYRkTuJdmHmCirBwIpWsfOpx7ZfM7t/aVL - bTsBezvbR8rtBAiCOMWFK7Q+hSY8+Im9j350fv8Dx4U3tXeHEbJhJ6fs3HZT3tw69QV34fRN2NyA - AeaIE4D6oW+3jl/pB5V4KduFYfGiH/gRNIxJhxhBU/QLcITlPg2hbYmUViHIy3xjH084C4eC2g9C - kQ9nG4253avvjxgdMsYQHWpLDCSYAAKjEEqGJi5c+s1/8rYTFy9uBZ4LfWq286ofeAsWM6igmZCE - zoe4tpaAwU2oIYCOGDXigIEVLAyfz6kNoZuoM6lykC95w+se+JEfZ8cxEqSMzWPbzzrT/5f3t2WZ - ldSUkqpkjxkxFQU5w4iJ2Yb5RowXP/qh7aefRruumoSGjYD3//w/uPc7XofJsc/FrGlVzyZtXGBQ - aqYd5ck0z4dAXWiAxsECb2A8sM9yAkFiO5jPIl+I8rw3vemObgInhA7rITmyIhJS9m4SoGqBvASP - Fn7oKxhkJZvPli9wHIoaPRSX5deFduNzb1lWVVVVK0ar09yDgcf+HbxICyGSQHDNg5qBm0mKfGnS - 7Pb7p77oC1942xm86z/f9xv//r7/74/X9y32+1tBJgieeyshzgHuZETsZXx5lPRucheAl1VuSYQ1 - WsbBlpA8sAMEMi3vQA5XHcrwUyIh3Yxha0xrIHJHP4AI5nAfi2YpZSTBQxnSFG5mtiysogR2AOZm - frjiCiCC+HJIK+UooWE4QIZsAOBODAKzj2F/gEMcTlfVqzSWeQzAWQEqwdVjtPBy9gAEIocohZ5p - L4SFiK2tLY6v3/PqV9IrXowzt33CsrLL2lpKQjk3Ijqkftj1JqytbcxzTsN8vZtaOkL6JgPmRHAv - qYQwggE5Wn8XpD1/ZW13Z6JDRzBHeV0pURlAYA/R4Nmc1FpiMtgwV0ACssIMk1Y06/Wf68vg37Le - lQ4eH1FpSkxuoFV6twEwEIMBEwIYzBCNUBuGBQMToAMsZZ+XutJo1bRW701AqbaUiaeX20nY2Tul - KmTnhpnwVAlGXKLHxe0JRJ9+DiI3AhuvctadkJH6O5JNLl3q+r3ocEcIWDgAsEF8XPZgKDAYFAiE - LjaUDUSQqLlP6m1oTIfVBqKMsRj1q1LbS5z8pxOKWT6C+GB1ECEQzDAMcAIzuggGRHiYZwWOE8DB - 9obsg7CYLVYhjQQQgRgQpAEBPahbJ7LmQgixTf35hUNaJ3bC2JAJpEY4+j7SkWYTUHankmUbyCBG - VMJlOee0CG3LIZgNxMj9kFJaP755ce+yd10Ok6277rzrDa/Dl77sT9729vSxT813dibCUeL+fBaJ - poFT6gPYMfZ9qzVSVpYfSm096MMFpjCM3SYEQhwpqKowRwSDQbNmF/IIYFisE5gYHICEmRnM4Qwu - 26LDnQjszuRkNpQN21lBNh4icUCByBxChKtlM0cUEAcf+8Oy934QOc5Y7tvAD7e08rwbyqGYMo9J - eCdr3jj2sBrdfvqF3/pavODeva32fBMzGod3oHkarA2avRWBj9G2pSUT4GQltP7zSqMGw9bD5565 - P9zca9ZdYVu4Nw1LYhgMZACxE4FcQT70Q9uS2bCfkgBNQwbXDB67V1keU8qgBDpyj1t2Xp2wWjtG - cHAXY9LMFM1yNl9rBSyL+W6H4EaOFIHpuCucAWUSkIMGd0/mNo4C+wGTc7GbpXkXBOI7SKo+DNaA - V52JE0pE/FOPJ93GhSs7J772K9N7fvfj73rvzfDNrs2L2Wpfnb1sE2NU8dGHsHFGaNmH06p0ovJM - SfAuZRPMo1MpO20ZsuzXl0Mrqwxlgh3+kR/alGhZPRJD0wKg4MTCQ+CZyJ40F7rJ6S9/ySv+h2+2 - W0481Ma5i8dm0adJ2wDOBAKZwx0Gyl6KSAHgZE5wBrlFM3IoP4VR658BPsZaA8oq7GNpaqTqMe40 - MXYnbrqnecnf/eH//OM/u+6gyxe3IwdvUh5yvxCSFiqr40SPphy/VRhgJByjTFUtJzJMoGuDnhBY - wnz/3AzeP/TxReRdwVAqD0FUNFnjoj/O4emADwMcsYUx5nNkIAKB4QbAJg0PwN5s0QSGM3x1oNMA - gMwccRr2ZmmQrp9snt3e+rLX/S1+8fMePraeNjZ4QWm+CEzDYj5oitMG6lJqSTcGyF3GXpudWAlK - Y5cubuSr9lnd2EohYWZN0wzD8Pa3v/1nfuZnRVB2X2KMKSXmICIppSfw/mYWY1RVVQ0hlMvUc84i - YmYiUi4jzzmHEFZh3lVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV - VZ95KaUYIwB3DyGoas4ZwPJmEo/3rhTE7E5EFkJIKf3cz/2DO26//TWveY0IASBTVQXAzMyMvxD3 - 2K2qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq6slSchw+21PxJDhydDdAqzjhVSiKEdhKniMxM1HJ - 20OJzTN4wJjthIx18O7Zy7iyaE+f8Dz0w/6UN6RroBdhPWY9bMB9Dy/uu2/vwU9N4ccZ2vcOyqBE - NITGNtemp06t3/4MPO0UQuOulBNEEMQsJW76ra2PDmmxvf3Fb3jDdtueCRHm4BZC2QZla7sOABAb - AYCUtIkAlQQvGAwAjxEwV/MxHkrGlKnySpQYSgDwJycqhhxSPl6BbHBgY3Mz59s8r+3O9ixvt/ET - P/r3hJu479lol2l42sl7//ZrZaMDhbnNIjcUmJeRVI8Xy34aNoNQF8v6c2E0LTQj6V6UrWlnswUn - xYmT0zvP2H33y+6uAW62SjR5rG2DHIGgAInAGcjrYpcfeQT3fQx3f4FsbuXU07B3fM8wDJjQQIif - a4HTHAkIjinFjAxSXZ/kRbYF0OssDdPJFPsZqZ9O2r5rroT1R9ymd9x+5zd/060ikBaxK9uQOYQQ - IgALQQGAnME4vMrGPDHDoZBRgGW5gMdYzfIqskOxSIeDvMt7X/19VVVV9TlD3KIZAKVgHoIR4Fwy - 9gIZFM6eHU6tCJEN+0MnXU60K5S3u+krv+xZL7138e73vP9f/bp8+GPDlb3je4sNcBDAFBkKh7OB - GRngMqyMhRpAy7zKVW630UFsM5WER8BBRgjE2VRhwiDAveTsImcEIpFobklzidhmZlWVVcIuoF6y - /RyeSpofEQLDCEQMYMiZS5VFoJI9TAQSzUpgGiMl1ctbAaTj6BiIIBHuplktyzgzBwv58BwtazWz - VQ4wuMzgKj2UAXKouzPPgjwidHYrNvfedfdXvWLzJS/DZHvO7eUYNZh7764cRRmDqgvF6eaQdW9v - ISIb7cRSAtHjLxHJOToAGIISnMzYM6txsD6jtza2DYN0cAcFwBG9xL+7Z9PcEyGCAZjnsphjbGCp - ITSB9va144OlIQYbo1KR2QgIBiyDlq0kph+FA0oBMIGNkagEuBrAEkBK2RwQAFyKXA8ELrsKJaDX - 3N0DZNUsCU4lcbTkNyoyjG2PIB2JDL3286bdIHNBTgRj2JjxWVKHb+goRyM4w8RLuCyxkxMDQg7P - 7iVnW1GeYQ5BnMzczOEwMGhC5EwMG4ZBACHKeSFAG4PlASXy08dt33mMS5dVMuunV0CSC+BlV0sB - xtio1MABHILl7AZRkBIATRaBRiLcNRlBGmY1DWX3qDRtM3OYQhVtg5z2xYdJN+2CIu0TNgKiucMQ - FUZIAsehFNGnjLJlyU4gC2QML3vNmTwDMhVS9dmwYOa1zY6GgbPtXjq7NV1HlP3ZzJrNFNfbl7zg - nhe/4Pwv/fIn/t1vdvd9/FYOzUKDGneedRmrW4LZl/G649VUBC+pv16yw5mdk5mAggQwJWRVIzXC - QABDS1i2L/dnmUEGcpiZ2VCeFxKWAHcyc/cS3g0FqRtBnIhIQONELY+TCCOZ+WAMCCOAoG6aadk/ - O0GJjeAgAAIvk7TM8AYANkSQu5cmCoCIjEPfxAtr3YMbzfNf+00br/yKYbpxQaS3QD4RyyEEcOwX - iGiCQF116FthMgACiJMZIdBgAD9J++83BCOACWutiJguCEZCAl/0NqEyJArBzcZgeALaiL53Q5qG - IEKekhqY4IJMTMzkEM9MCati4ghbmQFcVkfZuI2QS4MckiA4PFKUgNwPCdpFwEpZAyvdARERsYta - Jh9bcQAAh4OI4EPopNlsQHtz3cuyJaFhsCQAcEImMrAKAKbSaT5lyNFws4hh96bJmf/+v/nIhz8k - VyT1gxAUACC+iso2HwfBI6R3Eyx6X+qsFQd0md/MsFJcrX7OsFKZlUJvPJ5wqM/nQ1HcTlC2Uhgs - S8QxfNrGw4RMDl4eHmPzabScPQiyhHNpODttj3/lS5//6q/me75gr5lqd2xvfzbZ3hpymgjEc2YA - EEN0AKxQJTYGETuQBYCJIbhFcwDupnQDb8JGYIeUVaCtUTnAaObzvb3ZdGtrtx+GZu30M+94/o98 - /wf+/s+e+73fTefm201sQtScAnMDH5LGw8P0sk8j4tQbAUEYUaCadHAFM4gR2uB9VgN8kdNCgFMb - k2EYLHPOUDc3YmZykHvXTPvZvHdtRdg09wDQAgAYwZEhcMdiMAa2pk2eZ7gwGIAgG4/VYCDszLJs - TC9MNy/ddfeXftd3+Jnbz7bBRNJOL4S2kTZ2O7PZdH1j3i8iRVnWcOQAjJwBGLMSZ4ayAQhm4k4O - pRu95KsAgJlzzk0TUkrvfOc7v//7f7A8HwJntZQT6OCvMiXe+6gfkVJaXTE+DAMtichisei6rsR7 - 49B17FVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVZ95MUZ3J6KU - 0mw2W19fTykd+vkR7koBdydPOXVds+iHN33Pm2+/45l3P/vOtm1jCO6uqr70FMxKVVVVVVVVVVVV - VVVVVVVVVVVVVVVVVVVVVVU3pD83ofgG8gSiu/1wyCEUfLAQGDAnjNF6KJmT5u4l3JoYrohCmM9w - 7hKaQOttt7aGnTkGRUuYL/CpT1746Mfy+fNTsy035OwEFeo5JAk82Th2883hmU/HqRNoGHBjBkUH - kiMzLUI7D/FybO793regbRHFJu3cKRIaAwDhBjAFq7kAZggBMQqAMr2HTkAjXBP6sorDcRBBrktH - vu6boyzU8rs0/h+JYZ5VQ2AERp9gRIu+7ftJ308CW5/7xS65dNoB4WSUBx8+i5QxW2A6jRwVMOQG - QY4SXWPANDaAoaSyERGQDcIBWe9943d/8id+cqMBC+n+FVmfxmOblNXnMyuhbzTGsKFkPV53Op8l - BMDd3XNgSovUMXY/8tGN288gcAi0RuDdxUd/5u+fefNbmo3NkuG2ihd9rMXrV//EcdWvrFrooRV4 - 7TOPFwMGG5RbyjY0Ime+/X/8yA/95M3Oa+2W2Mw49rKgtfW+jQ+l4TlveOPpSYdG0ETEJpd14aAS - OwhbZomWzHjw8gWHpm8VyD3mdvOheWCCjb94bUTaata4BnZXVVV9rjOCw4lQ8g6ZykhGlnUITWPJ - VLWNHcNyyl2InjnGtYXnGfzydNq1cuwVL33Bi1+EP3jvQ7/12/e9690be7PtEMNiEVLqJJpeV9Ks - xs7l+OHXjhZ89dDiALIlgGQs7MbgRssIBDCZDslBQJQAQFWZl8mOy0F5GR65TIIEzEEOd1OgE772 - 7H0nuAs17mbuBCcEYpTXEbl5yW4kz8nhBI4SzFL51ZI0uQqhLHmTy1xM8HIYHuNvxwRrViYlTsJD - 25xPatvbt7z4BXd91cvwRfdgozmr6BHRTBcE86GTaJb73JMECrKfBlGX2LYcXM2ykjsOPvPxIh9H - /ExsICcoQWJ0d8uqOREgDGIeBiMHw4mJCGx+EHALZw7Zsuec3QDEdjLNi2U6Z/mgMS312gk44gQ/ - Dm55KPtR7HDAlbxMNmAK09L6ISTjJIztgAFzJ4e7o8xe0wBJ8rAQbSQ2JsGJyYnATAYHlxKJ3A/X - gjcmJ5ScXIAdwYkVXDLmSVUYTJTVXcnd3DTBBc4Qhnv55wYFmMaykLyEc5PZGA8L4PAWcbWyvp7o - YmQA7OTuh/cMyCGMIcOQI3HLAvOx7ZavOk68sIDEkAAh2DKrGgwQgRkpQQTImvPgqlDtui6bMhE7 - k5M4Mi+7gqe8LDZlh5dGTCUv3BxMSENPgQNLVDeRPg15Pltr226tS0OPFDqZzLMmDpObTu3vXDz9 - 177h5Fe8wt7xzj/9N+8IZ/vjbQg5tRGUIeaHe+zD81QWjxHYmR0EimhAZGqDDgaEIEHgprzM4IaD - CURwgi/3AgEIQEROcHPNAwACAc5MZaNyBzsEYg6DwkuQMqj0egRhAGACDKWvZh43a19uogYu4bWA - ggyrLnq5U8k67pcqUS+8aJr9tj2/1tz9ta++8+tfg1MnHgH2OpHJhiZi9SaEWT+DtM1kosngGiJT - CBjjzFHapLg5OwFOhs+b9G4nYOidZBgGApjCkJIJmgY+lM6hBKIaAyCUTGQCIkMYqU8EtAJzpIM9 - VmM38k+7o11t/QRyRArkYGoA07xgQitICUKgcdsnwN3NlHy1Hw0AYJQjPTDPEng+23NrkBfUMAks - ZSYZW4KD3OQxpuhJx8CwP+/W1y8g3fyCL/qCv/mNH/7H/+zEYrHNLA6CgeAEK92+HT7U9niRQw4d - oCsZ507wq8f5Uh3BeRW9jVUff10n6YeKqPIuZSLluskb+9jllBtRr7BJc9b9ciObL/iSF37Nf42X - flk+efI8tTlMcu+TbnM260XA5FnTGLxeDiu5g9hZiFb1gAFgt+sPNN34mJx5rMisCcJduLy7G5ou - xZaYT50++dzveT3ecfd/+Ze/euXBc7fELuzumqaG0DCuGl9hZdWzo+EAuKpCjYFYemBCn0GeS0lg - Nm7Uw+6cgAhtCGWMKV29wSxZQyxR3F1dBcQSyhBtrmUoMcekARz9bGhK2yuTM1baGBjzBpcmsru+ - 9syvec2zX/vNe1sb54nRTaMbdAhdUPf9+R5xME2BRcz4oN8ux71WxxutHCI7coVdfa5jM2vbyWKx - +H9+53df//o3GdC20vfqMA7i7iGE1GdmJqInkNtdIrpzzljmdru7iJQrybuuAyAiwzDEGGtud1VV - VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVn12qCqBc+1zSu/u+X17T - /3hvmeLuIcacewlhsRiIkBK+5Vu+5V//q187dnxTpmvlrlDlq5kxf77cjKWqqqqqqqqqqqqqqqq6 - QdmYX3N1Qku5dXW9U2VVVVVVVVVVVVVVVVX1GI4c3W1mIjImECZg0DZES5mlAQO5n06n+cplCKWs - LMJecvecCG5gRvK5xHb2yU9Obz2NZKCnqKlrAAAgAElEQVQF+n2Y4U8+evGDH/T9IRptBrGsCjPm - RKyTdj/Gk3fcMbnjDDY30Yhqb9AoHWeGU5pOLmreX59eZHnRG954a9OiaREEwuzoSkwyo0RLlyhr - ZiqRh4fw4bDuZbLkwTfXo6OkYj/mOzjgBoJSyU2DAFAgWejaDHWk2AQslCHZI7gFAbO9SRPQxMV8 - p5tuEuVW8/vf+tZ73/QmLFgmMcMZ/PhPqitz2Y4RcwwH2EAkADNUXdY3MJtfaCeTeb9lJlPGfmq/ - 4J6zv/nbJ5o1Tv3gOUbxpGBkwJeJnkXJ16GS7q3lhD8PBCee7+0s7v9Ad++94EmT3M1PT/l3vvd7 - X/rjP4aNaS+h82UUvJQEzzH4c0wDpWWGD+BQAhlgapECgGwOIQM05UkIwBiFlTUHCUeLtSaYGwW4 - kMIiN7AMWuRbT8zve2QtG1Oz7/HCZns+8Iu+57u3YgMRhLBMrmNZLehrGhgEpf2BVz9b4vLdVS3z - UMo7H7zl+JJrVjld9V9VVTeMEp742Z6K6jPBwUoGYh9PiNdVSqJw9OxEFEJQzwZAOLtDXGEMMFr3 - sOD4SDdpm6H78hff/OUvvvmDH/nTX/+3H/idd29f2rtZCItFAxdSd1cYO0r2dlYIAQJnqAMKchJQ - IHY3wKgMQeYAmMAMVzgt8wF9Gf/NMC8pmYhlyi0DEAKMDzfiVQjl6kkvWZWrKHDF2PavXkLuaZUu - XVIElz9gWkYCrmLBXY05mJnBqGQUEwFuBqFlnvdyFsRQEiFZwGAzKDXzJl7p2rOt6DNuuf1lL37G - y/8ybrm5jzwPIbMkFlBQGpiYCWYOSCNsBDJvJACAmlNmlChb+FHqMSdLBIYFHQsVHlcCVECd+JXM - cIkxp0S9NSTjX4OvykiEuxPIzQQM91DyDvueVmXEEvm4akplVR6HQ4+PhADxjLFOtmUpyIxxWdAy - K7yEBZd5GwtgHP5r9+qzrTxeTjcTwwZnCkJBPHpvzpOFNYFbgJ2UkBtTwDKz0qPEi95QGA6QEnoi - KFqUBGVissVE3LNnR6TW3TqBe3Zbbbrjcitf3Mb9IDcr+aCu4zMFOaJimaN80EpWWbBPdExyAqR8 - Oq3ikwFHLJ/ucNdrfwcGQEBuCli4diNati5DZLgCiMSdhynCdG+uvLmevWGIAAQTh5I5LcNfn7Ko - ZnYOWnZNxvBjA4zBzi4BgKccCK4KoI1dNksEiRwzS/YtsBkSVLu1T01yG06e/LZveu5rXnnxX7/z - I//2P3YPX7hFME2XYwCY+uTu6LrIKn1aRISyhN2X8dRwhxFIXQE0EId7VoAlSJmGZX+xDMZd5vIC - KNHeJSl39SoAikNdOcHM6WC/2N2XseIG4KC/HTtwG+PA1aBwhZK4EDMhJ5vEADV1E2a4Z3cHBFAg - SMjd5FPmOzdt3/aaV77gr3w1Tm1fadpeRDk0EO2TE2cxGEKMACz3RGCgDJ5GvJzb1bVntkwv/nxB - DrBEFoYQcomAb4RyHvfdExEAAaF00w7OiKUlDWUzNFcQEMFGADL7mO9LBxvx0RYpOTPGnoEJQUsc - rxLGnoFBcHK1QAe9B7TsFo87x4fPinJoCb8ulwOudSJ7c6Axg3EIHgI35urkBGsU7AZnX8VmP/EF - /OeTQCnpgjBb3z7+dV+zvTM793/+Wryyvx1g/cAMD5gbiDAh8qwcG+Ssrg4wwMspPFwnXRVdvNr6 - gfKqZXHJ7Ff14eO2SbaqOVcdZCn5ALiPHYqVIZtBvhyOl8nubvBSzgmIzRyZEBnkSBQvd8fONrG9 - 987nfM1XyUtfYFvrZznkpjONUGcmR2oYSqYEgEtB4mOVQADYrSRRGyGUOXE2QuLldn0jYy9zgWWh - Vx6wuuWcuxjKocLMdC42a5vHNr/+677wS174rp/7h1f++IO3te0pExt6GFiQFQQwQR0EIwnuTmYA - mAhEbqY2VpUNj118KRfcAAIzlNicyjbHDlCp4kpzMMsAIBAsj+kRFNDsECBGeII6WoK5Q2BsGQ5T - OBrH0LYfaDZPvPzlz//aV9G9zzm/0VxpCdLqMMAoNO3gMDI0Tfk08XGTfLTiw9gRALdxCg121Hq7 - egpcv/zt2ifo4Bkh7vs+NFFECNL3vTRtztmNOPDvv/v//Z++/X+WKJZ10asIqbplBZB0AGB23Ztf - J8aYUgLQtm3f96tncs4iklIqud3jpBGFcPBXkqY0xaqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq - qqqqqqqqqqqqqqqqqqqqqqqqqs+elFKM0cxyziGEEMLu7m7XdeWC6xBCzhmAiLj7Y1yFXcKKOKcE - QFMmjHdkvXxx71u/9dve/vZ/rlpucdaoqnuOMZrnp3rWyu313P3wRd+rx9WjqvckfDxKKyKi2qKu - cc0CWTWnupQej8OLq2Cu9zkBAGYuA5C7H+6jan/1Z7tm+dR2dY3Srg4XCUVtV4/T4SrLzGq7KkrB - vGpXZSnVauHPdU33Xl3v8O7MQYv6fLq/ZfUZUFrX47nx2uebulNTOYswz2aLtuXSHkJETofvKntN - h1y3o6qqqqqqqurTcrD7Px4z8XrwpKqqqvq8svzbU0gplbC/G9eRo7tZyGEgzosUJILkypUrN62t - W+/MACFGOZQjZCV952pGnmfnz0335ji2ifOXhvvvv3T/A3Fnd52o4Wh5SENWln1D3NrITXPyzJnt - O+9A2wACy/0iYdLFtcmV/XmMbQ7NJbLZ8a17vuv1aCNig9CAV9FcEF9mvRxEJj/m/H2mK5plnCHI - HDCUeMVyBE/MkBgSGphjWGweO8Z7Mww9TFkEYAxD17VghaaNSdwfeqSMNpKDibKnho6WNXKwsvhQ - 2LaXU+wMws974+vP/dhP+SI5BgqMY1vTW29ePHghuoMlZw0EX+a92XVZiSUbiA4doGRHcHvkgx++ - /Wk349gJrG2EwRaXL997Yhu7u2DuNtatzxwDABBm/dC1zUHm5DKzenkioJQngzAUOp971zrIcupi - hGN/b7a2MVVAJJgfbX2vMs1zHprYSMm429p4zmv/xif+9394brdfiO+24blvfuOz2hYhOBE17eEG - 92if9nj/lvZYU3r987Uqr6qquuEso+b+nD/gLU/KMCMFFE7sgIsyZQ7zEJu1tUuz3RNfdPdznvsc - fMMn02+/6+P/6d3z+++fLPbW3Du0HcApmWY4AsMdZlCHE4SJnGHIpg6nMVqZwAR3dTcdC8cSA8nX - ThauK/nKHF010pXgbAcZOTsBfv07XTeQXbtYxvhtArkto7hXQ7oCyKYCBGF3TwaHi1ATxbKPOaBE - CAHumvPcEds4c82h6Zv2oiGd2L7ly1704pe9GM+9G5vTva7bAQ0AQkMky/P4jGGHMzKvSbnmg4jz - o503Yxjz0Z2MnNnhDnGQY5aHRU5bwpwJZrJ8cyL6NP/6W5YeH/rm8OOjv5sfPBw5XfOS8cnr1/n1 - zejaZ9RcAfGBSNwywZlZRFzHOlPcgpkTBpCDV1nUNyIHK/EYjGyl2TE8AMwSnSkwEaAmCotubmAy - gP7M/Nny00d5TQn1vH69f/rlJR3+/+Cbx7lirklzP3grgsORHEKkcIOCvGFpJVg+3CkxORspnlAg - /ZHwwalyhmXTL6m0yxcczIoRK5kThI19eZqd8yBBg+xu8FzT8aef3v6bf/1Fr35N+r/e9b5f/ZWT - 88iXzrXZ15s2ug2LFJBaDmamnk0hQnBWUwFJiLA87pGRY8zZNctHWKklNPcxkT6e1bjqAsrZ4bGJ - AZ5M1QyqcEzWN/u9HQIaDskyCLFr5m7zEBZNcylrPn3irq96Vfv/s3evQXJk2X3Y/+fcezOzqrvR - aAADYAYzmPfszL6Gu9wHd7gkd7l8LKUwRUWIlkXLtC1zuWZQfMSStGVa/GCLNJeiREohmQ6F5KBp - hT4oQi9LDvNhMoKivCRFLrlL7nN2uDuzOy8MBo8GuruqMu895/jDrSo0MAPMADuYaWDO70OiulBd - nXXz5s2bWXnv/4PfituPnJQyrKz1IdTSpvmZWqlFyoYa7HrRCrzYJ7u+Kc17lKiVQtJjaImIYGoM - EJuCwAQoKRa5yagB2ITlMX55Fj6vrBedls9ddbHSomWg5VZ5QZeCrqL1WLYYVNSIuA0NhGAhDxbB - kguYlOYR0TWiWIiFr2/7oEBq4ixPjdN5RXvg0LH/9LsPhZXP/bN/bts764HV1BQhQAQqJaXU5z6C - AtfYdMWusQTLvfJCUvdFud3Lcrjsh5ofA+ySl4MMeZ4DbSEwiOofBpBCMBNV1Fs3iQAGGxggghgP - sAljiCGneL7r1t/0tq/55vfRI+/AgfFzCTtdp6Hts3XEYX5gMyMFlEx3r+ruVa5P7m45DZAbPLR7 - t+UBYdczvOg+KgAhloA+dFtts3JPeuRnf/r5f/GvH/sX/2qyudnl/uhKlGmJBKrd9IwCkBQFImCA - GgKBYghmRXQQNJfE3WPZKaALa7LcB0lh/KK1aAbpmq4hHfpBM1KDCEgGYCJ5KlBmWl3dkjIlmx0+ - 9sh/+eH47nfg2MaznM+3UVJAP2soMoiMa/a2kQKIpgTYFfdIrrnxyxJzNxpmbtu2qACYTCYppRCC - FBuNRx/72Md+8K//sKqJCAAQRK+6da4p3XWoed/3XdfNZrOa5L26unr27Nk66i/GKCIhhFf68znn - nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOefcVyulVOe9jTEOw9A0DTPXvK61tbWt - ra0a7D0fmn31Hn30ix/+8H/7f/4fv9w0jYjGGNt2ZWvrXErpFf0clyqlENXZ7ZkW09wDsNflXDAv - n6eRXdkigxPAco4Wn5b1ArMLE2cTkdky5txn7biS2uTO52IiImLmeSbua7tie4SUOmcOM9GFxvwF - icvuEiIXTRq5rFpeblXJdb8jIt4de+ORpS9p0bBfqFQvjAR43Rr6vGio6oGvzsGHGztW4vqb99Ff - UEoeSbV0oSDmfa3dJcOLCZl9uXvprkLd+8xqd9RL7yLLnqeq1ssmr/Ua7Wk1XHDZni+77jd0/0oJ - eRi6rltZWZlPS24oBSFCygtfzp7b7ZxzzjnnnHPOOeeWrvp64tD3bdtmKalLmAHMo9W1yebZNnQ1 - 2yeNx0IMU7zY/QRkCEArKjsTfOFLmMxOnzjRQFeH3ITEWoYyM2CIUVZWuwOH1u46jnvuRb+DGoGc - GBi1ksDtifPTsnHgtOa1O26753u+B01CjMgZqbEwvwbGNW5mGU63Zy/QE2AM2nXlzoAIZpAaMUEF - 49ED3/3dm7/w92BmUgjA9vbszLnu2HEQMYjUYi8QwLTvjRq0fK3XiwkgCEBAEIBAARJC4ATNO1rW - CiErU8BqWr33rtMnzkSOrBLANSYtYBmzsyjwedSX2sU5XGRoBAc1TD/96Ohd74ZuhVuP8nQ23dr+ - 4j/4X+/9Gz+JaeZIKFNrUwZSy4ocEDBPxJynhMMAZQwRkQYpTReDShi3YBUbuhhgWURW1joYJBdu - 4jV8zZe1JI5t7FDvUSwBwbB//JkwHLp19R0f/mvoIpoAEbQdIfr9Ws45515xSmo0AGZGUCZwMLBC - CMOsxNidouGczA7df3tz31++97u+xb7y5BO/+ZvP/umnZ48/dUvho3HEZcooHSXVTDXYT+udHsJA - ZEZoICIqBiUQgQJCqIdbYwBsFw5xBgWJ0kV9rF3Hel0mtiqBlZVViGsu9fJryxrNR7se736fS2Kf - lcAExa4lXZTSGiOgMFEAicnMVGyQwkCiAGaTPOSsQADCqD3Lo2dh0421g29/073vf2T88JtxcKOP - caBmKioZHFMTUwZlkLGyXUjmvg4YUAMUDOJaCKwIhq7rqIksUcpMRRgopCGA5UVz029OxrAAGAJZ - ShKth2Xqt4VzG9bZmKDBEJQLQ4mFmVRv3EEZSlAKbBREA5lYQ4RgCg3ZeCrWGCKnwjDhSJGo2EVZ - rDc7ghlSAHWkeZJ7BuVUpuXc0HbrsIYXzYeh3j6qsL11PljbwSEg74oNJuNGkfNgHLc72rHS3b66 - 8l998J1/6X0n/u2vb/7eJ8585rFbJsPBnCOEoAiFIkxBCoaB6rmKWRl2NdZWW3MyFAVoeR41b71p - kY+7uz2pJ9RXKjK60ETTi2QuMwADKWAEgsQAKZqlZyASIkc2qNl0+/woLM7oGcLIZdgM/EwzLvfc - ffd3fNORD3xjOXzgOQVSS3E/Z7SGHLiwCiugBAuKvXvKvwcYGZJNdaJJKBPamCdZFKMEK2YkZlZP - 2C9Ect/IgyCMEEZdr9jJBuO2HakqByYOxVRYlRWwwmSETADQKMJ1O7wb6UClBBp3Ix36k1vl9luO - tn/pO99w4OAXf+mXm3NT7bcaHTqYKMxgmgMDCKpmKvWaVkBECCaFbR5fLWCAycBQQr7khrArX5Ag - W6bV7t7MmpoGIiYiu/JyCSgi9Q2JYQwhhHnQexiKMDoerW128eyhlUPvfccb3/ee9NCDQ4qTxEOM - OTYBMQg3HMQghMJmBIIG0yggoPDr5+h1LZR5WB2fX9HRX/j2R77pPV/6l//XV/6f35LtnUM0RB2w - 6DNHRuBoxYjZiFR10KIqIIQQmSlLDUqvnUyuLSabMmXg4koz39564bldW6iLQYaZAAHgRNNiRdEm - NAYI1tvxLI6+MMjk2PE7/8J3PPDt34J9+2TcbDdUOJRSGLTCKQpDDIvefq1hr59u7evZ9mQnxjjq - VnLObTvKOUdjZv7kJz/5oQ99aHtnZoYQgoi0XdfPZlf7/jnn3endpZR60zARbW5umlmN6y6lxBhV - ldk7D84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrm9pY6brmOim6YRkZq0xMxb - W1tt2/Z9X1/ZNM0wDFf7/sz4wz/8xEf/9s//1E/9za7rNjc3S9GU2nIdJ9cCgNh2y9QoMxNVD018 - OTxi+cpUdRk/hnmMNxHRDR1F9gpi5nme5HISXjMzI59v4YpSE3TBzOosXm6JQ8QyNxGQRUF5dOKV - pabdXa8uabscp3m9qr2FZVl5vboyZq7FJap1Ern5ZE7eywKw6H/Wx8t65e3VS5oX2sVprwDU6xUA - oE5dtcwPxiX9T+NF5IQvdy2Bc+fOvabbba+r7bmIiEgpRUT8jGa32nfa/WMtoul0+hqu1d5Xm6Y6 - I9+yUl1SmDckJgD1Q335y18JAVLqvJbL49RygmLfj5xzzjnnnHPOOedeMTfB109X/f1Q27YAYoha - hFPAzjCRcmz//mFnFhQgSuOVAWY6v6WAFmW0DGwmIMBWmfKXvjj0wxpC03Q7tj0rnEZtJskxjG85 - svbgG7G+ASI0jDgCkans5BLbRi3OYnt2vPLQR37k9hYgRduACbHBiAtCAeIybmaeNAOD4qWCi15L - BAIY4Hotj61+ax45EoAAiGBttdg8GQli5cSppx9//O7Vdd5Y58g6DKvNGEVRSrvWGWDz1JurYRdy - u2ueZX0yC0rQUUAIWD12VJ54soNhtgUmHD0a9u+Ts+dtkBSbXGYRNN/uVqPaLpDFx7yQxEMalYet - rel0GN1xAg/et3XiKVrfGAPaD3/yc3/n4Y/8KNYiWlL0BYBgFBpAd23gZcYdIRCAto0GIAaRGXMI - ZOgL+iF0HYoA1qRYE0qv9g40IuK6iaYZbURsLQSS/oN/87/H0GOcEFgRLbWEYGIh7N0a55xz7gbF - gEIYSmCQwZbfAjLAlCKaZofjVPMoxbhyW3tw/e4H78Wps/jUo1/+D3/w+Kcebc5vjUTj0K+NRpT7 - kHOAJpofWlVVta9x3VjeU2sXMrTpwoqg/mhm/JLfQRqDVKkethWAkWLZJahvevmutdJFkd5XNhvA - QJwXjxEQmWMMppiZZrKha0uThsg90xanA29868Nf925659tw234Zx81RuxV4O5dxM2JBRCSOqpYl - D0whBRZ5uatyjdgwj+QEEAzRQIq+72ezmYjEEMkKRfQZKmgYZCCD0c2/rFWFCarIUoYoSAhdGo0a - KUU11iDkeipCxqSLwOYb2KLuG9XU6ZosS4GVSRVERgCxcdDama9nXnthe70K9YEi+gE2nfLKGBFA - YZKN9X3nexGenxcqADDb7jOHvYIVRDBSAwqDjee7cxEUE6bSJNu3Pgt6BpJSuP2v/OWj3/bn8aef - P/H//tZjf/zx0Y6tktBs0ijGAW0EFcAQGKbINVGVawzw/HkQQqhJqQuXL5QXS+O+iNGF9tlecOpz - 6S8al6KREcL8lFakKEBMDcLUZNLn3KY8Xj2H0uxf33jwje/44F/Emx7CkX3PBtle63JosCNpsBWK - bHXNWQGGBkXYa1t3jyEoAjeBONKOzEJPTQIMkhEYRLWxoXmTsvidvbCnX9tSKZybzsLaodXxGtAO - U4mrLYXQDwWBlOZXiMK89uq8hb2eBpmZ0ZA5hZZX43O5dAcPHfxz3/7mfYe+8k//+fbnPn00dN1s - q4GmFR4mGmMwg4HYgjHV0YYqJSJh3s7zoj82H76yuFn+suuwez8lM1x6kUwBlGEAwEAIi7c3QAEF - MxGzwnpoVhQOEpohxolFa1ZW7r33nm98JD7ytbjr6E5HJxNmKRAHRoIAGSRqRBTZ2ApDSYOBDARj - A1/LNazXDzbVGdG20cqtt7a3HL3ne7/3nvd/2+f+yS9/5QtfWD1/blRmI7MAi4pgIKKZZAaYmJkZ - MCbARJRfcGWUwIBa7W/XZ16qCinBiiSOAchWzCxEGGHG2OE4xObkVHRj3/1//j8Zf/M32fFjW2sj - iXJuuqOaQjdus6AggDTnhKhUq8FFf3cPHrLdK2h1dZWIdrZ3RGT//gMiMpvNnnzyye/7vu/bNUwD - AHLO1/Yn6i+WUuqyBoGrqojUATN939evOTy32znnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOObcHhTCfCpSZRaT+WLMGmbnmdscYSynXkNtd319VfuVX/lnXdR/81m8bjbvpZIbA1zu6 - qQYlLtPIlqlRN3xk1HW2ubn5Wq/CnlanDlDVnPMwDDnnWq88krOSxbx5y3TJ2phMJpPXdL32unkO - rkgp5UKAt09VsVBLY7lcNunXPFvI60QpZXdx3QRT27+yVHXZUtUdsBZUnUbGXU498C1bKq9Xl1iW - ybJS+Q74ckwmE1uoz+yOqXbL/mcppe/7ZSvlpzXuq+G7l7se5pMS33y1i+cfajRK02kGQOQHKeec - c84555xzzrnra/Ht8Gu9Hl+da7mPJOcshq5poUCbfu23fvvwe98z4mBkxIjjFTUygwFMxKZKEDCg - YZEhB1LLs5QaoCjydBhCs1q68IyVgw88cOCOO3BgA9xgyFhd7TdPtxtrk+lkvH+DCs6F7kRsH/6h - Hz2wvoHASDKPOws8mJViTWQToYCARcwLwaD1VpGwl9O7a5gWAAKYUQoohpqESQRVmBgpmBECRKjv - JydP9WfPjtbXrMjqaMWKfe7v/uJDf+tvDcMQGOFa7xOSRcktMzk5gMCbZXqwDYe+9z9/5mc+mral - iWOgx6jdf/fxk5ufWkWAGANQY5p/DgOUCACbKUFr2uKuaDc2ALo+Xp2JPvPxj992YP/a/tXpMOna - VZZZk5s/+Jn/6V0//ZNoQkAYIVBoURSxlcUa0uLdlKCsMfKghUDFpI0BEGRgosjhP/7jf/Lu7/0e - 7B+j7xEaMkIKV1UhIiUVCQjoEgAEGhBDoIiMGAsykGYgUl1hmn/+vVzhnHPO3YDI0KqyQVgNKIEV - asQwpJiG2UBKMbZE7XTIRCTjg0OT42j/6Nbjd37gAzh9butTn3rid3//7Gc+G0+ePkC2n3hNJWgJ - qosuHIxhamaD2YXQ40DAsntlvIxDJgRYuHg1L/SRFyF8xMoAzUMBL+5D16Ml73q8/A8jqLGBdN5t - sJq/y9i1JF1G/QlxbFsRyWVgIFL93lRz1szYSbzZtM+33XDL4Y2HHrr33e+6781vwsaaNHEIPCUM - MQoSI600XAzZsKNipYCYYoiRiQjXObrbwApWJjawWVA0BoiuxHbcdug3TYoBQUGGFGEKmWe63/xL - KMQQiNVCtlja1ogmw3QrWGwiWAGNtWIaR2VahFDeoNgAFMAkiJD2EUHRaQ/riTNHi2IsuYWKigpE - UU8fXvMt9SrVB4MMSCEOFIW7gSNiGsjO7Gxpu1pImbVGOwdDUCbMW849gg3BoARZnCgJKykzIQiv - jlYD8TCb9nkWUqTYFNDZNth6Gb3vbUff//DRZ54+8Qcff+Z3fnf62UeP9Wrb09wPDRBr2xg4EtTI - mMkAFas3JIOwPNEDLmq7a1u6PIt5ySUAA9t85StbnAkCYCgtWuz6eqIgqqZGZPNccIYFk0bPK22O - 186t7RtuP3b8Gx45/t6vx5FbFfGcUU5dbuJ0J3Oifd26ohSZJwmzojWwcVQloDDvne271wQFtmY4 - N0GRcRqJDUOWludB70ZsRAoEMzLdfZb9mu/p17Y05Y106FQfhx1F5qABoMmQEQIxAGWAFUkNRnFX - CP11QtAOhBR3Sh6KrrWrGu1UvzNboWMf/Ibjb7z7qX/zbx79v3/9tlN6VLTsTAOoz0KAAkQgAgjM - xEamGfPdanfXyZTnHTNe7JK7g5DnicuLx2TgZRfv4qsWDNQOoShIgEVyd2ziIKpFFYy0kttus4nP - NVyOH7vjvV9399e/B7ffJu14kmJPtGUyhKAUrIj0uUUcN12MsS+5AEZaL+DAFEAwXOhWussIFLVI - F9oyyCmi5vDRbmP9oY/+1OyTn3zi13/jxO/98a3T4VaEuDPLOjNYl1pYUREYmAGQiokhzTf3/KLa - Iu3dMsMINUyd8aLp3fMOuRADaJtWJA/SE9CAVakonx+1T6cod995+7d/6/FvfT+OHtk06ynkxiYk - 7ZEDZRhmk9m46SIHmfaBojKEYEMNpoMAACAASURBVAS7zvugexVdZm+2C73yfpZLKV03jjHO8hCa - dOKpZ7//+7//5Mkz9QUhUB09bqJMrHZ1LQQzL8c61uFYIrJ7vB+Atm1LKUS0HK/unHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc3vHMgqOmUMINYcYQA0aJKJlpLe8xIxYF8ZrLyZn - BYCcpU6o9Y/+0f/+y7/8K8NQLnnZdbJMvL05g6Pca6rWrlqvmImZc76+88XdKGpU2zJI0ve+lykE - qnGlXlwv6oUJ5rWsPLL0ymr5eL26nPl0WwvLgvJ6dWVEF1WqZTVz1eX6n16vruySerX7eQdc1Dot - +p9g5lJ8Rj33CiCiuqxKKS/5K69D5O3RyyMXT8N805Qb0TwmajrJAFIKpYhe2gZ7m+ycc84555xz - zjn3ylheVrIb/yuoq492NkspJbABKggh/NC/+7dnfvEXpJQABGasroKDFQHNC4gNUtPBjAympADM - MBuGAKRRd15FV5v9d925ft99WFlB24JYc+Z2Bb20B49u54nccsvnppM43n//j/7YkW6EZowUlUAC - ooDAQ5aQIkeIaBfCxRkzqovLY3s0i4Ngi/i0eZoSAUwgEFDyQCnxqEMpYIIWjQjMIaRV49nps6Pj - x4hQhtmYxyshovTNeBVQ00LcXO2a1JXBMuZ88UxfShdXIFO0vDlq9s1KoxlNA5ngruPl81+AYCg7 - DSW1QhftGxcez8OiaoZiDQhSAJhOtoliGmjz43+8/wPfOBJFGcYW4nT7/pXRH3z0o+/6H34cTaII - QLGIVLFlaB+g9c8EJmhnqEnnmPYoGcqf/vlfStN+NUYYoQzoGkwLUnNV0do1c66ozjNdCIOhJ5Da - WkgwjZRmIk3owIABci17mHPOOXdlbAgaAYVBmMsid5GhIkicAmBiFAPHVNTO5cEoNKv7Aoxns7Xx - aOP4bW953zfg+TN47M8mn/nck3/0R099+Ylx368yNSrW9x0smQWSAAqGBAIHEGkelqthpMA8rpW1 - Hk53py7SC26/NwIMVjP5akDm8jg+/50X7VobgJolXn+PFn/A6s08AMguJP0ZkYgVEDWNBsuBcsCM - dMI84bh29z3H3vauB97+NbjvfmxsSAzPw/ou5IAQEnNQQc5iWUMIxJzakJhU1cyUVKRILk24vmHQ - ZGBSRSAgGJFFQ7DYfpHtmSMHTja2IsVKpkTZkJrGBhAWOZyvg6WZEVhB52PYOXro2VEXYxqv7xum - BqgRlKHKNM+9nvc/b1w87/POy2D+XGo+F605uNIOKRRNcSQiTH1RZRrVM6/XfEu9OkvNpV0ZT7Od - buPJQ6uZtB+NQtOKMWobtbyL1F5+x/9VUltOvpBGqQoGKYyNaDLkaJRC23FTSgkS2pW1c3nbDqxt - BqM8rNx9/Mgdx4++71vx1HP9f/j9M5/+3DNf+Hzcme5L1Ipg1nMpiSyKRRAzk5JBMI8AfrEgblvs - LxcvaZHSfelyyZarPY9OvuQ0a9m8C0RBFphi6FkmsBxp6JpNofb48Tu+7j1veOQR3H2XjEfPt02f - WjUKbTcUkWIbo7WcZXpuq02N0vxMkgHSmjLLN/rOfr0VDth3YHrb8Uc3H3++sxjMNAcyZGEkIcqB - FYimbEbLzgVu2OMLmKw5rdg8vIFRm0ejNBqVSWYmnYcW1y4EgoIBIwhf36GDRoiEcWxmg062tmPT - pW48tfz5fvvw8Vtu//Bfu/097zn9r3/1i3/4R2kyXVVp8rQlZZCZaM4Qrce0CynjpFjsXxfVf3vB - gyWav9Iul1ZudegCCUFgaqSBiDlH3iyF1sYW2+1iQ+rW77733kfec+/b34r779TEp0ZhGI9mQN8P - 0ULbjjUXktCkrlmLNpS+HwaU1EaoEJQBGNhq4ZNe16K/KYhpHoaVrtXIk2Eoo9FplgP7Vla+/t0P - vvOd+OxjZ/7dr376dz7WmWx0+5rSz7Y3k4IYAajXaAMwijDZXTHUCDBWgiHAAJsntxtAl95ouOi5 - kxhoa+gzCKMxuvY5KZtFm1sOrz340Lv/3HfgzQ/oLfu/QjK00Jisz0yYMQ15iGKRI7KplhgaiqGo - 1OjuSyqk14ibGxGNRiOAJpOJwHZ2dn7gB37g8cefSolUrQhETCS3bauqOeerfX9VTSmJiIjEGEsp - qlpKCSHEGOtA9JRSjBGAmd009xA755xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn - bhp1lHTTNH3fM3NKqQ6OrpHey4HSIkJEIYRriPJSVVU0Tay53W3T9kN/vcf7vyBFaZ5GdhPM7Xtd - efm8JCIyu1BQIlb3jtd2rfYI1Xmk2SW8fK5MxPe7K3lhe155c3VlXj5XdrlQcy+3K7ukfLy4LvGi - 7ZX3P1+GFy8iL7bddpeGKlTV+1dXZj5n6BUtz/fr3lcXuJBe8np3SdPtzdHLxHwhmWdZu24Ctjhf - Y4YZcpb6+HLnKc4555xzzjnnnHPu2pgZMy3jQ26C60tXGSxsAAJEixWFpZQgjKYpTSolJwJCwHgM - joRCdMnVKQJYSQ0M0jbCGL1ip9H9Dz+M24+jXUVobCjUJDD1Qx6NOwzSb2m/79CXu/j2//GnEBMA - cIvIg2UOKdI8zK7hMN8wg1C7THOe5zoaYOC9f1Wedj3SRdxgmxpRFEbMvRBMxIyhBuIR8eTkcxv5 - IcQYTFFyEgWAPICJ4tUnlS+qNwGhZmQyjBCA1RgFIoYwTm/87z5y5qd/UXcmbNnAtG9l/e475NN/ - lhBAZIsvQGqo5/JTMRYRWsu/tsiJ6wAajzAdzj9zov/9j7fv/FoM59GOmsiTU8+96dZbv/DRv/fA - R34EY4buYLQKINSsKdRgeERbBPtkwUwxm8GGT/3SPxz105WS75jIuGl3dqZ/8rM/8/BP/6Qicju6 - qtzuBY0pQVEUGmGEBhy5QQGmM7SxE6Cr8WZ7NijeOefcjU2JCzEAAYRUlkF3hkiwUsgoxaim/VCM - uGsTqVkpTDF148lstjWdjUJcv+v2YWNt9b3vfIP9F3juua3PPPb0Jz/11KNfopPPbkxPr/TTmLUV - 64SSgXI2IC6+qjdAdyVcxnl0tl4cHPmiNyjNf58NZMwvdSiu78+Ld6OLntZlWHhN+6sdAyPMiqBN - O006TTg76vj2Y7e85S0bDzzw0Fsfxuqqraz2TZjABlILqk0zqAo4F1WTEFLsGqiVUko/a0oMXG/i - k8jcMFMK5XreSssGpUKGaAjKUYISJnHlqZV05w9+6Nh/9hdHgahpIQoQzCACisCF6NybfVnAGaTg - hFymInrgwPOjtLVd9lnDhsy1PiiDyQqDFTd0HqiSAaRs3JQQqIGFgvbkKL3vf/uH2N4EGFnR7IMo - dEAIi0Ta13xLvVr1oQF2tgDGvvVzeZgc3DhVBrUUJUTULGoVAqGe6eytGyhsfi7DBARDMBhUqZ7g - GrehCIaS25CaptVetja3y1iK5qQxWTvV9BzC+MBas//W5sE33TpMjjz37IlP/enTv/sftz/76P6t - 6a2glCc2mWbRxJyYtTaZTKa2bEXrGToZDKygGuMKUtJ5OfOF9vYFS0N9NexCiHZttnkeJ3xRmUeC - ME04nmM+ncb9LYdW3nD/6N573/6eR3DXMYxWtmWwUZcjlSIhsBK2p+dahJXU6fZWC25TMCpl1013 - RsjE9VCxKwfdXep8avuV9Paf/Tsb3QqmOyVvx9UWFDEbYAxjBF4caBfFOE9qf8339GtacgEGjEc5 - 6/Z49cvDhHtebbph1sdowiqLBGsASecdCblul42EMJBRP9tnaTU0s5aVlLIWK+3Kyplzmwo99LVv - Pfg1bzz45LNP/39/+KXf/vfNl760fzZrinRCY8SWNaqSFYPoYuUJtU81f1DRix326pO82KxK817d - /MWLu84AEiNDlBB6pilhxlRSnDS8vT5Ox289/MYH73vzm9r77sP+Awjtjpo1Y2niQLY9GTQijTpi - 9P0w0qahmHudTXcohjhuTcrOMEkpBdMg879e1x9g8TtCL8+A0CQAszIdd6NUlMuwr1s9t7NlawfO - bW2tvuXBA29944Hv/6vbn/jEZz/2sc1P/vF9sV3b6aOhJcQAzbW0afdNpgZWwJgUHDTCqB6CFFr7 - HiCtzUKtJwRlAhsGhq2Mp7E5YXJu3G08/JY7v+G9Bx5+K44cnhhym0qboknJJRJTFwfRcTeabO10 - sV3txv3ORAXWpd6kRNT6XCswLy8b3si9t9elqzv+xrbLOUspo/Hq2bNnf+iv/8inP/1oCBjyhQ1P - RH3fAwghiMjVrlDOmZnrPejDMNSh2vX5OiK9vsxzu51zzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnnHN7ExE1TVNKadsWgIiEEFQVi6xrVWXmtm1LKVeV272Y14tULYY4DCWGqKrDUAC+ - 3kOwXziH7yKk04d+X5mXz0tY1CxaAnAN8xXcpF68/tz4U2pfb77fXUkIYXfy3zJJ8SaYq/268rTg - K6vTxVReo16+S/ZHLMrNp9apLpc/vTvK1L2QmS1r0O6ZmnyvvATRRf3Pqzo1e13yCQevZBE5TJjP - oTuvWqJ+XgOgTh7qTdBV2x1lTWAmAlAvK71m6/TVI40xlVLMzOxCB/uG/kzOOeecc84555xze9/N - 8R3BVUZ3V8wQTTEVQzJBjOdy7giAggO6jkMkqnlAF0W8AICxsjDQF8SIGJBjwh23Y7yKwRAidZ2Y - zYiHjbUtRW5Gv/qbv/19v/ZrB9cTElnXZSCqMiOBAAWxFVBYXHI3xC5BBbu+GiSAwLSHr8ov07J3 - 40gQ1PBsVTVmjDpjUEAkhgiksObtzbMwgRiN9tlOSaaAggkhXByTfRXmZaUAYAwBIEgBQUOICVYw - Cs+apRBXS08cYbJyz10nPvPYauqs9LvfSi9eA7Z5pqbu3hwEMfDOTgPaSO25Lz4RmcK7vgalh2D/ - qC3nzm8QPfq3f+FMSu/5sZ+ADIAhMEUKASBADQMgBRAMwyd+4R/sB2m/c2CY3hI4zqYM0+3J/m5t - ygGqbAYyBV1tnLuoBAaYmQBCBkJN6DaAAiwiRu0Lt7FGkvudNs45515xBhYKhpqczYCygUwJkFK6 - GMg4517IOEVjlDKQlMRBUaaDckhx/2hmdi4P7ZEjp8qAIa/eeefGHfc8+M3fhvMTnN/EZ/4ITz1x - 4s+++MwTT+Wz51MunXEDkJSoGtUYGubxqBoVwezSmM+lXYfCC/0SA4wXSZF0mZfvDog1kCq4RnQr - kRCEkhAXZmEUosIoAUJciMcbG+Ojhw/f/8CxBx/Cfffj8GGMV3ZiONN2U0JvBrbAIDIyLaoaA1Fg - IjYy0dwPTMRkq6OuyKCigRBiIIOUoRgoRLt+/UrSYAaATckYgBEPjGlsdnLf3HYYbDCTSeYYbTQW - kVgs6OVidW+6JSkFG/KkiS0bAsXedFJsFMdxpmzzPHshNtIaCHoT4PnAEg4SlKIBW4nPoODgRhtT - P5O22SdZVIYuNVoEe2FLvSpLQA0Fq6tdbKY5l/GKKkK7XqazlpgVyosoc1LhvVgflJgNMPCiFSXA - oMIwE2EKTRRFyUPk0K2s7NAOm7FGQrLIPcKEOEvhcTOilWbfysax2277lm/H1jY+/bmtP/7E85/+ - k3LmdN7ZaZVaA/KQ1FoiLiUYRbVgICjPz1zUKMAYwEVLXG6JZbZz/YGNAFZAmDMjMwqjMBdGbauH - gKFpRrfcevTNb7n9bV+L++7HkVuwurodwzaRNEExLmVoOIQuTfuZRh6P2yAk/YwIIUBIDQYimmcQ - cw07F5pHjHt69+UMIQ6h4W5ta8i0koZ2I6PodLa6f1/slYxz4LzYsLUJDcrYA3v6tR4vMrV5e7LV - jlcocFxZ16xQSwRSgEwAJVUEJRBd9+bBCIgxhigTsWGGrhUpliV2zfZ00q6tZqWn+plSGN99x623 - 33XsO78TX3wMj37uxCf/9MSffcnOnmtKbopymTUEhpBpMA1mwXQe3a2B7EL/5JKPtLw4U/tjNby8 - XpwxYqEoRIooFJVjTzRjllE7PnTLobvuOHLf3Th2G970AEYJo9R38XTTTgMLGiBYsUBEIXQxDlRy - zhoQmYlZRUGIMRaSvvREFrtkqrsSu7Ho7VznDXCDU8JOP0spGclOvxOYia2UgqY7q0TrGxPS08N0 - FG85ePQD7/rAN+LMWfz739n+088+/oVH+82tkVinloYSzZJSMA31qhiAeeFzmFcRQm1XiY1UKCip - MoQgjMIQghH3nJqjx257y9uOvePtuO9eHD44jLsTKUybqBzMhIcciFfaUbE87QdOTd6ZrY/WeJp3 - ts81TRe6ODXpyZRhhFAPhXvvMO2uk77vCSHGsL29/cM//MO///t/RIQ63DVGFlGz+VcvKaWc89W+ - PxGFEOoAhprbbWYhBAB1GWOsw2vroCMfYuScc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555zba4hoGIamaQD0fd+2bc45pVT/t/5XTe9OKV1DPrHBmLhIIZAq1ABYiPHViZqro7xvjil9 - 3V6wu0Zdkl3q8IKoYI/edK+I3YeeWse8Rl2bGsl5Y0cnvnJ2l4PHnL98qnqZdGovwItc0gX1/e6q - eHW6xLKN8iPgVar73Ws/V+XeXBICSGFsEIANYsawPbFue2Fpe2AdbsQlUzSIWY1yYTMBbvx6ZVan - KFy2xkQUY7yGeQudc84555xzzjnn3MtxM31zdy3R3SqiWgIiExADQpgQG5OZGTEhpG6E2RBYhqxg - UM0hswCAATMCkBgMWMZwbgcnz+G2NcQ1UADzFuWttfY5lnf8+I8jNd/3Mz+JMAInBGRAAWKGFYKA - DMTa7Fo5UgaoRr6g/kHAONRcr72XpHy5dKJ5agjBihIQAwkMMCHRQFpKDBFkpmV9PMLJ53D8dpnu - hG6floyhYKRgAvFVrw8AgGtBGUBQQIGGob2FRAAXaiLLm37yJ578X35+zTps72B/h0Pro9sOnXv6 - xL5IyPOPpgQjBAUW8e01aNsIRheiPY0AQlGN4GhlVencF59YC5a+5i1oDSqRdB/FbqfcGmjrp/5u - FuoDD+vdnT/6ISQBK4p9+e//47Q9QVDK+Y6sCazBIrSZZoDQhplMxlpWNH7s537u6//m30BHM3CL - FPByS8kA4qBAWBRRzTinGnTXpvqzpTjUYqT553XOuatVuxopJTODmcdIud3q4XUeHW0alKnG70GZ - IFoMpAlKpCwKICAwiwmZMRMMvagSaWpmwoxRiu3M7BQpjRSjpjm0r7391pHZUdDR6Qynntv8ypNn - v/zE9NTJ5x9/jDY39cyZZjbbpzQGtaLNkFuAMrQeHOvR0VB7y1TX1mAGrf8LaP0cBCzyGgGYmcIi - iIkXtzSBTBWCAA1Q0h7oQX0IJTV9OzpTpIxXsLYeD26sHDl66K7jt955nI4cwR1HkQgcM3MfUh94 - 4CDEyqYAEwAirX8BYDaCQoIiKIcaJGlmpAWDAhZZYQXK0MAUwHo9ty/NbwQgISDASJXABphGJhIl - UTakmIRoVgYlhGX0Jm7+JYythBaNFjaoGAfSjhGGeRq8EgupMchQYynZrrpLvHfQ/HyGhaDEIGWr - iacaYlSIDtpSwDAQgUMYLIfFXrYXtterUB+Cjsg4CwK1MDUBxEbUspqRKiCshQGoEVixx+oDw1gB - JlWrydNgUyWEeqsU2KCZGQ0XAJpBnKwJyjAyQMiUhCOxBStK6HIbKRp1Y7z/0Oo3PbI2DNie4Jln - n/nsoyce/fzOU0/rqdNpZ2fDZJT7bui7omNBwPzckUxAYgaiXadJBt51EcQuPA1jECEQIFCbn/MJ - hYlh1rbTLm4GPZcoHdx/6O47V++688hbHx7ffgyHDiPFqdoshhKbjHrgICpKhMhJTLMamhQgUgYB - o1EjAApjAmDMEBgpgY2lVnvy2NcrCYqohQ0ELaqlqATEJolIBGFe/S4EPAMwUuyBPf0al0SWqUv7 - gKhKZsYWikokIrOoNYEYRiiMQtc9OpqMTTAA0hIZgQZEWKRMGTEMqlCE0DLxYDjRoo1h9Y33tg/d - efS7vuNo3+P06e2nnz77xJP9c8+deOLJcuaMnDkf+qEDNWakxloShKRAlIgCMV88okzNFGZMIEJg - CWmLeAipMOdAZdSmjfWVI0eaAwc2br9j3y0H1m871hw+hNEKmMHoQ5yFMAQGWAliUIMSFILIZlpz - oIPBOAogjGkUCvWSiDI0AEqmBtC8q1MPcPVAN9/B3WUYoTQpkwaiEBgKNTGCMSuTADAOsbMRW5Eu - 5O7IOH3XX1n9LnpLP+Dkyc3HvvTc5z9/+vEvyenTurnZDX1TSmOSTIIJmZkUImEEMKlRNirMJTRD - TNPAQ9fp6krY2Dc+euTwvXffcf99fNsx7N9ACOCYQ5zGMAtxiEFo3r+mFMkwFCHjDq0NlIgxk6jM - qVMgSykRylAGGeZp7gCAOiRrTx2t3TVjZjObzWb79+/f3t4moq7rtrZ2QmpT0/R9/5GP/NjHPvZ7 - RHUUNwCUclFTcOX7X5eZ3GZWh8Ewcx1HVEeDm5mIMHN9TET1MRYZ3tg1oNQ555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnn9pSa2w2gbVsAy9zuOkNdfRxC2B1W1DTNMAwAuq6bzWZX - eHMDiwF1vhabD/QupQD6KkygOR9i7qO93SvEa9SVGS4qnAuzNHmJuVeI74Mv3yX7Y33GzEvvRbyw - rNzleFm9TN5YuVeQ73dfFQNIffnCpRnmE2q+1mviy5tpqVZACvBrviav/HIx4Wp94LndzjnnnHPu - umJmIlLVwFxnw/Z5rZ1zzr1OMLOZqCkR1ZuUiHBtKd4vzP9W1WV4xKvmKqO7CZJLiLGJZMCQy8iA - GNOB/XbqZIoRFNC248OHp2dPo5QukcnyQ+4OawaAUhCACD73hS+vH7kLpugaAAV0x4c/dMf+MboW - MUgYFaGWgN3BLQQYo37BDGCRAQnUGKD5iy/0UBYBMHv2+4xL1ouIhqEwKKYEgKDRDDs7qF8rGMME - qgwLuWAyhZbQjvJkJ67vf/xf/cu7/+r3IEUF8zV9YoIuN9WyzDkSAMmqidG2oK2t1a7Mhri2f5bP - dyTr99195uyZPO3D4leW2Ve02Co1jVJonu7JNI/0BhACyAwi0TT2Nn3qWYW1b/taREOILVNbBswy - SgGxEbZ1++lf+PmdJGYyznpgO4+zgI2gjAiOYiZlQBEQlZ1+PO7QT1nLYV7BRBB6TqOrLBgFwPM0 - KSYgYFH/FsnwRii76nl4wVs455xzXz0D6lGJYEGVoGwKMkKNcDYjYsAMAWykRhBwAMhePJlSiaaR - hVEYhkijlQhKZu0+bQ5utPfeczx/XRhmb4gB58/i1PM4eQrPnyzPPbfz/Kl8buvpp09Y3+d+WoYM - NTIjUwYCsamQGgAGERkRAWxmNZtt/iMTERnNcyXNSFUFVjvcFrCjszBqx6v7xvsPdPs3Ng4eajc2 - sLr+hnvuxb51bBzE2j40DcgyYcphe5T6wHJRDKcSaorzvGukxqj9BtRUWiVjwjyX2wj1oVENLiWY - KTEZ2GieNXmdNu68x2xKdcuwgQgWFGwcTAl1NQIIQSORAvN479fDsp4FCNXAY63nA8GEoaCaO8tB - ebHp1chwTWdre0Td2ZXmo1mMWFECQDBWMHFQsNU7IFhJYax0IX/3pl+CIMQMnm9nA5GygWpuqM13 - 6RooS3uyJswTa4nrjgxoHcxEhgBoTRw3AKrEbIjCQedNkLABNbQawQCgEGuM2wklpD4ogHYoo9W1 - 9pZbDr/lzbcpIfc4fQZnTusXHi2nT24/8/TWs8+cOn26TLZz7i3LiBsSzNttImauwbtmtgwABmBM - AaQBhcQAk3qm1IRm3IxW0I33335sZWP/4Ttuu+feO3HsNqyvoElo0smQzqyMEbmXUoAYIxGZIBhq - LD3m9Xyexs3LxTyMGaB6UqlqHAzBaqQ32/y+GY/+vTyaF07tGDAQFEGxKHbU9PO6f9Una4z3a76n - X2P7AMAiA4pAxoG4xgMDYECsXs3jeXeKtabCX9f093p4MgCkwaAEYcx7b4AQg5jAhdFHnOe4OV6L - JqzS6qg7sL+5+65j7+i5H9CMMO2xPcH2FGfP4ew5TLYxzIbtTc2DDFlKsSKlFC0iIl3XERHHwCmG - tkltE5pksaXag9rYj/V1jDs0EQHgsMPIKW6lkEPIRAUEJgsha2QgKoLVTHfVxWUfXbRCwQAFGQtD - WMkQDGRam2WeN3SsBAYETMbG2HXlyXfhy2JAjakeERbX04IBakRiRAAyR0nch3A+cR7FQLGDdQcP - je+5/w3v/yaUglJw9jTOnsGpkzh1qj+/qcOMpMBk+/wWEXFMMbVpNG7XVmn9IFZWcOAg1tewcRBr - K0ipECZkfeAhpsIgm19wFa6XK+e9aBh0vl0X/4KXDUs9einBaJ7bHRVJ579e+/B6nfdH9+oQESIa - jUZbW1urq6t9308mk3379m1PelX9iZ/4id/4jd8yQ9uGWS8x8iW53S+ppnGLCIAYYymlxnjX7goz - i0h9xu9jcM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzt00VDWEUEohotlstrGx - sb29DSCEMAwDEXVdN51OAYzH48lkcnXv7oOznXPOOeecc85dP3ViTF/60pe+/GqWzjnnnHPOOeec - c+61YNeW2g0AYGZVrW/Stu1rktuNq47uBkKMMDOYQpsUIYrtrdP97IgIskxKHmfBoYP9n3HKIJpn - qF0Sk7YMgiIKLeKZJ59bf+4Mbr8NUBRrEn/hV/7pA//Nf424itAFC4G5ZnRH1ZqOLGBehCbSPFAZ - CsU8E8YAEoBqgHdNQFTMX3r0twAAIABJREFU7wPbe3eD7VqjRSUwbpqmPpR+hpJD2yG1NeiGjWGK - MpBpkIydbRhgltrE0C8/9tjdqjAIKePqahVd8kONAgJ0EE6hhgfWiG1aX3vjD3743P/8c/u2J/Hg - OOftdOthWR1tb28fbJMO+TJvWj8dUMN4gGDzi5y1/guMmDuzYfPczmSmW3n0wH248yi0TLK0zUpo - GEUkxRSwsrm9EUIUsTw0TaQI/P/s3euvbVl6HvTned8x51p77XOpW1dVV7vd7nY7bRsLXyI5iAAS - QUj8AyA+gOU4OAmBxJcEY2GkTmISY4wDhgD+4CAZie8WFopAQoYkloWx4gsxttt23N3utN23qnNd - lznHeF8+jLnW3qfqVHWd01Vd5/L8dGrV3mvNNfe8jjnmZYynVdAwHVDvxIBxJDxQ51IPWG2w3Z7T - vraNv/Y3fvLbfvzjw+APtHR4iuLOwBJGforNA5aNcGF4wEUvIiLy9liGLbndx6BTLvHESxA1yDQP - FJiHNYvJI4jG/hUYAmklg9kMfWzZ878tUVlmRA2bou0jxsiV2Wq9Gc/Oc57KC6vh+ZfLxxLIEu16 - NGS+YIb5gO1uururd+/Od3d1u+fhcOdLN3iY2/YQ09TmirlFrW2eIicg6AY3LyPHwqGk27jZmBuH - 4uvx7Hxzdn6+uXKVZ2d45WX4AO8VwoQ7rMDLobYoXt2DdgAaspGNFrZqMGT09EiiAsuCAtCrHmk9 - F9B6WKD3t3CxOC6Sv9MAMGF5ShB8d2/QtnvrTkSW4FK5yCXMGeEkVnNpVsPmZI3jBvBkvwbRbEZa - CXogCENLq5EZhCWHhgGWRNKCcd+s+scJo7FhOa/Jxgga0ixjCDDpaQEDLUCPAYxmkYz3fE191V7B - BjTm8uSE5XH37GcuEZYIGgAP4Jgt+uhYzpSP03QsxgEGgSENCUb0IQ3wKAAa0Sw8w7F8CiANB19K - tv4KYD5ft8g7U32t1g1szdE263jx2dU/9yeGzOfQnjNDq9jtd7duxK07+Oznym633+622+1htzvs - D3WaW2s9FJM9zNu9jEMxR7GrL7xgq2HYnK+uXh2vXbdnr+PaMzhbg97AmTyUMb0EGbCZnFalIrM1 - S9sYLBEt5qgwm33JfwXANEsApS3ZtGGAI5pFT3sNwgLec4IzDCDQqJzXt9KIOgQAzwiAidKPgAkg - g/0MN3jMYH7P9+6v8BWAgcjlSps3AEtBsQxDBNGzXHucNt/N7cfSmGZJwIjwjMaIAQ3wsL7pByMt - mkXzrIbIMjV4sDVkAraK841fyd1u58+sygvPkYzasjUDR2PJtGgRQYDkUmfIRCxHw+UDt2YE/dBa - f9+sJAljSzamjasabUZMkeEMYxJMlgzLS9f30nC8uNfrSD2D2YDCaIlqAaQf07txrOH0KmsCIJBI - WoBAltQu/KZKYGy9Unq8Fpr9cmgMrEAm0ciEBW2yAsC91Gh3W+ys3VzHuBqHHDxjeG5T8mustYJc - AUggKlqsywAEkoiWERWEl/QyZ6IMUcZGTkALtGQzhg3NegB97UncgBkM4YAhPYEGhOXp0Ua7dLDr - 254fc7tXNbzndgO6nPaEGYZhnmczu3NnC2Ac19vtdhjWf/vHfvx/+fm/Pww+z21/aGZ40NxuACRP - t2dIuvs8z/2PttZ6k/J5nt2dpNK7RURERERERERERERERERERERERERERERERERERETkCXDK7S6l - AKi13rhxYxgGM+tdxGTmbrdzdwDb7XbpRut+YwJwnzb+6vxBREREREREREREREREREREROSpl5kg - Lgc9PFx4t5nVWnEM8L5586aZzfM8DMM7Nalv0wNHdyeQSKMzotbmZnjhuX/5+7/vxo/+KFZcG4GK - Z6/PQylRD1MMhuQp2icABDOJFhgKsmGgXQluP/GJzSsvAonI0jjsDlhfARzw3XZan6+XRd6T2IiE - RY/lRjgARF5KiD4mftml10dRLkHjxwfULrYr6x9PbR6K+1hghldv/oOf/u8/FpcGrLUYvLW4c8cK - a7QynuVU3/fMc0hDwh9u3nMJ7b78bRu8v+legJgbCsErm9352XnGnf32zByr8X3f8JHPffHV7TSP - PTUtlygm4J6H8HpuaBABkPDjCquZQLoNTlqtZWp3P/2Hh7t3Nrc+OH74Q5tnnkEE9rdBeBnbbn5m - fYZDRW0Y1thusR4wHUBDNQSGJG7tP//pT37mk7//0Y9+9Fpa+EBbr+b2gdUGdyY3Q3mQcPM0IJZX - RsKAIHGKHzple+cpNv5hVoCIiMiX0YMp7XjEPh1kA0iyR00njWFLjHeGoYe7WjB6ejfRAywz2L8a - YJQAGBwYZp7mAasxR0wtEFk2m8wMZM2amT3/jI4eKluSnigR3tKnZjVeMbca1hIBmC9TmYGc+sSC - AB1GOEFEZLMe/ZcV2Yx3rUzF7g5jtbIEs7XITCJ6gmxmtlxiOM2cbs6CQ3giGMxcZg0A85gXeAzx - PlYCLGE9t/sU53mpfnDKoWReqs/wgYPl3j4mk0tlqVlPIzYes2lBIC2sJRisb9r+4UnFCDaQmSUM - HojlnQDhUZYU9kTrSaCPf3UsaEB4GEHCkggGcArcRTKaIWDed6unZ2MAADSrAAzRd1UwA3zdIjgF - yj6CUe55LMR7qcR+roFexh/PFpns5XYaEIEeS9/3/egBuD2HuBqaLWfNnoG0W9NuHMdh5XQeIkqy - XDmza+eBdgrkdhqfob38yjjPL35L2DytIq5HIgESOIUb58Xrsj1ynucchhy8lnLLebA8wCbHXJND - KWXNRMzR5sYMM4t5IjHQ3J2I1moiSPZI9WaJ5LGsNmQ/ccQx99UCkUD0ww6j9TMzoB9mFPr71pI9 - 2R0ZZgkPEPAIXmxGwBJ/vmx+j30JmgAQXLYNJhoBovQjexCWPOaUv9uY8CAvX7qCIUGYXURWR7Ch - 781p5EAfnLBkNNyNGhE10q5emTPmRCCzrEg6aSAQyJaZjqWOZmRmmhmAzIzMIDIzicwcxzFbxFyR - WZIGOpmZbZ6BSCPd3C2R0Vpt88YHZj8MAVkA+CnuvEdzMxxgRgkQ0Yc85nZbnsLEiV5B7bttA/Cu - pqY/KawfHJZqKZKRPQYeDQgGnFYJwBqZwDQdiKAji6WttowOy/I2p5FuiYhAZNTJnaWMPe4+IhrY - K/wZDDAzkfTB3Qdzm+YpCAMS8DSkOZa1G0AyA8ylJmb9mNVLl344juNlQ0sMAU9YPhHFjtyrlLLb - 7YZhyMzVah0RmUkffuInfuJnfuZ/RL/XApAYhuFwmB90/JnZY7lrrT20u5TSf85LN236nZg+5Ds3 - cyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIu+B3o9EKeVwOKxWq1JKay0ilr4mzHoXt71j - mQfGUHS3iIiIiIiIiIiIiIiIiIiIiIi8Tj5ccDeW8G8z6086jeMIwN2/3PfeeQ8c3U2i1gaA5FgM - rQEG+uFwwBzmZzjbYDNOo22qecaSJcglqgcAEElUAokBLdrhbDj/wuc+e/a5T/NDH4AdNsPmue3+ - H/+t//w7/rO/Mcdk55stMBADwSxAxZLYHcgl0gwwAt7TN3qsGBCwezLb7OLTR9IxxgZGIKZmo3sZ - AtWZQMP67LymR38GzhCGafZkSex3240ZgLi7tdWGrQKJ3d6uXH3g2V1itg08ZlIuAW1RW/OhZKYh - h7KKrIzDp/bb1XqIva0213D383juhXJ+Fdi1OuOULUdYXix4plkiLE7Jakn4pYDvljUjmVibZcz5 - pVe3N27c/o3fufLi+1Yf/gje/yJWK97drs43OOyQRCZ2e4xr3L2D8wGvfQlf2OPG3c/+8Rc+d+vm - +QvPftNHvv3s5Rdw5aoNbBh9msf97tf+q5/6th/6QWz8dZvJl1s+tkyooSECGPtM9TzSBiTu2ZEf - 3e1NREQeW4xEvjHiMMGeOZ2GhFUYHEyUiFVrQK2OSguiWU+HBYAKNANgHl4CJTAAh7YLRwaCLGkk - OTjAXZ3TCJI29sf3kQg0M0OrLSIia9LpZeW+5tzSV0QmI5DZwwIDmePZEuCXmclepc7MMqz6w/8A - eq5bZtbkNJNOMEkmjD0FkJymA0l3N2MSERGHQ6tt42uAQQQRyCWP85gtiTSDWV7kc/NYZT3+CutJ - sJfyvZk9/Zf3WfTvKKZ5AECjJVEdwfConlkyvGdhGtpFRq+VNiz1K+CJf82E54xT4DqRjP4vgMYY - MDDYF2A1S4bFKRL18ROwTGPakmfMBBHWZxkNaNaaITgDQJolLUvgadkeAmFLzO0p2B7NbGk2lARg - af0M63ieGG+ysN8DwagGAB4oCI8eaGrHOPaLOGFmT2rv54zLLBAArEe5J9EjdD1AtCUDle3KYMlW - QDroxkC0Os8NdJLFBjOzNCaQDCuf89mGkpkEnOZ9uWYvrvsU9B+zIZOwa+cBBHJGRGYAwUhwvR5a - azHdQabTVisHQDQGe3JskFNGQ5iZFY8IgBbWD09M65cPej59D/YO8pRM33fqaqjHjOn+6vF0Rdc/ - lGURedgxtTqOYbtLzn0fxpbrKo/Cnv4wrwA8I4hk1J42DQR71QieGBsyCFj0RPN3f9HnMbg7DZVo - BNM84WHLkmekARlnNRvblIeA10QFDiQ84ABghkx6sMALnWREtKjjCpnMBCIzIyNbT+yuPRmXAZAk - QDLJ/e27ZqWU4mbOzBZz1IwYxgGw3pIyYjbCDCNo2YCWtCSBYHrf4xqRwGwBWsvwhLVmPap8+avW - yEaEoe/aFja2pXLVLKr1IOdHqHx+1CQw+/EnRNCSyzGiF5UlYqkaLcsYXmjJhoxordWL4VkS2Vq2 - aMw0M4fR4Ks1EHMCtTGWlG0jA0HAjDAPYo55P00t62qkM5DONEaxJYE+kgGrx92qMM2DQeu1l8Zl - vfedtF8tXMK8+7ZEAP3Km7aHxwovra+8OBa31tzdfZjn1usPJH/2Z3/2p3/6Z4CeqB297ffhMLv7 - Q7T97l9ZrVaHwwHHuzX9b/W4+lOz8690HkVERERERERERERERERERERERERERERERERERERERB4N - rbXMXK1W/ddTO2uSrbXW2qmddWsNb91nLtW6X0REREREREREnjyX+yjWFTARERERERERka/UQ+d2 - 4xgqkZnTNKGH5mTaAyUIv0MeOLUiAR+KIZdoI3MgMPjZ+hyH2/v9fn1uKL5+9lrs9mY2IQCMS+5G - gDAgE4OjVQyOrMG6P/PhS7/3iRc+8ALOVu1wOLPhxdWI/X44P2uIbbTBhmUKaPdc3GLPrVnCIy/e - 5sX1sAT4SCcoX56hYxTZ4ACiZ9ZkQ61oOez3Hj1r05DW9gfPsMR0mJAZGaWsVm5tv0MNnJ89/Exf - iq3qi45ug1sCSe4P23EcDY7V6k/9yA9/8u/8nefyfPfqzbPxDNf8+Q98zRd/+3fO4d7zBdOCCIal - 8biKCFhYHNdkcEm4JmFkAtGzNJln4xjTvI5mtP1n/ujzn/x0XD07e/EFbM42zz0b5sMwIJC7yWrc - uvnqF27+caHlFjHxuRfe/+3/wrfjAy9iAIbMw53ZxmqNcTgg1rZBBB4in6vHCOFSxmeGnbKF+r9H - ensTEZHHXBoYyYwkjhWe4BJemuwDAIjo9S5GAuzHKR7HcKEf9S2BY4herMsQzGT/agaQaEkrZ0P0 - CMBMRuvZrYgKdyCYlhaZRuZMq+Qcs5md6ritT6wNh1Zh7jSSpPfJdXB7mC1JmCUAYw/bJR1JEggk - cqmFZ2YO4zrRMrNGAHCyDOsyZM71OHNL7vZprnlp9u1ymwEG0vLeVgSn0G4sC7mPMPJdb2ywZKb2 - mNHLdcX+pxuj53b3uhaWjFKzjCf+1aOnr8LTTquSaUA0M9Aarae/tyekTYgBlmQeEy6BUwXWktHI - YAaXvEzAj4M9Euvrq/EaxDL7YFoe03kDBOE9AXrJJ8ZxQT0qel5yMkC79/KCIZFLXjUsAaIBlzOq - AVhaLOcmfXTRo62ZZoBFwLCiH2rM7cBIM3eamZUy9tO8TNYWFhWAp8N9dja0ZS/rf6cHbQZIgxtJ - Wv+7SKK2drwy4vQkOZgZUOdKpCVIK4ZEi9pqrVfWZz1UuAXMC80zMxrZE4nTuMx/AADBniKOANFX - NJds6eWCRF8ChmAaH6WV+whiol9lIHq+L5IMImnBsIRfWrbHAvQR2Mcf9rVXhPJYZJ7i3kGEAdGL - i2D2vQZ4l8uHZN+10jNOdQlHBKzRkvDgsbYWFkGal6HRIzMzwQiYASRbq85i7mgRLXv4cWHOhzmZ - JEmCoBkAI5fVmulAZlpvbBk432yQ1qNta1YA5m6D72tFD/nO6D+4uw3W5npp2wCQQAMIIpgggkFE - Jhr7wgVOF+uOdZokcIwq96XoXmLL38Wl/0QILgUjgEQmlyoRcwnCblyOK/0wkbUlshf27KcHNDOr - 0YxehsHIDKJvAJFlsExLpMF77rqBJJmtV/mRzUA6SykgUScglmNVnxAAsGaRRDKTYFbCCPNEIl63 - h532uOzHOC67icryJ0lv7L3fT8MwkKy1/tzP/dyP/diPmzMCmenuEXG6U/Kg4+/3VEopPbe7lNIT - u4+R4e7uON6J6T+LiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIg81npL7f7zPM9m1pta11pb - a+M4ngaovQeJ93JiRURERERERERERERERERERETkcdUjcHqWxEOnd5tZRPQ8nVLKNE2nn9/Rif3y - HvjvRcB6BDOjp+WFmSUOuz3Or69yQgNW4zPvf+n2P/vjXY02OgCPLD3yJ+EBEmZLJmGPcrkCu/PZ - z+IP/wjve8mfex/vTs+P+K2/9ePf9CN/zXl4dlwBQDrSQEugBzGhhwbxGG14v9URABDH2Mb3IB39 - ywnglGh9Cl3rEUgZAwiAidZQcQ56a8fvRTtMFmngfNijNZYBxYfgWAYgQIvarDxMKslpQQaQ6IFS - 2G236/UaZrbaJMCaSMPZcOdsfC5s5Ii1oc546aX5dz4RvIi5zCWuiYlsBgAeS3o3ADCCmBNutEhk - upkx+0TUaeoLpU77wfn8epym/e4PPjmshjutldX61n4PYLVa1d3BxtWV4ttSzl955aVv+GY8+xJq - RUzwsp3ujFfPEmgt22aMa9c/eefGNw4P+CzhpYET92x1ASRQ+rTaxQLUo4oiIvJuiF6F68l2tCR6 - tiKW0LzwwOVoxYMPS/hrj0hELDG3F5l5FkCjHRwAPGLJ6jMCqGiJrGjzXAN5DLnkaFaKk2VqFUaj - gchgZrRo0cIGD2RFSy6xakkgYuXOREZmBhA9k7IBxQcAyAxb6tlLamBUy0waABIgSAui5hJUnMjM - jJYkJqR5D+w0ZM+xNUvr2YXWwy8ZCQQjmQBKLKmGwV5vWZbnKcuzv9mOx3WmvXvpnglMvkSoDr2e - SDuGbnoDgkvAoTWsmjHRiGOa+JP/SmBshmSiBDwJoHksKy7BRnKpVIcHwPufIzw+lnjXZobE0KIk - 6hJNbUkLIhCleY/rPn3rqXmFRwGXFFj0HbkHxS5bgdkxHTbykTsbZMIYyOxxys2WST8WRADguZTV - vTjq6as9srot5x0GwBKWxuM8BhC0RNiUaxusGMlYIBlzL7fNWIgliDsaWvQU4MSS/osemZ0sPUAb - GdkiGrKX0oMNAPohwxp6XmZkLXT3QnJurc7h7u7rYcT2sCdJeEn3VgiPyBoNbrmcQUbfwA0ZQNpy - NooEwyyX88xmaLAlzBtg2hAXUc1yX54oLfqukETQGrMa0iIYHjHGktfejluXJSwfhT39IV8blwOH - J1YN0bccYjYzRjUANgQsMURPQX4Xw7ub9b8YqxYl2hCRRMLCcnYgixOWbjBPCzgSu0OA6WYOGi0z - k5EZBsAjM1o2ImE2mJOeOQQNx0tKyyXLPNapAAAOJgjCjW0/kUwiCVppBMwaEcV6BQxpFsnINudM - NF9hqUYGEWBtjr7nWl92PSEaqFaCCPZSbrkS2MuuZghYY7ghE0A0Qz1eRXlX09Mfd54Aal/gwfA0 - JJi0HJC2LFuLxFIt9LReljs5IDIbagKNEcnInGo/1riZm1mZprmX/Gm9ZEXNysh+/Xop5wMIZLbM - NBsuTV0NW2qkS3o42NPZmc3YAkuljZdW8VLBXurYdvGmNoMnyDAM2+2W9FrrMAy/8Au/8PGP//UI - gJmAl9JqRWIcx3meI+JBx99bjNdae0tyADdu3CBpZj29OzP3+/3Z2RmA7Xa72Wze+ZkUERERERER - ERERERERERERERERERERERERERERERH5Kup9eS09w5DuPs9zKWUYBgDTNPUW3KeB8WZ95r55R5mP - ZK+tIiIiIiIij7gH7kdLREQezpfrtFKXtkRERERERERE3hk9b/Ar13NPeiBFf7rpnRjrw3iw6O4e - yBMAWnMbjumPjlJ+/hf/4b/znX96GK9kTDT3519AGVZt2l5819BjkxKeiMAwoFZkYnDu94cVgT/4 - FF7+EOY0hB3uvlQ2f/w//c8vf9e/jesjGCBPud1571RFz1bkaSr7hB2zfy6GjEfqOTAi+uJET6lJ - 8BhdAyIYhPe0LA6O3cS5Ms2YYAPmnKdsATJaQ23D+dV87Va7eh3RQENtdjYgHyQ++t5F178XMAAO - bDZXABxahffIbYLEUL7lr/zlz/31//IKBtzdwga8+NLq+jPtxk0wLq0nBgEsqZKOU3BU9DywNMAy - GxLoeeSBbK0ZYA6AzIyWEYcVsAK4mxtQ5t3GMJvVWt29FXv5ox/FBz+IF1+EGQ4HDAOGdUXz5174 - /P7uuN7cqjlvNh/7C3/+37h6BV5gD7ZHLyl6AGDse0NPBTyuNRw3SOi6rIiIvMt69CZ6RYgGRA/D - s2PO65LjDTb29xKXMhGZMAQT7McyQ2M0mgHo0dSJQE/gI5hONmAwd5KZFpmRrc0A6B6ZNabMzEwz - M7dhKPWYEosE3WiMhCGZZAYCBPtfMzMAESDZ2wTE8aiKbMXAJLgkxSYyw9KYSRBGEp5IOJxMy5ZT - rxuChjSmHStYl+qCDDDB6Cm5zFM9BUBvl5DH8EACGWB/H/eGDr7jejJ3LAGN8OwNHwwXy8TymOPL - IJF4anK7l9ckj9nGnaUFkkHQcFwgPQaU+djnPxLHFMy+lS4RzqdNwiyPuc4w4CnKcT89l9Yjq08s - ke/yfvoO6tPJXE5pgeNp0pLebdbL+AwAlgZgOVs6Ci4F+5LbfTw9aaSluzGj1rmZ94BWi4hMDsPQ - MnqhDaSTFRkNVohknsJ+gYwE4MfFnQRBNwNA0hptGTYz0eNdjUNE0Ah4IZsRGa1xmma4eSmeFnPM - c3PCzAYfW9YAeyQtlzP+AC9KZqZ5xnLAAi2RjEgDscTM9l3g0pKR1zmVFT1AGv30loh7NycAwbiU - Av9YvwIAl80DdtpNgEbrM57HYew4+++eYFhG9PpLgnmqniAYpPVtO2D9Yt0w9DhxYwZ7tngizZCR - GZmkobA4mS0PdQ8OaUF4MgDLDMDINCuZDTBky2RDMC3QSnEmAkn27GTUaA1J9qWTzFbyGOONTDhw - 2g37JpTBAMLSepUOiIT13G4chzOCYcZgmsdyUGsEAVtWQa9n6SLKmyJgGcBSOTcE+0Ghb0hgLEWn - JaMfF9J6SDfZ1xEs0ZBJwIyEwxFgIDKz1amUAtjpuADrdWFaKcuKieXIaiC47EzMSCIYyUyyV2IB - eBoT/eC1bAX3Hqkv725vvNZO5bg/KeZ5dh9Wq9V2u//FX/yl7/uBvzpPABEBGFutZRjqNE/T9NB/ - ot9lqbWSnOfZ3fv77t5vupydne33+/V6rdxuERERkSfH8X79ckWNFw829HNtO11QFRERERERkSdO - AN4fXbj3dlI/JXxcnhUREREREREREREREZGvgtffTXhD1zv5pr+IiIiIiIg86npud2sNQCkFgLtz - 6YkVZtZac/c+wIOP/Z2bUBERERERkaeLXe4FUUREREREREREROSrqSewLNEhAO55BqRHSyxRI0ks - FzN7Wk0aYHF807PHSUQuGSKnGBFd/3zaHdNMHpK7L3HDrZ0efzqlS3zVPFh0N5b0a7j7EqdFMADz - 7/nf//7n/7v/wT5/57nVaoq6eub51fmVtv3SOpoZjR6MTJI0AEhD5gwjRiIiRiJgNz712We+4TW8 - jzizad5ZLdMXbiKvYT+iJFYGRKBHSYIJNLhfah/zukDvYw4QH+HAl57AmD24sgIAvWcmTShmQMAO - GNclgK2P9JlZG0dgrHdu3bpKJgMIbGecgWfr2TJ7vvnDbUzRS8Oeg27+hrZHo5clVc6AUlDWqJmr - Tb07jbbCvMV69cwHX75960tsrXeT7eEBS2uZ6dE3ucgllXJJK2ciKpxwGjJbJmHuHjEHjY1zNgBO - R2QizOCBmlk53h5KO7/y8sc+hg+8jM0AZ+BgZQV6bg88f/aw2+3qeHu9urNZ/8nv+z74iGEFd3BJ - PXzA9bX8YD33m8vCOH3M46/qElxEHg7JzDj+kG6WeKgHoOXJtUT38SKKEkA/P+mVngSCyxMbCev5 - iZeOeUtg53Ikvoi/7VXDZeQ41q16iuQS0Nf6+5kAyOhBjUmAx/8AIAMtkqDDl0f6A0CUHkGdJAhb - piiBdjy3ysz+Ny4foduS8Q2QSwjHMu8ZS07xMdo4gdbDL08xuGE4TtUyIZEA0gwR6QCQ1iPBjw+5 - BGCGnuodPYfS0uIYV/mu1iyt543kPavg8sqNS/3Mtl6xfpqiahNofcvJOM14EElaWl99dulcPfFg - lb1HzWnv66pZ3zYSAOO4KV7aB46/Pj2ScSzKLhAolxbDvXvTI+SY0Rt2T2Dtxf5++rVv7Ut49sV3 - L8Jc7XR+1Ydhv+6FmoAFaI2B7DsOCKD1grgHA7OX6Wa9gE4up9AXx5jAPRc/TqVOPz0/FtGXXg2V - DZlg5PF45E7As2a0GW+JAAAgAElEQVRFowGDNURDABU8bcORMDAiPQHkclabQLvoG6MXAkuh3ZfA - Uh4+2Bp4ugRRzXCxR0TfU3yJfjfkxdaFe394TJ32rEQPLF/myDKCF6VEHK8MvKuzy8TYAJhlBDzo - x4nsUxKnov50nXq5TI1MxKmBZCYIZwbSQGSwMggzH47zGtG/zn7xLJDoxcOS83x8bbioIPVl4oCj - V6f6ZgGg5TI1sGUkOGY49+Lr+KU+/FJTunQgPv5tMAyIZU4v1UUBpjEf++3tXXWs2/fDgSP9uF4M - DCzRdAiAGaftHASYiYhlO7h8V4OIyH4cSHP0tdpOh9OIXPagPO0ajMvl/HI3pQ9iPdadlypelrgo - z++NZl9qMqfN6PWzG9oYHjvOcjgcNpvNfr8HYxzH7Xa7Wq18XN26eYc+/PYnfvfP/8X/YH8IpyUS - yF6Q1Gl+O+Nfro24Z2ZEADCz/kNmmtmpYXm/3dJblY/j2L++Xq/fpRkXERERkfdUEGjLUyHhPaLt - GNXWzztcJxciIiIiIiJPlAQCDID5uqdEEgSQxxtZenpbRERERERERERERESw3DKw3tA+k9kbN+Xl - T9/kNxERERERkUddb099ueNaMwOQma21Ukpvnd0bZfeObnu77N5Gu6d911rf4kQon7IujFar1TRN - lzsX7gvtPZwkERERERERERF5WE/XpS0RERER+SronWP3nzOzuLfWSHVvISKPkGCkNQBs5rEEQzSL - BFi8zihZRh/neQZ6XooRtdZmDnefZ2vMcraqh/2quSGqZwMAC3h/1n4JL5KnTA/TjOyxUz7PMwAS - D/FYTa31FCpxip94p6f3y3vg6G70PSCt94J8zOUynK//4LD92PnmcGfXVr5ar7larTbrtt1by0AN - gDDSCGQuEaQ9mqh3oWWJIeLWr/7ja//6n8F+e/WF56bZXvvizV//qb/7rT/4Axit1clLiVbp4+Wk - 5Dd96uuY3t0HfEQffUqAaD3iGhdTmWQPLyMwRVsHYGFZl4/bhN0dZjoNDMYxwY/WGEu17J5Anbft - DeGGF1FpfXwJP43ZDXDM8+fq/tnrV+r+Thk3uHPTrlxJZibCYIBdDk88Zs6dYnh6Wk9xtpoNiAxj - T1vP2mYArcYAG1drZJ2m6oCN4+02HZxlfc6rV9/3sW/Eiy/imWfQDnBESeNwe7ffXH9+t7Kb82F+ - 7vqn7+7+lR/5OMYBRowjvOzu7s+urr+SDtqWhlqXFva9H4mIiLy7LscivtHlUO83G/KNtaPTkPn6 - 918/4JuN4Y0ufddOrw8UqPwWf+VydPG9b74+B/c+Y0t740Rc+m5PK7x4vTdb9110yl9/k0/vicN8 - Ci1LhnHPOxep6k/aWfrlve+eUM3l08vz+6TN+9t0v6Lsywzw6HhDefU6cen1y5bMS6b7Pe8s526B - N3z0ZsGo933/zZdhvFVBxMvT/4aimG++xeZFdPhbT8M955Xylu5XgBw/eIMnLzf3NO/HVOOLj746 - 82p5+kv3Lt08BYm92R4ReONE5j21lHs/jUtHw7d6fcsi5T4lyX22ijevGr1+CR9Lg9cdqfMNA8ub - Od6Stde/g4vi9H5L8n7bzxvrum/wgMeC+9er+/tftjzXBvAEqLVevXp1nmeSICNis9nMc9vd2T37 - 7LO/9mu//l3f9d13t3sAVoY2zw9a9PbW4P0+Siml53P3xuGtNXffbreXW4mLiIiIyJOv1ygJAxrQ - AOfrL1I9ldfORUREREREngrRHzHn0gbhdAKoM0EREREREREREREREbkvJuzSjYR7mjQcuyIyIB7l - jolERERERETeHpKllN7y+nA4bDabiDh1cdv7ju/93vaE71rrW47vKXI4HPoPwzDM89xf39tJEhER - EREREREREREREREREXn78nJXPNlDRiKIOjfLwcGIIJmOarW1aeUFxsyYW40gSpkzWobl4IlmDcQS - M0cAgbdMypMnW3/i6L2einfGw6RZ8PQ/Ins2dhjc18881z5z44y2qxPGYf3BD9z8zGevONGSgAFE - WuabNVYhUKIebnwRn/yn+OiH9rd2K9rLq7N2+zVMt2Hm5xs0DF4SAdid23evXD2/NEFvb7IfLT34 - OYg0BGjAMqEt2milNwEarSBm1LDdgQmCaImbt1nDElzyyxPIvkYWp7XztiXRYLGsrOX1NDbcu+II - AJFIXt1863/6137vx3/iurXnM221wvtf7mOrhiQKYAgiADRrADx7j2mWQIAAsibAJNJJpzNRMyrM - SjHLOu0PewKDAYabMd25fnXzgQ9c/+CH+b6X4QVmEdWuXsNhsrmB5ez8yqenduPa+tt/+Eew8q/j - ABbcPWA863Hiw7VxQgz3tLESERERERERERERkbfL3e/evdsDvA/T1Fqb5xYRm835Jz7xiT/7575n - t98DKKX0eO8HvbFy+WYMSXfv7Zx7y/BpmmqtZhYRvRX0Oz1/IiIiIvKIiYuHGJhwImAwC1jCiGDC - 0gD05xNERERERETkycAk0yyT4BLa3YM0Lt13iEtPhOvhcBERERERERERERERQSKIvOdhMsVzi4iI - iIjIE26eZ3fvja+naTIzkrVWkgDMrLUGwN2V231yfn5+9+5dHHO7Sc7zrGhzERERERERERERERER - EREReYwwHUDCsj9Fz2iWCbizmEeNed7TI0vUYZ/JqCM5MudIZjEbbEZ/woSAHdNwAwQYQCDtvZ1B - eRQ8AQHeDxzd7cASOE00IAEH6EDyW//iv/+F/+THMK7mO6+dDYmv+eB09hutNs/K7AkbS8wG36Qp - iyHHevij//dX3/+xr1/D0WJ12F8v8Tt/96c+9kM/gExkgxsjYXHl2vk8t2Hwr2wJvOd6p2EB3NNP - WLGRwLyrw1kpBFr7J//1f/uRMvp+ggMz8dptWwKwAQCZyLzI7UYCaH3tvO1JCSzrFMuKPo6JgeP7 - Pdj7hCBoGOymt2tliDabA8x0N4twIJEEA0v32AQAi0sjyNJn3Qw0zjlNUwMwJALwqHPAgPVm2Ed9 - bc7hypUrr7zyzNd/FM88jxfeN9+4OZytEQHY9s4W43pHx/rs5lA+8h//IDYD1mMrPtU8KyvYqpfj - mfWAOnDsq+BtLyERERERERERERERWdRaSynn5+fb7TaBzLx27Zn9fv/JT33qu7/7z37h868dB4tx - WM/1ABgeJEMxM929twnvod29nXOtNTMjwt1ba2ZWSokIM13sFREREXmi8dJrgrjP8xDLhwRw6YEK - EREREREREREREREREREREREReZr0/m2S/b+3amvQGznocTMREREREXncRUQpBUBrzd0jIiIuh3b3 - 3O4+wDiO0zS9l5P7yOi53ZvNZrvd9rbqijYXERERERERERERERERERGRx8rpgXlrDCCCkQwASM9s - BGHNz/N23Njitg3c250SJVHdh9ocxn07PHPlWr0FtHIpDxfMABNUevfT7gnI7caDRnf32GUACQRQ - j286DWcb7G75aoPt3WubM9QdrpyPL710+MxnSsL6Q1sZCSRgZFxqtHLsLjk8sSa3u7uHX//N1Td/ - C3gwH56DtTs3P/H3/t6f+K7vwrXnAMQ027ieWyvH3O43roq3n1f93koglgIreqp0X8KtNvdhWBka - Mg+IOA+sWrRsPQF7unHbEolEAweCSEMjgrBcgsAT+UBLwo6J3ew/JI6TFH2N3ye9Ow2ZmOfnn3ne - bn7OiTttuvLiszk45mpIBCzSkgRIDH3Sjivs1LyJIJDZwjIJuMMNIFqD0Q+1vbqr9sLzz37464ev - +SCuXYMNMMOtrZnNh/0wuNU2rM9e3Vz/Z8R3/PD3P78qKI5xBbjDzhz7XV2tCs1andxtgwGPz3Yi - IiIiIiIiIiIi8qgZxnGe57bbgRyHYb/ff/G1V+/evfu93/u9n/nMH5VitcZmc2W3203z9HA9WfVG - 4KvV6nA44HhjJjN7o3EA/RVAvwshIiIiIk+w5PEWf39gK+G8TzUzj52umtK7RUREREREnmjxhjsD - qXsFIiIiIiIiIiIiIiJyWTKZySWfW0RERERE5AnWY6dxbHxNsrWWmSQvv5J0d+V2n5jZMAzb7RbA - MAyHwyFCJ5EiIiIiIiIiIiIiIiIiIiLy2LBEZAEQAIhkJDMIS6AFGCA5xJ53/78//PXf/dJvzmUX - WTNrRh3HdcyGWmrmN778zd/5Nf/i2eE82CNtzRKAAcrtftotSdSPf3r3g0V3A8dej4mGngsdy+9W - MJ7dbvNzxabddhyJ4uMLL2w/+8frNiGjd4h8jJJ+0wXXpry+Gb/0W7/9yisfwLPPoE653V/jtduf - +Sxaok6wlY1rJIbi+WDJ1I8yAwKM4y/mZUAiG1DnYWWYJttu625vgyMapnl/4+ZIAMfWQcYk0449 - jiWA4AMuHQLlPmsmTmMkANAAYukIGwnMiTK8+oUvXm/J1ZhpuHUz6SQtMxNM9Nzui9EZAFiASKIC - CGRGAhiswJjIQ7QJEWatDJuXXnn5Ix/FK+/H2Qo+YihIoLVq6eebO9EOmeOVzW0fP/iX/8pL5+dY - Za7HAxywYflDGMcCQwLwAgCZmUlTUS4iIiIiIiIiIiLyMDJzmqbNZjPPc0S4+9Tm7/33/sLv//4n - M1FrnBoqFx/AqLU+0Ph7I/BSSs/tLqVkZm/tbGa11lJKf52maRzHd2MeRUREROQRkUADAJTT4wqE - Awi84a4/sz+DISIiIiIiIk+EJHqiBgF7y6fjFd0tIiIiIiIiIiIiIiKX3ecxMt1NEBERERGRJ1dv - cH1qgt0zvHtidx/AzFprJM1MAdVdRPRllZmHw+HUev1B28WLiIiIiIiIiIiIiIiIiIiIvEfMACR7 - WnASwQADae7e03ED877e/Z3P/JNf+v3/czve8lVUHOa63wzrmIu30XO9WpVv/7rvKHWNNAvj0pWP - 4S2Ch+WJlpnkkhaBpzG6O5dtP5aHrwIIhwF2mOtqWP38P/qH/+53/snr16/izq2Y2tk3ffPud38v - o0VtBhhpmcvXjk1Z7NIyZMKBnOez/Yzf/k1827fi6qZcueItXzrMf/BT/82H/+oPgg4rWcAEIuB2 - 35VwTBh/67fee0uP0Tz+cpLYb+t6U+ADbn4JmVfH1aq1yoapYrc/3Li9Ps4M6SBJAsm8PJrAGzup - fgsJBJCAHRfUMWidgF/8bshjX9h1ghnu7l/cXDs73G13b1999grqjNrY4tJ4kYkEGtFsGWFB+HEQ - JyKXRPC5xg5tthJnm9ULLz73dR/GKx/AegANhTBvMdVa7Wy08yufun2rPv88Xnzho//mv/XM1esI - x2aDBIEBCOQcbbDiQExzsQGAwWrLIN345IS/i4iIiIiIiIiIiHx1mdl6vc5Md6+J/TT/ue/53t/4 - jd9yXz6d53kYVhHRWsslafEBLGOutYd2A7hx40ZvHE5ynudSSillnudxHOd5HobhnZ5FEREREXlU - BDAB1h9zOd3pT+CeZySgRwBERERERESeMNGbA4G9GUckcL/n40OngyIiIiIiIiIiIiIicq/eUVjk - 0lvSY99HlIiIiIiIyFt6XYPr3hC7f0SyR3q31lar1eFwMHuQTkqfaD3OHMAp6XwYhnme3+vpEhER - EREREREREREREREREXm7esy2AdGTaLkExDLIIJkoaWu0cTqsd3VzuI0JPte5TX7HkqWclaixidlj - RTI9UHqYrSHiQfNw5Un0BOR244Gjuy9JgAhHIgNZVmVE1P/wf/tf/+i/+Nt+6/NXrNiz1/CFV21z - nnONtmcmmDRkLK1ZkmAiCL+0JJ2OinO01/7p7z37NS/BX8YZSV+3uL6e/4+/+aP/2sf/Js7HWq0Q - fAIe+UqwN/VZsrEBBGFIrM/KlBjbjGH49Z/8yVfmXctajKgz9vu627KMPPU6TSYNaOibZu+f+ivr - lDoZveA0ACCyh3Yf31jytw3TjGFsu0Nt8/psjNu3bTehzlkBBxMwtr65AElbVjwiuSSAL6NvaIZm - 3JrF+vyZD36gfOhDeN/LWI0ggVaRKAZiX3w+H28hd2jf+PGPo4w4O0NxjKsKm+bcOBHwgDuL2RR7 - s9EKwag1vBQ4HYiEqZs2ERERERERERERkYcyz7OZmdlut/Nx9f3f//2//Mu/6o7WMAxeW/ZhAAA2 - DMM8Hx70T0QEyVprz+rubZ6naRrH8ezs7HA4rFar3v5Zud0iIiIiT7YE2qnv1Lz0MARfP5jS2kRE - RERERJ4wAQtDBHh8dD7fcOoXX/WpEhERERERERERERGRx0JSD5WJiIiIiMhT4XKD68PhcHZ2hmOj - 7Fprb6Pt7ofDYRzHaZre48l9ZEREjzOvtQLIzNbaKfVcRERERERERERERERERERE5BHHhCXQc7sZ - p554mMY0S0tGi2wWBxx2eWcf22oYyoBsNGYBiWk/zW1KGLIgy7Gz1wwes8Dz8U8Nlqfegz8SdOwB - ue8Q3t/puxgLBrx65lfrOQLYThhWz3z0G77wf//SWeLKOMZ8MCIT5qgXI7T+/d6FlsEiwx0ri8// - yq+8+K/+GZxfQeQ4eLmz+/Bqjd2M8TCsVgB76vVhv1+tViSXRHBkZhoNx16bH90WNLlMYhKAAT3C - xE+fV9bRA9M83ri1HkprB7aw1dg+9akzL4wWaE5mJtZn5r67c9evXmVvM1SbP0RUiS3T0xCxrG1b - pimAOeAFwJIKnoAnEMgoaDkQETZX7KYBBjRLwJj0mgHAzKKCmYUgGZn7hBmGATHhAOBsuDuUK1/7 - tZuPfj3Or2C1Bg2F+8N2PZRSSkW9S7w6+O2r5//8X/pLuHIdNsLXaAn3eoCvsClceuwmEEGLlXki - 0lABlNKOM+r3XwQiIg+JZESYWX922cwiHrg3SPLiqGWmuqaIiIiIiIiIvPdIkuyNtLfbbSmlN9KG - l6nW0YuPqx/6j374H/xf/wjol+0xzy3vGUfM85teJyGZmf21Nwt399YagMw8Nf92937VZRzH/sXV - atV/UG63iIiIyNNguXOWQK0YCoiJdWRLBo+PnfRKpwcAo4LbREREREREHn8J/PKv/D9/6k//S1fP - r0RE1mZmy+OaJI7tBbr+EL3l/UclIiIiIiIi/z979xpjyXnf+f33/z/PU1XnnL7M8D6iSJEidfU1 - vkC2kUX2xWKDAAmQFxsDSTb27mJX8UWyJVKy1/HGlmVbomiJtmVYa8OGAwV54Q12ATvZ9cJWnF3Z - zlqyLUvWlRItkhIv4m0uPd3nUvU8z/+fF3W6p4ciKfaIAjk9vw8axTOnT1fXqVN1hnOq6vkSERER - EV05gqK6CUK2urOz27Xdol8BWI8XJhfOLuOBBSIiIiIiOjYOLrg+uAR7vChbVd35r5/nRUTY7SYi - IiIiIiIiIiIiIiKiAyEEd1dVN1fVWguPwBLRS5CM70tqCneYOuAqLsiIqSk2xCZCZG+5ZxEaQ1ca - zD3qROFqEEOHJlmMtRVrxRNcgVLVIBWAOqOvVyJVNS8QuLuKDMOgiqNnOV9CLv2sIAVkTE6bwgFd - x5C/6Y4fP/Oe986fODNrZogNrr0mp7QR2+Vi3gBwOKAKGAwIrurjzPbXorvDa0Wt2Ig2fPqzzRs2 - MNvIy9XW1skIfeCee2694804cQJNm4unqF3bwT2XHEIQVYH45XpdzP56GGvoAUkMNSMP16S4mp/r - omoZsBrmZ88GN4EDKO4SA0IYVsNsY3suQFC4QYPVquEo2dexxi1mgB26uEihMEdxhDhGu1er0s6i - GxQGz59433tPDbl4RlQ4cHbHchGBOGpxSNUUzGo/2CRFVA/mqiEHiNsKtqyIXedtu3Xzy2e334ar - thGA2OZFr00z1DrZ3t5dzF11kcJuO3nVW9+K0GA2g2tNHYLUikYRG/j4LMK4Iu3QE4Pvj+Md9v9M - RPQCqrWKiKr2fd+27VjgvrR6NxERERERERHRS81qtZpMJu7edd3YwyilNJPG3Uspd7/nvb/3e/8O - QNPoMBz5wxB3n81m8/n84J6x2z2ehTAMw/gb+77npc5EREREVyw5fIKLCCpc4UERxAQu6zzbwZkA - 8iIsIxEREREREX1DPPbU6SdOn44x5FzH08Hl0BRfVe9mupuIiIiIiIiIiIiI6Ap3cDaZABrUDMXN - 1+M/vMjLRkRERERERERERERERERERERERERERET0ghEbz6FXRxUAEAdc1VUkAHCHuxe3YtXFAQQ3 - uItDfGzRQmAKqENc1RWuEABmogKzgwosXdn8Mh/Z6eiVi3HXAgym69QzACAD0bExxe7wRK63bp3w - oUip2N6enTq1ePSxiQbzAoMK3PCsV7Io3FwUwdCUfPrBB66/8RRuf3XqumEYpF+cnHQP/NoHbr3z - LagWZ7PVYtlNJgBSTBDknDUGlctn99xffzauVB8T6IACxVOsGJb33v2eW0WtnSzPn00iOH16efbM - FhxwUWRDTAka3B25IKXeClRgptquLyR6/mT9yihgUMWY6gYgCAKFOxCgs7gEWi1YLrHsr3Xf8Orq - y71zkxif+uIXOxEVV5UEtaJ5qBHSJa25qECg1ayYZ43Y3NLN2eYtt+DGG3DyJMSHfiUISSUgyKqf - TDeHoe61s3PbG69784/cMN1AFXSbKI42BEV2uHpFDQJDdcBEESRBBYBHOEzWRW84MF5HJeOavnw2 - FSJ6aQshjJXutm3HjLe7s9tNRERERERERMeAmW1vb+/s7KjqbDbb3d1V1clkssrZoe973z2//Tsf - DAklI6a2H5ZP++mvOX9Vnc/nIYRaa865aZpa6+GPVg4+dRlL4Qx4ExEREV2BAmCDp0bgPh7uF0XG - 0FoG3AQ5AEAqri4CPJ//ESUiIiIiIqLLgohAZMgV+//YE8h45v3hf/v5/n8u8+s7iIiIiIiIiIiI - iIjoBRAF7nCg1PXxBJEAyPpoAw8nEBERERERERERERERERERERERERHR1yJypAAkEdGLw8XEAZg4 - xHW8C1BVdRffV0pRaEG20IvAFAq4QwE3Me1NCsTgtl+/VcAcKuy9XvHGTejFXoqv19fVtxCs96t1 - FTmIuWvX6TXXnX30ietCFFtCfPtVr/rSlx+etC16g5soch0rytjPSh9ej+bwFJqUZFj104Cdz39h - e+sErj+lsGbSdbV2OX/5A79x8x0/Lrnv2hYOmEMcqiklANXtsql3y4Ua+rqXDYWjFI9qyBl5uDqI - 7C6q2NbmCZzfKY9+JeQcRNyrqMCQ2gYqqtFzraqh20JQDAXtEbvd69HMLEAdGvYXEI5+qG0XALjZ - +Go7cigVQ/3Ue3/1llLjMFgok67F/Y/qfKnm7oC5mTmQoAqg1ACtQA6hV/G2m117XXPTTTh1PTY3 - UQpMB3fpthDjImfZbIvEueNf//Efv+lDf3iq69A1CA1iGsdaEwEcbjlGUaAiK8wAR3ToAASoCPQg - 0O2Hnqk4XCBgvZuIXhA555TSOB3jUpPJZLlcfu2fJCIiIiIiIiJ6ycs5hxBCCIvFYjabhRDOnt+d - dLP/7YMf/M3f/B0AJaNt03x+6R+GjEdc2rbt+368J8ZYazUzMxMRMwshsNtNREREdIVypCi2KtpG - JPFFL20zCxEe1OECE6gDgMDZ7SYiIiIiIjpOHO7ukHXEGwDMXcTccfj08P3T5i//SzyIiIiIiIiI - iIiIiOjrVS4+XiAQOxYDRRERERERERERERERERERERERERERERERXcx8PfaOqKut86wKwFBd3FUA - yzkrxN2rwhQiEIc61CHuVeCCsf8NAON/XCEc4vUKdfwuwThi4mJ/QCtbD3OscB3Dxy4OcZEGqK/+ - J//sgffdMz+/s9m1WC1x/fXNie3lufNRJTisXshJq1+UljaBmQVBzSWEaMAkhPOPP5U/e2/a2I4n - T9jeOQ9Nc7ps5Iq985hO0SisIiXALWdNCQLxoyarXzxiEJVx1DBX+DojHRtBNvT5T//Xd7xmKE1q - Yw3YmWPen//yw1MNwa1UhAAI4qSFGRQymbgXS4oANN0lLtI4mPXBpi5wQexCBQwlqcMt5FWAYDn8 - zTvvug0h7e21SVALCsqDD8nZvQSXiFKR4RFBmw41r2qJ0AVktTFpX379iVtvxfXXIU6QDa6IU1QJ - Dm2nZ1bLYWN2touvf9OPbG5tvelf/CS2T0ISIDCgGhTSGmqFWKMR8IpgCAEaYAEoQAF6QIAINIef - TtjfOo7b7kxEL6aUEsZhIYExZLVcLseY94u9aEREREREREREX5eU0nw+39zczDmLSM455zydTv/3 - D/4fd7/nvQK4I8bY91kkjI1tAM8/l2hmTdMMwxBC6Pt+/ERFVUsp46xEpNbKaDcRERHRlc6gKUJs - sVpOJzNUk/mA3qIZgOAQh8LGk8OIiIiIiIjouBFRVXHUWgHAfTxjczwt3A9dO3C5XEZARERERERE - RERERETfOO4uIqrq7uOVDuJuZhcNHXX4oAKHoCEiIiIiIiIiIiIiIiIiIiIiIiIiomc3noZ6/Dqm - RHS5c8AunBuvgKmN9W44ADEPVYKb1Jx7BeBaQi2ACOAaHMFENJhEQ9wf09UAUzcXHNR16co0jvIE - wP2y/zvw6KGLC7uWwSMAKCpQ4f0w32xmCB06LJpG2oTVHCnC6qlX3v7wRz86UyCGnGubtNpFwyW7 - XNhptYl9X6wOTdQ81K0Q9h56aHNrK7z+tbq5BUTMh+lQHvjAB259y5sRJ3CFj6NxBdTqIhr08nhd - xACDGBCfYYyw1RKr4bbp5jVpgcG0KDzh0cfrmZ22acTNgeouAWkygVsIAX0NXXpy9zzcIYLqzzjj - Z6eAQRSmcGAsoAsMWNbSBLW8SqpYreBA9k/+8vtvkSadO9OKAUA/4LGnVo89PnGkpKWYCLqmzSXs - DHMXi7PZSuOJm2/euv0VuOYEBMXgVkLbGYJ5kNTOi52tZfqKm0794394qgnY2iwqNtsAYskW3Nuk - cFn1827SQDlkEfIAACAASURBVB2icNhQrBFFhBsQAATRCpWLNrJxncOgPm76Ao7ZTUQvlPl8PpvN - YoxmFkKotYYQ2O0mIiIiIiIiomPAzNq2rbWWUrquW61WIvKHf/AH7373u/u+jo9RVRw6fHIkqjoM - w9jnBnDwiYqZiUjf923bjt3uYRiapnlhnhURERERXXYEEBR407WAoc8oCQtENxMEAwATqK/PbJHL - 48QRIiIiIiIien7crdbD2Yxnu5Ljcr/Ag4iIiIiIiIiIiIiIXhD+1a1uIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIqLjRKyKqwMeBGNpWwEYIGoubmoWaq3Dql8iQBzqUMAR1VUdgIhHGW+7AlAYYFVMXP1S - ygN0TBzudr+4S/KCOHq6G3AYYOs/CCpQgAJrmgkc6A0hninlBq8bMbioLDNOnZptbdr5HaiO6++Z - 29oCVcBKABxQTY4+SIjDcPa+e6+ZRnzzt7pVS6kNOjn91F//4ru+46ffiY1t5IygiAFWRS+rGPP+ - WNEXFaYF1S2k8Il3vu+W5aLkeVOBdDUW83z/l2cQzzmoKWAGRGBjAyIiYv3KJ/Hm21+JIBBABUd5 - t/L91zUc/JTABRWIIQ622EgBfcZg2O0fev+v37C32Jy0g2UkoO9R5OzHP9Ocn6vXVbFsaIAmoAbp - UyPXbzQ3vezE7a9HbAABHCKxCUCoXmuM58xO27J9xY23/dN/iqjoGmhCaBSIwHI1pDaqaAEgiJNZ - BVyTlRyChKZVmJtBIxzje3SzfgYHm6sCgGsQVGgPAIhj6JuI6Os2m80ArFarlBIutVNFRERERERE - RPQSNLa0RSTG2Pd9COHP//zP77jjzqFHCEFVc87DUNaH4syeYRYCPPshlfFH3D2EAKDW2rbtarUC - sLu7u7m5mXNW1RACu91EREREVzRBsVIVABbL+VaY4Mzwf/4X/+V3V7isT75wIAcAUCAY691ERERE - RETHgisAERmv31DV8Z975oaD4w/8ByARERERERERERERER0m43EEh4q4ABARVS2lANgf5ueZLn8g - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIrpMGOBAFQSHHyriQqxCHdm1VOTBVn2/lIm3Ai9jw1jFVQGp - aAzREA3BLbgA7mLi7joO/sNz7+k41LuPnO4e686K/SS0rMe5ClCF14LQtqj933nbW0/fffewc04i - UkiYdls33ri3s4NiCbBicmEe7mIHcxdgyGiCuHvJuQlxKMOsadCvnvjc56676hq59lTo2tV87+RM - DfjI+3/te370zZhNIQZIMQsx5JKbGID1QF3YX9QjPMlL+KmjzsTH+wVjPdrhsn+HIQwFy3yt+bZK - 7wOmM5w+h8fO7Dz2+DWp6/MiKgIwAFUjZtuQWIacZrOV+63/4B8gNYCsayhHq3crsL8kWIevFRAM - HQL2eixXH/3Fu25p2u2dva22HXbPdm0ECnbn/V/+TdzZbcxTbOay1KkuC87UMrvm2utefRtuug5d - yiEhNskEFQ7PEvsQ91R3BXr91a//4R+CCmYdDGhbR4RBBbkvk7ZxwWCDa1SoAsu+tG3UmAA4TNzH - EPq4bWFdRT+cmbf19uAYA/KX/e5LRC8xfd93XTfedvdn7lQREREREREREV1uUkrurqqlGKCf+MQn - f+RH3jT0cMDMaq0pJTPUWseHPcOnIs/j09gQQq1VVQGsVit3F5HNzc1SSkoJQClFVccHEBEREdEV - R+BAiHGedydpMpvMsJdhdgKIZuJQB4AK8IwuIiIiIiKi40dUcejKjTHaTURERERERERERERE9KxE - Dh9ccHcOAUFEREREREREREREREREREREREREREclcskZS6IXhgnUYc+8Jep+V5bTYzVVf7bvXtge - DqYAXExcAYiPgWBXmIlB4LCKWnwoPpS6dFQRgSGsE7fjgK4qjmCQdfrbXc3EXSDO3itBRC7/bDdw - 1HS3r0c41jGK7GIGBdAAUhVAjjBYikCOZwdMddpWQ1C0Ndx2S73vC3CvpahDEQAVZBczBRxqadyr - E6pXA6BicGsEnoeNrp2fnp//+Ke3vmuKTevadjCZrMqt5czn3nvX6952BzamiK00KcMkCtxQfb3v - C6DoB4uNAjjIeohfSI8fkIOnehC9Plr6en/OzzzfcbYXUuXwcNDHXpiHIAFIecD5/sH33XPtarVc - nm2v7rBYQOPOZz/ViOVc2hhqqUVQI+bebmxdD9coDhty6tBMEFtYQDako1VMrCIEwFEKYoQLig2t - CmrFIuN8/uK73nNrq5tlaLSg9FF6SMS5Xbv3vuWjj3VDTiEOXlZBdyGzW2489arX4uQNEIU0KDUq - qmtB6FVWIZ2LcW86+7Y73nKqjUgBIUIFohDAVAQQQJDaOK7CRpuDRZ21F7ZegUIgsn59D7rj61f/ - Ah3vl/1Nn40XInoBtW07NqW+npn4/v9fjLMRkefVtiIiIiIiIiIi+rq5ewhh/HCj1EFEVGIppbjV - WieTmQH3fv7eN/7Qj5zfywc/AiDngz/iuY+dNE0zDAOAtm37vk8pHfzsmO4ex8PKOY+5bgAxxqfd - ICIiIqIrlADAdpoAcBeoQpbiu9EsVYgDQBCE/f8jFR5kIyIiIiIiOibMD4U0GNUgIiIiIiIiIiIi - IqKv7eB4grujPvNjeI4ZERERERERERERERERERERERERERE9u3GwbneHu6oerWdJdBQmz1rUdMDE - /MLWZ4DCx8dzejynBr2QYT08XW8PF0/FTKAwQQgGeISY6yBwUV0OJbZNVj3z1FeqLJF8sKqiLmZa - AKgjeEgGQFzEACjWfWEAroJ1IJyuTLVWERGRUsqLvSxfryOHLhwIAGxsKpsDMpa8MxDHnVC95qYM - t7/t7fP3/qovd201IEJffipedXL11OmuiTYUAQA72G8hAFTW+7yNDW/B+ioXAcqy3w5h9dTZc3/1 - Vyf+7t8FLPR1s23LfC/k/nO/8quvu/OtAEIsVYNKgBkMEIE7osBx0O0ei93r62ccIgdJcqwfcPRi - 92HP8HOHfoXKoTr4xQ8PQVY+bIvC8oMf+I2Ns7uzRrGxlec7YbHE5x+pZ59q3SWglqoBcLiKTLcQ - W8RQSx+DWFSUOlQ0CsQjl6lDQN+jbRETbOhVrHVDydhbfuyX3//ypd0cgq0WdbUImzOc29Vpg0e+ - cu4zn188+vi2a0jdng2rqCdue+XVr74V11+L6ggRSFgZppuDr2pq5o5FTMPW9qve+EOIEbMZUkDQ - 8e/19ev+TOv/OV8TfYbHyIX7n/a9cNRVQ0RERERERERERHSsjUc+5vP5dDqttTZNIxARmc2mw1D2 - dhdnzpz5gR/4wXPn9pomDsORD5CIyDAMMcZSSt/3IYScs6oCcPdhGETEzPq+Z6WbiIiIiJ7mwmla - roCJC2CQQZAVLo7x3ABx9tuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - 6PKgzz6WpgkAEwcAF4jDxQRwqGAsyXJ6rKYAFAYHvmpqYocruwddVvXxdoXoofFbYbWGkMRjdSzr - whtk8aKa3N3F1IBxS9qfk6uLArbuCwNhPTc9FPulK5H7cei3H7l+IevdTNc74cG9AbUHIhZ1tR0S - 2gmW/WnLN3WNdMkX57Dst77rO77yx3+8ucobIXitF7rdY04b5Tn2Kwe6ptldzstp0b/62NZ3fmfo - Evo+hqZrpuHJcw++65duedubsL3VaOdJh6AaEA0wDKt5M22r1yBJXOGHB3UGABFToAIA8vjs1k8S - 4YgJ7/EN62nFaAcqUPeD1GG93g2u46O8eBFHqwlVyoDlwnae2mxi6fsIpJVAZjtfuG9aexeHaqlo - FbGgN0knNtBF5CGkiLY1CLpOY1r/1iMWqg1oWgCGutJg6HvsrT718++6vm1fVUqwUoZhEhuo5PPn - 02SGhx9bffzTy8dOT2Jaeu277qrbXrdx282IimtPYLnwlKAiQWGlYNjTuAPtr9563Y++EalBNwEi - VOCKAhk3L4Wv16Mp9FIT6kRERERERERERER0NKvV6sSJE/P5XCUKQl9ySuns2Z22bXd3d7//+79/ - Pp8DyEO9hJmLSEppGIa2bWutpZSx1X3wgPF227buXkphwJuIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOJYGpQ/DMZdzD95pD19NqqGP2ldNjNn0OY0j76a1fh4sK - DFKqAhLgY4pX3GITm2xeanjy7DmLyCKmwaoJLiU0QFcmETkO4e6jprvl8A4p42Tc+9SKh4kMQAyx - ooYmYuL/90f+7H/4tm8/4VVSQhBcc1KuORmeOmerATJ2uxVQMahD1nnr8TcYsF+6BuBIISyXy5Pd - dKfUMw8+GGOcftu3IqWSlzHGk+7YPX//v/yNV/7YjyNCPFrS3hGHOmlDM23NaxCtpQ8xAYA//Y1l - bEYfvKh+xGL3gQubhVw0B7lohnrwO6qgmjetJIgN8xkMq9Xn3v9rNwaRxRDbFrs9dIa//Kjt7KYg - 2QUiAozrrpjNrjqBRqGQlHKpZ87v3gwtcHXRI4bHBYhexSq8IC+Rh4/90vuu3xteE5KenwPWRwMq - 5hltl4Yl7n/k4Y/81aYEjcFnk2te/Wq8/BTaBilgOut3d9qTVy1Xi6pxWfru6q0nl8OqnX7TnW9D - AiYJTSzwqNEN4l/9Rv7MEXciIiIiIiIiIiIi+kaIMbr77u5ujHE2m+3t7ZlbKeXkyZMPPvjgP/rB - f/L442dUEYLU6gLxZzmO+2zMbBgGVe37HoCqAhARVa21mpmZjTHvEAK73URERERERERERERERERE - RERERERERERERERERERERERERERERERERERERERERHR86XNUO8XhcuFxcFFx7AdfOT1+09HXzHgf - IsEiYKbLqgXmEFNxcURRc6/VtQ0PP/blIiWjSFBUVmLp+RrLyQDcL/t+95HTF+tkuVyUpnZAOzGD - AmmcZwBa/9F//a/O/sr7xW1vcX7aJi3LG771W/c+/P854Iox1qwW1CGw/Uq3Aeu3ePHDMW0XwFfD - ZtMEyNn77pu4ybd+S5w0y2E+6dpJscWjTz30/n9504//GFAbqCiaLpTcaxQACtGYHBBxyFfv8BqA - i95kjp7vrkCBOqCAAutwtkOAAARcPENVF/SAqvS1tOItHIvhi+9677Vn91KtTdv2y7120uJT9z52 - 7+e3gBC8mqN6VHiFKKqhvWob0V10QK2xe+Xtr4FAIFUgcsRn4CZDgRuGxcd/8wNbjzz+TSHYYq6S - YjdbDoOnqKWHDvjKo8On/+b0o49Kt9Fvblx/+6142cugDhVMW1TAUSUNPUra2OniYtbcPz/7X73z - Z6AdNCEGAJAYRAoUqgIgQA/WmCNcWjudiIiIiIiIiIiIiC5JKaWUMpvNSilPPPHEyZMnI8Tdv/Lo - 4//zG3/4/vu/JAIzAK4h1VpxxHS3qo597oPbAESklHJwu9bKaDcRERERERERERERERERERERERER - EREREREREREREREREREREREREREREREREREdbw5U+doDs68bslC4+TOUWOn4MIHLwSv+NahHqROX - UkM2tSoQ94gSYDE0fTULpskeO/OQaw/JQITYEQsDdKVz9ysx3X2BAOvStQGAqI0NbwBQQ9Um4cTW - w6ulWZ3Npm4V0w1cY7XtLBfUfPFiFKCuZ/tMa9XMutgsyzCxVMpKVM/e97dXieP73jAx68+d7a49 - dWqRn3j0ic++957Xv/XHdNq1QVbLRbd9opYaYmMQMxN1QPTw4o+/zvf/eLgYffR69+GHG0wBOXhz - sXH+69/ignEVDHW5FSLO7yL7fXe/99Q8bzQtagZqa4ZHHnnkk5+4putsuQcRgbu5BtQKdcRugs1N - wD3ootS42W391/8NRAWAoDjikerdrtCEfo4oy/neTa7p3Dy0Ce42rLzPG90EfX/mc/eeue9zm3l5 - /ctfpq+4DadehjahDJhM0DS5WhZ1DcPJq89p2G3Ca978JrThNW1A16II2gkcMAOiAH3uQ2rGxVw3 - zsdtwPcz6mx4ExEREREREREREX3jqSqAWmsp5Zprrtnb24ttt7Ozc8cdd3zmM19oGh0GAxA0QC7l - c9vDuW4zCyEcdLtFpO/7tm3HbvcwDE3TvKBPjoiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiOglxMZB310htp4e5gpA14+RsYVqYoACnB7DqUN9vH2wPRx0XffJQWjX - x9C3uqCqmRd1HfPC7tWkePCd5ZPz/ox0fYRbHdbRXqLn5O6X1KN46brUdLdc9F8HzCyE9V6Ua62l - TtoGVr7lHf/iobvv7kqt80VqpJlMt7/7ux//f/7ohCA41E08XDRbBwBxAHC5cFtVvQyTdtL3y1aD - liGG9qlPf3pzd95+7/e2s008dV7T9LoYN87uPfYL7/5S3nvDO3+mmzbwIYQWriIQHRdx/ffL+k3E - 978Ono8ActEdz1MAwn533AWAAgVwyNg3r/CwfpcSVMCBnMt2StjbQ65ffsddr5Jkq77aEKJgbxca - z3/sr9o8H2oB0PeeBO6oFVAsK2bbJzCZwkVVTfyJOmxuTTEUxEb1SNXu/WcbFLMJRL/vp97+pZ/7 - lY0BAQWW1fppiPmjH3vk/gf6Otzwitu2b70Rsw7tFMsVzLGxhVqGebaYVrHZbdrTbfyOn7gTTUJM - kASLWPS+1VbA+trEiAK3Omvb/Ww79PA78fHa04iIiIiIiIiIiIhe+tq2HWqByrndvaZpVOJb33Ln - n/7pR1TRDwYgxliKrY+5HVEpRURCCKWUpmmGYQghuDuA3d3dzc3NnLOqhhDY7SYiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLjTX1/1Hc3E+DiQeDHuKf4/mNhgCkM - Dginx21qMpZ2TQCMG4Y/QxRAHSZQB0Qh2bS4mInDTRzqqq5mJgk57H3xoU9X33P3BBSDujlLsfT8 - yH6+eyxKXNa+nnT3+D5sAAQ29rUjYI4uJAtpWfNkexPz+ZdK3jA5Od0otUcZcPVVmy+/qTz6SDKr - gKvBDM/e+XCB+HplW79sU7KcW8D6fjtFe+r07v/74c2/9/ehAV7UbaMvM0PX6L13veu1P/1TkAAH - UhRBkMNLfqEUfqHYfTEDwtPve04OGMY4t8j4e3R9l+5/99AvisB2UJzfQbEHf/nXrp33kEEjoAl5 - iS6e//d/hLOn21qTSmwbz0VcBHVwxIRFxdXb24gRQF6uuquu2osB6mibRuUS0uPjo1euJs00+mPV - OolNFK0DUHHm9CMPfP7ml92kr30tuoCgaBT9CtMJoKtccmyHWepjs9t2r3nLj7+iS1DHZAqJJSMq - MG0N6AebtWHMpUsIXhHUx53qoNvtF7fhiYiIiIiIiIiIiOgbrZSSUhIREem6VEp54w+/8c/+7D8B - ODgaUquHEGqtlzD/lFLOudYaYxyGAYCqmpmqbm5ujr99XAxVVdWvNT8iIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiy93hbre5QFwBmJg6XBSAPFPFmY6TsX0rz15J - Vr/ohqB4MEgRt2AC9+AIFgBkmDfeh737Hrl3kKHWMXsL7Cd8ia4ol5ju/uostKoCBlcF4FCBhlRh - Ydb+5z/1k2d/6f3Y2xMAKSLb9DWvO/vIYxODwUIDL7VaTYpnezN3AdzH7rbnLAAECngt3i+Wy2Xz - n/68/eZvwbXbVooGiOrM5USPz971vte//SfQNpCMkNYtbocLEFAcIuvweFCIowzWNArAK1wQLqEM - Mq6aCjgQANVca0yp1CGGWCyP6ZFaHUGDVSyW6MsD7/nlE/NFREUT53kx6zrMl3t/+Rd29skNcwhK - 9epWDQoLKYRc+4LcJbn1VtSKEJOFZfXVZosYIFKrB5Wnv0jPQ3U0ogJFLm+486e+9J67c+6bspK2 - wdVbt/y970E7RQhAcG3dgWkobr2HoZ2cVXlC9fv+l59C0yImaIQDFQBiRBU4EB2zqABc10s3dtnD - Ov6ukPXKG7+rrHcT0RVMhG+BRERERERERPTCK6VMJpPlchljHEPaZgZAU+xLVo1mbrW+4x0/9+EP - /0mt8EPpbnd/Pt3ug4813D3GWEoBkHMes98H3x2GwfdnHfeP1x3cICIiIiIiIiIiIiKiy5e7i8gz - Tl/sRSMiIiIiIiIiIiIiInpeDg5tmJmImFkIYTzk8WIvGhERERERERERERERERERERERERERfWON - J46qKuBmFlTGc0pf7OWiY8hEVdXMSq1NGwEsFsvJbFpzAbDfaHYACjUYIBjLrpwex6mIiqw7ru7j - e44e2loujht6trrbtFEgkl2AKFFMMmxhKwR7dPHYx+776zxF6ND366Iv0dckIuNffwBU0xibuKxd - SgDDZb3DhKd/A+tvCEQgQIYOkMmJTb/2ZO57zQ4EbDZwbL7s5sWX7++aZDkPjo1Ju1r2XYA/S/LD - BXIwSNf+zq6A5XLV5ub5hx7c2Tl73fd8h77iFIYVBpEYNpu2y/K5d959bnv7e996B0JBSEBELdJG - ADnX2IRxhotVnnYptQqHG8wspEsIdwPidRhC2wLranXQZICEZoCHlICKWkLOqAGr/o9+5mdvj+21 - K9+EomugZQbFU4/vfvKT+dFHkrkKaoYCGmJQg2WUKgCi5kmHjSmaBBVMNher4Q1v/ueYdu4GCfiq - 1fV8qEAEKBUGpPCk2bUWRScoezDH5gY0uEtGiKk5N+RFaGqXdody4uaX3/4//sPbuwmiWmo8BgDB - LlS4y6EFcRnXDQQWBBgfJvvTg4ddygtARERERERERERERM+laZqcs7uHEMYj/aoqIkMpMTY556Zp - 3vWeu373d/8NAFXUIx5DG1vdTdMMwwCglCIiIYRSSq21aZox/j0OX8XzDIiIiIiIiIiIiIiIjiUR - qbWGsL7mYDwwMR4jICIiIiIiIiIiIiIiuiy4e9u2+0MuIoSwXC4nk8mLvVxERERERERERERERERE - RERERERERER0fIi5W1WRtom1VBFPKYn7OIS7uEOg7gAgHtaxT+HXcf2yamO4V8QFAoyD+Zu7rGvB - Dt8nqF1Kq9VSZDZpplZyv1pIiHGzi01zLj75b/7dv6pbZYBURxUEFRgjsXQlOnK62+Vpd+z3rd0u - 3OOAISgcgHYI9ap/9o8fetfd15cQ+pwDUjeJr759eOKxzos5OoWXAsAv3g3FL/p1B7cv3OeYTqdn - dnc7QOd27sMfPvG6V+NbvhlBq8dYgu7uvXpjaznYF9/x8ztD/Y6f/RnMGnQNSo+Upk3oa4khmmOj - S3DkPjdtqrXGJsyXi24yfXqe/LmJIdQw0YKyyKVNXQCCQxxVUN1NCqyPOaNUrMrn7rnn2xpt93Zn - 3kHCub1zJ7qE1bL/+Cf7L34xFcQGVWAZAYhmZkUg1V0FpiFedQ02ZogJLnb+fDi5jRQKXFIjjnUr - +0hPwCEwOBAdIqj5+tfclj9xH3JAnKKdoK48F4nJYDu2Or81eSg1f+ftPwGNUEVKGDLaCcyDwwW+ - v3UYSgAEcFGI1vG+g+n4MNfxpdX97xERERERERERERHRC87MzKxt23HoqFprrVVVm7ZbLYcQ4m// - 1u/89m9/EECIWsqhD/+fn1JKSmkYBhGZzWZ7e3vuXkpRVXcfe95jtzvnLCIxHvlQBRERERERERER - ERERXRb84BowWV8EcHCDiIiIiIiIiIiIiIjoJU5V+74XkVJKjDHnPJlMxtsv9qIRERERERERERER - ERERERERERERERHRcSDAWOOuJXdpspeXMcUQQi6DSMD+6PDy9LyncXpcp40GYMz6urthzMvCTBQw - ERE56HxDoeaetBOf5mUF+ulmM2h+cvXlPPE/+Ivf/8JTn5KT/cIGDSEoso3JWNa76WjcL/tt5sjX - gYw7yjq1DD30LqwXdlpR7DejCwSxwyQ/sTnZHCQZQhdssdDrr9t65St3PvuZbdHYNavFKiqKIwjk - 0Fo9XO82ga7fBPaXQ7BcLK6atD70pR82Sz77yU/7+d2rvusN7dYUphBDXulXzty8sVXb9v53/WJ+ - 5S2v+Uc/CFQMPdq2VYWXAAeCOZo2uVlMAcB0Mr2EFeqwZVk2cdalBg4DzKAKd0wFcEep6PNH3n3X - Dat6E6zJy1CKumOwExtb2Nv5yr//o+nezqZBBO4whwqCK9wMFlXHd7/esHXDDWg6OCCqm5srFYha - bMysVYUCuSAc8SV2LOfnu80NCYJJuum/+28f/tT7tlOADSg2QGs3WUKGbnom4fV3vv2WyRZE0bSA - jfXuYdE3kxZj+xuosHHjCPsvml3YZsyBCqjs59gd2A+OV47JRkRERERERERERPQNICJjRXu1WolI - SklVU2rny342m/3Wb/3WXXf9EoAYtRpU1cy+5jy/+lcACCHs7e2JSNd1q9XKzMb73X0+n89ms5TS - C/7siIiIiIiIiIiIiIjopcDdQwgHV1y4e60Vx+IaDCIiIiIiIiIiIiIiukKYmaoCiDGaWUrJzNjt - JiIiIiIiIiIiIiIiIiIiIiIiIiIiohdSlRRjqbmUIiYiCoEXj0mAMdCM/drnOHKL7peb+XXcvtTH - EXtkjHaP+Xb3CkB0HfE1s3EMH3c3eGjboVoKiElWebm0hU9rme7+x0/+h//wiX/bXFvPl3MWDBrF - VcyAI3cH6EomIvDjMGzUpVwKIgD233cdKsB6/3GFGqAQgyscAQgiEEHbfOfb77zvZ+/WYpuqvdVu - muJrb6v3PwCveblMAYC4ARAHDhXBL9S71WHjjUPL0QDDsm8UKSFn77yWr5x+/EN/cv23fzuu2sB1 - W7UseIBgQAAAIABJREFUpsFQV7bcu3G2ufO3Dz7wsz+/8fIbr/2B/x61oIkIjqYxL6Iplz7FFm6o - EFFYRQjrJ/w8VCDDY2xDKTFnNFMoeq0mEpBRCvZ67Ox86f2//s1FNip8tZBY0QasChR48KGdP//o - 1nyuVkJEHeA9gkDH5+9VAXeBhuK1im7c8DJIrCV7gxXy737oj97+7p8DGitZGoUAKRz91dXJxgkH - ijlc4rRdvfyqJ5988mQOqNaneL4NT0jzn/3zn7xBAja20AtiGPPhMMC9aROGFZp4sJEAKlBxBQAx - AAEwwKG2/5gIBOj6ZRdAx8j3/mtNRERERERERERERC+Q8djGmMfouq6U0ve9u7Rt+/u//3/9wi/c - JQIApZgDTdMNw3Dopw24+DjBV0kpjT9SSgEQY1wulwe/eixzzGYzAH3fxxhDOPpH2URERERERERE - RERE9NJmZiGEUkpKCUBKKeccQhiPUBAREREREREREREREb30ufvBJQ+qmnMeD3wQERERERERERER - ERERERERERERERERvTBca7U2pSZN4AhJRdWshNTkQ+O0qB+uejq/ju8XxMdXWURVJYgqAHcf6iAi - UBEVkXVh19TmOreNkBxuS8z2vNu99yuf/PAn/uNnHv2sn8iLsoPoXYr9UIppEyIK0910ZGPeQgSX - b8L7EtLd60q3CMZn7YBAAYMAAocBKmIwHb835BzaLjTyqp942wPvfnewMpu2qAUbm9d9yzef+eu/ - bhxTURGptZqIOhz63PVu7HeggwKGYhBHEKgGmS+xu/voR/ZO3vaKSbg9TFrEFgKtq1TSNVlOpnbx - 8Jf/9l2/uDNtvvOtb0HXYrHUySY0JwT02WrWbgq3I3W714uKCLiIISpqRj+0SeEFpWKx+tyv/vr2 - fPUKScO5JzCdCQRDxd4crnj4sTN/8YlZX9qEbPCyfnkixEWrV3GEgFrNQlx5nVxzFbZPwlyhJehu - wNv/+A9h1YFJTDAYTIIebfEFVlyDCODuMSXIcPv/9P2f+fXf2OtrN5k8Whff+fafuNECJhtoJ3PD - dAaxQ+/VpaAJiIr9zUOhMma5ATgEGsTgGsQqVGEV+wspGLejw38iIiIiIiIiIiIiohdWrVX3mdkw - DF3XucuHPvShO++8czzg0aRmyEPbTvq+P+r8c84ioqq11hBCzrlpGjMrpbj7MAxjlkNV27Z94Z8e - ERERERERERERERG9ZIzFbncfu92qPEOciIiIiIiIiIiIiIguG8MwNE2zWq26rgMwjm5mZjzkQURE - RERERERERERERERERERERERERC+IqiWcwFOrR0MXixdDdbEiJTURIhAbs63iAkAdwH7OlY6pKNHd - 3dfhdg8wM0MNIVR3AIbq7o7qJlXruXL2zJmzeVFW/d6jT37hwcc/+/jqofN+Zqml67q88KSQWiQj - JfHKbjc9X+4OiIi4r9Pdl7VLSHfjcLJ73HUUEFnfVwHAAiD7K6dp2/lensWEjbR73XbzyENtrlEj - zPGqV4eHHsKTj9daUowKuKAKgj1XvRuuGJParg4LDUpBMVGJpRRBuX7Wnd89t/jUOX/4oelrX4cb - rsdkkiYTR9Voqh4W/XWz6VXSffHue/ag33bH27FYoWmRgKiaOqAOIVSUBjE87xWj0AgdckFKQMVq - gVKxqJ+8556rqkzzcFOudTVfCKYntxbnd6apQT+g2xr+5E8XDz8SVsu2iXmoIioVUVTEoe5SvcId - 6uKACxZRbrj1ZmjwqqJS3ZeTiBZoWwBiKPMe222FRejzX34AEqVkBEVyX7/S2ye+VPzjH/v0T//b - 3zvVAJMWJSIXNGilittysTfZ2AT+f/buNVaS/Lzv++95/v+qvpxz5rJ33perXfN+ESVLNnUxEcdw - YAQBAuSNHBi0DMsQLUcMlxZXt8hSbMWOYtOCLANG4DcGjCAGLARGHEORnChMbDmxZSYSLZkWKVq8 - k8td7s7MOX26u+r/PHlRfc6cmb1wdzlD7sx8PyjU9umurqrp6lO16OpTX2+tadGlNKq6XFKZ3htn - f03a9DpJ8mKS/PQtmNM7yXdvq5PV5k+VAAAAAAAAAOBG6rouMyNiGIaI6LqulPLrv/5/f+hDj223 - UbxExGYYu2622WzM7JpzIS/sdOw0/6neLWm73U53bjabKdedZ5Tyoj7GBgAAAAAAAAAAt4BSSkRM - ZyKmrIWkYRi6rvtmrxoAAAAAAAAAAMAL0vf9OI7z+by15u611nEca31J12sCAAAAAAAAAAAAAAAA - AAAAgGcYutVn26d+7WO/UkppivBxzFGeXmw7jqeTeZokS5ci7bo+KG4vTRHRWps2dMuMiJbjMAwt - x9baZtxst9th3I5Da9qEbyTVsbbWmsbWbbeLK0NRP+uuHK6X/V5sN7YZl0Umja2Z8e7Bi3anpruv - tUsrp8si5FKE3KRiu7x3a7m3nElSDm//c3/mCx/++bh0pDFUq6yef/vbv/Rr/3tn2gxj72qpNIXJ - U2nX7NV39e50SXFaB0nZIPcq9wj1pS82jkfrc51yVD7x9OX/5zeO7737/ne9Q/39ttmquGZtT60N - 69WTm3tm8ws2+9LP/fXm/Ur2S7/6Kz/6K7+sRVWn3kN9t1shk2zKUPs1YZKQFAoppZSFSmrRmrZb - xajt8Bsf/vB9w/jq1Xq5HeZdzfWxzTtljpe+ulzu62jVPvOZz//mby2ODvejLWalbUabAuZyk2VG - a+FVpShHtUiXjW7rvtdrXqvMUCu1W2c89Oj7VWvKiqRBdW+2ldpL2sClk6WUnuPWzGXxJ/7ST/2J - Ueo6zbLVrrjUdwrVapIW+8sWY8qsdG33SnievmAnBfDrgy557Q3bleCnJ5azE7ywEgwAAAAAAAAA - 4IWIiMycLhrVWprZb/zGR9/3Q//F008flmJTbLuUOgyDXtKJkNPa91TvdvdxHDOztTabzaaHzGya - Od1uAAAAAAAAAABuS6enCSJi6na31uh2AwAAAAAAAACAW0spZfrbh9ZaZtZap5Mg3+z1AgAAAAAA - AAAAAAAAAAAAAHA7CB+H/uhffvL/Oo6j7DI8ZaO7bTab2ndhmpKuvrta/NT1TCkkZ3w7jlXMIyKU - ZpZuZjn9OH2NPS0khSlLqFhqtByKy0uR3EoXZbt1jabj9bC36HPTqlXzsVRfr1udebRv0nsd+KZ6 - CWXnkz8dsZM288mP0u7PSso0jUkememlSIqxea3aO3gyumVdtGG16Gdy1333XXzkkcuf/MTdc4v1 - 4BZWSkS0SHdTZKaKWaZpqndPv+qWzSSppjyUMfq0N2hKRZE0qqWkmKvFVx5//J/+6t333V9e+xo9 - +DoprXgdhnnxGI/nGk0129r7+V/43u/9zM/813lx/yvj8bc/+n51M2WndPWuYlJo3itDXZWkFkpp - DB1v5FXHg8w0Dh//W38zV5sL81lcvvyW5WI8ujIzmQ1qWyuDNmvVeTXTv/13T33ik/HE4xfa6Bby - 3IytuDymV7HJa7TI6aZLxaKle67a9q6H3qJzF8bt1vuF2rha9BcWe9rbD/VFUr/bTkUv+g99rm5N - K+YLZWjRKaV0uTT95dDVme42eJl2xPJpn336mOna8PYuyn3dwq55O52Z7TOmAYA7SaZqrZmZSnaG - AAAAAADgJai1rtfrrutqrS0GSa21iPDam3kLHa2OD/bPf/KTn/zTf+YHVquVpLHtTr22Njz7TF9A - yPts7TsiIuIkB150Eu2ebpzeBgAAAAAAAAAAt5nTZMXpjelMAQAAAAAAAAAAwC3k9A8fTs900O0G - AAAAAAAAAAAAAAAAAAC4E7i7mWWmm0nKjK/5FOClSPfWrdfrdmHY1k1atjYsavEaY46hknJTuG3C - oqmX5Cl7WUSmGd+UdPczhFxpur64bbtnpTRKVlNqUssMS1Wpmtq4NfepgjhGWKcWYc++FOAaZpY5 - jVPSOI5myhcQqnjZegnp7mtqyvYsj/iZH1wWkaOilFqaskS+7Ud+5JM/9zdemTVWV9xSJWbvfFu9 - /NSTn/v8vbNu2Ay1tdOX1MyUinyWxaXJTu9PnWlGS1KmTDJTUR5kDtuIL35x+/RT+cXHF694wF75 - Cp3f61uqbVKDlars4tLh/my539XLly7NXF/+xb99abWuZa5aD7M9PW5f+YZHHv5P/mMtFzpqqp3G - QS1+/e/897Pt2C5ded09960ef/xAee/x6uLBuTw6jDZ0G1OOmvVqRdu1IjSO+v1/f/iJTx1/5cl5 - a/Nxa2rNlCZdEz3JzNFMU7+8NbkypaNQ7s3PPfxwW69rnYeXQ7cry7n62ajedPKamOzrzV5P/XXX - tVdIu77GfWbXOd39LBdUe/71eK63E8UWAAAAAAAAAPg6rFarg4ODzWZzfHxsnu5eSlksFpcPV6UU - s3Lh/F2f+MQn//Sf/v7tdhzHF1LlfhHcvbU2jcdxJNQNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAABOX2tCWy+WTujTUTbqlj0O2jMzioUhV2VgUYQrbKj0VdisHdHHjnAn42pnbqTPv - kIhr+wCFNw/uSC8p3f1imFTMVWy9Xs9nc2XVnj/8gfd/+Wd+bhmdykZ7vY6Hi9/29i8//uR6G0Um - qZpFhu1+aTOkcJNUQia5IqQakuTP8atrZm7KzGFo5nK3cYz15ZVd/uzq01+anf/Uwavv14Ov1T0X - rbjaoFmnFupCGnS8uuvChaefePL+2azbXoo2PtD3TTZ87N9c/sTvHW22te9ibJm5P5+94ejQ2ti5 - 6XO/d3ft22Y7O3duWB2uh+PZrBvjyLP50bE2g1T1+JOrj3989eUn98wvpobtpihl8tTpLik8pn9X - iyxu5j5Ek2Sm9OKL5d4rH9DFC8WLxmJRvlTzDY9+QNYVmaWUksXUTfdnf20AAAAAAAAAALe/ruta - a+vNarlcTgnt481wvBn29g5aa6uj9ZXLX3nve9/7uc996cZ+mlxKMbNxHGez2ZUrVyJiuudGLgMA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbmU5tlpKiyGyyarSlG4WSslDGmXjlGW2 - dKWbdE2zGQBussxbPvl+09PdkrJJRfP5XE1aHMhG7evywf49s2H79JVF11SlC/v3v+NdT/3Wby+b - FINkbh4Rkqx45jU7d0u5rraun1VkurlMmZmh6lard6HSSjRtvnrpK1998vh3P7G4/557Xv86e+AB - hVzS0ZEsz816HV25t9bYrssU9t6m0jSEDjfLiLpcyqytVvbU5f1ZladqzXEwRS2my1/1Nh7sLdRS - m61kunKcn/3sU5/53PaJpw5Kd5fZZnNllBZllm1QSlJJpSls+knuPrbwzIzIVClmqdHtK9v22gcf - 0jhqb6HBjprGV9yvfibvTa48OUYa2W4AAAAAAAAAuKN1XTcMQ9d1XdcdHR3VWvu+d/fj42N33263 - 733vez/72S9OE7ur3aDTrK01SbXWy5cvd1033RkRfmML4QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAABwi0rv66xtx7Isaw1SM5OpmHmzQZIs0iTJUhYuuYxuN4Cba2p1m1lmTrdv9Xj3 - TU53p3IMq3VoYxtz3ncnCx0f+fEP/ubP/uU3HuypDSpN2urhb/Enntp84d9rzKooXqRIU5oyZQpP - nda6p3r3biEme8ZmCEkR5laLZWa0mI4i4WMou/TzpZ5LG7781ae/9OSQWp6/sP/ga/TKV+lgqUhd - /qqdP1dKiW2kda46jENfe3WzOsRwvO36vnQLLfrt5af7xaxthjJbDsOqq5nDcalzHa70ha/oiaf0 - xJOPf/5zvXvJWGaLth2tLLo+hnHbNvXkSLYbmySFKUwuufsQYWbF6tiGlengTd+iB18XuclxLL64 - pPLGH/xBLffkRenK8eZuUAAAAAAAAADALaLFIIta6vHxcTR189nR+tjdZ7P5U1+99L73/fmPfezj - XVciYmw38lyHu5dSttvtdrud7hnHsdabfD4CAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAG4RJi26ve3xML8wW5d1U4YUypLpUprGk7CpUiVd8rCvOVcAuJHyVg933/R0t8lqjda6Wk3Z - UlP+o1/ONcQ7fvwvfvFn/sr968G2o42hixfPv+3Nl1dPbh5fR+ZCMnlkNGVLzXS1z50nu3vLq7ev - /4e5t4gWWUxuNioVCmuZcnOzsHHTxuhlvdy9y0uXL330/9t89P+NxWz/vrv3779Ply7r3Hk/uCiF - svURikGrtWrtatX6WAptjvvqWq1KhHzb9a6nn9g8/qXtly8df+Hxerg+Z8U24z1KsxiV6ZaStXE7 - qHP1tcZwTWzbU83kqd2/zN1byj2ktRSLxd0Pvi7Gwefdesh1V/Ke+1S7IVXNd+XvlNJlkkJX++YA - AAAAAAAAgDvLdA4jIjJzf39/GIaI2Ns7ePqpyx/84Af/1b/615KGoS2X83a8uYEnPCJiHEdJfd8P - w2Bmtdbtdtv3/Y1aBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADcwtKHTSvR5Zhe - 1EzmsrDM0NRpNaUpUmX3U3iKejcAvCg3Od0trYfNrJ8pVN1CcleTVkMsu6pZ96VFX3N5bjvMlrPh - 8mH3ynvOvemRKx7jl5/K9FSETG4ZOWWop1Z3M4Wp5NUw9RTwtrNhEXePSClTlruAt4pHa2ZptptX - KGVpPrY29ml9V9p2aJ/+/NHnv7IZttbVsph3i3m/t0h5vXBei6VmvY5XCqm4ZLpyWS02h5eH1fry - 00+5q8ucWXcwjjWUbRPK2vXrtm0pmbqq4spUC/l2vO6wZakihSkiZSrpUnjaJtVm3V0PP2j33aOu - V4thbKt7z736B/6U9hbe1ZDcZPJdujslC+rdAAAAAAAAAHAnMzMrnUvrYbvZbO66657HH3/8x3/s - J3/t1/6ZTKX6OMbRat11s2EYpLghC+37PiJKKRHRdd0UBafbDQAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAnrMb8Nfc/9G+PH7eqDLlLCplZylKnRdKUT93uRrcbwDeEmUmKzKk3cUu7 - 6enuWT9r0aqXlMYmL4pU37nkKvVbf/RD/+a/+xvlyjBcvry/f6DV03rzI/Xw6ePL6+16LM0kWbpZ - NEmST1nrF7C7H8exmlV3ZWaEUqG0sbkpIlNpkru7LDKGMcJkZhpaL6+ycX24V+dtvY71Oi4ra7dp - 46YrR+ttnfdjRjU3s7bZLGez2AxVqqH7i8cmzFSUyhgVXXF1/fF640VdlUIalaliSlfELjd+Nj1u - KZealCmlQkqrG4t24cAfeUi1mHscbfz8wWdte/9d5+SeTVYUimIuk1JKyXTSWaHeDQAAAAAAAAB3 - nFLKZrOxNHcfx3Gx2FutVv/Nz/61f/JPfnWaYBzD3d19GAZ3j7gx6e7NZtNakzTNues6TR/a15t+ - SgIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXv486vn9e9/40Nt+72O/2XI1ZJgr - s5nK1G6dJgu5mVxhujEXkweAO8pNjzqbonjZSlvJi4q0kGqEhqYy03L51sce+2Ipdu7CZn2kzmO7 - WrzrHQeve/WhUj7ryiKGsbcaUlQfXC3VmXfmmRqvTaen7RrYkoqUmdlaRkiyqWQtZZ65HZERlupM - nakoTWEZaq2T57h1qUhdhLZDH1m34zn3xTDutVgM43w77MvLZpilakafsjYWyRXKSAtzjRmx2cxM - XchH1aaau4PYKIXv1nnqkZ+uvKW6UiRly1Lnq4jtcn73Gx7WuQNlKpovlk+2+PYfeVQzl6m6XKMU - o5Qnm9Ql0y3flgeAO5OZnY4BAAAAAACen5llZmaambuffqRwdLyZLfYycxiGvp+bys/89F/+h//w - f0pTSpmSFKFxDEkvodt9uqDThU5rIqmUMj00dbsl0e0GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADAy5wZ+TB8g1i6tt13vuN7L/T3lM2sLyqmURkWKVdWD/f0k+xsXE2xAsBNY2Zm1lrL - zFLKer32m96+vrm+MasffnUXHdKobLIiK63MNJ+/5bHHvpg51k7dzOf7knfvfOf81a8+dMtaq6rL - q9fTNc4xYgxJfX8zUh8hC2kXKTGFpUpGjegiakYXMWvRnfmxRpTYTTmNT59+lp92tM8EtePaQ9dp - vVtNvapZXY3tsNjeq16tBx9ULdpuY2jDbL452FffZS3KUBun0kqThpNF2K7eDQAAAAAAAAC4nUVE - KaWUEhHDMIzjOPWz5/O5pFprKd04xC/+4i/+/b//P0Zc8xn112M6UzKtwBQOn06f3Ji5AwAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBty2r2S537zjd/z6IddIN86qB6Sq6sUlW6p3sq - 7EztFABuJrPbandzc9PdKW2lJtVUnzJFKKQmhbZbhbn1MRbtL796z/n1fLY92mpjsU6dP9h7zx+2 - V973lWFzLKnJh6Zt1KbOvbiblFKLkGR5zZDPe0h4/kcnJweVuK7AbSl/xmDPUSE5nXiaYTMNrma7 - mVuohCyVUtg1U05r2DKatDXb1lLvvWf5tjer6yTXwfk2m31e4yP/5ftVu61SZdqM4VJKIeUu2e1G - uRsAAAAAAAAAbneZeRrMNrMp4y0pQqvVehha8e4f/IN/8OEP/618lmx3nBlenFpra83dJZVSjo+P - zew2O4kCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADecpXvzOvZ/6M1/5GJ5YLad - l0GlaMjcZU9TJU+as1wDHsA3xGn84rZxc9PdsauAuCSlXPLpDpNmc3lpTT6bS/EdP/gDTy8X670D - zfd9eX4zDOrr+e98V/+qB+r+wSbDrRTJZNMMSnEzDeOLS4mk7Sraac/S8Dbt+tmnE2u38n76Ql2X - CT/pdvt1k51OrKnGLYVdvX366LS4PDPxNE3IrdS1cuW23Vve9x3fpr2lSreRHW23T3ez+cOPaNbL - 6zik5DkOSjc9s4hSb/YmBgAAAAAAAAB8c5VSImIcRzOrtZZSMjMizKx4N+sX/+gf/aOf+qm/3HWW - qVJu2GnVcRynG2a22Wxms1lEDMNwo+YPAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - ALetUX3OLpT7/+Aj313Wi041U3kmcmoZppCuRlEB4Bsmd77Z6/H1ubldZ5eq3DRlscMyLE0qsi6l - SFVX24yazXVw7ls+8IHHz59/ctuOhoj9g8tFeuCeC3/o254uZotlllpU3UuGhojWspTOn9mqPvHM - MvekmZqrmZopTqaZKtqeKqmSVwPeKZfsZChSOdPnPpvrtjPD1Zd0mvnZQ5Sn7MxDOnnO7vZpzNu0 - lWxv75LFA29/s+6+S5L6ZauLS3v7X1j0D/zJ79NiqazLbqGWVmfKIvlubtN/Tg+YAAAAAAAAAIDb - VylF0nS+IjPHcWytmZVxiPl88U//6f/26KOPuWsYstbaWp75oPvE2Y+qX7Cu606XWEpprbn7dCcA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4Ll4yhULX8Sl7rvf/sfv7l5dh2U0eVVY - SFFyLDmWDFmEKaX4Zq8zgDuEnQSjb/lw981Od5/2rqXY7aXN02qam7u72hhlVlWkrmg+f/iH/8KV - 8+dWe/ONF+8X28Mjnd9/4I+953C/u+RxqNYkK0VSKCW5v+j195Rdu9VO693XDZKmo8uZBPb03zgZ - Xz3u5NnBrobDp2i3n6TBTWe64Gceuk4zHbXhUo6vfNub9PBDGrfaOxg347Z2T+0t3/HYh7Rcqs6k - kmPKSwy71IorikbTIOOYCAAAAAAAAAB3hHEcJbm7mWVmZpZS5vO5u3/kIx/5c3/ufaUoQqVYa+30 - DMfXbxgGSRExfVY/zXm6EwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwnCyKWRyP - B+Xue/rXfOub/vD6SnY2H1PpIRtdYZIpLJXyuMn9WQC4Ld38XWdMOetQqpk28o3UJI3SRiVTNl5p - m7FU7e3p4NyDj/3w4zOLJjsc+4N71KS79+/+D7+rvO6BzV6/ySazKneZIrN9jUD1aUJ7YqmS6kIl - VfL6ivazsGiezTO8pbVdrtt0dVBIkdbC28mUEabTQdot63SJ9ox2+Nl1sJSn0szO7/u957o/+HaN - K50737ajqYxl9pY//0NaLOR1DJfJ3ZTyrkhKyRRFg7SVhuke3fJ1eQAAAAAAAADA85mC3O6emWbW - dZ2kw8PD3/zN33rf+36oFG9N5j62zDT3es2Td591vxS11inU3VqT5O7jOE5LBwAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8F0u5xrYd98uFzVN615u+677zrzGrkUoLWZhUdNJdTU/V - b0SCFsCdLTPP3s48e8ct6SbvN/NknC7TmVj2iWItWlfmo8qgqlo0X7zlx37sSe/KuYvtaCU3uXSw - PPdt75o98MCh+yYyZS7zlOtqBnuqdDe/2sx+VpZXh2vW9DmeYlOu++SH6xMmpvTTxYUUSt8NknR9 - q/vsQq9bzzhZ/62XVa1518X7/4M/qtWx5uc02Gjd0WKxvfeCzh2kqdXOOqWUKZnauFsxP7OCz9kj - BwAAAAAAAADcRty9lKIzCe2jo+PPfuHz73vf+46OjscxJJmZpFrrNM0Nsd1ua63TEqfZ1lpv+dMm - AAAAwItgkga3w66/0ncyu/o9mWv+CwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFwvQrNu - fxn6AAAgAElEQVTZbL06qlnuX772XY+8ux35vHQeLkmmtF3q9CWyZwySJE+X/Mz4ma1ZfJPYCx5L - kj9PlXjavmcHKrd4QSyuvX3Lv2/qzZ39Nb+QKnI784OKZF6sm+5zk7peXa92+MhP/vjv/LW/ev+i - 2285mxWtpL1+/w9/13L+W1/+nY/f5XUWTV2nzSjJzNKypUbJbBf7Vrsuzr3bk5skheRSWPp0Oy30 - bK3rs3v/s41w2dXrK5++I3b/tLRp5kqXhenkcOWKkKdKkaUyNcrMLCL6WtrYhtS87wfl5Vr7V77i - 4rvfLVUtL2qQbHZc43P75a0/+F71xbpZkVKyaReXKv3pCri0ez1Nt8H7EwDuOGaWmaeNKzMTvSsA - AAAAACDp5BPfk08Nrp6uaG3svGyHcbFcrFbrzdgOV+v//E/+qSeeeDqvTtMkjeP2+pm+4A8epk8t - 3D0iJA3DMOXAp4emdrhOGuEAAADAbc/MWqZJ69J9aW//y8tzGlNnvpuik6+nWJ58z4T/WQYAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4GUuVL24+ziOcjczhcw9n1ETmwKXpHDxkqXcy/x4c9zP - YtErDuff8wf+2K9//P+4tP1SjJuu99HGkEZXSn1RG7b2nJnmZ3PS/LZpYadv4XRLd8nSPWUKl0zh - qbBrnv41/wFpep50NF6kk5f/Bda7c4pzT8/cNXl3Xd2QtNvKSg/TSXr3TJIZ2P3ynv0VDknunpmZ - IXkpdRiGW72oefN3UnYyyO002D0t2Xe3irycXKb4cEzt7WvWv/mxDz2xXB4uloeb2LRUrcrwd77t - FX/oO44W/VEtVzbHJg8pM828FNWqWiVpHK9fizPbKJ45tq+1Ca+f4Nofp0PIND6zT5GkPDnYuMtM - o7RtGlKjlJmtRa2eEZK5l6PMp1Kz17764vd+t2rJUrVt6maH8/7pCwdv/dCj2luo1GmrXT0MXXNA - cqlKlcMPAAAAAAAAANwh9vb2Dg8Pa63b7VhLf/nS4fd93/c9/vhTN+rkxVTjPu12LxaLYRjq9HE8 - AAAAcMcyk2RydbMvb8f/7H/9ZS3mijPfGJHy9Bskt/JXiwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAHAT+NisdjPZOGyO+5zfP3/Fdz7yXfWwn5U+s0VILi8eoXEca32pldKrrdWTO+zkbou0 - kCLtNI3quyH1NQbJUpbB+AaNv65LmF7dpnnNPbKQjelj+jNCv8CzyWsz3XlLV7slvdwCzybt14z1 - ofpOtb7hscc+V7rRutnBxW1N3b2v/bne8NBd3/vuy+eWPl+mW+nnKWstPL02i41s1LwvOslm7+LZ - FrKwM1Ht6xedsmeMJ2FK+emspl3S6eBnxpKat6G08BYezdSkSFd4bKWQFWVVdvLOZp3NisaIo8hS - 51YXl1QeeOc7L3zPu9W2mrl506K7Usd/d9Ae/IkfVu1VF/LyjdgSAAAAAAAAAICXmec6WXZ4ZbW/ - d661zMwrV658//d//+///udv5HIzu64zs4gopTz11FO11tVqdQMXAQAAANxyIqK4p7LWOlssZJIp - FdON67+eYs86DwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAANzBIrvSe3RtNHnrvHzHw999 - UQ+oKSyrZCFlupQpzxfZn01ZuIXrTJs1pLCYhlbG5mPzaEXN1Vxpnjod6tcYzD3lkmcwvgHjVJpn - 1hc4SB42ho/hcdLt3m24ZjXkYdF8bGWMEunSFPYF7jwvr3S3FIrBFzO1QculFvN3/OiPfWkx/8Jm - sy3zw/WwaalZr9e84hV/4o9v7zo4nM+e3q5bV7x26xZjZO+1K13bNqUrXVKcXP54SmtPdz/7MF1G - edpl2OkKecqbK+Rx7UNnZzuNPae9yemDLk07mCJZ8a54r/TtVtttxjazKU37B/tfHTerWfeq97zH - 3/Jmtab9RbRoXlY2fL62b/uJR7XXabmX6l9+mwwAAAAAAAAA8M00n89ba13XHV5Z/dk/+2d/53c+ - 4S67cWlAd5eUmZLGcTQzScvl8oYtAAAAALg1tQj3cni82saoGNVG6+rpoyGFlKLbDQAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAADArWq6QPc0Bm4sS1VzNWWWWTc3y+1qfO3BQ9/+0LtznRYqpcSg - 3GZfS3W11l7kEtzTPapn9ZiirUqbhgiPtGim5mqmZlPgO3RtpPW5xpNdLpbxjRiftncnL2gr7Ird - Z5+1K96mR1pcvSzqNaVd4AUxs8y8DY6A9WtP8o2VXkypea+QVqP2Zm/86Z/81x/+m8snji+o08K0 - vTKO23rQX3zP97TPfPap3/mdw8MjH2Jea6dOLVqMKfdpV5DuCulkj2AKKe2anfVZLoXkdjI2Nds9 - wSXJ42SyHbt6YJhudNMUeWYsSVGsU4S3cEUvVZObspRN6unjzbkHX7t4xzt1/rwUbW+xbsNev7jU - 2meX/tafeExdkc+a+pwa4Dfu1QYAAAAAAAAA3DIsrr8nXdK2haTt0fqDH/zgRz/6sd0jN+78RURE - xGw2Oz4+zsy+7yVtt9vpBgAAAHBn8uKbFlZszPC+U1dPv+iW135lTSYl3/YAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAADANcw8W7bIblHTxm6sfZz/7je/5zc+8ytfGT4zmstbCXmoSWH5oi5v - 6akSU27V02R2teiaU6NbStPJTYWppMxGl8L0/GOdPP3F5sTxPCyv9gh2dd1nGcfp7alWcLI1Qham - OHsR1F3gO5/Z2AW+BrOr76RbPd7tX3uSb6CUmqzJNtvNMG51bk/dTPPFt/3Q+z+/3H9qb++J1Wpt - Xhd7sk7nzpU3vfGe7/yO+etfd7w/PzI7bm2dm1DU2kspZXiEKeza3/Dn2Wbp1w2eJslT0jOC38+s - pEwzP5nYFCf578wcW46p6L32tbfSrc0vya7M5ve//W2Ld71T99+tWR1kZl2t+18ddHTh4lt/4ke1 - nKlfHK62ksbh63t9AQAAAAAAAAC3nb7vN+vh0Ucf/chH/lkpJilCtd6wz//dXdJms8nM0xMkdLsB - AABwJ0tTZnZd2baxzPt+MdfqSF0J5elXDwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwG0g - b/VmKV7m3MxsGFoONvdZWddXnX/tW1/7rYs4GIZW+q56pyFzVCkv8frzuyirKeRTt1uSFJ6yVAk/ - HaYr0U9l7uca79Y6z86c8Q0YnwjPkHZD2DPGLpliei+kK6uyKl1yO0kUT1tn2r6nQ2myfHkljPHy - dDZLodviIFi/2StwnWlXG32/MIXkGkb5TPvdW37qg9quP/Vzf2O5Os6jlVkdxrF2vb3+dYt77+k/ - /bmnPv6J1VeevFh7d+V2LZNMzU9C3emeZvk1WuU27R3OjJUqp4eGk1b37i1w7daf9lZxsgS3sDyd - NNymZ6ek7djWyu1i3s7vP/Ct79TrXq3NoHHQctGl64qyli/tz978I49qVtP6tH5v2Y2bmHeuq/ME - AAAAAAAAANyp0iWFuaS2GX/6p3/6V3/11zJVq00fRI/jDcsFTudCpvHx8XHXdbW+3E4uAAAAAN9o - LdKLMjMiLl25rL09mYVUTiaYvj7CVzwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALi1nE2W - AjdPmlqGu7vXzWaonZf0iPShvvuN7/n04793ZfzdLJHbLHL3CL2468/HLvAcemYi2qKkLKOGLN0l - S0+LoZyZ5jlyvWHKk/GUgrYU469/nCYp0hTS6fj5mCSfnu7aba8p2msn3e6SU9eg+q5uMErteWcK - XOM26Hbr5ZfulqSUN2VRbduh1l5Dqvo462sXD/3FD/7uX/3r93W6YKq1NY3hqstl+ZaH7rn3Xn3y - 3z/1u787ro7PzeTj1Rn6tBPJKXvtmqLgzz7eXTT5zDivPvrcW3zX7TYpTem7TLdJttuRRShNrdjW - 8tiLHezf/cjD9oaHtZgpImux2Xy1GefLc0fH4z/85//s+//PX1FXNFuGFcmH7Tjrqs5e4xkAAAAA - AAAAgPSf/dm/8o//8f+SqVJsHMPNI6N4GePGnPfKE+M4LhaL6c6IcPcbMn8AAADglmOp4jaOTVLX - dYvFQu3q91R2X0A5ub37tknS8QYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMCpGNpYVPp+ - Xsauc29tKMW82Zte8fYH9l7/6SufW49XcozZfBYlNkPUF3dxy0jfXSMzbUo4a2qtSmevkxm7h9KV - cSbvfc287CTnmmfG+gaWre+QsZ7RWX/egPe4a+Y+SwVcucvpuqdbmqaLpnJ9VLxIt0G9+2WX7j55 - Rc1S1TuFVE0lJFe30KL+gf/qJ3/7w7+wWa32Ln91v5Y2DsMwzPqZLp7X29988fWvOfzt3376i4/P - YjOPrKlsrSu+adH1tbWmlm4mKTNDYyqLZMXVUrbbolOj22TmqZjWKM6s29XLKadkJrPdnsVkFh4K - ZZhbWEbIUmYyaXQd9/XKrFu+7jV3v+Vt6mfq+zCT9dlk2W9UrywW9//E+79/3ms+V+mUXqQ09X2V - JJ8i4gCA29Z0JDKzzHR3M7Or/ycMAAAAAADuaMMwLBaLDBvHsUnz+fzK5aO/+3f/7t/7e//DNEFr - mVLLkHyMF/2JwvSJhKRSSkScfkwREdONrutOJ6bbDQAAgDtdZDHJfNwO4ziqVmUW2fR9knLyJRMT - 0W4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG4lpZTWWq21tTalxL7Za4TbU5g0U2SO26Fa - iaa0MmrjsuFy/x+9+z/96P/8L9d+1PV1my0iXvQ7cWqtpkpRSuMY5nJXa/KiTKUpUi5rMgvLzHzu - bN4UgZZC8syQTEo/DYHj6xYmk+nZMsln77MzSe/Rwl29KZty0LS/Gsdm1d3VWvZ9H8O4Xq+X3ew2 - CDDjG+O0WxERtdZxHO3Z35i3jJdduvtU2smvtIekqrLZjnWxKF285dEf1vHqU//tz67X67n5cu/c - ZrWadZ1a6sJd+3/kj+5/7vP5+c8//qnf9/X6YNGXDM/NZhgj1Re1FqlW3EqtMtN2GFozyVIumclN - kpoyU150dme+S5Gc7mukTGWeVr2zFPNWUlIqLVpJd89SN62tq59//esvvPERvfKB3KytW0ZrLTxn - 3aqvT7fc3H3hDe/7Qe0vtJjJupyOLinbLTG4mDMAAAAAAAAA3LEWi8UwDG3Mvu/N/Hi1+aVf+qWf - //m/faPmn5nTmY/W2ul3Ao6Pj2/U/AEAAIDbz7N/mzAl4wseAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAeB4R1lwpdZbePNLCFJ5W2uLevVe/48Fv/+gXfv04DuuibNbDbG7ZXmQ+t6mrWq1l - 0v5+v15vVyudO++bbZxeOrNlSs0sZXIrUkzVXkudlLx3HVdPSW5WZDZlvDNbuDwVxvjrHfvUrpVJ - mjZBkzyVpq6WUJiUJ49lyqTO1ZrG0y0U5rXvZrkdB5ltYxw2m+qqCzPXdjM610vFi3cbRN9fdulu - 213BWJJUQgrJlZ4bzbq6XqvMPL3Z+e6hn37s3/zCL9x9edOO1svl+WhppeZm632nV7/KXnHf/Q8/ - uPrCF574xCfsyUv3zroyjrPqm7GV3szKOI7DdpDUS12njF2B+3STmstM7aTPbblre7uuTmS7VXVX - KF1SaJgetPRQ12SHZmuvr3zjw+de+xq94l5thzgeIkvtqjat62dPjO0zF+fveuxD6heqM5UuTU0K - qZjK9IqEZG7spwAAAAAAAADgTrXejqXU9Egv2/Xwy7/8y3/pL/2V00evPV8RL2H+XdcNw+DuEdFa - kzQMw9e3ygAAAMCdJ8+M7fr/UwcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAkpSktQu4p - pTdr6VFTli6VmQ6+5y1/9N/+3sdyGavhkpv04gO6xVW87s98GNrmUF1ddvNox0OVzKUimSKnDnSY - eRuaJDMzsyJLs2K7/GtOwddd4HVKv6a6IlNKjG/AWNIYZibJzSWZySS3GDdtynunyzW1c6VUV6uG - sci7Mk/L1lq0tns3zYrXXaV3aJm5DU8z51qp+Joy091fwg7nZetll+6WVFImySLVprqIm6xXNM1m - Gk1lfxnjodfFWz/wqI7G3/5rH95Lr9vj+/cv1vmwOXp6Vl19p7vPLy8cLN/4Bv3+p+OzX3jyi18e - V0eL3hVhMbqp66wolRpGuctNcbZm0hQmFZnJTBYyk6dcRSfZdpPnlNNOS2Wb9lq1b8XWERvzxd33 - 3PPww3rta2Sp2UythpVM1f399fE69g8OpS929q7HPqRi43y+VfWmWZFJRUpFKkwuc+ls1RwAAAAA - AAAAcGeZzWbr9bbv+3GIj3zkIx/84IemExY36pzFFOrenY1zH8dxurPruhuzAAAAAOA2NX2D5NlR - 7wYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMAzWMokny5caSELKSWX5F5zWx668MY/cM9b - f/vKvzY77GfZIl5sznTbdLQe59Vn/X47Vqyi+qxqZpnV5a7MbC0j1FJFXVd6pU2Xv89JmKS9MqVv - XZKfXIUzTeM60mQpxl//WJJauKUks0x5qu3KubVce4XTSPO0GI4jh02x2nedmUkxxGY9HGfRRm00 - eZWZWlNG9rVXG1/y2xV3rLz1G94vr3T3tN+3lDKkZmVM+agupNHVu2aSR8gVZe5WlE3Kt/zMz2rY - /tYv/MLqySfuzzx/sK+nn1A1tUEttFjqgVf4677l3izx+5++8qmP++pos17ndhxCnakzWckYW5Hc - 5NpdNzlSSpWQJDMp3aZiyS7W7ZKabLcrksmq3IaMoS+6sD9/xX0XXvUq3XVRPstI65fKqk16P1dX - r1xePb2cry7uv+H9P3DfcqmxaL4XqpJmRTaqmOSjLJvkFpLbLf9mAwAAAAAAAAC8dOv11q2ayr/4 - F//8Ax94NEKlWMQNO1PRdV1rrbVWShmGITPNjG43AAAA8MKFVJ55r50ZAwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAA/P/s3XusJetZ5/ff87xv1Vr70t3nYh/fjT2AbUwwF5ubYQAhM5OMkkiRIIQI - jUnAAYZLGA8ESMbMKGIUCU3ESDAiyR/DKDdFICVooiHAMJOJGW72EEEAmwzXAWLjy7l19957rar3 - fZ78UXvv3t3nHM7p9m732d3fj0qra69Vq2rVqlqXvatVXwAAAECSVMKVCpMspDBJuSRVS22DDve/ - 6nP/yu/93Ad29nZbHoWH4jZmniYbdOWyb67F9avXHh4fq77qB7Fb9nfLuDPsXFldvrR7ZX/n8u7u - 5d2dK6u6M5axWK21DsOwHtbDMNRa3T27JLm7mRUry4iZmRWluxQSl5/opYW7jpvp6pEW0SIiM3tG - 7/M8z9M0HU3badrMc99q8/j88cN2/drTVz/+0T978qmPNN/srFerOkx1c6hDT/UmK6rVNFu0LOZS - vxt7Mu4/ZrbsjZIuerz7xZXulnQjUG2S/PR9oEomtXkeapHcbdVkcx3GQSWkw3jLd32Hjo4+8MP/ - 9eH26JErV4ajA9/d0+GR5Hr4UbWILn/Dp1957ct1eHTp6av6+Mee/tjj168+rWkqyv29vT7P1iOz - u5l5mpkpM1JSZMqsZ2ZaWiq9DDXkTeqW6WZDHca1jXX/ta/TlT09ckX7a9Uir5KHaqZHt/HypacP - NxuTvfZVr/nm/1i7RftjNyvjqG5eZC1TZi5FnJzR2brcTk/unJzNGQAAAAAAAAAeRG61lPJrv/Zr - 3/Zt3350NNfqrcU4jNt5Opf5z/MsabVaHRwcmNlyFKS1VuuL7lACAAAA8OKRtvwHxzOJ7pP/+rL8 - a/w3DwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAANzM05cUd7eUVFJKkzLNTLLma11+8ys/ - +9Mee8NvPv4r066iyOxM8vX5lK7o0jSsp3FvePj1L33Tp73sDa946FUP7z7ysisvHVU9BwtTr5mu - HC2Kp9txslceLkld6lKYmanLzFyuk2nUJfn5PzUPqAiTln3CMmWZsWS8ZWZmKrK9jP3lJ2vej+rB - Jg9LZq0Knz/29P/3Lz/wS7/y278QLYbSVns5q09zDMVSruxnTpsKPL/lZZ4XPdz9okt3p45f58W7 - PCUpBoWrR/RingrloFBMUdYmaXsw7e6O2qvqph1/83u+T9P86z/89y7V1eXWHr68s33iqb11aCzz - wfVVHbS7o3HQpUt6zWuuZF45PNSTV3Xt6hN/9hFtt/3oqM1b9XBLSxVTtVYyuhSpNEsrUSy9zJm2 - KsP+/urS3s6VK8OVy7rykHZ3ZYNqUYZ61xSyJivuOdlwfSgfs4PpNY+85Tu/TeOg1SCV7KMtXe6q - Mrc6uNS3m81qvVKaVFwKuev0jM73atsAAAAAAAAAAO6lzPzd3/3dd77zG46OtpJ6j6EO0zydHI+M - T3wRZnZ0dLSMu3vvnW43AAAA8LzyJNqdUp5pdS/f0Z3/6wEAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAICbmVR6TVMrTdLY3NLn2kIqYd59VVeb7fZL3/IX/+if//ZRHDXXcDvzr3196fDhR8dX - fO5nfdHbPvMLrwwvi0PbyfW67MThbCmXpUlyWVVWydyk01KvKTOXKarX5cqMtPTj8UyvFi+8JY4/ - l2fxHtJgZlLIi1nKJEU/bv2mIjwzM1Py9Ev1oSv+kLvH3Lb9cGe89NhbX/X2z/vy//ln/vvf//gH - pzgc1iXaxiJGlw8+tXMoGuC+dx+0um/xIktuWCwnLu7yfnzyYi8KScVNmVarWpeXOnqEqqvujnPb - 1mGIEmbFh13V7ed83/dpmn/5v/qhhzMfe+Wrjp6+ulPM9680U0xzHdwHKaQMXdrX/r76yx950xvV - Zk1NbdI0a7PVZqNpo3krhdzkVUPRuNY4qA7av6RaNAwqJjMtb/d1aCrywZVpkaYspZtvvFx3648+ - 8qZ3faN2V9rbnZRRVi4fQ5ZK03bT1uuqPk1tWq1Xkp2cudnv3fYAAAAAAAAAALwohPmHP/zhr/3a - /2C73R4frDTNbS5eWpzboYveu5llZkS4eyllGTmv+QMAAAAPjrx5nHo3AAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAbki39JSWiutNt2SuhvU8RTO96bVveeXDr//4U0+uxszeJHm6pDClyTJu - nPUyXemyKKESdb29/FmPfeFfetu/8xde8+nbaz0Ohz3fs+46ypWvMjMVIclNspBnZpx5MGZmsuNl - 9Ty9ZhkpKuER2e+3xu+9k5nuNTM91WXqpxGCXJrqZia56XiLu1TSYxOetZaxeu19qj66xnf+m9/8 - Ez/3P33wz35ddetDbzHPc5QS5HFxu05K8RfbiyzdLT99DfvZKyWpHL+h1+NbfPkxNQyDpGJVRVJo - vSt1Ffvi//IHNDW1/n//8I+OR9NL17v9yY8/Ut2yRY/NdLi7u1aE8mR5w6D1IO0qJblSx2/681Zj - VR00TzJXrWp5XBWfuuSK0Fhlyh5Vg8JjGK5p1t7e05ltf3fa3fmMd32jxlFD0VBVyrJSKaXLUmZa - 71SlVOpYzm4Ut+OE+clZnDmXMwA8AJZvGEsWKyKMr6kAAAAAANyPLF1SWkiS3XRANDNbhLvv7Owd - Hh7WOn70wx/9mq/59zfbeW6S5O49QlIon3kw9QUt3Swz3T0iJJVSWmunN5kd/zGabjcAAADwXCzl - FqYMk0wK1ZP/DbJccfpl2sR/TQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4GK4kQ8zy8yM - KKXcB+1SvAjF0m9NT6l5mELqLi8e0Wdf1Sab5uEdX/rv/s7/8juqfujXrZpFVXqLabW32m6O6qCS - Mmkzl9Vq3bbXq4bd6dIbH/2sv/qXv2W9eUiP20puWdXM5SrqmVpOR3+chV1OSb/8UI4f3Nld3lNS - nrmqp1JxcgvORYSOT2N6c7g2l41x61NtEblVdfXald0iUmVaXbK6jv13fsW3/r2f+Dsf3/zrQ3t6 - U7TatTYlGwsvRClFUkS410y11sx0oT8DX2zpbi2nKrZnXHPDLe3q05xJLu/VnqaQ+86gaDYUXT/6 - vP/sb2hOXTv4f3/8xw+vPbGTvip1vHzpMNq1J5942aOPbq5drZkmecry7BKLhlHrVWuzzMre5YiI - uddilpJMe7uat7PlwbS13ZXv7JqGx69dXe3uX8v6sTa9/b/4z1WHk2K3q5Q07ydr5beskT1jZc/e - TrQbAAAAAAAAAB4ASzZ7Z2dns9kcHh5m5oc//OF3fdM3P/Hk063dSaX7mfN39977abd7tVodHh5+ - 4nMGAAAAHjR29v8MpR9XuyXJdZLr5r97AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4BZp - sZx63tPD4jjjLVkqe5f53Oc6jivfe8nuK9/6aV/wG3/yvrq77WoRUbyUMmSXpExlqktea4tcrVbx - dDw8vvTf+/KvXU3747x700KVZqcn1HTdaY437RxOm49nCEn5Qk9mmsv0skjzMCnd5KWP67xUa/3L - b/+3/9f3/Q9P58dLze2cLpnpjrc4cHG9CNPdt+vkzTq1nAHZpOIeSvMqD13ZVw9tNtrdf+P3fKsy - 1fo/+bt/d6fnzqzXvvpT/uQjH7tsY3WV6MqQQhYn7wfWp0OvYx2GjMjtxnsWVRWXpDarTge1b9cl - 9q881efDQVctv/Rv/S219vLV7qdXUwuNUnHV5SNMIRWpcGpmAAAAAAAAAHiwPdcBxcyMiFGKDVQA - ACAASURBVM1mWq93r107MLPv+e7v/eAHf+/0MJa7Z+bpxLe93MzlXhHh7u5+9erVpRcOAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPhksCb5MpomS0nuaUov7vM8D+OQ8+qh4ZG3vfGL - PvCHv1nyIEufp1bMqjznbilLTV2rtaLnZrsZ18N62H3Taz/71Y+83p54lmTtHZzfHi9KJlWlhySF - ZJJbytJ6z1JXb3nzW3/pD/+vjz7xx8WmHiq1qhNcx/PLzPusXnGx091LCVumcvrWvbzeQ5KF22be - robBqvv+KufJV4PmrtX4Ve/5m5qbWtfc1Psf/dh/8+TB0RCtZLpCUpwUU2qWzOwhucqqDMOQEdN2 - nqbp0de88o8//pHhypXDQZ/1Hd/ykpi0uysNWq8VKZmGQWOqrPryESaX3JZo99nPmvtqjwIAAAAA - AAAAfELc3VTMtNlM6/X6nX/1P/rlX/6XN24yi4hP5IimmdVap2mSFBG9d3GIFAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+aSyUmdaVkly5NLxDcjOzVM2SLS189N1PfexNr3/JGz54 - /WqsWpZIa5a19xiqp4WZ3G2eJq++mbZ7fuXz3/ylBx9tV3y4t6uIuyj9tPv+LDdlzXn1lje97bf/ - xfuyz1XyVKZoEuCFM7OIzLzwLYuLne4OaZYkpZ2sSUopmdzUpWHY2aoVFZNpKK4YhkG9q0jFldLh - gXZ2X/e975aX4xlJN94+WmjTf+Hv/tDeww9/3jd+g1ZFm0Pt7cpckrbbN61XmrtWgyRZyl3mx4/G - iszknpmycprn9uWB22m9+zneqgAAAAAAAAAA9zeLW69Jl7Sd+2q1E/PcW/6n3/mdv/iL75Pkrh6K - uOkuZnYHhykys7VWa22tnY6b2fPfEwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABw - Lpbz1ZudhE1PAt5hoT7UIVrLMvo2Xrr36i/5zK/4g1/+4HY69KrwrG0u8uLDnNNqlZspU7lerbYH - RzHrNQ9/+qWrL7Uo93DlcJcd7y1pERaWLoVnShqHnYO+ye3qja97y/pf7B20jSkjRJAAL9z9FLC4 - 2OluSSGl5FI3FUl+msTWZttWqzqoptTVq8rxbaVKyjbbOGh8qEW31Tpkki9vBacx7aFJNv/Fv/kD - qibrUuryfpo2bSplGPb2lx2hz1FqbfPs5q6USV6VuTma1rs1Un5mhzkzesvSAAAAAAAAAABQrWNr - za2+5z3f+zM/809rVaZuKXQvByruoNu93DciIqKUIqnWmpn305EPAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAHjRC5NSS2DbT2Ks4a7es5qi52BV01Bcn/Haz331Bz/td65etWGWSybz - yOyR6aE2aVx7b9Mwaq/s58Z3/cq2b6S4h6uHuyuLLKSQQlllkrokZbYp9i49Og3XLu88NPWrXXNY - mvx4AuAFu7MoxovKhe9GFx1/SkhKk0zLZ4Ck3VUtUg3paNrNYQwfWlG6UkrZMEouucJcXlWqrEr1 - +Fr5MvfdQfujdoZcr/rOTrO66TbW3cGG6NZmb7OXWkMq42C1ZK1LGjzS1jurlPzkObabut23/AAA - AAAAAAAAeIClKz3MwzzDessf/MG/84/+0f9uptZU6xAhdzczM1tG7vgQxTAMy0hrbbvdSlouAQAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD3UEhykyLVTOEy77UflX176Is+48uv+GOl - 10yFSUU923JC+6GoqlgPM6u15janw9nJpt7PXHKly0KWsrCUFFLO81yt1hzzqL7y0VfVrJbufuH7 - xfjkOBvCuA+63bro6W6XqjRIw2mw2yRTmtLUWljKpdVqVJNaSiVVstQsVVYiXOm1jBZmKUuVPG6B - L+nubmolu6uZZrMmdWkoRVL0LMWKq1ZFyEwttWnZpWbqpiyaUyG1Jkl2dm9JP3n4F/v5BwAAAAAA - AACcu1LKj/zIj/zDf/g/RmSmavVp2yTlGRGxTFxrvd35T9Okk4Mc4zieXgIAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAPgks5emebinPpXlqIaWpe4S3uW+shBUf6qrYaNP41k/9okfr - K7ytI9SXXqrJa6mmQeqH8964blM++fQTdV1skCQz6t33MZcUllJbfjbJpZT29y5vr80lhlc+8uoS - 1cwyc+nCAy/E6VvHfVDvvtjpaEuViNLDelhIKZ1skZC8etpJ09slN7l60VaapS65SctrP0w91Jui - KWdpNs2h2TVX9ZKtqo1qK7VRrSxD6VIzb7LwEqYYLMZqITVpiXynKyVfsilnP25MJ93ui/38AwDu - HjN+VwEAAAAA4D5nZq21ZaSMw2ZuQ11F1z/4Bz/+Yz/230nqXTLroZDJyukxibMHJ5Y5PBd3X/7C - YGbLSCllmUNrLSKWg2Tufh8c8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+Qaen - 9c7MZZzzeONuyfLMsGmYeraslkM2b3NsW7aU1VjvTFe+8nP/rXW/XKOmqRf1VGYqNKiOqvPhtBot - vX/s2of6sAmbI+J0T152Zvbn+0jIQoq0sIylzitFqXa0ORjKuLb1yx5+rIQp0oztjhdkqVf03iOi - lDLP80WPal70dHQopQxJytDxS/3szSctbz9ueMfNEW1JstPBZbr5Bzu+/0kU3G65u+nsEl3hiiqV - mwfLm7LiummWAAAAAAAAAIAH1DAMOzs7m6Npu513d3ePjo5+6qd+6od+6IcitLOzkpSZESHJ/bb/ - nr9Uus8eBF2tVr335WhordXdl5kvk53nigEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAnpNLblE8ZbnEZY8bpyGFRV8G72khS4u6avuf8crPfvnup4xxSV3mSlea1FXCa9rgRVLX9CdP - /MHWrmZNd19CvBEREWa2nMQeF194Ls3e4+LAac8gM9xlmSW0N1y2XiSFKSkS4IF0wdPdS0nbJQ95 - yEK21LvDdTwSOv7ASI+0GKRRGiSXuqm7uqsX9eJZPL2m1VRVVstqUZUng86MqEo1bwy+DCavqdqj - 9ig9Sg/roX4mIX4S617enIJ6NwAAAAAAAAA8qDbTNKxWjz/59Gp3ZxzH3vLnf/6fvuc9PzBNsVoN - h0fblNzr8pf808b2C9d7j4jMdPdSymq12m63mdlam6ZpmWCpdy8xbwAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAADAJ4sfV2XtNMMqSWme8rRYhvAeFi7VeXxs/YoveOOX5bXV3rDXJnm5 - 6Tz2ZpaZvbR/9eHfnNfXe063LM+MevN95Djgq+O9KI93p650V/Zm0pXdK2om97Tb7h3gQXb6XpF5 - 4XMWFzzdLT/+pLCTQdLJaLnxMaLUcUHb1CybqZnakvdebjqd4MabQZ6Z1+nMTUrlmfb26fR2eu2t - t9w0VwAAAAAAAAAAJK3X6+12u16v3evmaHrve9/7/d///dMU7prnWdI4jsuRzlLKHRyOWHLdmdl7 - N7Ol2y2p1jqO4zzPpZRlMjNblggAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuOvS - lZ7HzdUwtdN6t+VSafU8E9q2lLXs1/Pz3/D2V+69tsyjp7JL6e4eplnRldFlRX/85B9uhuuzbSNi - OUe9mbn7cu76e7K6OF+mkFIWJ+Xe43p3yEM9XaZe067sPuRauV/0eDHujQtf7ZZ00dPdKTVpkjd5 - k3d5v3mNLN3Si7ycrXgfR7bT1It61TxorpqrWlEraqYma7ImD5lyGXTj8myL+7gbniep7yIVPxl0 - Yzgtf5/8e9ocBwAAAAAAAAA8gNzr4eHGvbbWfuu3futbv/WvHR1tzdRDPSS5WZHCXe4682fpF6r3 - vt1uJe3v77fWMnM5sJGZR0dHtVZJrTVJ0zQNw3C+awcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAeFZpCrMwC9OSWJWFdJz0VlZlXZKskjzlKVMOWR6qj37ZZ79jvqpLw75mrz6ERbe5 - eZ/VuilcHz/4sw899acqWprNp/VuSRG3fd57vEhZk0JyZZFcqicBXclC1kyxHnYHG5U1knwuXpBb - ct33Qb37Yqe7JS2rEHJJeXwpLf/kydql7LiW7ccZ7VyuOB7seOTGPU8K35GKs6FuO1PddskVxyFv - W6aKk48kT+nkUnl6zzOecQUAAAAAAAAA4AGy3W53d3cj4nc++K++6ZveFRG9Z6bW6/VyCHMJb9da - 53m+g/nXWpcg9/Xr10+PZ0SEme3s7JjZ9evXSyk6OUoKAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAPjk6OYppYUsZGGZlvI0z2LplsWyerqnWcpS1WNnGOOaPvtT3/rKK6+JQxtt7HOL - VLOMQa2E3Jvl9fnqB/7g//EqdzezPGFmy5nwcV9YWu9FWZWuNGVNeVqJiGIhhaus6r6shCmIEuCF - sRP3QbdbFz3dbVKVRvkgFXmRXG6nWe3U2aEsqex0ZZWq8rmGQTlIg3JI1ZCn5EtpO5c8d1iE5Y33 - jTwJhaf5mZ3CTy5dJw3vk7z3yXA/7EIAAAAAAAAAgDtR6zjP/cMf+si73vWup58+mOeUtLPe2Wym - CEkahqGUMk2TpKWxfVtaa0vzOzN779M0LYdCW2vLEY79/X0z2263wzC01s537QAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAzypMKXVXLPVuNVlIsnRL9yye1aNYWgkv4aYwi3nervp4 - yR96++d8iU0+2irTZGqmGLSVZCUys+bv/P4HDjcHvfdbct1mBJzvDyFbmrh+Et11yZTFzHqfzbKY - ZdNqtStZJtsdd+I+qHdf7HS3dNzNtiXLfUf3fRZ25vL039Mp81nue5rj1m09EosXPi0AAAAAAAAA - 4L4R5tH1xONPffVXf82f/dnjw3D8p+WjzVGtdRlvrS3HMsdx7L3f7iKWI6DLkYyIGMcxIiTVWs3s - tOo9jmNmni4UAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHDXWRyHUdPT/MyVspTl - Ukc9vj5Nvdp27qt6aZjWn/P6L3xs/arc9NVQrEouc0WqZCi77ehPn/rTx6ePXIunwuQ+1hwUJovw - 3l3dFeaSTGGirHrxpC3R95RkaSVlipTC0t0jZFaU3kKljlKeRHfT0i3lSwh42fsswkJyT7+5DsyO - gfvBxU93202Nbbvl+rPDM693yZ97ypsnudHzdpe5zE9udJP78XBjqmcdTu9yZgAA4Fbunrlcppk9 - /x0AAAAAAMCLgKVbnjmieTKkeh28ZZv6lG5lHOZIt/r440+88xu+8SMffSKlac6UUvJSWpuWA1eZ - XVJmTtP05y/a3UspS6u7lCLJzCIiM1trETEMQ2Yuf21Y7jIMwzLZ4q49KwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAMCFcXqu7+V035zHG3eJZ5i6KTxdKsohVdIirafP6XNaLGewD1P3 - aB4HkXXv8vVr20t65LF43Ze94R2jSuvbnhpG9aZVqvZ+eT1cnQ7mS9v/8wM/W14WR307b3KIde1l - 6tte56lEc0+5pbvSldJxyRsXRUhziW4qobGrhDwzfE5vPVsto3IVWlld1Z1hjjksS1UPWbhFVahk - eHRTznVu3pRWogyhkiFF8M73AMvMzIwId5/n+aJ/DN7Xb23PVuO+s3k8ywyfEfn+xJYDAAAAAAAA - ALg/RcQ8z6vVarVaufvBwcE4jo8//vh/8s3f+ru/+/tm5idKKb33O1tE7z0iJPXel1D3Uumutbr7 - cpMkju4DAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwD3nGZ4hSenHgySF1KSQjs8w - n1JahMmHOk3tof0r2mg82v38N37xw/XRta2yq02qqXWRmqZpY4Omsv31P37fHz35O3Xf1rs70ZUt - 1+u1pLQMyVKS7LjT7Cmn3n2xhClNLlmqZJqarKf1HrOkDEWXmY3jONY6VvWUqszHVDW34oN7PWnD - S3JLtzBPN8nzXq8ecE54XwMAAAAAAAAA4BykRVo88/phGMzs4OCo9+w9x3F97erBX//r7/6N3/hN - M8vMOJGZd5DWXg5wuvtpBXye58xsrU3TJKn3vtS7l5g3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAOAC8ZS3Nipsu6mRg/lL9l7+RW96hz29v4pRXaWr2hChqWnwIXs/yqd+4w9/5bA8 - PdmBxh4mdWXL2m0IucLVtBSgpdRtnycf95pLthTfl4y3JClKMS+K7NGmaL0064dz3+ioaS7jRmUT - ts2yDZ+6t67QEm4/nudxvTud5PED62w1I/PCZy7YjwEAAAAAAAAAuIt678WH3d3d1sLMTOU7vuM7 - fvEXf9VM4zgu09RaJUU8S/n7eW02m+W+yyGLiFiuqbWO4zjPcylFUinFzOZ5Pq/1AgAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB8MlgUU8nIeR5MrnL49Pz2N3/lo/7qMfYHGzO8dVMd - wksxj5zmcvDrv/u+pzYfud6vzpq9Wp/76OuS7qmSYSlZSEo7LTfjonBPszytEocUaSGLzIxoil69 - 7AzrS+OlHe3s1d2V+zCUodhQvHqxk6KBLGR9ubspZGkpKeyCB5vxiVjq3Re+2i2JdDcAAAAAAAAA - AOfD4sawSFf63DPMDw83O+u9Nse73/033vveXx4Gy9Q0TZLMrLW2ZLzv7NjDarWSVGtd4t+r1Wop - eR8dHS1R8NaapGmahmE4n5UFAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHyyVC9t - nvZ3x9am6LZTrjxsr3r7m9+RR2O2Yipz7zmmamTfFoutNlf7k3/0sd8f9n3WZMUtrISVUAlZypSS - Ugoplx9wgaQrXbI0pSlMS8DbTIpWzIZSfbbaBz+sfi2GOep85NurY98M89anzdAnxbZoa7aVbWWz - 1GVNajdlF/AAuw/q3aS7AQAAAAAAAAC4i9br3e12uxp3pml6z3t+4B//45+WFKFSipktaW1JvXdJ - ZnYHi9hut5IiopSSmRHRezeznZ0dM7t+/Xop5Y5nDgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAC4t6ZpWu2sp95a9FKGdb2U1+sX/xtfdmX1kpzcvYZH9+gWGapmQ9F2e/TP/vk/27ZD - r8fnqs+Wlu6SnQ3yWohy90Vj6ZbHVeI0pWWaJHmRmbm7dfWj3Pcrj9aXXMmXXN48dPng4UtHj16Z - X3Jp++j+/Mh+fySvWe1DCfN0Sy1zSD9uuuMBdB+0um9R7/UDAAAAAAAAAADgvpMuKcwlxRy7O/uH - h0c/+qM/+pM/+b9JKsV6T1ksmW13X0Z0R8chSim99+WytTbP8zAM7t5aW+rg+/v7krbb7Wq1aq2d - xsIBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABdA+rZPO3t71w6v12GUDdPRdvCd - h/Yefeub3vZPfvtDcx56zTmymmqqtiG77dvDsY1r165dGh9u2dzdzE7PiJ+y1NJ+DpNMce9WD7fH - U2FyhU624OktbY4SZVCJ7jvDpbd86uddeWx/9m2xtan2KLWW1g+r+SrHl1/+lNXmJbXtll6XmaT1 - rhImv98KzrgNZiZZZt4HJW/6HAAAAAAAAAAA3EVmdnBw8BM/8ZN//+//t5Jq9daOK9211tZaRJjZ - HR9y6L0vl0v/exiGzDSzJdG9lLwzcxzHZYnnt2YAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAgLsuTLu7+08fHK739rJlbxEt17vjUwfzF37ml/zqb//Ck/M2S/He12XYzR0dlUvrR97+ - lnd8weu/8iWrl+pI07yp1YuX6ObpadJx+DlcoQvf531wpUfYjR+LlVIGC58nq2V8/Sve9PLXvFzF - y3btudMz3W3bD1aDx9RH7dV5p8QoSYo0dVO3kBRy6t24D5DoAADgRWTJdC2lLne/1w8HAAAAAADc - hohw91JKay1NmVasttak+Omf/j/+9t/+QUkpzS0kd/eM1lpb7vsCu93u7u7LvZbst878PWF5AMvl - 6V2GYVimOff1BQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAO4zy4m+I8LMzEyZy8nA - 7/XjAtRaq2WcI82sdluV2tt2NZaXjS972194+y/94c/Pbaib7V65vN+vfMlbv/wLP+fLx3Zppz1U - pqGk6lgzo0U3826ydJeHQnLPTHXPcq9XEbfBMyTpJEQQJsulte0Ki9BY173PPuUQlyWt5r3ShzSF - xcprRvNMj8EyPJtJ6XP4HN7SXOmWksgpPnCW5kVIZsXdp2lyV8S9flifANLdAAAAAAAAAACcg+U4 - +jiOB9eP9i7vTtM0TdM4jj/7sz/33d/9fe7KVKZKKRGKOzq2EBERUUpx93me9/f3r1+/npmbzUZS - ZvbeS+GIJgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADcPzwV5pJCKpKnLJUeQ/eh - P/qVb/lLv/Zbvzpf72945Rs+941ve/ubv7Ju9+xoHPrOEOsS1ZRSpimUJinlpi43haVcIbkslKSa - LxKTQpJF2rJh3aQl371sSo+hdA1ySUMfLDxNZkodRw0s3Zc5LHeSp6qIdj/wzOx0PPMePpBzQLob - AAAAAAAAAIBzkGaSnnjq6rAaM7PNMY6r9773F77ne77XTJGWmavVzna7leTud1DvHsdxmqbee+99 - tVpdv35dUkQsxy3ORrsz8+zBDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADPi1N8 - 40WrZKRckqU85VKmrA3Tk/mK3df9lbd99ZXH9l//stft6qG9w5fGoQ9aW5aaJgvTHBYySUoLyftx - ttlN3SQpTnPOuBCO36pOqtuSLJfrXLJlK4e5cvDusujezDLNpIzjO/uyN5mk9GWwfjrrWMrgeGBl - Zl70cDfpbgAAAAAAAAAAzkXv3d13d3czLcOGYXj/+9//Xd/1XQcHR8NQprmv1+vNZmtmtdZ5nu9g - EdM0Saq1tta2262ZRcRyrKK1VmvtvZdS5nkehuGcVw8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAB48NwH4VLcB0zylBRhcvnSVLb0kuOejz3iK978VZs4XM3rS8NLDp+IR/ZfNm8mS8l6 - WkuzlGSyZUQhuSyUksxTITeJff1iOd1ep4VtT7eUlLI4c2UJKUxySafvaEWSzEPhclOkpDSZll3E - PxlrgBed++8jj3Q3AAAAAAAAAADnwKzUOhwdbXd2dqbt/Hu/9/vf/u3f+fTTB+Nqtd1uJd9sJrM0 - 0zxv73gppZTeu5llZu99KXZLqrVut9vVahURwzBEhDsHswAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAIDbY2b3+iEAz8kzpIjimVIWSa1FMd+zh/b8ynTUS19f2dmdjuZiltZkLdWWu0qp - lEl5HO0+iTtnkYlY88WSx9sr4mTb+Y3m8nJll3zZ3p7Wbcwbje9jdtyDj9Ntz04ASWa2JLzvg5I3 - 6W4AAAAAAAAAAM7BMAzb7XYcx+1m/tCHPvz1X//1Tz11bb0ejzbb0wnmeZuZOzs7R0dHtzv/Wmvv - vfe+/Lgcoqi1RsSS8V6tVue4OgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAF4Ml - nxsmWYRlZEheonpqZ2+4ev1pb757ab94n7fz6NtUpiRr6T0tJJlMUdxCx8nuJinMPT3sxiJwgZxE - u0+D3C5JFss1aZKkdJOnueXpxEue26RcpnSFLKVlPyDejWNLFOOix7tJdwMAAAAAAAAAcA4iFCG3 - +vjjH/66r/u669evZ2qzmYZhNc+zJHc3s1rr0dGRmeVtHmForZVSaq3b7TYiJGVmZrq7pFJKa63W - 6u4HBwd7e3t3Yx0BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJ983VyKsAyLuYbH - oLSUrrZrUdre+srmYDNFX+0OvR9kiZ4m5XG/WWZRJbeULEpG95SkjDD3rCf553jOxePFxUOulCxS - bifXmsIzwjK9LdvS5VIxedFpnj2U9ca+YWF53O3OmzLH7AzQ7WY1XoRo0QMAcE/EC/w2Gfb80wAA - AAAPBufyPr0E7h/z1Pf3Ln/kIx/9uq/7Dx9//PF5Tjd383meSynDMGy3W0lLxvvOuPvS7TazaZrM - bOl29951ctAiM+l2AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMD9JOymsF1Y5nKN - 53pvd5omSavVsJ02LbdhLdRCPSyO73XSd16a3X4jyEtC4mI73rwnG/SZ9cM0SeF5OsgVUlhqGU7n - cXIPdgbcP+rzTwIAAO46V0pSKkspvc+S6ji03lfrYXu0WY++xLdOZWZmmt2jsnc+zxfi5eEt42Z2 - zx7nOTn7+G/ZEOfilufKzE5ba8/+eM5puct6Pcuynm/73iKf4wG537Tfnl3N23ugt8llZ18gp4u7 - 29vu3Gf+zGWdLuUurdQtsz33N5lnPl1mlurnuIhnOt0Pb3muzmvVlmLi2VfT2fG7lWIhFwAAIABJ - REFU7XSJp6sTEecy59M3oiUDubymbnlRA7f7eQGchxdDZJrLu3Bp5/P5BdwV+cy9NCRFRCll+faV - acMwRESkjeP40Y898U3v+uZ//ccfMlNKoeNfkHuP3iXp9CvVn//lahiGpfC9Wq2W4PcwDK21eZ4z - c/kiWuuNv/CXUpZp9En5DQUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4/5ipmHnq - 7KnET2MuZz1XuQm4ezyXM+ebsoxZJJm6SxGlT2HukiJi8CpJeRw8s2XnTU91mUsRUsql8FzOyX96 - Qn76ERdIHNeD8qattmTZZaEcbuSF0vPWpHeclr5vDnWzMzzolo+8pUVZSp3n2UwXul1GuhsAgHvu - xtdNdz/udg9qrUl+dLi9dHl/e/1w6YTdEt+6dy2uZ1/uM2PJy8h5pWSfy91OyS5FtLPXnG+a92wN - 95bq+bNOf15bvfd+upSbUspxm0t4jslvyT+7+zKyLPfuWZ6+sxXqs0Hlc/HMhPaz/mns7rkby3rm - 63RZqaXcfC6eZRNY6C4/bc+1n5/Xfri8fs++cj85lq3zzNr6eW0vM1t2idNFLC/hu/36BQAAuChW - q9XBwcH+/v48z6UM8zxP07S7d+mpqwff8i1/7bd+6wOnvyPc2S8LS7e71tpa22636/V6s9ksJe/N - ZiMpM3vvS64bAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwLnwi1wnxYMi3XUS61JI - 8jxzhZYa93OJG1PmswabcYE891Z7tn3g2d7enmsO7A8451zjPUS6GwCAF5HTdG5rcnd3l/zw8HBw - Xwrft4Rvo9+rL6bPudwlJXs2RC3pHNO/z+puz/90jW758ndeKejnmvndTp7bSUv77CPJTLvNp/P5 - noXMXFbEMmVmd3lzaVncTenu5de980t35835vWUJ55gGf1bmd7cOXsfyrLtij3b3Fnr8vNld3NVT - aWbLPrCs2LK9vJxT4tqlVETceCXYyVLuprg5SH/aDj95uX2i3DwUkiL66cz9GW8aAHAvBJf36SXw - YvXMrz8mSXNvoZSVw6Pr42g7O7tzi6Oj7bvf/e73v//9pZTe+ziO0zTd2W928zyfrXe31pbfQSJi - +Up2Ntq9/CZ+p2sIAAAAAAAAAAAAAAAAAAAA4P9n7+5+JcvO+77/nmetXXVOd880h9SICumIkUKR - kGAoUuLYhiE4QeQLB0iQ5F8JAl35IrdJLgw4yEWAAAECML5xEhlIZCMR4RhWYiUMJdIkJZEa800U - OZwRZ3qmX07V3ns9Ty5WVXX16e7hdHfVOX3OfD8HWNhnn13rba9db6dQPwAAAAAAAAAAAAAAAAAA - AAAAAAAAsGFmVz+ze4PobgAAXiLuXkqZpkmpHvT1yiu37t+/L3MpJI9o0mZbCrOy277Y8sl2UWH7 - mWHHzq+9gIhrd98l12o7usw8VGT4fsB57sXxHjt6bX9Q+z05lKethwtYEuembn9uD2V3ps7F1V+A - x5fiQaptrT2xtkOt88dt2jpmbrce6/8u1PCA87Zb1X3t9V+Pff32ce3GsrvTONS4zGx/6i5yhQPA - B3oZEqYpye0GJGm9Xt++ffve3funp6c3btx6++23b968+Z/+Z7/1xS/+k/4q1d3HcZR0cnKyWq2e - o4lpmiTN89zL/i5B/9M8z7XW1lp/D2EYhsONDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAB91mRcQPHh0RHcDAPASiZgj1PNeI8LMHjx4kJnmZlYlz7RMk8ysmOU2sdovvHxywN65 - /NoLSDW+mIjr/eb2Y6F7gtqhau4b5+bwUPU/UW9oP/TX3Q8Yhf60GPJjR63v5nA/4vqAk7l/jnSB - y+9pLR6qA+ema5cJfajr9+n1HP0V1ROHdqh1+LTVdWHX7+4cHTaivrW2P127yq/8K2AclhG4C+BA - 0i+7B8AHeOzxLl3SyfLGuJ7NSqbdv392+/Zrv/Vbv/Xbv/2/LZfDaj1p79n7arWqtT7r60d33z3N - 6+8PtNZ2T8Zqrev1erlcRsQwDD0p/IVHCgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAFwfRHcDAPDSMVOEVqvV7dsfu3v3/WEYpmkuJqlJygxJ7mnmZikVSRdemhSSnyvda08fz4xM - M4tMy2zu9YnHv2Bplgev84llpvUR9ZxrqUUoYl4uTw9Vv1malZ4mnNn66DKfPM+HKt1rZuvt7o/0 - MOt4L1r4UBV+SKUUST0zvscz91TyQ0U1l1J2NWsvO/nYUXk9SlmPBr0fsP4+rl3NfYyZWethXjLs - ssD3f5UkuSyUxyozzCwyrG/ndr+pHKr+Xluq9W2lm6vNedRxFR827VqLpl27h6rfrZqn0jNbhplH - hqWaWz3quCgpKSmfWgLASyYzI1RrjVBE+y//i//qt/+Xf2im1XqqtZZS1ut1rdXMpml6jqfuPZO7 - tdZa68nfETHPc4/0bq0tl8tjjAsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAf - TT1iw8x61MbFBxEeHNHdAABcup5E6HoYNix31VrfeOONYRiWy2XMzcz2g2/d3d1v3LhxGR1OyWSm - PF9mWsgiJTO3Ik+lm3mEyXqg7EFLhcllyjxO/dvSVLJve25ia5uUPrWUpfJFy2hpnibr0b/mcnN3 - RdNRxxUtzc3k5qZ0mWVY6sAR1D0EWtv8bB3/OfTZOJZSNqMwz0yTRSoO1KynIjaD2A1Kmfkwi/oo - IrW5HzDr9xXbZg/T7rw9Uf3uRZJFZMR8oHnrfd6dfLeNiFCaZEcqU2ZyK8UsdxH1UkSaJJm/YNki - 3fsZsUiZrJTqxdJSit7iMcr11NxVikmebpKlPDPzULNnbsqURfShmpWitNCLzhglJSXlc5a43jjL - uDIevn6XFIpMq8Pw3/y9v/ff/nf/vbsyNQzDNE3zPEvq5XK5XK/Xz9HYNE27J+fjOPbnz/3XUso8 - z7VWd79///7NmzcPMz4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgWuR2i+hu - AABeKu7eWpNkZt/4+jd/8zd/c54jQvakZx3uirjoHn5I7los6jAMpZRNNO8R7BKLX3nllWPUvxMR - ZlZKGYZhGIZaq47wXHAXxlxKqbXWWksph23ice7eR7QLhMuMQ0VB7/R4ud7QBQyqT+AwDLsM8sOO - aBdGXkpZLBbHXuc7p6en7t4ncxuDfchF2FPAj7fO3X2/z33JmdmNGzcO1cQHNL27pg6+vDNz/4y4 - e18VB2/onLq1f03t7kZeXF8PfRTbpW5mQ2ZusyopKSkpL7A87n0qAHx4vtsyM7eaqS984Qt/9+/+ - 14tFHce5eOlx3WZWa52mqdb6fLnd/cl5r63ndmdmf+7XWiul9Cd+mUluNwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAA5iP4LtGoR3E90NAMBLIiS1tvmltZQ0rjdJwE98ytFe1txu - SS10tprPVvPFNPfunXsX09BL4mULr8yXrENPjLoHcCXcvLns+fTum1zMw0bUdz3NfRiGXZr7oVq5 - rhH1EfHEiPr95PhjcPdhGBaLRZ9Y9ZBU94jDPAfanamejXq8CTzn9PT0qOu8nylJpZRhGIZh2J2+ - A9a/OyN9LGZ27ODYiDDbDGqxWPTld/Cp29W5u6ZqPe5bZ30C+53SblUc467v3Do/RhP7hq3dgumr - 5QPa7fO/O2Z3q8N2bLd6Sym7UOTjmee5N7Sbhz6oA16P2huUtlflsc9va61f+Id9GN3pd/W7i7E3 - 0R+MDtvQC8pUKeUf/IP/6e/8nf98qMM4TkMdWmu7NTxNk6QPs8yGYegHL5fLnvPd9/QlNE3TudPa - 7wOHYdCj/64AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8IJSqrXuQjp6XEJE8MXg - AICPgv7w1yIk11685tVFdDcAAABeDFHZAA7k/v113zCT0nTo7FGT5fY+y81lkfFwz5GY6cgJqtuG - ZLI0eSqUhx/Xudkr1eYpZNnP1DHKPm99XLs5PPZ8XuT5Mk/lZh32cyeLvucFy8iH6eZHupoeH8uB - EtU/RHMmd5My4ugnqxQde1z7a/vcnmug5yxnbgbl/tTRZcpMpajWHnVdDhs53/+LuQt+dvfeyrGj - 2et2PH042kZrH6r+iJDUQ98fb+t4bt68uYvu3j9Hh2p3N66eal9r3c3eQep/mlu3bj3T8eM4upcv - fOHvl2LTPA11mObn+XdBT+mutc7zvF6vT05OVqtVT/K+devWu+++24PMa62ttR7aDQAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABzVEUOPLhDR3QAA4Dn4ZXfgI+1CnoSG5Fe0zJeg - D5SUlC9YZobUI5QPWXPKpE2dLfv9qUnpXg/ZSlrfNsteRsujzphZkSJyP3TazLTryTFmr01N6jG8 - dqTSvfY5zGySZTazkgrZIc9Un73dnsxH9hyj7O2mTJHbx/XtuUu9eOnuUu7O/u5qOuy49td5yjP7 - CjnqOt8kBGf2C/gozGz/Hb/nCuF9zhb3MrztgO869tqkR8oLeE+ztxv5SFstPuAGkmkOtalp2sz7 - /rQcoYdyVzv+KdZeLvvu10NFwveaz82S2RHn7VJc2Ii22e4fVq0+zxFNksxsnCf3ambtg9b6E0zT - tJ/ePc9zv8czszt37mRmj+ue57nWGhH+rB0FAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAPpKI7gYAAMDjnJKSkvLiSzOTiqTM47ZlVtx911ZsMlQPVW7ycTN3e444FsmzZ13v7TEz - M5eyj/GApZn1Fo99jqTdeenJurk30kOVD2fPrM+bpHLoVs6XT1vnu/0vWEbEbnRmZlYl5SZB+cCz - 12s+t+do6/xhFK6Z7SV5HzjRd3vtPGzrsPWfE49lOPe83v0+vIjt/KQ2Mc8Xkdu9bVoffiZzczqV - jy4008GWbT+xKW3i7EMtj3ehP9L//ltv9/Exvki5q3O/3Ez8hYzL/JE+PLE/Lz6u3YgOuB6eWEZ7 - 0v59j67icQxJi0VtrbWWpZRMtecKhJ+mSdI8z70spbTWIqK1VmuVtF6vl8ulJHK7AQAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgA+J6G4AAF5yPZfrfKTfZXvZ+gPg6iJ9EA9dYKBs - Pl+y5k+1n017YcPZNbprMTMP2PouI1nnB3Vxzwd6Umkf1wGjlPfGdagqP0yjz7b/BdvaVXvAeXt8 - nV/Aanf33cI+XnPnrh0zezxa+7DOnZfdAA91vvaHc2F3Sk9s66e3/jBkvCc02+ZGef6vz1fm/r3+ - dv8mJf1oZe9/PtrubnQHqH+vTnOXwrxI0VrqQPP2xDJ35ePXx0Hb6nNlljLPjAOuhyeWT1kPjw9v - w0y11nGcF4tFa2Nrzf153mzv9299IyLMrLV27u5uuVzO82xmpZTnaAIAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAD48A6agnWZiO4GAAAAALwUelRtf7W9i63dz40+oCO9pt91/oIj - cvt0PZ7hfRD752VPHDAK+ol2Le7m82JSoi9sXEdq9Gm52gect0t5S+xcqPb+8jhsK7vtY6+Ex1v8 - MPuf1QXfHe23e64P5+7bz9lFF2c+jGj/gOOf1eP3k902mv14cdAPPX5tvnj9e3ePyuxj2Y8ov6p2 - jzt7916Ph4Qf3tPPy9OO1zjOpZRxHIdhmKYpM2ut8zw+U7sRMQxDa621Vmud5zki5nkupdRaW2v9 - gFqr9hYzAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgA9GdDcAAC8tf7hJMhf2 - pWRHK5/Dy7Y+LyGeEi/iIoIYcVXsx8vuto+dOXuMXNvMCwr93Tb3yAjMNo0fdFxpdj7V+MLygC+g - oT5jvYy4nFzqY1d17CV57LP0tMjz40Vcf3DU9KEcOyz88fnZhSIfvK2ntfu07X2t7fbb5m7MTA+j - tV+c9ZYfa9+fcOxxPNr0oSLJN1XtZqyXrR03wPvxGPLDrqttNQ9H1Ad47HF9CI8sSDNlyt1ba9M0 - 3bx58/79s3men6PeaZrc3d0ljeO4O6HTNA3DsJtYcrsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAABwPHspJNckI4PobgAAAADAS+1QKZVPjBQ9Un7thcVaS3L33tx+eUBPjM69sAH2 - YNSn/XooT8n0PaL9RX3Ydh+/XLaR5Ids5cO0e1h9ls4FbB8p8nw/hPjYS31/RMdo69z9Z2Ze4MV7 - PsvZ3Z8WxX3u4F0/D5tSvKtzV+3hosF/it7o8RbVRZ5ZPWkIB299tyQu5kr80Hw/vbs/JE3TJMnd - 79+/X+uitfasnTWzUkrP/O653ZlZSpHUy1prjy0vpZDeDQAAgI8yk3K3Jc/+doS1tJCU8v5cOeWy - sJfkZQQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALhqLuhb7AEAeLllprJcdi8OgOhuAABe - WnsvwAkYwDl5tPK5OwMAR/PSBHa+pC4sevZSnDv512YtHG8gj9d8MZN2Ua1cRDOXG4F8tep/pqY/ - 4M4qsz1l/yG7tKvz4mflUhq90q7EdO2v6L6853n8gON3mdyZ2Y/vefaZ2XO7e1R5T+ZurZVS3L3f - tmd469B59gAAAMCVYwpJrTUvQ5hKhGzy0ppZqPQn0GEhuVvIZNf5bTMAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAHA07k3pxecIL55tfuL3hFtKUvIN4gCAK2n/C3z9kT9EuFdJ9++tzHqMiD96 - /FXiP/0QAAAAAAAAAADwvHosd2stImqtkkopu3+x7+d2j+O4y+oGAAAAsC8ipPBSUilJ7spZ09o2 - H9zZ+wAMH1oFAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAZ7aI0rk3m9TUZBgAAAAAA - AAAALyczy8zddillmqbdnt1GRCwWi8vpIgAAAPDSc3fJMyIzXVILraaPl0WNdIUpHh6asry0fgIA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcA3k1f+mX6K7AQAAAAAAAAA4oswspdRaJU3T - 1Frr28vlMjNba2a2Xq/decceAAAAeIpUTrMkd89MS2meNcbiwXoRs9Sk0KPp3QAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAA4Fnl1mV35AAIAgEAAAAAAAAA4Lhaa/M8L5fL/mv/B8N7772X - mbsY73meJfUSAAAAwDlWFwpbr9fVS4xNmb/7H/zHt9dzjTCFlOR1AwAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAACAHaK7AQAAAAAAAAA4IjOTVGtdr9d9Q1JELJfLzJymqR/W9/cSAAAAwHmt - SVoul1J4dbV2a92WD1Y1myQpZJGWkin5MAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AB91fFsxAAAAAAAAAABHlJmllHmea62lFEl37twxs/V67e5mFhHzPEdEZrbWLru/AAAAwEvJi8xC - mZLUFDGs1q+VxRCSKU1hkpS2OfryOgoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwBWW - mdm/DPiKq5fdAQAAAAAAAAAArrmIMLN5ns1smqYe4L1cLnued2bWWltr7t7/BAAAAOARJqVkSpVU - FEmuRaZPa+u53VJKYfLr8GEeAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwovyyOwAA - wHXQWpM0z/NujzsPstdfT1MbhmF3ujnvAIDHmdluexiGvoeHDAAArqX+uF9Kefx1Ymb25wARUUqZ - 5zkzJdVa9294Of0GAAAArgRXpHowd1pIMkvrO3p6tymluMwuAgAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAACAK8/M9tNG9rcBAPgoiAh3n+f5GjwG1svuAAAA10EpZbVanZycTNNUSiml9DBvXjBf - bxEhaZqm3R4z2519AAC6zByGYZqm3RvrmdmjOgEAwDXT87n7q8Ja6zzPpZTMdPfWWinlwYMH/bVk - T+wGAAAA8BxSSpPZIyHdPbdbKb+kXgEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcG1c - j6At0kEAADiAntstaRiGeZ5ba4vFYhzH6/BkAR+oR7H2vPZpmgjtBgA8zt2naVoul+v1ehxHd+9B - nj22EwAAXCdmtnsrwMz6S8W+bWbjOM7z7O4RMU3TMAyX2lkAAADgitn/r1szuSlMkkuhlEzaFAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4DmZXZ/v+iW6GwCAAzg5OWmtlVIiotZaShnH - UZK7X3bXcFw9t7u11kO7a60RQRQrAGBffxNhvV5LunHjxoMHD/rzBAAAcP1kZinFzOZ57qHdtdZ5 - nud5zsyI6C8h3b2/fuR9AwAAAODZWJpSmxhvT3k+GtftKct88m0BAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAMDTZaaZKZWZeS2+7JfobgAADmAcx8ViIWmaplprT3GWRITz9WZmtdbM7Els - knoJAMC+1tpyuZymKTPPzs4knZycTNO0e8IAAACuk/4Qv1wu1+u1pP6PhMxsrZVSJPVSkpk9vRoA - AAAA55mklJtSCrlMYR5mbpLkqZAs5dnDvPknHQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAz6wHavTEDTO70hHeftkdAADgOlgsFj2z2cx6BNcwDO48zl5/8zy31naJ3eSuAQAeV2tdr9cR - ISkzh2FYrVbkdgMAcC31V4X90b9vSOpPA9y9v3js5TiOl9lRAAAA4GoyhTbJ3JIszJq5tp/acZHb - DQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAc8ptTHdm5pWO7N6ql90BAACug4iotY7j - OAyDpMw8Ozs7PT297H7h6Nbr9cc//vHVapWZi8VivV67e09lAwCg26V0Z+ZyuewPFtfmbQUAALAv - M0sp8zzXWvtj/Z07d3qet5lN01RrrbVO07RYLKZp6m8jAAAAAPhwYpfSLZNMkyvMQ3LJeLMNAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIAXY2b9q4CvR8YW0d0AAByAu/fYLUk9oIvc7o+C - nsB6586dYRh6XLe7X3anAAAvqcw0s4iIiFprj/AEAADXT0SY2TzPPau7lCJpHMfFYnF6erper5fL - 5TAM5HYDAAAAL8JTSnmmFJIs5CZJprjkngEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABX - lG2/2zM/MI6tH5Zuu8Mscu9Pe98QujnA06V4Uv37DW3+Gia/oLzg88O0Xbv25K85TYsPNTmbo0m1 - w1VlZtcitlsiuhsAgEPZBW7VysPrR0VPXe2nntBuAMAH648a7t4fMvLavK8AAHh28zwPw+DuEdEf - GuZ5vuxO4TDMLDP7435ERETfWCwW/YDlctk3yO0GAAAAnoepf6DNM5St5uwZ/YN0xvttAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgEBa1WqS7mSxbyJ58WD5lP3BVWfSo3jRpG1Ad9ni0dvRv - AnVFadXS0jJdYZEWUobF5urocd1ypYdcJsvZFKbirkyLppDcfRN2bcpsklIhMzPL7WWWmZ7eE396 - HMDmFvbIdZjZzu3p3D0zU22XJiApw4qVzF2QUB9Ub3f/q05D2+8+TYtQ7keT5yb827czE5a5S+9O - I70bV0+PU0kz9zLPs7tapJ72WHgVkC0KAAAAAAAAABen1tr/0dJaK6VM01RrfeL/b3ClZaY7/wYD - AAAADinlZlLKMpRRMsrDz7H55lNr1j/nBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8Mz4 - enF81Fl8+OsgTVLux9jHbnsbWd1Dr3uadSk1okUqQy6XWzEzszZN7l7czS1MEXNERIR77Z0xk0nu - blakyDSzlDyz9W8lzTQpSimbxnsG+fabS6dpMjMv2iUI9AzvOSb36sXNzDIiokXL2Asa2IZwuyTF - dnSbIUkyRe6+FlWuVFpI3o90viUVV9yjMfZXFdHdAAAAAAAAAHBBMnOe52EYMrOUsl6vl8vlZXcK - B9Na26Ww7/6dRoA3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAllybJeyh157kN - pd7Gcnvukqo1lXHv1t6zq6XS47o9JQtLSbOklKcNkXUTBmw99zulKMUU0eaWmZ5ys+pFxVs8TNpO - KVNpu0zulKLffPd3RUhhlj01wMyKmaShlMxUZLSWme5eSvGhnI2r0FohM5Pc3NIlWWwHuJ0TSbKU - pXw7ObZNNDZFH52kUE0pTSFzpRS+VxVwtfRL9RqEdxPdDQAAAAAAAAAXJDOHYZjn2d3NbLlcjuNY - ayXd+Xoopez/2lo7twcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgJdOuuxpOdNx - /kgpLMI3ob6Wto3r9k2mda9qm/OdllK01iQ3MzMLtczmqchWSpGryM2KpzIzIuYpavXMzDRTmpW0 - 6HHd5hYmzwwzT4VZkYXJvWS23LLMyFSkmZmVasWq9cpjinEch9NFWmSLUCo/pY05AAAgAElEQVQU - mdpEiluaLB/mdmuba962od0u36aZx2a82UPPXWkyVxLajSspM81MZpmb9G5JZnZ1M7yJ7gYAAAAA - AACAC2Jm6/V6uVxGRGvN3YdhMLOffktcEdM0RcRyudzldk/TNAzDZfcLAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAICnS3+4befjurc53btjXBlh4ZKle6qkLKMnW6cpTWGRpmY99zo1 - j9XdzKScM2SRbmbWcpY0pymaWZFc7mkpm10RkbK07KHemZnmrsxUKJWSUmmm1DQ9jBk2M5eZeSkW - oQyLTCmLebXq5pNidX8K9+rV3IulpMxeY6jHcO9PgDSXCLnLlSXlkkwhbfopa662mbuskpz0blxl - /XK77F4cANHdAAAAAAAAAHBBzGw/1Dki3H2e51p5q/Y6mOe5p3RHRCmlB7ST2w0AAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuMIsHgn2lnsqLUq6pTwfBl3nI5HXvg2vDku5pVsoveXs - 8nRzd3nOrTWlecrN3dItMzOn0VaZU2aamZWe+S0p05SZqbafKxxSrbVHe2fKU0q3dMmrL6JJTZJb - ysw9ZVkHO8m0zIxoc8ozeua3trX6XmxxmDzcTJu0cGuSpXLzF8kVUvYE8EFTyFL7MwZcDZsrzqyH - d192dw6APBgAAAAAAAAAuCD9LeZSSmb2dOfVanVycnLZ/cJh9H/FtdZqreM4LhYLd+8B7ZfdNQAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAnirMJXnGbo9tgnv34rhTkksm5aJJCklh - kryZZL79dZN77bm5iSmqpIjMVJbwItncYj2OflLSpqjr9PUYZ6vp/ftn799r779574dn8eDs7Ozs - 7OzB6uxsdX+1Wk3TNLZJUutV2a63UWpasaEMQz09WdxcDMuT4Vbxxc2TV2+dvnL71s+8+urtm6ev - LhenizKc5M2T95fLaTCzHrEd6Zmp9Go96tei/6FnlqeGTYrxHJZpEaaUS5ZZpRpprpRmVzPNLg+J - 9G5cRWaWPbj7WoR3E90NAAAAAAAAABfEzOZ5rrX2dGdJ5HZfMxFRa53nebFYENoNAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALh2zsf5poXSw0JSmiQ1k+X+AW32s/Q5pChm7pPm++P9 - e/P7P/rBj9699/ab7/zgrTs/fP/+T9bT/VnrydfjYpx87NHBVot5DxJuVjwzw1OWmWlmZiZFWGRL - n5Ursyym4hqUxWY3c4/aWip8uTh95eatjy1e+6Xbv/TaySde//gnPvGJn7l98/aynipcs09RPGtp - 1bJYVmV4uqV7uGeEy6RUmJQWoSqF0vucpBQyl4c9PkkALgHR3QAAAAAAAABwcXpidy9x/ZRStD2/ - Pbeb9G4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgqnB3M9ukBZuZqW8DHxkuxXbb - zv0tTf2vYRpbmpfiksIyZc0jZWHmU7S08FpUfMqIiKmu51vrO6u337nz9tvvvvmjt773529/7yd3 - f7ya32+lNZ+bt+aRJ2o3NpnXptjFX6e1bXcirMkid12zkKR05SDzfoylpHCtpSxFUs8RD5POpLNJ - b43+Lx/8QYbbN92b3xhe+dnbn/xXX//511/9V37xk5/9+M3Xb5983KdqY11okVljzKpTyT0WYaF0 - t5BUiqxoHB9YcV8MrUVLmS1aa4OIKsDVY9YvsyilzPPcd17px0HiYQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAUvomEnub2mumlMwyNpnZvjg9maZpbuHWb5BeVKrd - W99d3ByixN3p3Vajefz4nbe+8+affvk7X7pz9vbds/ea1ipjs9VcVhpaVqWpuXIb1h0mT6/NLbWN - Evfs/bHQNtA7LDZ/6zeJ3IaOZ5pM0RSmUIasR3c/DNNurru+SlORlbncb++9+/6Pv/v+t07aaa78 - pl59/dWf+8VPf/bzn/mVT73+qeVwo4zD3NY1Fpa1yNWq9zjwjHm9HqpZVZunuYWXKisyD6XnLgQd - wOUguhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPrJCUk/m9pRy - k3Nda8nMlnNEREq+ye5e31svFothGDIzIlLzqHlq6+F2vWf3fnz3z/7kz772je997YfvfP/+fLeV - tU7aOte5TPdNJV6q1+U4rqJHcltPAVdJKWUK3+9Yj+XuYdi9k5Kl3HoZZqv9wWyyunvKd2737jZC - HmouWZGbWYZP9+fxgb1XbyxXeu+99uYb3/7K7/xxO13e+Euf/vlf/NQv//ov/PXT/NiN+uqJXlnO - N3wqZS61eS2nam2epvRclBoq0VS9ZMwHPTsAngfR3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAACAR8zzLDNzs+oupYUkyW+cLszK1MZVWw0nspO4s37nzfd+8C++8uV/ - +eY3//zOd9tibafzg+HePKzL0sa5aaFSlO6t5TRmTrLJSilKycJSlptYbik2qds9sVvhqTDJeqq3 - pO1GyrZB3Tu++9W2cd3pu7p6IviyDC0jWoRmuZciryZpzgerdUgabhS7Mdyf3//JW2/9yV/88e/8 - 3j/69Cd+4fOf+ZXPfurzn371M7cXr52Um4u2sFE3l7cWuZhaM7NorcWozaiAqyozM6/DGia6GwAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+skKSZw+2dmkTeh0Zpkwp - paZxjjkzPb3IV/Mql2O+sv7e3e/94R996evf//Kb974/LlejncXHW9ocEREpKWZT1dS0nuUei+qL - k5KZEaGYt+1p16h2Ed59n237lA+PCVPP8/b9fOGU7NEk7/S9XzxNvUdqa5e8jy2i9Q3JTLaQew0p - WrQiT1uX0V6bvzv+i+/90Vf/6TeWn7z5yV/61F/+1V/4q//6z/7KYvzYOFab6sKWp9UtV1XzyeDj - 1F7obAA4BKK7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAjyWKb - dx17WdcuaRjKnPMcU1rLEnWo7srSVrpzd3rvm9/7+j//+j/7ztt/Op+eTScP3vV3VGQLpRRNlqqD - itXMLMXkkS0y1VpEhMvMssdyay9s26TcxnXv7Ad1n4/r1qaGTU35aH0Wu7FE3+7HzXKXucy022mm - aZabWpsjJPNShohYTQ+WC/cT2SJW473v3P/JD7/5vS9/6/df8dd//XN/89c++1c/8/rnHtw7e3A2 - 31yceMm7999bLm88mhoOXBmZaabMzWVkZvn4FXdFEN0NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAOi8h2hnZkRIKqXY4FNbv3f/vXfyR19847f/6Ed/+PZP3hpuDIuf - LWfzWcv51quLs2mMUV40FJeptZhidvf2oNVah1LD2jy3SKVlKZuk7bBd2rb3cO40SbHfoZBSCnk+ - 3N6UklyxyR7fxnv3NO58eOtH4sDLUFKKzMzYpRKbaTHIrGTmPEVEKOdFrafL5fRg5S6vJavZoFnT - e/EX9+Ldt9747v/xtb//2U/+8m/82r/7K5/+1ZK363iyWJ7EmQjuxtWVVzes+1FEdwMAAAAAAAAA - AAAAAADPLOWbD9yl73+SLyXJQ/3jcfHE2wIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADH - ExaSPF2SpUuShbZR1mE9VzokeS8ffs3mpoIenj1li2HO2qZhvLt69zs/+ObXvvnVb/z4D96+8e2z - 03X5GTWtz1JZ5anVevRiyoymuYW7uxdJmTkMgyLHcZTJXV5kqRayHqe9ze1WesiVIYuU72Vtb78H - dBPI7VLsSlM8TOzWpsy9G1o+ktstqWVIMjNzczMplRmh1pTZPFXNrFqmYh4j8mS5jJjnOZu3rJIr - bZTLTSeLxbfv/eG3//evv37y6b/yy3/j3/j8X/nEyc+dxK3alh7Vsli6p0sRtptY9fPiuTk7adsI - 8i1TbHfuzsvDs/PTVwAAorsBAAAAAAAAAAAAAACAZ2JVrWmdoaw3Fzc0TjLLnM1k8iZPKaTcfDTn - /OfeAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAy8ndJWWmu2dmRJjZT70V8LIJiyiTJLWh - RC3hJqUpTc0ivZiVUGZLLyHLmOZabs5jlOKl2ByTrJnZOla2VJyMd8a3vvrGH3zpm7/3/Xe/tS73 - 2sfmydYu5TYf20wpyZSZZip9b0YP0DapSW6yIknZA7dT6Q9r6B2XqX+Zp2XIZI/89VEZD0uFtond - O/u3fbye3l9lqv9sR6FUn6um3HTO5aZ1TrJQ9d6rDJlkUhnKahxna4vbpz9YvfG9r/7pP/3+P/rF - 1z//t3/1P/m55WdO8tU8qwst3WuqpabZQp4trbov0mw0nzUMw1rr7CHrWSTJmmsOa5uEclOoKl3a - dNGT9G4cRUSUMki+Wq3MlKnMD7gOX3ZEdwMAAAAAAAAAAAAAAADPYJpVi27Y6ft1eOcndzQMksxd - CinOp3SnxIdsAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcJFyG1lrj3xbZqaN45hSXQzD - MCgnRas+zOO0WJxGtLP1Ax+8LDXpwWgPVuX+l77+f/9/3/rnP7r3/fvlnfXJ/am2ZipPysP+QHEu - WjtMekINDxOpP7D+eKx8ql7Ph+rtU46JbaD4rq1dba21JmVpWc7yRk5T/HD6zt0377zxp9/+tc/8 - tb/+l3/jX3v9s/MqxgerQYN7NanU4hHzOLW0waqbt9ZUmkypainvKehWPbNP2t4Ir3CIMq6KzLw2 - K43obgAAAAAAAAAAAAAAAOAZVJNSU5umafEzP/+XlKn1Wqen0ibBu0neA7uvyUeMAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAcIVUbyVNUkubWxklSa70UsrNYWhtipiyTRHh6aWWk4Xunb27 - WJyc3jpdt3HlqzvtL777zrf+8e//wx/e/c5dvVNvWZTWxmbNl6U0tZ+amf1RYGalZITGsbmrVmst - 75y9eza0f/Ld3/l/v/N//tov/Prf+jf/w898/HNx12uc+Jw+Z2QONgx1aWGzzVla8zktSkg2SMXS - Mz28pFrYLEk2SeaSRVUq7af1DADR3QAAAAAAAAAAAAAAAMAziVSpHjHXxeLH77yruWl5qhYqmwP6 - R9f88noIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAjyxPj1xYSraWKa1JslSaxvV0err0 - km3d3GxRFhZVodnWN26fjDG+n/fOhrM33vyT3/va7/7Rn39lOrk3Lu/mYnzg2Sa5a1mW7t7a2WWP - 8qWQme5ulvOcEXLXMJSsNs4P6nI4i7P/69u/+8ff/fpf+9y/8zc+/7d+7vTnb5ZXhlzmaG2aWxvl - 1lxWlJKksNldEXIpVJUuuUzSLIVLli4LJd97iqPLzMvuwgEQ3Q0AAAAAAAAAAAAAAAA8A5OyRZWn - xeLVV3TjpiQV9bRu24Z2W0q5zfEGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALkRIaaHt - 92JauiRPhakUG9soa16t+KLm0OYytXGd46h7/mp8773vfvHL//jrP/jKarg7vXbWfMrS0kyRdbBa - 6zSv7t3NWzcudYQvjUxlppkNg2VmREY0SYui9HYvsr5W3tUP/9dv/Y9f+cHv/8bn/r2/+fm/fePs - tZPx9LTesGqreTXGNNSFZfWMsAibVdRSHq6skkoU2SxJCpmUlnzfKY7DzHpi9/XI7RbR3QAAAAAA - AAAAAAAAAMAz8aK5qdRyb3327npUcc2jNEhSukwm6Zp8uAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAABXjCtCsyRZSJJs+12ZcbJcjvPabSi1rFfTKtqiLu1W1lt6d3zv//nG7/2zr3zxzdWf - 6ZVpXqzO5rEUzamSsrRpytamxaK+9ppN6+nyxvcSKcVbi8wsxczMLCPkUjZl5LBUU7vb2uJjemv+ - 7v/8pf/hq3/8lX//3/qP/u3P/sa4trP7Y62L5TAoZPMgC9fUfJbmNKXcUiXce/i6ZZrClKaQnO8+ - BT4EorsBAAAAAAAAAAAAAACAZzA3ucncy/JEr9xQppYLtabq5w+1y+gfAAAAAAAAAAD/P3t3+mxJ - Xt/5/fP9/n55zrn31toLNCAwiH0dARNCzCAxA7KRIuSwNbJljyYcngjHKOwn9r/gx+MndijscFih - CXk8itEwGiEWIdRCmFUtaBqhBkksDb0Avddy6y5nyfx9v36Q59xbVc3urmqq6v2KrKx7lsz85XLP - fZJx3gAAAAAAAAAAAAAAAAAAAAAAAAAAAABuaVEUaSEpJDdJCqWnDctVW6lOZpFatqXPtNw6PDc8 - +uVH7vvYX939yGNf3zpV63bbX1xS02xLLaVBaepqV0pEn7HMUNMzvobzlmWmTLWW7unu7vKUKUux - g8NoTVsn3MwWOZ/e3j2w+Pzv3vfNe771iXe8/j2ve8GbNff+YHWynlTrUj5USWo+SCuXLIqresrT - ZWpmcjV3pYcF9W5cI5kpWWbmjX+Nke4GAAAAAAAAAAAAAAAAfgS1WN9y6Fdt58Q/++AHNZsppVJy - vBlxDHaP0e6U5Eqn4Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIDrwxQllJ7NLE1NklSk - kDpNzdNVFrGKrWZn2iOXvvnJv/3oJ772p/PJXp4aljloGOrM0nK1UOlUTG5qsdJgxUrRZGgrtwiL - 53g/fwKsVtF1NpmU1lpERoSklsqmErndWVbFMoeMKFqWfjXVXI9deOwTj1z81jte9Yv/4DW/8Lzb - 75xfPJz6xMKlqgxpPLAhk0xK33zBaaSkVJrsxm8qA9cB6W4AAAAAAAAAAAAAAADgRxCR5pJyUKoW - 1aLqMoX8qN6tywPeAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgRlBKkWRmkiKiFI+I8SFw - A/F0j1ytWj05tWLzYenu2UdVaX2blNkq+qwLO7W454GP/9kX3//I4dfnW3tDCQuV9BKeISkmFtkk - l1JKyVLhTWnehfpNYfqWVqsycxgGSccfFS4VNWkYrEQtiqIYFK0pTVEtJotHLv3N+z//rW89/dVf - eP17Xnn7G/NgbxJbVqpazWVMp10/LKddjdbS1ExKb1aklGTJkcc1kZlmJjPJVquVmfIGj8ST7gYA - AAAAAAAAAAAAAAB+HOFSqap1THSP3e6yvmvQU5LcuIkQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAA11GYMnTy5Onzh7srRbc9aUNzq14nsrjUn9e0HU52P/Dxf3ffI5/Z23q6n11KT0vJlIrm - snCXTLJUCa3rvamwkFySjK/c/H7CJKm5pChHpe1U15W+tb4NJ0+5t+Fz3/zUd5564udf/+6ff/U/ - XiymUzvZlnZq+8xyPp+V7cPD/cmkSx9PgCsVspLtOdsr3Boy87hDf4Mj3Q0AAAAAAAAAAAAAAAD8 - 6EzNpFpViqxJCm3uI9T4yCWl/Ga50QgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3gJTU - lYO+L6WbyruYREbfhkWstDW0U4cP7/7df/jQv3n08Bt7fv5guSwzTVdV8uYRNqRFlEjJUp6yVEmF - KaX0odkgqaQsf9A4bl3u4VKER3oM62PlkmLZJkVdKbGw/eW8TCePt2+8/97vfO3hL/yzX/nvFgfz - 7entfd9PbSv6fntyqrfF4BkKaSjhJpWQFOPpAPD9+XM9AAAAAAAAAAAAAAAAAOAG4+s73kxe5C67 - Ks8d4zw2PwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADXR5hascNh0XXT2WQSq94iS2ex - PVy0xz777U/8zt2/9c3l/U/mY7Gz3DplrZdl9SglfOxDj1+1maYwNVMzT3mYJDk13B/s+BtJczyM - rrRIi1oVvaI1MzP3yXYtO23PnvzahS/+y3/1Pz+y99U4eXioS0tbNg8Vb6Ywpee4uMTXneJ6yMzM - m6EOX5/rAQAAAAAAAAAAAAAAAAA3EkuXYn2PoNVmKikpXWrjc5t3OveyAQAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAA4LobrJ/MulAs9w9n3cxneWm4cKALn/763R/63L/vd86vtlfu2l9pFjnx - mmk5lrnTvSks0tRcYWqu8Ss2S8pSNSS+cvMH8kEpi2pZpZAN6SGpmcq2liu5htn2dH64n0OcPX1q - Puzvrfb/9d3/58+/7j3/+O/9sg+Rcx+iMzNPizHerZA0BtSTfjquvZsg3k26GwAAAAAAAAAAAAAA - APiR2XjnkK3/V0guk/zKYDd3sQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOA6m9VusVgc - LpZ3veD5l/YvHLRLy6293/uT3/7yk/fW5/VP7a9mE7Um7zSs3K2GNylcstx8r2ZKKdkVq7XNd3L6 - piGNZzJJKUmW8pTkkkdGmiIkl3eWQy7bok5k1fZWe1mzOzt94sIjH/vSh771xMP/+S/8ly88+9Nx - kGWoHqVuTkNIbV1Yf652DreKvAnC3aS7AQAAAAAAAAAAAAAAgB+HrW8QDK1vJbT10+O9cSG5mW6G - O4wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABw4yipsghfDnfcefbb5x4qZ9qjhw//7r/7 - P861R/Pk3oWDwxMntDjUdKtraYNZqdlsdbx8yiWlm+Qhl6Q4akWP/9Pt/n5SJSTJNKQNkiT38DBt - Tya7u4s6ye0dG4YMqZRcrJShVlbdGX/68FuHT1y68KdP/tN3/fO7Ji85pdsmravhKTWPVdHgIakG - 9W5cK5mpm+Vbdf25HgAAAAAAAAAAAAAAAABwA8rxBrV0SXKZuVTWr3FPDgAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAJ41lm7jt2BarKc1lxS2nsZ3evpq6LfPzM4vnvTb+79+/N7f/ZP//dHh - gfn03NIOZ9saVuqKR+9tsKYY1CTJlJvpeO3pJVTCLX2sdR9t6EeVVy/lV8093fNoPm79h50/69Ku - mH4knm5ZN+dLMkkhuUddHi7PnprNpj4/yNbUUoe9rMg7heVBtmHWt1OHD13629/5wG89cunvVpNL - rczTwrIoq+TpmZ5pcXTS1+f9aFOSrrwkgFtWfa4HAAAAAAAAAAAAAAAAANxY1ncPWko5FK3v7rNU - Wd+O5kf3qXF/GgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAN4paa2a6+ziPaOPPz/W4cKuz - dM8iqVmE5fjdmCUluaxYrfPFQTfroo8uy8npqb2D3eW0HZS9w9nFz339kx/5yz94un90dnuZ94OK - 1OTmma7MYmE1pPC8rAa+FpYKkx+HvH/8FHSap674pk4Ll8nzON1tl/0sC5dMWs/zynm6S5Y6mktX - j37Df8gRjru2qXTHM3Pd9kN/EoTF0ZDCpNzUuy3MbdEvJNUqSRnqJIXGdZurSQd52O3Uc6sHf/tD - /8uv/oPfeNsr3nVy+Kn+UpvUWfFYLvdrJ1mX42jTTcowT3lIbs2jmcIj1heJLJU/9HHALc7MTOZe - +r4fH97QfwNJdwMAAAAAAAAAAAAAAAA/rmzH979Jm9vcnsMBAQAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAA4KZ0XND1XD80s0u7+6efd/bwcN8sSjfZ29uNrg1bi8PZ/mfu//if3/fBXTs3vaMc - xCLrejWWbvIxJj2WuS2/V5o6fuxc91XruXo1FpKHhSTPkJTreHhI66/3zMvnR4cg1+/MK2Lb0tGX - hB7Nj9b2Pcfk3+sNlsdr/t4H5/usebPa4wWv3FCuh3z12M2bhZVhMRmkeP9fvvfpixd/6e//V7PT - dy4W8l7b020pclCMV4FFpqenmqWtV7g+kpYpGw+wXT4Q4PvKGzrWfSXS3QAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwkygteh8keXqJIpnnOoUdMezs7MwvHXaT0tVu - Pj+M0man68X2+Mfv+bN7vvzJPe366XKw3F+mtnbUVlet+/uVrZ81ppKSbfLdKUnpx1sfvsdSP2Ru - 2nLTwLZnzCWtS+fHwpTr5vc6W370/uNE9zhmrQcc17R9nSqplMw8TGFKU1+kyXJo5z71wEcX0f/y - 2//Jts7uxFnvJ8N86Oo0fWjemofU0izcFWamXO9FljRLaQy223U50cBPGNLdAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPCTKCxy3ZQOpXv6+HxK7p6pklLT4epg63S3 - u9y9sLrw53/9x5/9yid328Wt27tLw6IVbc/q/nyYVnm4j6tSRG6S1ddy/D6mtXMdw97sibTuZ1+1 - s+tFfvhUdm662uP/V82P1vn92Dra7Zv1KI+D3yH5tYyce0rpJvl6LEpTmJY1hhPLtnr609+8e6+/ - 8Ovv/Oe20FbeubN1Zlj11lxaytRMUjYPuVuaxvWMg0+/7BH1bvywMjPz2sXqrx/S3QAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwE2qduPSDGYoAACAASURBVM4mZVhR - ehuTzP3QlVKtW/b9ZHt6fv5EO3P4vrt/74sP39PPFrY9XBoOeu+tq0NkLVK60qVNqVqK69DnTR3l - xiWNDWmzy/ZrfPYo2q2xOS2ly+J4/l1ZrLdgUuqZ8++6iGWUzUt22Xs8JbmlNh1tWcpMg8e4oWsh - zF0uyTSUVEpNaq5m6r0t/WDlB5//9if7u1e/8a7f9GG7a1OXS+5hMpe3ZkpTKHzTHbdNejyka1xm - x80sM2/o6+d7fGoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJ5j - 7mEeJikt0qJ5pIWk6p6DLHXi5Gy/XRxOLP/1h3/7/sc/N59eXE72FrY/1FWZ1b4N82WbTKamsXUd - UsjiuMl7LQPeYd5UYz35OFd6ypXHm/Y8nqTjercfzTch3ivmqctj5M+cr/fvylK4p2wzbd7hSg9V - pafqZiA1rDa75v3fZhrz2y6VVNkchKzKiZYTLbb2v/Lk/f/mw79zODu/X84v6mH4Ki0tZWlls2Nh - Gi8MT7ts969Dnh03lcyb5Jqpz/UAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADfhadKVklhap5hKTUpLGrJ2nKoE3v60qOrk5f+4P/9vfufuHe/nquz1iutUyqXy1Xp - yqTWxcFyWt0zTJJtOtaptOOE97WQpqFEbOrSvokCm2Lzg/yyUnCYUsdZ8UilHc3jsp/XcylMP7iu - bUcbTcl0Vdg6fD2YwdbH5DJhV4bAn11pGkyyGFvqJVRzrHbrYH8onba3NMzbbrnwjf2//e0//l9/ - 87/4H9v+iVhtTaKWqLXVlFRi8AiLy1bskkuRJim+x8aBq2Wm2U1S7/7BnwsAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgOvP0j3cw5VVWaSx0NykIZThcWB7/c7hBz79 - +1948NPzyV5sD73nEGopdzdTtrBo00nRZUlsSSGl+abxfO1EWKRF2pAWYREWsjEp7ZIrPeTK9eTh - m9GFFGnH86P+9jgfG+QyeYanSoZnXD4/muxoPu5q6mhzYR7muZ6UUvOh+dA8xh/Chmuavj46JmMv - fMyE11BtfrKbbNW6v68+5Ttxvj3+rf7rv/UH/3Jv+6nDrYursmgenlailHBPd0nKkMY9GldOtxu3 - LNLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgMwsMzPTzMzsBy8A - XHuu6NzaqrdWi88irLqqKbJfeb+YLi5Onv7gfe/91Dc+Mt8+13erdItUKbJQDlHNq0wtFM11nLte - rzursl7rXSgZJaOGasjHSVasWrhF9ageE8+p59Rzppy4ipvGyTSWtpVjrzxlIZM811MJZUgZnnKz - Ihtf1WYyqZjcVEwKRZNpapqGJqFq6kydhWemJFNYqowhcIvxoaTxMyFCEeuHz+YBsnVdexyyJEuv - 4d5LS227irSMVeyszud3vnHwpf/nz/+vC+XRONWvbCmvrk7L3KmTcahpaptJ1sLb0fqB72P8C2hm - 7r5arcZr/Ib+U0i6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALja - mPIFnlthmq+WWyd2zKxfLGd1lr3N5/Nu6svJfjt7+L57f/8vHvmE3dkf+LxMtFqmbRLQHu7hlvIM - Pwp2S2kKU5qUrry2fVuTMlSaqlSlzlRS6rMtBle4IjMz2xBtiNa3vo++tdaaWlt3st3VuU2KdZ1P - itfq1TZB4VCE3GXjHmU2ZebY+JYVyZWmJoUUJrnS1dSGHFoOoWw5RAyRgyIzIzdFcJM8VFJum562 - 5K5SzN0lPVsfD5tS+KZQLk+NMfUoGSXlIUulaSixnMwX00tfeeoL7/v0ey/o6TZd9bmSYjrrDvcP - SspSY9Y8TGERFhLdbtyi6nM9AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAOA5tkkBAz9x6myyN9/zWre3Jhk2P4zb73jeU/PH5zsXP3n/3X/xyN1PxaOTzqOo9cPMXbGu - ca//S5nGlrWksdi96XjbNe86e2gyjqJJUrqq21jUjoiw0CYqbmYyFcnluaZIKRVKMw2rNNM4FcnM - rJikME9TXhbTNss0rVrIlKk0FVO6iluaZJEZvtl1Sx2FwM1Uzc1sTIpH5Ni+Tl1R6s7MCJXyLBwf - S02a1ocma5NkMgvZIEkmH+PbqTRJamV1fvXklx+998P33P6rP/cbdZj4IA9Nu9pnM5nkeXyGx518 - NgaKm93Rb9D6d+/GR7obAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AH4SpRRuzaKWFrma7/W3P++Oxy88ZnfEfQ986sOffe/eifPdjvYWsT1RzjUtZZUpuadkYWOBdxOm - H7vdsX4YUlgev3qtlKIWbUwDN0mZpkypSCkzpZTjP5elIkxZLPPycbmsblrZmek5rs5b5rhflpKv - lxjT3Z27pFSLSEkWikxZhEVKSrnJbBMOT3nxiBj6aG09sFq8FEmpdc943GiaPTvdbkk+bjoVqikP - mazJYhyV53o+JrjTlKbpyTJf7H32a588OTn1y2/9J8Olvou61c18kOTNZOlpkRbX+sTi5mNml3e7 - b+iGN+luAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4AqZaUb3Fs+9 - MGVGN5uar5arhc+6S8tdO2Nf/s5f/8nn3rfcurgsQ5pq1bDUdql936vUtAjJx+KzxVEEO3Qc6jZJ - Gq51tztM89Ykmcvdxl8ri9SYBDaZyUOZypSFlFbaRKrF3Mwkl5QhW2ez5emX/W66pSzTzDbp7sjM - zAxTZpNkZtoskZnN+uj6UC95xvrXfHz/chXuKqXUanLLzBYmC0WYmbtLiohxne7eWntWDpFfHkce - i+WbdHeYPKSUy0OylBSDWvrisLvwsS/98c6JrZ9/3btzfzocDLOyrfSSnhahGJd6VkaIW4SZKaUb - vNh9hHQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIElmdnMkS3Ez - SYt+WHXVrKs+rRf7i08tv/OBT//7JxeP1edbW0hLTWsZokWVFQ+PcalIuSSTUmG6LBIdlsdV5zDp - Gl713nnNtMzUShkqsmK1WI0+ipWizlIK85SZF00mZavz2aSbbG1tzSZb0+m01s7dd2ZbZqWYl9KV - Utzd3YsshuZjgVvKzFSLiMhcLpct+mEYln2/Wq2Wq8Vqterb6undJ0MtLCSFWni0bKFmnbdobWhp - kZ4mpYWUfTazdE93Hz8iMnNseD8L1qdGqSHkksuGq14dT5unxvb6qlfptOoO9zI/8vk/On3b6be8 - 8O3ZMnsvWaQMyS2klFwyJQFv/AjGK/y5HsWzgHQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAPwkckU/DF3ponmrw6JdWm5d+J1/+7890T88OTPdPzicTqSQVm1S1beh - dl1Gv17YFJsCb5orq8JlIYU0SLJxE6lnKUP93caf7svSaWoqJaplmdh0y7dnvv3in3rpTj1xcnr2 - 5NbpU7NTp3ZO7WydmnbTae3czFTMzNMlZZokl0lmZkrXJi2cme6++blJinV6OLqui3WTPCSFrV/o - umnf9/Pl4f780t780u78/N5i97AdPH7+0UvLixf2z+0tdxdtLm9yZTd0M1/GYYQyw2y95cw0exaO - T5hkSskUUijXJ0U59tRd6SGNZ23cz61SWxui6w+1UssP/sUfnvqFO15+8g2tqTT3DHO19eEpSk+7 - dqcXN6Gbo9st0t0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcN2Y - pPQ0SaHLs8p5lJrdPJ9u6Z3KdDLZWyxb7efdxd/7yL861x4etg8OV/O6NXENq76ZyTqZex8p+VHm - WWOZ2yQpbb15u7LMG7YOXNvYir7sleOljvnmneuVW8rTJbd0y+LhlkVZPV0yj8mLb3vJ2e0zd9zx - vDtve/7Zk7ed3rrtxPTEVt3RotacdDm1Vmwwj+qqpbn6JsXRhi7rCLukovLMQxqSZY6JazOTZGax - akcR4pDMx4C5MspUftLyjlmLnQhb9Vr0ZaUuBlv2tlzF/NJi9+kLTz3x1OPnD55+6OkH9/qLh4uD - zFANeYa1sF7KtCFMaRH2XY7VFcd5PAXPHPqVSx3H1O2qc3GsxVC7ejgMaRomh9/a+/offvr3/8V/ - +j+d6apF7cIsfbwG1hfS0frzu69ws+34od6GW8DNUe8m3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAIBbnbtnppmZWWaOD5/rQeEmZJKnK30sKqc12RCSVN1q34dZdqWk - mrK5dUVdDJPlYfOdYb+eu+drH/3K458/mFzMurIagwZLWZWkdhQBz6mUpkXJcXMyqVmkhnBPySxc - UspTktLVNP7sFmP1WZkt3cwypEy5q5TS0vq+FVlXqsuyNRtUVbtWrNU6dJ1OnKxn7jj9ohc/7+U/ - ddfL7rz9haemJ3dsq+S6UG3p1lyH7umWNh4KSx+PheQKyUybPnc+o2AdCj1DalO93vSyM1Pyo2h1 - kZQxRqnNUkopFOZhZpNiddoi+khLKcLiTouX3ybdHs0Uk3Kwmp/bfeLRJx9+7NzDT+5+58lL3zp/ - +ETZyqEsl7YatFSRTVyWfctxKJ5yM1dapLnSlaZMtaZMmcldZsdh8nGxMeMd6z2KzW7F+tJJSRpM - prRmbpaTPPBLDx3+zXs/9X//1+/4Tfca860uZ2atHw63Jq1F31TDfH3w1gfz+JB6jisPKcdjlxbU - u29ZEVHrZBiG53ogzwLS3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AADwHOv7ofjUS7hFZkZGDm2Q1Tr17XKuP/fN83/z0c9++NAvbZ2tT+8v6mRdelZqjDGPoW5lmsLk - UigVpnUe+jgFfYWh12TqxUrftyFa2QTsi/kwNLkmXYnQ4rCZtDM7MYm63O+9aWty0lqpQ73rzIte - +oKXveolrz+7fcedOy/a6k5Z3+XSO01r62y1boT/sL5vN/p7rekHb+GK1YY2tXKljkrhzxSmnPuJ - 7ra7Tr/wVadf279qvrT9vf783urClx/80oOPfe3Rc9/q66LFYn93v5XhxPZsqV6eEdHaECl3KRRN - LVSKuupmyjUpv8sZGdl6r65IlY9nM03jaXJ3m7SLyyf/7rH7/urBz/zsS39xp01iPkzNLd0sIpqK - X5U/B76PzB/p1/UnF+luAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - 4Fhm2veq6QLPCovjedYxum1m1SNz6NuyFrnXVE3psDs4aLt7dv79H/uj/XYxduJguSjdcbfbxtJu - uixMg2tYP1Rt8lTIBkmWKgpltXRXSMN4nW8XH+axyvDOJ1OXIiKKyzNn1S0s5s3lkzJ197qw6WF3 - Wztz5vSdL3/xq1/x0je98I7/aKecqTHLlU816fpJWSqHXjEUX7m10OTGTUeXbEO/WweXu3np/ORW - ObOjF5ypq5f9zNuGtywO2sXHzj341Yfu/8ZDf3Pu4mOHq/2orZ/2XTWbTFpGa82tbnez6CMzbZmp - yOzH8+FFLa6sc0ueCtuUxaWjeneYJLlJkllEKFc29TqU4dLyiT+/94+ef/quV2y/dbsrnj7bmu4e - 7G3tzNpgPvbB09drWKeZr9rs5orCLczMdLPUu0l3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAFfLTKPgjWdbjsFkC0lpIZnSZe7ppVhqaMM81axOlN7SWm17fn61vfeh - P33f4wePdLfXZQzzFt1UEbJ1t9s9fb12yUxKpSLk4ZJcqqawDF+/P6SQaZ3nbVHdq3tarIY2DHJX - V6VQW+bMZlvlTFvkMG9ndm5/8e0v+Zk3v/Xld73q9tvuaqsyLMqsP1WHE7mySZllP+RqkOe0Tmud - ZgxDa3a0oRvTqZ0dtaENWq08zNK7ic2Kba8uLKfTWWezrZ2dV731teXt7anz3/nG41+794F7Ht37 - 9u7+pZy06Np8mPcZMSw9TZEWWUsp3smGiBYhc7n88pC2SZ5u6WnrIrsUyvUpS3kLWZFSw6pNSp3O - itX25OEjH/jUv/0Xv/SiUztb7VLOD/LkqbP784POq/KqdPrV0e7NZiXpGW/GLeHoT16uPdcD+v+N - dDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABudVS6cd2khSRZC9nY - 1fYokpR9qq8eVqukvrWh2TBZtdPzz33105//xme627S7OqetQaF2XFp2T7dUHl3C4bIIV2oIl1Ke - 1aKWjJIhGySlqZmauaRQVA+zbC1Lscl2zcxhNZh8UqY2ryV23vTCN/7ca97xiue/dstOtcFNnXa9 - C7nXmhMbI+TDolops84ys8VqCKlIRfWGTkHb4WFfzM1KqV68pGVmK8qi4mFp29Po2v6QFnf5mTtf - +Mp/+NPvefLgsS899MUvfP0vH9p9wLeLpm2hhdXMFp5uysjMFpKKl5ZFktlKWp9UT4XCs0aW9SO1 - 8PUbMiOaOreu81y2jD6amlR3Dr99+LWP//X7f/mNv3bn5EWZ3e7ewdbOduvj6AR4bqLddmW9m1w3 - JLP1X8KbIdxNuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC3OKrd - uM7CQiYplS6Z5JbhFpFDqSXNVn00K5Pt2rbbN5/6uz+5532TO/Lpw6fqKWsWTSompXRFYDekdY85 - 5akYY94umcKlkrKUpDSFFKZmkjSdaLWQIiddUdRhtxWbnJnepqW/4gWvfMsrfvY1L3jj7eWu7nCr - HsyqtrKbDtEUUTu3zNb6lkORZWZ6i7SIbJGmUsqk1tq31dHAbjgpN5uEmYqvn8hVRGSmucXKzGxS - Ji2nrYWZbdXS9ud3xuTdr37Ru976nzx4/qt/8aWPf+mRv7o4nF/6vJWWpQ2emRFS5ypdzVXKIiXZ - +rMo7Kozq7jsQ6oU6yNby+JRiqWySYOpbA3nD56652/ufsNLXrtz6sT25I6pbS2WfWfd0WrW/9uN - ejpw7Rzlum+ObrdIdwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADA - dbPJMEfKN0XmkLVS1VYts7TwZRu6LR+6xZMH3/6zz35o386thnk9kd7loldXFU0uSa50SWOlWzZW - nyNt/YynLFUySoZpnalPqZnC1DwkDaFSVGvtfKs/sO229eIzL3vlXa9926t/7s7Zi07VM1p01ndd - mfm0G4ZQq9UnYcPQr1SGUqx6iRgihpDMitdaVDN91Q/z5XLSFb9hW8BhsjpNU2ZLNSnMw4q5q/W9 - d1XyZT+XavGuWonMyHJi67ZY9vPdS6+dvflNb/97337jw3/72P0fvf9Pz8UTu+3iqqxs4lIsB62G - ZSluOabcdXygTGHDWFoPW0fZxxfNrJTUoKFPc1mRioprf6ky1YGd/+BfvPeu97y0ZZ36mWLVtU62 - j6vV0YqUktKOq+BhLsmTsPet7uaod5PuBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAIDrKsf09ljXVrhC0SKipaVX66RZnjt89MsP3fvgk1/rJ4ssUSa2f9hPt9QG1WIZ - ZuGeLgspwkKmNKUftZlluZ5k2gSZva3D3jFGnUtfpprlslg/e+1dr3v7G97xmhe96WSe3W6n7LB6 - q8VnaWUYIhRmZhGWrbgXK0NG63tZupt7zcxMa63JZJZevdSquKEzwB7KlGRuZso+w1KKiOI1My2i - K25yqbVomWluq0WbRHeyu60MERf7u+pLT73kjp959dvue/Czn/nKpx48/8BieRiToVmfFlLkJp/d - TMcl7RLSSscHzyUpYzmP6dRq9aY2pCw0SC3VVXVFQ1k9fPFrH/7cH/76u3/z4MLe6e6O7Ifrebxw - g8pMM1sH3cdL3uyGTniT7gYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AMAtLSV3lxQRZmZmmWFmP3BB4MflOkppW0qD1GTh7qVOD4chJtqPvd148s8++4F+exGlSUM/aNop - m1zSkJbFc93/DtNR87l2GnrFoCIr3ikjsqnzFi2lYYhavOu65XxZUzv1ZF3NttvpV77wde944z96 - +fNeX+dbdXe6Mz3VVk3yVjVYC7U0hVkJFaXnug5eVaSSkkKKuGwHx59v5PCvJMlTMZ4iSTJpYmND - O8fHYQplSE1K91B6qkjqyyotZWEpj53t5c5sFe+4/Xlve9cvfuWx++/+wgcf2P1KnDrcjz2vylRK - EcqwruvMW983L5tzmuu5RZU0qUNGtGxyWXrITSoZbRlZbeWh7f6zD33y9Y+96bVn3tkvV10tw3Ll - 7mZmmx6zu8cV50vatORxayqlDMMgK6WUvu/NFJnSDfx3kHQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAFwnngqTpee6ijuM4evWWplM9+eL2ZmTu/Mn8sThn33iQ4uy - 28ryqPM9lqQ9dHlo+biSbZI0P9Bsoq1JjWZDn00KeVu2OlPfNNsubdH6vXaynrFVmext//2Xv/0t - r3zbq1/8ukm/o/3pTjlVSz24OJ9Op+Fh1lIhC5lkLpNbeI4DcKVLinXft1y+l+txWdzg+e5wSXJL - pcnGczYW0y2kIrls8Iyw8cyGsoQpPOPoQGVnWXwVzz9z58WDp1539s2v+bXXfu6BT3/03g8/1T+6 - pws+k1nxUjTxw8XB0HK2reMDZ2M7/Li07ZuXmivlSreMrerL1aJMrZ+uDvPSBz7zB6/41bfa3FNb - 5mbVLDIii5mZXdHtTqLduAmR7gYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAACA62dT75YkWSgzTK4SaVZ9Hod+sv31w/fd/9C9y9leWhsX8giTPMfs9yabbSGLy7vO21Xe - KzQMoczSTSfZlSGXh8t+54St9tsJ77bslF2YveUV73jnz7znpdsvmw7btldaWsrm/dxVfMcil5K6 - UFikZfNI9SZJHjaWuUPm0lH72SVtguQ2lrxDftXwbjimUMqlyE2QfHNGJI1PhymlUGmKdKWkLCWL - 5TqzndYmJyePXXhs6+Rspzt7cHH33S//lZ+96x0f++JHP/bAR+Ztr9dyPt9vfrC901mn+WolKcbD - nWOYXbKVLmu2bzaqkrIslrWqhfcHQ+Z08fClhz9+30d+5Wd/fdhddT5Ji7RMtVAWq60N7uO502ZD - N/A5wrMrM3/wm37ike4GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - gOtkTFt7elhIEWPjOj1kQ2TZ8gNd3O0f/9R9dy+n+6tu2TylsfPtsliXsVNpcRRbXveyJUsVdW0Y - 3OqkliFjGIaI1ZDt9M5kubc6XbZtr7z0tle/592/9tNnX7fdn90Zdry3PsPUvLjM3KyYZ+tLjCvM - VFhEWow7kBZKl1xqmx1ai+O09OaJG5qFUrIW6wcjPyobr8/HJuqd5s0kqYSbVMI9JYuwWPSH2ye2 - +qFvy35aTuSun8kX/Wdv+6evfMMb/uTzH/zbr993YmY2mewfXhpK1qkNmeNBDqmsQ+mSNhnvVJrC - wnMwTTw9hiiTrm/9MjSb5uy26Z/f9+E3v+Ytd01eZn03xMpV0lIRXmR2xVkDjtwc3W6R7gYAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACA6+6oae2SlJ5pWVarPJycXH3m - nrsfOv937XS/snbZmzaV7LEnvXl81O1WSqmhRSlTk7f0jKFY61JbstVTqztnt+0s7/iHb3n3O9/w - S9vtbLfY8lYO+v3ZbNaVqfeeg1WvHhqGvpQqDekphRSesqhp0TzCUut6d1VeGe+29Ztlsa5MZ7lm - x/A6yPRhUyh3pYe5Mo7r3Uc5b0Waxti2p1vKJU95SimXzDKizWpn3WyItlwu+1RZdj+1/ar/9j/+ - 7+99wSc+ee+Hz+9/5+T2yXnM+765dTIPMymVgyxk8pRJKYVqKlxhCs8wmYoi+0jNOrX0Cwe7p3fy - j//yP/w37/wfZpOdftlLUcokhshMWbn8EvIMSbHel6vi68ANiXQ3AAAA8P+xd69Pll3nfd9/z7PW - 3uec7ukBBhjcCIJX8QJJJBWKMCRTF9KyQl0jOomS2I4jl5zypVJWVSr/Sv6DvEwqVSm/cKVSiasi - S7YlRTRF0ZTBGyCaBAmAwNy6+5yz13qevNinewYDgrQIsGem5/upXT19Tu+zzz691+x+s2p9AQAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgLNgkqdi17relZIzB8nNS4+tj9tv - XXv+T7/0/06L60daR02P9PAwecZpJjstYtftnkPLbjkfS2lSsan1Nm0WYx1tyKn5ZnG5PvzBhz/6 - S8/85lMPftBv7C36MtexWCxWB+Px8eH2aBrLsvoY2+iZpZZQmdSoLQAAIABJREFUz5OIs2V1SWmW - RdalkPwNjeebEeswyXZta9cc+b5HmaQw8/SQ+60f+Wa0e+ZzDLuEzz+1kEx58muxdMuMljFNYdpb - 7pvZ8eZo2fft2D79kc+874mn/tm//F+//O0vLi/UaEdZlfNBLWRhks9HmnvoJ7l0k0zhirDoFiYN - 1bZH4bnJi5s/e+FPXvjO156+/KBXjx7VlV1dWcxvSb7H7uPsLtk9fb3wlmSmmTIz806fyltGuhsA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAzo6nwsKVYXOJuSqrmYdF - 1+G//pP/60b/Tq7Wk/d0Kyl5WCpMntrVledutzRXtC2ldJdCahZRt6FeqobqcRjj8YUnlu/5zDP/ - xUee+sRFe0SvlTFHRai06fDQjmxv3CuLoWe0CFt4Wm6jy+Y+tyyLy7vczCSVrjK/s0XotGAdN0vQ - syhn88v80UpXrlyylJtM4Tl/5FDqNHEdqpq77JJlyCIt0tXnSyx52mLcv3blaq3j/v7eZtpu10el - +GBWW92vDxy9ev2pgw/9nV/5h//35/7PP/jzf1EXyjwKX2tObKcU89u5UjKlt5BKuodcTa7mMldJ - 5VRLarE/XO2vHSztTz7/R088+55LBw+3lpLmiwi8mfNQ7ZZEuhsAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4vbjTJ4DzL00hS8m0yz9v2sb288XNN/7Vv//9ONg262Gy - sBLFFGmRpkzZSVf3tr6uKywljzKUtMyumuaboR4uf+pdP/PZX/y7D+WT4+Zi6SvvZhnmCuX+3spj - aK1NralKblNMoe7u8/EtXVLIJfP54e4HoXS3iF2J/OaJScrdh5q/3tP/odxy1yC3nNPXofSTDxWS - K93nHHaazTXvVEhpGRZpUno33Tg+2rtwYKmjo7VZLsdFKNq2mWpucqgrHerh/Sd//a/99qOXHv/n - /+b/uLp90ca2tTY30dMU6Z5VKVM7yYTPFyjCVIpaKkKesRoXh+ujcV/H5fDfPP+Hz3z8kxfLgbqH - hWSa88yWJxfILU8y8JanPXLgnsY4BgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAwP3OzNzdzG5mhi1et+3EPd4hxp1nGaWU9TasLsKHMEVs3TdeN9N47Z/96f/+6v53j+vU - Tda0ytXQFrXXcE1FrShcHvKobmMPmddaa7aIHl7DqyK7WuzHhb31oxdee+JvfeJ3/7u/+U8fak8u - pv2SLpuitqwtPMxsan2d21YiqsIisxdlMbeUpzxlCs9whavLevoU82Y9LMIipTCd7L7bTj7rOfj/ - Emn9ZIu0SCl16+dVWuw+qfW0CIv5FyKZZ7Eolmbpg1vvU4vJq1lR6xmRqotjszaObuPQFqvDCw8d - X3728Z//h7/2e4/kk/vxYOk1Q03S4N1b840kyUuoplyRFl1jZo0uSxWXlb6No2FUNPVxc/Xh7/xv - n/tftnZskZ4azKxHKda9dd9KsjTPYlk9q0465bgPzX8E3X273Zrd6bN5y0h3AwAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAK9n93pvGHevzGytlVpVfLvdZuZQi7xpuX7h - 1ee+/J0vxYU8jt5Tq7rMKTyl9JOQtiSFSenT1BeLVWttu92OYxkGC2m71ZCm676aDh7xJ//7//z3 - fu7pX16tH1r1B70vPD1MYa17xMkgD1M3zVHqmb8+xD3vdbrtCtYnHWtJqfMtbtl+8D55+gtJV7rv - ttt2O9lByqooaWZFtU51Ma0e0CNPju/9B5/9vcv1PePR/sXhonddvx7L/VGecyn8NKw8l8JDVel2 - eiVMkiyVruPFtW/c+Nqff/3f2hBj9T61YSib7XFapqV0eonv/VYzcAvS3QAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwRqzU1lot6WqZ3cwkm3K7WVz9/577w9euvOw9 - JZnJS4Rtt3Xq3mrXYtI4yUNpaiW8lmhdXYMU2TdThrQsdbl94MF87Mn99/6jv/NPn3r4PSu7YM2i - ydNPara7pm2ap9G3vbPCsimmrh4Wzabeu2txoTz6jr2n/8Fv/I8fvPRT+bLv5XB5365f2Zbi4du0 - licN8DSlt/StJKUsZLcWxlOD+Xpz/V9+4V9M++tNW9damzUNspSHSRGWYZnWpfDznmHHf4zM8zAO - uLUBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwBkppZhlKqK1sdRi - 3nubfP2Nw6/+6df+9fLCsF1PpXitZZq25r37Nkor4UNXDZWQpDC5+2azXVSrRa2pFJUcfb3nV/Y+ - 9o5n/v5n//HedHDgD/Uj1T4sy+LWbndIc7g5TOch0nsvs5iibzLTiqdZi8hJPg31+sE7hg/8zq/+ - k/dffHpxuFrEar9a24ZM4Qp5Zt2V10973VmVo7KeXlSTXKbav/LqXzz3yhe3fiSLqW/rMFiap1tK - irSQ4g3db9yPzke3W6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AODMZJoP1XLqbT2Wqsy0sL3446/8/svTXw4Ls5SZSRldMqUpJEsvvZZwT81R2j41l8ZF2U7yotVy - 2Q/rcnvpZ3/s07/9qd+51B876I/U49VBuVhjkU12EuRNU5qHKeVJ3/aOcsVQNJhJnjaojrJq6cNU - HrCL5bXxkeld/+g3/6cPPPif5Gvj0vaK74ZEqqZqytOUdvN4nsWzet68rJ7qvt2urv0/n/vnWvRN - rNMisnl6iSopLWRN1qWUknr3/ey0230O+t3c2gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAADgLKQ0TVMpJT0zs6SydQ1xpX/3j77yB3pgOtpeW441orcWY5FCspsN2pDm - Iq2nzGxc1B6thYppez3226VPPv03fvuX/t7y6OJBf3gvLvqmehvaelKk5y3H2B3ndMMdU9wtlZmt - Z2RRqdXllraeHvALw+HeZX/33/u1f/K+Sx/Jq2WYis8XMF2yMIVJmuvdcfq85DpJL0c0H+J4ceNL - 3/7CN648X/ctLHtLyzded6LdkG4JeN/TuK8BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAwFlypbu7usxyU298/mt/9PLxt/uibyPk6SnrKkWeql0e6hZTjVaimyxlqaFK - 1jdNi4VsKvvt0ic/8Dd++aO/MVzff7g+noe13chRy75p+/v77h4WJ23msPNQ5j0PLD0n9yyenpkR - EZlhkTmZbabjwweWD+rG8sF899/9lX/83otPH0wP1F5Ln1+dUuRJvVuSK0xhr+8up+SDjmOzXRz/ - 4Zd+fz0cWZGrSB5mOb92F/xWGPXu+9356HaLdDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAnBUvPvTee6qUwWWlxqFf+eMv/yvt2XGXrbSeei3uksJcKiFPpcXk0Vzd - Q5Kp9Zh6T+ta2XK5fvAnHv/4rz/zW4/XJy/kA3FsQx8uLC5UL7XWw6MbLbeyuKXejbtDenQ3DaVY - LTZLU1iUwerC1kfHoy3LevXI+NR/8zf//qV8YrG9UGJZUqZ4XYXdJIWs6yS/nfOWWk9Ng6Zh+sI3 - P//N698oY/Fd13j3Yku39NMEOHAOkO4GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADA/a6UYmYRYWaSMvMHvgT44WSmqYzD6ng9lVKab1+48tzXX/3ypDBTS2lQRLgruil9 - 9GLSJLWibuqpUKjIqiR5s/7a8icffeZvf+p3H2iX69HCJ69W3L1Fn/rUvdWlq0Ral6UsTekKz/nR - nf513PfchkxFtNTWtFVOPS3cj7JFlRbZYzuorjb7j9m7f+fX/oe99sgyDrTtg0VJmak32VzhtpBt - pXZroD2r0mSmKPFKe+lL3/7zzbSuVtMiLcIkuacrndjxfS4zzczdt9ut/7BjoZSikz+p8zOttbfr - DP9KGM0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcFaKTz2mbS6X - e5tYT8P6z57/43W5LslCYUpTmiSlueTT1DNlReZupVopXmQmubLpoFx+x/J9/9mzf/tg/ejieLXU - 0tOllDKsd4+wFtbTelhIcUvUOW7ZcGekKbyHpUmedhobDnk321o262HhGWOOl/yRJ1bv/Y2f+y/z - 2nB577JtwkOeGlySSYq5lWw3r2maMiVpLGW9Xm+Go88//7mtb6aYYtftlqVL5unz/sBb0XuX1FrL - zFrrer0ehiHiDtxkSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - wNkxs0zzUjY6OvSrn//65/o4eVqJqtfnk9MUJrncPaNkc3X1VAtNG10YluONi//Vp373vXs/cWH7 - 0MF4cbs+lkVYhLf0lt66Z/ddpFmSp3vKUjSa7wrW0jeyjSSLalE9XYq0CKvNa3MPi8gppzb28UF7 - 6Kff/9d/7ic/3b4bF/wgjlWymoboJ53iN1zXNNUq6y5pGrbPfesvXrrx4lTW4T0tPN3TLE92TXrH - 97vMzLn3/kNZLpen37fWlsvl8fGx+x0YVwxlAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAADgb0aKP4zioTG0zLTdffeXfv3L8HdVuqRLVU5LCbga8vUpFGRYt1WVmLnlo - DOna4lee+ezTj/2UHy73dNCncFdYpLe0CMuwlCJ3R3PJJZNsl7W1kMWd+kVAkpSybXpTulSkYjfj - 2Z5hSnd3M4vW+zZtU8f16td+9rfe+cD74nrZL/vWXGG3HO52LpnKej0tFkN629jxF57/XBvW4dt5 - gFieFOLnfal34y1Yr9dzqNvMJEXEarW6I2fCOAYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAACAM9J7l5QRrR/3/c0f/cUftLJNyVNzR1mpcHVXWEghKVPKLJa1WPUyqiz7 - YnHj4l9776d+4Sf/U10dlr6cYtq2TV2N80tOpVw5b+Zplm7pKZ1uuLPCFFKaK91TLpWcA9qpCEWY - leLVa7XirrLYrh7yx37rU//1anpovzysbbVUKbfUu3cRbkmylEJ96hFy92h9XNnnv/Ynh8OVXjau - LLvBkrmLuNM7vn/Nse3MzPzhbwzuHjHftXIcx9aaTm56Z4yhDAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAABnxErpvZfIcSzX8rtf/OafZU1ZmLJklHBJIXXTaYQ7QplR - XCpT9CnXVo9X71x+4DM//dnF+mBVDra9hTdflGma0m55szTJJLMsnlVZdxnv3amELIQ7J2TKUTlI - IeuybhmeXqIM5lVuGdF6pqWVtCKVfTvIa+W9j/zEp3/m17fXNfgqM3s0SSmFTq/v7iqXVDatVt76 - tsqU8a3rL7x4+HzaZAqTLBUWYaE59Y3721tMd0dErdXd3b21NgyDpFLK23eC/7FIdwMAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAWQiT15KZbua1PffNL17Pq/JUmClM - YSlLpZ1EtxWmqjnH7b1ltBa1Ly7m5c987Lcemh4/8Adba2s76osWRVNvltr1udMkt3TbRbuLZZGK - 5JLLIizDknr3nZSj+gXlStbkh7JjWbeopQ8lvFqv1jy3vU89tJVtu9tUVzrYXq0//4nPvOvxD1Yb - LXvmPEZc87W+JVvsKsVlNSNj0JhtauPRv/3qH6dPnvKULNMid8OA3vF96q3kum/TWouIzDw+Pjaz - t+uwf1UMZQAAAAAAAAAAAAAAANzVUpJCu3k7VarS6Xy+k9kvtptN2I35MAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAALh7eSr6JIU8D9vxv3vhC2U/wnrvU1pLiznSrZSlJJmUaZmplEwyVdMD - 5ZEnV+//xPv++uXFO46vr4daF8t6vDn0UlQ85bZ7r1v7zafetkAv3jqXpKp0KaXQ6QCQT9NkkcXl - LncvpXgp5jUzc5uXhkvjeu9Xn/3N4WhlUUvZDRjfXd7w3BXZI7uZNus0SdG7TbGc/vyrf9a9hbXw - rbTbM01Jxx1vOeNda52/DsPwthzwh8NSxQAAAAAAAAAAAAAAALh75TyL0CRNyhZyaZCFS5Yu2eme - IU3uzS2ZEgMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP7q3D0izExSZrqzwiF+JExaKErN - Q+ttb/jKN587nF5L39Qh06J7NFc3r73WVj2VJrN0V61qTSmNNmxfrn/rU//tcrqgTY6lqjeb+qoM - 2zapeNjNOrfnvIUUsp7W0yItpFC6p3maktF+B4XbsdskWWqMHEPWPbpPVi1MPUwqnp7RFJPZFGO2 - mJZbv3Bj9dEHP/7su39xZQ9st3JTzUx1WUSPYazTpDRFUXdV9yLvZRvDdGzrV45eefHqd/rYt3as - oUezmou0SOthP/ikcf64e2b23kspvfe30tpurZ1+nc1/W88Y9zUAAAAAAAAAAAAAAADc1VIKSQop - LXU6ky91cwpg2u4ZAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4O4WysiMqbRvXfnWUbth - VSmlKUxp6h4pt/SSPi+4GRGStk3LUbnVnl189id/7rGDd3osPKskWZSU7dbtfLNEbrx+kySl0+2+ - 00JqUlO6sqRKyue8elqkbl6j0wR7qJdhqKp7ubTr9Zee+ZVVP7g47qupR5rlMJRMTVMbBpnt1m71 - dMnT1EuEt1b6N779QvNt1BYWZT72LrEc3+98ca7dkcb2jwi3NgAAAAAAAAAAAAAAANzVYm5ym8vM - UoooIUnd1f1kWqEkqYZqvOncQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAu4JHKTBvb - 1/7y3x1vjkpRhDJTUpgsZbcEtsNkZtOkcVTbatm17Bc++dO/MNpCabvGcrrSJLM0UtznXm82DKvt - dtt6Hxbjo5ce/ZkP/Xw93BttlJSZ7jVSLVRryZMMt6Vb+rzWq0lSe+7rX9zaWlaUrmKZaXmuys34 - 4WTmfDu613ErBAAAAAAAAAAAAAAAwF3Nd19un7MVJ8Xum3umSqZufxoAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAC4q9TuYcP2q9/4i8wp09ykPFl+0ySFLHZh7pTkZio+qGmxvfD+R59+6uF3 - xSbS/PRFYS65ZSW8fN65e+0tW6SK3H19bfr5j/7yJXuHx1CKMnKaJitVVkzqfbeuq6ckpc0DLWTT - Cy999Ua/Is+eaWbmklwhksf3p8w8DbeT7gYAAAAAAAAAAAAAAADOgskkl3m65CG5vufUHSPaDQAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgLtaytNKZG7s+re++xUfLXq6V6medrh39W7Ni216 - m2IxLo6Pp73hYDU99OmP/mpdj0tfSeqnL0mfN0v381DdxZsahnG7ncblogzD4eHhwfjgZX/nz/7Y - L+ZRsZS7pmlb6ug2ttZd8pSnLKX0lIdJpvDp6vTSS9dfjJItFOrpaSlLMX7uZ2aWmaS7AQAAAAAA - AAAAAAAAgB8tk4rkKaVLai55hEnzlK837H8eZvQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AADgXAv3XtrL1164sf2u18yUqczLb6btQssuyRTmSnd3yTOV2/rhJz76Y4/8eB7ZYGPK0xQWskhT - 2ryfSNaeY56aNttaa5pt2zTWxZiL8cbesx/+hYPysCYvxc0kWWb2rrFIkqUkuRS2C3Onb6fx6Osv - Pdc85lFklhbpwQqv96nMNLPT789BvJv7IAAAAAAAAAAAAAAAAO5qlvKQUkpvFqq9myxVQiXSZJY+ - zyYMU3ik3ekzBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAN5EmFLerD3/7eemeq1pMvMI - RUScrKt52/qa7h5TW9SxbIdnf/wX6uHeoi3V5rJu7LLMuG/07bQcxk20beurxV67tt3P/cdXT3zs - fZ8Y2gWLzKKIbmZFsnl919cPKpNkkYvNl7/5peZNZmkqMmUWFZZ3vZ+d1ru/58N7C+luAAAAAAAA - AAAAAAAA3MXylk0yNam5QpLpdDKh7GQHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4C4X - 6t3bi1eej3Hd+6aUEhGZllLa7d3uNEU2N/PJHlpd/uATPz5sFguNRWY6rX1nWISF5RzyjjP/TDgz - MVSLnNJtXK6mo82qrnyysq0//cFn9/Jib5apHlNxlaK4bSzkLmecplbX33ztPzRvKpJCFhas8Qpl - ZuZ5GAmkuwEAAAAAAAAAAAAAAHDXy1RILZZKbTbD7ZNeTJKlPOW72YEAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAB/NbXWzDSzzHT3uD14C7xtwtIX/pVvfbmVdRmtteayUsrpDqcLbOZJx7ua - l2n8+IefWfa9oS8sa5+aJFfots0YuueZSdWjtW1Y9FC1UU1u4V3vefgDTxy822Nw195Yps2xpN1Q - SqXtbmqWLilMW8vDfu3FKy9OZZuWvfdSyvloNuOHYGa9d3eX1Hs3k6R7ejyQ7gYAAAAAAAAAAAAA - AMBdzBTRJcklk47XalqYmc/zXnYTAVN+Oo8QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - uGulRXq7MV076oeTZShLMUmZmfKQLOWpW9faNMvY5rItf+yxD638wGLIlrVWy7BMSXG6t4Uk1uk8 - 10KWpi4p5BbuKVkzadku/NT7nh1ylU1t2o6D0i1dKYUppbQ4jRmnKV2TTa9e+06UFtEkmdk9nWoG - bkW6GwAAAAAAAAAAAAAAAHc1q0WDbVuohbb5P3/y0zraZESa5lx3St3VTZKMmV0AAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAC4q0VYf/XGKzemG83VQqUUWQuLMFdKc3v7lmU2i7k1f3z/qfc9 - 8kFv1czSTW4umeTznpZSnGw430IWknuapUlK61LWtvjI+z++rwdrNw+ZqbWc88VpijnrnioppcJk - rpb9G6+8kMPUrZvKnf1UuEucm3w76W4AAAAAAAAAAAAAAADcvVLq6l3S4OpdR9O7h9VerWnzFEJJ - Cnk36+Zh3+9QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA358ZixviDGT69rWrL69jG0U9 - Za5dcjt9V5udy7m7JndIqlmffufH9nRp2nRz99G3vVnO3W7f9bvtnAR38YOEpBIyKc3DlDY/Mzy8 - evSJg6eW/WAx1B5qoZTmVVu7Kywsw05GV5dC+eIrf6lhykyFhSmdYXRfm7vdmeeh3026GwAAAAAA - AAAAAAAAAHezSEVXKy5Z0RTj9cN+fDylxGxAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADw - 9qHbjbORFunTy1de6q4s6lJEk8nM0pRyz9MW964861Jt448/9TE/XErerLdikenpJYplUZZbluqk - V3vuudJLegnvHs3VzVPmXr0NH3rnR4dpr2qM1DhoDjDPee/0KKkSu6NYd0mv3nhpkzes7A4r7oQ4 - L7gVAgAAAAAAAAAAAAAA4K5mkhQKabvVZnp4sVzUodSbO7jc0pnSBQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAgHtEe/XqK2nWTe7qPSXJYo50n+zjJ+XZyLDlsP/EA+8e2moclhu1bW8aiqUs - XVmV86uMWO25l6aQe1oJWSqlXbrbPDOz29NPfWScDtbHPV1lqK0ppZS6Ky1cMQ+RlDKKmV9fX7l2 - fMXdJQvlD3h73Acyz8kw4G4IAAAAAAAAAAAAAACAu5pJRVZMKkWuw+tXtzFt+8nPJJOGUAmTlBS8 - AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcDezCO9Xr77WzXrIiiLkrsyuNKUpZSmlK0/K - s5lPPPLkvl9caC9NUXJSyIrklq4sN6Pdt74K51J6mknuYSXVfW5ym9J7tiJ77OKTl5aPZXcztdbN - JM3DasfmlLfcrCp929ZXb3zX3SV1ZVe/U58Md4/zUe/mVggAAAAAAAAAAAAAAIC7Wih8bnS3rTzK - WNx9HCzsNNQdpvBMPw/zeQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHCehUUvm+vTFUW3 - LjeTZKZMmdLUZeqmOFl4s4TXtnjXI+8xG+Rlu23ViyuVXQop8mSVTmNxzvvGvDRrWkhdCktZuqUP - vrdvFx+/+MSyrtxtu806ltteKoUkpZtZeq7t6LXNq+khM0/lyZKvwL2OdDcAAAAAAAAAAAAAAADu - XiavWpiqTFpUFU2WRaaWSoWUSqmndVmICYIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAt - MLPv8xB4W6T1I7/20vX/sPQypvcpVW3T5V5qbmtuw9Rd3aOXZqmhjYuj1Qce/3AreRiHq+WeNjH0 - NtrUy9RKC+uSlC65LOZVOnGOWXrKm2vylLWaU81eQzVobJ8PAAAgAElEQVRXuak+jR9614dLN/Uc - V956l2SpkrL0eXTNMluz42mxefn4O0dxXTVdpWYheXw/M7Na63a71b3/R5BxDAAAAAAAAAAAAAAA - gLvazek5FjLv5pL8dYnu3XRAmycIAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHer8HYU - 15sdW/YSbqY0k7nSS8a8tmaawmNedbOEreLCweohL+oeGVFlg0nRpOieaSGl7VbsDCny+54Azofu - Cpepu1oJeVp291zUGC8/cFlTupQtzCSTSZZuqZzHylx5l6JEt+n65mqUHtEyU3JjAOFcYKliAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgj6/W6RXRlV5/rsmb2uj1e - 10725bh68OBBT3eViHB3M4uIMzxl3Asii5mnHnvkcVf1tOy6ObLSla9rGZ+OuitXrrj7PKJuH4q4 - z2Tm6dd7HeluAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgLKR0e - H6V62q69PVdyb6vMWkpyT0m6sHdwYTzIpiLLzIiU3FTmHUySQoqguXw/8tOxMwfdM+3ixQf3FgeK - YinP20rwN80DJiyvXbtWSskTZ3XmuLucVtvPzRgg3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAZ+RocySzdJOnXHMvOSIk7VrL0ml21tMfvvhI1aDJilVXiYjMPOns - 3trrjjP9GLhj4nXXOk+Ginv2sLTBxoceuJzNBy82x92/V4t514x3Pzy8bpbzdgZnD5wN0t0AAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcEbW63V4pEWYJGXqpMO9s4ty - pyS39IcPLlurlsXCiswiLWVWPG9P06YJ95fdJXfLk1BxWDS7fOnxEqVmeZOee5x+NbPNZhMRZmZm - mT2NBvz9bs663+tIdwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADA - GdlMm7Sc87hmFqa5mnxzj1uyuZb2wMHD3uqirrJnZpqZW4kIpSldkilOYsxKerX3i9NR4kqTPLOP - dfB0NXvw4FLVQmH+/RPMFrLYts22bdNSnrdV5HG/yczz0e0W6W4AAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOBtp0WJKKU0yhaX0hnT36/j+eMF7XfiQXdnD3d09QmFu - 0s02s+Vc8sb9xKXd0MkedXBJlr4cDoqN2WWS76ruSpOk2A20kEXPzMzee2tNkpnJ4o3vAdyLuBsC - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgfldKyUxJmZmZ7j4/BN52 - rTXzjGjmilApJikivmd429Mf2H8ot+pT1FpLKWHZotdaJc0v8ZQsJYUpjF7t/SnMc5o27jWzPHTp - kT5ltVL0Zkl4SfKi3qdxrEdHN0q1lo273n0rM83MzNx9s9nc6dN5G3ArBAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIAzEhExf2fK1JtF4tMkhaSxLqqNrpKZoS5FZkYn - sgxJrvR5KKR1SZYyleoLtyopM98Y785bngnliS5LWZzRiQM/YqS7AQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCMZPZUNzOz+aEyc/fge1nUVbGaYXNcOU1hCpPSJVlK - FnPkO9/0GDhXTJqvuNIll1yWUmR2ycx8GBbuo6UUsu8VeU87HS3R1Hq2EDF47ELud/os3h6kuwEA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgjNws475Javv06TBJKmUw - GyIiM6UwS7lupr4tLOXzIdNzDjnj/PI3CSubp2weWz6MK1O5tcL8vQLeMY+0iIiYs99hNpfggXse - 90EAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAODOu9Lm9PZeVLWUq - b9gtZAppLGORS8qMsAiLrszXpZjfJOaM889eXygOWWZmrdXM0t6QL359LN52oyhTXVLarhaP+9zN - 5Pu9jHQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJwRdy9mmXna - xzUrmXlraDYt0nZFbvdqt0hFZu/KfENlmezy/eZ0DKQpPdMipMwsPmQqM+0HjQkz5QkpftQnjLvf - +eh2i3Q3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJyZUoq7SzLJ - TLfFlePWhyZJEZFhsjDPtEi72Ve+We+2oLt8f4rdMAjtUu9zsdt6RmZ4+X6vNTMzmVlYJKFjnC/1 - Tp8AAAAAAAAAAAAAAAAAcO9J+fyPFKbvPa1snqpm3/NnAAAAAAAAAAAA/z979/Yk23ne9/33PO+7 - unsOe28cCBAQTyEpkIJoWbYl0omi2LIUJ0qqUvFlLnydvyRXjl1lXziM5LJluhyrbCuSHbok0oeI - oiSQtAjSEiVCIEGCIECAADb2aQ49vdb7Po8vumf2bJxE0MDewMz3U6tm9/Ss7l69+tmrb1atLwAA - AAAAAAAAAAAAAAAAAAAAAAAAAM4rd1d6mCLlkqdJIcuueLVrWXqLaBYWlhZu1iWzYvL15S/XJe9Q - leQpiYb3GRemXF/1NGWZsujWZaEsluZqmc3M1C2qmR333W0ThfdUN0nKNMnDQ9bWa1i6pUTE+xzL - TMky805vyJuAOQYAAAAAAAAAAAAAAADemJSHWapKUkh56+mIKclTHsd/BAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAb3MmmW2ayesbJ78Cby5Ln9V5a72bR1GxklNa9szWi/qmx+3HK0syuWem - u0p2qVlGpMyGdWg5pG4WGpRDySjZmN2zrZvCwtNLqqRcrZVV895bHXLLc1wMfTw8MCvms9YVcsml - kIWlLGWb0ZpHl7ms9CIbbO4xqJc7/f5wZ6xz3aUUMxvH8eSedy7S3QAAAAAAAAAAAAAAAMAbkKYw - KY9PvLGQpNMnJNqm451S3tL0BgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA7wDv9Fgp3v5m - dVasyixSmenpLjNX2qbbvekrp9a/T62lhbJL8k1X3jOOL4hp64n1lFuGM8BnWlikKU2SLOUpKcOj - u6rN1M0UkVPvU0RLU5hvrqRq65VPs3XdOLLVWhUmuVkheXyenaVvQOYYAAAAAAAAAAAAAAAA+EGl - qbvSwhWmkIVMJyer6eS0opRpswAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgHeizDxLBVO8 - rWzPty3XQ3YzlGvahLvtFXO3Go/SMpTahHVdpwq7r+gx45yI4xumdEtzWfZI1YiY2lGqnVpHKcWt - F0tdT1qmouV8WHDEO+fMbs7H2RgG0t0AAAAAAAAAAAAAAADAGxCnTzIzpdQVXadK3blZXHLq3QAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADjF0hfDlqd7mqUsZfY6nXhP6eBoT2X9i2VXkb0i - 730WOrv4IVjK0j0Gj2pmmaG0tHI47oetXv+xmeEy61L6bDZbD+HpfjPOm/UMnI1ut0h3AwAAAAAA - AAAAAAAAAD+UXFe8pQypS03KW9PdnGUGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAV9qe - 7yiKIotJSjMLZX/t/Pbe0Y0o3cwktzBLNysu6dbrX1oq7fgKmTijfJPr1vHFUU1ZpSKVzC63tCHd - 9w+vmTcpLG4ZiNMTsvk3NStbtc5u7/sA3nKkuwEAAAAAAAAAAAAAAIA3wE+fc3Pc506pS3F852YB - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADvEEbtGLePb8+2a9aisv7d7PUuZJmW1w+uhY1h - 6e6Z6WlFZpupdckszTJksX7AW/0GcCela319VAttPm2zqJaemV7UXWG6sn9ZpcnSXu/oFp5uWbZm - O8WqJDPL5LKq511mno0xIN0NAAAAAAAAAAAAAAAA/KAsVVKWivUpZyGlXCGpS/1kveMzi0J+Fk4y - AgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwJvEU7tbF4tmruKylMws7GZx21Oem4tfrjvN - Vw9eHHWYFu5uJ395tbbu6efBWXUzSGwhyVOWxdIVzapF5qT+0o0Xuo9SuN/aLz6eGksVS0uVqNuz - ixZmpLvxGgeWdyjS3QAAAAAAAAAAAAAAAMAbYHnrOTcpk15+RqJJprNzkhEAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAADeJJa+XXdnNhtULeUpWUoyK8erxOn1w2JvdX20VVqYFcktXYqT1dZX - y7Tje4KrYp4XKYWU67670jPTXaHere8f7YVPXd3MbnmA3RwvSxUzi2E+bCnScz2JwBlBuhsAAAAA - AAAAAAAAAAB4A8wUoWpezZUpU4uQskqb0xtvSXnHazwNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAB4GzmJ25qZmWWuU8r2eo8BfkiWk91/6QGFYspa9SrzdupmWnzv8lNlKzToxv7+rM7NbLVa - lVJe8cxKZvYcsPSXNbY93SUf6tF45Asf8+i5l54J7+5qbfRXu0Sqpyy7Ii18e37JvWTmehRxbq2P - Qu4+juOd3pY3AeluAAAAAAAAAAAAAAAA4A1ooVqktOVyJVNEr16LbHaS7vab9W6/5URHAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAnHeerl4ubl30XmpRprokKdKUt6ZmTZK6x97q6t50tWua - z+e9996zlNJ1OrOcerU8M862TandTn30Ras43FtdG3NsqbR42fppLrmOR8fSPIcLW3eVLGaZmWbU - u3FGkO4GAAAAAAAAAAAAAAAAflBpsmJjV8tYbO+qlii1R6qnmhSSqUvpkp30uwEAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAIBj6d78XRfvV9iszBTKlJnlqRK35c3rWqb3Zew/d/3ZKVdl8J6R - mXXwiBaW63iz5TpSG0pPerVnXnrKwxSWYXFLuN2z+dGzLz29ijGKwjP95Snu4+C3LLPIPIe7Lt4r - uZtFhDvzgzOCUQYAAAAAAAAAAAAAAADegMxMqW7v7meX1KRqpbpJUiqPT1V7+SlpAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAgGSSR333PQ9oksLWwe40ZWaYK91uWTuk0KI/9f1v9dJXMZpZ - KcXdQ/3OvAG8rViEhaxLERE9W9nK7zz7RFP3Iknmuhn2TlducsaWcpMis/ndF+9R2Mv68Ti3MvNs - TALpbgAAAAAAAAAAAAAAAOAHZak6bB1IL47LcTHIbJC7pJR8czKOHde7AQAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAgJdL96z3XLrf03vvmXLXy6vJp/LdYb3Ppiefe8JmCoVXk6JlT1Pa+hqY - vn6AS2EKo1d7HnjKUkpJFrImazLrCtXpu9//dpq81n7qGql5MlQn9W6TIrLr4s7divR8xRzi/DlL - A8ChEAAAAAAAAAAAAAAAAPjBeVsdbW9t7V2oL9WmCO9SKFbRXc1kUpG0PmtNL7sFAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACA887SXPXui/fO6jy7zGSmzDQrr1hVUqTFGKvvv/TsURtV3Mxa - a713d5M2ZeZNxlkiVnsO+KlP2WQhhZRSmpk8r+w9/9K157JayCMUp+rdYacC3sdqme/uXjLzzCTd - jbOEoyEAAAAAAAAAAAAAAADwBtTZ1ovL5bVLs7/x27+lOli6unzwlbSSlFLXK85AAwAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAADY8fHf7wvbWriR3SYoI91s6sy5ZKk1hajbdOLr+/Re/l9k3 - ZWXPrrw1w0xx+bxIU8hTnqaQ0lIKKbqylPLkU99cTvtWvPWUy0ySPOOVz2OpYr6z2NndvmBmvfdS - XtGPx/mTmWej4E66GwAAAAAAAAAAAAAAAHgDpvHwwt0Xr0TKXV40jpIUp09OdNepejcdbwAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJxiYVt1a2u+U3JWVK1bdJmlZ5iOE8upTaPbwmY+5fjM - C99Z5cGkyYoPZWYhSWlSVsnTJGUJlXCStWdaSCELkyzdsyqLLMKixdRrf/K5JyZfqkTLcFcpm2Gw - lCtOZiNNzaQ63x0uXSyXBs0yzTzTQnqVzjfOPLOzdiFdjoMAAAAAAAAAAAAAAADADy6qfBybYku+ - K3dtzVSkqrk0rFdxWapIqdM9bwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8PblbmaWmZmp - 44Lp2euY4u3A04du7WB86IM/ln2mtp1tPqtb0bosZPKUh+L44pZpserNt/3rTzyq2UGUqZtqVuu2 - rjinhq6he4S1El67GdfEPNPC2lCyL1dzzS22PBcZlmq9rJba+9p3Ho3F6mi6MZTMLusuVclLqoYs - JblMYRoHO+rlg/f+6PxgXlZ1XudjO+reZaS7zyMziwh3r7WO43inN+dNQLobAAAAAAAAAAAAAAAA - eENCknJQzmQmk1wylXW6e31K7XG1m7PMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB451pn - vIE3l0kuq1nvvXi/xVw5ZBQLmacdX9tScp2aPq8a4/DJ5x+/Oj7fSk+3g8OjWZmtVw6LsPVjcA5Y - 9N4lLYaZhdQkueQ+c21Pf/Tko0s7nMo0zMyUlopQSGGy1M2meyqlbp5h9+2+e9G3StQIrQeJS6ri - bHz9cUgEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgNvFzay85973 - z3JhGSo9rJuZJKVCHnJJllrHvK3LBl23q//pqT8cbbTiPnjLKOGmSF+mH5VwiyE9Wulpf8br4x3N - 12Vln7WMtKV5i25NOc1ufPkbvzv5FNJQqmWmqVk7mYc0yZqsaT1dGZZ64P4Hig1mltmrlU1cHufP - Sa77bHS7xRwDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAkjbtZOAt - ZmaKcv+lBy/WCy7JplCXYv3XNK1by7bpKytDodXsnvrlb3xpL66Nthq2hoiw9JJKn9KbpXnWbuoe - J0+FMyi9lNKmiFREeElXa5YrrZ7Z+/ZTV74xlp6SokeTXHKlRZqOa8zr8XDP6k3bZfaue94dx/Ni - ZgrzMxJuxhu2/hLMzLNR7ybdDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAC3Q0phbt0v6O4HL71XEek9vYfSUpK6eTfJJMlTnlFdqx7Lsnx6/+lvvfjNaThatXHwwVNS - mLqpe1Zl6aYwBQ36M83dMzNM5m4ZLaeyKG02/cE3H7nul6dhldWidYXSFUWyTa471nNl8nSLWlu5 - Z+euC4vdnHLdbDaVs9Fsxn+h9Risp+Kdi3Q3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAzrvTjVLitXhLZaZrGMb5Qw98tMpcOl3ITVMed7stZVJKw1wH7WBVjv7gm49M - W/uhKaKt1ylhJUxSmNJCFnfmXeF2yTCzMngp7pm9a7Stdnn1/Ue/+eXVsFzZUiUyVU1mytyk3F8W - dPe00up773v/rMwy0+SSWzq9Y5yZb0BGGQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAOfa6W438FbrEdUHOxo+8r4fGzQo5aZMeUqpkN+sLFtIypSZai0xm77+/FeeePGP - NWsRLSwkr31W+yzk3c5IcBevryvdPSM8M83Dcz8vP/qNR148uNxKRpnSp5TcVUy2nitJ2jTdLdd3 - +jy2PvTAh0u6m0nee2ZaNXrH59f6qzAzz0a9m1EGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAgNskIgab+Ti8954PzDUvIUuZpNS6NptSmqRNdHmo2tvTMAxp7VBXvvCn - nxt9z+aZ3iQvfbAY0hQepvBMPwvVXbwmsyIpVmNGs2oxm16avvcHj/1u3SpW3F1h6lJPKTYjlLaZ - qBMlfB47D1x8b83iLsmjW2au483AGUC6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAOdaKSUiTn5194ggYYu3yDDMx7ENWsxz+333fWgWg7pKkeQpT5MsTq/fmrYW6pMi - W85Xf/TEl75z/fFx2BsWs7aKYnPl0CJKKTm1msztGbc+OhWXuzTP6/3ql77+uZeWz3b17FLKclMt - 9iZPKZQpM23ublKPwWYXyr0fuO/DmrJ6yTDzWms9fSTEuZKZ6xullGma7uzGvClIdwMAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAbRIRxapk1RYffd/DsSxzeZ8Ucsml - kDb55DAp3eRmxSLds2mV86Pf/spvHQ7Xru69OFvMx+g9w2tR9mh9Pgy0u8+wMMmzZSuDR21Xp5eu - xItffOz3cntMC0+3TX9ZabJ0D9l6IGxzbynFzdT8A+96aNYvlPRUz5R7zcyT2QPe6Uh3AwAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDtEunumWlRHv6v/tJ2vzTLhXdJ - niZZmLQOMKeU5qZBWTN7cXmq+fjok4987blHfUfd+1R7zlS9HOwd3n3x0tHh8g6/O7zF3H3qoy20 - l9fzwuFnv/ivr/erR7mUTabwkKXyuN9u6S5bj5NJ6io2Uy/e64994CcXfbdEsciudPeIJiPdfd5l - Zmb+2eu97ZHuBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIDbxKxE - REp9yvt33vv+ex7KI82KpTzkluHH2dwwD3mmZY+wSGvuGvtR7Iy//dXPHpTrN/pLthMrLcfp6O7d - i0eHS6NXe9ZFtDrzq+MVvzv+4xO/90dPfTm3o3sLa1JIrlfNLqeUUpinR9jMdz784EdKm3sOkmdm - evZsZqLefZ6djWj3GodCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - ALgdTCqyiPCZ9ZCPW3/+w5/QoXlUySS5ZJKnwpTrJVOSl2iKLoV5vVC/+cLj//arn857V1fbixqi - KItZiyzD7E6/RbyFPGWpbpMu5uOX/+TffuXfjNsHh31PM6W3tLZey1LSen5CliZZmlnJzOxpvb7r - 0oN37dzn4+A5C3lKaZHqKvSOcUYwygAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAABwm2RmZvdq7q7l/KPv+3O7s4uaMs3TZFLJdcVbKU+TPN2logi1Lh/qwdGBLdrvP/Yf - /vj7j/pOqPY62P6N67u7F1dTzzv9BvGWcq9ZbVn2futLn748fe+wXPeFWihM4QqT0i1lUliEK1Nm - ipBHlZSZQ9n94Ps+utDCmklVWcwsFXGn3xreDjIz8ywcRUh3AwAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBtYil3H2NKK0Nu33fhR97/4AfMTNKmnbzudpvCFJJZhk2Z - kiuKmiyj2Mz3F1f/39/5Zwft6jgejdPh1s7iYLmUF5K1Z1u2PvWjf/+lz37rpW8sZ0cxkzwkpa2X - kORZlR6ucMlUpIxiVqpLkVvzix/58I9nl6t4DBZFxbq6uTL7nX5/wJuD4yAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3A4pdQ8r6tPokVu+sxW777vvQ3Nte8gVaQop - JAt5yhVWFKFMlSIvZTWtdi9s761uHNWD51fPfPpzvx47q1hMy1ymyd1j3fy29Qs6Bdvb7NX2/6t/ - CmEuuaWbZPnyFY6fJ9aLLEzq3pdb1x+78p9++w8/u9+vRJ28ahxV66lHWpx+Hk9JCmWY0rOoXPC7 - P/iuj/hkxUxSV5dnqJuZKHefV2Z2pzfhTcaBDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAOeamWXm+vb6hruf3AO8icK0sjZaWww+71GOeh3nn/jYL/jRji3HC4syho5S - Qx2ymbeYeY3e3WVSNin7MNP+eL1uSzbGcPjoU7/7O3/6m1f8+WmxsmrFa5ir1KmFSi1liFApQ2Ye - F52Pc9H5mklp/NDClBZpkbYpc5/s7dP7PMzDPOUh9/QS7ps/rZvfHuaRlm69KoYccxmW1epU9782 - fuEffeHvXJ09a9tTTH2wqlB2WW4WKcJCkqU8lKOKuddyMC3LUGy0j3/gv9neuzSLrcwePmVpk5rM - PM3lekVEHOdBZmammbn7arXS8bfhOxdzDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAC3Q5pUvEVYWAkvmbXXXb3r4aPQ6i0AACAASURBVPf8hUvz3aP9ZSmaz20c26zO - FdmnlbTOMB9HoKU0pSmLuo19e/mvf/fXvn31ib7T9uPash9IERFbWzvRdXBwsH64pOMkc+j4GfAW - uWXfpknHv1tIJ8tGmNIUFnbqTklm1qeY11lrbVjMbZF7eeWF9t3feOSfvRDP1Es9Ss9UTrKukqaU - bqaW1y/hHlrMfJqia9ransek2hY//dAnhtXc09ehcUmesiTajTOFaQYAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA2MvPkJ/Cms1S1al2umqHuratf9Lt++qM/k23oTT6p - du8tUyrFTGkpS0nylJ/KM9eZLVs/iAPftV/9N//Pt198fNpdxtY4eOay9aPJpYsXt2dzPzjYc6+b - lK2FrEkRFmEtrN2xfXFmuaXbySHEMi3TYtPttpCFK6QwtbRoHpNH+iR1U3gqTJI8cl7q8srRtnaO - luNeXn9h/tSn/sMnv/3s414UEeM4um8OVmamU4nw41cOSWOEFbXI3vtsuvChd3/s/gsPzKyERbdQ - Fsvi6SUlEXTH2fn6I90NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - SOv8LfAWs0hLNw1p3qyZ5aLvPPTAj18sd1+Y7fqkWMUwzFdtGmbFXb7pdrvkkq/r3WlarnLnrlkM - /cj3x9nhP/z1X3ph/O44v7Ec9+aDLeY1czpaHUramm+f9L/Dsnvv3tO67Iw0et8+PGXptvmwJMWt - S54srvB1vdtbeA9TWqzL2Z4q4XOf5Rh3X7x0OO3bzrQ/u/Irn/nkN67+yfxSnc/n4zhmZq01ovtr - N4rD1EPh8iLv5kc7f/nhv6LDLCppmSZltZiVcEuFqXMIxFmpd5PuBgAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwLlGsRu3jafUo8jMSk+TVFR8Gi6Ve3/qIz8zm3YXtnAV - 9xoRPaeekq2D0DrOb7skpeRatjFn/cgP93Rl3Nr/1G/+g29e/uP5JY867k+XNayia1zmVl1Yk0th - ClP36GWVPnpaiXpnd8iZ454ny3qHR1gL72mZtml4m8IyLdOVUg+LZtbcw9LUa0Tt1g81G7b2+o24 - ++hy/c7//a/+1lPLJ/qFadLU2uiuxWImqTWpqFtsXt+kW49nPi9TV3HNNdzlDz784E/t6EKOTdJ6 - AD1LCSvh63Z4cDg8l171e/Ad/eVIuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAB4ZzdK8U4SWcwjwswks/TelGP9rx/+K7ODnaHPBx9aG+vcxq7Imw+TJPnpIm1rGjO0 - iFgc3dALL45P/6vP/4uvv/DVaeew1WWL8eKFnUG+PFi6e5w8SbqnWbql8JbIk4NJSKHNjj6ua8uV - Lsm1zrG75/ozXT/KLN2VGnqfra6VF55efuOXf+PvPrn3p3t6qQ9Ti1Vr3cwye2utVg2z2tprbshR - 67OtmqPswH7qw5+4oHuGrHX9gum2WSQLSWF5ajtx7mRm5hk5LpDuBgAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwHlHtxu3jReZ2dRHr6WoRGR6Wth7tj7459/ziTLNI5TZ - zUImFUnHTedjli6pNQ2DhkHL1aSt6PPpelx+av8b//R3fvlPrny5XCoRsTo4mLlZse7qHmkyRQ2v - bae2HUmy12g+44dlefrjutntDvOwdXndJPM0C/MsJYqHlZSlPAblLFVaaXlh+Xw+8XT/+i99+u8+ - Mz2VO73Pph6rYSilKDPGsafLZ957f60DWJrGlLnPuy7prk88/LPDtJhWY63VtK6Gy3O9kSGln5Fq - M/6LnI16N+luAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4KazUS3F - 25dnWvQ+uXtaiTTVdC91ufhrf+GvL3QpR6+Dt5S7zBQmSWkhhRRKV7qlDy4zrVaqc41tNdnS5l07 - q+f7d3/lM//gi0983u6NZdlb1aP5dm1tlNzSS5T14mmexG3fIusSdrg23e5NRzhNWZSurNJ68ZJe - 0mt4iSp5N62G1f5i/3J5+rEr//FTv/nJF1bfWfnBkR3KZVUtQ5K71Wp18NZiucz5vL7Wpsy3/PD6 - eFe594P3fOTBCz+ysLm7Z3ZlsXRTyCIt0sJ03BkH3vle878EAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAcB64e2ZmhrubmZmlZGY0vPHms+hKuYZao/U0z+pNKylnuXXf - 4sE/9/6f+v1nrrY8TFebVKtSCpNMHpKklORKuUU2DS4LyWSujLbKsdVs4wv/8pFPXTl68a8+/Ivt - yI6Oxu35BTUfx6jDttUytX13DbYY22Rl3QXHm2KzJ02x3queEeaS3IZIRcitmFlkKrqyS6pePMsY - XTOP+XTdXzqaX/3so7/21SceuXL0nO+WFmNmlq26WrVBaab1HKinmeZzTVMzU+ZmKSl3y8wI9Sm2 - y6LcWPz8z/wPu9pR61K0bCXmkrm6LLpF2nrQnJz7+XTyfVdKmabpZXe+EzHJAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgMzsTm8Czr6QjovO8vWvkiwsbTqc7t154BMP - /+x83Pb0UlSrPCUpTZLCItYZb8lSlu4hz/XtkzVb1tzXtWvD5V//0q/+6u9/6trWi9P28rDvpfrW - sKWwthpV1JVTb4vF4k7tirPMQgrLPCl5K20cm1sd6iJC49RTqvPZbDFszWeWPV2zndmB7+8NL31v - +vY/+fe//JXvfuHF8XuxNdlsNI9U9t5fp6QcoUyZqVYrZZMtrl6HXnbs4nt2PvTQ/R8b91ZDqV49 - zT29hFuGrHfPsPD0QsP93HtH57pPq3d6AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAIC3kcwUFW+8ZVIyheWQ8ijRPUq4pVLyLB+676Mfe89f/PK1F5p3NbMySKOO692S - LG/WlT03T6eTmU2Nsdy+Z+fGwd7i0vbvfPsz37/29N/8a//7g/MPaFl2rZa5pnHKtNlsntGu7V+Z - U+9+U20+qVPHEE8pfWextTpqY66GYajm0zSuVofzYnUKFbUS+1qOdx9+9ekvffqRf3559b1l3tDQ - FzNNEX3zPOamde39ldwVIQ+5LNN6D0lFZTtn5WD+cz/zP+3qnp5Zqo5aH7xKZqm0CIv1NlvI02JT - gce5Y2brj/5s1Lv9Tm8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - cIeZEevGbWKS5EqXTApTetQStdZ6tByH1fyv/sVfuKS7h7FU2+rtuKG7/tciPdY3PCW5SXaqNZ8W - Psu9o/26VZZt33f7t64+9vf+xf/55e9/od2zf324fKNd9SGGoazaapXj/OIsLIS30PqD1ria3L3W - 2rPJY3GhzrbLSkvb1UG9cX144aXhmX/5e//kH3/mk1fiuaOyV7d8vlW7aeoyUylSZNVxXfnVrA9j - vUdEmJm7lyh1uXj/pR/9yQ/9dD/w+bAY20rm/WSsTCmlRUqeZknv+Lw7G91uke4GAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXunM5EvxtuKSpXtadwuTSSVs6KVE6Wnu - tfbhoXd/5Cce/Evz5e6ibLcWSreboeVIi/RIi7CQNtXt3CwersllVdPBtFMWNWvzdm3+7D/6/N/7 - 5Of+9lP+p3ZvHrS9qR2atyMdxBD5Oi1ovHFhiuOU+iasnrJ0kzLTLN1zlcsbR1dW2vMLebg43N+9 - 8bUbX/z7/9//8dk/+jW762jlSyumnKK3saubyqyYl4y0fmtnPY+b7lJOKmlmRTKzUuuslEGtzo8u - /I8f/1/yYNiquxFqU8yG3d4UluE9zMNc6ZZO7Pg8O/nKy8yz8fVX7/QGAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHeSmf3ZKwFvivSSIXlKYbJU2VSTZRkmG1Rtmv/c - T/ziY996/OrBSz6vyi7JFHk8p2lhqTSFyY/jzetcdErTpO1t1Sw5TmFeBo1lGX7wB898/rvPP/mL - P/k3fvbHf346Slv59nxrOhwHLe7QvjjDPCRXKF3rD1tyL03ZondfqU61ZtfRQR4+deWpLz3+e198 - 7P/P3fHCe/zK3uVhUB1q9siUUmbWm2c0S9WqdirX/UpmZmaZ2XuPiGGcffTBjz38Iz9Rrs97KsOG - Yd6nLD7PjJBSrpRuHgL9pAeP88bM1qN1NtLdhOgBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAACAW5yNcCnehkyqvZbw7hmeQ3jtbimlF6vZFdG8+4fvfvjjH/5vo1mp1dM9 - /WUh2rRNbjlM4QpXmtJC0oVZObys1ruGPJwOm5bFcphZbo1Xhuf++Vd/5e//u7/1+P7XYrvp0C7E - XSXqHdkVZ1KYpzxPfVguWUrS4eHBfDbMF2WajiJXsy1dPXjxkT/53K987pf+3RO/NV0ac94Ol/uL - uaworGX1LLNi26XPcwz1dFcWSUq7tUqcUqoUy8zMXKe7p6mZysXFXT/7kz9fDrcubN11NI1ei3tp - KxUbwqJ7C3mqWpqlxXrLk+TxuXby9Wdmr7/m2xzHNQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAC4fVK+zmxbylNhSlNE1mHR+6rKD2+0//7j//NXnvnqC4dPt1nv3iy8 - pMIjTd0kqaSkzcOVm5K3pPGwv/u+nf3Dg4NVXLxQJR0tRzNF0aSxLuZf+d7vP/PMd3/u4b/+cz/2 - C5f8Xs+F5Eq3tHUg3F8ebw6T0sJS+WfGfG8+9uRG/CD7JCxe8bo/kJMtWu+Dl/384dz6DB623s8v - fyP+8hdwT3VTmixdKc+Th7Tti7NrRy/GMA3325WjFz7/h1/+yte/8O1rT1y9cN3u7mNvOU1mKq5S - NU7qEW5ZJTNz91IzPMZR7lrvUk8PkxSbXVBsWmVan9XqUTz9nuGeD+w89OPv+4nZjcU4jovFtuW0 - Wq1q3em9m2+2zVKSu0LajCLOuZN69zsa6W4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAACca2ZmZietUjM7lQMG3kwpNVdaeIYU3TPNJA9pGOZT79EGDT4btGv5v338b/7D - z/5fe+9rN/rRdmhRhq5xzHSTimxSCXnK5N2qFPJmKZtp/+AgTPOZVlOTZFWSommxKNO0srlf07O/ - 8fV//Mizn/nLD/13P/+j/+uFfk9GsSxVc4va0wcfJLVxMo9hGKrH2CNjsuKR5u6Seu9SrG9npqtI - kmIdApd8Hb8+nYKOze049fN4z1jGcZH6B9yZ66L2yfoh+eZnHMe2X2X/n9qMW9rbdup1/dSzdfOU - 4pUhcIuQikpmWh4/n4W7t5gyZVZMFq2npw125Ht213itP/+HTzz6xcc+9+SVx8fh0O7PzK6u/8ze - vT1Llp51fv89z7vWysx9qFN3tbpbrdYBCbWEJHRAEjogBOjIaRDYkoGBwTCYCBOOcITvfOd/wBG+ - dNgRM+ELwmEbxzAE2IgZxhBGIAY0MzrAIAkJndVqdXd1Ve29M3O97/P4YuU+VVV3V2Opu6r6+4mq - VbkzV65Trtx188b7Vco6udRSGuWSuaSWmWmSR81QqPgmre3hlu7p4XXKwNeIflGurppZ62I4Xy6U - b8x+4Vd+eTiYl+zcPOuYUlcGqcmOIutxeF02OfmbTK3jzpOZZu5u6/XaTJF5W/9XSLobAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJ4lzSWFK6RMi2ZuGUpfLpfDYt7b - bFyvmuf2/MxLz73yDS95y7967HfO3Tevl2prGZ4uVZM1SVJ6aBNgNtWjuPT1yWpJs1kxs8xU17RY - r/rl55dXnviPlz71Hz79I6/74Pe/6k2zslOX6y63+zqr63WxrvfSoq0ODtbWvC/WFbNSZEpXZilm - JkkR0Vq1TpvQ71HwNw+fua4G7SnpRKLbQvmMI8FTeDssPBVyWUT6VNQ+ugjX9ranfR3t+7p9HsW8 - Dw/OXZvNTud6fJiRkakMs6JUZpZSur7fXy1L72ZWYxwVtojqy4O4uipXP/nZv/qrz//5Fx772yv2 - eO6Mra/LUYNkRx/ciSOedic7ceny5EUKyU3yVKTS5J0O1q0blK3MfN4u24//wE/eVe7tVv11NfR4 - ksfX/4jnncwbfGluR6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AODZ5Eod9rclSRZevLXWopWuyPqIdm737Nvf9I5P/NGfXr38WLGuqqV5KixlktKbdZJLVV5dUkp5 - 4263pNWqdV2TlKlaayml69ql8ZHWr/7Zxz978VP3vf21P/rmV7zzrv4FbRy2ylaXKu6zfgiVUTFm - tMwM61qvSE9l8SndbUVDP4uIza5NOZWvpyS1hWUcnraUrqNkdvqmKR3dJl9t0TYbuamGdEopjb6p - dcv0FPHpNFnKlX6iln1C6LrquWcoVaQbZNHdWgs36/vipdRa1+v1aqxD38s05rife7ZlsVg9fOWr - X3jkb/74r/7g0vLhg7wSw7qzaOv02plSnnFzJ3t8zpquYPWU0q0NzaJ1ddl0YXu+fKz2zR/Yvf8d - b3x333ZIGOP5ifseAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJ4d - rvTDLrVJLsWUtC6lSKlIV5HKer3qh+HFL3jZu1797v/rE79bzkTr1NwixmIZoZSnSyk7KmE/pVJU - Suk6G8d6cFBLUde5DXpifXnn4vzRg6/8zsd/6y8//Wdv/J63vPFlP/CSu1+2vBJeO4/erW/hLcy7 - +XyY2ZiWbpaSWoytNTPrunK6mX342EKKtDxsdR82udMl+eG5bxrfUqbLIi1sWvfplpIOu9dxar83 - ck2uO5/2qqU3j5J59BlpUx83Sa2pL4OZrdfr9Tia2TAMs+352PYPcr/NI2f1S4/9/Z//5cc+9fef - +PbBV3O+rn7QdTLPum6WPtMw9N1B7N3U53d4CtNhh6lMH33IVNK1btra0npvdbY7312ev/+Hf2qR - u1p3ln6zW8fzmNlN34W3CdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAPAsSg+TFCeiyhF17GfzQRrrmBZ9mZXMYVz88Pe972vf/Pqnvv1vtSNlp7Aia20dfaSF0k3q - UhbKp4x4u1utzd1KcSnMlJl1nV60bKt+aN2F+tWDT3/533/6j//mXzx418ve/Zb33X/hpXcN98Ze - 19WtneFcjN2VS09szXsp3N3MrJhbZGZrTZIftrHtMKGdUlimhVKSwjTFr12hTWc7JLn74crTMo6S - 5k+9lFSuS1N76skcbn+zhaMg97R3Hfe8fToNU20+WoY0ldJlaT7lxkNm1jIzczablaGPqJdXl3Tu - 4NH1Nz77pb/5y7/5+Bcf+fw4LOt83boD9ZZp1YrLylydrNV25eqVfvsfktZOU5os5OnNmizcikV2 - NXwv3v19H3j1C97cj3Or0h2XZMZ3VWZmPvlX6PZBuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAnmNeVNerNO/M0/tURK1u83t2HvixN3zw7//w85eXj0UZvRRl567Q - MkyyKCHlYYpakh1mqE+rNVtT12XXWSmemWbmni0UyjHHrozDjmmhJ8Zv/d3B/t/+3mcuzO997Ut+ - 4AceevsLz3zPwcF+F/OzF89mbdFiHNfRVErpSm+yiDgVoM7NX0u5TFlueMppmwNtFkdPxjNb+rRf - S5eF0mURcll4elh4no5957V185QsPe2aIPjRqx7mLll6yHyTCXdJ1uXoY9W6WrUhWhePX378W3tf - /tOP/+uvPv75x68+onnL3brfrq7GWuY2RsrdTDFG1Dbrh/m8WwzDuq1veHGeRh4dXshCksvrfuzG - 7n2LF//oG3+8O9iazbabXRc2B57cUbR7+tfMbt+KN+luAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAHhW+XUN6VLKcr0upS9Dn9nGFpLPuq31VT10z2ve+oof/rdf/JPH - xketV8u0onTJ5JJLHoNSKsun2GPf+zCYpIhoLTNllu4qxdy91labpM5lo61X4+VhsCsl/uzvfv9P - P/XRe8+89LWvfMtDL3v9PbpvUbd2y86w1dUaUaNlkywyZEep3HDTlBC3tNIGy01OPE2y2GS6LdJC - U4HbjvvAeeK62Kls8I0j1M08TZtEtR0vTZ4mk8uk3CxdIckzbPoIpLAp2b2pgZ/eo9JCaaHicpNb - +uZdXpexl/M6zpaP10e++O3P/fWXP/13X/3sI1e+nvO9MZe5o1IslKNFcRWVVESkeZTexmLV6tVW - a41ZueY0n5Id3japkDeTPNIUJtXY0fbZev9P/NB/tqu7t3y3raL3LtpNbxy4g5DuBgAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIDnVrY2DrOuK/1qNa7rarFYuPvBem/R - bfXh73njT3z9m19b761XcWWdaytNkktlU7qONNeUA3+SDnRrUYq3FhHqOiulRERrkZEpyTrzzLSa - aW7Dwj3zidWV4pqd2/7a+LkvfeKL/+qTv3+hv+d1L3zDK174vQ++8KXz7a0YvUQp6i26iGbpnm5p - kXLJ0iQ/7FJbmqRUuiwOT9rDQptc99F6Oqp0+9Olu+Mw8z1VwC03Be6jZ6bltEezCIWnZJHTYWxS - 3OEp0/F7j/bePNKyuSJbaKxdTWvNa+1Wl9eP/vUXPvnJL3ziq0/8/ZV4fD+vtn6tnVY9zJSpTBWp - Gwa1qLV2s269rqnmvbtlRMrU96a4+XD3MUtXevPQ1CVPbVk/HCxe9+APvu7Bt28ttzVqNszX67X7 - jZPnwJPJ/Ifck7ca0t0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4 - XpvStplpZmabFLCZ3Rn5UtzyjsvKmTmOo7vmwyKbWove+2y1q7Oz9f6ff8+v/w//x39nw2rf90ap - SBYqkqUi1+6d+5DZpDzZnz5ipogwUynKzFqrJLlLnulSuMwyimRZokpZvCgV+7bScJCzWOqRK/HV - h7/xd3/4xbLotu6/50Uvf+BVL773ZS84+8DZ+QXb9yEXszb3WmwtDy/WeVdWVjcVbZ++YZtvlpln - ptIluU48n8ffQTu8LEcX6nTMe6pxt7A4+uYer295zZMuC1MzSTJFKjLDJTNzmUVODyRZema6ZKma - a1m0YT2Wg8fW3/ritz/311/51Jce+cIjV75ey6qVUX2VwhSd1I7i6SaTh3zdwhTqNLZqnSRFC59O - JiWlPaNfMyl3W69zsChDt7+q/byEtTzQMC7uH178oXf+4mJ1Jta170q61dTwTDaP57PMNLeuK+v1 - Wrf/f4KkuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPC8drLvC3yX - hTY96lC6LKaA86lX8zjmbekyrwft7OyC1H7y7T/z23/2z2c73vfRqhTylLs0KLO2sZdcpd380ViG - 5FJMAWnPw6K01ExhkR5STEcUJs/1/vhIt9DShsuPf+tvH/70kIvzw93nF/d87wOvvPfci1588cG7 - dy8OOdR1ZpPk2Xc67GFrSminS+7plpLcUxlKpaVLYcWm9HhmZFiqZdhmPSlPXBxJpuy6EpIiY0pm - m5m7mWVOG5nelSalUpEyl5vc3Iq6SIvICIV51KyZTRbuLmXLqLn+5uPf+Oalr33ua5/98re/8PDy - G/vliTpft9lqvbNqLkklVEKWKilPV3qYPKfLJSmmcrm0+eQPL/XhR26nb4GnE5Hu6jpfL9ez7dnB - aqXUhbJ1bnXvR97/yzuxm/vpZmm5XC77YaZWn8HW8fyWmXfM/4ekuwEAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIBjmak7pV2KW5BnnH7C8uT9lpIdreCSr9exu3tmtbd/ - ZrH7zte+5++++dk/+8pHq+1Nke1MtZB6hZRK9056Bululyzr1Jlu6pp5borddeqIm+QpS1mqC5di - mIq4uY4YWzk4GJ9Yri89nt/4/Kc+2WXfq9+a7144e+G+e+574X333b1z3wM73zvEdjGzdGtSmJe+ - RGlNRX1nZtlZmiItTV7GXIaFmZmbu7vl1LyOqJIyr/lyerYiuUWaycxMZkqTjXUlKS3NzL3YVCRO - z6Zo2dTSWnStldrKavRVmefVeuXxq488evXbjz7+rW98++tff/gbl648sjc+oVKzKPsWO62WFlrV - kFx+9EGllJ7pYW5RXGmqrrBNi31zqBY+XXOpHp2GT6Hym2ZmfbGoYaZYr+ZetLZ+PPvu1/z0a+9/ - 6+rh1e5s62BUSzf3iCjPZOPAVLu/A5DuBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAE7JzE3oF/iOm8rc6ZulQpV6QwAAIABJREFUxWZ5Shw2nb2fLVYH66Hrl6tVt7/9 - 42//8CN/+M3PPfopdSsb1KQWYzSlySxLsfaMQtBTSdqODqyGeVqExealKeOb8nCLQRYR61qVqa7L - fqEyt8j1QYzWdQctFXnJHvnW1a98dv9T+QWVsd8ZL57tLpw/e+Hu83dfOHfh4rl7Luxe2Jrtbs+3 - Oyu95gpZ9Wzh6ekZpeVhrluRU0g4M60rnqePOiW50s186nVLioiI2pRbu0PNGhEpmVmqRUREDFuz - Meu6rvZXVx+//NgjTzz88KWvP77/6DcvfW2vXr66vrzM/Sw1u0yLtljZbqbVqshUpCRZkZmOA8ep - 5m7hOV0wb6aQVZvOIadr6J6u9M3Hap0yZJH2zLrd0/4icvBZtLFkljYM6zOvf/CdP/Ta9y8fy17F - S5TW11Rxr7UW51cZnpk7o95NuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAnhUWmxr2VOyWNjnnw1Tutc1sybMrXbe/99hsZ16XZdsu/uP3/ub/+Nv//cMHn1ep0aVZ - k8Ik88y2uq4C/lRiE+2Wcjq2mELPpR0XvZVuKUlpIQu3rhs0te3HiIgqScWijWUo7t5yXLZla01W - vPf9fu9RfcUPXHumL8mqWXqxYbss5sNiZ3HuzPaZs1tndrZ2t+bbi2G+O5wtVvq+H4Zh6OfDbBi6 - zt2VJxrU6ZI8FR5j1rTQFO3ONtbaYhxb2790eTkul8vlwepguVweLPeWy+WyHXzt8a8u697+ar+2 - dVpY596Zldhf78kzu7SSVhQWkbU2eSimULfLfbpcNl2O6ViaSXIVeYasyiSThWzqnWennN4XUoTF - 9L5m3fQhm6puvt9taqFsWswXsS6+PDjbn7l7ePXPvuNXFm13pm42n+1dPSjD2SJr4zgfhtbGm78f - 8LxlZlOx+87odot0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHC9 - OyZfiltSTHnuw+X04HS/edP2Di95sL+/c/bM/vLAfXZu696i8jPv/MX/9d/8T48tv7YeljlLM7mZ - h2oLlWd4KEf1bskky+Nq+CYuvlltc3jj2NxdLllkZirNZJ5mahm1SZK5ulJUZNauri6bqTOZSWGe - pai4yjLkKn7Q254UphaSSvZ9XVianzoN99QwDHbieCR5Wlir1lqOERERLSOzhSmzzeZ9qGVGmMxS - UqpVjXUYaz/akGY2nUJEttDibNcyokYNZZOKelfXq7WjyyOzIikjI8KnxybPqcl94qM7/cvjZLd7 - CquHeR698sxtb3dXn7hSanfP4gWzy7u//gv/5fl6QQc5my1WqwMrM0tlZJfF+DWG5yvS3QAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHi+M7OnXwn4TjCFTtSxJQ9Jdqrb - HZvkcrg0rve2dxYHY0tfDN3QVq2rW6978G1fes2X//zL/+bLe58xT6Uisrh5uSYA/nTyMB+dsvQT - MemQRW4609PrSg+ld6WPiFQzZVfMTBFqVfN5qbXVqiIVc2W2Va01d2YuKTOVUmZmjawhmUzTV6+4 - itQrMyWVHKTpYDbPZJoiSyl+Ot1taWnpXjKjKaf2uXnKzDwP2hgWUqTpMIuekmwqaaeUMslM3pm5 - Xd2v1mlw6waXFMrWIqqsSFKmLJXZpp1YanOlc7PxMPnR53r86UbaupmOLuPmYltsjvbUyjdlPreD - VY2i8/Pz+eiZf/pz/82Fdn6oOZTFcrkaW1ksFhqbK7rS1zqaP9M94PkrM80237vbHeluAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHi2TP3p41p8nAhmH61z3Hme97P1 - eh0qXjxbHZfru87e8+3HHvnA2z50ef34lS8+vGqXlm1VJRsyXQr3nELRN6h4H23YUocl7Gk1P/xz - 4zdqeqNFbWszM0tJEZvEr5mWe60UDUWWFhGZcvl8KK3VKQRsJjO5K1NpmrZwnNy2zV5rHKRdf0VU - 0yX5Zod+dN0yzMxOtM+njHeq35zNFA2XFKYiechTKspUpDJkloocFpaZLTJrS5OZZG6K1jZHPl0Y - MxW5uUVM7e1IySSXbDqv8DS3jLTQiWj3tTFk06bPbte+dpxPt1OJd0spY9zP3rTTz8fH48Pv+vkH - zjy0dbCY2Ww9Nsl3ds9ceeLyYvDevda1uzfK3XheIt0NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAACA5zV331R4zSLS3c08k94tvgvSc4pMn8hmTwHqwzWujVa3VoqKec1c - Zfow69cHdct340r/k6/7Ge1f+eTXPv6t9o2yk+uicdSOdVnDi7zvxrauozpTV6zJ0tQ8JHnKUpad - pzdfh4UUfng8RwcWxwHxw8605CYpj3vQJk1Z7l4p1ZRPPW9TKlqG7DhTntL0xYrpBzte5lEcfHru - Bt+/aw7p8FDLNW3qw1Vyc1HtcMuH2W+FSSGZ7ERCPdpxhnw6xWkXxTZbs8NDbFNw3DbbDJPnJiQe - kluEyRVx8nwOj+EGUpLSXJJlTAlwl1TUQmNomHfr2rLl9jBf7x9sl7mu2rbd/d63feitD/3Q7GCn - jbP0uVkza6vl1WEmZWtq7iXtRhV24DpmZmYZWYqP4ygpM5/itr31ke4GAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgGdLXhvnPhHMvpalH8efJVkoQwpPtSt5cff+j/zY - rzzxfz4R+3bFLl9ue+4ZNdyy1hZNpdNsZkprEZJNb9RxGDviRNo5rs88n25i37jie11jO26+9pvX - LXXy8J5CnFg+qafZztPv5ek3Mp3sdcs4vghP9vaT7fOpC54ukzLS1CSXVitt73TrdbPQYj4/WB5s - D4v+YObr2bvf/ME3v+Kdvjd47Tsf1mN6calJIcuUIqfIOnBTMvOaB7e763/PAgAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACee2kR1sJC6cpO6VLIVm6r4tlln/vbH/7x - /+K+7Vf2V3eGvbIIS682V7/QrFfvlqEa2UxpYVLXur4OXZ2X6FqprdTwpwlg47vIlCaZSqikSniY - V9e66MqoM+e2tC620pb37eBAUlF2q623vfpHfvC177owv9hnP7ipVffRNEp1Ko2HKc0kp1+Mf4Cp - 3m12e7ffufUBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4BaVXtMi - zUMlzMPC1Ext1pVcmw7m58sD//nP/OaLtl9xbjy/GIdoWtU2jspUWlcjx0zviiQPlfCulZJyRZrC - lbd3n/e2ZylPycIVUii9qWvqfOgO1mNrbXdrW+tqK10YZu2JfO2L3/KeN//MXd199fFY2Ey1FbW+ - SFZlISklpYV8+pMkjHHTplz3lO6+3XHfAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAMAtyULKsIjpx3TJZSFFjtH26z3bL+yXO2fqC37tp3/zJVsvn+8tdnrripRqUVq4 - l2JFLZrkysHDXc1sOWWeD1PPeI6kPDYfQfNoJdLD0j27on4cRw1tf3111pfdfqtcnr/5xe/8ybd+ - +AXdS7uDnR0/66PHauw8FaPUjj7LNKdcjGckM++kbrf4AgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAADALSssZCmLtOkJVxZllzW3Zjv7l65s20JXfbee++UP/sbLzr66 - XJ31a827ra6b1xjD1HXempRuUlqE1TSFSZLfIZXe25dLneTNFa40SVFSJRR1nM+HVEYollb256+7 - 7wd/7h2/9KLdV7VL3SK2+xhy3bYW81REjrIIm9rdpnSlH94wwM26k+rdpLsBAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4BblKSmkamqSlK4clLPF/Gwb69a8X+9d3u1m - 5/u7zumFH/mx3/ierTfM9++qVyKzNUWN5mazzkxVtm5lXUtUVzNZylP0nZ9bzbyZx2FM3aSSa9dy - 3kddrSWd2znTjWdedd9bPvxDv/7A8Jp4rB9i2+vQluGyUkpEzU20W1JRdic+05Di2T8p3HYy86jb - PaW7px9vX6S7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCW5Z7u - SqnKQlKqKPv9g3XK27hczDzWY+znjt117/zl//j9v/mae946rLZnbVj0xaQYW6vpUpqaq7maKSVP - eeqo+YxnX5rSok2R4ZSlXJLLTeMytorPVv3B1+PNL3vXR97zqxfLS1aPdINtz7rFejnO53N3v3Ll - idJ3YQpzZVG6pSvdFZYx3TDA8xDpbgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAADyvubukzMzMkw+A5166ZVEWSa52WO92ya0rKq4SNWvn5ulZ+361e9Fe/pEf+Y03PfiO - crlftHkXcmno1aRqUnEVj7RMk2RTvRvPmciuVaumMvigUQoNM7XQvFO/6s8t733vQx/6T97+a/f6 - K/a/nVuzM2oRue56W7d18yzzYR0tvChLqrMskrlkKVmVSHfjpphZRJiZu6/XzUwRt/fNQ7obAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG5Nruws/egHKaSaFmlKU8jT - fIp5e7pHPx93zucLfuG9//TNL3l3e9jv8t1uVF3JO5lrHKPW6EpxWTSVbnhuTw/mmU21tnHd+qGY - tHdFO70W6767tPjhV77/Z9/xS7vLi+tH7fzOPVGrrMrGtM090MzDfOp2Sya559TtDk9pukeAm5aZ - z/UhfGd0z/UBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACejElu - WdIkhU9/1ZQuydVHmktSytYuKTWsu524+OF3/uqF7bv+n0/93s4Z3/cnLu9p97z3nZb7YapDN1s2 - 29uv/dBJ9bk8v+cxk9pSOzMpu9Zy3aJL3ymuS93F8uD7f+RDb37wR7f27+7qVjeb1XEVNpo3KWSb - vnJKUpHcctPtliSF5/E6wE3KTMme66P4ziDdDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAEiS2R2SLMWdZRNgtrTjerdC1kmKdOUQVqVwNUnFSkY5W3ZzP9///T93z7l7 - f+uP/ufZObt7e9zb2xtHlSJLjeuUSjfMpNVzeG7oJY1a1tp17jFo5Wf6u3f9/I+/+UNveunbz/kL - 96809xKKVlf9YJERljq6LWRKt6njnjH9fHL7aRIJbzz/kO4GAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAADA85qZEe3GrSrSJMnSZbJUWkpyZahKnubTE64wKS2awktf95fn - h/MH49abXvRjZz50z//+R//8m5f+Nk27OyrdcPnqOhXDVt/amq7zc8hDJkXV1rxf7eXCdxZ59p58 - 8Uc+8EsvO/+92usPWp3N5pE1IobOFZFKl8JkaZJLvtmUpjslJMki5JubJ/25Oz/cfjIzU3n7/1og - 3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACIejduUVYlpdzSJTdF - WkxB5lCYQiZPmVxKkyLDLOqqDj5f+La18uqLb/r595R/+bH/5ct7n10eXMm5dV2fxU3jan0wG0g7 - P2fCFaH5rCyv1vPDxXJl+1X3vP4X3/drZ3XR9vpZWdSsy3G/63wYOo3WWnO3ULpM6ZKH3CUpLCUL - T0kR8pSkTqlNzBt4niHdDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAC3JIuwJsk39e6iLJaZVmUhS2mUpCxKd3mkhsHXdbV75lxdj+PVZem1sP6h89937gP/1R/8u9// - f//jv97PJxZnuzEOxtVqa6amUD7HZ/m8Faba6aC1M362PD774Ot/+n1v+qn6xExl3pXZ/uqg7zTb - KuNqvVyOM5+V0mc2Tyk95JLcZNPHZ9WVss2GpW6zwuZH4Ollpg7vodsd6W4AAAAAAAAAAAAAAADc - 6jZDvzK1Xu2Oqy4iTJshPHY8sC8tpMOBYgAAAAAAAAAAAAAAAAAAAMCz5OQ8hn7Tb/GbW0raDJ09 - Wl7PTq73JE6+8Q6ZSw8AAAAAAAAAAAAAAAAAgOc1s/S08FRYeLoslK50mVar0d1rra21+Xzedb5c - HfSxdffswZ971z+59/4XffQv/sUjj/39sFU6RVbJlVIcDjHMG401PDnnp0th8lQcj2684SjKOycX - nUd57M04z0Mmpfz44kzXIcJ04pqcug6b63b4dg8/0+2uHm8PnH/Fh/7Rf/rQhdf7lcX52YW60nq9 - Xmxtt7q/XC4Xs3nWXK/XwzBXO3VslpJCFjoxDjWfbOApcOrbevpLmi5lZuYdcfOQ7gYAAAAAAAAA - AAAAAMAtLaV1q1a6oVXtP/Hi5Z6tl+FmcTx+x9JTCg9JTr0bAAAAAAAAAAAAAAAAAAAA/z9MY1Ht - +qduyEKKPIxmm7SZuvJoEynZNdnsOJzh7maWylMpb4/Dw5ledskkPzF/Y56YSs8UOr3+NNHe9K4b - nSoAAAAAAAAAAAAAAAAAPH+5e0RMtWN3BlfhVpLuhw+kONHVDqXLwrNMr6bFNGJwsKJUtnD3Kqs1 - 5Ysucmec61H70Qff86L5C/7vj/32lx77rO/GlfGSzxSlKSJ8MzKyFI+jPrRtxiFaynPKdh8OYsyj - A/PDB8frbw7yRBT8NpXmqaPRoS6TFJaSba7A4aXwaZZUFTdlpmVYWMisM3mxcYyuMzXPCHcfo6V0 - 1s8svnbPT7z6vW/7/rdf3L2/LIeiWa3VrJt5l6tRVnpfxBhSlr60HM2KJOnE9KxHY0stIqdut0vh - p14FbuToC5suyb1br2opak2SzG7jjDfpbgAAAAAAAAAAAAAAANzSWq2lKyEp2l984AMP7R9sDbPl - wWrmT/tWAAAAAAAAAAAAAAAAAAAA4LmTxw828zQeTzrpN708fsvRs3GDl/36GRXtxIM8cUR2zeEB - AAAAAAAAAAAAAAAAAE4wu80Lw7hT5cmRg/HkLyktjoraYcopm51eUpYWS52bnVtevfLqu77/BT9x - 8Q/+/Hf//DN/snPm7mVcvrzc29oZ5La/WllRrdFSfdls1tItT+5FOjEaMSw8FXZiRONxvfsGoxxv - Q3FyZKZS03n54SVIUzt+Wa02MzOTlzRPmcaadZlbWzaOadGKdaV2M9/u1M2vnvu1D/zmg7uvuLB1 - t0aPJldnqWyjW6eUH40btQib9h2Hn/t113Zqhx9/WHfAxcd33Imbx25wC0k6MQb5Nka6GwAAAAAA - AAAAAAAAALe0rnSttVKK1uvV/sFW3x8crObupvCU3fYDeAAAAAAAAAAAAAAAAAAAAHBrucGUq081 - C6tL/lSvnxjvaimZZP5Mx8D60SSPKUnl5FFdmwM/8UQePjlNwXnNzIvTZHpMMAsAAAAAAAAAAAAA - AAAAh4h24w4yFXkzLcJ0OBTRlb49n1+6cml+ZqtTN6/nfu69v/S617z1t37/n11eXTl74eze5T0z - mw/zGqt+VlZjnWb+LOGWLilNYTVNdrIbvQmER5oiY6p6Hw2hTLnknrdzQNp09OvBQ5ahzXlJUrjC - FFO9e1NB9s7nXSp0UFMl5J26QRq0N2bXyU1ddMN6q9vb+v7vedtP/tTPXrAXLGKrtYw6enZe3NIY - 54nnRGZm3iFz/pLuBgAAAAAAAAAAAAAAwC0spcziJSV1w+5iS/sHO8PQxuqnVzRpmsKQmDcAAAAA - AAAAAAAAAAAAAACeVSfHrx7ltPP0M9KJuSk9DkPaT7s83sD1o2RP7TeOV02/wZo2zbh5+t12+gAB - AAAAAAAAAAAAAAAAAMAdIU2hlEVsStIhU6orqYP9q1vzuZpiVbbs3P5je/dvfc9//U/+29/92P/2 - ic99bGF9dHW5vFLmvh5rV6SQp0puBi42U05/NMW8QxZSbEZQHk4NmrbpeUsKhU+DGW//KUP91CmE - pNhky5VS803C3FM1ll3XF3PPaE2tKaUmlaJefRm7vDLcv/Py973np9/w0nf049xGpczMeu9NnSIV - IdlmAOqR6ZrrRuNFge+ck/Xu2zrjTbobAAAAAAAAAAAAAAAAt7ZIFYvWSurg6t563bb6LjOunyLw - DhiBBwAAAAAAAAAAAAAAAAAAgFvW9YNVbXo2pQyZb4rdJklp2kzIeDhhoh9vwF2bkPbTLiVJcW0I - /NRQ2jh9dHZqV9ccsB2vejSPo1PvBgAAAAAAAAAAAAAAAADgDpOeFpJLyuO0dpVpNhsiVJeR7ov5 - 7nzYXdt6XB18+I2/+qYH3vp7f/E7X7r82f7MfO17Q6/xQL1UQlKk6XBDm5GKabJ0pSzdFXYiMp2m - OKp3m0Iqt/WsoYfl8s0Z2tGF3Zzj1NPu4rBQbmFFobXCIjvzlGexGKTxqvrszumBNz70jh97/U/d - t/XieLx26svMNzsKU2a2kEpxyzy+qoeTsRLtxneRmSmPc91mRrobAAAAAAAAAAAAAAAA+K5xV6p4 - 0VgvXrhrdmXvYFwtrGS25/rIAAAAAAAAAAAAAAAAAAAAgMmpORDzVE97moxR7Zogd8rs6ZebjVtc - s31d29u2G7XFTzydx4/NTj0HAAAAAAAAAAAAAAAAAADuQNlJcTRScBo6GFJrTWFD33spY8Ryb6X0 - vts6s3V2954zL//wQ3/8mY9+9BO/+3gdWz/OBlOLNEkKi80IxnSZlK4pUy2XIkyeftS2Vm4GUJrk - oTuCT6csKU0nx3aapFSRlIcXxKJ0GptaS1NKvdapiBLDeT/3ivte/faH3veq+98wX57JS1r4Tl+6 - 5bhqWac3d+5W3JJEN55Lt3Ow+xjpbgAAAAAAAAAAAAAAANzaalXXSVLXfeubD7+86xYnJjI8aRrJ - Z3fEsB4AAAAAAAAAAAAAAAAAAAA8Z64rYz/ZANWU7Gg1k6Q0xXG6+2jCRD8xdWIop+kapxkpn3Yp - 2TR9pa6ZuNI3u71uWka7/keXpJzm37x+/ksmdgQAAAAAAAAAAAAAAACAU8zsyceOAbcFtyypok1O - u2kamGhqGW7FzGqtdRy95NYwhMX+pWXpF7sr/6mXf/i1d7/hTz7z0U9/868evvqV2KqthKU8pZSn - h0UJLyHJwyItwiI8qiSTpyR5yNP7kIdPb6ke7XaOeKcdd9AtXFO/XDGd72Y21HRPn0ZmjnUt16yo - c4tlaDmc8XvvHl707jd98JX3f9/FxUXtaYheRa2u3FVKKSqSPGVmyoysrbVSiqSple4pyWNzGLfx - xcQtLjPzzgh3k+4GAAAAAAAAAAAAAADAra7vVFvN6DIvXLhQv/GNrhtqXZfTa90hw3kAAAAAAAAA - AAAAAAAAAABwWzmqd6dJ2nS7jxzWtY8fPONOtqldN1Z22qDpxAt2eh07/e9RjDw3S7NNGxwAAAAA - AAAAAAAAAAAA8BQy08yefj3glmTpHgrfjHJMRUh933n6cnUgab6Y1xjX46rrujOL3fW6WgzxxPoV - O6970bte8u+/+vGPfe5PPvPVf7fq95q39GqptPB0S8nq4X4iD78lOQXCpWlhOS2f6ejJW1ZIbuGa - Tm9zHTbjMT0lxdTYltT5TC28qtR+Pu7ct/2yt7z6PW956IeHurvIrW5Pqk2mzjw7r7VmWinFzGpr - yubuZuq67rigbDFtGXgWHN14ZnZbZ7xJdwMAAAAAAAAAAAAAAOAWNs0P2JVORWbr9bpIWWuRp4Uk - S4luNwAAAAAAAAAAAAAAAAAAAL478tTjtKMm9uFkrKEMZbOQ5PIaUbyYzJUm82m869FWptkoXU0u - k25qqXq4r9ZaV9xlMY6l6xW5KYe3qr6TMswk1Vbd3c11lOrO1nlRukzKmDZ7OigOAAAAAAAAAAAA - AAAAAJC767BXOsVKzez/Y+/egiU7z/O+P+/7fWt1771ncCJAgGcQJA4ECFHUKabjQ5RUJeVyqpz7 - VOVwkVTkUm4SxZYrJydWynJFllOVspNyLuLL3OYq5diV+MqJwygkJR5EkZJoUTRJi+AMZmbv7l7r - +943F6t77z0DgCIkgJg9+P8w6L1m9erVq79ee66eep+r3lqKd7GUhSwv9T27pDnlGTZUl+a+DctS - lRlt6q4imfsQG612D//koz/7yT/1M1/97hf/jy/8gy9959f68TbH1jVZKjRvpGGtYmqzoqsUK1Yi - IpvcvZjJonvLVCvL1Vxt+wmomYrIsJDc3eWlWFdkZjdJCovU5LIh3Odx3D78gZNnfuYTP/vTL/zp - x4+emm63atUVUtpyfKSliruyKqVUMT9UnWfmeQ71PO956EYH3h6ZWUqZ59lMmYqId/qK/lio7gYA - AAAAAAAAAAAAAMD9zV6zcUkuO1OSwiSpXPUsHgAAAAAAAAAAAAAAAAAAAO5LJjvbnB0fHZs0t6ZI - ScOqLvHVUJi0cpeyR7NUKYPO5yWeZ1xTmUqTp+KHeJTlyiyimddSTMppt7HUMJR9vDa6isdu6+ux - yFr0sdS57VRXczRbesTde0Y5H9R4GOFoV38OJgAAAAAAAAAAAAAAAAAAeD0hC0kmuTyklOuQGwzJ - TV2xZBGXQOLydJiU7nL1WvpRbcf8IRJfAAAgAElEQVQvv+dPPP/nX/7cP/2//9Hn/v7v3vitPmxV - Wiu5Pm63t+pdR6OGUTFn9lbcvdbM3hWZkSa55DKT+r79+orKlJlKKVYtwzIz1JUxNbnLTJZyq4PV - 6K4pT/Kh9z/0kR//9Gd+6mN/+v2rZ9ot66/kyXCSMYfFvrg7dalYHcBbj+puAAAAAAAAAAAAAAAA - 3NfOY3V2986lqNsPT8frFXsDAAAAAAAAAAAAAAAAAAAAb5XW23q9NmmapnEY93szLLO49sXYvcnd - W/NhUIYk2aWJiiaZLPcz4JYo7A9+VJimyasrusVk4zCuxpR2MVnTOI6yqmn2Ya3trMGrl3lzNh6N - c59qqV3RFV0+WpGWbO6lAm8jhAsAAAAAAAAAAAAAAAAAwIPIQpol7auzVWzf3m2S0tSVJklVCpfJ - FCm3WF7dPZbJn57eb5WT6T1/5qk/9y/863/q6ze++I9+/X//wu//v9uj22fbO+uadSwm5Rzq6aZa - rOfUTZFSUXeFKaTsWtvVru72NFP2bCmF75dP0lA0b1WaTuq13JR2Gg8fPfqBRz76mZf+5Wfe+8IT - 19/rW9vePD0uJ+N6bG02ybPGxYkvNvOeWKfd9ePSlYSAt19e5V/Yc1R3AwAAAAAAAAAAAAAA4P61 - nzcoSSo/xPH+QGR6AAAAAAAAAAAAAAAAAAAAcB8qpbgsM8dhVOZ0thmPjiSTe0Yzd6Xk3re7slop - cinq3nNpKctOqTXFm4m9pqtJaj4OkuZsMnevPnrKldFT1aRxUEqhwatC6q0Ul9xUUhbKslyNHUK6 - AAAAAAAAAAAAAAAAAADggZVpYcolNOiykCw9Lc/TjSk3heQheS5RR8kiTGkRy+vkj117fD6bYjMN - w7WPX3/56X/1o1+78Wc++7X/67Nf+Sc7bec+pVoZYxysa5qmnlVpSleazOQuT3kxa1c7v1iL0iyV - XQoppCJZqm107Cc1Rt0cHx+ffOnFT336+Z/4yBPPr3aPrHTsm4jeS1GxnPuuzX0Y1rZfbZdCcqXS - lrb1u6W/zk7g7ZeZ+WAUd1PdDQAAAAAAAAAAAAAAgCvB/9ADUlpGGQIAAAAAAAAAAAAAAAAAAABv - A5fN81zMrRRFjkfHkvrctK7dqlImDaayPsoIW0ZdpmQ9tXRqe0pDb9rtNLcf9l2tqq5UiqoU6tGG - WrfRzT3kqazFy5FPc6ZbKSohK647Z8PJkXqLTK8ryZbCbtM9vd2el+rFAQAAAAAAAAAAAAAAAADA - g8IkT4VsHx30lNRCrstZwnRJLrcl7GiRFmkpySVLWWqedt36cFJL8XmzG9pDnzr6zHOf/NS/8WP/ - 5ue+9muf+81f+/bNb55tb27a7ajd1xkluqRUdFmTdZUczEy1SVe2iNrUI83kJpkspZBFrTnW3WoV - 1z/08Mc//dJPvfThn3zv0QeGdlRfHY/L0WazmbMPq2puuz67+9FD1+btzhRlv8ZLHNSWxOn5+oRJ - y1eWdw9kvdzknX/orFbgTTOziHiQ4sVUdwMAAAAAAAAAAAAAAODqsbu7upfMH73dAAAAAAAAAAAA - AAAAAAAAeFsNpcpMc1OkqmuayjiqR1lG1PVUStPOalGGlEvO1SzM5JLkmttffOGFa3N/7ckzXycO - O3l51Vd/78tfloeylbGqnZ6MK81nqqMkqco1mml5h5S2W40rbWeth7FaKuZIM3O7d0Qj8VsAAAAA - AAAAAAAAAAAAAB5UcaisdZkdap5dTfuCaJckk6dbmqekjEMz9DLhs4RM0XvUWne7Xct2vLre556n - cW19bbTrP/vsU3/yuT/7jVd+5/O//dkvf/MLf7D59hSbrZ3V0lTD3Wt1K25hYWrR4ir3AWfIXCVL - jdFbrble62RtJz/54s88/6GXP/beF9b9uu1Wq37N2zBv2k7T8frIhuPdvOm9D2XVM27dunU0rtMy - pP33kH5oV499M/dFJ/eycU/f+SH+aUF7N94+ma8bbb56qO4GAAAAAAAAAAAAAADAFfHGeZ2rHL0D - AAAAAAAAAAAAAAAAAADA1ZCZyjQz1aqUtjutVjq7o5hkqbkpQpJ6/Pzzz1+rtUbUkGW6wg5R2JLx - bGTJ+AFvdFk333r5lec/utlta61tmo+Pj7e3z9brdWsxRd+Zv6r5v//tr6qa3GSueqLWVYtaSJ6e - g4+v835kcAEAAAAAAAAAAAAAAAAAeECFaqbrUMKtlBSyZpmycEnqklvKc98VHRbLsSXtkHsMT2Wm - ywerqzLWst613ay2Kke7s13NodbhuYd/7NnPfPL0p29+7Z/91ld/70tf+b1fvzPdvL29sc3b8zhn - jSzKkPsPmix6v0sfylFOpt14FNeeOvnQCx/45I9/9FNPP/mxsa00uX2/uA1WauQubFeul2K2ne/k - 1lxl0KAuLzauV3PMabHEOJdy9BKeHt3ikDeNpbT70HTukvxS9HQ5KgmCAj8EqrsBAAAAAAAAAAAA - AABw/zIpJWcwIAAAAAAAAAAAAAAAAAAAAC5cDB9M+fm2HXbdy5bj/XWfv5xTzcMuO7yFZSikTKUU - oQx1qc2yopTONv/2z/zUQ9vbq912dLNpPiplnXp6mtbTPPQoGZ7huX8XT4W9ubGTYXqkls0rZw+Z - 1uNqt9sdnW1Dvex2JpulGMptxS9//Nnbnm1dpzpuc9iq/s+/8XkVV62uVJ1LmOogM5lkLpPc3yik - e36FF0tqy8+QZJfW/A1fb5e7wv+w4wEAAAAAAAAAAAAAAAAAwFvPlC6FUmlpefmJ86zgeUt0pIUs - lG6SH6q7wzQMpbXmXqPr9Nad8Wh9fLI6PbuzHk6UEVNW1dz1h+p7P/Xkoy++/1N//jN/4Rt/8Ntf - +sYXfvvbX/3e2bd3u9Pu2+6tlR4WkvIQMgzTXaHQu2ONlvfkD5dLjbt3Kk2Wr99jbW8Q2dznOe3i - bOc5T0t5utItq6V5VKlYuscw9OMPP/nRT3z05efe//x7jz54ktePplW9s6q9uIqkUJ8z5F1mka1H - mvlQVy638OyRPSMl92URLBWmopC94aUC+GOiuhsAAAAAAAAAAAAAAAD3tR+mtDt/6CMBAAAAAAAA - AAAAAAAAAABwxYXUl63cF0T7Ui3ty4zFvKialpYO6ZinaRjXSu+RKtbPT5YalgGUXVYUppa9mJmi - KBWh0zPVQVNXdE1N0X/h5U+Nra16HLlPm+2Prwa1XcmwlCstZamSsVyMKZbhlXm49Dc7WdFT0fra - JanNu8HUs0tqWoZXKls/dg27uO7qUwvbemSkfvXDH+zDcKfFrhRdu/bLn/1/VEbVqtWoaipVLWw1 - pDKiuLtSkXLT3OV1v4TRNBSpK9S9Wld09VGDyS8ivJfmXdr+o4Yy7p4iSXs3AAAAAAAAAAAAAAAA - gCvA3c1MysxkxiGuNM8Ik6z74V5OC8llIZXzw1J+V512uqTcl2priWi2lIqHQu51VXrOfZ6HoYT6 - EiYsKpFFc3gbBh8HHb34yGOf+OmfmHJz886N3/tnv/Pbv/u1373x9e+2r2+HW10ZCnmGyRVhMss0 - ZWZImZLkLlOJlsX2emYqTS67p6U70pSplEJmZksbd7H9x9PhN3kJj5qVZU8ozWuzruwWMqlKJeWh - oXuJdZ3XOY1re/jJxz74kQ8++6HHP/LiBz85xKpqqKo+DyXceslUd98vhNxsaf7W+SJnZlczLe3e - SpNnxKGY3FMpdd8vvuviW1g+3b3fa7os9itwb7U58BbITDOT2TRN7oqQmWVe4W55qrsBAAAAAAAA - AAAAAABw9exnF5rKFY7uAAAAAAAAAAAAAAAAAAAA4I/GpEyZ5P7aTmjb90lfHppaSpmnaRjWmVlk - c5cXTbPWgzIVTcWl3ousZCia5p1aqLha/nuffGnYbNbTfJJ5LfKp3faa29BiULceMW+ke6ZAvqEf - 8rB7+N2J2cNJ9tMYXfJQVSyF5iYNKTPNc4/N7lg6Orn2ynf/4L97+dObWr4/z6/0uT7y6N/97D/R - eq1pZ6VaGRQhdy9VXoailCJUTJbquyiju5V53vlQ6n6UZOzbuJehluc13stjukyHpnJm1wIAAAAA - AAAAAAAAAAAA8A7wfE3xs16n7zkl2b1H5utu333YUu+9pBw9XXILqQ9jOepTjynW5eSh9eMf+tjH - /8TH/pVNffXrr37+23d+/5vf+r1v/fPfv3nr5rZvurfuvWuKElZk1axYZm+t9d5X4yrVFRmZlt0k - swjJlWlS5Hlp9xJVdHcdyr8jlClLWZ53aJtZ8dASgBzk09bGMg6lWMp7eljJOsZwfXjkycfe//RT - zz791LPvffhD11YPF61qG+vZUGM8lImbpFxcTkqmv26fdt69dPdGQ9/423m9c9HYjbfdle7qvgfV - 3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACuhtx3VcuXSYt5aWChh0x9P0RSpkPLdMjL4MUz - VYsrtXZFy2GwUMy5Ww2uOTQ3zaabN1WG//iF5x86vqbW7tz4/jMnJw+N4+bO5qHVsDs7fWg9zrtd - pkKqVZ7qrzfZ8p2S0lYqZj1zVeujVqbT7ftW4+0b3xtVHj862bawG7f+248+1yQrfu3DH/n5f/gP - dVQ1FOWub7floUctVFT62a4cH6n4PLdhVYdhpUiZySIVZof3y/OGbt+v/7ItX9af7m4AAAAAAAAA - AAAAAAAAAB5ES4Dy3ibpeZ5VJFeG9ew9Z0njdO3Hjn72k8epp7LZ7nS+/c9f/fa3Xvm9793+7jf/ - 4Bu3tzdvbL53Ot0Ob6XWsa6z9s3mdvdwSUWlLG3ZacqlrtulkMyUJjfJLDMyTfLlUHMrMleJuZkV - t5KZCmWamY19vL45PrJr6+HoeP3YY48++b7HP/zh9z3z+MNPPnz8qDUvzWqWmuZbU7SYNVjVpdLu - H/FaAz96D8ZtTnU3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgCvjoil73xsdkmShVJpS6vu6 - aJfk8p4hWUpuaq3V4mrNTZrmoihtlklnW03xH/30Z66fTatXX33apLNNMf/I8ZH3eXvj1rFsOts9 - eXT06mZzVFWKzXPOTX6fFVOHqaXKOFrrt1q75gq1za69p6ymPtnmzqCIWU/UYdvmkNo3vv5fv/jC - jbHeiPnvffUrpVbduaW6kqyMVW2b5sNqXCbvmUyZy+KmYv/R7fxxaVS/GMEZr53HCQAAAAAAAAAA - AAAAAAAAHmjubkvk0Nws3Qczq1r7dmVRurpKO6mPPvbI+5555IWdndUjP4tbp9PtO7tbt05vfP/V - V1658b1XNzfutBt3djdPT0/PTjfZw4p7kdwjQlKqR6akpUvbzNzHzMxQZi7ZRg83s2i2HsbrJw+d - XLt2/eSha9ceOjk5uVauffyJj1+vD187emyoJ+qrnAZF9RjK2VDkNU29K5pyrj6aW0+l7bOTeXhr - s/ssSAq8RR6YfnqquwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABcGXfNgdv3RofsfLeZ5HLJ - c2mPLrUrU0pFlJbq5lKbNXXNTdvpLz773KNenji5fu2733lsva62e+ToaLfZ7nrGdrsLHbkUeqT6 - 6Wbz8FBO5x4tUxpM6eqh+0i6it/Z7sY6VLfZ8vja8e5ss82dXJ45utarcuNsXpsk9bOzx3o72tZn - jo/++oefvRPRTo7/xuc/r6OVamo9Wq1dtmu9+jBaUVrKlk/sCjNJ+zJvSVL4oa370hfyI/z4AAAA - AAAAAAAAAAAAAPBWe2DqS4G3Q5i0RDYPhjKGekQLRaSlmcxaztVM5mmZ0WMO677y47Ecxe026OSa - 3vukyx+WP+r2TMpjE6fNmqRQ762dbTanp6fb7Tay9cyIiIhUj/NgaaS7j2UchmG1Wo3DejWsSynX - Tx52r0UlwxTKNDOr5rGdSrhvRlPJlMUSQI3Wtm7VZJaubtJYrHq16NPyrwH/JgBXCNXdAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAK6MpTe6LH/JOOzYM6nIJdeh5Dv6NBSP3c5lslTMmrs2pz// - 6Z8+Odte37aX10fDPG2++52nV2O2XSm6c2ezch0XWWo0TaGT6qWU3qJnSLIiN0mamsp9Vk29KrWa - 79p0crQ+22y3d86G8+WSSmh31pchdGYqqXE3nXjXdmPKx4ej3Xb+T595xp5873f79D99+TfUomg+ - Hsf9Unvp8pAXheRSSCblpZ7ukFx5WP37bHEAAAAAAAAAAAAAAAAAAMDbarvdmplXG2q1UkIREb33 - rl5Lupm59ayZPcMsrdhoZkqPiJwUES6l53p8tKlHtFzatgfTI+pK831/dmamXYRIi5kkizQzyczM - VSSfb3eXmxVPl1xpbiaXFLKWPaU0s8yemZlzlZtmt2IuKyVC09zmqQ2ruoQjzQhH4sGX+YCU1FPd - DQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOBqODR1HyYtZigll7LIl95uSZ6Hg11RSqrtvKV2 - s86mv/QTP67btx5brz64214vbn2Km2cyHZv6NLmrz1oXZap1rUY7nfKRo/HWZuotrtd62tp6GOfe - W+/uXoor2juzFq+nZOym7XuOrt1qU2x2Dw2rZUDkZtpKGmqJiGLZQylFaFXs2Oys9ZOhHNdye7Px - efPB1dGt3//OsZVfev/z2zKcHa1+9Uuf17pqtF68l6OUF7md93O7TOr7zaW9W4e/SUmBNwAAAAAA - AAAAAAAAAICr4byR93xj6Qx+564IuN/tI5v76KBLOj4+Xrq629xy6mGx/BJ5Uc+uVPZQmmxp4Fa0 - cHdbeBbXstXmMPdqQ7rMbKkRtkz1TJOWdu6lrttMishJkpkyU/IMi0wpx2HwNKXbUt0teSqkCM+s - aSGFPMws3UxRivfed61lWvFqpZZaR5Vs849+eYF3xAPS2i2J6m4AAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAV4Ur/KIZWvvW6Fwel4roUIaFlFFCilnTVm3+9194+ZFZJ5vth4fB5qzTrbGUaXM2 - uOTylJnM1Pu+CryHVtW2U56UstnMR2VIUw8zld3c5ZZy86H15vK7L+kddiLbbO4USVJrbcruknnJ - zJ42RQ4+uKllNrV191RfSdPcz+Z+svLYRZ037/dV9fLq2a1hfOjmbv4rzzx382j4H774/5Xjo1Jd - XrXMojU/DLFcarqXku6QJDvUrJsfngQAAAAAAAAAAAAAAACA+53ZEkcD8Ee02+2WUu1iNT2KiiTz - dCnUo8sk2/+vzLRS7LCtpXh7+SO3SDMziwyZhdLdFTJbfkctlUpFhGRp5tL+d1jyKtu/Rc8eMnVl - FgtJIWWkrLj70gIueWa3VJda62ZZ6ygpMiPmUJNkcs/D6XXRJg7gfkZ1NwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAICrwaQxla1ldbPalREaihRSkSLlIXXduaOy0hQ62/1Xn3jppM3PKUr2mlG2 - mzGzZLPW1qYupambLOWhpchbXUXKppWs9zSV3vfjFZfBjplyLxEhM91PgxfTNB+GxoZlqssV8lDK - XJJ77UultplraJmDPBTNVFybHqWohBS76Ltr8jbdue4+Zn1imv/GR17c1vqqyq/+1m9qNB1XDb4z - N60k1S535Rzp5jV79MyshXl3AAAAAAAAAAAAAAAAAK4Md48I94sCb3ennRf4QSwkKf18h/vFth32 - e2+eWRUhl6TMDEuT0mP5ZUsPU8plSpMpSoalpFTmvi/bUpnl4r0j5VLKXTLJlK7X/L6azEyekqUO - T5tFWl9OL7nSTaNkRSqSlOohRbEuZViX3GXSxUfjXwY82FprkswUV/xWJ8oMAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAA4OoIs1rNfO7hpXpRLoMfpyZPbSdNO4V+7sVn17e3H6zj+87OjqLNFmkp - hSmGWAY0Kpfebi1byzhFj7vf7e5pc+mpMNmlq3Hd84r7UFyagrn8WAZfStIyBDMsJFnKUp5LhblC - URRjqrZZ8pLWrD6xWv/Vjzx96+GjX/3i53TteFVNQ+lhbkWSDW6mFlF8CGlWDJfmVAIAAAAAAAAA - AAAAAAAAgHclT0WoSsolyphm6WnyVJxv7J+SyS0lhafC7DWPcmWkm7REItNMWUMXteGp2GchJSn2 - Z77IfKYsUtL+jbQ0fx8CoiG5LJYWcd/vuVQaDuCKoLobAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAwFXhWSRp2s21VkldatlX1dRmbbtun/3CSy8+Yf7x3Wb03m/eLObdwrVMcrzopZYUkqVKSnKl - myyl5rn0WC/HWIa0f+GysUxeXCq+w6Q8DJG8D1heDIbMpZnbpKWk2y5XjO8/VJp61rCi9JJhGWX5 - sNq/KkzhUsbykqJ5vds95faeV6e/9uGPvXrt5Fd+8ys6sjJWDbbL9F5qlatMUytjXZbtvlkeAAAA - AAAAAAAAAAAAAADw1vDX7Mm7kop3Pd/lYXXJW9q+MfuwkXILpaQo+xbtkDzkaTWWNKMipcO2Uop7 - 0pupUElT5OULOCQiTZ6xbCxHx6XX+qHeW+bnl3fpzG6S0u+fsCjwI5CZf/hBVwHV3QAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACuhmXkoqTValgmLxZ1Rdert2X155597n1TPt1abl99dBjP5mk1 - qEWE5FIJ2WHoYl/arJcz2sV0yDCZQhb7ou6UTJYXvd3nG8ujpfp9Norxnqpsz0tP6K7nlotvLqVK - yuRFkiIP6xOmtIszpMlSQ8bYVTSf1OHk9ua/fPb5bw/D3/3KF+Vnq5MTeaoVc1sNtb/tnxUAAAAA - AAAAAAAAAAAAAFwBYWqmNLNcKrWzH4q1l1yoKw6bkqUypKq0lJRKc2nf1X358eJwk/ZHX7aEPmO5 - AClcCktPSZHyJRjZ7SJc6Xc1gvvh5UUm5WvLygHc76juBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAHA1mFQUUrTeSg8z02anXfzllz790O2zn6p1d+f7R8rr66M7282R9lMc01S6/DDhsZmaH5qs - U5YqKUmp9JRl1LzUeP2aCziX5/3f9400zYdLvKduXIeu8cs8JQuZK+WHZvTm+7mTJpVUOUzC7Mv+ - lKRbvfXerg1DPW0n4b/y/I+/ovzrX/x1ravGQcqsVuooK7rPqs0BAAAAAAAAAAAAAAAAAMBbzOJi - O11SWEh3pTFdEUsb9qEGO00hyeQZ5+nHfdIx5Rl23pb9A/OangpFscjLl6Hzsu04nCKWUOXyVmkK - me/jpX74GOf93IdK8UOnOMXdeDcws8z7LR/9x0J1NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AIArIkO9KXuNrh6a5v/g+U88cTq9b45r03SkPJLqUDfbTZNWrm2XyuGlh+mJSzH3fqSiyVJpsgyT - pPDX9FvnG2xrGeKYun/aqcMurjDsdQrIXztLr+Qy91Ihpambd9vP0CyxXwq79MJl6a4d1bm3eZof - Kvmoyukr31mX9X/z0Y99/2T1N3/zS1oNlpJcniouY14lAAAAAAAAAAAAAAAAAADvaqYoSyjxcp/3 - GwUdTcrIN85nXj5J7HOP3RSHuu5Lr9zHRC3N95nPlEyW573dWlKlli51T5MU+wDl5QJv4N0iM5cC - 76XF+0r3eVPdDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCKiND2TBHatV94+VPX7px9InLc - nR65mmIedDarzL241sN6mvo41LntJHVdao9O1a4wSZGmtAjJpWUiZNw1rfGHcN/0dkvyvLj+/TjL - /WdwHRYhl4ZvkyRLjdlM0aTuClPIXfLuJS+WrC8/MiRPK3P2s007Xrl5bHo78nZSlNqudnrI468+ - /cztk5O/+Rtf0DpUXOtjFaq7AQAAAAAAAAAAAAAAAAB4oCzd1pfbtfft1nbRcn3INLrvS7tTskt1 - 3RfxQkvJlsxjLP+HxaXC7HuDiPf+/bxj20zSocB7eayRS/l2LBXcS5iyXFxGmLos9iXeLkkll6NM - udR7094NXElUdwMAAAAAAAAAAAAAAABvjqU8XVkkpcLuHuMoSbZMYAxGDAIAAAAAAAAAAAAAAAAA - AGjpij5sLxHMvf1Axjgc5jofiXjRiB1KKUKRitA8azf/hy+88J60x149fcJs3XrPqCm5trNWozLU - u3ZzU6rPU1laq01p+zGRnjKppNIUitf2c5/vuVzjfRjjeC+/L0cxHmZcSlLsV3S/L0y5/1K8qu8/ - oiltX2du4S653BQpD0XKZftvZso+DqsafbObjgZbjbndanB5tkitttuxlnrj5n/x4kuvWPzt3/yK - smjsMlcxmWQX0zBftxndXnuDHJzfSL48la93EAAAAAAAAAAAAAAAAAAA9z8LKS2lHJYdaREWkjxd - FwnG/R57g9DdFWIpT7tnjw7l3y5FulkoPW3fsZ22/CfLOE+BLuI16UE/DxTe1dvtS/IwJKWHpFRI - niG5ZehQEO4pKWTh6cuk0ZDvr/Ai3Hrf2teOL/eVZJa+rENa9DJLsih+KQobprSw9BJVkqyl7UvT - l7P5Vb/hAElUdwMAAAAAAAAAAAAAAABvSkillBKjpiJ5qptJksmVUobM0/apOruYDQgAAAAAAAAA - AAAAAAAAAPDukuflyqYu9cOeu0qXlyOzmdsyhLLL59Rgcsn3Vd5NEWqzpqZd/Ccvv/Tw5uyZeRoj - qtJ7dMmkLik1uNRkUjUpw225ktR+pOMh1mnn7x+eCtuPF4zDlZ+7e+zg/VjR/VqXrznvLkffHyDF - MgFT3aW2HClZqubF8XHYWEYxnp9kMO9t59Loypa9aTC1pRVcimy1tSesTLdvPur+Sx/92I3x+G9+ - 5YtaV1lqNWqoCpesy9IVUu4nue0AACAASURBVE/VpdT70uWdX+r53ZKHG0mSKQadj+B02rsBAAAA - AAAAAAAAAAAAvCXMTFJmZubVL0rGfS7NNsWKptFU55x95XPuzNLsKEIRmd7MorgPGqfN5MP9Vaa8 - T2VeuqYfPHwz5ff2bVvcfcD5lmufJwxPD8n3fdtv6gLjB17V5YSkyyKySEW5BCqX4vDzFnDdhyFS - U6Qp5JJ7uqVkLdWzdHVVHbnqdtppnX01TW0+yuueJbJZUe/dh2ETvaaNfWWpqK1bDzt86vSwuL9u - OPxI9N5LKSbb7XaSzJSpzCt8K1DdDQAAAAAAAAAAAAAAALw5Lfo2TeO4DG3MaGZ1Pywwz8dM6j7M - 1QEAAAAAAAAAAAAAAAAAAPwILGnK897uZVSbXe7tvsxk5nObhjpOu+1qPC52KPk2qU1qTa1pO//c - xz7+ZKnvuX36qOZVb3e94+GkP7hp+40mQp6/Kh/wBuiLBbm8FG+wevc0dl9ezPDXHL/fNElRUiVj - FZJ03PpR+F/5+LO/uz39X77zLbmpN43HoZRb68pUrfvr6XMfh5KXLu/iC7G7fp5XsDMaFwAAAAAA - AAAAAAAAAABwNUWzLhUzFfdyVO+0G/N4Ooy2251lmg81LWRztNxtx+NrD+UUl5qkr6Q3G/rzpcM7 - pTfd233uB4wGvfRU3n36VOr+ziha6LxNOd3yIlIZilSWUKm+Pho35fam3rKj3G1b19B7M88eMi+n - fXp4fE/ebCYPU5iksPRMt/3iM1j1XcfMpKvd1X0PqrsBAAAAAAAAAAAAAACAN6EMmt3OjqtKKkIy - M1PmMrLQlsdUMelyizcAAAAAAAAAAAAAAAAAAMC7Q0qxVHSHJPVDD/eYUoZ0KPE2KT1NocjIWte9 - 59F4rCbNXSuXd6lr3mkX/+4HPvwxjS+2HHxyZQnmAF4ZJWOYTh/3+vhw8p89/Ylf+vXP6eFr8jlG - n3uvXgZJqe1uWq/GUk2tWfF7a9YPkdyq88GSLlOaZOR1AQAAAAAAAAAAAAAAAABXT5injb2ue7de - tju79Y+/+g++377Z/Gwo6zZHGWrv3SxLDOv52qee/umPPPxcbat3+sJx/7FQFskkD0tZNbdIm9U2 - /faXv/G5r7/6hWl1Gha1ep8nM3NVy1VkfeaJT/zUe//kMB+ldUmeVTKLImnZg3etB6a9m+puAAAA - AAAAAAAAAAAA4E3IpjvzfPuo6mTQapQk+TICMKRirtT+j//gMwEAAAAAAAAAAAAAAAAAADyAbOnt - PvzVDzslKVwW58+lxf4AH6Jn9jxUeu/UB23PpNDt01/89GeelT8xTUPbTZqOy9CS6u4rwzNWczxy - Mp6d3k6Vv/Uv/ktf7+1vf/WLVa2WQVLsmo91PY7KUKbc98ncZdyfXdxMli7pYr+03AeX7zcAAAAA - AAAAAAAAAAAA+OMwI46EH5UsbS6D1y5rHtt69rl/+tlvnX75jl5ZrVa73a6WcZ7n6sMqj67He973 - 5Ps/+OhHq6juxl1coXRJngq5UtHTzLu5qubc/s4f/NY//vr/uV3f3NXuQ7R5o7C1H3usql3LzJc/ - 8GmPuqQyLc2zLlnNkJJ/Ed/1lv5uM7u6Td5UdwMAAAAAAAAAAAAAAABvRmr1yMP/1v/2v8qbfC25 - uuQlpJS6VOwwEBAAAAAAAAAAAAAAAAAAAOBdyZYspUsp68suyZbJZ76M9usKSUVSulIlTbXIYzed - rtZV00a79peefempFk/dunViWWqEt0fHYbedzUhrXhmeOpJ8d1alx8fxxre++ZHh+i9+8Plf/uoX - dX2lbH580nebMq4kTX0extEkhWSXCtovf9/m5zvdJHq7AQAAAAAAAAAAAAAAALylzBgpiB8FTx/6 - urSx97QqH/O03TyrN6bh1tbUVxrH0ltGlthtc06t57icrAMkKQ7RXJdMckmmGnJTpvc8jm25dad+ - bz7ebDxiiCg765psZ/MwxNzWbS59ZbJ0S5PcUhZKI6D5bnd1u7rvQXU3AAAAAAAAAAAAAAAA8CY0 - 6XSata46WcncZMvMP5e6lFIu6bIHJF8EAAAAAAAAAAAAAAAAAADw5l0e1bdMiSzSIWxpcilSbstz - eXhJdPW+ctdu9xeeeOqlk0c+tNnl5uZHTh69cXojQ1Po5nY++hF/FvzxLJMbW5OkEu1JK1PfPTzr - l559/vePy//41S9puylj1bzTMPq43inWctn5KMm7nd8tAAAAAAAAAAAAAAAAAPC2MbMHprIU9y1L - rax4c6U1hRSR06ypu3pRVk3ezdVapFvVtvssqrtxF5M8TK5QLsFLeSq9KC2k6D3GvtPZttyZfLv1 - klJZyboiu9I07ZrNaQpZ2b/cJWmp7+Z+wwPB3+kLAAAAAAAAAAAAAAAAAK6SwWt312CTesotTZIy - l6mCcT4g0M4fAAAAAAAAAAAAAAAAAAAA3kXyUNGtlFJyyfeNy8tTTepyk7s85XJJoXmjmLTb6tXt - L37oE3/Wrn3g+6+uNrcfHuu3T29MkkvvuTam5OvCPNQrJKVZKrWcjGPv81lu085W862HT7/3wo1b - f+2jz+nWLZ3eUWm9xKyesi7JfPmTl/7sa8DzIrO7/OR+AAAAAAAAAAAAAAAAAPBWMbN7NoC3iStK - NJ+2o8XafAity2rQ6JKniqnPyq7oZubDsDKjfxaXpKdcMqXFvmm7uZqkaD0izCxN8tSYPmZ3Wa+5 - G0ofS5ilFavFRstqUTyrsnrWkNIiraW1d/oT4p2U+eDkc+s7fQEAAAAAAAAAAAAAAADAFeJKaz3k - rrIKqUhKyUyh4vuBksUZAQgAAAAAAAAAAAAAAAAAAN7VQiqvGVu67FhSli6ZPBXKUJvVZ51N/87H - n/vgLp/atfdEt9zNSqXGquiqqdM701B0tu2VgahXibs8uk0xT6k6qrccoz1RTDn7q5v//LnnX3ns - ob/zpd8o5kc2qpQlkeuS9r3ukXIpTF7O27tNSrktFd4AAAAAAAAAAAAAAAAAAFw5UQdvmsxLj900 - bSUp0rP01q169KhjlSu9hNtunphzibvVUKQ1k6Quk9JMGmqt8kifWu8tIzPdJK3KMM+9RmRk9iyK - YocC8HRLWzK+YZJ1SWmhpDAeVx43MQAAAAAAAAAAAAAAAPAmZKqUQelS7MM351MkY/+zSclESAAA - AAAAAAAAAAAAAAAA8K5kkiukaIrJ1PwwtS9VUkXqKZN6a0pZSlPTrTu6dfoLH3zmpU1+pOdxP+25 - qUWDVGatmo5SGZJk4W7MT7tKLFVkSjWZqlrKXOmaI7e9HRe9p/cnvn/75595Ua/udOtU084UXdrf - Hl1F3qVZNks7qfvFCD0LlRS5XQAAAAAAAAAAAAAAAABvFTOTFBHn25nUJeNtEZY737RxN5Wz7rOv - rWWTW0SYeYv0Yil1U5iadQ3kJ3GZh0xZUp5LzbZC1l3dM/rcPDVaVZhriG5FUp9GTRatSMWVsVNO - pibFfqCqlObd1F2d2+3dyswy092naTKTX/07ob7TFwAAAAAAAAAAAAAAAABcMRau9JJLkvYgJN8P - /uuSpCpXBrMAAQAAAAAAAAAAAAAAAADAu0ws7d1dnoqQS6qHRGXb9WFVep/H4upNs7SZ/9a/9uc2 - X/vaM2mreZt9++hqfbbbbnoO2icxU0q55JbmWvbGO/Tp8EeQvnR4p5QyKU3dVAb1puPWNeeqbf/y - 0x+7vRr/zm99VccxrlZqqVLM1VqU6ksqN5ekrqlof1vsEdkFAAAAAAAAAAAAAAAAAFwpaeoePVMW - 3SMsZWkZlukmV3aTpFQPi+5KKQnL4S6eFpLn/8/evcVKll/3ff+t9d+7Luec7p4bbxZJcTi8DakR - RcnK7cF5MIIgb8lbgAB5SQzEQWRJViRFsgkwkSMjMmIYEBIE8ENgv+SCAMlDBNiwgyCOkShCJFM0 - RVEUaUoK7zPT093nnKrae///a+VhV51zei4kR55hn+7+frBnd51dVbv+/73/U/WysH7WlAoLn+sq - sxXzEqqyouJZivoptlKEt2JKyaR0ZURaXCnH3Jfn5uHxw5/aDBDdDQAAAAAAAAAAAAAAALw57pJC - figtS6PXHwAAAAAAAAAAAAAAAAAAwH1MKnNfPymkwVSkklotS1P0xdQGTaaXx09//IUnxleebLul - qba6Kro77Fw6Wmoc1EzN5g6AXqIUuSlaNrpPPizSIjJcWuxjt/f3NEy7KqVK1Ftl8aTp+Oy0DYtP - f/C5X/nKVzWGVgtltggrnaVWppCaNHeITFNnUguFVOgMCQAAAAAAAAAAAAAAAOCtZEaNGt52IbPs - wzLVp6aLXG6T5vTulEwhKTMz57Rl4JKn4hDoHqaS0rxmMkxyhTJdbtG5LSMtTWEKl0wl5CEzD1Ps - V1bK5Ip4cDPCtTJ/8TwCv4fUGQMAAAAAAAAAAAAAAABvXsjm6O6LEqI3qiVKSnQAAAAAAAAAAAAA - AAAAAMDjJF0hpc/FlSb5IcbbLFrdFk02nGusOt/+tRc+9fTZ2fGwXbc6TrXvla7VUiENk5qruqqr - macsDrHNeMjYfndo7egpD7mFrbrFyrucBm3vvad0756mZ+6d/tyzH9Rmp2GrNpSSblGHwUIltEh1 - kjTHeIdMclpEAgAAAAAAAAAAAAAAAHi7ZFKzhreLpyt7i6XnQtlLnaddZCi75CZLWaqodFkUaaxH - 3CcOe5N8XjUpZWZRmpkUZhYRptLS0tRM1RVSMzVZyFLdXODpkqVM4fsTGpHHuPBQ/xp2D3oAAAAA - AAAAAAAAAAAAwMMk59K0Q273ZemQX3YVLNSXAQAAAAAAAAAAAAAAAACAx1m4TK2oSUXqUoqQotlo - XtVC0/Tvv/PZD8TiqaY+h6Oj5b3N5sbxYrsdlRpTy6KaClOYUnMKeKZFVZiSQs2HiEklJSmlJjXz - MJdUUhZtMShU1/JRETFW5Tv71WKon/nwRz/zpc/K1/ISVhbLtWLfYbJzdUV1PqFf1PACAAAAAAAA - AAAAAAAAAPBw8RLFU2G9WymtU3bKojRlZsqazKyElSwl3INyOVwVc7Z2zh1Ss0gWkuSpNJOsSU3W - xjqERZqkLmXKKSRLTy1SS2Xn6aawlCvmpqsmJcvtMWZmyoc7rvsqKs8BAAAAAAAAAAAAAACAN89C - ytSVHG+b2/5F2XcSlPLiIAAAAAAAAAAAAAAAAAAAwOPEJJNLZd/sLJShbCXSp9ArZ//eu9770bAP - WHp9Zb2MO5vN8cJ3m9Gl4/VqkOSLFpJc6SXneOYwNVlLqzG3FsRDIfdNIZupucKlOc87tFTXl25S - hnLZlymzcw3j7laMtzb3PvPCj/47P/Q+7aYyNbUW29PL0txUkUyeUpM/In0BAQAAAAAAAAAAAAAA - AFwDZjQQxA+IKUpGCZW8qITzNIWruXJOXVZKKpbZotb6QMeL68UkVzVVy7BUykNd7qt3LU2plhby - tp3OwybzlMUc0a2U0i06S7eUZZSMkvOp9jW6lk6B5uPpIrGb6G4AAAAAAAAAAAAAAADgsTNndV/0 - emxzPHdKFmmqUs4VOVdfBAAAAAAAAAAAAAAAAAAA8DhJUy1qLkuVmCstpS6lqnsb3Rk+/d6P/6SO - 3hHTbnfn5MjPht1Tq15jLNJW2Z1tdjd9YeHFeo/ShXehLqLLKIouo0uymh8maZrMR/dqPlfeumrJ - aqrh7Tttc3Tj+Fx5e2ptYVtX9rpXtzf7eOLO3R/vT/7yB57XvVG7wY9WrdPYqRVJslTZB3gDAAAA - AAAAAAAAAAAAAPAwCtkg38kG2dRKnUqtrsnVio1F1TM8omRYNA3TtHvQA8a1EqZWssnmslpXFs3p - 3VZaZlqkR/M2TOdVg5V0jV22TupSXdaiWrKVjJLpSlOWTJM85UnYMR4drGYAAAAAAAAAAAAAAADg - zbBID5lfNPpLk9IPUd0uSTY/6dTnAAAAAAAAAAAAAAAAAACAR0IcNklK6TI7OyWFct6UUpPa1RdY - KCe1QcPuP/rYj/xn73/u2aonNjufBpfGMVYL7XaTy01qGSe+VGRtNTI801OWspz7AO430pofImHK - wya5KfZ30NQybi7LndNzmW4er4Yxp1Ck1gvl0J4qWr704vu346d/5JMaJ23Oi2rMC2xeASmT/GJx - 3rcu5+eVum+9vuYlAAAAAAAAAAAAAAAAAPC9ZVJ5hLeNVVmVtblKM+YWl25mFvs/I7xNPozaSpI8 - zJurucKkQ//Li9LKMIV5GM0wH1733bv5Loe96gVz79OQVVc1pSmk3Ff6WrgyM8wz1aY2RoTbfbW4 - kiyzZLjC8vKz8iIInH6qj71H5rePpQwAAAAAAAAAAAAAAAB8v0zhapYheaorks11jaai/WaSLOQh - U1KfAwAAAAAAAAAAAAAAAAAAHnqRaqlpbgqZhxDvnJ9RKELRVKsUTRolD5VUSM1qatDuFZ3d/fln - n/vQvdP3j2PftpPGlLu5N9fkZt4UoUxpiimsmUVnKQtZpMXcUDBsvz0ifeAeD54yhWd4xpzbrSsR - 2m1svaszjdtd71rMUe+jihQ1bvQ63pw+ffs7n3n+ozrfaBgWEZFqUg3JlNNoaqGWr0rvTukybT7m - I6+TKw8AAAAAAAAAAAAAAAAA9zMzM9MhsnR+PO+Bt1bKm5WmTpIpSkYJuWThUUORK3dVuduYQ6zb - N+5+y0rXqqeX7PvJWrMmi17qmkrI0sM8dbkPU1hc3ebKzNcO5mr4Nx4US5+3OTl7voM5l84eEtnD - POSSp7yZN5OplRxd0xwDHxbZWnF1JbMNEfGtb31n4QtLs5RSTWqmNKWFrJoizSL76mUqVl3NJe3j - vfEYMrP5F7DWaqZHIL+b1sAAAAAAAAAAAAAAAADAm2QpszSZ5AqlpaSUKQ61hnFoRQkAAAAAAAAA - AAAAAAAAAPCISLkkS3keWpi5nZ/fO+QkR9baMjupzD0cTSWr7Taa8i+9/0PvOds9MQ4rTa4qzWnc - HirKIs0dAJUWaXHIXI7L8OX5BVcin/EQ8bzcvvuz85bFSlGGbJJL71iU45de+U9/7M/qbPBhWqVM - GlqTyboux3bZUM+keZGYmuRS2T9BVS8AAAAAAAAAAAAAAAAA4NpJee6D4cNTrrB0l4qZQpaylKT0 - HDW9cv7yNA1dMXedn98tnfd9FzUtO0+3lCukKqtSNQrnHgWXNbS6qKHNi6pJVxap8yiHbOL9692L - RU7TZL1//aWvZd+qtdrmCGbLyzjwkFIKpecc9y5vpmZ5+RF4jGXmI5DbLdYxAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAgDfmpiL1bU5eDlmThSRVxfLkRG41qpYL9YuFWS+Z687d25Y7jVWvtF9+ - 10c+VBersY0lNi7tO6BFeIRl9aQ9JC400y5zMhVp7bZYlvNpema5Xn/r9mee/Zjunmk4L223XGRT - aFetrCyLyWXS3E1SGqQmWUot5uOSTCqHXHkAAAAAAAAAAAAAAAAAAK4tM8tUZrrPe4+Il19+0bx2 - Pi1sWljrM63Jo29RQiXNZZPbYLZz25acSlZPefrVTfP2GvtYaDxYFrJIC+lyszxsClO4wvfHvbRF - qWvlWrEOFamT3LMrvp5ql30Zu/bVF79y3p3HkSZXUECJ79sjktotiehuAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAN+Vm/wy83ifhRxVEdKYWfplS223g6Us0truiZOltjudbj/z7Eef1fJ4GNde - Ugq77O1oGXOTwX0SODCvrFTX9Z35vcjarFVttufvXvRPn53+6sc/9m8+86SPmy6GoqZ+pXDJJW/y - Julq89A5FD4vzitL2aPTSBAAAAAAAAAAAAAAAAAA8IgzU8T8wM7O7p0NL086n+rm5tHKptaG2pVF - ZAnrmnmYpPRU2VdlOknND6d9be33zBu2NMui6FMl1e0LJNNadXmpJWpfv/j//V7tx8mnVpQsCDyW - iO4GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8Ppyzj5OWZNCKpGlplUpenmdpgyT3JodrZZp - irpT7rQ9+49/+Plf+aHn3i2bdqdT1qEOXapLVVc1mVRSXUQX4aQp48BTR9Kwm7bFwoo3f2Z984b6 - rNsnfXr69Pa/ujz66fc9qzunGsbc7VSUpnolsbtInVQ0Z8yHMpRqUpOUUlwN9wYAAAAAAAAAAAAA - AAAA4NqJCEnmMlemMtPdaw6//0f/NFa7cTozydO76M2Kik+uZmoqyuWVrVe6ve4mEeJ8LcU+t3tf - vZt+3xausMPmijSlqbk38+aRFpJMiim6xWKI4d50+6vf/KJW02YcjVuONykzJeXDX3ZLdDcAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAACANxSH9O4583hOQQ6pSEfdelG6aKmoipabM1fo9Ow/fP8H - 3322+aHQso5HixJRu0WR1EzNlKaS6lMlZQ9/Qze8hSy1dD82H2tbLldj1jvbe1VTRKutrWqcvHL3 - Q0P76edf0G6y4rL9arRDZz2TulRR7A+ZpDicnW6jAAAAAAAAAAAAAAAAAIDrKvcJs5lyV+acnLuP - 7o4Sn//j3x1W21jldrfryrLr+imm5jV9Co80DxVlr1wcyjOJrH0YvW5l7avLH0NKy+ateW3e5sxv - z/DMsrBqO1vXz3/ls63f7eppV6jXxT8Xe5iz3/keBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - APBdzSnIJfIiBVmuURpkoeLmi6Jp8GK6d/7pD7/w3Nl4Y9oWr9t23sbdwiwjhqKhqJkstZSWkkn5 - EHdyw9vitMXRcrGWYjcsF0er5fFquZC06NWbbrlOzs4/+PL5z7/3Qxp3Gs+lSKmk7GJtzvHy87+H - qPgyNzB10rsBAAAAAAAAAAAAAAAAANedF8253e6SlGq11H9276tffOkP60k3lBLeR1r6VPMsfRs+ - SVJ2yj5VwhSWeVlXd7/0i5hwXB9pc1Wtvc6Wbul2cdfS02IqYy1D8yF9dDXPLClTLX3dxd177cXf - /sI/9lVEtCMri6byBssBeLTxZQcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADg9dmhYVm68nCk - yItcxdVLklpTNFnozunPf+KFp+6evVu+tLadtk/3i065cMualydNNSnmboIpy9d8Kh5bprXpfLcr - UlG2Om6G880wetFuUpoUOpLe0eJ9Ef/Bcx/UOHV1WGZVhDIstV+m6Sk1qUohV0qpNMXheQAAAAAA - AAAAAAAAAAAAHrzXi9A2k5kilCkzZSozm7eXppd+88u/dWbbXPuuDru6Wyw6ZZVVV7UMl6SQtfQh - fZTIan6ozIvhVfs3zlnPOZ3dmql5qoR5uBRD3hsXp398+0tfv/snr2xuHx0t67b1YUZeO75vmZn5 - iJTcsu4BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvCGLlNQUk6LJitzCVZWpNDWXSmpzqvOz - X/7kp95x9/RGRm2jWqxNOY1LyVp0pmXVsqpr3szPip+6p7yT0xANF1KqUrP5r9ZHPZLcVaUsmqRJ - qtKkqR83z213v/iBD+veoHvn0iQPtapIueQZUspTnpJMOuTQ2wOcHgAAAAAAAAAAAAAAAIDrrZQS - EZnph9I2p8YNbyN/zYO9CLnLTCnZnMhdWjuafvOL/9e3t98afFO7yTttN2dHi75P9Zmd1GkqGsy2 - ZjuzXYtpDt+1C57mKQsZqd7XUrrk9+3lZsWsyIqs7AsjTWGKiIgoqYW6rnZ9LBdlOcW087M43v6D - 3/qNYXGupdVaS6o3vsrwfcnM+dtimqb5iJk91DHeLH0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAbyAly93mnhSSaqvTULULmaxIrqyj6qSWP/2xjz917+4zrXlGmkwqhy5tJpVQF17SXQrX5Kqu - +Xm6P+JCmJqruppLkilMc/i2lFIqpJCaxyKGd2zP33t2/lc+9LzGUJ2kSZ7yNkzb5tZee/aUPcSd - AwEAAAAAAAAAAAAAAAD8oD3UYaV4eHxfwbJpOdi536j/w2/8naG/u8073ZGOTo6GzWS1WHVvUqTL - isxTki2Xy9K7u4daRETWOZn+bZ4O/tT8sBiu7tUOIkKSWZqZJPd+3R91WerZ7qisPcrtO3eWN1fd - E/q/v/B/fGPztaEfJo/m6pZlml6nrBL4Lh6Z7wqiuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAC8AQtN43qx7KRWa1+W3XKhlcvUTKbo2qTT07/60ReePa+3hl2XY7iayVMmNalJJi/yufFZdYXU - N+/CJ9NkSnvAU8S10kzNFFKYQmomSSW8i86ya+om85SKdJR6Ztz+8Gb8Tz74MW13ikExpEVZdlUR - 8pS73OQyyfbh33pEGgkCAAAAAAAAAAAAAAAAeFvMmbgXHpnsUjzkQlbVjUN7+Wsvf+Ef/ub/3D0x - vjK8dD4MXX+z060ublosLReKorZQW2tajkMd6jDWoWZNC3P3Usz9tZV0c5EdrpOLGG91XVdKsc5U - lJ5NrWZtLW0q3hYabN0fD7s2tnrzXU+8NL745dtf+j8//49u19u7boxek2Uz1Qc7G+DBIbobAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwBtIyV3mylx1C1NuN7v5CZ92mgYNw1987iN/Zjsdb05L - ZDRZXr61SlVKhRSS5r1LXUbJyDmkmXaPeD1par5vD2rpLpNKmKW5SSVkUicdD+fPbLc/9fwntJ3m - 4O8iiza93hl/sBMAAAAAAAAAAAAAAAAAAOAtE8Uzu105Gf7RZ//+//47vzEe3bMbGn0cso2KZtmU - 6SVLV+yoK8fed9659z4HP6dnZK2VEOeHwkXlrWqtU0wREVFDNS3l4V1a53dP7y5WvfUWiymP27en - r48nm7/3//zG1+791JMRygAAIABJREFUia2y5mi9t4xdbWVpafFgpwQ8EN2DHgAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAACAa8xc09T6zotb09F6JQvttuap8+3Pf/BjH9mGhpeeWqx2uaumMkm6 - L5O7SZ4KC0ldhKV8bihIaDfu56kulCZJbV4ephJXX+DKKHIpdiZLTRre0fW5Gf/dd7337770zaxb - W3Tr7JrUpJwDu1MKyUMmyR/AxAAAAAAAAAAAAAAAAAA8tDLTjHI3vN2+R22bpRZduXenqbv39DuP - /pff/B9f2Z7+a//iv9X3J+tys8vOlJKsuWWXUaRwi1C1dEkmy0yT0vbVmz4fzyufS7TzA/YG17+o - mJkrlC2rJLN072o9P3qy3+Z2ivFsOl3fWp7VF/+7v/d3Pv/N356Wo0q63CMlhSk9+RbD9+Pi9y4z - H+xI3ipEdwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4Iy6XFgv3UmtYZGem3Vae2m5/9vnn - 37vdPT3Vk+X628N2IaXUS5I322d1m2S5j/H2ud+j7ZsLzq3d/BFp7Ia3xhzU3VyS8jLePaQixbyQ - LKU529vUmzbD2Y1p8eGTY22aHa10vtPxjaL9GeYHh8UHAAAAAAAAAAAAAAAAAG+IiG48YPndArzP - 7rVbt/rNbvrO7tu33vHOf/D53/jqN//oz33qz7/w7Ke6WFi6othUSiz7jM77bOFyzRG8aWZW3K2z - VonofhhYKF0WEWEuZaZFWEhpZuG7nW0Gufdl9GHxzvjCt377f/3H/9Mf3f5KvbFrMbaxLZel1qlP - qVetc30v8H15ZHK7RXQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgDeSpipPUy/1xbNTbs/N - pTv3fvYjH3+ueD9sqrRpemLdTa3Vmpk+v3FmKU/ZIaK7uUJKk6VKynP/JyDJUmXfJzSa5JKnSkpS - qDXzMIWpyn0f4B2jqe/UmZ4a4q984BP/+Ve+rJtrmZQqkkIypatJRn43AAAAAAAAAAAAAAAAAOBh - 5evVYjtGLMrQ2un47Xe++9YfvPxbf/y/fe6p5VPve8cHPvLBT/zwez/89I13LWJdd0Vj18WqWF9K - kZS1ZWZUd3ejku56sldFqqcspCydMlsqzFSK0rK1OsW2PNFGH77+jW989dv/7A+++bnf++Znz8rL - eRL9chHbqavFhli5VctWRVo7HltEdwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACPozw04tu3 - YEyfj+4PmaQ4JG5LUrTmJdVJd85+7sd+4r3DtBqHhStCY6jt6sJsTkq+aPBnuT/ZnN59cSpJafJr - 0whwHqdLkkJdSrGf/nw87DD0OWU8TLp6afbvjnkfr+lpefWVlpqvxdXA8qtvuf+033vY0mUedV6O - za+O+SIffb45rxrPYeKHF7/xYMLexPD++bjl1Su/v7aHmXrIi2Sp1mK50DiMvdq7/eYvf/Qjv/rl - L0hrlaXc9wvS5/d7KObJvvoWXbmSefgwy9d9KQAAAAAAAAAAAAAAAAAAb6m5gFOH8OaLurXLgr2Q - XOm1jrZwd5WFXt7dPT7W1La32/b0xZd+71uf89at/OSpG8/8maff+8zJu24snz5Z3Dw+Pl6vj7uu - 60px70opi26hfX3g/nPt/ppGPAixL1vcl3te3vvz3fkwbLfDZjcO23G72ZzfOzs9HV752ktfuTfc - 3Y7DVpu63NTjbZQ2ubbnw7qz1WqxubdZ3VhkHXc7rY4949qU7QI/QER3AwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAI+RyyhuRVNzqZNLpnSl1CRJ3T6626RFuKSWrZSqttX2/Oc++cmnXrn3xJTL - bFVqLkm93MNdigy3iIs87LzMk54/3g8p0RdZ0W+r0P0dLe/nh+xql4qU8qa+ylPRLGRRMi1UpFFx - XJanbSjFzLPW+arJVNw9w3Y5HvXL3bRrmcV9ijhZr8+2W0lH/WKYxk7mypC6nBOl5cWmmmkyV+bF - gA9jS2lOy3b3VGsxv6vIzeZo8bYsXYs6x4GPqeVC51WSeXqRS+nW77JNmqIUKUvKLz9BLnVXortD - aqY0pR26PuZFK0iPfTR4vH3p3WlqeZGYvj8S+wGEa79+LhZxSXWyHLIzZR/D+MqtsF95/sOf/uw/ - 0a0ntFhLfUxpxdxUa+u70hQmlfs+1fd53Sa5mqlJReoUmjuT0pAUAAAAAAAAAAAAAAAAeDy4e2bO - e2l+0A5/Am+515Y1tkMd51zb5rJIhUxSbYquV9ZqJkmdK0NjiWrjLqv6raWd6s7Lwze+/PXPexZL - 39fipUn3lcK5eymleD+XI1pkZs6P8aBkyVlERMT84OJJXRbchqS0CGvZhTo1j/TWNFqqD/VFihza - rqx9U0dJ/UqthsuvpsEDr2v+vSulG4ZBkpniIf8FJLobAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAeAyFHxrw5dyQ0V6/I9+0q/2yKzEpRp2e/6VPvPCeu5tnmhZRdZnJ7SE3XaRk61Xpzq86se/D - mN+quXw3/nq9LS+E9t0oLfeDNEVRRKrsM6MlKRVPHp/cOT+LeY5hymzSquumWrO1lPWyqQ6SulLM - cmFlmob1YpHZ2jQti9cWk7Q4PrlzfrZarYZpHGrcuHm8G4Z0u2jtOl+c+dp0EWWKPkKuRdfJLUI1 - FBFNbd31U+aQknSyKN3QhlHLZV9rmOcU49pXu9imdHJ0fD7s0vZR5RfmxxdJ6s32j+fj9prbZHl5 - i98mr10VV48c1tVlvnmnXtImx2xpTe84sldu3/7rn/qJX/rsP9FNU+++Ks00jO1oUVJ5mR2fh6t8 - sfIPVyOluLwqb/eMAQAAAAAAAAAAAAAAAACPsfS5mi0sJIUfMrbT0zSnd2supcuQZHlZBzjXcLZS - 39wHpsy0D+22ffliZhaVt2ZG+FNJ39+IeX8Rl/wmEtXvWxtx9biuFMoCjxWiuwEAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAIDHyGUHv7SiItMoT3lnKn4lpzgl66TojryN58Wlu5tPP/9n3//i5t2d - Zx0nV5pKSFKzCJdCV5Kgr4/Yz+n+joNhSlMzSaqSp3uoZHQaOsmlTGUqpDRNpq+dnx33qpNOjpZ3 - TndHZdFZ2dXdyrrM1pQhdcUzU4poOX/gVMf5bAt3NeVy/fWW0xPPDMOwfuqJlO60ejbGycmNWvet - M/dx0nMg9zS9rwzdbhxCLWpKVdZkzWy9Prm9OetkT9x68t7dO3eGuHF0Mm7OVsPkkhdtpb7b9SYf - NGzOjqSUzyHrFxfBFVU+375m+xzzEiq5H8Z8fXJONI996vUPJnP9+zSpmlmmlp2Oi7abOD5end25 - +5kf/5c/87uf05N1MKVKtyiWMpmbJFdobmbaJEnul/9fzJ1H99foOs0UAAAAAAAAAAAAAAAAwANx - maALPBLmKOiIeWFfLu/I61b/+XiJ+79q3kRiN/BWe5R++IjuBgAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAB4rIYXSla5wueRKKaVqCqlIJaWLdow5FE06bz/z/I99eBM35bVuj0rfYrrs0WiSIk0h - +bXs1Wb3j2ofPp3uh6TxkMI9Mzwk6eK4bB/yfXPt2fKkZD0bTqwMbVRZundjTCn5woYxq+dmSlt6 - lZuXkFezrl8Ow7BcHd25c6c7PnmpX/767/y2uk512u+XC02T+v7Vg05pmn79X/mX8u6dzbBLudyq - zMy6rntpGI+feqaOw9fOTteLVU7jvWG3Kr3a9OTJ8Sub8/VSZ4M6aSUdmywViqaQPFQkd0kqqQjz - ZhHmOuR2lwzTflWE7QOs/dXje/DSoqVW/XLZ2nbQiauGzu/tnr5x8863bv/lj37ib37995f96rSO - 624tSSGZNM9Nnqacw8ilbn9Qvo8qd1kor+GkAQAAAAAAAAAAAAAAAAD407N9KHRe/dPM1B7cmCCZ - XcsCXDxmDt8Pj056N9HdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwOMjDlHF2mcxp3qpHY5W - Rc7R3emtqCis7bQd//pHf/J9L95ZSN77ZpLa1EtKTa5mkuQpz0Mm8rUR+/Zx6vMysrlJkntK6Yfn - 1UxhauajyxQuWSoPud2Wsm24tFYZ1FxxvD76+nazWMranG+du6ViVTbrMnTLbemH0v9Xv/1ZLZcK - qV+oSYulomrh+6FIilBxbTe69cR9487DPvVTv/cF1apMlaJMtao2yfwv/Ngn/fz81rIclbW1dvPo - KM+25oqml87OQ9Kko1UZdq2YItVJvp/7vAZ6aRFS2GhSFy7JDjdxZoqSstyPtpmumzCZ6XwcjmRr - ZZVunaz67ZSb8ahfl9Z071wx3Ti5McROtXRdLx0yyU06LPuQ8pBQbqlySHaXpOs3awAAAAAAAAAA - AAAAAAAA/tQiUtIhn3cf0JuZMn+AowJwDT0C+d1EdwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AACPlStN1OZs4lBxSWqaQ6Vjzrgucoum7fgXf+j9Hz7X+3y9jfPNNCwWllKOaXPOsaRD6nPYPvH6 - GroyqDmz3E3z4EOSDsNOU+oy2nn/OCXppD8apu1C/VZxd7dpa52uFlNZnEebmmm9/LX/93e06FU6 - panrtViphdZrmafrbBdHq94zTTFOU9d1Lc1LF/0Nk792qC5JHoexzGniUlVLRf3bX/yiWtV2K0lj - /YVPvLBYH3V18qU9fePG6Su3j7xYluJNkqfqHNltavt89RZZNc84w+e89sPHhlwKl5uizBfnkG7u - 16kHn6e877oWY4vjfnFvGrdnu4Xk0q7tjsutX/6xn/jVL3xO5+fLo2P1Zf+2vFwNc5z5nN5dLOa4 - 7v2T1y2IHgAAAAAAAAAAAAAAAMDbz4zKITxe5mjeTJmx8h88s1f9afkIhCfjIfTILDyiuwEAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAIDHil3s0mQpxT6926Run2YtebMMbaf/5s/9Gx/d6ajTeW5T - 9UjKrntxMx316kKrppL78ONr2KHtImc6DgdC+4huuzimkDRnV5uu5I6nS2WeVVo2z9vTxr1Ep1P3 - e17i5vEfD/W//cIf6+iGTIqmrqiFOpe7XGpVq2WGhnG3Wq1urOZ8blOWRVdk8jlEuqXZ3O9170r3 - yyyekjItlJlp5tala6leitDySE3K/LWvf1utKqWY/u0PPvuBd76ntBy+8+KT3k+WTTVdYQqT5plm - FA3zcCSV2IeHp9SkdogtL+lXLtR1tBnr08erV853Z9N0tFh2aZY6r7vj45OXz+++p8Vnnv34Z778 - T7VYZIYW65DcLq+wXaZ4Ryqu3ATfPw0AAAAAAAAAAAAAAADgsWH26pqhzHztQeCh5n65pDPzYoET - 3X198LUDvFWI7gYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeH37xKOdMZlOZo6xTxaSY04yb - IjSGNsP297/8VKu27HfDcCSV4nc3042FWs5x3a77o53zOnULtNxPuJkm24eLXxyUol0M2GS5jyGX - 5OlKlzzk1b26tmptvd56bN3vmf7rP/h9ZWp9S+ubzeWusK41+VJmilBI3WKRUilallXL6jIzi6l5 - 16tWSeq7bFG8vGbcF49iviNmWeTzLZu3puxK50UZUjQvRWNRcU3233/ta9qMavELH//4ptVFVM9W - MrqIPqOLJoVsn88t7UPX5zufijSlubS/FJbu80pJlbxe91fSkWuz2c03dKyxi6mXitlUz1em42ko - sp95/pN/6yt/YEdFqqluknqTpSzlr57OvJj3oeoAAAAAAAAAAAAAAAAAADySMi+Dus3M7L4jeLAi - rt6dBzgQPKYepW8DorsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAx4rrMqxZktJdkqVUpTC5 - 1IXapM30Sx/+6PvaWBQ5DGupmVrEkaRRIU9TlVf5PlH6kI19fbjUSymfpMkVFpIWTUqZ2Zjqln4+ - xmIhD/mk4nL3zFREUYRq168H9ztmtxfr7xT7u1/5soqpt33ms3X77PNUkYrv8573YdeSHQKwZV2T - TCq9S6HeZZLCil6Vff56k9jfMJMuUr47pTKlMJO6lELLUDZlkUs3Fqrt1772J4rQdvMXfvLHj09P - 32VWX3nlyeJdagplrwh5SCnrZcWGXa6WPg7ReWZmmkaXpBJeUl3KFPWapXdXyaWlFLJJKe8iwxQx - 6KSXWrXp7IfPy88/94m/8ZXP6zjVaZJSXWcqKUtZSy82TyqV+y6XpkPS+4OcHQAAAAAAAAAAAAAA - AIAfJDPLTN1fCnc4CDwiXrueWeHXDXHdeFAys5QSYeM4mukR+G4guhsAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAB4nBwCiUPhSu2Do71oDok21apounf6s8994gPNFtHySpDx/CqXmrylqpmkkm5S - s5Dk16xHW8ovkrHTZIfhda7ifjrEqtc4atXJpEwNNSS35XITUywWd1tuOv2NP/iSVkstllr26d6K - tdRyn+p8JXjbLv/1w+fafhiHJ/d/uxTS99y/ejKX+/lUdt+TZpKZTFoUrXpJdRi69a2//bu/K4XO - zj79qR/vUnl66nU6WR4NZ+c3Fz5NEaFpyqOVj2Mc9T5OMYeEzx/VLq+bf6+g8QcgTCXlh1XaTCbd - Wnd3N/XJdT9tp8U4nbbQFKq1U1O3kmIYp1VZesrdlDJT7ueWTmA3AAAAAAAAAAAAAAAAAAAAHlf5 - CKR2SyK6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHiM5NUQ6f2/RZFSmstkHgrT2fBLL/wL - z9Y83m5M0UxhklRyn5EsqUnNzNJlsY+wtn2u8/VJ726mSEnuii5UDrHlknYtj6SVVCYdeZmmFlL6 - 4sgXm9J/s4t7J7f+y8/9jlZLdUUyZWjlw7jt+uMma6ZRytDCZZruj9m2+b9yecSlq1feZYeD32Ov - tNDVJOk8PGX7k6QppHY4fd9JmWZZ65iZ/aqfxrG/daJIHa1/5Q//ULvhp37kR99Zm22H47JQVclR - TUelq7vaSYtik+S5z8CePy9NLd2vX263pDSNLkstQ6kYSjTT2abe6HVvO5jULWxd6y9+6KP/xZd+ - T0eL7rgO07BeHJmkKsV87VwW12blAgAAAAAAAAAAAAAAAAAAAA/AI5PbLaK7AQAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAgMeVH1KLZdI0TX1fFJOm8Rdf+ImnX7zzRLbMSVLzfXS3S8r9e5opJbOY - w7xDLkXoeqU7hynNJZVUF5G2PxiSS2PLE+uUvous6nZuWy/Dcv1Sm/7mH35ZR53W3VTHfr0OmcuG - cVys1lXRUmYekrmawl4b3H1VXnmQ97/0e0ntr6ZLtk/svv+0dvlw3ofUWlVR6TrLSHm3WA1jLYve - vJSbvdarX//Kl7WbfuHDH3sy+n47HPlq5Ta21qtbKMapLU1TqkiWSpMyLH2+44dlc52k0txSdmVg - KQ2TlpL1/enu/Gi1fmdtf/VHP/XXfv93NUzLvlfWzdCOlms1qc2d+V5vavnaOwoAAAAAAAAAAAAA - AADg0WR2X7XQnF36KCWYAgDwXbzqd/ARQHQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8Ngx - yeX7VOKQUn1nmgap6ezeU3fP3tNMGo69bCMzFRZhilR1KRWmsLD0EnOqtFzy0HVr15ZSdVmqi0MQ - tVTn6O4ia9pkNFmz1b1im6PFt/vy61/6Q60XMql3FfX9MqWYwq1bdseasu+sNzXFFNV8TtSeL8P9 - qdzpl4O4eGBzzvR9g7TXtHW9/wV+GeBtr5MLblKRzDRnqFu2UizMQp7m59vxxnKxLJ1CitQ4aNGp - S906+rVv/JHG6Reef+HJYTg93ZzIe40rmaSWkslSfUrzAjE1UzV5vKnw8R8ES7e8iN0OT3mqFB2t - lmfnQ0yT3HLYHndtvD38rT//r//M3/97uimpLlfHbV63vZQyc1PQZBcAAAAAAAAAAAAAAAAA8BjK - a1YC+rh5bTUp8ABlZj4Sa5LobgAAAAAAgP+fvXuLtSy7zvv+jTHnWvtyTlX1hc1baJmSSaop3myS - lhwjNmCAgIXYCPwgI28BguQlAWJLSiggiuJYgixakXWzZAgwjEAvzmOAPCRPNvyQIAiE2LJEUt3s - VjdJ8Sbeml1V55y991prjpGHtfc5p7qbt1ZX1amq/w+rZ+/bWZe55q79MjA+AAAAAAAAAAAAAAAA - AAAAAAAAAAAA4JFh2oc/p0yS+f7FDE2pYdLm9Kc/9OF37jZVg0u7aJJrH40cOScbmyR5xpxCHfuM - 6ij5GrnU95dJJefY69AhRHuOeZ6kulzf3rW2Wp8tV9+w9k8+/UktOh0td15q8RYt2rQoneS107Ad - +97lppSmsVRr49AvFrlPsvbzI0qvEde9f+98/l9xlq964XwHfn725zu2V/6RSSX3b2dLlXCru3Ho - u/7aqt83c5xGVdNyoWhaH8k0bobu+Oh/fuaTGsefevpH3mT1aLsdh+21btGGs3mHdjiHppinTha6 - Up340rW//Gj7lSlJY+j26W5ut3ftaHXz9lkZt0+t1i+98DkNTcOo9XJoY7P+qJqaVKSUm4fCdOkG - XKX1DAAAAAAAAAAAAAAAAOBuM7tcwAUAwKMlM19RPmtmD26ON9HdAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAwKMipVBIPsdsz0nSzVRMGnbatf/x/T/6lpfPahcn07SWijSYmslSJedMY7n2T1Mx - mkeJkGpokXLFlGpXJu24hDqFpGYaDidf5nDmUj+/PStPPfmFaL/z6d/T8Vp9p9JJWrhrasVMthjH - Fh5WXMvubBjXXZfDaF7Vsq9rNZn7t0/jjjSXYh+RfnjnlR9/rV52Zne8WyRZzB+MS4fw/T2R5ErJ - XF092QzXlr4qfe7GzBamsqjqTdI4jV1dSGoZvlqnRrveK+uvf/F5nZz9V0+/9x1H65e+8dKfO1q1 - zaYcbuV83BohXa1odh1W46yZZLKUp6q0rF2t9eZ2c/v22VHvwxA27rrN8Ivv/0s/9+In1aL0ZZC1 - 8658KTP3b3soAAAAAAAAAAAAAAAAAAAAAA8MorsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACA - h8TlDOjvkLDs52HSKUluUoRa/Dfvffo/eOn2jbbL2tTJJrXcB0XvA5JtfxhPmZRSWoRcc6Jzxt25 - rDscTkFhUnrOUc2SZbgUh2zpyynjF39lmqRdrbtSbnf17PEbn/j0J7XstVioFlk3SlWumMyKzDRF - 15VmGpQp1b7LTOs6xTx1tp/BfI3pPsxchHQet70/pde8pG9rzuSOw/FeMcnn97zJJEVTXa/6+WUr - bm4ypVKyqU21LkIpuaxO2cxKVqvK4qZ6/Dt/8qJ224+//4Ofu3X6WN+txyw5zZHtnirzYVJ5SCKP - eS0drsAP53K4C354dhcdcrsj7wxFL+7baRyn8ajrUm0zxNGq3tpMT/bH0+ntn3zfB3/jM5/qa21e - JbVQKdLhC7Gf1CsWUg4AAAAAAAAAAAAAAADgPkg/r1ICAOBRkPnw/PAR3Q0AAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAA8yA690dLOQ5JDh9jk86xtHZ6WkKK16i1bb0VD2MK12+r07K0xHeVZl6om - jWrpJndFZMzRyJezmeOww3pI7J4kv8tBzSbVlKTJlFJzT1lNWWaVOtlWraUWq8Vmu5tSfbFBaU1L - 0xQK67fL1Vcybx6vfv0zn9aiU9+pVFmZQ6i7+Rh+CG/uXCaXlrKYU7fNJKmcT61dzPIrT3U/5/6q - S3g9l33pDvor3rlTufxGKeeZ35K60s9B7ZJS6q1c+rOFSmrRab34lRdf0Gb8uac/uHrp1tu647Y7 - 8Zy6zqYxqynTivdjG0e11WK12216UzUp9qnkKU1SpO9XnemuLoq0i53PDXLnVdoyZOpTwzRKqqbd - dupcZ8PJ9fVqunlLJxt13XJZpU5lP0fzXZ6z2FMKRXnlDQQAAAAAAAAAAAAAAADw0DKXFHNkqZmb - pSSTv0aI6Vy5lNQX4eFBSD2A+feulLrb7eai6cwHO8mb32kAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAADg4ZEXWd4Xuc53Bjy7SplyNHeZpKbTnc7Gf/jhj9Zv3by+rJOUoyJV1JmqpfxV0ctp+83z - YktTM+XryaZ+PQ7R2SnLlFJ+qraonUtnm51S1/pFRg5N6xurb4S23eJbi+WXa/2HL/7xrz//nI7W - Wq9btxi8S3PpUiD5vHe7COY2nYc7X3rr0me+83l+z5/9jn+/53Zp06s2e8V5vvIDd+41ZemW3lQH - dVstprLUaqX1+heffearN46/uuxOl0dbK7fGXHTKlCkjYrU+6mt/e7dZLBYyncUcdK2UmhRSu1fL - 4LJ5HV726tVYOovt5vE2/dxfeLfONjZO02a7jxxX6HwJ7PdzV5PoAQAAAAAAAAAAAAAAAFxxJH4C - AB5RD3Jg9wV+yAEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIAHn1383yQ/fyFd6cr96ymNFpNl - b50yB5vk0q3tP/qRD/df++YTq8VuN62Wst4mabTYabhnUdzfi5Qm+SSl5KlFTH1MJafwaeosS3cy - hVTWVq/VLoZdn1p5+erNze5o/cVrq3/fTz//4qd0o9NxUV9l7vLufK78kWzP1i7C3hfymq5W1FWt - 9U+/+Mx///y/e2GZ8diTq+W17Via5PLI8aWzWy2Ho65M4866brVaDK6t67TorGpXPFxhIYurln69 - qJ1JuRtvlO7aTMbRAAAgAElEQVSnPvxR3T7pOjOFIhSH+2+SyRTl/p4rAAAAAAAAAAAAAAAAgPvB - 7KJsLjPz4QgvBQDgu7n8C/hwqPf7BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8fpejtc+D - pw+53Zc/t38jTSbLVGcuhc5O/7t3veedu+mo609Obrnkrt2Q6+ruPg3jvbiG74M3K1KRmis8ZVIz - SdqOY79YttY678YYNLlJ3i1uZrm96l9edb/y7B+or1ot5J4+78QvJs9e9eARYdIhtdxCks9Psq5M - o4p+88XnfuqdP3x9u3tzXR95TMPWPa8v6tlmKmpDqO3GlNxr2P5eFIWlZBGSX7GmtZvNIKl3O1Ye - 3zxRC23PtFoqO6nub77d+d0BAAAAAAAAAAAAAAAAAAAAHhmZD0kprX/3jwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAC4klKKwzazOYs5X3uzVCcvkplyt9NuVIu3VVvHSbc7veG6ce04sqteMm0Y - dr1kV6nxWkgpz33StCSlyaWSqlIvdV63MU3yqXaxWHyljV87Pn6+1F/5zDNaH+loPZW6866pv5zb - nVIqZDGHVz9CTFkkl4WsSVK6Bved6qgSWdQtVP3XP//CF24cfXG1fKlbdteOzyJLyypl0+NHfZWO - am9pyqLsSpRV80WEpDTFVYpC99Siar0sGdHtxqOXT/7B+96vcdDZiTLnxG7XnNsdykdsMQAAAAAA - AAAAAAAAAAAAAOCRd57b/RDkdxPdDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADzYzruiXYR2 - v/LVC5babjamMHN989ZP/oX3dLvbC2umlqGbt0/GcVz1y7G1patW01WKXpaUFnk5YDtlKU91ptPd - thSv3pXF0S0rn2/xrScf/4XPPPO7X/ic3FVK80WzZaia3HKfBH5pT5cz0B8JKY3SdP7E1KSQmpQq - Zgt5r+Valv/LFz73iRee+6zr+dPbdb06HdrC1BVtz4ZRimkyydO7UA13yaRyuDVXiqVOts3Nu9RT - xRfffOmffOxjCilDprxY7fNKoF8fAAAAAAAAAAAAAAAA8Cgyu2KVcwAA3EP5EKR2S6IUGAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAHjAxau2OYbZZb4P3rbDJk1jWy1XGkftdh//4If/3DAVjRuL - Jq278kS/fKxbte2uSP1qeXO6Yn3XLGRNNgdMuy5dmbuO+7Ibt6F2EvnliK++9clffP5ZXV9pvdCN - a9ktXbVLX0WJk3EfUn3p8h7ZTqshyaW6D652qZcWaRbeNpPKQsc35NK1xW994Y9vv+OtX1C0fhUq - 2WSpJxadK0tml7FM9Yp5FZZUH1duVq3piWUXGcO0W7Txnauj0z/8I20G5ZR2SG7PmIPMr9biBwAA - AAAAAAAAAAAAAHCXkdgNAMDDhOhuAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4MFmks/J03PU - cF6KoTbJlIdNptoXRWgctd28abtZb27XnJpLru3YhmGrcSjKIt3ebBflfl3Tt2UKU7jCMiRP+RzA - 3ZrOhmaLxcvVv7Kun/jSZ3/7D/5Q65U6z+pDmqxkyiVN6pbdxR5DljqEVj9y/dmKwhVN0fZP1adq - 7ldRWa4yXaXo6Fhd0Xrxa5/85Aumrx+tv7VYDFKRxjZtla5wNdMkRZOanYfFXyGWKq6z7Vi7btH1 - oWynt99m5Weffp/Gi+Ruac7t9pCT3g0AAAAAAAAAAAAAAAA8UkjvBgDgsgf6l7He7xMAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAA8DrZIWv6oiNaSuaSIsN8H2s9jkPf9ecfKW3UyeYffOADbxpO - FwoLRSqkKi0kV5t3s3VJqqZyZeKLPZWuTHWmXWrdd2fDbifVUmpoyrwp+/rj61/94z9St5CtFKZS - TeqtSDJTSuolyeZ06kNG9cVUPkpMURQptXkO0vcTIrWiNkfCF58XQJbePFTjX371axp2f+9d735X - Wy7atJkmM7UMU0xeWsaUuSw+TtFfvU59ESrysaWpLecLHNv1KTRM2o5luWhS7LbdchnyKbN/kLsN - AgAAAAAAAAAAAAAAAPi+uHtEmJmZRYQe8LxSAAC+L5np7krtdrvDD6BlXplS8u/fo1ceDgAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAADxc9o3RDqHLs1CGNCpC6ro+NCmjKEqOGsaf+fBHVi+/XMeh - ryomC4XUDi1Gfb9TD7ty/cqKFE2ZKtKtYZelLhars7CTbnX7+o0vPXb8q889q662RafSqfTSPC0x - T05IozRIgyn9MHcppSs9H8n+bKYsks9LJvZZ5vNEzM/maPOQ0lzLI1nRevlPn3/2K0eLb9Rq63Xp - TKYwDdFqp+Oj5TBFrRa6Y03ed2kKXdzl+dRcsR7jv3zXezSGpjHa1K2WES1Sha67AAAAAAAAAAAA - AAAAAAAAePQ8yIHdFx7F0nAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgoXHI7XbpfJNM5qVJ - qRaapKhSMWm303bQ6eb6yely2K2XNpmGSV4V5pNpkprUJEklVUJXKrvYJG/qpLrwUarLMijOdtv+ - 6LEvLPufefZTv/UnL+j6kRbXixbDbqcyT1Ao5yjzSGk6bIMuzZnNuc5XKmn63rGU7YOsY77rlupT - nWSHJVF0+MzySNbp6PgTn3/+mYWdqm6HVC1Dai0tpvzG6bYrmpSbVF6pBSQ1U9s34QtJTQqp5PSW - oelsp1u3O09ls1IkTdOjuRwAAAAAAAAAAAAAAAAAAACABx7R3QAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAMBD5JCUnNKUU1GpUlHENGmalNJm+/H3f+Bot3nTcjlsM1K1tykVUjukd895xTVUQ36l - wotTkXLp5W30q3oytrGUcXn9s+PuE3/8nJ64MfarU623Qyhrv1pFTjIdtpBkijnOO6WcQ8p9jgS/ - 35d2P5kk5WEe5rkKKWShclgA5wuhDam6VJPWq3/+pc9/ue+moxvbSUelFFOE3toVa+q6haS4StHd - YTpk28f5GhhdnvFU6OM//B65a7tRa5KqaVHtKp0+AAAAAAAAAAAAAAAAAAAAcHdl5uHB/T2RN8Aj - XSEOAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPOhSfkdXsdwPJlVzl4qkcSpWlKazzU//0Lve - vt1cK357u62uadLU5oBrSWqm0dVMkkpGl2FXqedamryUrbQ8WmzTrPnOl1867j/x5c/qseW06FPd - Qlr0i2GcZErTJE1ymUuuUEktFAtFpzBFkyapmZqkQ271o8T3m7ncLy4+JYVyH+A9p3c3qZkiVIqp - SbYI67Ra/+PP/OFnitLXx350OxVFMTaTTjc7u6LJ12EZl57Ilf3m5LHN6X/9nvdoDMnOTk9NinaV - Vj8AAAAAAAAAAAAAAAAAAABw15yX/uZDkNotiehuAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - 4EH37VqjFSmmMabJvGi709SUeqvisWGzPT1ddrUv/brrPbyXl5wTmj2lsG+7z/vLUtnaoq+7MW9P - eXux/mrX/fKzz6iaun5SN+6mmmpNfV8lFatzy7WUZJJJuc+i7qQqSYrDBJp0pXLK75F0pae8zeHc - l+fqUu72eai5l5ClXFp2URbNel279lufffErXfnSuLHiWXwnLUpd1M7dlVeo5Z2f399Ll2bpJeO4 - 03rYvL2lNoPG4ehoJamUqxk9DgAAAAAAAAAAAAAAAAAAAOC7uEJ1zAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAABep1dFDEfGOOz62hWvOtuqdJryv/jgBxfDJoZ21NsUmsYcx+G6dX1ry4gaYelS - VdYwDUVDUbtSHcvmy5ym3TCMi9VXH7v2y597Qau1VsexbcvU0aJGqhZJmjajQjVV5ZKnXOYyn9O7 - LVSkTlEUpigKxaUc70fRfpb2Ad4uFamGPLSfIhWFNKhOY8mNqYWKd7KVav2FLz334pPLm5bTFJKy - +Mk0xtWbTU+ZQrr4ypRUjcgYrlfdGHY/9fR7NYZSu91minYfTxUAAAAAAAAAAAAAAAAAAADA61bv - 9wkAAAAAAAAAAAAAAAAA3zNLHXohhtwzDv3yQulp8/sAAAAAAAAAAAAAAAAAAACPkJRCugjXNknK - OZrYctEv2m4ozdQttTlVa0/uNsscV9LJkKVmKJdaDLFbyCalS5lzrLFSSpN0d0s0w/bHsvTDVcT8 - oqc0V40eXj+clW3keXT0Ut/92jOfUl/VV5XOXRpbKLzrYmqS6rJTO8zO5Whzm3ckpcwuzR7FqJfm - Zl4GLtn51KVkklnTFComW5g0Sl1Vt9au/eqLz/8PP/je/my3nqabw3BttRyGbboUF6soTef313I/ - +bFfbOfP7uoFRol9IP383bEMmVqTlywnp089/oSmprOzxXqdFxd/vjrC5BdLxQQAAAAAAAAAAAAA - AAAAwL1gkslj/zjsvJbNJVnKc1+AF/Zt6/E8L/7k2/BDeR3jvRwvu7hxYa+8j/vmq3eWL14ugH11 - 3e/8J2kUyuL7kPmQLBeiuwEAAAAAAAAAAAAAAHC1pdqk0knj1ny0hRS2G1vNTpLsUBfooXS3IL0b - AAAAAAAAAAAAAAAAAAA8ojKkJkmmURaSSWNGX5eSNGzV2i/8yHt+YHOrjhpN1aRobj7m6FJTau7t - Z3Her3Eu1fS7WZ+ZUnOlVMMjzTPDFBaSzJSpFkr5uut349kg9b1tbfVS6KW+/5XnntWy07JLKyEV - l6y4FUleTAppUnHJZZdaFM4R0ZdaFl48fHXI96PA9sOlvo+vyIK/eJLypk6KKhWFms+dQNPruD7q - 2/IfffIzP/uhDz9162RtOttta1VxtZ2K1ElNNmaGuyxqqMt9O7xJanKlxz5J/W6ld1vK5wz4VMh1 - WPM5X7+rtFjvdj/99Pt+7flnFG0q1klKpSmkVJiizPvK/Sq6I8X7Vd0wAQAAAAAAAAAAAAAAADxA - 3N1sXwM0PzCzhya+FA+6rtfZqWqnar4Zorn6tTaDqhdPLxlKWUa6lC43N6UiU5n7wG8zd1lEmHnZ - 11OWzJwXec6B3+c5z4z3crxUfpiW0r6Y1hVm1pRSpO3vz/xv0sK12yldXpVSkyxUq2eLYt6GKEVN - aql+WcZd+w5p7cBlEeFeh2GQZKZ4XT+C57+emTlNU9d10zTVeh9ytInuBgAAAAAAAAAAAAAAwJWW - IXdN01T7GjHtdnJvXb/IIV6jrV363evTBwAAAAAAAAAAAAAAAAAAcDXl5f+ZpJhbLFZZZ0UhhdTy - 773/g+94+aU6qpjyIpA70ubE73P7asy7mth9zg4HspwfzBHK+3DlFuqKR+hb49nKy7LmraabvX99 - ufiN557Voqgrk3nITSr2ihTlOUd5vhx/5VG/3dk8wr7Hqw+55J1COa8tl3lIoV5Feqz+0qf+8Gef - fu+Tm+lIasMQqXWVN5mVFmHS8fHxN2/eKkVhUs7Z37JUuyfzPy+TkKd5pkzhqWYy127UtfXy9Oz0 - qEnbUVXd0ZFU0i7+9pAsfkdu953B8AAAAAAAAAAAAAAAAAAeHuR244qw1K2bunGj256NzWJ1ZN7V - l2+Py75rQ+dTqU2dqZhLZWi1TVmVXqx6KaXWWkspVdXMvBQzq1bd3VXMbA6qz8xDhHQy3vNRkiIi - W4xtHNo0tbG1sSnHcZcWaenuVs08IyJinOJ0XSTvSulvb0+UsTrqz862xeUls6iUIuU0Rmthl4ts - gbvv/Nez7/tSiqT7ktstorsBAAAAAAAAAAAAAABwxaXLpaqqk9NF7aptJlNMU5FfLvvy3LftAwAA - AAAAAAAAAAAAAAAAeASFVNIlySLlJlVZL7/18u3rj91Q2+nWyVMnm77UZZexbfcmlvt7UUKdZFJT - pHxuPuhRZZOniul4Ub95tl0u+mHKHCKOrz8/nv7uZ19UZ6qm2pmKpaqdZ45LppRLsn11qb/2sfG6 - XMymucr+YQkVk8ZQdV3vf+m5P/i5D/1Fe+nlp7qVD5uYdCJZtmu1207j5tatVVFKzdQuaoDP71/o - LgtpcklR5GWfd6+pkxWdnm2v15VK99++7wO/+uIzmkbV7jz+3eb/h2SaM+9DKlI5fOKifSYAAAAA - AAAAAAAAAACAB1xmmlEQhCvkseMbt27e7tclrO0ib399PFp2ZbNY53Hflke2fHL1+FOPv/3NN95x - 4/pb1/X4Tdef6LyrXmrtSimllH3ZX5OZuUySWZFkkWEhhSyUznjvx5zrZyXLDIvMTAsp09T3dTNs - Ts5un5yc3Dq9devWrZs3b57sXvrG8MU/+cbnT3fbsbQb19882fbm11+69njdjZOUERq9udUiqdW5 - bPN+Ll88UM6Dt/+MzGyz2UiKiIi4L+ndRHcDAAAAAAAAAAAAAADg6kopTcPY+mpar3a7Xanajeqq - Z15O7pZocgcAAAAAAAAAAAAAAAAAAB5dIblMyrmPYpQ5X3nK69dvKEbtzn7uQ+9/2xS11JOz7eqK - JVn7foyQUu4pk3m6e0To5tm2l4ZoQ13EYvXc2dnvvvRlratk6hbDNJXadSZN+x2lKfZ1pm4Xcct4 - w9h+dCnmTpEmKaVNqvcpxrqoyuUv/vt/+z+96/3l5Oxxda7x2tFqu93s2nhcbNfSTFMqTJOppOY7 - 1SykVxYJv+HmEuW0mFfMfOiUhkFydZKi9ZvdKprGSVOnMqXVcr6Q5jJm8yZNr3jR90neAAAAAAAA - AAAAAAAAAAC8wdJPz7ZH166d7m52C7VJb33sTXZ7+Xj31Iff/dE//+Yf+MG3/+D1xY3p1NuZL/34 - uL8+bFuRm5mFWZQcUpmZWaxKskhJmZaZisxsVuOu1/Dh2zL5ecTwXJ+ZktIiTmPh8bi/1R53f9Ik - RcROpyf+zaHuvvLNr3/2Sy/822d+76XTr/zgU9f/9OU/6Y+UJjNNo7pqpXSSPE2ZSXo37pVSSmut - 67ppmvq+lzSXhrvf60J2orsBAAAAAAAAAAAAAABwpaVkZhoHjYPLoqkUZaZfquez/cO4T+cIAAAA - AAAAAAAAAAAAAABwH0XZV1HOud1uUglJmqSaoZMTDcPj467sboV5X6pFXJ3CyzRNKZk85YpmsvQq - uby3ekvD9aqzSZl2W/b11fKfffFFrTR2NdVJVuoixlbKIS7ZFFKba1AJUb475rlVSvIwNcml4rKl - ScrSpYV1o47XP//cZ37hh9+33g3T7qafbpZd2bXWW6572wxpRc00uUeoy5DkqbjLQetzsnuYwuR5 - R6z7YmFTpIcyhpX8LXX5k0+/9zde+Iz6TlZNoZTSpX1ed5PiEDyvO+qZ73VLQQAAAAAAAAAAAAAA - AADAQy89uiM7GW4eXdNuo7pZTd/s/9ZH/u7HPvzj62llg9lJ87N6ZMtaO5s0nbTOa5qUSs2Z3alM - 6bxU71BDZ+bFTBfNPXE/mKcsMuY7kfubJsvOS4SZWbaWGaGU1Gnx1OoHznbbNz3xznc//v6//qGP - vfj1Z/7V7/3vJ7q92d6yZdSS8ghlsYyIuLOPK/BdZaY0/5vxerTWJM253ZlpZvclt1tEdwMAAAAA - AAAAAAAAAOCKM6kW1zDI7GixnGLbdd0wNZfJ4tD5DwAAAAAAAAAAAAAAAAAA4BFllx6lzf/f99ar - VdpsNLaff/8Hn9jdXhfbNVWVvDK53bP5tJspTKkokqcXaWjDUhpTm6KXa/mS6589/0da1tZ3O0ky - iyxSV8o+PlqSKXXRWdDnNpKUm76h7FI29Tzb0xxi3WQmKwp5qQupqLQXrSniHcePa3vmHquubUZV - ZUqWcnkzD1dpcoXdk9TrNKWppHy/UDykVAzbDGnlqqXbTEOeTG8/ekJnp1os66LoFS0rTZL8sMn2 - y47UbgAAAAAAAAAAAAAAAOBBZzaH5gJXznbc1qrtiVZZn+ze9hN/+z//6Nv/hl7qr/mqZmk+tSna - lGOLztSX2mQhZZoUksyyWJEU0cxeWVvpskxRc3k/hVImmXmainnOt2mahlKqRaZ5ZLhkZu5luDVd - WzzRxlh0R4u2/MBbP/L2H3/L//Wpf/V/f/Jf3zr5VjnyWsYxWihS6WYkd+MeW61Wm81G0ryS3X27 - 3S6Xy3t8GkR3AwAAAAAAAAAAAAAA4Orad9/b7STXMLTdEFJELLouh3Ff0JeHLpMUgQEAAAAAAAAA - AAAAAAAAgEdXTOYhFanMccomKZTtt//Gx568eSun2JmWZRltX3t5dZT0ZrGrai5L9S3UwuSTJK9n - bTq7tvzC0fKfPfOsVp26heS9pMjeTU3ahXpXS3WWijmWvMiL5paTc4L3fb3Ch4jNC+zwxCQpQh5S - 6ZSjlimFlFW7nR6//rtf+vzff9d7hq9/452L9enZzYXJTQuXpKFpNI3FfI5vT3kqdHc7Q8Yh4b6E - SsqllJqUpmrq+7rbTi3Gzupx8c3Xvvazf/Ejv/THz6v28pC5tP9yNckkk7q5jPkQ2c1CAwAAAAAA - AAAAAAAAAADcJcVs3R2Np9tr+cR/8h/9nQ899YHj02Xflj5a5hS1efW66CUpLNtYUiVD8sy5uHIe - 5cXnfPrzlPrMVMrNX+uwuDdcpaZJmZEtUznFfINK6VqqyFqmLCTJTFmO+tW0G6uV3W636o8nW1xr - 7W9+5CfaWP/dC//vS9sv26K5TelpprTcl0sC35GZZeb5Pw6vm7tvNpvlcjlNU61VUmbe+9xuXRT5 - AgAAAAAAAAAAAAAAAFdTSKVoGP75j//H1rI3Va/DsNuXiwEAAAAAAAAAAAAAAAAAACAlKRRzZnfa - ocfYMGq3OXv+uRu77WNLlaJt26am+3qur2T7xm4XXdHSNJh2CpNv3W8eHX9hsfjtZz6to5W6mpYu - 9VIfpiYNqd5lkqcsQuGKoigK20/Hfbqwh9X5rJpSIYVJrnCpSaWTJI3SJK2Pp1q0KL/56T8Y3/Lm - l6KZV6/mqV3T0Oab5r5fvZIkuxcx6/vo7n1D0pi/MiF397PttCwyqeXUW1xPrU7PtGsaB2U79Lvc - 78GlfTz84eQBAAAAAAAAAAAAAAAAPOjMXqMS6M+eYAq8MZqNt/NGPvXX3vuxD7/jr7zJ3txuDsva - ucuqWedpbTfttuMwTVPIp5ymbJktLM0yXVKEtcwpNGVOaU1qac09VRSmkEvOeD9GTdGmiJYZpjSz - Wrz23tW0IinTJHm6WbGwmHLY7rKppI66VTeV7qw+bm9dnj3+t/7Dn3jXU+9fjsdlqm5yV0gTPVzx - ffoz/vZFRNd12+221pqZ0zSZWWvtjTq9712994cEAAAAAAAAAAAAAAAAvlcpkzSmWh6FukhLxTh1 - KpZNJqVSPheAuYVR0QoAAAAAAAAAAAAAAAAAAB45rtZUS2qUqqlMUrgWY+h08/Effu/TxUZp3eTF - T6cwu3IVly6rXsZxWHaWmc10q6ivWu7KqS+eW5V/8dkX1PWyKj8ERs/XkFJnmqPKTVKbA8AvOqcm - gcp3QUqmJjXJJVeU/cu+n+y6z8ee5KpdPV798qd+/2ff9d6aU5miKJemyH1cd419EnaYynxb7/It - m78A5wcJRUhpahHVNYZ8fjpNC9fx1H7q6ff9+vOfUa9Njktbm1yxb2TpOgSZlzj/WrHiAAAAAAAA - AAAAAAAAgAeXmWVmZprZeYy3u5PejfvOUn12R3n9Kf/zf/sv/6eLb60y/Hi9PBlvRafmEaYwFase - xaJICrf0iMy0aKm0MPO00KG+8vKodJnNB0pjvNfjzM/rY6W5vFE5FzmGpVxhkkImyVydWyq086Yq - 99ZNm7Kuj9XS/52//nf/9H/73NfGXeZ4OozdStN42C3wHc2/gG4+juP8yvzL+Dp2NU3T+R5KKZLm - 8R7ze39IAAAAAAAAAAAAAAAA4PuQUqbGWI+T79vkuYmedgAAAAAAAAAAAAAAAAAAAAeli4giN2VM - cqmlFJO2w1PboZ2dPdX76ajTXVxbrtJT+9Dkq2JU27bhmvc2pk2qoW6hm03fWnbfPF79ixc+q26p - fhlzF9Rod5z/Prc7UpGSKWx+N0N5HumNN1zMd8EURTpsse9tZxfTPslVpEX9pWc/+dKyy+WRS2FK - UzNJKqkaqUME9t3mKcuLHpeX11KaUmo2N7bcn9uitcc2g4ZJ0YqVSVOETLJ2aad5sa+r9dUCAAAA - AAAAAAAAAAAA8P07T+wGrhRPFXU21L/2kY/VzbW1nuzyaBrT+zq5xqLR1cwvVeKZ5EpP2atGl/SK - MU16rdcZ782Yc5GshXQI6Nado+3LG+dxrsNsPoW38NHUSqq22k+L5XR8LR/7m3/lxxfTsmt9lYZJ - 6RflkcB3lZmvL677Cqr3+wQAAAAAAAAAAAAAAACA72huDtmmRWvlVUU7afv/7seZAQAAAAAAAAAA - AAAAAAAAXBURksulWjWNWnVSax9/93t+aLd9rLdvDXFUvJXy8nazcPn9PtvL5laPfaltiiNbtNyN - TTdat1v4szn8zh9/Sr5Q9M1Kluo5XMRCzwWkpvMo8v115cVb87t0GnwjmVRCCj8PRk8pXSabO0Wa - n894N3eTLEtbmDJfzGk12tu79dl4tqxlyrSMRbgpRkWYTPK7Xxc81yQ3UzOVkCuU3qTmkqmkcr5E - qblKxhOhv//u9/zm158LRdHKXdrt2/iFVCRZzNd9aKDJkgMAAAAAAAAAAAAAAAAeVOR24yrLiKee - fPMHn/6obi1ru2atZm6yeZYWGZI8Y66hTAtLL1Gludwv5jHNL6VB+53jOVcy3ocxLNI8pLT9Tbrs - zgJLD1OYQgpFl21+ybPU0LSJ9Wr9kR/+0f/n9//Ni6efqd00tOa91IL2rXgEXanKeQAAAAAAAAAA - AAAAAHvHNO4AACAASURBVOBVbG5m1/qI1+zEFzZ3bAQAAAAAAAAAAAAAAAAAAHh01VpDmYpxN1ST - bXfabp8qtpjGsyEXRbuIYZhW1fPq9dyzasM0Dpq2uVt0R0Xl5e14Nvnv/MnntFqoFC3KNtWkQ1R0 - TFIzpalJcSmtW3Kl78OkpSY1ugy+ofIwpSZZupor/OI97RPT561IRQq5Si+z//ULX9hcv/aNyFys - z6aI/ccnv9RhMuzu3i9Lee77V+ahu+Xcl3Rfq3xpMYVUMnxz+qRMm91S3knjOKpI06GRn1+6dgAA - AAAAAAAAAAAAAAAA7g7LWtriL733x3qta1lHc2Xp6qq1sCyl1dKqRa8saR6mtDnM2z0vRkvZa4/z - g3kLxns/SuGHB/MohV/aZCHbB3bvM7sVc0fWZhYeYU1KU9aWy1yVTffRp//qol3zaVlU5sJa4BFU - 7/cJAAAAAAAAAAAAAAAAAN+eSS5ZKKNGs9R5T7x9Wne6yO0GAAAAAAAAAAAAAAAAAACPvGmarHYu - K500jDrb/OR7f+Qdm7Pi1luOTaNklgvrlKPsauUMT1PzYtk01cWfjqdu/Wr5+NG7fkitqNas/SB1 - UraQUsWb1BRFPocuhzTnJ4dU9iHQ+xLT3L+IN1KTUl5TCj+EeB/eS8kucriVbpKbp9zWxzo9+8VP - f/JnfujdvZdFRImhZGhuHmlzZPbcFzJeecg3lF0K2g6TS5FhKQ83m78cMb8lyVLXardtTZtRi0nu - Xb/ex8infL72ImUo3e5y7jgAAAAAAAAAAAAAAACA+yKTyiDcfxbd4+UdH/rBvzpurZQaJaNN1aNm - erRmCpU0zRWXKYVF0RTfY8fOfflfiDq4+2S+UeVQSfntzTWWTemSS57WNWtKRVHNSdKi61tqul0+ - +K4f/df/3785yY3FJmLQd903cMlD89vHugcAAAAAAAAAAAAAAMDVlVLb9/KbTCHF5bKdnCvL0i2J - 7wYAAAAAAAAAAAAAAAAAAI+yVqubbDeMapPapNunbx2G67XsInep0bSo1pfSximuXlPFWi0zrdiu - 7WKxurVcPt/G/+z//D90dE3eDdJWzaW+eAufk7iLoihKynKfzB3ylKeqrMpc5rK7HAH9qHLJ5Pt8 - dD90i7xUz5uHbX4ybJukVNFioXX3pZIvxTRIHl5TKU02FwZ7yA+tJO+ilEvuKUlpiv3RVVI1VFKW - 3szPvyfb6dTH4eMf+Ms6nWRlHMcp5tamsjxcqUkWRSp3zAQAAAAAAAAAAAAAAACAB95Dk12KB13X - +nc99sNv8bcd2dE0jVGm0Xeb4cxdllPJcKWlLN3STOEZaZEW0h3jnduB7R/PBXWM93688NqvnvPz - cS6ctaiZXbPSVMIjLRQ5bOKoe+J6/+a3PvEDCy08o5g8qavFd2f2sFXC1vt9AgAAAAAAAAAAAAAA - AMB30qTiIUsp9q3x5KbwOyt5PGlyBwAAAAAAAAAAAAAAAAAAHk2R2czqMOaq79RC0/ALH/nwYy/f - lLVSbfCMSZpy6TFKndeW0/0+5ztMU46pa52l/DTz673/48++oNVKpcqLKVYyU0TLUqtS1SSF2iEd - 2lSKQmqSNL8rSXPVKd5YJtX0+VEewtH9vGnnYcLnZ0WSadEXpXbbabGsWnb/8osvfvyH3vvYOPWp - XtqYxiLti4Hv+g1LU6Skfeh7O0R3l1A3vyKfpLY/mzCp6ztrw2ObXjspQrWoaErVJrmaRVPU+WLn - q2DVAQAAAAAAAAAAAAAAAA+48+BScrtxdXRT92Pv+LHrt49VfIhhqtaUEWPxZWYLa0pJVQpXK7mT - oqmGzOUhuRSH2OdLXvnC5U8y3tPR9rejyWWh/fiaQnLPKClLNSuprllzi9Gnkoop1GrN7nQzvOed - P/Ls13+/W0yjSXaovAW+m4fp5+9V/+4BAAAAAAAAAAAAAAAAV5BZmh/KXSItJVlKkiv8ISroAQAA - AAAAAAAAAAAAAAAA/z97dxMkW3rfef33/z/POZlZVfdN3XqxbNlye8ay3ZZfBnsmYMsSItiwnQiY - WTBEMJhZTPCyIoAA7BkwYIiAYWJYsmRHgINgiIDFEAyWbVmyZdmSsWxZstRS971VlZnnPM//z+Jk - 1q3bL1J3u+veure/nziRlZV1KvPJyufcu/nF/4fr8trxDty8RmgczLo0z7o4X1+e36vuUma2WSer - dZEUFspm/abXHEvjsSTJUpaHR0IuuaVb+uERk6RiOhu8t3yU8drp5j/9w9/Xqmq9Uh0U5gopetuX - Uh6/Rj5xx1J+bExOPe5ONmqUP3D5+Gu/vjMf/6GvDbizw+28z9V6lKq8ahz+3hd/++FmtTcLKY+V - 3ZbypxIKXl7Rj2nka+teZlzG0jyedljPvs0n63G83P27P/Wqpmnw7Nllj9/otY33TlMyAQAAAAAA - AAAAAAAAAAD4Pjxdki9JtJQsZJHeJCndY/jxT/3Epm9iGyuvmd2r13Gc1Q+hTQtZuNIUlo9jfctP - r27jbfN+hzNdcm6f1a3SUy7p8W16Sm+5deUyoDWuPkLPa59rLauTzbTrmvXKD/7lnLJYjbZ8xE9E - J3MJb9ohOekpS7PDU9F3/GHmkl6YWb/1WS8AAAAAAAAAAAAAAAAAeEcmDVoSXLVbUZorYhlpl1LK - pFQehtzlodj7mS0XAAAAAAAAAAAAAAAAAADgA3HVjmxXycjQcQbesSf48UQ8S7dDjnLW9vzvvvpT - H58vu6QiC93JwbcmrZp6qxHKajfYkXw11dFTJhUppSZ182rF+vKeTFZ2vQ+m4qqp/Rw+nn7L8j/6 - 6pd1um4aity6ZBbylMa6Ul7VPLtM8icqootUrv19dKxnFu3dHyw71qUf/+DL/et/Zbv+2ZgkDWtT - KkNmK2XqNH5vjDrUH1bt89abTk6GR5dzVc2nMegv0hSpNF0fTroMpVzaxC11dfFlaDDf5O5uzpov - ddlWm/vNSnNJKvKqWP4ofXnzbDkAAAAAAAAAAAAAAADguWVmkjJzuWNmBIJwc8IiZSb3dEs3HRJo - Zt4iZNG7yqDosrncv/fxcXMyXarWVbSljDY9XRa5BPrSXSEppW6HWKXn9yhgfvP0zpsLl+JdiqWR - +4nbeNtbHaazRnqYpHRLlZSkllO0/TiMzcrHzl6+W+599+Jrw0fUZ6UkW/q6JXk3767wDGslXVJJ - V3oavd0fBm8/vzczJXP3/X5vJqVFmpln9qe8vg8KuxkAAAAAAAAAAAAAAAC32jGm6lfzJZcwn6UO - KTIL6TDhEQAAAAAAAAAAAAAAAAAA4MWTj7u8H08AtSdPiFDOe7WttpcvRz9xxTFgWeVFnvK+jFh7 - dqnLiz6vTk9DKanWerYZN6saXXNIdfym2flHPiJTq6tehng8Du44MM2uJ0Zdy0DU41jUa3cfY2bq - jXinv/m1b64/ntJ+ajJFhCI0VK1W/+NXv/L63bNvWXpxk7aX873VIOWs+em8iTwWzPthAKW6qdvx - ccXVBNJay/b8/NRLvTz/V37k00pXyKTlgrLr1+cTdwEAAAAAAAAAAAAAAAAAeEfXM5FPTNRMWyKU - Zmam4nLZqp48uPeJME9J6X48JCn9cByfTNISjtP36u3GLRSe8ZZbve3t8gtpqWNk2PPQ1G4mM0W0 - YnKV083dwTYZRcdprrbcpiSPJURpuTzz9+x6x4fEsgdMOsZtn3PsaQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAOD2ebIF2a8eOMxYvNaObFJoqK6Mv/OTnx2nNpgylVKaQpFqTdFdJVUPM/Zuil97 - iatS45IqqTur8XK/3SvruNrv95fbado1Se7ja8rXXjr9L77wG9qc5NRWsrK8Zz+88Vie6jAoEM+N - lLpUx5pSGSTvsozLnWz4e7/7hW8N1ctqlAbpYj/vNI/D+lkv+c0s82yzbjGfDqtPnt7XftY8WapK - 5XCKK00ypy0eAAAAAAAAAAAAAAAAAPAe+CGBdtWXnCZ5dLkNFrWElz54G0qsP/aRT7wYNbr44Ljl - MW57jZm5e+99uXt6dtdKjQjPWsJKHPq6LYule/rVrgqLsKUUfLkTT/n9ADeB6m4AAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAADgFklT2qH02o/Hsbf7+nmHKuveVYrUmqZ+MrdhP9usoUhSmFIZirCe - FiVUbn6MnuUTrxKmMEnR2jS1aShlO21Ht5dOTkaZvFyU+vDk5Fc+/0+1cZkNdcypKyVXN5miSLnM - /8trfeB4rkz7rdTlIZef3JFcytc2690wSiqmocqkqbfbNugxelxsd/fGzdhjuNgpTNHcYimkv84e - l3kDAAAAAAAAAAAAAAAAAPB9RVrIUhZpx/sKWZinZVqqhJfuZbZ7J/c9qaDFgUmektxSWhq48xA6 - zpR7yTR3l3RycuLuEVK6pVvKUseT36YMPi2CjvgPvcxDRjaf/9w2/24CAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAt8XSUb0cC1sG4+U7HJK71CZdXP71V1554FYz7q1PpknLML5m0dTTmmUsc/rs - xkeoueRXxcYpham7out0NVRlU/Zir11eXio1rl4bhv/w939Xd060XimldCultWxSl4pUFHFV2P3c - z3/70IlUT61WQ7ad1Frb537WsNb9B//gy1/+k/20U+mp3jSOtWWT3aLybk+dblYb6XLaltZPtu1v - /dhflpnafK1F3iVXLsezXS8AAAAAAAAAAAAAAAAA4HmxFHV3aclLdqmHTelpRVJkdk+ZVNPU42Rc - 3Xz+E88X16GBW0q76uFeSpfdXekZVuuQaepmVydLknu+bag4ZG8KMuNDKjNfgN5uUd0NAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAA3DZXc84el3a/+dHH3KRMhV5qcea2Nn/j8vJOqSVcUvNoHqZD - kfZTkHZY47LMMIVJUpVsmqPHWa2lFC++Xp98c5q/uR602cyt7zVoGNVCpjpaSH6c/cfQtOeYyU1S - 9phTWetgq5VKaTK5f2Os04MHl9Igza3Z7fukz7f7k3E1ysa0l8fVx8uo7VYZyuNUStOhvRsAAAAA - AAAAAAAAAADAC2cpwQU+cEvm7BAItQiPsEjLMMnVM6T0IkWWUkqWodRnuFrcToddlMcE4/FOZrr7 - 8RzzlKS0J9q4wxSWecwk55PhZHxomb1oW4GALwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHCr - xFsOKSVzmR/GNNrjcuy+u1CbdX5xf2o2T6XYKEXPGiqp7uqukioppeKGh+uFHV6iyIvUj73dJTWa - ilSk3trFbq7rzTfmy93H7//aH35Jq2HY3JfUmqK4XF2Hk5fmcns8nvIGF48bst3NalHHjalMfU63 - SJVatTn57//kj//QNVkNab0y6896rW+xcU3zXlLPab99417qX/70K2qz1OVx2JDM5gUAAAAAAAAA - AAAAAAAAvCfpnuZpkit9KV0OU5rC1A/3rUWXZGZOdTfejj9OMKYsJJlZ793MIuJQ4G2hkmHRvS25 - 4m6RFmkKi3eIQNJ3jBcEWxkAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4XUzypaV6mYeX1yqr - TTLl8ZCiFNe0+7c/+zOfGFZq80XrxTzUi7zk4Vf8OHes243PH7uqBg/5Ut29FIdnqqVcGrycyM63 - +91L9//93/x/NBStNlLJSbW6Ve1DksqTdd0perufSy4Vl8qg7j1sKCszc1em5FXr8e//7hcvT88u - pbbPdb0+RPLZs1Q1aymZSXqpnNjDyx+7d1+7nXKSQnrL5QkAAAAAAAAAAAAAAADgeWZGDAhPS7pF - tSxSUZa0Kh2CnxmSe5rPLSKtsS3xPVjIlo0TUpgpM6RQ9uJyW2R6pOVSD3+8H2/zZOmelB1/qGXm - 1e0LgN0MAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3BZLabdfrwBOyVzyyEhTl5q0m6dYeoMz - 1GbN/SVZnD+sis3KpoylTXjpzPY8TOTrrjTFzc9vDB3W2VySxq5VHAafNamHrbWSr79epTtrna73 - cqWv60qpkEo5vvHQ8vfIq7+O0Y78nCnSZhwklw/FR1M5FNJPKZPWa62Gb282u3GToTFv3ccbkS7N - Ci9l2y/vDXXz6FK9KXuqz/tJWorlFZapt5liCQAAAAAAAAAAAAAAAOB54e4RhxTQ0lpaDoE24APn - ilpyLLnyGNo+qo3RXWk9zGuRlTkVXpqZuctL3raAHZ6xkCJsCRRfHelFZqkISb33zOhzc5k8Z6lJ - aVKRiiT1bGFxVdF8VdrtL0hrM96ziFjK3qdpsmOq97mu8aa6GwAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAALhdjnPODpXAi1CGNCtCGoYx1JSh6Lrc/tKrP5nnjx6cnGTofJ/DejSvy/N4HMbnxfJ8 - Nzy38aomvJm6HR5ZRp6laZYknWzO3lDfrlb/7e98XpvVpOHqXS7LW0YCHlZs6odpgkxOe/6YZApT - yCS5cjlCGT5YSg/lOjv7ld/43Gu1arTeb920x6WOu6eG1ZhSny7O5vlv/PArunxkasM4LrtUplQ2 - xS1bPgAAAAAAAAAAAAAAAID3YOkrvf5IZj7XlaW4zbK1/X6fvQ2lnqw31bzIainFPDN778NQTk7W - ZfA55pbzs14vbqGQIu1wGyZZTPNsZqV4NR9LXdWx2iGCW0fVQWFqcWhoLqW86Rk93Q4F3gR38SJg - HwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC3yLG326WrQzKZly6leqhJUaVi0n6niNPt7sHp - 6cXlhYfOBmu9X0YLhaQhVELd1Jenybjp9bsiLZqruWp4DaXUpKyqRYPqa9vL7Xj6nbO1NpvU4PKq - wwpDcqnksbdbvnR/5/WxaQxBfZ4sjfNKqdtVG31IXYowSUqvunP6nbOT7bDuUtxwu/z7UKt16buX - 2zur9UrlgZcfOj1RqeqhjAhJav2wX6Ubv8QAAAAAAAAAAAAAAAAAAM+/OD0bVht56dP+fJ7P27xT - ztFbtF4yTNHm3TSf93aRtis1yafhSW+/H8zMzNrULi8v99upz6Eo1cY2ZbRDhDO7MiwzI+TpJlle - L+3Gh5eZScp8ceLa7GkAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgFjs2GafUshWVKhVFtKbW - ZOWXXn31bvR2eZnHpuvt3MfqoUiFSZ5a5jWatHz7FHSTpBoq6c00u/azdl2q41RXX6/65d/5LdX1 - ZVOVaiqlfv3387jcq2WL0u7nVCqPVeyP27vVY+qKKl1OXZvVr/3uF78tn8dVv00j8tIUpqnlZj1W - 6Xy/c7VHFw83U9NuUktFZCpNVizVpbx9zeMAAAAAAAAAAAAAAAAAgNvH4uHlGz12dQjzVoqPQ1nX - wbuqaTOO61I8VFJD9VVxi/79nxMfMmFvvqN0d691HMf16ebuZnM6+JDdNNumrGvUEja6ndRxXdZV - g6tIS/jRJaXF1S1V8cgXIrp9i3LJAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFL+xJSwPNyY - VM1dKpLmVqwoTdvdaru9Z742H1RXZbT0sWhq0V3d1OXdvJun5KnyVEaomWSpkqpyk5prdpXqQ61v - tN2jdf2VP/p9na1iytN6urQ6v7nw2A7H0kc+LO/aQhZvORW3nOl667pJcpm8VpeP0slY5NIwfntc - vebDXG7XiDwv5qaL3bQZh5CG6p9YrVbz9G/+7C/o4bkiS9XUJKlI/kLMKAQAAAAAAAAAAAAAAAAA - 3Lwsg1QicpZFn/dtP8375l1juHaR+6zdhyxDrzlp3s3EJ/Ekf/L+8q3Nc99ud/vd3FvmrOhWchh8 - NcS6TkPZ1zpXm0rfZeyzRClRPB8/VVgsx1N+M7htMl+QTOztyiUDAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAeKdRZ0WKNkdr5kW7vVqX+UfX6+li570Vaept23t1W68sTd3V3Lu55JZukr+1JPsG - eKqGSiqllIc8THOP4fT0oZVvqmtdZObrsz4v7zZKalBIEQqZ5EpXmiRZykL2gsx/+5BJV7rky65L - aflwZXa+u5TCc/lkU6b/6ve+fH56Onl5pit+s8uWVq1KJXRnHC5a3+/3Q487u1m+kjRLpWo3z8eu - eQAAAAAAAAAAAAAAAAAvghemtRS3lXkdQza3XI1nxU4GP62xvjveH/pJXo5DOzv1e77fxGXd6P79 - k5ctqaDFQUphSnmap3wJ66Zc0mZ9NtTNMKxLGVtTdrf0vkubfGjDmKvaV7WNtdfR15t6chUwlhQW - eTgUdMXjhVCf9QIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvIW9ucE7Mvq8X48rRehiq2HU - HP/qz/7cp994/ZObGtvucllZeZ/nVlwydVM3edoQKulF8XQWLmlISWpSd5WUh0v5Z2+8sX/5wa9+ - 8fMqRcNqnnJYLaeHQubhplneJS39zlJJKaUumVTVj4/juWEuyaXQVbW1h7RZn5nkFpqa3FWqVvb1 - iPt+i0aLhmlVy9z6ugzbNoe0lsq6aj8PDy81SQ/P9fKDJq2GQf1SKdXhWa8aAAAAAAAAAAAAAAAA - AHDbhXyeWrGTubk1tRhX/sCms/3Dbaln6rkqqzJZ225HXw16sH1dOhuf9apxm6QfwsaPY7UuaZpa - hFZ1Zdmj5VDXm/XZvm9LjqMN6j2iuY2ljNnK5fnW0j0PMU9JYZm2fL1FeU7gfaO6GwAAAAAAAAAA - AAAAAHi30hSmbpKlJKXM/OpHkpuWEZm+BNdImQEAAAAAAAAAAAAAAAAAgPcnliCiyY4z9UwqZnVc - 9f1UlFqvdblVy/u73f06qLVUpqJljGWYeyumeGIY3yHZmG//gh8wT5nUpe7qFiVlqbTip6s/iqbV - qGFU07CyJ9aYkvnj4X94ARwb6O24A48frkvdZRlhgyuk4qr2D7/8e3//Uz9sqbQnT3/y+cIkqYR0 - yPHeoP3cN6thv5/Pxhpdu95s106qlXH8O5/5S7/6ja9XqXdZkbxSKw8AAAAAAAAAAAAAAAC8GMzI - AuHGmYb16mS738ccDx58/J//xX/xrw7/rG2ihWfrxWpGRLT7Jx959Nr+Mz/4aunlaa0sdMyyxptG - a+aSb12ygR6HCyUk+QcZUSVM+n6l11qmqfXem9qds3u/8BN/9VM/9bE+7Gofi3nXrrU2jutqq9e/ - u/3Mx3+6RDl81nbIZNpNRzNx62Vm5tNJnd84qrsBAAAAAAAAAAAAAACAdytMzdU85JOsKUYtkcGi - WXKpZigkp7MbAAAAAAAAAAAAAAAAAAC8f6aQvEshFcmtmVySy6V0mcYqpTz/nVd/8ofeON9EnyNN - 6gozzfO+mHrIpRoqyzzEqxGGdrPt3Zaqrjk1DsNunrt6Rq6G2nuGry7L5h995fe1Xsk3suulyy53 - mUxepCdGSy7nHKamudON/NyxJ75eC9oW0/KRhlwyV5FaLaW41NKtuGtKae6qdniGw2hILb8ZJvW8 - wfZuTw3mbZ5HaTe32Qb5sIq5tIx49PKDe7p4wzbDutTWQqWqdxVnjwIAAAAAAAAAAAAAAADPqVJK - RJjZUllqZhHd3V+YBlPcHp6S5bS7LKNL2R/lT7782VbmtENZth03naXrk156qW240SWZQloieRkW - aYpcbpfon3tKFpbuqZB387xq8bYI6XrftuvQ8/2m7u/DOfaWZu4nTvbHZ+IdpIUkO24Vl6RIU+9R - iqfmQdZ3+aN3fvxT5UfS+7GQe9lYJknrWqLWPqZFLD9Id0UsuWX+2ftQMrPeu5c6TZPJUof/DZ/f - /wap7gYAAAAAAAAAAAAAAADeh5Dy+tzKPGT6lmAfATMAAAAAAAAAAAAAAAAAAPC+HZq2Z0m6iiuG - tAwldBsHRVN0KU+3ly8plmGHaXrrjELPxw/eXL3xm2QopSm6Dx5K9ailPJz2U/GvbrdajTmuLXV5 - Pm3ujPs2r+sgLW3Hrrdt5ra3vYvn0tt9gi47/qCUu5/+1MXvfOF0tZn3l00aTNWUKTv+ZkppslS8 - ZZznDVnCwWnqJslDXhQ1lBcPNRbt9xpKde/TVMbxqawIAAAAAAAAAAAAAAAAAPDcO4Y8Q1KJUmIz - zptnuyJJlksntFseYnppUrpJkl0r2F7upJYAYEqK66E+O7w7V+r4PO+yituv3aG9+/s4zj990x/q - 8G0Jf5f76vEY1fSnE84Eng72MwAAAAAAAAAAAAAAAPBueaqkSkopy+N8wOMQQF+iacdvjdGQAAAA - AAAAAAAAAAAAAADg/TKpSP5kHDEj5mmSUuaK0DSdeNnO+9s2UKwWXxU77+2yN0VW2eV2f3r24M/P - hn/0ja/Kvc+zUidnY+851OFZrxe3SfW/+ev/88OPvfTd/cUgO/WiVE2tS5XUTd0Uh+siunk3T7vZ - K2B59rCrqZaHryat6/AvfepHZJJMrjKOre1vdDEAAAAAAAAAAAAAAAAAANwMV1aP6lEtxtIHj5XH - ymKwGCwHj0FZpZqqIZdUMmq2mm2INkQMmeXa4SlPlVDJcIUrLK+9WvqxBfytqOsGnpnMNDsE2PNY - 6Z6Z7/wbt91tS9oDAAAAAAAAAAAAAAAAt5cdYn86ZIfs8URMWroBAAAAAAAAAAAAAAAAAMAHKkpe - tXdXyZVu7sM4ykytae7/2s/9lemNh2deb9uMwl2POXI9ahyLS8Xqptz5893+l3/nc9oUuWodLvaX - zVSK2e1aO561WnV2+gfRt24yT3mRVnXc9hamlLo8JUvJlOZd5eZ3UOgYH5alKdOU0jC49/zUnbua - muZ9pDKiDlTRAwAAAAAAAAAAAAAAAACeV2EWJklpLh2PrJLCFKaQQuqm7iFrUnd1WcqebPZNu/qa - Hc1A8gAAIABJREFUJineV9iPjCnwzDzXdd3XUd0NAAAAAAAAAAAAAAAAvAdLe7dSJsmWkX/S4wrv - J0u8X5CUEQAAAAAAAAAAAAAAAAAAeOpSWrKKSxwxl9GH2eZ5Pzd5UWtnl7uPnp70aHabIotpGovt - U8VkU+89pp6vSw9PTnT3VG4yk8WwWXdpe7lzEbnENaVoc/YPvvQl3b+3tziPuUmP2rTy5SqoqSJV - SZbKx7M9b9AyUHQpDrdcho4qpP0cPvWz7U5TU/E0mWe+v+GiAAAAAAAAAAAAAAAAAAA8Y9G9dZ+7 - t+4tLJYjLfJ4PyxamZYjvHXvadEtumk5ZrPD4X48tBxpkbZE8tzy2KWbruP9QzX449d6Zn8IAHqB - qrvrs14AAAAAAAAAAAAAAAAA8HxZBl+6UnJ1yTMlM+kQ90u/CgECAAAAAAAAAAAAAAAAAAC8XyHF - sbFbyqXH2+owFJPO39Dl5cluay389jVfb3tuBkXKQoOkk9NvhP3HX/o91SHHwWTb3aWtTySdbNYK - iemKuGKuulIdv9mnzaDTHLz3wZWZ6lKazKW+xHVTN777LeVS2DEkbOGpkLt5MRtld+bQ3DRNqkVm - ZrftcgQAAAAAAAAAAAAAAAAA4F2KtzxyqNm2VJqk8McnpeRh8lQckqAuKfWWiZwWllrO/AusBMBT - ssRhX5jqboYEAwAAAAAAAAAAAAAAAO+eS2Zpy4y/LuUyAFDhV0MjTVpSfikZaT8AAAAAAAAAAAAA - AAAAAPC+2NLXfcgkXpVb7/eXGU2l/tLP/OzHSp2m3eDlGS7zbY1F1ct2UjGdrM++td1+92ytoaiO - XYPk6/XGlFPfZ0jtWS8Xt0wPaTz7z7/0e2+s68NpnjK2PS5aenpJWR4CupYqGSXz6QzUS/O4Prsv - XeknZdhc7v7uq6/KvJikyCA/DAAAAAAAAAAAAAAAAAB4LrnkypIqqSE0hA/96qhjq2OvQ6tj97F7 - 7cWjeAwWg+foOXoMHoPl1VEsi6Vbuqd7ul0rArbH2dhDJE+KJw8Az4yZff+TnhNUdwMAAAAAAAAA - AAAAAADvVkpd3s2vgjdxvDFJ6ZLL3vI7AAAAAAAAAAAAAAAAAAAA78HVfLCQlKYw5RJQjLZajW6p - 8/P7qXG/38gyb1da0VLqmvf9zqA0fWd3kXfOfvV3f0enG5XxfD91WSoj5tMymEvjs14xbpkiqUur - 8fXB/cSGKq9ylyRTlsySh753y7CbHtC5XHqplEnylB2vysjo07yOuJ+m3VY9FGleb3AxAAAAAAAA - AAAAAAAAAADcEIvjAM3HwVTLx4enLNylkr4Ub9uhjdslKS0O0zivurdTSld4Hh+x95T3o70bwAeA - aC8AAAAAAAAAAAAAAADwboXJxtX5vkkuL9M8lWGMawMyJSld9uQjAAAAAAAAAAAAAAAAAAAA70VK - JpMdUoopheQmc1ebpdBYN/O0yQxlu2XV3b5UL0vbWTHWyYZvZWgcNZSUn6xOUuHSxgel0hTH8wFp - GdQplSpbna837eHlEJr6MuMzPCVF6DgX1PR0R3PaYayoIs1b9rPxdJimN84vlFLv2ZttNk9xPQAA - AAAAAAAAAAAAAAA+YGZmx6CQHT3bJQFPR0iRrQzVzSPUWneplJQ8MzPTUmkymSRP7+pmLU1K78pU - ykxmVrz3bpZukmQZqb40fPcm9+rumdkjJLl7KaX3Luk42pPGbuBZMrOIUGbv3c0jY3nwliXW3wNG - BAMAAAAAAAAAAAAAAADvVjf71v7i7BMf1zwrcxxGSSab2l7vFCEiZwsAAAAAAAAAAAAAAAAAAN6L - pag7peuDwvJqEmF0tf43X311fnRu8zS4yuB5y/KKLpk01jJ5+a70n33x8xrLbp6Wlm6Tm6QwxaGY - /Lmd5IYbYMqUqlTrf/fbn6/DGE1VGlyWksIkTymf2baxlEmWsRk359NF13xq+luf/WntdzaOzPcD - AAAAAAAAAAAAAAAAnmsUdePDrA4rpU9z309zi0ipKaeY00zu4RZSz+yZIZVSjoHQMMtSzF1mmb1Z - hjLUm3pTdkt5ylPjOJZiktx9GIZaq6R5np/x2wbwdvL5Let+Un3WCwAAAAAAAAAAAAAAAACeG83l - Dz7ytcvzn6mjrJgyM8JyqMNx+N+1WXsWz2aVAAAAAAAAAAAAAAAAAADguechlWvdxEvptVKSab+/ - N7dP3r2jh4/S7XKO8TZNCg2TZLvMbNlXw/lqpbONFHV96lral3WIXLok3aa149lLKaq2F9vTlVlZ - 7cObdDLUR1NbHfvrTUrz0HKhLDdPg6ds2bapkHbT7u76rO/Oa5/v9lm9q2cWtjQAAAAAAAAAAAAA - AADwonlh6kuB78HT5333MtQy1rJs+5Bkbl1hZmaWkeqRmSbLMDNXpuL4U1O0HhHjOJrC0iV5mkme - CtPce8++XE/u7u5mXkpZLjG/HjFlnidwC7wY//1R3Q0AAAAAAAAAAAAAAAC8W839223+F/7xP9Zq - lFypasUV8zSNYz2Mx7TjTEmTDvMB/Z2eEAAAAAAAAAAAAAAAAAAA4B24rhUVH4W2W03T2XYX+9ml - i5abVelTfzZrfAcXmfdOT//84mKn8h03eXZXSHWZ4miSXHZ4Y057N57UpfF0I4V8fvnHf6p98Qvb - abo71GluWrq9TUq/Fta9QcvLpWQ6DAZdtqsrrI7nu8si3dlszvaTXn+kkzvBlgYAAAAAAAAAAAAA - AABeLJlpRiYIL7jMlHxVN0prrUdEz2aeVmSm3nt6mszc3CTpcFGEyUwuWcrkZqqlpKdCoTSV8CWH - 1zO70lZWS11eLlMRYTKuL+AWyuUqvfbtM1zMXxDV3QAAAAAAAAAAAAAAAMC71VwPB9fpWl56i1J9 - blGqxnGUmLEHAAAAAAAAAAAAAAAAAAA+WFUKU7j8UM2dqeL/1md+4hXJ5rlLK9c8d3+2y3xSSsPo - 37646La+tPo//P6XtBp8HPc5jRoPZ5i6KZdRaEkIE0/omiUNGhT2N/7XX/8PXnnlo/Nc52ZSSt0U - 8jS76tK+cYctGpaydFdI6qbe53G1vtxv54tHJ+OgoSrUU85+BgAAAAAAAAAAAAAAAJ5nZvZcd5QC - 74+ltM/MKJ5lKGP18D7nfur7elIjW+uzJDdzd0kZZmZmRVJm771LMivFXD1dxW2Qq2iQucvNctfO - o6S7m5nMlW65XG5+WIAkC0lKl8R1CDxDmZlKPf//LVLdDQAAAAAAAAAAAAAAALxb3fxyLBpcvZXq - Sg3FW5tqfZscTirEJEkAAAAAAAAAAAAAAAAAAPAe2TKAcJEuNbOQqkva7tXi/hxxcbEexmhzy5Tf - rtmEYYqIXjSv1ttxJS8qo2SjFSlk/mRXd8h0/R3jQy9q77WMMbeyOVWPP14NHy9389HrVWpSN+8a - XLLsJQ6/8xSuAFMcN6pLYVJmTm1/ul7vpv2Z7N/4kU//1w8fScPNrwUAAAAAAAAAAAAAAAAAgA/M - UsqbFjHu0yXvzdpku9n2MfYy6PX5/GJ3/ujRG+eXF9NuP8/zNE299wgNw2oc62Icx5PVyWpYfeKj - n/Acao6KokmWtagUKysf+9xa65LcaynFwiQ9z6XAwAvIzPL4L8MLgOpuAAAAAAAAAAAAAAAA4N3q - rmmsytC4ak21SE21DJnL1Mi3FnW/IDEjAAAAAAAAAAAAAAAAAADwNNkTCcSU5EtMcVzp4vKe+Q+O - q4fTfig+9TypnlO8/RM9C56KUAz1cl1/+fO/qZTmbsXKkrN0X/KVSwdyvk38Eh9qJg1etdsVHzW4 - 7p/9wz/+yn/yA5+8c7wKmg3dao3mUk1JCqnf5DZKkyRPSeGqpli+Hce6ndt+t1uPQ+6nj969Kxkb - GgAAAAAAAAAAAAAAAHiRvDDFpcD3NZddbHa7cjHF7uH29a9/52tf/eZX/vTbX3u4e/31i+9MuZ/7 - JEt3ubvL0tRiTjczkxQRkqrV6kPu83Rz/6U7H/3I/Y997P4PfOKlT3zs5R94efXxzRun69zUcZA8 - u3rvPWVmfi1757kkTBWS7BblY4EPm+V/QHtTsP05RHU3AAAAAAAAAAAAAAAA8G6l3IZR4yCzUhRz - +mBpkpU3n2pPfAEAAAAAAAAAAAAAAAAAAHgPTErl0gIcJqmYFNK0/dd/8ec/sz3f9zgp467P62Jt - ircEGT9gYfI8zF1baoyv7ocp5aYoIZe6LetV9/pnJbQyrdeqg3patZRieS8py8NT0d6NN+td46is - GdqVshnL5Xr96PziTNKy95YubR0mcsYNb6BrO99DcvnS3r2fWh19MNPcR/M6d+3343qUH3e2Dku9 - djeULrv+CAAAAAAAAAAAAAAAAAAA74ZLS611e/LBkEUcf6a8Ok1xqL4Ovc1Po3v0Mnefu+17medy - +fmv/cZXvv6lL//Rl797/h3VnuvYa7frlzZmeJenWUrKzMyMSA2SHxKhlsqUSR6yoe7s4Xcu/ixe - d2s++HiyunO3Pvjpj/3cp+5/+od/8Ic/cvejNVe5z9rHwdbR3Q8L8yVgF3ZY9uJ63O6JFuHlDaZb - uqSk6hv4gCy93S8GqrsBAAAAAAAAAAAAAACAd8uk3DeFL0E+G5fZk6bjSD5JskhzSaYqPY4vAgAA - AAAAAAAAAAAAAAAAvEs95K5QuJSTfKhmUp/Vtpvp/HQz7s93p2arYbyY9yuz0A0ORwtTmCu1Ukhq - qTQVKaVZ3kzdvKRWCpdmU5i8r/a++m++9AXdWSsk8+XsGJRahibGtTGKhC3xhKjucjVZauPSnK+v - hpOTddnvVl015xJ9ye426aoG+4ZYHmeUpnfzNJNU02UxuDIim4ZSW9hYR+Ws/SNtNqmyjAGVlKYu - mVQUymPduCR5Ed31AAAAAAAAAAAAAAAAwO1SikU0d4/o7p7ZzczM3qbENAm/4Slz5SBJFrIWh0dc - JllPi8g4dNSmuxRS691LSCkLMy8qCstwyabsvU7zyeW342tf+NPf+Nwf/JM/+saXZ7W0lCLuRlqk - ZVhoiKVI+3GdtkkmcymVcQzC5SENFyZXzNrJJxtdg0de7vP1785/+sff+FL7//r6N09+9OUf+/lX - fvEnf+inP376gzGfjNp4X2WYp7J4ZkZmZPfBq6vtM3pfrVaSep+teKSFSWqy9JQpPIdlcbR3A39B - EeHuJpumyc0jn/triupuAAAAAAAAAAAAAAAA4N3ylOUSx9MhHmhPlnO/KaW3pBgBAAAAAAAAAAAA - AAAAAADek0zJQs1VfawKpWTR1Of787w9331yNXxnvzfpzmozTbuntq7rc0aPGUlPU+pQn+xSM3Wv - l3WUp6pJQ04yu95PHIfRjXJ6u/EmS8l79lj76rBTrP7ab/32v/eXXrk311VvvhRgZ5Wpm8vCb7S7 - +8lm7TRJynTL6F0yrU2tt1W9u3u0/du/8Fd+7QufU4asHN6MSdKTgePD5s83PQwAAAAAAAAAAAAA - AAAAwPcSYU1L8izdDwm0UC6l2krzQ3+2xdLefXqynqZda60M1cz2rWVmGXwXl+WOfe31r/xf/+f/ - /lt/8n8/8tem1cX5cF7Ke16T5ePbxws9TOaMJUTXl29c+5CfSL776uX+j/7Jl3+93/vMJ37qpz/1 - 2Vd/9J+5OzzwNpYYVvW07Vuk7pyevvHGG7Ya1psxW5+mfSlDKcPlbhpWVYq0tEMSjzAegHdEdTcA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwi5RiSpWwtJB7uFKqu+lv/8RnX9n1B7W8sZ9PhjGL - P9xtB8ns+z/nX4SHXEqpS2kKU6YklZQi0mSpZsqUpZR+MZTXi7RedbkpfZCa5HpyMONhTuINrx3P - n6qi4vM+huqaupRy7Ytd7fQwlXxcjH3T3tQMbkpTyFQHRci91ElT2z24c/ePv/u65tDquLBrvd22 - PFG6DgNJAQAAAAAAAAAAAAAAANxSdtOZPOB9CWvhe8nVV56rEiEL2T4sPRXy1FXzdkgyRWzbvN2d - ntyr4+qNR49iVLkT3+nf/PrDP/g//rf/5be+8k+1nv10eLR9NO9zfXan53ZpB78Jtfsq3JpLmnMb - frHPR7/x59/+4rf+37PP/U8//5m/9tde/edePvuB80e+qXc3dnb+6I0H917a7Xbbi4fuqnXVInvz - zcmdKbayblryeJZSWJeUJPSAD05mfv+TngdUdwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC3 - SSpb81LkatKUUa3J7SVbbbaP5uwnbtvWes/VUGLuN7oWT0myPBQYh0lSN5VUUSg9Q92juSI1hNL8 - 4VD+y8//prxcTLs740rS3HOopqsC48M9Z74p3qpFqz4Og0vSqmiuqh7DqtuUcstwSYo0PYUa7FxG - e6bSpOPlcPhRatv0/7N3Z7GWped535/3/b619j5DVzcHCZYcx9DgeJBkMHJiA85FEgRBBgO5CnLj - WwfJZS6MXOQyFwlgOLBgOLDNxIkQy4JsS7ElS2YoWVJoSdSQ5iBOzUEUySabpNhTDeecvfda3/e+ - uVh7V53qLg7N7lN1qvr/w0L1Htf+1u616lzUe57HbLkAPXa7Z54elzeEe3nAzlwiFRQAAAAAAAAA - AAAAAAB4/Dwx9aV4vFnKZsmklaUs9zNpbhHpkmk/lBkumeTpVX60Og6Ls92tabXVU+25b3z81579 - xc9+46PTcLs9s2nWMs2OhhM7VlG/yolUS621UuSUU7dWTkodfZru3NncvK1XXnru67/9iQ+85wd+ - /D/6i//p8Y3h/Gy7Ojm5dfFytXF1dBTZpilqGb0M87xTaYd5PFe6JFmTUnrQ7B6AN8LMdPjB92T8 - +KO6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALhezH25MWVU81Gp7bbeOr+RtVSfW5v3JdpK - l11xKtqy/5CnKRWSwmRSTVWFQmnqpjANoWbl7GSl02PVuraxR1ZTFvVDIqQsdHfB92q8gb3qNXor - GhWKIq/SRe/jsCs+mw8pZXR7eCXYqf0p6gql26GCe2p659PjrVvT0+PYphiy30hpu9Px6fJqSV3K - JQL1dbu15SlOfgAAAAAAAAAAAAAAAOAay0xjygfXhqcp3RWyJpOsSwqV3A+phSldYemlV8vSM+uq - nvut7fr8G7vnf+Vfv+/Zz/2mnU5n65vdd1FUq9Kst6m1KZt8uNr192y9R5Soo22j3zrrteroGfV5 - t516Tv13vvCBTz//yff8W3/h3/3Rv/T9T//J46N3Xbxy3trJejxVzhFZa+t54WZpUhbJw1QyXMtX - YfmAeT0Ab8rjXuBNdTcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwzbjHPIdbqVVto+wKu+Gl - 66yHt6KVqqTWWqb8inNBl6LiPJQlp+3LvP3wrKe6KaXZfFPr3/zoRzQOrWfUHLxsN211VLvuveW1 - N4FLUllLVUhF8zyvBtPx+m//3u/+zz/0I6kWj/TUMckVy6WQqYvdNLpuT9OJfJTi5h2lKUKHVvq8 - 9MbDneV6AgAAAAAAAAAAAAAAAADgDfA0i1GS1FyRLklhnsufFlJKTSalyyJMO9vm2O6UVz/0ud94 - /4f/xR/tnh+e6bfb7fG4RKh3zZNqjTJa1GxNsktzb2+1NHWPHFOmKFZLrqvm0NlGnnG06q1M6e3F - 3Vff/9GvfeKrH/1Lf+4v/8Uf/Pfe/e4/MZ/N88X56frpnKez85tP3TjezJPSUy75MtG3zLXqiudp - gbeVx72x+y6quwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIBrJLPNUx/WK0m7eXvDq843/92f - /ZEfnqaicVd7a1GjjSoh1cGyPaRktDTlpVjDpUTZpBIKUzffFT8fio7W6q2sT6SaLdermtLUprHW - fWmxKbVUMwP3scNJ1VqvtazGIeeNWep4PXtJ1eZZUiXDpC5PU1j4VV4BsZzzS8bnvXsaVmpNK9eJ - W40693aa8d//+ff8jS9+cd92f+joHvSaMNN75eNJUigAAAAAAAAAAAAAAAAA4DtgUWsvskzfpM3d - FaqZQ5pMIYUslFJ6mrq3ue52N86/8MrnfuV33/f7X/hdPTOXd7eb2ztZNM9d0mjmg7fo0zZVNazU - pyscaQuLTcYwDiHtdiFptbKj4i27Um0KWcuxWMlyUl/oX/65D/7Mp//wE3/lL/+XP/DOf7u3fpTr - oVgxtd3k5t3KoZB3mdTzS38CeGvkYf7VzB7fIm+quwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AIBrI2WycT1ctJZux8OoizNt4x3bPnrO0S6mOF6vfLsrkkkRedXVv3G4Ybmv7l6aklPqkiSXanj3 - sh389lil1MmNLsve3OsS2raq490SZKWHKaU02WOb44ar4X2efSilFJkyZONKSvW+LXVX5yGsPMRz - Zjll7xZsm2SK5YroXT3UU6ZUTim7MYwvXWwVYSk3zQ9o5nZZ3LsNAAAAAAAAAAAAAAAA4Joxu+qJ - POC75JKnW0ZzhUXI49LZarl/SfdIm8Ln7er2s1/+7ff9f7/wwktfOv7e8SzvXGx2qyOZKbusK7r3 - 1uVyV4SmrepVzrWlyWrZtVnSMBaTeo/WejFPZS1j732OqZSyi126Tp45/uzLn/nqP/+7/+F7/vN/ - /z3/yWbb52k4OT3ZXkylDpZu2g+15n6sFQAegIFdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - 4DrpIUleq5dQyIq2u9PtzqN3U63e57nKU2mmuFeIfVXSQgrLfXKZpUzy1E7ydWmSpFXWYvXOMP4v - n/yEjtZdXmTDobfbJJeKJLnSRbQpvrk6DJLMpFQUdbk0SPV/+vhHzo9GSZahWiZJUjF/SImbFpZh - hyL75aIrRXdzegdZaf3EpN2sCJNahqSeUkhxb08pEQMIAAAAAAAAAAAAAAAAXGeZmZmiyRvXjHmk - ZZp3q2G1pzK7KUpGzrGyIy/j2bSdjqZbqz/6Z8/+1D/89f/1+fZcf+biTrySOa+r2+wxe/YaquGW - pZp5SY2pleTx7dfw3S8+XWHFxmJVYZEpMytKC3m2nNPDqjLTzFxlF20a+vl485c/+jM//at/62vx - qempO3diO6xvZCtrjWNabW1QNStTZFNS4A28ectPQHff7XZubrLlwUe9ru8eM7sAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAADAtWGhamc3b42ulipyZf8f/8KPP6P07LKwlO3TzyJMDycILQ/po8tH - 78uSTXe23YtMpSu2LW96alXlVVpy2vY1xcpDW/f9y41LewYeKJfTx4vWq1f61Epxr1K4KTJab1ca - qXe5F/z15+rhSlRKphgyhkjJ1boyBrOuLCYz7S+AS3vw1z4AAAAAAAAAAAAAAAAAAMA3FRbN5u6t - m6WKqbrX4l6zDBoHH6ZpmrUbvse+sv3CT77/f3v2+Q9u1zdbnVqdemlpISnkyprmh01hklz5MMpt - L03AyqRlJvYwmxdSWEoWklwhZQx9U87PVi9/9IXffO8v/MTnXv1YuzG9Ot8M9ejd5l7SPL211qz7 - egxm8oC31GPd2H0X1d0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAdZI6vfF0kVYmVyimdnE2 - 5lwySqqGl/CUmpTSQ8gZvP8j7sWX+agwlfW4UT9ZP9PKeLZeaSwyc722pVsppUsuczLQ8C3Y3f+Y - SqpIXVW1qpTtOG5MF9G2LY+Lj6W6PZS00NedzpI8D8XeqTi0d489NO+UEZsLV2ZmVyql2L9ruUlv - NwAAAAAAAAAAAAAAAHCdmTHdg2snLVrpU+1NmXJJVVa7l+Z96+vVSdR2oVeev/3Jf/yB//PjL33o - he1XwqKESkhSuLpHuMKVptzXdbvSw5QWVz+SGrKQNdOyhe1HBe9tnuEZrrAMWZP1XnZt3TYnm6/b - l/7uv/iJ3/jsL9s7tnnc02I1rEYb591UxjKers+ms+TCBd601/wEzAeM0D5mGFsHAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAArpPeJPVZ1mQppZ1Wm9tc7rUFW5cvXcB+9Xloh4+Iu48skWzbnU5P - x1fOp2L15e3motb3PvdJ1Sq55aHr2B9UUGwPfhj4pqzI1E7XuR6s+MlY5ha996Jy1Z989xIL03Je - 3w0ntUN7d5q6SVLJ+G/e8+OaZh9XGbOyD7J7qaKXD0hSPqgSHAAAAAAAAAAAAAAAAACA1wmpe4SF - rGSaelgLy8wMFd3c3ozT3ZcvPvven/tbf/DKx+LpZifyVA3ZMucmdVNYhIUU+/7sDFlI0V396utt - 0yIt7g7UlZDn/s/9fOz+qUgLqfXYhPfZNQ3aHu1u1Rd/9gM/+YFP/dLF8Mo0XGxim5bjUel93u42 - q9Xq8qQrgDcp8wkZcqW6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALhOhqKMWlRM2s7a7Ly1 - 06Eszb9h6kuF8KER+Krbu5dPSVNYLHeXDzxZ+8XZdFq9ubXVeHuQ6qDWJGnJT/TD9prdSSYVyRXk - JOI1cn/ShKQ0Saoppetk/Dsf+p2zabLMi6l7NXsosYB2Nw/00LW9bJaydEuXvNu+2FtSbV09NO2q - +8pLZujwdtn+dN/3dgMAAAAAAAAAAAAAAAAA8B2LtPRS3D3lkR7dLGNs2/Vm99TZx77xOz/9K+99 - yb58Mb66LeddzcNrd4+qqN2WTVIztXLYXJGmvsym2hUuPk3pSt9/iqcsVeLeZoexunD1ol4UmcfH - 43bSHLro0Y7nfOb2z3/wH/76J3/+1fLV9tT0cnt51s5L5tQGuTGYB7yl8omYdqW6GwAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAALhmircmpRTlv/pTf/qp9Xhn7il107wEJR6CC8sVN18v1eAah7k0 - AAAgAElEQVSe+2biNCn3CWabbbiUmRe9TevxYhw077Q+uvvOLjWp69BbLMn2tcdKSWH76m7au/Et - pWQuNx2vbDVYKUdHdW7Zla6rDApderu1zwNNUxw2SZZ+t5g+zZfHTXFUi8xVS8w7U7hpc372TY8r - 6fAGAAAAAAAAAAAAAAAAAHwnPFMexdJrlprFvUZp03BxcfTS52///j/6V//7ly4+7zfSj+zsfCpF - ad6txn7OLlytZLhky3Tcw51eWz70Qe3aLnks43iHadVlsm480suvTs88fSqZimbFhd9uT935fz7y - 8//vZ9//on15eLfO88Ldnzl+ar6z86SiF3hrZD454631US8AAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAwF5KIaXSBmmSen7PeDTffPEpqZta0a7IUvVSaXcsjdpXxg79wt33QY0huXRiqqthM4VV - /9r27G8//wUdH/XdXIaVUik1RUom97vl3Xmpxjslu9KF47Fnd8utTd2sFN8pdnMrTaNrjuLukf1q - 13Do534NlyzdJCmWa3C5k1PTdqujIx/LLubqq6OT0+UQUsr9qwAAAAAAAAAAAAAAAABcR2b3Dfhk - ppktfz6qJQELTxUNNWoJs25mNa3tdHYzv/HFm8/95C+991Z5yd6tW9N5ej5zqta0K650WchakZT3 - NXaHPKVQmFQk6YG92m+dVIn9OF6YlEpFN0lxKBd37Tu7968/O4+nb4yvvHh2clL63Idh2M1zlt1m - +Pq//Mg/veh3/uN/579411N/bHdzN8b4lJ1M2brF6z4YwHfjiWnvfuAYMAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAIBHo8vmpY07pTafzvNo5lIsmyktLfdBhWFX29t9iXtUD7liqd82063tXN3r - +uTiaNRYVKysj6SlnztMsktV3XfvXFrvfc8D99ilG7Y0wI9q1soYq9okq57qc7SrXkhKcQgkfV0G - Ydx9ZFmvK1bzTu6aZ8mqD22epFSGXn+6c+4DAAAAAAAAAAAAAAAA1w8t3XiIXPIwhUVYyMKW2ct0 - y+UpD3Mdxs+KzFKKzMy0mMt822++nC/8X+/7e5vTV87LnY0ufKxWlLOyKy26R1pIslQ59HZbKuVp - vixAUgmVuOqptrsf554KU9hy7MpLmySlL9tQS2vxzNNDb31Vhz6HpBhCx9Pm+NZvPvfL7/udn72V - 31g9M2z7zr1aeMn7v1K5VKUquSwODzK9CryN1Ee9AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAB7IU/J5UpJod3Nk9yYsktK9/AhwhRjepE2rnB57LMUr5TFYPKinS/rNM2h07G0KTfT/PLqVKuV - zKSQF0kmH6Ql9dB0L+PwUtahv+4GIEkpWbqktJB8OWdMVbm6ue3ftzqa+p2cYj3WaWpXGqiXpkil - yVNxWNuSGSrF4dmoKaU8VSOfmSbtNnrm6UluWVdDVUq2FICruFLqCrewrGR/AgAAAAAAAAAAAAAA - ANeKu0eEmbnvB9to8saVcUtPU1pL66ZQyrN6X3q1bbZlci2lqEsPd00VRWTX7NU3w51b9o2//0// - zs364sbP80hd6VFc2a2ZS9mKXApLybTvrN5PwcVhGaH9UJx0tcOoEfcuprj8hOUDHpTCTBlqoVqU - rbspVSOiRRyttNPN3/rE+7/3xvf8Bz/2V+rp05rGY5V56r6qqrabJzMb/ciiKDKthc9pTVml8JCl - uj+E8VvgMZOZyw++eZ7dPDK+7VuuOebUAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgOvClxDE - RXZlHPe5unaSVDxtiBi7pOhSPpRE0MNqquR+qXs7TZupp7Ien/7UZz+jOu6fsP2LTCr6Jt3E+9c4 - eWi47PUhmKHQ3UjQun7vZz776m5S0elpPZvaalxd+ZIOZ/DlhM67t9Niubs8UjJueP71H/sR9ZBq - NSnVW5ObUvtNSkVIssc+zRAAAAAAAAAAAAAAAAAA8CZcHrEMSZYhu9ejnXdn2CxMmucpFVPf+rF2 - R+cvta/8o1/8B2fl5m7YNd+/0pai7tzv3TL2xdh5bztUaMe9tuy86t7uy5/4bWfn7nvNYULvXtd4 - GXUxdztqebx9/wd/4WNfevZ8PN/Wi2bdzOd5dvdhKBHhbhGRcslTlnJZSGkpS+ZXgQdYerufJFzq - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwHVh0lqlLLcUf/3P/Lmnokw7WVG3lHTUtOpqpslV - UjXuaxS+SiFFSHmIiixFdSihemuzUSly9dbIN8Obdl8o533nU3QNxY5X7t62bS1N0+7hru3bsNS0 - 3R2PK8mL1LtaUxlq9C7bB5uGxGUCAAAAAAAAAAAAAAAAXE9PXmUpri1Lee47pC1dy0CoeUppEZbp - PSzDorvClNJoo3e30c7s9tnw8i/81j/+g5eeu9CdkCu9hJcM0+TWPOT94bRxXyFPechS+/ZxhSzS - tJ11+szw6tk8e9vWzT/5lz/94vSV+Xh3a7pTj9fjumwvzhW2qsM83alDl01hUq6Uw34/8jQG+YBv - JfMx/xvkgEsdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuEYssqaKpJhPzMeuwRWhNHmqSlUK - U9g+jtCuPhgtTEvjcB5SST2VTT11oW4nJ1LIVVZHfZqufDV48u3bu23piU9JanNqqFJM1c83YU2r - Wq9hSu6quKZJrVmfq6tWRYSXsj+Yeyt2JWGAAAAAAAAAAAAAAAAAwPX1xLSW4jrzlKckU7rkIaVn - WqQ1qaU3KaQIkyRXcfetbeN0ev+z//zDz/92eWdvw5Ryz2pLHfhhx1J9/Ctr/fWHEFId9eqt+fgZ - m32e6mZ3tP2pX/w/bttL/ky5PZ9rsFTk3NdlyNiZ7cJ7Wiir5WCppf87meIDvp0n4+cg1zkAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwbaRkpgjb7dRjTO9zs1Bdirq1T0A7ZDU+jOUsgY+ySIs4 - 3F06iHu6Hz/1Sp+0Gpop5laG1cNYFp54y1mXOpzyqoPJpOPhVubp6VFI1fy6VXdbqkremnKJUFWP - dFeqS5LdO5y9JyHSEAAAAAAAAAAAAAAAAHjCPRndpbh2LEwhC8ktXSpKS1M3de/hPSyW3u40pSnN - o2vTNnbaPv7Ch37tk++bnj67Za+2OqWHpUrIl/HOlLIq66M+wreS7ft3Q1Km6qg5s4/aDbvb9uIL - 28//4m//bHuqvdpvnk+b4+PjQZ7zdHI0TtPF8i7tu7pd6SEPU163GUQAV4DqbgAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAOA6yVRKrWuePSIza1HGvqt7CWIsqRKHl19xdGDuC8PTlvzHQ91wVZGV - m9F/4tPPqXioWB2yhZKIM7wpsZxjdqjuttByt88q5aV5u52jSOfzNKjYdQrFdUX2ee3SZqvelYrW - JZnZvu4eAAAAAAAAAAAAAAAAAIC9drlVOs1DJiks0sKVlnLJ0pUeJhu9DdOL0wv/9wd+ZndyfjGc - 75Qt0zIstWzKJ6eROkw6zKxe5mYtFNLZLu1IW7+YVrc+/Pnf+q3nfv3oe4ccc5rnoZa23bnM3SUp - fdmf5/6PJ+ZbAq5CLh5w/T1+mGsHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAArg2TzNRmRfy3 - P/KjytmG2rtWXkySYolpdKlIKfWHEx1o8kOfcve7YYh91/rF8VpjUcTUm3m1OtJPjDfvUEx/iMsz - 9Z4aV7L8J1/8QnMvqk/VtdQf1Qq/mSKNksogWV6cD2Od5zmUy3VxyPokBhAAAAAAAAAAAAAAAAC4 - pszMjDE4PASRnrIuLcXSRVklD5OnLN3DarhFtXTJu8V2uLhTXn3f7/6zL9/+zLzaTCavclfJVjTJ - QsugZ7osZO3RHt5bIg6jd3ebtl3KnmPx841WR9q23erpcp53pvXtX/vwL3z14gu21m6eIqKYT9s2 - 1BNlTZOsyWalK4sUhw3AE46ZXQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOA6ScmLWju1LL1b - pqQ5Qoq7yYMppRSmvPrlLNmEluGKtP1Hp+Ty9fGNF2PWWDXUdRlTiiTHEN89e30O5v4Uj1IsIrVe - ySzquFO/07Zu1ytPz1IrL+1i+9d+9Md0sbP1kaRhGEyW93q7F9dr5QAAAAAAAAAAAAAAAACAhylN - YS1sP6SpdMkkV5rkJVTSS9SS7umSWp1vl5uf/PpHP/QHvz2+q0w5h6m6SsokT2mZLFUN+WG3j/dI - Z1qkRVyatrOUpTy9TfH06ZHSWmqz261v+CZffXH3xV979hdvza+sn1pNbbdaH0cvraW0dHV3aV9n - Hqawx/vLAfAdYmAXAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuE5MitR0McZ0ZDa1PoyrnbK5 - QiqSSd00+1Jq/JDyxFyylPZ5hUtOpG+i//3PfEpjUapImQon3wxvih3O6Uu19LHc91JapsbxrId8 - PciuYVV8j74a6ipSdVB2SZl5+QVcIQAAAAAAAAAAAAAAAMC15e46zPyY2aNeDp5sua+mvv9Ec6lY - sXCbfeWr3GWb5vGo7nTxqr76S7/3c5vV+WTTdtLxIDVpVknJlKbuCle4ZBF+7ebrvjsp3f2KPGXp - lj7a2DbdexlcLrUpVGOjl557/ve++OJz53mm1bCZ0/3IbaV0k0xNlrLQE9FrDlydzCyl7HY705Pw - c5DBXQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCaya5iZberkcfyqbX1et1NaaFDdXc8lDC0 - u59iku8blD1NaTKNN6ed1qOGKskilxfnN9sX8B25Pw3TYymFj6lLko3y2lfrnakOR9fuZDNJckVd - Uj1Th5TP/VHZvRUT+gkAAAAAAAAAAAAAAAAAb2thCtvPktmlAbNMc5XsFl3jqq6O6p3tzTja/sqH - f/5r8xd3wy6kwaVZ1rSuy3uWmTUPC1lLO+z0sZW27yPX0t6t/Xyqp0rKUh7u4d6rHV5fTtsr01c/ - +LF/NQ13tpp7Kd1KRpGFZUryXAb7rt3sIYCrQ3U3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - cI3MrctS826Yt6viwzBso9/ZbvMQQbhnevhhYpa+fGSYbmozvvOdKj73LhVFGnGGeCvY/beWk8qH - Iuliu5XKHfWLYhfz7pEs71vIfdt9lgxlXm7qvsskersBAAAAAAAAAAAAAAAA4G0u7IEl0iHJIk3F - rPTe0xW1TXXzhy996vc+/xubelOjyYbRRpvlIdvvxZVVkg5d4Pk493bfc2901sP2E6xSuMJTnu7p - Hu4pSc0U4+a5F5795PPPzqu5VffV0KK7uis8XXJZk7Vlh4/miAA8XFzqAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAwDVSh6LUf/3DP/SOoWw3u7N5dzKsbxydLC3AS8Si624psMdVRootaYael9Ih - 0y0VphjWr7SdSm1y2T7YrAedxHhL2aWa666T9alS0zjG8XpSH2t5hEt7oCUktKQuh6ra5eC/lEm5 - HNaTEY0KAAAAAAAAAAAAAAAAAPhu+DJc5inPcKUUUi5qrT3bLi7O8/ZudfsDH/2VC3vVj3uqZ08L - 81CtaqG0ZZTULfcl1pIeWAz+GLl/vM5TrvTDU2EZprBcXuZKhWnqKsc+DWf/+qPvvyi3N3bespl1 - qZna/q1JjS/wrZiZpMyUlI/1XyIHXPMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAdZFSSyn7 - 9x+d+Pk8jhqq97ldbM5LylKzq5tqaOz711+1JcYxTGGylKdKKOQXq/qNeSMrY13LJGW0GJx8M7w5 - S1v8fQ9EHNL/cpZ8/Hsf+fAr02Z1cnLe+iNZ47ewFI1bSq9rsbd7QahLbzc99wAAAAAAAAAAAAAA - AADwdpUmubJ6ukkm2aG9Oz1DqaKoMdVtP9596usf/eRXPlLW0UN9niyjtylMdWVzqpu6SZKlPOSP - eWn3XSZZytKVVVnTPOzQ6W0hNVlLSelLe3dx7VqPcfP5b3zyc1//xKbeOuu3fTRTd6UplC6VUHXJ - Xz/kB+CSpb37CcBoOwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHCNmEmtHytrU4S8FpOONJSU - HdIUq1QlS4U9hBW5pCXI0dNKmkvddeb9J7/4Oc2tT22eJ7kN1f0Qigh89/LQcm26V4HdU021SDIN - VcerKdp4zc62MKUpbF94r+UgUnrAdbE8GlwwAAAAAAAAAAAAAAAAAPA2lcvQpXvKM2UhRVjIrVmf - cs4hY93P7NZvfOxXp/EipD6rusYhpQxpNptNrUR6eKqkl6j+pAymecpSFr7/otK1zOktX5tFWiyP - hDzNUyVSs7d53Pzm7//qNNwejnPuW1cstegmRY6KlSRp+cIBPOGo7gYAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAACumVp2t85OTS5tt7PLB6+W4bmPUyxSkTzd88rzFS1l6WEKk0l+iDC7qKniquux - 1mEcd/MUEdHz2+wO+DbutXXfuyXJTS5N+2c2bhe7XV6/0y1N3+qizH1nNwAAAAAAAAAAAAAAAADg - 7c0ls6yWZilLeYasySIs03NWb0ObffuJL370D/7oU3HUMm1IrYq5zV6kom2PVtRdaSELT5WoJcYn - YFBt6e0uIU+3LMvsappk+/buNMkiLPZje+l9LmU4yiqt8vNf+/0v/tGnbNUi53279zKQmCvlSpKJ - 3m7gO2V25fPqV4fqbgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOC6sFSNrmn39PFppIrJTLI+ - x84ly33z7z5T0cIebryiK11ZpDDvxzfU+7KW3W4ehzEzS3mMo9lwPdhSUH84k9zlLlOkTKpSuIax - pdf1qutb9mQ/CpaqEUdtVpuUYZKyKl2S7LDdPUjR5A0AAAAAAAAAAAAAAAAAb1uXKmUt7j6SmVbc - zNLaHX/52c99cF5Nm7aNNHePiM0mM1UGi5Df28d9XdQmyeS5bO7p0us3SfI83N+/+N5Ollbsu5te - 8wF231zcA73u7S552r1teeTeR5tkSlNc2qcrLEOSHSZol0MNW763/YG7WWb21OS7+Xj7e5/+jTu7 - V4dVjf3eQor9p+Ry0AC+qczUEzHlyqUOAAAAAAAAAAAAAAAAAAAAAADeSrvdbvm9i7tecxcAAAAA - AAAAAOD6m+dZUh486uXgbSab5q167LY5y4e6ylT3Hha2zw7zbj5Js2QKU/P7wxbfep6hkFkPratL - rUhhOrMjrZ7RMMo1lNHSSylcMHgzcknklMtCFiFJXnOwrBpMdXlRKKqrehn6Nevt9lQNHbf+runO - //Ajf0atKX0JJe1SV8hjyVd1SSkFeYAAAAAAAAAAAAAAAADANeK+L06+/KDZNRtUwpMi5Wkhm9Pn - lIeqRS1Rx1L7dlrX4WI++8yrH//srU+2ErWM5hkWTfJRVtTnHFJDqHZZKiy6t1am7m3ZfwmZZOmW - blk9RmVV1qUmW7nvzDbJUpYavYw+FKueHqEWijTzKiuyIrc07YuyTWbquR9gNVN1K4em8H0L+aXS - 7mbLK93CIk1WuuocnvJSR19WKJnkqTSlK1zdlJIsZLPbbGqe7qFlC1tahUPWZM3UXJP61s1ytLPh - zide+P2b5y9NfTcX7TxnRbFYlclzO3frWsV+KhF4O9rX2Es6/A2wbJlpZmY2TZPMJLn7Y/0rFYzq - AgAAAAAAAAAAAAAAAAAAAACAt9JqtVp+53AptJjnmV9BBAAAAAAAAAAAj5HWWmYOwyBpCZwys9ba - o14X3k4ytdv81R/6wbGspNV2O6Wk+9q5PU1309IeQpqYy1JysyJt2lSklGbpvb/7rNbHuzan5MWU - ighlv/oV4UnW5SHpEO+5v5lqObfYyqTeJTXZxbSTK67Tv0jbob37qLV132m5SvdxhfeO6HDZEgYI - AAAAAAAAAAAAAAAAAG9flpKUFmERFtpPiPq8m8ZV3Uyb4cQ+/gcf3tjtXdvJ99Nycbc/O2Upj33h - rqS4tCul8rXzdeEpKSyXPux4zdO7Xd+1uUdz19E4HK/HWmyaW6qn+tLd6y53pRRSrXJXmiI094yu - w7SpWdhhdk5hclcpVmvt6q333udSc1y5FLtpq0tDsvtC7rub/DB9F4dZWlcu231fo0xlf3hKy7n0 - yc8//YefyKGFm3m1UjJ7bxu37rXK6O0GvqnLXd2PcWu3JKZ1AQAAAAAAAAAAAAAAAAAAAADAW+j8 - /Hy5Mc/zUmgxDEPvRPMDAAAAAAAAAIDHRq3VzCSdnZ1l5mq1mue5VqLZ8BCl5H46jspelMo8Pa5t - Vin3vSoeVg6apXrEINW0WtSlretciqOVTldztHE1zHNvPWRymcke+4Q2PFK2ZOSllPLlti2RmqWU - 2uadBlctG1Mo7Tr1dj+YSa9b5L3Hrv/6AQAAAAAAAAAAAAAAAABXwFMmWSos03Ip0g7TMmLmtbay - uz29+pkvfDoi3PPQYP0GdFNzdY/uLW3SfmuyZoqlvTtMKc8cU1UrK+tio08Rm+0876aScTKohny/ - QGUqpDRFqnX1UKQipSyhoqzKOpR1Letqo+dg3bzLmiJy1ycbbFyrDFKfs09uUavCIy1S3lUVo3K0 - GC2q5HfLyMMUpkt37/sqbOn5Nr87wWqmrvaxz35km5tUzzTJwxTKNBXzjPYW/F8EcO3xqyAAAAAA - AAAAAAAAAAAAAAAAAOAtc3JyIuni4uL4+DgPyms6BAAAAAAAAAAAAK6xzNxut+v1+vT0tLVWa82k - hRgPV0o9a48+N3fvoc2mrUZrU64eyXJMmea1TK11yYpKMYs8n7raNDx1KqmU4q7WU73VkX8fxHdv - 6e22S3fv/mfbprGOdV3Vmzbbf/Cpj/3NH/zBuH1Wr1X79Wt+Ylim9k3dvn/IlYcDu1YrBwAAAAAA - AAAAAAAAAHBgxnAPHgbPpatbYfKUWUguqdY699mOpo9/7iPnm9txGqvjOs+7N35mei4V16bI1zyx - /1xJ3UMZktJymrpCxTWOspSaelcxVXNllTzDJZfc5NllVty9yCTPHhEtsslManKrRVZrKCJa9K7i - ylAoQi65y6QumdRdSpdc6UqZ9st+Q7oyTO6WkUOV1fjaK1/66qvP/8AzP2otrKp4lacUmZGZTPEB - 39qT8ZsUVHcDAAAAAAAAAAAAAAAAAAAAAIC3TETM83x8fCxpnudxHB/1igAAAAAAAAAAAN4YMzs6 - OprneRiGWqukcRyXu496aXj7CEW84/j46OJi3p698/Spm2d3NlOeVKk9itWYuql7yOVSLX4xRZHq - 6ZHKqMjdxW48WYfUi1kZZmWlkhhvwqWT576W66GOU5+HsiomOz3W+dlmO33/apym6RGt9AHSFJcP - YUkPPfR223JE0vKi5cXO9QIAAAAAAAAAAAAAAABcJ2b2ZJSV4vorKcm79TS5hXIp1PaMMtvZpp59 - 6HMf7N6lkBSRpbyxM7OEwpSuNOVhUs1SpcvSJXeL7tFd3ZtSR14t5DXdPXv0qRfzp4bTtmkeQ+mj - 9zrk0aqenB7dOBqfOlm/o2hwV1ikorXt1LeztufbOxfznYv5bGpbtVmD0lrL3mMqxcwVmT2VKa/m - XqYekstckqmZwjMkKRX3Vh53j8u+ydeQmWkyswjVlJU+jZtPfOkjP/w9f96nGr31ksW9RypaseJc - 6cA3d/dH4eP+M5HqbgAAAAAAAAAAAAAAAAAAAAAA8JZx91pr793MxnHsvZdSWmtLrQUAAAAAAAAA - AMD111qLiLv/0tF7d3d6u/FQpTRPQ+vn25s3NL5ydud0LLKMFofUxLBUWkQql9df9YrMtlPcWBeL - 2E5xfDTeDt3qXW6SrU7WMk1zK0MNhSKq8++DeBP2dd1++W5KPbuKh2TuxbsUtdbpYmO6lyh6TeSy - 4v1tmRRSke+TQ1NKl0VI/d5xAgAAAAAAAAAAAAAAAADeRizdws0jTWER5q4IVaUrwo7sq3e++MKt - L8XY5Da3qdRL5dXfAU+ZoqR6SlKa4tLEWppbutKltsy2ubS7CG+1+jCU0Waz2Y7s+Cl7+gf+5A+9 - 6/Td3//uf+N73/F9N9bPrHzlGjwHa4Mtu7QMzV2959xs9iG2cXE+nd3e3Hz51osv/NHzX/nql198 - 9RtWWteulznHPteYct5Oc4u2GqvklpLCFbKQSVLYG5uSTZNSmZmp3tVtjuPNc89/7D/78YuTchwZ - PdKXPUeMY+39DX2jAB5LzLUDAAAAAAAAAAAAAAAAAAAAAIC3TESUUiT13iWZ2TzPVFkAAAAAAAAA - AIDHSK37aKZSSkS4u9k1q4TFEy9D8ouXXv7j42ntcRRza/0itJLGpQY4H2pRsadG8+Oj1e3NxqTv - OVp9fbO7c+Opv/GZT8s9pml2T7ejoUo632yPj465ZvAWWE6jS5mbxYpJXdkyPPq6llKGUdOs9oiW - +GBLV7dLllLug0MfcFGkpyn3rd4AAAAAAAAAAAAAAAAArqnMN1IdDLxBLoVpGSe79KhFmT/xpY+c - +82sIQtJ7op8Y1XWnrKlt1seFmb7abbw/bSq5Ba1ZHg2Dz+qT1sfh1i9++iP/eCf+FN/+o//2X/z - 3T/wrqPv1c5LL5ZuYbZNM1NRkVkPC89MM5MGM7NSw6TJ5phb9Fh1fd//z969/siWXvd9/631PLsu - 3X3OmSsv4mV40fAuieLFNCXKpOXQki1KcmDLUWAIDgIkRgLkRd4kb/InBAgcBHmRBAic5C9I4BgG - DAuG4CgMBFoXU6YlURbvM7zMnFt3Ve29n7XyYlf16T6X4Qw5c051n+8HzeLu3bt2PXUAcwkAACAA - SURBVPuZZ3fhoFavn+ztFp+QbPza17/yp9/44z/4+h98++bXh9mtWNpsXhclYjOUkKfSIi2aaSyS - toO/11RGe/annh6mtEgplKWohdJzVW6/ePyt715/4bnlE/IyjptS3VwWodeWhA48Xi7Tex/R3QAA - AAAAAAAAAAAAAAAAAAAA4HXj7pvNZj6fT5kW7u7u47hffdIBAAAAAAAAAABeWWaO4zh90jH1nNp1 - lAMeipTG8enlUqtxaMOoLNJhUXHP4ZF0CXQLO1mtrszdMl5ebZYHB9+pVbVI5rM6c2/a9oI8XBxk - prhf8OMwTc1I7909DJuuW4Z5LZ1svVn3qfsfvA9KSqmiGOUp3RmkSSHZXg4aAAAAAAAAAAAAAAAA - eLxRL4qHyaSUUhZTorYkKS3Cc5UnX/nWv16XY3XWWuuqxlHy13b+MLncUyGV9DSFhaaYcAvL8PQS - c2/Fs9Y2f2b55o+8/6M/9YGffdPVt877w9oW8/Gg3prPtVRLZUppJcKiDWNEPyueiilJO9MkV+9F - lmkLX5iVdMsxIzMiwoYPPfPJ973tp3/xF371G7e//q/+3e996atf/O6Nb2Y3dO6ywRWhOI3lTntt - OeWSzGyMtMzalbZuWbQeN4t6/ML3v/Hmn3jXcnYYvZlZKd6GPoMiPuCVXJr0bqK7AQAAAAAAAAAA - AAAAAAAAAADA62k2m51uT7EWj3AwAAAAAAAAAAAAP5patw2apg6M9GHEQ5XxX370Y+8Ye0VIKtt9 - GiO2AcXbDo0Pj8vm8mETi6K5dKvvN1euqlR5kWSnHc1SMpm4X/DjSp1fRlMcfGsH3Twkl5tCabXO - 2tA/qkE+SMiVKp7ZBg2jIuR+rnnhJelkCAAAAAAAAAAAAAAAAFxCZpaZZtZaK6W4+/TtpUkwxR6y - lKfLQnJlpEeU8dvXv/G17/25HcVm7L1IJpXXVn4W5l7mq349L7KWXSnD0LqubsbRaxmGNiuxLDM7 - nh3pTT/9rk99/PlPPf+m57uxKKvfrBadZ7GoliVTUrEMSdnM5FUuq5Fn0q9Nlj6li9s2kzwt0ixc - kqlZaZE+Lub97F129N4Pf+iXPvxrf/QXv/elP/1//uSF3x+XJzHbbMaIpvlcJXzso7lKsczMlNfd - hltESjoN+T5zzVldSh/HVqtaKmfqx5M/+vM//OT7PrtarRazxTAMXupsNhuG0Uv5sf/rAZdQZprb - ZrNx94jQBX8HJLobAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA2BupWRu7FqYMxbRnyjGOV37i - G6bPwZXFZFJnylJv9aEy17a14nRUyCQ5yd34MeWZx237TkmpUoqkbJmZXk0q63GYzZbr4eRRDfW+ - pka9ZlZMMlM/1kUdtj/zs0e61KY2pQAAAAAAAAAAAAAAAAD2gxk1cHhYLKbw6amW7LTALCzHuvnW - y18bynr0je3SqTNfW4VmWpwMq8Oj5eZ4VaVh3Y4O5pvNcDg7jNDSurjZrs6e/dRHPvupD3z2zct3 - zTbL2UnXtbKta0uXTLs0bklhkt0pZU250sPkebrnzAjtbNFrTJeZqiXKLMowDBraM4vDT7/zyoef - +8ifff+P/8Xv/9M/+vqXDp5caNaOV6vZzGt1V5osMsaMNmRLmclfXdXdNKhwtTJ8+8bXXlp/71r3 - 5qLSxnEcx+qzWmvmBU8kBvAqEN0NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA7I3ULGIWabnt - WpinjQzP91zMh9IgNKRUyWIzG9ajqjQ2C6/STFOL0iZJqspduHh5GOPCZRa7Tp95Jr07+sG7eXVJ - JoXCZk9ee/G737lir7IP50OyWCyO16to8kitei0O22at2ezc/Wvbh3LnWgEAAAAAAAAAAAAAAADs - ETPLTJK88QYLSZYqYdK2WC5t3PitP/nWH4/Wh9LlFqk8H4z96iwXOj5ZdZ3VulTY8WpT09vJWNez - Zw9+4uc/9kuf+shnn+neEisvJ6Ex3ayZSkqS51Q/GlKkKSxN0SyaRVo2D0/36DzdMk4rWtO2FyWL - uLMnJZnko/kYKlpUC5Vh0+b90TPd8trTb/3JX/joV1748m9/6Z987aWvHFztbo43vWqmkk0m79xU - VDNDcc9VbmvwPBVybTPFJYsplju9/8HqhRdvfePak88opolWRJRSSO4G7jW9/Um6NDcI0d0AAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADA/sgaWWP0hxXO/UO5e7RxlI46bQb5YmEHV5UhL/LYRndP - Rz66QeIyOV1IU671dB946bZ7RylDGbejXVscRr/xvLcX56MRpuvr1ZV51zbD0WL+99//wX/07W+X - g05TN1LzqbOqTDL51HT1kQ4YAAAAAAAAAAAAAAAAwCsjwBtvkJTSFCZLn+Ku02QZsuE4b/y7F/8s - S7NUkUXqR4jQNSmbLFXMbt8+mZV5ifnV2TW7af/ex//GJ9/3C28/fL6/bjF081IzRyunNW1hkjws - 1XJX0WexDeE2SfI8Le5T2nb8d/HT65xOkNbV+bhp47jxzt2thiKK+m4xu7bsnrj69je9+63v+2d/ - 8H/+7p/89hNH9SRuWPguQljKtGoW1g9ZH5DEW0JpGl1hd149LPp6/OLtb7/niQ+MfTdzN7cIRcQl - ySUG3jCXI72b6G4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABgb6RqRE3ltvehlC6709PQU9r9 - yHNqLfgG5ha7pMgqm0mrQSndGNb/0+99UYfzrBGK4tu+inY6ECOOGD8um9qSSiGVqe+f+zgMtXZy - KatK/d7m5Om5l1G1/ZCzPUxHtRvHsUn9yfrJ5TWNoeMTv3qwu3Fd2t4g3CUAAAAAAAAAAAAAAAAA - 8DhrHkovqUxvLilcGd5/7/g7P1h9R9dMkiLNlFPJ2WtK0U2p19V5d3s9HM289blsh+9YfugLf/U3 - Pvjsz4zfzXqyuNItB20iw+fz9bAxdymaSdakkEKWlpLkaZJb1BLF05UlTKNr9OkAl2QKT0leUkpZ - avtchaSQR0pdqTbLHCPHYjavXbHZpm+bW+uDJw7edvjcr//cf/jOt7z3n33xn7w0fGeoN1rZuPsY - Qz/0Re7VLe8qGZxKV91SLu3iuF05zafSFIv+hZvfMA+PsFJNUlrmriQXwP1cjtxuTb+eAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAOyFVMm40wwwH327MHdvahtpOVMx1flcy2VzbXsf2pnUbiO3 - G68D2z3G+UajpasyZZMiNA7/y1e/8lJbx6O/Rc5prUlyqWRcqwutNlrMJcXZSzHJQhnK2LUNBQAA - AAAAAAAAAAAAALCnLk18KfZNSLLwdEu3bb1oyIavvfhnQ1nLwmWWWa1EKk35WuozPV1hw0k8OTta - 9IdPjc/8yid+/T/5tf/iPVc+lC92T+jpri2G9VjrrClvn5x0s/n0PEkpn16umUZXmDXzmPK7JaVb - ylOWU253SGHbWrjYfZ0by3TazbhJCyuyUkwlw/t+PDlZW+rpJ570weKm5seHn37P5/7jX/rPn7/6 - U6Wfjf2YLbsyq1YtvajU+sBLntK7Jc/dK07Z4Sc6/tZLXzNrXakui5BZMStE+gIPcvcbn13g6vAH - /84AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8NCdhl+nbZsGKl0Wd759iEKyUluO7u2kl6Qc - m9yG1OL8cFMKkyQnvBs/hrsWT0y7cvqBnazGg3nVpmnWKdSW8zasH8UwHygyLFWL5l3d3L4lq0qF - muQhFUm2DfHmNgEAAAAAAAAAAAAAAACAx1aY0hSpmipSkzSVy1n76jf+rc1aRLi5onn1zHitJaRh - 4dV9qMNL9R2H7/7NX/6t55/+4Oz2YZ74vBRp8KN5y3KrX1kpi3m32Wyqd1PMtqWkLqeat7PnVLjU - fJyGWhTaDWqb6m2S1O4tj0uXRa0ax+PWm9I6n5faeXVlynS8PlZppdnVcugrK3r3f/qFf/C//sv1 - v/n+l24e36yqZtai5Rgt5A9I4jXFFCsuk+SWPgWKt7J58QffbDHkjrndnUwM4B6X4zYhuhsAAAAA - AAAAAAAAAAB7bVvzpjitEpxaOjaXh0yyVJr8MhTzAAAAAAAAAAAAAAAAAACAx8aZ5N47mzlqaBo2 - XcR0lKVkofQ73z4KwzCEwqrUNJcXq2qDlypNo3ciiPGGM0kRqeWytkGl6zSOOlllqbFPy89TXqp5 - Zmu3jlfLJ6/Jpdu3fX4tzhwWksu3m8kdBAAAAAAAAAAAAAAAAACPHc+plmwXei1JShtb6b/90te0 - yDZELRpNxVNDSmYyKabjLbdVaEqFeZqmvp2eLiksStRlHNnJ7Kfe9vFf/czfeefyPXar07o8efDE - er0OGzfrvrlKN3P31gb3KrlPdaFSyEzbbSm2r2QKi+lbV5awqSVoWFqalGkmZeyq4nL7823FrMco - qZRSfJZRNsNoVkoxi0gLN1vUWetbbPJqffLGavwPPv9b/9fvLv/gy/+q70+G+SZtk0Xuca7+dldk - K2lbj2ej6cxOqVa/cev67fH2Ik9meSC5mbXW/NxRAC4nbnQAAAAAAAAAAAAAAADsr5RCIZNSrghT - myrkTM3UXKclg5aSYlfPBwAAAAC4+Ow1fgEAAAAAAAAAAMglt+3XK36MwOcLeOhSkdtCxzjdpZQU - oTbtTYU2ax3f/m8/83Ndi6lm0lOecoUrttu79O7d9hteP+mSKTr5PEtKnWY2SrnevW6VTVfjkhdF - 0V4lKePi2d4c6ZKKVHT6SzuKyVJl2uuu5VVr6blnKy5CLSI0X3Qb9aqjDq+Yqmtq8hmy2DUBdKk+ - wpECAAAAAAAAAAAAAAAAuIu7R0Rmmpmk0w3gjTDzro05eIwlI6J6Gbx/ef2Dl/qX1lpZtdEyZlrn - 2MnnrZTwbQGpSVNBXcqkYfBucbUfZcWKVYUpdBCL+QsHn3/3r/zGz/295648n6tZtkWdHx1vxvAu - 3WqxmZtFy7FZVM/uTGFquJqruQbXsNsOz6mc1T1dsjAPWZinWcrTTOmSTQd4uu0eLWXpFrVoVuRq - Ydm6ourNcpTC3ZXeWsiKeW0Rizy6cvK23/zUP/j8u3/98MZT3dC1GJuNbVeB16SQy4qpSMrMMG8m - WbiNprBdzW3rm3X2Z9/907gSzTZTBSzdS4H7mt74zKzvez/Nt898xSftNaK7AQAAAAAAAAAAAAAA - sPfuV58zpXfT2REAAAAAAAAAAAAAAAAAAFwcZ3K77ytTQx8/+EHJ/WpvtpzNXXbct5nbibLNirpS - 1O46zCTdlVAOvEbnVn76nWZ5Ni2qmI5Ik8yVficFe/+k1Cxkp9HjbtPdkbsbxM48AgAAAAAAAAAA - AAAAAAAeNy3cTJ5hMrPIFj5+/8b3m4/hkR6SmquZXLJtavfd5/DUYrF4+eXr82VtmZtNP7NuqYVu - zX7xo7/8uY98/i3L54brqnGw6I7aaLJ6GmVrmdtA7nPptvHgrzPSU9qOKF13Pe7Gpt2wPaX07dd9 - XkV3PdeiluOD2a2rv/gzX/hrP/sr9Xgxz4XLQ0pT2vacmemSmbmfuwjLO6M1K5F5vb+x8U1aTrWK - ZlTvAY+F+qgHAAAAAAAAAAAAAAAAADyQSSVdKZmaueQlXBaxbbf34M6VAAAAAICLjn/xAQAAAAAA - AACA1yz0Kj9k4JMIPAL+CmnWfvr/pSp1c70+3LNmgLf7kyqbVW2Ut7K9FIPM7f7x4q90pQAAAAAA - AAAAAAAAAAAA7DPSfPEwZaaZpVyZkrWIrPnN73zzgeXOFpJMMaVPN5/+J7XV4cLGMSzlVW6WNxYf - e/dn/son//pT5a2mWS0eg7XMWjuL1H1KQOPM456walXmB/PuMx///Ev997/4F//85XyhLhWSp0rK - MzIjTWYmL5HKe+/glLtHxM2bN1uO26jxTDNamgKvJO9fK37x+A8/BAAAAAAAAAAAAAAAAHhUpiqd - dKVL8pRJlpJk6Zaa9qfdrzwOAAAAAAAAAAAAAAAAAABgb5hc6VNtZJo0fUkmMynG6ShXreEe2q/K - yHmdmxe53Rp1vKzHS1c0l2tq/bhry3amPRstzgAAAAAAAAAAAAAAAAAAFxUB3ng4xgx3l5RhZiaL - rPHt735zarBpu7pMzylS+1y6dprC1Mwl92hVuehmbZSbFrl4+/K9v/qZv/vUwdvGtY0rFc1dncI9 - PeKefG6LPQvtliRLVe/U+3DSFnnlb3/ut9519MGD8bALebip1Cw1NOVvR+bZ67LzicNmZq6bN69P - d3YoMxu3OfBDXY70buraAQAAAAAAAAAAAAAAsN/u9HN0yaculZ4qqZLy3NYLhhHgDQAAAAAAAAAA - AAAAAADYsXu+gD0zpXeHmklKmZQpmcs8St23kshh7IcYVn3WI7+99P/x3/yhalXEaVj3no0XAAAA - AAAAAAAAAAAAAIAfEYG+eIhCZorMTDOzokHDS7dfOhukvU2htkjb7p2Ko8MUUppLiqaDWT2+vT5Y - LL3NyuboP/rCf/YWf65/WUfLZ2ou++PW1UVX533fK1OasrpTFlPHzzCF7Vt6tw8nw0F35GN3pTw9 - u3n1Nz7399929N6yWWRTRHhkSVXJUmFqirTQPbndksYMmd08vu6+vcEvRyAx8Ma5TPcI0d0AAAAA - AAAAAAAAAADYe+ZKs1TsUrwtVUIltiWtKUK7AQAAAAAAAAAAAAAAAADA3stt46+ptWEqcup+mHLT - aavP4dEM7pXMZ/NqbtLxJqLrVIrGUVZPW5md781GfzMAAAAAAAAAAAAAAAAAwOVxmRJMsVd2MdLm - ZhaZnsfjrZub6+Fxb/60pLSIu4s0I02zmd+6MV5Z1FiFnSz+1i/+vbcfvdduL5d2lBtzdbO6iDFj - HIt5reUhXNqPz1OLstwcb65deXJze1gMR89def5X/vLfXayudTEzpSIlmcldZg/sShqmzOZFt45v - hlpT2/5g76LKgf1yad776qMeAAAAAAAAAAAAAAAAAPBgppw6UaY8PU3NVVKWMimnGrgz5XH3LS4E - AAAAADwWHvDXUwAAAAAAAAAA4DHyyiVk9iqOAd4wpruXX0hSuFmer4WULDJjzz7/WvUrl5aHs5f7 - fsxQC9VOMtl2yMR1AwAAAAAAAAAAAAAAAAAupUuTXYo9NJWQZqa7Z2Rau3Hy8slwW902VdpSvluA - IZ3Wl1puCzfTxwhtRpvPpH5cjIcff99f+9g7P1temtWhLg4Obx0f19otZ8vNZjO2mM2r1GQh5T3Z - 1b6tb90XPrNazI9v3V50C2uy/uhDb/rUp9/3+d/99j8+0TotR5lFWjV5KqS8//jTlJ43b10fchNK - 92LyaCHbs4JdYM9cjndAytwBAAAAAAAAAAAAAACwv3Iq3DPJtmWCceZHZxHaDQAAAAAAAAAAAAAA - AAC4g6Iy7Ju876Y0xV+nlLtiSPNaiu/ZGl50Czc/Pu6X81n2IZ/Jitw0tYyUQhHbfo00NwMAAAAA - AAAAAAAAAAAAAPjhpljczDSVzAyLW/3NVZykpUl+n+rTO/ts14czTV5LtDrLg2t66m984m/nD+aH - drTsZqvjk6uHV2alnpzc7qrPF7XvN8O4uRPRnadln3tX/2mpvu/ndb7oZuOwcfeZLXXj4As//3eu - xrWFzeU+KtM80jMUqTCFKXeR554hKU0hNYvV5mRowxSUbtvQ7r2KKgfwhqiPegAAAAAAAAAAAAAA - AADAK2mRxU2uwVvXdTqJlLx4m5o7niklJL0bAAAAAC4fd5cUEdN2ROz+8Oluef/dAAAAAAAAAADg - cWGp05Z0Xqy1NEmuvF87temDBYrO8Mi53NROv5GkcVBG2b82gJk5ZkjyyPlY1FzmTSom3adt4d51 - bwQAAAAAAAAAAAAAAAAA4NWY+lpkZmbazpSvDLz+3PvWz8qylDJmG2P43s0X22xMm2oz42xNZppy - +23IVEJhu/1mJrdbi89/+tfeVt+zHK4NJyvvrOvK0G8kzboaMciidpJMSlmkXCbJlK497Nxi0VUf - +nX66NXGGDXEVXui24z//ud+83/+x/+we3Y5DMebIWZlltlKuVOWe/eZqsZxPFrWm8fXn5q9bRzD - c3QvmQ94AvB4y0wvvtlsLsc7INHdAAAAAAAAAAAAAAAA2F8mdW5SyHNo4zj2U6/U1qIVl9KbLLfx - bGmkdwMAAADAZZMRMivmLWP7j77M3P4F2LlHsjUAAAAAAAAAAHjMpVSkWjwzh5YmZUpNXi0aHyRg - P6RkIdsGXfv2o660lHz30ZcVRWq9Lvu1bKO1Nis1bAyvZTSNqbReOZNNPSCn4QMAAAAAAAAAAAAA - AAAAAOBVsZDllIwbEZkpzxvr6816batNdWZj4kqlh0kmeaqZLDWMw1V/+h3X3vex536+3OyWWgze - W021PH+G2LZosdOdpnTJlS6bksLvesVHazuYsJSPnrWLEsPyXVc/8OF3fuL3v/fFoepwfrDZbOrB - YrNZ12KWklx57ioyMy3SxpansegAHhdEdwMAAAAAAAAAAAAAAGCvWaTaqPXJbDmbn5S5V2lch0aX - JE/VIL0bAAAAAC6hrrqkGCOVxRQpZdjuD7zufQQAAAAAAAAAAI85l6o0c1sN4VJXS99aSjEmecLY - F+eX4tQZ0MzT0qSUorWi1NCe6ObW949mkA9QZJExNq1O1otrT0guc+fuAgAAAAAAAAAAAAAAAABc - dpm0OMQbyCVFSspsqvndm98dyhBn4qW3PTZNyju9N09/ZCHJXeZ995c/9vk3L969WC3bsCoHtY/B - ts/UNrFb29DulO9Oqjj/830SaZJlmqWUnoqxSl3ztx7+5Kff//kvf+fLQ71eShmidVqcL9V1KaZJ - k2l6eijGGM+enkpY4BVk5t0V8BcT0d0AAAAAAAAAAAAAAADYb54yUzez1XpzfDzE2BXVqt7D41w6 - 21Tw5yK9GwAAAAAuPJNijEXXbRSWiswiSXrlRwAAAAAAAAAA8Dibusf1Q3NpsZydrHo77SB3SRpG - 4SLbrsWQRcq3SzIlKcdRXWlSmmox9aOGfta3smeNPjc5LLyqetd13+lP1FXJz95Y07Y/mtEBAAAA - AAAAAAAAAAAAAPD6MKPqFA/VtOSmhHgr+sHNF7OOeSe6+2zXzTj7xNRUvukedZ7zZw/f8rHnPx23 - fF5qZFu1TRQVMztbknpPbrfStzWg21c89xKPVpriTqK4SZmWprGE+3r5gbd87N3PvO+rN788bMZS - yjj2tdh2/FmVOr2WNIVkZk3Dpq2SWxx41XLPatp/NER3AwAAAAAAAAAAAAAAYJ+FFOp7HffPtnql - W3R5vBnTOnVNu7TurctQzgMAAAAA2Plv/qv/+kMffH9rLTMzs9ZqZtP2fY83/lkIAAAAAAAAAMBj - b951mbnu+zT9d//Df/+Hf/Sv47R33N0fJUz95vaotRweAzEtuVBsV2BIktVZy1hFPyuzfljPov3D - v/7Li3Eoe7Y8j7r5ZuhHZT/3/miumTQOpetKSpJv2zXukrtzF+UNAAAAAAAAAAAAAAAAAACABwup - pinTi48ZL69uRGmS/H6tVFxj7PKsm0spS5Xoymr+6b/02Wvl2rwsNyfHV69evXn7djdbqMUuqTq3 - J9iymLbtdBT7aBp8bBvLmCnTUmm5qteuPfOXfvIzX/uXf76e36xzbzmWYhFK216r6WxFa6RFWgzD - RopQuk7TygHcLTN1KUK7J0R3AwAAAAAAAAAAAAAAYH+lJKUV11CWLx0Xb6plHEdrct+msqURzwYA - AAAAl41LP/vRn/6rv/BX3N3MWmu11oiICLP79/jn34YAAAAAAAAAACAzI8KKh/SP/vf/LUMuWbGx - 8UECHjULZUhKZcpC6rRrgdjk1WupKc26qtV68/JLXX/i5q94xodtNWxmtevHYSz6fm6kpim3OyXT - rsnhrsshAAAAAAAAAAAAAAAAAAAXlpmZWWZqii8F3hhng6NNxaz1/XrdH8dBy7gT3W25TbCWSQqX - FGquZpKphLx1R/n0R9/7yTxuM8/e2+3huHRzZQ2FZ0h3x1SH/EyMd5wZyz7Vr6aHRZpsCtrO2HYh - NZ+pttXmI+/4xD/N/7up7+2WW7ZI25a0+r1h5GZKtaGNsWtdk5l6QB8bANLlCe8muhsAAAAAAAAA - AAAAAAD7K6RBseg3v/O5v/kxHWhz+4bG+VKSSi9LpdRM5VGPEwAAAADwurMWM3dJbuZmnpmtFan4 - /f/Eiz+EAgAAAAAAAAAA3tV+HEupclOkSSa1++V2X5YWUrhoLM+2NtwuRHcpeo1VbkpF29y4/lab - NY2Papj3NfM6jqO7hmL/x5/8sQ674XjVHSwlybfp3QAAAAAAAAAAAAAAAAAAXEqZaUT84o2TLpOZ - rfv10DaheNBqm/anqtKb9ZJc8qwffscnnureXDc5tmNb+M316vDo2mbdivk2xzrPntS3L6o7kd4+ - FbZabPfvgTClakqyKBklXPKQS6oepeWblm/94Ft/5v976QebvP7KtawhhSlM4zhMe8wsL00uMfC6 - unzvd0R3AwAAAAAAAAAAAAAAYH+55HJZeaJmN9wspYw+ptSvdODyVDtTz3PZSnsAAAAA4PFWa3VZ - 3/elFElSWqrWGhH3fwJ/DAUAAAAAAAAAwOMtTTE2RYTFODZJIZXirT3gwwXgYfMpttvl20W5654o - eVGaUnKVEq1Ztm3+/N4YYlwu5jfXm6GFaqf07mipuF/55j4NGwAAAAAAAAAAAAAAAAAAYD+5lGaW - Ms+wDG+r4aQpM1Xu9FEJSZaK7fGykKWb3FNh8qi1zT/6/CfqZllNZmqjFvNlhmmb0X36arHbkLRf - Kd0P4JJbbvPFLadyWwvLjGHe1ewXH3nuZ7/0wu/UebdpQ50p2vTEMwXk27pWOYjfWAAAIABJREFU - M3lmRI6eOjcxF9oueV3puwLeqQI5JbN0pacpLMKbJI/i6VLsnpghk7xE9bSwlhZhOp1A3/cVArwq - RHcDAAAAAAAAAAAAAABgf5m8k0vexhszH1rrS8pC1eUpSSUVppBL8tyW0wEAAAAALoGWOUbr5rPW - mssy090jYvp3370pBXuVWwAAAAAAAAAAAB4JS7lMkaWUqeVY3yJ1NiD5FHneeMhcktKLKRWmUXJV - V27X50JFkpSyWuazFqvcs3rIIvV9X03FqmKmcqDUdtTbj+ruXA4AAAAAAAAAAAAAAAAAABeUu0vK - TLM7JXFnt4HXUQmppZRZ46Tcvnly3d1LFouURfNtVvckTDLJVMaYz+swqrq6cXnFn3r/Oz5YT6qi - RLqrKKxl7+7K6ckhbXt43l1Hnbs87+ll9imqeWoxGialQp7mksIyLcKVaT6U9775vQdxtF6/bAfD - KE136rYrafrp3Fm6hVVXKSa5pbtbZqSVPavYfS0spCaLUHWFR5VClqEx1GazRW7KMIS8lgNfl1Vm - LvoDC4/su5m3HL3z9dCX1s3HK542ls1Qh7QxLcPkKUWQ3v14cvfIjLO/Lcx0Ye8WorsBAAAAAAAA - AAAAAACw1yyllGwI31iYh8wsM1MuC0u5FLtGjxS0AgAAAMBlErtW/wAAAAAAAAAAAK+GpyKVtu0n - F6YkSBj7x+SuJjWZT9/bNtlbkks+reH9XLme8pSyk7bNH6UpjPxcVz7uPAAAAAAAAAAAAAAAAAAA - gFdmKUulJItm42jDuq0y5emeGRaS0qaaTJcipUiZrJNZG02qKrV173rLez2KZ5Gq0jw9pihui7iT - 2H1fZ4J59zKhedtxdFeWOg03TVOZeFF3NLv6zNGzx+276zgeQ3W6WAulT5N2eqrMNLNi28v0VHuY - V/JGS1e6pcvCXU0xDJscopR5XXa38uUb9r3uqGxWt2eqLVbVs7VBXnvLpw9+YvxeX9ssPE5nzNMs - Je3jqsDDkZl5YbO670J0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAA8JFOe9On2arWKiHN5ueejc8NULOXZIt1VVGy097/n/SWqpb9iSvclEKdJ0tOkFbP5bPbs02/6 - 5vf+7emc2bn0bp0eb+lKr3W2PZfpwks3KTVFtpfd9VYp5criNvMscX39nd/58m//v3/xz9fz233p - 61w5rIvMm5WcFz/84Ns+8as/85vLzVFYyNLSShTLKiktzuW7AxcT0d0AAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8HC41MwsZJlplqvVahvWbffPSzaTm5tZi8FMFtVa - 9/a3vMvDLN3StuHWFnkJoqm37kyFS02ylHvJNrZMn5enn3haL5qn+wNCpk3yVGa6vJaZpcxSsjBd - /Elypfs20dyVkkooItNSqawl8mC8Pr7wwslXN+X2zTi2IrW+pLqYlWE28yffNfvA0K261lm6pZeU - p1uYpOa6RAsJr0FmZuZdux7RWF4HRHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAwMNjVrZp3WbrzcrMzEznQnL9zMHKjDCTVE0++tXuiacWT/p65mmS+7knxtnnXkh3 - IszPXYtLkZZhSn/6yafV5F0pit28hXR35rRLnj6vM0mekunCT46kdJmkkPk0RWlSVi8WlqNi007W - s9Wm3OznN8bleshUKSkrLVMu5TBsRsuYTiV5ylOWYfK8BMnmeD2Y2UWO7ZaI7gYAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAhyMluYVNW2HFNpuVWU7J0/cVIZMiws0k - 82ZvfeJtSzsqUSzd088894EnuYDOXYulR4ySzKxaffqJpy2Lp7cHXXHKUpnp6mZ1OeVRZ6aZXfA8 - 4m20+ZSzvc3wVkoa+ubzrtaatZV5bd04dJtV9HU2j5TMS1EtbtFZeufFJZPumY4LPz34ceRFz+s+ - g+huAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHhoXFJEpKd5bsaN - +Q+Pyw1l9dJS2eydzzw3bwuPqnSlT/nNaZcpt1tpd6dKZ5ibW/gYsVweRpPLXZZpUqTdfQYzs3SL - Ur1T+u4srgs+UWkhpUxhzWSebimZFvP5mIos42CbEpsxwy1dpR80RFdkqaKhRDVlSZWQpbZPl9KU - FiFd9PnBjyxzmwZ/OfgPPwQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAA8PoJZbOWni0Gs5S2eclTXPVdQdRm006LCBv9maNn6zi39NN02rg8ebt33D0JUpEpso1ZzBVh - KmZF0nYeTKfTKMlSijTrqnUuyeJOgPcFFzYFeI+yJhtkzTNybGM/Wlj1rvhc3qlUK6rVq6u43BU5 - pAYpM5uks5HxYdu5++Ex8sBFcEnudgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAY8Qsk86Q - AAAAAAAAAAAAAAAAAF43ZmZ2d/TxvXuA10d6NLnL3Vtrfd+P0Zoyzh+jdJlk8lSmZEo1d68+e/bq - m32odi7aOsIubWXdFLydmdOtWmtdLg+Ld5JnmN8byJ1Tbnd0XnPQtaOnLFW9RMR9zn7RhKqypJTb - AO+QjabmlnOvHqoq2be5L6K3TG1yHDptioaqVtV7P5Z+tKF5NJemSHjbzmHya+9xlZm11s1m4779 - Vhf8TZDobgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4eNIUJimk - yAwzySSLvF/a7JSfayYpI8LMlvWwZpX8fkHLly+vNqTwlO2ChDOztXa6fXpcmuLO1bsULlvOj9y6 - zIxokszKBZ8iV5ZUlcq0WrYLycIzTM0jS8jNLOUqFpZSM4Wp2emGN/OQKz1kytPc7pAuQ7o5IKk+ - 6gEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAj9JpJDDwEKSdXXI5 - xnB3XnLeky0dUpFkMWZVPZpfKVFDCptivyNNSjO5JM+7n32RheRhklSUmS2zRMQ4jrs59O3s7WZ0 - OthTShWVqwdPFHWe25DvNOliz4971khPmWyIjKJdirlGV6Y8NXZmHq0oM005l1r44FLIpc7VKWdS - kU6DzCPPTh0eS9t75LIsAKK7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAgLvlpckvxZ7JzCl2OpRyG8feHpyWbClJkTIzpTJlZkezI2tlSuxO09nkeU+/Owj8sjCzjO3U - jeOo4pmZmafXn7adrimO2syyxdVrTyhckuySTIuluzzkUp0it/NOfnmmxtToHhGjmzxDktLNXIpp - oU2z5OlK9/TtpFlIUwb8PcnxeGxcpjc+orsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAA4OFLs2wxnA/bvjs42WVTnm5TTqnKszr30UePtBZWXBGWkjwucehypGVaekl5 - DsM4ZXhLoSyuaHcf766IiCuHTyjMUu4eYRFhds+5Lw7L8IywsFTKlEXy0CiZlJLCQgpZDLGRp0ld - 9pkxJTK7wnIoGkqOllFSZVp6dknz3vEYu8S/DQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAIAfxZSUDLwxPN3SlJmyGMfTFOo7B5yNnc00SZkZkhVlpllRelqGRVrsTipL - Wfqliay17V0Yp9Hmmenukvq+D2Xo7vs0z09kNl09uJJhkjxDl+DWtjANrsHUTJmmkKVqytNM7jIL - tbTcDEPYNCF3pXKfWzCSWZqFa7suH/L1YI/k1qMex+ukPuoBAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAI+emV2ezFLssZDKbtvMWmuS7rv0fNqZSrMpUdddY4YiJYWp - eZTw0BRYbZJLdp8TXSzpsrgnQzoy25RWPua4HtYRY2az4mrnn23bzG9LmdnR0VFR0S6R2OT3RFlf - LCFfmSR3qSg7yUMh1czBzcMizEepH1ukNVNYTWvTYrKUu1t4mId5mlvIUjJ5KuyypL4DYjEDAAD8 - /+zdT48rWXrn99/znIhgZt4/3eqW1BI0kBcGDMOAX4i98xuwt16M34P3BuwX4IUBwwvDsBf2wF54 - DBvWYgaDGY1saDAja6RpSd2tllrdVXXr/kmSEed5vDhkZCSTzJtZ1XXzz/1+6iKKDAZPnAieCDKD - h+cHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHgE7Mbd/Xh5u3FHZZLSUsqQ2eQej2xIvZBCHpKl - dRnKqjY2qpRymWRtopTy6Y+MCgAAAAAAAAAAAAAAAAD4hiwkzdHULZJbu65lx1OlzSxSkbLimRmq - slCaZ7frkJam9N2tpx1A72lqO2TuSSgpTeEl0y1MVeu43No2LMys7U/td+m+g15Uk1l5Mbwcsvfo - IhRKe1x9D3fC7jJ1yee94RmWIRvTalprPG6WxUI2ZkbENJef8tZ3MVufRoVntAaTprTM/T7ctyJ8 - plrC/fPQPXQFAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4IWXW/q9Q5wpTKKpSGqtUpuEs - 7fKha3klTKlYh753/urN5dvz3jVdalzV1Zkkk7vcFMp5YZUbgeUAAAAAAAAAAABPzjRNXde16UPX - 5bNTay2ltJ0fEWZmxhdQAAAA31wpJSIkuXsLLnV3Pa8QUzwenSnrVKy4rEaklGFqCc0m7SKoIz2U - slRamJW0lTKjVvMc63aKKNFVyXKS5NlJLoUslG5PNoA5TSFPC5Ms07JI7qnJVCW3orHvh+Fvv/55 - XU3VssTuILVwKXabnRHmaV7lP3r9u926K1ZMxT2miKLyePZP2q5XYVikKXZ7IPb7QSHPXc9DD0ly - qVemaXKroTGtpnpLL8Wybsw2bmvT9s1XX7hqnwpFKlNSyqSSMf+TVD2lkE1Sy+32U/nxeN4iou/7 - cRyvZj3xd8DHcpADAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD57YcvRHlvKtVQVk6LWrUzK - UKZK9/V2qo8sd8DMzvpuHOv3zl5/f+j19mv1pWa9WiJdIWXLIwcAAAAAAAAAAHjyMrMldpdSaq2S - 1uv1Q1fqM9KiJVucpLtP0ySpTQEAAPDrQm43vlOWkkwWYTEnSae1COpm2dcsMi1tFy1/WS/TJ8n9 - KoLaW8j3/t+utCc3vbrRdtDVUehWugiZWY3xcrrc2sZdqbrbUenKroWdyyRFquvK2YvhZa/Bs4uI - kMxMekT7p1lssSxjfzssY+5d2aZXacTpUtuWXTuJUZallD6k9XT5fvN2m5uQTOHXT2Ylc9FjM8Ki - WlbLffFEHuM56B66AgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAASPtxJ3fy6r7LU+ldJ6Uu - 1+oHVelslR/ePkAtT/BUzVRGRJ0ivlivdX6hlMtM8utbZ4xqCQAAAAAAAAAAngUzk1RrLaW0GOmz - s7NpmlqeN75rmdlyu2ut7t73/Xq9Pjs7e+h6AQAAAPj1M1NaKkORMoXi3eVXeTFJYVfd7UKS5hRn - C5fC9ASn13vY2VV+uaVS4R41t+8/vMmYuk5jtAV8t3DOkdjuYeerFxdnF76xFnnuUpXCJD2W/SOp - tLD25esoSbu0bVeVpLzaD54t1tsilbZSSnJLK1opV5FRS/zN13/6Jt9PK029yrhMQAdOysx2pDwP - dNYFAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADx224hJJpkuLjRuVfy/+aN/Ho9sSL3OZBGm - XHn3269e/Ff/wX+ozdbn3O68+ve46g0AAAAAAAAAAPBNZeZms2mh3bVWM8tMcrs/mRadvt1uSynt - 9tnZWa31oesFAADwhLWPVcDjlClXmKcpzOrX779QCdnUHpTlMps5LLQLqH6K05Bil9g953ZbmEI1 - vCi9quSbN1+aKbPOm5weabtyJHm6Z/e98++f9WeZaWYmV1714HsEW3pQ2+U/tf6HLlnKUqZsAe6e - 6QpXqHVIzE7qLMOVpfSR9mEaYyj/+md/WlebHLLaHAoO3Ka9CT6n6G4uUQEAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAHrUqmXuqbHLsopYXF6pS38UjS8B2U5WKfDttc5M//6ufqh+KHaklw2AC - AAAAAAAAAIDnITNXq9U0Te5eSpG03W67rnN/ZF/kPF/b7XYYBkmbzcbd+75vLwQAAAC+AXK78ZiZ - 5KlsQc5m5vnl+19lN9k2TVfh1stcas3zn56bf1TutqXGuOqHWsfstl+++ZUX1ajeSXWxmEkpSZYq - tfzW937T0jPMJHcPySLT7THtH5dKyD1tf7e9mq2Goby+cErZhylMofakKBpNUniq1rKZuvf/6if/ - fOw+hEWE9HyymPHdysznFN3N9SkAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwKMWyqISyiml - bmijTCri8YyaKclSFuolV3RePLLUUE1FSvtRL9t0P8AvA/0CAAAAAAAAAICnzszGcey6rmUcbjab - YRjI7f6UhmGotUparVZ93282m+eUsAUAAABgKVOycJkp0/LLd7+cfJ0+SVJaC3B26Xp699PmN/++ - iXS30dYfpreX67dm1/4GSlNe65nnJYbf/eHfyymXXQ4zH1f3vTCllKaQhynMwhSa73pe+6c0D/Wp - Ps3TQgrPKBklI+rahrF7Of3i7V/87Zc/VtkqovBnIu5sju5ul3qeuu6hKwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAgDQHW9v+liTJpV52OY2llN77lMasQ9erH9LuN8Co3XP0yfsOzhmpIhs1 - eZZifn52JrPMNJlMasN+mmRiGEwAAAAAAAAAAPA8mFnf9+1GrXW1Wi2TvPFdG8exlBIR7m5mEbFa - rYjuBgAAAJ4xS5mUqSmnLz98sbWNW7gkuVK+D+0O+ZHQ66cjln9TpqdcklIymZVU3drmyw9fjLFV - TMWVseubd1BIn16m7nd+4/dUvf2hmoqobmaW2YLOH4MwhaVUrzbBdkHj7TWtu7v7CpubPE3VN2nV - UiXl4Z5SqVu/HPuv/+iP/2CjL8zWZbJS3DKTrov4mOd3PYfobgAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADAw8t9trW39O79XDMV6bzrJW2nadV1xfrNuF09tuEBTZYKpavUrDFOdTsqw9yzjQhq - u8UAAAAAAAAAAACek2ma+r539xYg7e7TND10pT4jXdeN4xgRLV5rmqauI5cKAADgW3l+waV4JrLl - dnuaJI/Md+O7ra1XNucxP5Yg6m/P00OeUiiKUlKah0mKzktEnWz75sOX6RFV1ltktgO3ZX7PseUW - pdTVDy5+qDD3UnNUemZ66TPrvtPiw/NU2JTHzj1x5J4rJY+0SKumqUuV7Er0aaE+3+Wbr+vP//BP - /9FUxpRKtda1keBu3FHm82ksXCIBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADxiKTN1Ukrn - XSfJpNIP2oz3Lum7H1M32/iolsVk2ULIq1SW6d2foBoAAOCxabFVbdrCk2qtpZSHrhcenTlbKyIy - s5RC2tbnbJqmFg3STheZSVIIDrRW0U4U8+mCpoKb2oeQuW1kZkTwUeTzNDeD7XY7DEObyUdTHGhN - okWzmBktBKeM4zgMA1HNWIqINm038Mm0o8/d25S/CAAAAL699ncxl1vx2GSqFFf6dqzWuffdX/3t - X8ZQc8qIMO/MTJlm5t5NdXJze7Lxu/tedi55WqTtUsktvci2sfaX+tn/9xO5d113udkOZ54RMkXI - XaW4akSVq6xi9ZuvftRdDrXW0rmp1DRLKfV4ws7TQlbtMErcJZl5Zs7X6yRlZuY2TV0pZpPX7KLz - 2mtcRR9fb9/2P+r/z3/8f3yx/SKGbjtuVl1nlpOSP9fxUZnZ+rS4q8aTPYMs0N8CAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAPBYpBSSt8zr3eCYUkqmw3FwU/cdV3Q5lm4byHJ/+77lHJmZUlgr - as7pDuVuiyS1cJu8MbgmAAD4fLh7i0icponoOxzVdV1LOJvjuruuy/t+YMWz0IZEX85p0XecPbDU - /s5198vLy/Pz8+VMYNbOHnPIUGstLdYRnyEz22w2wzAMwxAR0zS12N2Hrhcel/Z5IyJagHfXdWbG - h1Ic6Pt+mqY2bXPI7QYAAADwzPDnMB6hCJmlW3E3marl+3z3y7d/+7L8jvxCUlqRpoiYzJ7V9wXp - kkLe+uJFSK7Jtr/68KsxxzBZMfeuxrZInSla/8CUJE//zRc/WuWZpZlZKlM1lJaP60s3k4pSlpnZ - tjez9aE0s7TcJ5fL0s1TYcVLjuOomqbiKm5dDt3Urc9/0P+zn/7xH/7ZH34dX/f92TSV8862m9E6 - LgXjrp7TOyDR3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAR+LG0JBt+NA5w/va/G8+MuDB - qIKnBimNE/OPrthTacpUmqS0lOeR5WNO9gYAAJ8Tdx/HsZTSIhJbHO80TQe5vPjM1VpLKcvc7lpr - rXUYhoetGB7Qer3u+76UstlsVquVntcg6fj21ut1y2A+OzuTlJktQfOh64XHZU5lbomq5+fny6x3 - fG5qre0NZQ5xz8xhGAjcxZK7R4SkruvaO0tE1Foful54XMZxlBQR7QNqRAzDsN1uH7peAAAAAAA8 - a64MS09LhSkstr75+Zc//7d+699vj6eqFJmRWcxd8cS/V7KQlBYhzdnVKRWZimo3/eLNz8N2l61q - rREqxcwyU5HpKTNZ2u/81u/1MVhYmtKiZlj26bJHtnu8uqWnSXIz23WnTA9p12MyM2q03pRpGbXk - lL2/WJUutptRU3TT2/LmL3/5L//nf/Q/vskvxiGmWs9ev/ryq69ev9I0RuvdCNzumX0lTb8cAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBjMQ8MmS1R+9c6AOB3Op5gmLylfZsklfzIyJ43UsoB - AMBzNo5jC1Jt2cybzcbdiVbFgVLKer0+Oztr7aTNKaU8s3GxcUe11q7rWh6zpHbGmKZpTnYHJJ2f - n8+x7pK22+1qtdpsNsMwPGzF8KiY2eXl5cXFhaT2tlJKaXmr+AwNwzCOY2b2fT+O49nZ2Xq9NiOs - Bddkppm5t3wgjeNII8FRc1b3arWKCHK7AQAAAAD4rrkrQxGxS6a2iH76m69+pt/NltOdkrd+aZ7e - mY0hU+uF9+SmkuQyhSQzmTKVMjOp1mqmdbz/1btfyjNNIdVaFcpQujK1WzbTovz+b/+9PleuYmYq - snSFd53Xmq3H3+PYXrPoJFkq5fuviE1SZO6uz5lZMTczszSrlhEeXraKra3tPOJ883X+9T/4g//+ - L776k+2Ly9Wrsw+X07TeDC+0zV9/h0w8S+1q8HPqpcD36wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAACAh2dH06yXI3Hmfs43VVsB10sws/sOMjgvfktgjeVt4dz+rbYDAAA8PcvcbklzxiqwlJkt - p7mUEhFahCY+dNXwALquy8w5iXm1Ws3nEGBptVptt9thGGqtr1+/lrTdbglYxVIpZZqmUkqttdbq - 7uM4unt7r8HnZj5FtMawXq8lZSafN3AgItpJo+/7aZoys30meeh64XFpH0K22+3cNtrbzcPWCgAA - AAC+JTNbXmJ9TtmleC5Cit1VPq/exc9++VdTrmW11qmG9W7V6lizevVISS7F05xmKi1lk6Uy+pCH - yaSiEqpffvji6/WXdTVK4UUp6zJt37vPzJSeWTPsRz/8vRytTpmKrFNVWsijq7F5PPtH6UO38vTM - zH2fxlBImiKkkJksMmtKyqymMC8XZdTmQ72M8w9x9v7/+ct//A//6T/42eW/mc7fZ5/TdooapUsb - tF2Lbgq4o8x8Tm9/RHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4FW4Rz55zZbSdCu015 - zySyeVjdgwyz+0aazYMSZh5N7w5L97Y5Gbar7PWaaBFJDgAAPhstc3eOycxMUnixZGZz/u7cNp7T - iNi4l9YMVqvV5eXl+fl5C1gdhmGapoeuGh4Xd2+Zu8uYTE4dWGrnjYhocd27tI8IIt4/T2bm7tM0 - RUTXdRExDENmjuP40FXDo9M+l7a2cXFx8eHDh4euER4XM8vM7XZbSmnvL2dnZ+v1+qHrBQAAAADf - iczkehoeXJpqylNuJpdZhmJU/etf/mTKbek93HI7WaeS6Rlm6b0kWbpbPMVp6qpPoYXKvqffqvTZ - 5Rdf/GKyraxGTGaSvBRvWddF8lTWcKkr5Xd+8Dt99n03ZOZUrDNXFLMsnedDb+M8lbSeLluKt8nS - ZJZpCksp0sI809VuZ9ZRU7kYvnj7VSmmi+lPf/Yv/sm//L///Ff/4q1+OZ5tRumsP7u8XHem86F/ - tx77IvE1I+5mju62fYr8k0Z0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgEch9RLeuMq1T - YToScZ06mpl9p5VoN7Dg1cxTRX2DNbgUu+fGbtTQlJlcVwHku9zuo3nkAADgmWphmZJauupDVweP - V0RcXFxcXl5K6vueEM3PWdd1LW13TkAspSyzmQHtTxTDMGy3277vW5svUlEPAAAgAElEQVTp+367 - 3T501fCItLOHmUWE9p9G5gxvfG5aA2itor2ttDMG0VNYahHv87vJarVqH1CBpfnP23Yy6ft+vV7P - H2IBAAAAAMB3JIssM5WplEV2evP+yzdvv/je8CP5KnKqkWaWWTOtdVGz9FQ8xakUygwPTymU5lXu - qe20XuvDX//dT6yraXHV2zDT0lTSTErPzK4vL/qXF6uLfJcpi6gRYUVSGevWPB58G6+mFlopd50O - VVUlpU1pYa4pt7JIq2Y55TTV7Tovf/zn//qLy1/+5Kf/5s9/9qdfbH9pr+p4cfl+Ukgvzvrt2/py - dWG2efduvLgo41glX/TRBG5z0MlWZk+37RDdDQAAAAAAAAAAAAAAANzV6Pa+W6lfyVwpWRwukX5t - Jj9PBvDMeZokudQGFJXmUUSjeoQpTbboZNmWt1u7XS6Xyf2J9OZT0tpQrFJeDVjmbZDTw/Pz/m4W - aXdyTk7SAAAAAAAAAAAAAAAA+GRSUkit86FMRXPk8L4jS7R+OD5J4YpdN5y86kJzzDLqL8LkqbtM - 78ta3XbDr7lUrz/qV110GM8Pv242x2yf6vTl+tCVr4f+jgWGaTKfzFIK2aSsytYP7WZU1bI/m++P - 03k6dN18u5jF7pgMU0Rm2iBpiPy71aBSdP2IvXbYAACAz0wL8DYzM8tsA6bzORrXtBTe1jZabjft - 5LPVIg+7rluv18Sp4pRxHEsp7XTRbtdaye3GgZao2nK7WxxvrbW1loeuGh5A+7Ax320tgfaAA5kZ - Ea21dF232WwkuXs7kwBNO3V0Xdf+1G0fX8ntBgAAAADgO+W7jmiRociwom4o28vLP/75H73//no1 - vBg327MiK9rUUNerhlp0t8WTnCrSYtfrNjtlG/vO+2qb+vVfffnj0TfVInZ9DSNCIZnLvAUPR9cN - Zy+Gn3z48+7N36z684g6+qbr3cYhU1J9+G1cTGuZpqw51THqNG3Huq11DMU4bdbj+vLy3eX6/fvL - d2/fvnnz7s27zZs8G6eykY1xVv11XGq9lnSmM9f7r8fXw4txM4bqi3N7e1m7oajyvTM+zsye2aVg - orsBAAAAAAAAAAAAAACAu1qX4Rfnr7R6IXNFZFTru1RmWJlHvUg3U+6HpSwPVlkA+C6lJJftznWd - bowhagpTNVVXSVmqpooVlYwIbyOQmiszrtK5w1Lpu0DuNkDwrtTcdxS3yFRIfa9p0jZVujJNdejP - aoyW6sLLPtFbKU8Pk0yymiYL3xUiXR8EFQAAAAAAAAAAAAAAAPguWSiqFLJOKaXLdv1YbKoq/Ycp - usGlaWXVNJVMqcVs26JjjqsNtSil0mQmN1koU5nmKbWxCZfTust2M3OPGjUiJDN1djwF+WgSnKdc - 6lKSehXlWnaW2ZnsKlRZkkfuU43pn4Nvzg5vtD5lJ5f18nfnq+p3HSJwsu6t+aZ0X75//+O3bz9I - 4yKNe3lc5L4Pm+/XlcemP3379up+pOz6BmSqFA295u7Gtpv4vI2k7wEAHrc5LdjMxnHs+77NbAmy - baDe1Wo1TVPXdaRz3Uvbt+Qx46YWpblsG7STz1w7u7ZmQKgqjlo2DBoJPiozWzuhtXy2lrndd2sP - T/t6L5ffvo1prCavU5hcUobs0bWHx5Yf89j2z3cras4tJEOSnfgCCnfx2BozHhgXAgAAAB6Wu2v/ - raiZtUv07s5XNngMMqVUzQhTkTJVx6qz7f/0//63JQalmeSZbSy2qzHe8un+17YiJLeUZO04LKnq - ddu/n7pRlvPfUVl23fMyFBbeaT2u//KLP/sv/5f/vKur3TK7gmy++3j+k5RtRL6rqyy7iwax61O8 - 39TzyIsIi7Qqi7SIUBT1qZyUodWgKS7VS9KmZtdJwUkMd5KZ7h4RmdodXWbHe5k/EUR3AwAAAAAA - AAAAAAAAAHc1uf+n/+v/pr7XdqOLM/OS8ppyV7ZOfHNXon1Ht+TXvACerVALxpY0nwDb8KPZsrm7 - lCldGWq53VLrgrnruJu7/8dufFJzpUJp0r5z5u60mlnbT9VTZdWpjTdkcpO7zKzWuh83dad1OA5Z - ymVtDaEosrbQcjxVAAAAAAAAAAAAAAAA4LtncdVfJSUpTFItXfnw/rJ/eR5SJ1NML1Znm3fboQVv - tzH4dk+rkmQyM7dOUmZGRsjbIqbwRQJxm/ZdGaNaZEYUM5mKzMwiIk1taL9rU92Yk5LkLpkuq9ZT - Ve/SFOGy4t76T7ospAjJ6ZaDb+9evW9L91//yZ9oGj++ZOMu6+XlR69erqWNtJW6VTduJ6Xb/kAN - RevMJi36yB318uV8cw4xvY1d/z9djQEAj1sL5N5sNqvVSlLf9y3Yz93bp8qIePHixTRNfd8v8/8A - AAAAAPjuEXUMAAAAAAA+Ox4KU1xlcktS9enD2VcPWKvH42DPtLvVtx/Otg9Wp08pZXnVLXGf9q2r - GelPOH4Zn1Y+5azuA0R3AwAAAAAAAAAAAAAAAHcVks5WUujiTEVTrfJSM8wOR5m0gwhZAHhmLJQh - hcvtaqTfvewVvde+q2clZIpUpE8R6Sk3uUmpTJk8ZSZvSdup8MySkiJsN+yv5677cxsIeBynTahL - ydSbukzLVI6mIql1B05TSGlevWV4e3s6I5wCAAAAAAAAAAAAAADgwbSx/yRfjIhXpTHG1cvzrVSk - LlPRffjyw++X4TK28v0Agotxz6aQW7pFZka2IdGiuDx2JR9MO9UMpWQhV/quuDQvodaBJz46ncp0 - WVOd/PzlprjMNhldsbYJxWS7clvAt4u+OviUStHFC+0auj4+TWk7qvhGmqRqWp336/WxnNF26NGY - AQCft67rxnFcrVaZ+f79+5cvX5pZ13WSxnHs+34Yhlpru2tmz2nQXgAAAAB7T/tH8/yVgk/raR8v - wKf0uX0Dw/sRAADAM8CXoQAAPFFEdwMAAAAAAAAAAAAAAAB3lSZtLvVbvyHLcQrvV1vVuNkLZzHi - 5Of2UzEAnxfTPizbZdI+bFuSUp5t/N+QQoqIzJRM3jK+6+7HpekmWZpL4VHMwpSpdkOueaBis90a - rSsqLkVYVZ3C1VbehjXWPq5bIaX85uDFLo/2fwAAAAAAAAAAAAAAAOBTSXnIqiRTWcz3UkaF5DlJ - 5opSzl6+/fBWUsYu3iFNKYUpTeptMqWsSmkmKaROVmqWDKXLrk03KulV6eZpKpFThhUzhSwVCt1h - muGTavHh3eXYff+VNlqdd9M0lW5o3YOKxXJTd1P6UOLTMI9iknY92D429awy13ZtvU1TTtK0Hq3v - c5yu9zRTbbeSLAUAwOeuDUBfa3358mVmrtfrs7OziOj7vj1aSqm1ktsNAAAAAAAAAAAAAAAAPAOZ - +Zw6AhHdDQAAAAAAAAAAAAAAANxVmvTyXMUvN+thdVElk/duNbMzk26OMhlEwwJ4llIu87ofmbSY - ZBqllDpT8VE2mm3kl9JGJpM6s0wLi5pmkZGSFJaRkRZKt5RlNe07aVbTtfFOMyWlFZm7aQzJWuS3 - F0nKUS23ezdCsaS0tF20+I5JKUsVBgUGAAAAAAAAAAAAAADAJ5RS7PN/U7vehq6o0qSp18ql4lJ1 - qfuqKy/K8LpmnzWlaqqyyRWuyZV9v1Fssk7KLJbFq8zTu+olj0QXZ0iWJjdXhlLhVl64XXx4v6px - pKrHBlkL+cvuxTSW3s7ebopypa131mtS6a6FHV91+qGLDj4hz32DS/v41IpK6vzszZhpUu+qkXWi - 1QIAcNQ4jsMwSOq6TtI0Tefn5/OjZjZP5wzvB6opAAAAAADAM3CvIUqOfNn3hNw/+umpj9/ytF8v - AAAAAADweTKzZ5DhTXQ3AAAAAAAAAAAAAAAAcFch01Rrql9dVGldN6uykmS5i4OVlqP2haRkGD8A - z5Htz2+2/4Vr7H8q2iK5ZZOshk3pu6VdZu5VqjU6ybzIvbred2VySe6pvoYrqkfIPbvdCMKaSipM - 1VxZcspiXa3VBkVfva5fjLKtBtP8a9V58GEP9/BrP2I1KfZV5wQNAAAAAAAAAAAAAACAT8Ikl9WW - bL2YWRRFnUkeik16kbry05Ll+6++uqxdRkrVVc2raXJV1199+au1tJGmfb/FKoVU7tAdpnWcGaTX - 0r/zve+t6ii5FMtpph3MaV2EtlNenJ9NG/+q71Q6yVSruqKU2VX5Tq8cPKBcdG67dZpSzWoryzEV - qVJU0/o+N+NcGOEJAAA0fd9nZkS0WO6+77fbrbt3XWdmbeZqtdput5lJbjcAAAAAAMC38NSjqQEA - AIDnw4x+cACAz90zSOyeEd0NAAAAAAAAAAAAAAAA3Ec3pHnrQHRWVimNm/XF6kzX0rsjGbAPwDMX - llGksk/uLqZJvs/trjJVU3WNLm+D80aYZViGyVWs69cRv4z61fnwru/C3FOrSVKse1XzUleWllZL - Tn0opXXnoe4sVoP3m2lT+3jXX55t4t/d2G9oiql6qqRKq4TJw/vQUBe54u1EnZKcEYIBAAAAAAAA - AAAAAADwCblJnXxqydb7ccyKtB23Q3ehD+Erl5uq/ruf/Vh1klZXo/Pb1TD9v//ybFx0h9G+R8x0 - 546LRVpJ/8Nf/1R5M6L7xNQmedUUqit5r36qQ1/SNU4q3a4LpSSpSoW+Ofj0LKTYtbw7TV3qo6XZ - d53GWoZVXW8+aZ0BAHg6zMzdW3q3pGEY5plmZmbr9bqUEsEvaQAAAHB3RJM+IU//oz7XrAE8FffO - QuL99Em57/vR88nGAgAAAAAAT89zyu0W0d0AAAAAAAAAAAAAAADA/dTagl9t/29YnWWEtWEx+eEu - gM+IS3H1g09LU0gqkrINxWC5C/OWUpEyz7ZQmEXYr6b6Z6r/+6/e/53URjw9lyRdtgF8d89Tkc6l - lD5IVeqklbSVNtJW+rellfx1d+F6J5Pth4Ao6SkpfVeKJKma3K6NfQwAAAAAAAAAAAAAAAB8CinJ - lTJfjKCfkvnQF02TVp2krLLzlWpVWaVWoW5e2PZP+ancd3e97PrCZCiqZR7txDiPm+auzPluXlzc - vfqhCK07mVXXpO1qFZKbrL8axyyX9QQ+qftFB6V8jHQvqilJ2yqpbkflVQOO1qRt0abpdQYA+Fxl - pplJaundEdF1XZvT7rbbtdZSSq31gasLAAAA4NfPn3x6d3Lx+jOQLotHMQWA4zg/AAAAAACAJ+Y5 - pXcT3Q0AAAAAAAAAAAAAAADcR+ldu3Ez53EwzRY/OeaHuwA+C76b2nx3l9ttIY2m8F5d1NJ3Z+/X - 69fdIN9OKe+sRl7GtFq9jrNX/+rdL/5Q+rH0RqrSueTStB/jNKSQOumFZNKlNErdfn5K59IX0n+0 - +v1xuz2zrWsr3w2YmvLJy1RKdJ1MqtXMqvRuM31v1SlSxvkaDyAzSynfvi+ymdVajWYMAAAAAAAA - AAAAAMATkpJUlr0G5m/+O9ckSdZpK5/KSoqVsrS5uvaUtIhdIbHMRdz1R2gBJEenEVe3JVtkiH+U - S9LK2vN6uTzlLb1bUkgtkXyXKV73gcd0bcAn4ovpx5nUu0ItysUUKcks57KC7AQAABZah9UW4O3u - 7l5KWS4w94wltxsAADwb8292lj8Cujlz/qT0HdVhuaL7ruXoJty+ltvLmYu6+YOmNifivldUvskV - mJv1+fa+/eu4LOHX1SrcvRXyjV/6b1+N5ba4SuqwNDt9CXi5sF39Iv/Xe7DE/petLoWppOpyzjzN - djsP59+cmmW7nWm3LGlWdiVnPZi/nGOWt5dzs8y7L//dlXNq677Bds1LLms1l2+WEYev4zfaopkr - b5uaTPI8/WjKbi9BKVPR6XLao3c59MxsPgN/+/PP7YWcOpN/g9PLHTftW771fOMafpvV3Xw57luH - tvz8rG9cebNrz53rdlCx5l5rublFN9+/bjpYxamPQ9+gSu1C0/Jq0l32+cELans3y7lvZQ7KOajM - HffPXNT8ueiwMqdrZCZ3qzXN9Oto+wAAAPg1aJ8/58/k7h4R80UbAACet3ZZYxxHM8WzeO8juhsA - AAAAAAAAAAAAAAC4j5TltbtXPyu2q3kA8LylFPuTXtmP+dubS9IU6s5V1j8ZLsoPfvDFevPit3/3 - z96+GXwac9xaWf3myyGHv/36cvPDH/7Bu1/8WPpr6UMnyTUVk2f7wbyFvEqh1FlI0no3nu+gmurK - qteby/WZ9E88ptcvXnRZtLn6mX16mH+16v9i1anv1A/vPrzvL16dr7pp2nbFlR1DAwMAAAAAAAAA - AAAAAOBh7DqueFWkVKTW9SZNKVUVv+raciNiJJVH+73kvv/iXab3di0U2eTlWE6yLatB5xx8WrfE - 1h+dFkm56AWc19psijYMAMA1bQD6iCildF33bTKoAAAAHr+jKZU3P/98m0zlj650efeO9Tn69FPp - lbdX5tSqb5lz90jLU+61XUefu7z70XJuT1f9aOrnqZSmm5Got0eb3yxn/ux9sz7LKNBTPpp4ent9 - lht+rREey+02s8gT5eyuFufBc932keT3vFTdVqdFO8lsq2gXqj2V+4vWh1OT58EcK5LaNUKpZO6e - m7m7fGhm86M3l8zcXWicy1nMv1pL7i7lH6nV/FfV/nWYy5Tk89ozd7cPSp6nfd9nZkRk2rJuZpZ5 - fG8cnbr7bn/m1dadWv6W7WrlXN+fh1s3l5+pU/u5lJI7tixnuWcWc6Scv6+4Vo5Zy9jOvPb6tpTu - e2xX8dLWuKjP8f2TylsSd9vxPudD3zG++pZyDgq5+3m4zZnb4R3/xr9jVPNHi7rlTD4/NJ+lv4Hl - fr5LfXTi5bi5vbefP+dX9hvVehZmbtYO52hJ8K1Md9/H2F9bidnJb0KOllNK2ZfTEpfarj7ZBtp6 - 2zeV+wP8ar2Zd93kFkHe6rPLsM6stc71yYw7F3V1nN3cP3dsz3P9j5azrOe8wC3lzKe1uah95Pqd - Au+blttdipVSttvpYEsBAAAAAADwLRHdDQAAAAAAAAAAAAAAANyVHwxbafu7J372+AmqBAAPJFJV - UmlDKNRUmpnLpM7lrh/85n/8z/6ppktp0oe1Xr7WFFKoSJEy//e6TqH/5Ac/fCddSqrt7FpTVd7O - q650ZZRUSLvfMZurpsv6qWqq56v+Z5vxv7j8q7/+my9Ve6XvBymuyklZZdJQ1K+m4sPZK0mdqpdU - jjLnRA0AAAAAAAAAAAAAAIBPxHZ527twa0lSlU9SlVzqXUUyaZCKXPJd15ZUmlsqzSWFXOr2Q+Qv - hSzulXVy/5HePfcR46X1ndwXUXS9IyW9cvDJ5TLi/mPT+Tm2SOy23UFxI3KDUAQAwGev1pqZXddt - NptXr165e5vz0PUCAAD4dPJ6NPVBnujdPxp9NFP5jkUd1Of2tZxa+FQC6+21nYOfl8vcN6x0l7R7 - Y/F7bddcn6Nr/waBuAfB4R/dP3eJ4r7LnrlLhPYdi1o+a75xEPH70UDTm4+2ooq1vOT2/F329i1F - HY36lnQq6vvkFskOV7cr+LbI8JtKV+68D6Rj7XNXTinza3FQznx03OVYmKbttWrv1VoP1n57YeO4 - OZhju7TY2B9oefDo0XIippsbcvPp8wKn2u083xYys80/Fo18fLumqR6tttlcyHyUaXn3lvKv16ce - Xf6Uen17bzmjLhY4souW+1m7hGDNr/tNp/bPwfLzS3bf7TraDnX6vHSqYouo9ftdKzh4Q3EvEVeF - zIV99H3hoMUu6+/u83ad2s9zwzgocy5nke582+b4/M3eYlfcHnN+VETIpAxzKXMO497Pv/pWw03m - qlNezb8+bc87KKfWerCktS8h42j897X65KKcq/1hUsqL3Nw8x20eLWe3UzLaaARtfkqxe11S+y8b - 3VWKTdOp43q3pNm1TxS5e71y3uOlmLvG8cQ+b0Hd1srZ1XI+X7VDeLenTe46dVjMJyX3q29LW6L5 - Lhl9v6S73DWePky9qIZqZI2pH2wcs4V5n3wCAAAAAADAd+85dRAiuhsAAAAAAAAAAAAAAAD4ppah - 3Td+8efHZwPAM7EfqDQlya5GNN2EStEYef7ypWpRr/rD1bbWc+uknGKKYrKyrduhnP3ibKVNleZf - nqYUmgdfyF3xdf6ResikXmYKKd5vIoremz687ge9UGraD3BcVLsYpZCVsYZ1q5S2m82w6veF3Ry/ - GAAAAAAAAAAAAAAAAPhOpFR33Vr2ERLWesd4ixxuAd4tRfhgaLCWkG2ptH1/l/Qb3RNd9448uZ9y - 8+kHReT1h+lAiU/L7zxdPmXZEbg14Tga8/J8xh4EAODeSimSpml6/fr1OI5tprt/NMoLAADgiToV - ob2ceZeggrmc20Nw71XmXZa/GR7c5pzKmr3Xem9JZj2RrNqedXj3YOHlPr9vfZaFLGfeHvV6LEL4 - tojQow5WcTTy9lQ1bi9/uUMO4tJv/xx+czcul18Ge99SzrIJzY2nRvtbwPc/zLz3NOW2+2V+mMqN - R+3Us9ptU0pulmZFihpzba8HXZ+4kDdN08GcltUbof0mpuSZsd/9x4JnlTejf1vg6z6l9e4B9ldl - Lp/rvtvezGiFzfU8al5+8axbI9VPPFRKyaz7PfDxZras/8F0GW2+3N57HdqlFCkyrb0iHz0/mB2f - P0fXS5p3qU6fLm7ZP1qcPfJjX8ec2tau88yM2K1nkXR+WzlzPvE8sxSPuHqV7t72WlHzst+snFaZ - ZWTyjSjxXRbyRyOHM/Oj5UiKuFM5c93dW3Bye+7Hr12cetNfljlvtZmdqs/Rdd2IdZ+Dok9uV9db - Kd51fSkuWWa4l31lsjVY91KKl9KZqe+Ho8eje+m60nW9e/vSLs3cTGZ+tJx5/sF0GFY3y3G3WuOg - HPfibl3XHy1ntTpzt1I6d2s1ac+NKZZrbyV0XSmlO1pO13Xu7vtVLo/f5Tumu3dd13Vd3/dH93Mp - xcxKKQflzBHsrTTfG4bhVDlzZW6WM7+NzssMw5BzdPn112u73bx69XoY+q7rN5v13//7/9k//If/ - 19GVAgAAAAAAfErPJryb6G4AAAAAAAAAAAAAAADgXuJwNEnb/Yhu7lO0GxtzP7YmADw/Ji9ySe1n - 0MUn7ccXrq6Q+pdFkqyrm00586H4JJnMfHClZEM5k6RtSEXp+6EBQjKlW4YrQkpT3Yd6l1RL7J4k - K3JXjvIqly7kilFmnXxShDI0TV5NcmXfDaHcbuNitapSnWLoB3K7AQAAAAAAAAAAAAAA8CmFwrVP - 3V6MYtYisb0tIil8N0j7jfTrXYZ3W+zIOGj37A9zr5HUcr+8t9DxCCnlsV9rabkFsahz0oUSn87u - uLgHlykULamklRHaBV+0EonrBgCgiYha6zAMc0ZR13U3c+8AAACejaNp0Afzl49+NPJ5joa9Pbz2 - 9tKaOU7yloDVu4RSL7Mtb48Av71Kczn3Ci3WkZiHXCatHrXf9CNB4Efrea/63Mxn/ah9hOphBU4k - pN+26jm59ui23HHftv3T2tippNplm7x1M6+tvU19V8lWdLS4atnVnIPpPuBVuXhWSlKaSRm5+0Gq - 9tM8Ws48bcG+mVKGTreHk9t1cxdmZs6X4XeJ1cuNXjztaup2UMauBm6HNSnF3DVNtx2nZmkmc2sv - cqb2L15of33dlG4nL1XOy5vJ7LZrpMuA5JtiF5kcc63m0Oij5ewjh49M7fqS2u+lm6+M3dhpN+pz - sszl3VsiojPqvCGtzbS787Ewn+ZuFn6sPruijtb8ZqD1TXXavUbF1fel7/sW5XsqXvri4sL2rjYq - MyLmJOC+7/u+b2HGN6PlmxcvXmh/xl6+17RyzKzFG7dyzOyWco7Wp0Wbz1VqlTlY7C7b1XW76JwW - ujwHKp8q51R9ju4fMzu1n1uF5+hl3Xj3n0tbLnPT2dnZ0XLa/mz1bPvnICv6uowIL3LrZJFhqWoq - 5lmnlIXSZdHmKN08o2qev5zWKUtnrZx5GS86VY6pHC1n3FYvKt63clp9vKjVbV6ylXBLfaYxbq7F - PC3MXWYls0ZIilJ6d9WaUuzHH7iattfxZsOotc6ftVoLby/EqffQU+UcvAXPy9xSzlzIsqhle2ut - SFLO7yI39k+GTavu4vx8s738sN6szvqstXNNxw9HAAAAAACAT+G+3/48ckR3AwAAAAAAAAAAAAAA - AHcVx4bC1NGh+XIxl6EnATxL7RejfjVAwjwIr6QqFcV2/W51/lIpN1Vpk7EyL7L1Zt11XVe6/dly - Hk0gpCwKU7hk0tWIbqbIXeEh9YNvN2HSi7N+ux61lrqUV2UpLimrrErtN/lep877i75sq7yo9Ofr - ujkr5ZPsJgAAAAAAAAAAAAAAAOC6XUywTPJdFrZcse9sGLKWsnLq+buh3v1wlqdk8lR8dCrFN47W - vtln0g5u+b5rEfBJHYlwODHdLdwOgtZer6K6F8fFMokeAIDPVkvMkrTdbvu+j4hpmoZh2G63D101 - AACA78QynfH2QII5zvPooy2NdQ6GvEtpR+uwTHU9FTt6UP+ba8zMll45JzFfJdeeiEQ9VdvT5Xy0 - anPJrZyrsOrbQ7ubU5ve9yUi9kHC11Zx1Byve2qldw+hyLwW4ttu3+ElOizkaAaw+5yJfqfqtfWa - LVvR1XS5ltujhedl5sV2t6/XcxdunSdf98hY/HT0qth9Ta7V010nkoKv1XlZ7aP7+ZYo6Jv1XC65 - fNRdZpqmw+WXz7q5Dw9agrtK8VL8/LzX7lr8tamZu1vX9aV4KZ3vo87NfM4Ob8uU0pXiq9XZ0XIk - ayV0XSmla0f/In18V+Z8Vnj58tWpcszU1tVq5V7MWkT3VX3m5b///d84Wk5Emsm9dF1p5bS1H5Rg - pnb7xYuXt9TnZjmtPst91aavX78++nq1SN2WuDznJd9oG1eZuy9fvjz+wu9zqbuuW5YzR1zPJbQb - r1690rEk9VJK7t3xfeFmHvDB+Xw5/5bKH0znQOKIuFnOqfe1ZehQu7gAACAASURBVIzxcs5cmfbQ - HEo9nTiQDuozV6DWOpezDO0+9d53dP/MZS5rdft+rrX6nq7vyYNNK6WY2ant2m63rZCbyd/L99x5 - mZP7x9uGR2amIjNlVWmls/2P+NvUUpHZvlQ0WR5M+6HVJCUzb0umZF5kdlVOpmSRqcix7Y+DaenM - zGStJqnd8jbflnYlpCZVZebu/H992g/z7/rL7iBoNVdkmtRe/czMadoumtlBfWJ/Bo6DV7Xt+PmN - JjPbPjzVfvbtPDOPLNBeNbPdG3Hmyc9LpbTXN6V2jtot6b48UvaHT2bsvgWOg2k/lBKry/X71WrV - dbndbr185M0RAAAAAADgEyC6GwAAAAAAAAAAAAAAAPhcmepiOMnQwQ+x2g/y9v8I7QbweXClKZTZ - ZenSxyrrqnmsLgZpUvbbdTXP88FNsd1szodeIa03Q9atxt1p1cJyNxKxWoi3ZOmZu3lpOUnuturL - +nItU5q/22RXXmg43z0tZaHO1JmqJimLpFI0SlJpP8dV9qV/iP0EAAAAAAAAAAAAAACAz1eRvI1Q - n976urhUcpFd0hKvi7f+h7kLGb7qprjvILNz0H8xFbKrEfY/MtU9k7X3kRmTJEXZxwJcq4ft+li2 - YeR7OlHiE8pdg77jtAU1hEw5jytox4cXLPKUQvF8Rh8EAOCeWvRUC7Iax7HFDpHbDQAAPgdz+ubN - ZFMtMkdviRS9YwT4QbEHaaZtzvL2HEp6ar2n1nj3mTfrs1zyIGX8LuXoZnby7mLm4aO3F9Nilecl - MzXVeuQppy9N5o1l5mjqg7Uvw5hv6jprYcBzxm3bV7XWObz2lrTg2TAMR8vZbrdHyymlHC1nF918 - rD7ah8W2Bdoyp6pU9pbluHtMtcUttzDptrZSfBkRvZwOw6pFU8+5unOE8xzbLFlbxt36frhLxHWL - cJay1upFpiILpXtR8b50/z97d/9ryZbX9/3z/a6qvc9Td995uIyZGe6IGcMYz8Q2E7BJFEdgDZac - xJItCzDIkNiOlJDfwECCkJHjSJaDhCCJZiQb5BEREXL+AMfyD4kUJYoUISIcRZb4AewkThxbeGb6 - dp+HXbW+3/yw9q5TZz+cPt3ce7tP9/ulO2v2qb3qW6sedu3Te9epj/Xdsk3Zaj3dLLtuUYqV0pdi - ZsUsh6GapXvXPo93V3t2sThaf0J/szUr7mp1um7h3hJwo9ac92w1zTJCe+u0MN/WM3NKrl23W3Xc - NY5xqE4b1XxKZp1PaY9be2g88yW2nlsj2epz6Nn59LaFD63X7XUO9Zz6t+nTetUD8bbTCWorSnlv - t7ucz6dT4vTjvsVF5qh9p44c1+8mNi10lj+9X3t2K127jm0Q05D2jmcSUbeetc2AfRYpPa3G3iTj - G0PanEDbct19k0ycGZFRIzMzu25/FE4bz7rCbPqy66ZNnRnt4/tbosTbCLarXA9ys503b4uH6nRd - WY8laktpbmPouq5lQEtqL+Za94dtN0d9Nx0e18eM5ObrIpkZWcds63/ofG6SpqBom94gs46jbh7V - t38NFzVydqS1spq9Q14/1Ya996jdrPv6q8VNbvbeUu3BobfxOqymnuuXYXtB3Zgg9+noOnwcWpht - v8Br+31gs3hzW+eSHzh8agxbI28PIsLMpPVhPi1oftzO3fjNYT34NLNxHOa/RK1XV8UPbKC6GjIz - x6ouFn2fXgtftAIAAAAAALyniO4GAAAAAAAAAAAAAAAAnlv7M6/5DTH34C+hALzebOv/m5B530lu - qTR5RLppuSyS6rgqXbfoO6U0DOp6KUxKC2l981TT/ObCLrmtb4nqUsgyMi4vq8siTeZleTReVm3u - xNDqNG6dFJmpULuTQ6bKvCcAAAAAAAAAAAAAAADwgTC1a2N8/UO723u70CU3PTb3j0+7vjxxc73i - dccpwXt+Gcwsbybu2D5vDrFZm2V25eS8xCZufLorfszjvYH3X8j9zu10JOcUy2Q7h/SN/wcA4M21 - XC5bmlRE9H0/DIOkruvG8bbAKgAA5raDWGlpX+22ZTC6qe/Lou+9FDdrgbHznlPW64Ozs0PV2lxe - ynKx6Pq+K0VmGbG3/+nJiVossFmLpVXmPM53q06Ludytc3Z62uqY+7xOWwtleimLvm91btkOJ8fH - U51py6Q0bY2pThuzsqap/VXhvD07OZVbMbey/pvDTfxuhNJSpe8WXd8t+s6L3Nqzu3VOjo6nOi5r - daZwZkt5V5b9YqqTNfbW6by0JU7jadVirO2x3PrStT7elUPjibFa8WnMNWMTMaxQtrG1KcX8lvGM - q8G7slWnjXOrThvboTp1GFudtmWm8XReasZ8yu3jma9X1vU+WmcDq2ZY5Kh083TrzDPD9kZlj0O0 - OG1ZZFiqTs+2uVI1qsyzRW6PQ+ytk2HmaSrmqfQ2V6rOE5RbzGqLGz8Y4Ry5Cb6/nqtF+e6tc+jf - O61PizNvc9U6ZGYpZfNBvkdEpmqdh8LWrTYzMjX/vH1zRklrXxOktTFGrNRCxTX1v27N1iebNmUd - g2tdRMxPRZIy63o37nvRm2lzkruun5lmN5Zom5T7Q3Vu1sypjrtJPp20pjqZ21umtWYt4rpmqsVS - TxHO0/TZFtChsODNmfI6W/dmnWmizGwn0fuau0mWmeuA402l9m4wr7Pelbb/HhQ3s5avx3T9eJ/U - ddz4dZyz1oHOZsoM2ZQ3vW/8xWdjvq7TZpyP5vYI8Gn6daayycwix2lUm9xly1Rq/+vRi2m2UpMa - w3VEulsrufVK2Wteav0yv17TqUPG7ZHks9Vvb6HjuJoKzvKkD0atZ9Z1knir4+tzxTiO6yItEHpz - HB5cr/T5YKYg8Cnqe2vTHdrxpZStHdrOe63OzZfAbUfgVvj0fDyzqPXr0R6q05Y7Tzdv09vrMeLG - 1rgt0n7z+poqtHnbeO5eZzr/b1WbR7y32Vt7KPp9d5Xn+2u+TdoZOjM27xc3V8vMLB89evTuu++O - 47hcLsdxnB3LAAAAAAAAH7D9H4bca0R3AwAAAAAAAAAAAAAAAM8lp8uITHv+vmr9V4A3fgaA11H7 - I+r1wyIV2XR7Eklu6iSf/yVq6RbX8yyXkob1n9evO2z9kXHemFDV/vzcYtMzlapXF5LJ1n8+fT0A - 2wzQtM7rljqbRrv/71oBAAAAAAAAAAAAAACA98l1bvdWu77TvsvWlyjajUtbXFuXIubeh3t/vn08 - z82kMh/P1tWStr5Up60pV+fgg9ReNXdv102qZRJJstwTKZFS6EWi7gEAuI8iol37PWXRtTwzSVP6 - XcvtlnQgx+76d8BNNFGUUg6G523img6MyLd63tp5f30zm4c2PWuJz1fn9zKe3eCou5eadtPdF/3+ - uT2X68Xq7N28h6L4thLa9Pz795kDm9d85q5vkWNb6Wh3HNI8keu9SudyV+Z7UOq9Gs/vpc4t2+eO - Zc3WPW/Zzq3P7S8vd5lZxPWO9Revo8zrbqVYZs7numMd6cZ67d3vz9xKd6lzl/Hsbud1tc1f8kT7 - IKQo6/WU3bZI6fJUlSzX09PWU1qfzqSiHBUmz+22zdXqFGnM9ZRHZ8fpLf7VtuaqSk9ZV5Zd733X - e2lBx3vrnx2ftNBaFS+yNm9rw1Rk1pXei/fdonQqrhp765wcLa2URdfJ3TJrpm0SAtd/++S+6LrS - 94uus1LaG8DuFmt9ln3f5h0jipncFWNbloovSud9t+x6FT+0XovSlUW/7Pq27mNGkU3jL7J0m+pY - Vw6tV++lLPqjftHqVGXbVlP/dJu2j3Xl0HiKrNVpfaqyjac9buvVmU/rtVuhtZ15qzOt+3y9Ds1F - S+upyHroTNUXC0vFGClPmcmlSFl7bLJ1nWwJ2IfqLPsSpnWflLc6JsW4/qS1TVFa6pY6i87beNrZ - 0jdzZY3pE9u7jGfverU/7TSFrSOMJaWyqobJlSHlVtsXl0IxSmFyW/9JaEhShMmlLMVbnRjC13W0 - 3dpmeg3JTVFsHUI8vdmUFqkbNaMe/Fx682vd/DcBM0kxf++TMmK85c3ON5tj+oV88953/a8eO/SB - /nw4ez6gX/fcGWFzp9//N7HBtz37zKfmA9ga/3P9Lnfzl6566KlDc88TdTXbX7v/lrp1DNvPTvHt - B1OBb62z+w+QA50PRfzunfzskdzcGLs1t+9vsd1n9s/ZmyPZnmnz9IE6ud1xPoBb+hyos6fDNIC7 - 1NnfI28M6WaE97PqTJ1SUeXWTT/Ox3P4hXDz27NUpmqkqbTHeaPnnY7A6yzzw//6vvVQvPHg9jov - Np67v5TmId9zez8nua1sbr++Wteoksw2X7u2zb1zGtsa0m6Zncq3nlf3zjh/HW0djZs7J6x/019/ - 1GHTG0peXp53naeXIWpaeSU++gEAAHizdV3XPq99gd+BAQC4n64/e4mIUsp0pZAkqc7uM3n/EN0N - AAAAAAAAAAAAAAAAPI+8WzA3l9cCeDNszna+O0nyA39efn0P4q3LL/ddjbnzV6U3OsX6xqnPPDVv - T+TOwAAAAAAAAAAAAAAAAHgZdi9umV9vYwd7vSIOBylc/1R2+wDvP3vO9o7u7T0GAQB4bu5eay2l - TNnDpTzrN7t9N+Ttum4cx8w8OlpcXl7WWs2s67oW4D2lGutZecabENn5HLeOZScmucXylVLy2rPr - tGBszTKlWp02/QXqzOea6kzTdsd/i9b/xUK7n5mNvdv/ljrzjXzHhW6Z9sumzvVK7c5x52ixdaTf - 3r5the4Y8DyNZGe5z4h9m++d7Xnb6+Vwm7PHZpuQSpPp+nFr98y7mW6+XTNnS5+ePVTnLmN73jqm - PeN8gTp5eDzTUg7VWfRd6ax47y3G2cJUvGgcwjzb49KCmmd9dtu+W5bOurKY1zFvSZTh3rmrlL4U - 67qFuzJtbyhq3/eleCndJvs0zdzdxrFOj0vpuq50Xe9u7mXvdun7xYE6oyQzc/dSStd1Xde5+/y8 - NFc2pg5t3ilCb6rTuh16PS6Xy3kd28hap8dtehtP3/d762Smu0+LK6W0GSOiDaZ1a6NqA9tbp63y - NHJ3b3XaG5N2TnSllIhoaz11vuWk38ZzvZo3kwinGbc67Kp1aOOc5pqGN6+5NXHXMAzTxo+IVse9 - HXjPNZ46jWeqM538t95KzOzQu9K8zrReuxtqOjBuGU/b0fO1cPd2nO/WOWQcx3Z4qr18M81kGaHw - lCRaWtrb25l4ZVoAAO6hFtS9E0AutY8AQsr2XWsSCQkAAAAAAPCeIrobAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAN5Q4zh2XRcRLa719PT02WHJ+55vEZ5d55eXlycnJ+fn55k5DMMUN2SS - mdxtE0R9oPbmiWlGd5VShqEemGE9muKah4BGrVt12tIj9q/d9XJNZpuQ4APjcfdx3L8COVuxVmqr - zlSqPXtoPPMimzhzZW5HR7cfzazWA3UybfZ4GsBW0PWmzsHxrJNfN8OeclL3L3e+0Jum/SLJXebr - XbZ7PLjLLOuB3T71KcVaPvHeVNppnA8ePNhboc0yzzluU+pswfPg4dPT00ODad1akSkt2Gx/JPWD - Bw9b3PLUtuktwtm9lOJdt455tnkI9s327OxBqzD1adXaoTKv415uqXNoPBE5H0/XlRer08Zj5i2U - +pnrdXp6NiUs32U8thVdvmmHYZwHYGdG21ZmnhltSksTbsuaHm+1ETnVaX2m7dzavBkefihyOyK8 - aB37HdaiwVuIeKpOU1o0uCyiam+UeB1zq057rPmYZi+BeviFNCVVt7naQdvO5/PI5/kLYdcwDC0t - e4p5Xr8Yx7oVz9z6HIp83srVntZlisqeD3KKGL/FZjzRzmytwOZVeaOjFLOg6/W6H4o8d9cmTW/d - uT3ahExPZ9c8HEstSS2bfDcYe75e69Fvtt7eOsvlcuo8T2Fv7zbzJPJpYx5Yr/XemTrbTZodFRHR - 1ndvnYPrfDM3fQqJ39uz1W9H77RN2q8rW7Pc/ntL3/dbG2FKSQcAAAAAAAAAAACwa/4N5muA6G4A - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeEN13foWtZm5XC5rrS3GeBiG56oTEV3n4zhK - Oj8/l3R2dvLk6Xlx26SOKvM66flQaGbrOT3rrq7zUvzkZLm3f8uLbUnJ8zjPedRo6zZlKh/aDmZW - SplCl6en9taZttsd68yjTFuHNuDFYrG3Tot03TuerWjq5uHDh3vrtJTWruv6vu/7vuu6NpK2f6dc - 3mkdD9WZgmPLRutfStk7nkNR2S0wdarTNoJ2olunaN6TkxPZnnRed58Pfio+DWaezGo387y3ts98 - ifM13RrPVv+9q7ZbanPob0cvZ5h5ttjm+fQW4Tw9bs9OU/bWmWKeW9umt8jnG6HOs+mHqk0VpuW6 - dfPxbNW/+3pF1f71OmAe6Ds/Ntp+n3bulMGcOe6ts1iWzb5QZmREqiV2bxZt67NNZqTkpe333Gq9 - tDHUKda5PdnOJy34XrM2Yv94SuctIjqiZlpGlVwRZkUKyZXr1iyVIXMpZNpqF8te2kSPW2bWVFrs - Oau2I/DQ+WraklNac9uqe1+VOnz8t/OedvKh+835dgq3bjHMh6Kdp4zqZhrAdMKZQqNvGcx89jve - UL69c+nmyfCZ8+4OYBiG+UH7zHDoQx2mzGxtttVWIvuWWutW+PemTp2vVNsgt4xqvpGnw6DV383w - vmU8urn1bBZPvlVhvsRd7f2lPTt/7277a3cwt6za7t4kvRsAAAAAAAAAAAC4xfa3eGa6t2HeRHcD - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwBtqGIa+71u6c0SUUmqtz4xEvSkklVLGcWxB - zOMYMv3Iv/sX/tJf+osKSeleuq4sl0d935m5lONYpZRsu7WYEkanEGV3PzSkKeJ3K4OzBcfu1mnB - sbumsFLdjFNtK9XqtGW1wOlD4zlUZ7f/7aGhbfx7S+2Nyp6iZ7dMEdfTvBERES0yfCtt+pY686TV - eezubtTu7XXmA5tH5LbtMy/l7ma5OTB25RTG2rKU20imiOJWeDPy3MQwb+v7Mot0XYcOZ6bZjUjy - 61EduBN113WZ672cm3XJTO8PRCa34VnOI5kzqxdXZipaGHOONdOkKKVXhpRbraWtH6uFUofkUiz6 - ZWbGeq1CEZmRWbvSS1sx07NWaaZc12/DbDNmRl2P6sZ4tNWmTBFmUoSU62hqxaJbtNzedQBxVCmV - dR7He0PZE+2cma5MZWRoHaC93s4Ho6nHmtPRm1naq0kax3E7h7h1iYNp4ppihpXm61fl1bjazTOW - dCCZWrUOZtm2ieRmOQWHS3E9lhtR8W1f3GiH4UoKs5K53sJtzdppZvfUcffI+dZ/Ho2smy//Q0Xm - eerTg6ixd/vcMp6twU/jmVeYTkGHxtPO81tB0WZW69Dm21noje2xjmc/HO28OW5tfsLX5rR2o9at - EdER20/NC06zTxUORVzPN0jT5iql25rr9jrTeXgqMh//FL+9sx0Omu+1zJxiyLfelQ5tn3Ecpw0y - r9P3/dZa5CzsfNfuQb6b5A0AAAAAAAAAz8TnigCAN8T8C7X7HNh9jehuAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAHhDtQjMKfiz3Xu37/thGJ6rTkS4KyIiVTr90A/9+Z/4ib8yjqPLUjXD - ZGGyGkOGRY59t5Sl0rbazDSbcpdzE8lst0eWZsbW863IFAytdcj0wbRs99a1BZdeT+863yR9Tvms - Lax6/3jMNEWCRtyI8Z4njz4zNrSUaY9cD2nKUtUmqbTdKjnztqjgzQq6mblbKSXTI25Ea09rdyhP - 3N2mYbdY3Ck0XbO42alO2557beJg65SPm5mlFFtnbF8H1kq2Nc7JPLLd3dt6aRPZe9NtEemr1fX2 - aXVa2Pl0++mt/VT2RUpLGutq2ghe2jHjkuqYYZLaqK7bTYT0OrE+Uy25eRxX60Gbucusa9tzs17b - Kc5mOa8jWTtgVqvL3CTWt01kZpJvItX3pEG3au2glZTZxhmz/GO59+v1XdfZXi93k3waj1lLjrdh - uJqtV7qX9Xjqau/23I3gbatTW7S2yczKLP39cOT8eu7N2NYZ7V3vm5otmXz9gjwUAT7VXw+sHeep - ZV/qde57zG5fvv+oc7dpy7QJ7eUiSSqbgYxTnU008vaeKsVaf/ciyWw97FJKO8tNr6wWaX8oYvnQ - gBeLxbzI1M0PnWgOxHtv1Z/OYIe3z3W08zT4aTvMU72bfa93abYfpzqSMuuhdOf5yVmzV/2h9d06 - 3ubrvpUnffv6bnb99RvE7Fx6YyS3j2drKdN2a+Hiu6Vu3/67lfu+nx8Gk0MR7PM9NS09Z9HdW50P - b5/tnb4+V24ixrd6PnM889zuPBwZDgAAAAAAAAC7+FARAPBG2f1y8F4juhsAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAA3lDjOB4fH0sqpdRaI6Lrultzu+fRnqEpGDkzU4vexjG/+Ce+52f/ - 6s9cnD85OTlRDUnWtblMMrlLXURVhpTbbaas5qa3r2+Cn4fylzPG6zFsJZLmer5i01N5MNpTNtWZ - 57DWOk4x3TYP7j2wdTLzuptdl6p11JQeulnSLbf4nyKrbwxpvtx1uvmhDPG1ZV82t1TOzJhiivdF - +d4aMZtVm+TzTTi3MnMT0T2fK9fru0/XdbkZsZm13PEWxqqUt8DazSFlskNRtVaKprtFZ2bE3vWa - Z9nurXO0WNyItp1FHU8H9o0644Go4DLlLmfWzWhM7m57d1De2NRTfPey7zZJw6msbY/pOsJ5t871 - xtzE90pS6a7Ho2mtNtG5h+X1oEyZ2V3XiYysocPHTyuws30yJS367nr7xJibfXcoKjsitg/FlNrx - 09YmMqJOO+7QeObhwdqXhWxmbm6+fm0eigBfdP3WjJkZynEcp1TglpGuWyOEr4tsHuQmZXk6MbQ6 - 7cdDddaHpZQtw3gqnjGV8k2y/S11zEzrI+d6YJlZ6zht/zvWaZnx2oxmvn9nmy7NdGNxN7Xtf71J - vZ1N19tzypWfah7a7+O40iyqeX0+ti4iZHtWITdrsTlztx/90HmsdPtfRxHXx3+rtim1t7sy1psi - c1prze+Bvxl5i3u3Q+OZv1jmW2mebL4bfH67nJ1bVqvV3jqHzidtf9149W3OUdP+mLeHIti3YuC3 - 2t3xHFq76XwyOysCAAAAAAAAwHPgc0UAwBvodXr7I7obAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAN5Qi8Wi3W83Ilp6dwujfbGb8I5jfte/9oVf+MWfH8fx7Oxstbr0lNTCYVOqLblT1xGb - sdV2vWdeB99uZX/u2o0ObZ3dfROBvK6zDlM+HFy6mxLagsx369yyBbbqTymhvsn0neeY3hLxuzvO - Kd93d5y3qLXOl3hLcvNuJOqhAcw341bE8jT7oUjdtr7zCtMmmg6M+RgOjWe+3aZ43t3OO8fbtimq - edqwW5toe/YDh8+0Xrq5nWOdY+yy2G4VpjJNaY/rmKmqdPM0FfMwlVTNsD0VLJQmhVnJDMmldTuO - IUWmmaVZkcK9y6zzPntbs5xNqRHKbHVkVqZnM21vhdyMZ5rSHteam6Wvx9Pag9HUNw+SaRfELOj6 - LiHE8wjh+QE2P7G0F/jtx8kULTxfrstUXLPTxdZo967Ubp3p8fTsXU6/Uwry/KVkKtNKPfMVPR/z - 1sZpB/B8PG36oWqH1mvaX/OnbqkznSfnlQ/lNN+ydvNX8XxT+L7T0vzMNv9Rh/fj/LjaKrJ3VIfG - aeZ32U1bJ8m7mN5f5ivyzNTqrTPzVGdrt97lQJ33l+TuW+9381fNoTem3V0zneq3xtmOtEPbZ/tl - 8qzjEAAAAAAAAAD0PB/JAgDw+nnNvkojuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - 3gjjOHZdN45jS+leLpfzDM4pnvb2m/Au+qPVcGlmXdcPw+CuCLXb13/60+98+ctfPuoXmXW8urRM - c5eFlK2DSWphxtq/iDq2CE/fjKT9/8Gb4+8bqUmKKslMm6TW3DxxeM1ulrL2vzrmznie7079m7zQ - 7dkz27SDWdqH6uyO804z7pn3YMfbB6DNisynbJXIg4nM6w07G4ztrNr1Jjo0qpsB6bOJOyt4+yG0 - b+Ps6ZnXi7htK00hDvOsYUmSK/e0KVOabrRuksyV0Z7dHLn7K5hcZkqXad626aYp5FsZJvPdnltt - KpQmM6XJvM1lLZz7xrP7Kxwaj9Jt/fjGs88be7H1Cnoms+us5vlO2drXzxzGvMN83s1xvjmqrzsd - qDPrcKPOzrH3zFPfdLTfWC9NL6o7bdmtbrdHMt8Sjby3yO4i7nh798NB189I7D5kFl+958S0tVJ3 - WcrudtiNmb+Lea757T2fK7R7Kv68W2zvWmzt97uU2h3tPLR7t+czA8V3O+8eZrdvorvnqQMAAAAf - sPWvpoRCAgAAvGL2fnRsZny0CAB4Q7Qri9xVD177cZ/c9bocAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAMC91nWdNiGXZ2dnL3R/eV8Nq8ViIWkYhsViEaGuU6a+8eMf+ZX/+isf/vCHM2ut - te/7xWIhey3u4wu8IN/cBfp9a/OetwAAAAAAAAAAAK88crsBAG+O1+xdr3vZAwAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAvL9qrZnZdV2ttZQiaRiGF7jZ7tHy6OrqarVatR9Xq9WiL8NQ - P/7xt3/t1/6bT37jx8ery1rr8fHxxcVFKcVeJJyXQF+8qp73FWPvyyheH/c9vfuV27/xsgcAAAAA - AAAAAMC9Z/bKfQEAAMAH77XJ777n1yUAAAAAAAAAAAAA83z37gAAIABJREFUAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAJ6llNJ1XXswjqOZmVmb8lwury5T2fd913mLAC/Fzs6W/+V/9Yuf+MQnpLi6ulos - FhHRnn0+9z3HFwAAAAAAAAAAAAAAALjPchPcbbb++SUO5vfouS+NAgAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAADcL7XWUkpEZOajR4/aFFvfYff5LBfLsa5qHSWVYuM4fuUrf+c7vv0L7v7V - r/7u22+/PaxW4zjWcTw6Ohprff4lxAuMCq+pVyvNPZ/7FfP+HszPPx68XK/W8fzGSbY/AAAAAAAA - AAAAADxD3ueg7l18TwwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAr7lSiiR377ru/Px8 - uVxOE5+zjl2tLmod+r4vxVL5i7/4C9/5nd95dXV1eXn51ltvPX369MmTJ6WUo6Ojq6ur935NgHuD - EHpsic1R8f61AAAAAAAAAADgPfaaJZgCAPBMma/Pu1/3sgcAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAHh/ZaaZmdlisRiG4erqyt3HcXzeOrXW9mAYBjf9jb/xn33xi1/MzOPj43FcXV5e - 9n1/enoaEe5+dHQ0jBF29/IE0OKV5s95Z+owva/Hs79/pXEH9uJ3Kn/fQrvzFToo8jlO/h+MV+zN - xd7n8bxKBwMAAAAAAAAAAAAAvBREdwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAa67l - dktarVbtQWufX0jqO681fuInfuwHfuD7x3E0z8vL867r3D0zvZTLy8ujo6Or1cqdW+DiTfaKRQXj - lfB+5HYHSe4AAAAAAAAAALxXzCwzX/YogD3ClZJl+2Io0iSTUpIs3TfTwyJNkkq0KesvkmzPcb1+ - ylPxYlcQ4D3WvvgLSbm9R2Kzj258De1tt9psD6b79b6O6kqT0n1ztADPNL0Pmum+vyVy3RIAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvFYy08wiwt0ljePY9/38WUm11lsqlFJqrWYlM0vX - 1XGUVIpFre6yjL/wQ9//l//iv7fofLgazLyUkpltcUNd9cuu5uhFyvDnvocvAbR4TWxujo/X06t4 - f3IjLf7+4PwAAAAAvPHMzMxSabaOzzOz1yAQCwAA4L5rud3t+9ZpCmHeeEW0rG6P7vJydXTs1eNq - 1GIhG4vlwsItarVVdhqLLOWDei3ci6SIUKTkZmbVzMzN2hcWmWmZITMzvq9/eUIuKcLm0d2RplqH - MEnuRW1njhkR4Z3FmEXeFxuyKmSdTMpaLEony6yDVlk0dl1m2ph+23UiwJqZDcPgrhqKkOx+J3gT - 3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAr4nMjIhSypTbfXV1dXJy8rx1WrD3OuR7 - HM09I2qtJin0p/6d7/1rf+1nl8vl06dPl8v+9hRwAAAAAAAAAAAAALin5jHewMu1XHZf++p4uqiP - Hpw+efJ0caI0adAyj+qFL8bFsl96seHy8jKHzhZnOjsqx0f98XK5XHR91y06L6X0x4ulmRXr3L3I - 10d4elUS3f3yRFpE1ogYaq21jjFERKhW5fnl0ydPv/7u+bvDcJWqMkuv6rPW0eWRQ7FL72q4rkK9 - R3pGeCnqrEvVdlGHJacy3FXe26DuXUR3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBr - wszabbXdPSJqrQ8fPnyBO+q22Usxdx+GISP6vq81MvRd3/XtP/dzP+fujx8/Pjo6iogpJhwAAAAA - AAAAAAAA7i9SuvHKstTT3x3ffuvk4vL86vLpsmh8qrdOTsfz6OqyH04fdh/52INPfvyj7/y+j37y - Ix/6yKOTt86WDzoV905SRESVZSrdzSSVdDMzK5IUKYlk55fLbH1pR7QsdUtJYTEMgxV1vaflMFy9 - e/7u48ePn6y+9k8f/5N//P/+zr/43X9+FVdX9uS8vlt1vjgaxqhWlFJNFTevuZDc3VOvTxozcGdE - dwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAayIzx3FcLBaS3L2Uohe6v/yU/B0Rko6P - jy8uLtz1HV/4V7785S8vl0tJZ2dntdanT8+Pj4/f6/UAAAAAAAAAAAAAAADXHjxYfvWr58cn8qrT - /lGubPV/6TOf+NZv/+wXPv2Jb3nnY9/a5+nwdfmwONKi1EV9t+r6ggEzs2Kdu6uGJMklKTIzJc+s - ZpYmS9F+8K2kzOtk7TRJ0TK8S7G6qvE00kIWD/3tb3qkla/+8Ke+Y/jDqysb/7+v/bPf+K3f+Ie/ - /Rv/4uL/XMW7tnhaFgpXHZWRluqlzqzOFwAclmuSZKaUdJ+PHaK7AQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAOA1YWZd143j2HXd9PgFbr88jmPf92a5Wo2SMquZfv+3fPpLX/rSw4cPzezy - 8tJd4zi+/fbbFxcXtdbrmdPfwzUCAAAAAAAAAAAAgJeifdNK3C1eBWkac7U41nK5qI9V311881t/ - 8N/+M3/u85/6I1ePLzrv8196XenEzx4uzrpBl+cX5fRotMjMiIhIZaaGCMsaksyKJE95y/a2lGpI - LtF+8K2krvTanHBCmWlKhUWO0ZnMitzNMyRTdtGNj4/Ojrqhi6OTD330j3zzFz73x3/9t/6H/+kf - /v2LuLASKsqiGNXJi8KG6qaQvbQjGHhJiO4GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - gNdERLi7u7cs7XEczewFbiXfdd0wDJKWy34cx8vL1Uc+8qFf+lt/+xu+4Rsy62q16rqu70vXdY8f - Px6GYblcvvcrAwAAAAAAAAAAAAAAJElDzc7LxdeHB/rwN3/0c//hn/nxxdc/lP/s+CPHH84xBq/q - lVd2fnFxlL7sl0/OL8cSxdyKF/M0KT1V3WdRtm4pSSmZZKZMo30JraTVaiXJrJilvJjJzFwuU1W1 - jHEIWUhy9y5LqQu/7KMMvexDx299+EMfO/3s8u2zR//g1//u157807FPW0rFLN0z65AqL+Wwxb30 - AhcavbKI7gYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACA18Q4jovFQlLXdYvFYrVaLZfL - y8vLF6gjyU1Ra9R869Hpf/t3f+2dd76pjiuzrHVYLI7HcRzH0d0fPHjQbiENAAAAAAAAAAAAAADe - e6niJ6un9YE9+PRHP/+X/63/qDw+/uTDd57886c+6KpeDT52R105WcaqjkOEVy/Lrpin0s1SoRqp - CHVdJykzWzrvJqM31ouR0b6MVovFUlKmRVbJM2pmrPe+q3jnZToWasiLLOrQuZ11R1fnGi+Hj/ff - 9NHPnA3vPv313/kf/8mTfxyljlYHG6145CaiHXiWzZnhZY/jPUJ0NwAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAC8Jlput5lJGoZB0guHapdiUTMijo/7X/7lX/7EJz5Ray3umXW5XLZs777v - Synn5+ft1t4AAAAAAAAAAAAAAOA9Z+k+2LF/6CPl7T/73d/3ID5sw/LJV9/tum6MwfuuKzZmHYbL - Yp13XVqJUB0zpYjaArwluXeZGZktMlqZ8rT0NLNUWqF9Ka2kQeEpyWVtl5uptEh1M4sbOesmZelL - jmNm2KhllmVdeC6uhvzTf/QHzs+vvnZ++TS/NsTj0eSeKvL6Uo5c3EubI01m9z7D21/2AAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAzy0icnNr5vag1irJzLquc/fMNLOIaEnet+j7vrVT - T5OWi06Su770pS99/vOfWyx6bW7O2yqbWWaO40huNwAAAAAAAAAAAIDXgLvPv1195jetwPuh60xS - xDqzuU3szY9j0T8pf+67v/9j/TeWi27pfS1XQ7+6WoyXJQbLVGfqlN2Yi7H2koqlK4vMZZ7R/rOo - pvAMUxSltYkpT9G+rFaSFGEh7fkvs5rCFG7plq5iZqscahdpNbUquerr2K/seDgrXzv70//6n3/n - rc/6xcJGnZz0F1cZqXuev4wPTimlXYAkKeLljuU9QHQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAANwz7Sa5LbS7pWhfXV2VUtptu8dxjAj39e1nW972IYvFYhgGScMwtJ6lmKSLi6EU/fW/ - /p9+z/d8d9d1tVYvku7/TXkBAAAAAAAAAAAAAHhVjWNmroPkMzNTmYoxy8r+0Gc+/8mHnzoeHx3V - 0y6XEQpFyFLFoi+1eLqkNKVJkuWslSy9JYG3rOh1uz3FaT/4VptdEaZo0e2m+RUaqXX2dspbW81D - FuayMBtctWSW2h/pkZ4cf/GP/amzfHhcFnU1HC21iYAHnu32q4zune5lDwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAA8HxKKZIyMyIk1VqXy6WZdV3n7qvVqpRSay2lRMQtN9U1K6vVuFgs - MnMYhqOjRR3HcYzlslxd1Z/+6f/4B3/wB68uLpbLXrKry6u+L3cYnc8eE/UNAAAAAAAAAAAAAMBd - RciKzCxacLfJTJ7eR/8d3/adHzv7uH39pMSph2dUV0mZ5CXDFLKh2himNI+Qm98ovfWjWkr0zenp - MtoPvJWUnqYpwDunvTPjqZC7KSRlkTJtDEX1QYowy1xE7Ysdf/ab/uDnPvW5/+W3/++F28pSd7nW - A9hoFxqZ6TVI8Sa6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADumVrrOI7L5bJFdC8W - CzOTNI6jpL7vh2Fo3eY/7nL3VioiSrHLy5VJR0fd5eX4oz/67//wD/+wLEqxtggpxO2cAQAAAAAA - AAAAAAB435i1xNyMDEmlmEtF/dtnH//Uxz7b1dM69hlF0fUqCmuBz5aSRbrSMhRSuNy2Y3dDkiym - nz1n0+Xrx0n7EtqwFtXd9sV1bPdmH61/coUkl4dckmXIPKXq6UpljBnH/fLxu+N3fO67fvO3/+fz - YfDFUFM7OeDAfpmZr0Fk9wbR3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwz5RSSimS - nj59enp6amZmlplmdnR0dHl5KanrunEcF4vFarU6VKfWulguV1cXkiLSTCZdXo7f931/9sd+7Mf6 - Uq7OL05OTsZxdXV1cXR0VGu9vot3+k693SkAAAAAAAAAAAAAAOCu3NVSc81a2nJmpkX/B975rjN/ - 5+q8LGzZvp0v7qqjKaSURSrGdfCzTDKNu9ndE4vtKOf0+n6sDu7Icr27dX3tRez0cklKC3WuEnLJ - pRLWu6JayEZJJbMfunc+9OlPfeRb/9Hv/mZ/4mNefUCrgXvOzCKiRXeb6TWI8OZKJgAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4Z8ZxHIZBUsvtbhPdPTMvLi4y8+zsrNYqKZ91G93V1ZWk - rusy5W6Svvd7v+dnf/avLhaLYbg6PT19/PhrbRGZeZ3bDQAAAAAAAAAAAAAA3muZipAkd3f3iKxV - NnZ/6Jv/1aPhVIN1nUcZq4+hKgtZla3ShrAalqFN4retk5/n7fVSTC0qet7iFXC3PWEhrYO6JVf2 - kX2Yh2XWK9XxtDw61aNPfvhbjuytYcg7VgWa7WuN7B4fQN3LHgAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAA4Pl03frWsmZWSimlrFarzOy6rtaamU+ePJG0WCxWq1XXdeM47i9k5u5Ro9Zq - plrzj/3RL/z8z//8w4cPnz59alnN7OHDh1dXV8tFd3V15V35wNYRAAAAAAAAAAAAAIA3UIvNdfeI - iFBf9ODo7J2Hnzi+6FZ2Wf08u6LSr65s2RXPQTZWizSP7FOdhUsKi/SwVJosPbdCoU2SPD0kN0WL - gt4O+MYHxiWffqjrHbGdl+zpkmQepvRBkmXbie0aktEVkdnJ61A6O33nY9/W/fb/erH6f3wpM1kK - eKbt3O57juhuAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALhnMtPMzEySu69Wq1JKrbVF - dLesbjMbhsHMDuZ2t0LRnk2lPv+5z375y18+PT25vLxcHvVFi/PzJ5nZ932ttZTyWt2dFwAAAAAA - AAAAAACAl8VCaZI8pfSWyuyh7GVV6SGVmqOkRX/81tFHeltqiMVRuRwGFbmXVY1+4VklU3Wtv9DP - dQR0SEpPtcsBpmdCciksPU0hpSlSKTe1kG/al9HK2x6xVFqY1lHr8z6Swnx2ALV4b4/WT2p7tl+U - zIwhUvr973x2/O/z6MNHV/k0zVOmTFko23FnKc91ars8FTeywslxf+PkOi7eW3q3mUn3/kIhorsB - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4NUVERHRdZ2kYRj6vp8/6+7DMLTc7mliy+rO - nO6pbNrkeUsyb/fYrUoVU2SaVNw++Y2/7+/88i995EMPx/Gyc1eNKpXOJEvVbGVyfhvoPYN9D1cc - AAAAAAAAAAAAAD5I7p6ZZhYR7UtYMzOzFmIKvJcswmQWyiK5rRNzTbKMTJN5jnkVqWW/PH+sj3/b - t1RZdl1W66zTaFIeLXvVMc2k3iNDpnU4tyR5xs0k5qY9G9ch3lObES2/mfaDb22+R6KlaG/1me0+ - Sa7swpRStqBvpWfIcoxBUln0pjxZ9B89PXu8WnULKbuUSYOlK13ZV1tU68IUNpaUpBImKbn2402Q - GRGLxaLWuhqGvu8zs5QSkd517RqkWrPrunFsWe/39X2Q6G4AAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAeHW5u7vXWiOi7/t33333wYMHZnZ0dCTJzLZyu/fqu+UwDpL6vh+GQdLy+Ojq4jIy - ll0ZxvrWg7Nf+uW//ejRg9Xq8ujoaKyrD2DVAAAAAAAAAAAAAODVYbYn6Bh434Ra2HZ6S1P2dMnN - ZFEVaZKlSnSu5fHiQchSUhbPeQUpvf3gujn9Ou95a/omvTuv+9C+Au0z9sgWS3epWtub6S39PSW3 - kDLCixXZyfKoX3WRQyhkZgpNh0pamNJSlkprSwnzPQvDa6frOne/urpaLBYyk2Rm4xjy7snjx7/y - K79iJvcyjqN7Z2a13tdAd6K7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAODVtVqtFotF - KaWUcnV19eDBg8zsui4iJLXQbjPLTHdvE3eNdSXlpn9Iurq4lNR1Pox1uei/8pWvfOYznynF+r48 - efKk63fuxZzcnRkAAAAAAAAAAADAGydzX2ou8HvmuSeR2VKWJTM85O4eWdJLHj1cPnK+tceGKVyK - lFncvJzDlF3nPo7VSlH66cmDMi4tLkyjTGbylClMg2xwjbKQlC3Tex3q7WHyvK9RzbiLiLi4uOj7 - fhiGlDJzsTi6ujo/fXD6Uz/1U//HP/qto+Xi8nJVSpGsXZt0T3HeBAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAIBX12KxkDSOY2Yul8sW0d2e6vteUiklMxeLxaHcbkmZ2cK/I8b2QJK7YoxF - 77/0S3/rD3zbZ901juPXvva1o6OjD2TNAAAAAAAAAAAAAAB4Q4VtHniERdq6lYWZSXKVIvNqJf10 - cWKEyOOG0TW6osV4W8rTPV0hM1d6Z72FnyxPizxDLnnKU5ZytfRuSdo6rlIecqUTefx6a9cgDcNw - dna2Wq0kmdnp6emv/uqv/r2/998p1XK7a633OrdbHMcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAA8IqrtbZ8bknu3nVdS+kehmG5XNZa3X21Wk2R3rvMrNYqxaaalWIt6fsX/4tf+OP/5r8R - MWpzZ95aq9K3/wMAAAAAAAAAAAAAAO+FkNKieq0+Vq+1jLXU6rV6VhvDIzzTRjOLHLPGLdcD4I0U - ppSlNCVvW8vbziim3qw3lQiV0meaZJZq/+k653urpicxx2+MlHvpl0cn//KrX3/06EO15sXV8L/9 - 5v/+N//mf94uSZI0hXab2csb6e8VxzQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvKLG - cczMUoqZuXu7Ge44ju5eSlksFldXV7rDTXIzU4oW/m2m1WqsNU+O+5/5mZ/6k3/yi1dXFw8fPjw/ - Py+lnJyctJoAAAAAAAAAAAAA8Ka51wGluL/CMizSxzSNqXSllJnmqfaAIxM7LFvEclxPSpdbRLh7 - lTXtWhFlJ22SvlPKouyUneTKFvs9K8Ph9rozs/Pz83Ecl8vlarWSNAzDT/7kTz5+fD4MeXS0KMUk - dV3n7utD6H4iuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXlFd10VEy9J2d0ktwzsi - aq2r1arve0m11tPT04i4OXfMbtAcXddlhEmLvjPp0cOTH/mRH/nRH/0PhuEqYsysy2U/jqvz8/PF - YnGzjs/+AwAAAAAAAAAAAIA3wr0OK8UrziVLt3RP9zRP8/QpPrnldEekpVxWZC6ylPEMYQqTu48Z - NTNidJdZtktHUl3mMtVlemoRWoSKsijLdDWItYtMLG5ecILXUEQsl8vT09PLy8vL1fjwrQ//+I// - ld/6rd/pe3PX5eWq1jw+Ph7HcedipHuGS50AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - 4NXV7pbr7pm5WCwys90Vt5Qy9TGzp0+fmh26VXd0XTeOoyQzrVaju774xS/+9E//J0+fvltKWf7/ - 7N17sG1rWtf33/O87xhzrrUv5xwaRUCFjhZlRCgvRTQUEct4SQyxNFWWl5iKYCKWngZDN5emCQUo - 3QrekG7BRuWW2KhlqlIVMBZGkSogig0oQe1EQcDm0k2f06fPXmvOOcb7Pk/+eNdaZ+1z3/vss/fa - 53w/NWruMccc8x1jrHkZ56zxrOe32RwOh9778fFxRIyMcAAAAAAAAAAAAAB4nSO9G6+W9BJl6lOJ - UnotvVpYCbeUhYpkklKSmxUze+F6ALx+pXmY0hRSSmlKCxXvfZVFz2bVRiK8mYUsZN085CFPe+bp - l430bt5tr3mllIi4devW8fHxNE3f+I3f+D3f8z3uWteMkLtKsd1uNyqIHurvH0qgAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAODB670/925mTtN00Yb78jpjfl1XnfeLH7e11rHyeefccPdo - fdwZy37H7/jP3/GOt5/ubrm7eUa2VK+1Hg6H0Zz39l2LSxMAAAAAAACAV8rMxm/hzGz8Ws/MCIUE - AAB44Nz90n+epZmVUkjvxqvB0kuvc2xKm0qrpVVbyqTNpGJdk81VxbpZuqdbOumzuJ2nLGQpS3ma - wjIswmLte3nK0zwPh71ZyqIprGaUHlrDmkpPa2GtFD+rBrGQwjPG0M7X3mtF732apnEic/fee0SY - WcvoaV7nnvYjP/Iv/vyf/wvL8kxRUIR6T0kRIUVmf8ENSLXWWuuYvxz1fXH2HOdTPacy6v7gyxMA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHrDe+8jM3u12F3clmdl2ux0zpZSXbGI7z3Nr - 7aLp7RARqZznKilCn/7pn/Y1X/tnp7nMc5WRxg0AAAAAAAAAAAAAwP1lsSxLeqaZ1VJK2W63EbGu - vRQ/OVnWtfvk8gzPVW3N9qD3GFdISmGepjSFacxIkRbulpaZvRSf5iJJPWq1Zd17aXVOqwpfw3qP - 5dBOZV2W0kVYdxi53a8h8zz33jOztdZam+d5u91mZnSVUtZ1vXXr1hd/8Refni7uijuvIaq1jjol - dzeziCiljABvSZl5UcK0LMsohbrP6v3fJAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADg - stGd1t2Pjo7GTESYWa01IiSN0G4zG+1u4wXa5bbWJM3zvCzLWFK9mFnrbVmamX79r//Ub3jXu443 - 22w9o9vLb4o7Qr7TX9FxAgAAAAAAAAAAAADwupcW9XpZcn9Yoms9aL+L3a7tphvViq0ZI3R5t1sm - s1omTUq781hdvLalKyUbd0IKKc1VzBTZ15Y11ZVpniqu4pmptmpbVGu31mtJqUnNsl4eC68Zmbnf - 7zebzXa7XZZlWZbMjIgyHXktRfriN7/53//kT6dU6tzPa41evtZaKWUUNY2ZiMjMi62PmYiY5/ke - HtfLR50TAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADxgmXk4HEbL2tHQ1t3dz/rHTtMk - qZSSmfM8v1But6QR+D1W2Gw2knr01tvR0UbSL/tlH/v1X//1jz32SO9rRBt54S8LHcABAAAAAAAA - AAAAALhHQtrnbrGDbS1r+KZYUbpJ2u3WTGXmunRJ0zRZca8v+/o+XifSJT+P2j4r6jDFfr93s6lM - RbXavJ2Pq21zyUkqXbHIm2rKQwp5ytI9b0s3JiT+tSQzR/XRsiwRUUqptV67eSMieu/f/u3f/g// - 4T9sLea5Lnee2y1pnudR5qRL9U6SNptNZvbezexwOFxUQN1/RHcDAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAwAOWmZvNxsx676UUM5N0EcK9rutms+m9u/uyLC/W0NYtTa01SeO501Smqex2 - h4//+I/5tm/5lo/+qI/a7/fHx8eSSrXnHeLZfWtpygwAAAAAAAAAAAAAwL2Tls1an3I6nvbLfr/u - I6LI265PqhufcjFr5Xg6LjG3XVv2jQhaPC9PWUpyZG4kAAAgAElEQVQWspR0tNlaulaL1do++85s - nUocz+t2WqZt6FrRnHNZp9LmEnOJaumeprQwSQpTUCjyWtFaOzo6MrP9fi+p1pqZp6en8zz/6I/+ - 6Fd91dsz013L0iSVUs6rhp5TO/QClmWZpsndLyqdMlPSU089lZm1VkmbzWYUMo3b+6ze/00CAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC5z94gYEd1jyehpO5rbllIOh8PFwheTOf4tpazr - Op6yLO2xx268853vfOMb3xjReo/9fp/qL6sj7lk75nzmbtINHAAAAAAAAAAAAACAu2dSsXI4WTab - PJ6OlaebOD5q1+a5RLiZ9f1aza2Xts/jfvNmecSSCFqcMcnTQ2EpmSRZniUtF9l+v2ysHs/H4WuJ - adax1NvSTW2aMiJ6T4vN1m5u/LrFxnOSQgpJI8Jb8pA8X2wf8FCIcxcB3qWUWudf+IVfePzxx2tV - a1Jqu53XtffeX2Zi94VR7xQRpZTee54bm26tTdMkaWR4j9v7jO9NAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAHjweu+bzSYizMzdJdVaW2vjoWma1nXtvV+7du3k5OTljGZm0zQty3LjxrW/ - +lf/6qd+6qe21vb70+vXj1trU63ruspN0gumcZ/ldgMAAAAAAAAAAAAAgHvGo1yfbng71Jjn7Xz6 - 4ScfjY8+2t9Yo/aW0zRrzc00t2WpeXRj/pj2QavXy4Pea1xNYZJfxHiHucpct13RD7K+Lbndf+TW - zfmjo/WiWPpBPY+m60XXTp5oHhvFJOuyJkVYpkkKe6FKEjxUNptNZi7LcnR01Fpz9+vXr3/wiSe/ - 7Mu+7P3v/4AkM2Vqv18kd68Rd1wpNOqdDodDKaXWuiyLpMPhMIqgBnc3s5Hwfe8P8kUR3Q0AAAAA - AAAAAAAAAIArLU02ir+ySl1SWnaT53kpT7rsYu0Hs5MAAAAAAAAAAAAAAAAAAAAAAADAK1dKaa3V - Ws3MzDJz5HaXYr2nFJLM7OTkZDz6guPU2tc25pdl2cz1He/46t/wG359RC+W169fX5Z9ZtZSeu/F - aVELAAAAAAAAAAAAAMD9djhtx5vjOFgc+qPbN/x3//UfaTd+f0xr71lrbUvbTvNhtxxN15YTv+Ef - VQ6v9+v7Jo3aCUkp18isliT567AfqZ39KCzlefbTGT1azaz1vj/sH33ssf/yM/+r33Lz01s9lH7U - lj5tFLlm2nZz9JEn9x+9+bjy4bMo5ZCnhSRLe/4t4iG0rut2u621Zmbv/ejo6EMf+tB7vuNv/4Pv - /seS3BSheZ5baxEyu+OXPiLmeR653f2cpM1mM4qgMrPW2nt39/uf2y2iuwEAAAAAAAAAAAAAAHCV - pWJVn0u0qMrJFF3ZXWbyLotRKmeektLkki6q6AAAAAAAAAAAAAAAAAAAAAAAAIAra7/fb7dbScuy - zPOcmWbm7pnpPv5MRhf53D1S0tq6pLEkM0ez3LP8bpPMlD5m+xqj6a0ya9FXfcX//Lv+i9+e2SUp - 1Vsv7pKy9Vrn50sAv/QXOnm2Mxcdn59ZAgAAAAAAAAAAAABXSSklIiJiXGy9uOT68mVmRIzrtmdR - ptlkqbMLtONq6eVrpvQ/xN2zojVWTV2KbOV6+UX91hrnV+fH++w43fY6ylLWavEAUm8fIKu2rr2U - KTOz9XkqFt3NzGztzUpdIyOy1rq2w2aq2V5H8d0phUWeZZa7JOVZ8HbP9FJ6LnX25fRwwx/dPnWU - 1qRqqdw98611PasfioeHr5LSQumuCLlen2noD7OwZ141Ozs3hSRlj76WUsxMpS49/tX7/t8/++f+ - glKSIiVpWZYxSO93fFKb53lZFjMbid3jtBsR7l5rlc7iwB9IaPdAdDcAAAAAAAAAAAAAAACuLlN4 - roo2l+rpXVlcXepdVZJJaZKflwSZRGEXAAAAAAAAAAAAAAAAAAAAAAAArrTT09Pj4+Ptdrvb7bbb - 7TzPvfcR133r1q3HHnvM3c2stVZKGW1tJcku3aZ0Hto9+tumUpljkblnZmtN0jzZm970+B/6Q3/g - cNj5aIF7W/C2Ky9lcr84ErsBAAAAAAAAAAAAXFXjyum4TuruIzr0TnO7JU3TtN1ue+/Lsux2u8yc - 5nLbpdtnOLndeOXSYryRPN3bpmrzoPfoyrCIltlburt71BIRWlu6R+9lnuRuGW7WY52KL/v9VF9f - P73n+YK7VNoxYrw93bvXPr3EUJdLR9IpEHlY5fOcmDabjbvvTnbTNLmXJ5544n/6grc8zzntbi3L - stlsDofDRXr3sizzPN+zDbxivJ8BAAAAAAAAAAAAAABwhaVqpPatRii7lBGqqW09e/SZ9RTkdgMA - AAAAAAAAAAAAAAAAAAAAAODqOz4+7r333o+Ojkb7+FJKRGTmo48+Kqn33lqrtfbexwrK26fnurQ8 - Imr16sWk3/f7ft/nfd7njdGe+yS6yAMAAAAAAAAAAAB4bai1jqDQcfnV3c8utt6h/X5Zljau1U7T - NMZclkXplzNxJXHFFXhVWeq4lqPJ5hI9FrMuM/lU6vE0Xe+tHA5rKTZVWW9b9+vbrfGpxOucPesj - 4JLv98u69u32eF27qbzlzV/00z/9c/c2nv1wOEjKTJ3ndo8lV8TzlEwBAAAAAAAAAAAAAAAAV0Wk - lq7D4ste1bOpbja5HtZFkylNSoVUztd+oPsKAAAAAAAAAAAAAAAAAAAAAAAAvCylFEm999Ey3t1L - KWZWSmmtSdpsNpfb2j4vM8vMyyuYmVlGRGth0u/+3b/rz/yZP/30raduHB+ta/PyQiMBAAAAAAAA - AAAAwMNtXGmdpmldV0m998t3X77tdltr7b1ndkkRYa67SwEH8ArdOj2pG+9l+XD78Hy80WSxNneX - vLVmZnPd9JN47Oaj+48sufSy3T7oXQaunOvXrz/x5JOPPPLY0dHRX/4r7/ze7/0BL4p+z8Z391H+ - NM688zyv67rZbO7ZBl4xorsBAAAAAAAAAAAAAABwhYW0b//LZ/2e31y8tdNapsPhMEnzpGzPWk9p - kmQv2IwIAAAAAAAAAAAAAAAAAAAAAAAAePAys/deazWzaZrGwtHq/aLh++FwqLWONV9onIumt3om - xrtn6mg77/fLZ3zGb/qLf/Ev9Fhv3Li2PzndHs23DZX+Kh0dAAAAAAAAAAAAANx/Zrbdbvf7vaRa - a2ttnudlWe50nHVpIwXcvWZma83dS5kyaXQI3Fcp39x47FY8+SM/9c//wQ/+7x/Kn12mk9UXK8qu - Td1Yn/1keoM+/vE/8ObH5o/ZzDdaW0Z7UuB1yPOZN//lM9bp7vDII48tS/uBf/pP3/nOd0n3Mrdb - 0sjtPhwOF/O11t1ud3R0dC838woQ3Q0AAAAAAAAAAAAAAIArrIdO9jfWRcu+SMq+ce8RbVWRNDoR - WSjPcrvDVKhoBQAAAAAAAAAAAAAAAAAAAAAAwBVmZrXWiBhZ2pk5z/M0Teu6ttZKKe4+5nWeyf28 - 41wsd/fLd/f75dM+7de++91/bW2HzWba7/d18heJAAcAAAAAAAAAAACAh11m7nY7SdevXz85OdGl - S6h3xKdaytR7l3op0xin9z4uywK4b8J0a3e6bNqp3/pgf/+Tm59dj09XrUvKi+aqTTsu9cj2Xm/6 - /oNrLmudHvROA1dPZmbmEx/+8Jve9KZpmlpbzVRrWdd7Vko0qpL2+/12u5VkZlcnt1sS528AAAAA - AAAAAAAAAABcYRlSbna7kpFSKiPDpPrculU7T/IGAAAAAAAAAAAAAAAAAAAAAAAArrDRIN7Mpmka - ud0Rsa7rWNJ7v+gg/3y53X4xRUhykyyVESa5aTPXX/Erfvk73/VXzHOe67Is7tput2Znf3uTUkqy - kMV9PGgAAAAAAAAAAAAAeBXVWsdV0Vu3bo3rsOu61lrvdJwnn3xyac1r7cpDW8O81lpKuX2tkLje - CrzqtpvNZjt5aWvbRS7Zm4Vm01w9DtrtdrJm1ns7rW7HR5sHvb/AAzVqgW6rCHLJvc6Htf/JP/n4 - hz98stutm82UqXuY231RkjRNk6TM3O1292rwe4LobgAAAAAAAAAAAAAAAFxhKbXYRs7VuqkrrZaU - RTzTcshTkiyfmQcAAAAAAAAAAAAAAAAAAAAAAACuODPrvZdSIs4a5mZm711Sa83dSymZedHf9nlH - GDORZyPM83T9+vHf/Oa//oY3PFarS3LXZrM5OTkZIwMAAAAAAAAAAADAa1JrLTNHVreZretqZq21 - Ox3nW7/129/73h86HJbNfGQqrbXe8+KqLoD7qS2HaGvkKo9aXZYK1XStMddpqu5upUaPpVS7amnB - wBUREV/3dV/3gz/4w2aq1Q+HdZqmF6lHuovxI2Jd11HpJOno6OhK1SkR3Q0AAAAAAAAAAAAAAIAr - LENKj9ayZVWTWk+TmyzPinzSdJbXbXEW4A0AAAAAAAAAAAAAAAAAAAAAAAA8cKMdbe/9oh3t6Odu - Zpl5MS+p1jpmLhq+R8R41hjkhTYwlermkqapuGue5/e852993Mf9kswuRUQrZm1Zaq3ut/WhzTGZ - 8p514gUAAAAAAAAAAACAh9669s///M//yEc+stvteu/TNHVle/7LtiER6Q28itw9lFat2xpqESqu - jDDJovfoqbV72OS9r9M0Pej9BR6kzHT3HNy6Mt2sTN///T/wTd/01yVlSumSXKYXqUd6YbVWnRc7 - jUqkUdfk7uMDaGbj0VLKPTuwV4zobgAAAAAAAAAAAAAAAFxxofOA7jSFSVJKcT4PAAAAAAAAAAAA - AAAAAAAAAAAAXEEjoruUUkpprUnqvWdm793Meu/b7dbd3b219mIR3S80vqz1xd1LsXXt01S+9Vu/ - +RM/8RPv/ZEAAAAAAAAAAAAAwNVWazWzcWU2M6dpyswRMnqnPvCBJ/74H/8TtU6bzaatYWbzPN/r - /QXwEjzlkin8OfUU1iXJXHLr0SRFhKfsbsKIgdeIWuuyLJvNxt1LKe51ObT3v//9b33rW5clpqlI - ar1JWtbl7jYxTrIjljsiMnNZ7nKo+4nobgAAAAAAAAAAAAAAAFxhJpm6KUxpUpqnPSexOx7IrgEA - AAAAAAAAAAAAAAAAAAAAAAAvIjPN7GK+9z5N05jPzNHbPSIkjeUvn43J0s1bbxE5ub7hXe/8lE/+ - 1XN1T3n6mPTc6TbB3+YAAAAAAAAAAAAAeA1orWWmpOvXr5vZsizTNI2Q0TuSkrne+0M/8tXveLvc - ep5Fk4bpOY0QAbyKTCqhGrKUp0ueUqqkPFR6FhVPK72FSyXTk+BuvN6Z2WG/9pa73SEijq4df8Fb - 3vIzP/vBOpVl7Sl5KeNz4nbHedbHx8eSLs6toxRqlD9dcUR3AwAAAAAAAAAAAAAA4GozpSnllhql - qn65HM7OewNRIwcAAAAAAAAAAAAAAAAAAAAAAICrxMx676Nf7TRNpZTWmpnVWt3d3XvvpZTMbK25 - 33Gf2MgwMzNl6s99zTt+82/+jFJsXQ+vwqEAAAAAAAAAAAAAwJVmZkdHR2Z269atUspYcndDRchd - 3/5t/+vf+3v/22azycxa6z3dWQAvLS3CMqU0D/noSGoZJVQsLbuZpalbLtGjZJZ8pkMp8PrTex/n - LEnXrl3LsK/6qj/9z/7ZD5mp9y5pu92ONU13c348PT0dpVDj9DoKoh4KRHcDAAAAAAAAAAAAAADg - CjPJvbssZWklrITf9qgkKU1pkpx6GAAAAAAAAAAAAAAAAAAAAAAAAFwdpZRa6+FwFqc9eteaWSkl - IjKz956ZmRnxwv2jTZda5oZ0tmbx0qMX6W1f8oW/9/f+Xkm9rxdtds/xFzcAAAAAAAAAAAAAXvsy - c7fbjYztkSe6LMs0TXc8kJtMESqlfPmXf/mP/uiPTtM0Qk8lyeLZE4BXR0hr0aHqUNRKhKVJJbNm - TAplpHr3aEUf6bt10sF7v5s8YuA14rBfTaWUyawc9uv3fd/3/c2/+W3FLaVIufuyLON05u6Rd3z+ - mqYpL6m1llJGUvgVR+EUAAAAAAAAAAAAAAAArrqQeXpJ+UWXIQuXPGWpNIUpTCmNrO8Hua8AAAAA - AAAAAAAAAAAAAAAAAACAJGmkcbfWNpuNzrvDu/t4aLSMH7d30y9e0aNL+tzP/R8/+3P+SGafptL6 - sqz7e3gIAAAAAAAAAAAAAPBQqLXO87yuaylFUinFzNZ1veOBMo+OjyUdDuuyLI8//vhTH356XNgF - cN+FlJJSnmYjIjhNaZIUqcgMsyefetKq0vqD3FPgQTs+Pj45Oem9p9uHPvSht3zRFylVa91st3Ze - reTu7t6jm+446H5dVzMbud1jSe/d7I7Huf/oUwwAAAAAAAAAAAAAAICrzZQmkzz8WfU4lmdR3mnq - pjBL6mEAAAAAAAAAAAAAAAAAAAAAAABwNbh7773W2lrrvUt69NFHR/vazBy93VtrtdZ1Xe8wvTvG - P3/wD/7+xx9/fLPZtNZOT08fuXFTMdrj+vmk2+/e/tc3FrJ4RQcJAAAAAAAAAAAAAFdAa21ZFkm9 - d3ePiIts0TtUdqenMlnRuubP//zPf96f+vwRegrgfnJpipgiarhliZy7lea2uhZTLwqvoaqwD3/o - ian32ruLEgi8fvXej4+P62buvf/RP/pHn3jiqWkqh2U97PcZIcnMpmmKCEml3nHktpm5e2a21jIz - IkoprbV7fyT3GqdwAAAAAAAAAAAAAAAAXGUuudIlmSKlVEipkdutMXsZdXIAAAAAAAAAAAAAAAAA - AAAAAAC4KkbL2lqru2+321u3bkkaKd37/X6z2UhqrU3TtK7rnQ7+237bb33b295685EbH/nIh2v1 - zWaz3+/N7ri1LgAAAAAAAIBX3/M0xsnxy7z0S7f3cY8AAABeW0ZQ97g4O3JJJd3N9dNMqdRpypBS - y5L/5J98/9/4G3/jLPoznxMAarRAxH1k8YLTs1aUJIW90ORn3T5vm2SSKexs5nmM515M588KU1i6 - pZ8/yyW/fcf8TvNzLVVSJWQZowFpSilPee+eqjKTRdj+6Vu/EOqRF/9D5ZKf7c/5Xr0maynOX4gX - eaEv1r3jnz8eOhFaI9elv/2r/+yP/ev/L0Nr65LMXdI4Mx4OB0m1emt3fPLKzN67pFprZrp7a63W - eo8P41XAWx8AAAAAAAAAAAAAAABXnUmekZZhEZays7K5M+mjos7VTUl6NwAAAAAAAAAAAAAAAAAA - AAAAAO6/0Qh+JHCPTrVjZvSCd/cxb2br2kdP5MNhHTMvkdudKl4klVIkuctMn/Zpv/ZdX/+X52q7 - 3cnR0ea8zfRFq+V44enyyP48neUBAAAAAAAA3DuWOu+WE2lKG2lz57ndeiZKzfK1GSYHAABwf/Te - M7O1JsndxwXcu4nutpB6W1aN/4pLWeqr//TX/tB7/0VGybTiU4ZFVzFX5NlTniei+FJQ7gvnKwN3 - wEJKqZ9PKaXl+XR7ZLOfZV0/E7M9Qq8vpnjOJLlnuNIzxmTnNQbPHWTMj4Tsklkja3gN95SlK6dQ - Cetha1iEPbOJOzvkrJLLWvoqW2TdpBI+27bkFH1N32n79L/5qR/Z1bVtplXWZZIXq9WmEqWG1yxl - 7Jhkuvso8UvPuhLTeAmeSe/2CI+0Z03naesqoUKE8cPmBc4jFrIwT1l4UetLKaX3tDJL8//1j/7J - e77j7ypVShm/gMge44yW5/H2a4t8gU0Om81mzEzTdDmce5xnI2KcZ0eG9z0/7HuO9z0AAAAAAAAA - AAAAAACutosinFH1+uxiU5c0igItRW43AAAAAAAAAAAAAAAAAAAAAAAA7r+Tk5PR833cjozt0aN2 - WRYzGz1tM/O8Ze0ddYX1CJlZ770Ui9QnfdJ/9E3f9E1maWZSPBRtcAEAAAAAAAA81+3tcgiTAgAA - uHullJHbPSJFj46Oxvy9Gv/xxx//wAc+GKHDYS2luLvOYlDzUs9E4D64PRj+jN3+kIedLX1WpLed - L5SF67ZJI6JbdhHUfbGVkdd924AZkkKWpjSlTDq/faZr6Bg5z1K+7+JI084PbWxKZ9s9rBYqptTa - y+5nn/z3Ty5PnOokS5hlrR4Rp6enEVGnOfMs2HxEWY+mpuMo7niPFFdnumjEaiOVPJ93ime9gngN - yHNmtq7r9evXe+/TdpNp//bf/vib3/yFy9JKKb13nZcw3ZHNZnM4HMxsmqZ1XVtro/BplCfVWt39 - 4vQ6CqWuuPrSqwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAnk9EXLt2bfR/H1ndpRQz - G73ar127JulwOIzGtWaWqUuJPC/dCfro6Gi320k5+up+wid+/Lvf/e4bN25kXyWNFrikdwMAAAAA - AAAAAAB4nau1jijT1tqI8b6HPvjBJz/3cz/373/n/7Guh3Vdp2k63Z/M8/x8646rwHeeUwy8uHTL - Z7+v8kVjc0uGPzvAPiTJnqfGIGTdaqpKZ5UNkkak90i5ns6eejZgd29WF/MiM/ORFh4e51tJlyzl - KYsYuxoWd1TckObK8zzycaiWUvNSZWGmlLzqqeXJH/t37/0tv/oXl7XG6XK6O5nno+uPHa9rf2q9 - VWtNnSWAm9JTdp57HWfjvzwWL6fG436q4ZJ5ukySP2f3Ll6Ls7m8YvuPuzNN0+Fw2G63Jycnm/lo - XfrJycn1R6bdbv+lX/qlJycnknrvtdbe+wjwviMjtzsz13U1szHOk08+2VqLiHmee++jhMndH4ro - bs7HAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHAPHA6HeZ5LKSOoOzNba2ZWShlN20ee - 9x3Z7XZmaWZS/KJf/Ni73vWuN77xjfvDqZldNMDNzJHe/VC0xAUAAAAAAAAAAACAe6v3PuK6M3Nc - lr127do9HN9dP/Zj7/vyL/9yyd3ruq6P3HxM0lkgMHA/jBDrcmnyiykuTd085JI83c4mXUy3i0tT - ppQySWk2krJHHvftK0uWpvQMKVwheZq6q7vSxpSSQp6qoZKqd33MaZ5yy0vFFqY6SRaZilD3Pl+z - //tf/KOn1w/uD7emaTo+Ps6M/X4fpjpNXZkWfeyYLG77uSktZPGybs/256rcSuFqJVdTswzLsJSH - nvtah4Wsydpdvwq4UpZl2Ww2+/3++vXrrbXMfOSRR9Z1fcc73vHe975X0mazkdRam6bp7jaRmWOQ - EeCdmUdHR7XWeZ7XdS2lSCqlmNm6rvfuyF4td/8FBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAACvc+6+rus0Tb33zWbTWqu1jnbwZjZN07quvffeu6Rxe0dKsd7DzI6Pj9/9jX/tUz7519y6 - devGjRvtsGR2SZlJM3gAAAAAAAAAAAAAr2cjSTQzx8VZMzs5OXH3iHjJ597m2cHGZyLkrm/5tvd8 - 8qd8yn/ze353KWW/30dEKUUypT/3GXd+EMBLMg+XJIswSTbesTFSmm+Plw7J0l1lLNOlHO48S+AO - qYR0FvKcZgq7/NY9T+/2lKRufrbQxgZ9Pvt8RZinMi5v3SVtwiRNKm4ZNpa97I9GSiOJXHKlK91T - csmkjNYiZ/XUoWua28984N/94P/zvZ/1qf/9+nRNc7e6rK1klLlEC50dgqdcKl0jDjzSDmHhUkgv - 6zY95Hew/qt5K2thB1con0kiV1zOXPccb5Xzl9gznu/LCg+DPPvgSxrnte3m+NbTJ7XOZiUiv+s7 - /8+/83f+zlj3cDhI2m63+/1+lC3dxQbHs0opEdF7jwgz2+/32+1W0iiOWpZlnud7c4CvJt70AAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHCXMnOaJp33gq+1juVmNlK9x92jo6O7Ht9MpdjX - /ZW/9Ot+3a9b16VOvtvtRm732NDwSo8EAAAAAAAAAAAAAB5Omanzi6cRMe7ec/Psb33rl/7Mz/zc - 4bD2nsUncnBxf6UsZCHl2WRjGgtjzJjO70qSwhRmYSYpzdMkedhFMLZSNgK3PVVSJeVjUrhCihiZ - 3qbu0T26qbnSwjI8R4z32HqTdVOXtbH1ONuQ0iIt4w7rGnLsV5pkl+N3IyJT07QpVS21a8v2Df69 - P/zd/+o//PBSn17n/UGn125uavXdrZNNqR7V0z2qh1/sbdrIL8+XeytdtQjgtOiW3c8S10N2Nm9K - eUph6ubhCot8+anpuNrmeW6tnZ6eHh8fS2qtve997/uKr/iKHunuFxVE+/1+nue7yO2+KHya53mE - dksaIx8dHZnZrVu3RonUw1KqdLU+twAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwELlo - RNt7771LOhwO7i4pIkazWkmttbsbPyNu3rj+l//SX/r03/Sfjn64sbbtNN+2jvSqNJ4HAAAAAAAA - AAAAgIeBmS3L0lorpbj7brebpmlcYH2FLq7GmtmyRCn+h/7wH96vS5knq0US6d24X0LWwtfwNbzJ - mmwZk6u5missn5nOU7fzmZxvheWI9I5L4dyylEueXka+dbqlW7rGJB9B1yN+u/v5ZJne03ovvXt2 - X9NXWXO1kqtr9WxFreRadag61Fw87+wjGZcCyEdGuSSZZHJXRkmrVrSY8mj9wPLvv+Mf/7V/89T3 - Lzd//nT6+afbB6Mum2m2ZnMvc5umsJIqWt0O8lP5XhaeZukv79ZN4RlX5FYjGV0lVDOn1JSqqTpe - 2DAP1VS9+II6iyrHwySenbeervTl0GqZfZpP9odpc3RY++f9qS94+unTTLl7ZkoaZUvLsoyZO9Ja - c/eI6L3vdjtJZhYRrbUx+PXr183scDhM03TX1VD3EydpAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAHhFRkr3iPHebrdm5u7u3ns3s/TipUQAACAASURBVGma1nWVdJHk/fJtNtMf+2P/w2d9 - 1u9yt8yQxTRNh8MhM0dL3OEiQRwAAAAAAAAAAAAAXm967/M811ojYlmWo6Oj/X5/F5GlL8Rkvaek - ZYmf/umf++Ivemt0rWsnEhT3U1qkdSmkLvVLmdwppWWW1MV0vv7l6Tn5zelK97OI7rMc+os1LF1y - T12EeVuWEjYmSxsrdPMwpUk6W7OkphjzGlHTJdOVpjtOj06TztLHb1vo7odDi9XNzWd94Olbm19c - f9Z+/Nv/8bu/533/oH/07tb84af1oelmOVlOxuGP8HKpy1bZImuWaennR/pStwoprs6tlFJNTcop - NKYSI7TbxvfS2Wvk4ZbuybfVa8RmsxlVQ9evXz89PX3b2972Ez/xExFyV0SYWWaOIqKRwH2n449n - jZKn7XY7FmZmrdXMRvlTZs7zPBbe26N7NTwEuwgAAAAAAAAAAAAAAAAAAHChlDJa12Wmu9NdDgAA - AAAAAAAAAAAAAAAAAMB9cxGVPXrRTtN08VBrbZ5nM7sI6r5YOTNH41pJvXdJsme1lXadF0uXYmOd - zWY6HFZJb3rTn/ycz/lsV1qmS+vart+8+dRTT5YyXR4iM6muBgAAAAAAAAAAAPD6VEoZM+4+z/OY - Ge2qdH41dgR7j0TSO0kzdSlCaSalMlWKvvu7v+ebv/lbPvuz/0hml+xiB1prrS3b7fa28ZPAXNwL - FpkRFsXKeGNnpiIzs9YpMy1T8ouHQuqmsBi1BBkmyWRjBTPzVOblWoPoHlKMagezIo17Xs2LbDR/ - U7oyzcxlst5ibREq8qn2tW3KVu0sD1sq8ghLKZSSQnann4WRUR1+W4mFMiX5ZJtuEdFMWbd6OnfT - R8VPP/2Tf/cHvuPH/sO//o2/6jN/1cd+queTulFOu9V0s2IZKWWaZGYqbpmh82qQUfKhS8Uhz+dF - HrrPTOlSzZx0lsodplUK9ZimTVui+OSp1vtmU0LeXvTAcNXUWvf7fa21lHKRpd17XyOnedNbnp7s - v+u7/v53fud3ravc1UPS2alnlB695Jlu1Dgty3Kxxd77eJtExMX75XA4bDabMT9qpR6uDpBEdwMA - AAAAAAAAAAAAAAAAgIfGPM/Lsoz60WmaRv1oZj5c5ZsAAAAAAAAAAAAAAAAAAAAAHkattVrrRTva - aZoys/fu7mY2z3Nmuvtms2mt3cX4vfejo6Pd7qQU6z0Ph3Wayn/7h//g53zOZ9+8efPpp54qxaap - 9K7d7uSi7zwAAAAAAAAAAAAA4IVk5vHx8W63m6ZpXdex8E5yuweXIlPbeXNYDr2rVr397V/zSZ/0 - SZ/5n31GRDOzZVnmeT46OloWOmLh1RIyybvSpCJ391JcUjs0STlyu2OEbBcrmdYvnmueklxFknqY - SWbubulpUowI7+hjI5KPjOriniMOOLOPHN8uuSJDKVmdt3PJ1Xu3ZsVPT9Yb8zVFSAp3KdJbZJhS - d5h6HRYasd8WsvB0yVIjn1xKWcrSM2vakqbmOsSh3LRDO/mX/+Gfv+/H3/cxx7/0P/5ln/LGj/2V - b/y4X7nxzbYcFXN1ReuZaWlFk/K2NPGRVfywlGSESVmUs8bLqu62mPq2bp/4hZ977ObHrqeHo+mo - NLcWrR00bx7wHuNOnJ6e3rx5c7/fHw4HSbXWUZW0X1trzVR+4id+4iu/8ivXNd11x6c1aZwWR2vH - 3vt2u93v95LMrNa6rmtrzcwiYrPZPNT9HonuBgAAAAAAAAAAAAAAAAAAD41lWST13i/+BOLo6OhB - 7xQAAAAAAAAAAAAAAAAAAACA14XRl3a0oz05Obl+/fpoVnuxgrtLOhwOY/mLBXg/Xztqd9/v92YW - ke7yot/223/rF37hWzabzdNPPbndbkspoxtva61OnhmSLneRTo35O2/HCwAAAAAAAAAAAACvRZm5 - 2+0urvZGxLqu8zyPflYv5ZmrsaVMva/75XA2rDwVX/IlX/K33/O3PuETPmFd1+Pj67vdycnJybVr - Rw9vvimutDwL6o6IjFzTJHmGpKnOZjbCt9UjMiVlWk0LG7Hb57UFI4+7eGZG9Mi0yHQrMrnJs5zH - V6e6pMxVUplqZo4qBTOTLDMzzFrJ7qe59NKn2afNnDt3mzOXtAhvvay97GQ9wy3NY/OsqOyXZmkZ - 9kyBRL9ccOGpyLN/lYqQRTY7teN2mA7vXz/8cz/+b77vx7fqfvP40V/0yMe84eYbbl57wyPHj9w4 - fuRovvZRj37MeQJ6MbNR9SGp9/7cHVG65Je/Fh60ZnbwVOTmPJs4XKunnl7sxse+Yb/7yOb6jf3p - YfJS5znNFupJHiq11t77siyj3WJE7JbD6WG/3R67++lu/ycef/zkdJ9SpMmkfL5qpBe2ruvlAqf9 - fl9KycxxolzXtdZqZqWU1trl+qiHzkO86wAAAAAAAAAAAAAAAAAA4PXG3Wuty7JEnFVvj652D3q/ - AAAAAAAAAAAAAAAAAAAAALwujP7Uvffr169n5n6/3263vffRrNbdM9Pde+8vltv9woNndkmlWI/8 - Tf/Jp33t137NteNtrG0MfjjsWmvXrl2LbK01SqkBAAAAAAAAAAAA4MWZWUTM81xrPRwOY8nLy+1+ - Li/Feu/u3locHW1+9ud+4c1f8Jbv+NvvGVmnZnbt2rVS7OTkZJ7ne3sggKTe08zMivtZwUBmempp - q5mdVRGYZDq7G+ZnpQWXHlX0PtaXFZl5ZkZGV6Yi1GUyy3QzU3qTYpctM0Ldi7wU85QUXVM56p5L - nh5szd7jln7J8S/f7Z7eWpFJSik8U5KledxNfq6lXOkKkyQfgeKSpDA1yX1sxyTp+mZa13VdM3WY - atW0Lr3falmmeis/9IGnfsqfKLG6t1pzctVYS7XiXkuxUiZ3uVcpap2lkPz224v07ivBtLjtTZF5 - pCySy5qpl/Br5eZn/sbf+Us/6tc8NhcvG/Wufe/RbL4qO4+XY5qmdV2naaq13rp1a57nzWZjZofD - MtXNV37lV/3kT/5U79pu5/3+7k5qysx1XSW5e0SMc9nF1jOztVZKqbVGxEW2/UOH6G4AAAAAAAAA - AAAAAAAAAPDQiIjxBw+ZaWa11nVdR1Hpg941AAAAAAAAAAAAAAAAAAAAAK9x67qO7uq1VkmttaOj - o3F3dKeNiFrrHYZ2P9PWNrObmZS956/+5E/6hm/4hnmu63o4mje12LrslbndbKKvoXwmt9tCGu2h - AQAAAAAAAAAAAAC3Wdd19Koys3meW2tm1nt/6WfebqSZZtrFkt3usNlMP/TD//JtX/plb3/HV49r - uJm5rm2aprMruQPXc3GPeEo5QrgjTFJkZrcomyKXlF2Z2SXJovRac2P/P3t3Hyzbftd1/vP9/dZD - 9977nHNzc3MhIchDQpIbLklQBxISmBkDQcQZRx1LsUaKeRDEgBkwGmSEoA4zBhwlaBEqkpARrbFm - BCcyRdWglk58YiCiIUVACBOMcCEkN/ecux+611q/3/c7f/z23mffcx9yzsm+uefh/apdq9buXv3r - tbpX9+re67u/H8+S3BQRksK8Sl3fRUSN6qoKmZlnD3N1qVgNVVd1q26za6k2Ky+bsjnYXN4/uHK4 - fXT/6ODo6GCaDw8O9jfL4ZXl0e28ia3fY8/75j/w55+9+9zYZIucI8lTKFv03bIjpZKXOPvSuF7H - NwlTKJuqm5I8RVVUd4/kimSh7f7S57yXOyktXmtEtawhanJpjpiUw7qUInXKKTp5TmGKJHNFshSm - LPOo1i65dipJcS5P5SfPVJI2FopYuzrJUoSpdDXNj8jfH//NH3zp5vDw4oWdNOVlux3HVak3GfCM - Z0StNSJyzpvNppUkHU2zma1Xuz/yIz/yoz/67pTU93m7nU+qj27sxWVmKaXjd4a4umO7eztotsTu - 0yqpVjR1OyK6GwAAAAAAAAAAAAAAAAAA3DZaiWfOeZ7nnPOyLGcLPQEAAAAAAAAAAAAAAAAAAADg - 6dP3fUS4e8651tr3/TzPKaVhGCJiHMdpmlpu9zAM83wz/Y4jou/z/Z9239/+2z+ys7OSeVJ65JFH - Ll28mFJKKZnFdjulLuecqaYGAAAAAAAAAAAAgKfW970kd6+15pwl5Zxv7pRuO1Nslt2rpHaOOCe9 - +93v/pIv+ZLXfvnv2t1dp5Q2m+04jh7l3LcFSClJivCIGnJZRHKlWGz2cDcPawHOLklmQz/L5XLJ - I1mYu2q1UnxRVu6TkqYyHR0d7B8cHG4P9w+vzGU6mo4Ot/v7R/uH2yub6WCqR1eOLrvNYcWtWqdI - 1eWKxSwWbcvgZjJp2k7dBW0uH3W2myMfr3QkRU4xKFJKtV53dHeK5HJJFjrOJI4kya2maNs3K1KS - +0n1xM7OutY6F3cvKaWUs8xLFDNVhUuWlVQVdfZFrmHoa0SERdQIk1xKZiGlNv+46U3kjj9tTCZJ - ijhQDJJkJcuTa/c5F3/14V/++V9930s//YuuHDwyzMOFbqzLpGTP6BrjxkSEmdVa3X1vb6/WWmvd - 2dn56Z/+6be85S2STFaKSxrGcZ6mm7iLWuvpfDuotWKk00Nnq4laluX2ze0W0d0AAAAAAAAAAAAA - AAAAAOA20vrc1VpbcWe7cJ7n27qaEwAAAAAAAAAAAAAAAAAAAMDtwsxSSi29W1KrZI6ICxcu7O/v - 933fCp5vLre7DXjvvfe84x3vuHTp0jxvU1bO6eLFi13X1brM89yayJvZsixtHYK+ygAAAAAAAAAA - AADwJFrfqnZ2tZSyXq9TStONR5xGWK3Rzhf3w2qZ52mexnGcp2l2f9Ob3vTuf/B/vvCFnzvP897e - 3jRN4kwungbhxSySmbLM5Cohr7bYkFxLiRLmypKiRqnaftT3t7E53B4eHR0cbvf3NweHm4Otbw82 - jx5Oh1cOLu9vH53LJAtLKWXJQ3JXSHJzSa5wC9s9jgMPU9Vx1q95rPrV4j6lbUo2jFm2s0RW7dx6 - WVdN1TxSsVBJbiG/4ddFkkw6fT1ZKCkka3OSudtxqnaYrsyb1KkfzEKq1WORy6rM1ZsiSVUKpeja - qlQt3u7h6opVmU4iuh83vcVKNMIiZPJVpCxVWQ1TX3W0PRhL+lc/9Z4Hfv/Lhn61lq2ypnlSGqT0 - TK81bkzXdTnnUso0TRcv3fPQQw/9D9/x54+2k6Rao+298zQN4zhPmxsaOSJWq1UpJSJqrS23u/V1 - jIhSSquSioiuu73Dr2/vtQcAAAAAAAAAAAAAAAA+aemkEu5YKLkphWRu8UytFQDgSZlZREzTlHOu - teqk2x0AAAAAAAAAAAAAAAAAAAAAPK0iwswktW7s7t51Xbtkf3+/67plWSTlnFvz9+sb9epi49in - ZD/wtr/xwAMvWeZt16cu5VJmM3v00UfHsW8Nc9vIrbM8AAAAAAAAAAAAAOCptXZVLXy0ndXtuq6U - ckODmEVEuKvrumWeU84p52makimkefFv+Po/8aM/9vfuu+/ew8PNMHTukrmClFw8KTeFKcylcHNZ - CYvjKgI7rjk4Ccx2SZ5CKpY8Ioov22V7uDk42h5uy+bRgytH8+Zwu3+wOdhMR5vNZv/oYLPsb9J+ - SZN7CQtlhXmNUsytjxrFVXyMtFakcIV7dJJcYcdJ1ma5KiRFhJKd7s8RYZaT7GiZU5cs9ZIvpXQp - lUnPGi76NsksLMK8pkjhnkrym8rPjRSmkCSXVZOi/ZhcSiFJFpJ5KKVOyvKIqEpSZ8rJUooImUnR - oslNimS9pSixHF91em+hay65Zm10KzUpjegkhVU/Xq0W5a1h8Lkc/vrlX/6FD7/v5Z/xxWbro0f3 - d/u9KVTtdO9KUkrHm+Oylk2ezmR7X2fdC54uXde1OO2cc62xXu8e7B/9he/6S7/0Sx+SpFBKycP7 - YVimMk/TTdxFG18npVCnud1m1vf96VVt5vZFdDcAAAAAAAAAAAAAAADuZkmys+ndYVrMwlJvmoqv - TKbjsrnqtDQCgFvCae3mdXeyAwAAAAAAAAAAAAAAAAAAAIAbc1q3bGbLsvR93+bdPaXULkkpmVlK - qZU2l+Ltv1RqrU805GMbsptJNeXspUqypAiZ6rt++J0vf9nnT9ujrFCyKLWzLiKGcQxpaXdhks70 - gn5Md1yqrAEAAAAAAIBbS7TItydJuUotZC6UTOn2TsICAAC4RZlZm+ac3b0lktZa+75vMd7jOE7T - JOn0kjOunoE9zS0tZZbk1b1KkrfkYOk/PPTQG//sm972th8YVuO8LCmUcw6ZpC7lUkopZbVaFb/m - LnD3CtPcxxxL6svsR/1aRZPbvJTN0XS0nbf7m4Oj6fBgOjjYHh5uHz1aDj5+8FvbZX+z2WzmqdYS - EWGS3MyO473tZKfNiq7WXE6/jbQlW2GDH8+3THqpSmapLZlkx7dIEZbUosU9KaWsiIgIM6XwFoid - U6+aVOqYUjfX3TpoI5m5hVRktSZFeH+ctX2jVQ1JUrVck2SLaUmRI5LkVTJT6HRtJfNOUm1J3rJ2 - Zx4yqYV/h9xaWnW4JoXCrk3pfvLQ7vYg3uDqP71S9uTmSnMyyZN8sOhdy1Zlfak8evCb//fP/tiL - PvvzV35xZaPXXhYyd6sn+0Ry5RRu5jrel+THbVpDphRUoZwre9zjGemJljtWlqnvkoeklHMuS/zd - v/t//MRP/MPTPzO4S0rLVE6S129wdY5f/0opSVqWpeu6UkrXdacLXDNzmyK6GwAAAAAAAAAAAAAA - ALDjSchNYUmRtnXaXe/EdBSuCKWkbNZqagEAAAAAAAAAAAAAAAAAAAAAAHAHa11op2kax1FS3/cR - UWvtui6lNM9zzlknfWnd/dpY7qfSlvSUknv1WiWlLHcNg/217/tffvvveEWfLIWllCOiej0NDgcA - AAAAAABwN7BbLQwOAADgjpBSqrW2+e12u1qtWkr3arXabreSuq5rJ2cfl979ibVBQvZP/sk/+1t/ - 60e+9mv/WMrWd527L8u8Xq83h0fr9brv+81m0w35fDcNt6+Sp1/4yC986KMf3D96+NHNw5vl0SuH - H53KZjMf5i7VKEuUMI8+aio1lkVz3tXikyePUWbHybsRTxo43cKY7cx3jPQkUcEpJMnT6Xw6Wwsx - rPtlmaZFZuqSUqSkkMmG2JaNqnb6cciq20UeYz+UUsL8alBxJMmPO3/eoLAkqWWNm2TRsrhTSBau - kCLJvG1pelwysp+9Tzu95Mxyt/MXMJNSi2+Wwlxy+RgmdWV/ib2d6Tf3P/zzv/q+3/HcS6v+3rok - tQdNLpMiS3YSHd2erHR1VFtuPGcd52wcx+12m3Jfa5XS+973c2/5y98r6UbqlJ5KewNJKaWUDg8P - T4+D5zL4LYXobgAAAAAAAAAAAAAAAOCkVs6UQiaXtJNXZXMkqVvZtI3k6lNe7ezeXLUfAAAAAAAA - AAAAAAAAAAAAAAAAbhetF+04jhFxeHi4t7dnZl3XubuZDcOgk9zulupdyvV3Kz5eMiJyyjnneZ4j - lE1/4c3f9RVf8RUppc1m09rBp5RaWHjE7dwoGgAAAAAAAAAAAACeORHRTu/mfJyZPY6ju6eUzKyU - IqnrulLKzeV2S2meZ0m1hkzf/T9/zwMPvOTVr371ZnO4Xq/Hcay1thWotfZ9H6Th4kTpDv/tb/7j - n/7V92yWA3XFcsza5jHFEM3xcrlKHuYuLdvjLOqUjusWnpo9rtzA7eweeBLbfCKOlzlO8m7Lh7mr - FpNn9VkKRXEvFik2rm5XOfLlw2m9aOzc13X/4ctD3x/HhkdKni1SimSRpHrDDxNuQJFlC0k5p7p4 - zWn7Uz/7jz//q79g7i4k3zEPKaVILle4VFua99kA8xSS/LFh3jhXcb2P6sHR4TiOplRrXLly5Q1v - eMM0TXbty/rmjynu3g5/8zy3Q2Srg7qe95bbC/sxAAAAAAAAAAAAAAAA7mYeurZ3UQ5ll0kudUl1 - G53Ur4etl8v7m2dmNQEAAAAAAAAAAAAAAAAAAAAAAPAp1Fpg11r39vYiYrPZRERKx61czWxvb0/S - siytk/uNj19qrfM8507DkF//+j/xNX/0D0fENE3jOLaY8IjHNuMGAAAAAAAAAAAAANwgM2uB3LVW - SWfP8KaU2q9telO53ZLkfpwLbtJq1f3xP/71H/zgB3d2dmqttdZlWVar1bIsy7J0XffJbxHuGJ6q - dg8P8kOb4aPTePmgv7zptkf90UHeLKvJV3OMS4yL51Kz1yzr1K1S11nOMtNpOcF5xeyGXf3x4zGP - U4HnOWTqekVSSJ6kLMvqBtUqdxv6ru+yVyu17lwYwzwspCSd5nar3Q7nKFoQe4veNpncVGWh2if1 - kmysv/LQBz74Gz+/zdvSF0+eQhZKoSSlcFmVStiZ7G4LWchcalOcn0jXn9st6cKFC+0gYmZvfvOb - H/qN3wpF6NzqiE4PgmbWDpF3apES7zwAAAAAAAAAAAAAAAC4e7WKQE9+Wrx0WkNW6nboRyVVKQ9W - 56XkYfe+e2WU3AAAAAAAAAAAAAAAAAAAAAAAANzJlmUZhkFSa5teSlmv12YmyczMrOu6g4MDSeM4 - tg7skiQ/bVr9RK5eaxaK48Fr1dd93dd98zd/s7t3Xdf3OedcSum6rgV4t964AAAAAAAAAAAAAICb - 4O5937t7znmapnai1swiotaaUjKznHM7F5zSDTcbbLetNSSlZNttmabyxje+8aMffzgP/Xa77bou - zGuUYdVvpqPz30LctlIo1eiKjep6ddk1dupzTqayxFJSrV315NXCZSHFcbBuxOlPtF33Ru/6JKLb - w7zNP85xkUMKZVeWBlMK+aLiUietwrMGy/3c22a0zWqoly6s7tseTdvtkY6zv5MiKbI90R3gk+aP - qUUJyZRUUig81WqpS1vfxM7Rv/i5f7SvR+ZuqRaSUphFsmjJ3O7mfhzRbTpO7napPmUNDD4Vaol5 - KqvVztvf/vb/6yf+4VMFULf49psSESmldvgzM/c78HmnjzAAAAAAAAAAAAAAAADwBEymiFo09Kpz - HNaI9eqhy4+Ikj8AAAAAAAAAAAAAAAAAAAAAAIA7Wt/3rUu7pFpr3/fzPJdSJJnZOI4R0dqvT9N0 - g9HaLnlrpV1KyZ3+wB/4z1//+j+53hnd3b3UWqdpmuc5peTuEdH3/dOykQAAAAAAAAAAAABwlxnH - cZ7nWqu7l1LcvUV611rNrOu6m4gsrbW2OHApuUuhnNPP/dwv/LW/+tZ5ni9evJhzLqW0jPCbiAbH - Ha6a1ZS9K3NdFi1VS6nVlYcx94O6Xqmz3MkUUoRqjYgwU/uR5B7uN5vZ+zgWspPB3K4mQ2dJLqtK - oSyZa5m1HCpfWV3a3nvPcv89y6d95s6LfucLX/3s3eeMeZ09pVCKJOUUSUoyl9WTiGicE3O3q7HN - FjK5yVOknLrJXb18PX3oo7/4737z5+fxyFNxk5SSlCSLkNX2lLsltWdKUnuaeLLOXzr5uS4HBwcX - L15873vf+73f+/2rVdcOUOMwntfatMKkVvg0TVP7Ned8XuPfOrpnegUAAAAAAAAAAAAAAACAZ1KY - y47rzMIkHVcKukJeQlLVLO3uXfiIpeHZzxbVrgAAAAAAAAAAAAAAAAAAAAAAAHe61jO9dWmXNAyD - TnK7p2lq86dqvbEu2DkpQhH68t/1u978Hd95YXdvs9m4+5DTkLt0Yrvddl3Xcr4BAAAAAAAAAAAA - ADchpXR4eLi7uyuplNJO/kqKCDOb53lnZ6clai/LchPjd123LDXnPAzDZnMoyd2HIf2dv/O/v/jF - L/7Df+QPpZRMyjnP8zwMQynl/DYOt7uU02hpjFTMlNPinReFuu5wmbPMIplZkltKMk9Sak0zJUkR - n7igIEXScQj3ya3siZcMk4Wyd5LC3M1bCvTJOFKxrLxKvVercx1yf3F1T/fx1XMv/rYXft7LXvS5 - L/30Z9+7U3b7w7UmdZalVJUtKSxJpSZPoesPLcYn1jqpmhRJksXps+yS5z5Ps8a15sW7cf6pX/xn - L3z+5/dd13lSyI4TvKNKkoeSZFKySCnkRm730+30hfCUj3Purhwcfv2f+JPdYNttMVOyPM1TnNPr - KCJaXHdErFarWmu6QzvuEt0NAAAAAAAAAAAAAAAAPJ53qVPUkNRpKPnKdj64sPfaH3+3nqTQEAAA - AAAAAAAAAAAAAAAAAAAAAHeG1qJdUkvvdveu68ws5zxNU7uqNcA2s1rrjbaWNjP3eMUrHnzLW/7y - PfdcWsrk7n3fe1nMrI3s7pL6vt9sNl1HC1kAAAAAAAAAAAAAuEm7u7vtLHA7G1trzTnnnCMi57zd - bts52a7raq2fOAz5sWqJnLJ72Ww2x4OUMs+es777u7/7ZS972Ytf8nnrYTSLZVmmaco5Px3biNuU - y6qrJCmbdebSsqgbSz8kc5MrRSQlSRHy8KRo821qppTMzFqNwfmskWRnkoFberfJwi2r73wnNmms - /XOf/RkveM4LX/Ufv+b+3c/Y3bu/TNUfXS72l2ybRltFzK6ksznjJpfCvOVM4xxFS++2Fo4uWZHl - eVn6lQ422hs1a/qFX/u3v7X58LOGe916U8rtWQiXRZhCskg6DvBWCtVIpHc/DdrOf50PbBqG4b/+ - uv/24x+/0l7fEapRk6V6Y4epJxURKaWUUjvw5Zzb8fF8Rr+VUHcFAAAAAAAAAAAAAACAu5pJurbq - KCRzd1l0pjqrZit93s+hLsmo8wMAAAAAAAAAAAAAAAAAAAAAALiTta7WKaVlWfq+TymZWdd1pRSd - hHa3mZP5J22q2/d9KUuEui65u4f63socL37x5/7gD77twoULy7J4eGcpK6qZTkK7W174sizkdgMA - AAAAAAAAAADAJ8nMJPV9L+k0lzQi2pnZtkA7I6yT+NK+75dlaYHfp7HfjxeK6vWkp2EqpbS0YndN - k3/TN33Tj/39v9ff25ssD6MkC6+1BwbL7wAAIABJREFUtvHbmOM4brfblOhzeNdx0yIv2ZRirnMe - LZboO6mqM8+WfKkpdVGrmfX9sJRJKdxkLU7bpMeULnwCcTVIWzmniGhR9UnKOUly85I8Z/kkFa37 - UZHmZXJT5NTlIbZdFzsPPO8LXvmSL33R/S/did3RO6tDvTL2kZMrzV2nXi6zlNTafcrkbfAkSXdg - KvCtIpLiOL07NIfCq/q15llRt/c92/7pe3/ihf/pi93X5WC+Z/dZ26ONKyxbsq5GKJLCkieThyUp - ucS70tPpaq1RRAzDME1TrXW12pmmKeecc/q+7/v+n/mZ9/rJgmbyUOpyXZYbuqeUkp+M0vd9KeWa - 9412iNSZ4+MdhtIrAAAAAAAAAAAAAAAA3L0sjkvBTIpW0GdKIVPIXCcXetKc0rbr1HXUjgEAAAAA - AAAAAAAAAAAAAAAAANzZIqJ1Re/7vtbq7ru7u4eHhzc6TsvePv3VQ+t1v9ksn/G85/zwD//wvffe - K/OULCmZx3a7bW3iAQAAAAAAAAAAAACfAi0/293dvZ0j7rqulFJrPV0mIj7R+eJr8m1TC2SNkJl+ - 7dc+8q3f8sZ3vOMdXW/zPA/DUEpZrVaSTpPCl2Uht/suFZZs6GxYoibPvVK1kpNS0rKVZe+y1Vr6 - vp+XZSo15TNhv5+c7dZzVt+nbLnW6otHyDrNruRaDUql225mizzm3T6P9cgurO/9ghe//Itf+prP - vvjCfDCOm/U963s3y2FIpl7R5bDsSVI1z5JbCwt3i+MMb7eUritkHDfKw5IiSUkqklKOLmkO1aKU - cnR6dPnYhz7ygY9ufl017a3u2c6zKQ9DX1PZLNuu6yVZXH0jchnJ3Z9K0zSt1+tlWbbb7aVLlw4P - D//5P/+X73jHO6ep5qx2UOq6vBRfbjC3W1LL7e66ru/7zWYjqUV3nyZ23/GI7gYAAAAAAAAAAAAA - AACuFZK3CiJTSIrklqaclE13TWkRAAAAAAAAAAAAAAAAAAAAAADA3cnMIqLN5JwvXLiw2WxOL7x+ - rdN6zpZSWkrte9tslvvvf9bb3/72+++7NyV1ubt8+fLFi3thGsYuwiUprunqLp1b820AAAAAAAAA - AAAAwLGc8zRN4zhKaiGmpZSUUjtTPM9zW+bw8DCl1KJPn8RjrmrnldtNUtY//5f/7/d9/1u/5Vu+ - 5cLFe44O93NK0zS1s89939daSym7u7vTND19W4pbU4oUs3W+E24KpSnysrhVl1Zjcne3WKq63eK9 - lqouKVXZzVQQXFt7MI5WSiyTe/asnK1LOeU+ybfdYJuj8FIu7u3mue+n1XO6z/zdX/Z7X/zpL71/ - /bzpctVvpr2dS+rtIx//2O7uOkyhaqGofU0eFhYeUcLklsKU5Qq5snRNRQTOkUudS1lJ5h6yJAtV - V8p9P1qZNpc3H/nXv/gvvuILfr+ql23t1ZUlovec8/EY5i2926WwJLkr8YQ9vcwlJcu11v39w77v - h2F1eLh5+OFH/ty3ffvR0Zy7VKuHZGbzUnPOteV437hSSjvGtRGI7gYAAAAAAAAAAAAAAADuMq2+ - 1Voln7Xfw3SS3y1JSzKlpLulsggAAAAAAAAAAAAAAAAAAAAAAOAu5e7ppPlwa1Pb972kZVluaJyW - 9h0Ry1Jzp2WJ9bp761vf+oUv/4JlWeZ5nqbNfffdu7+/v5Tp0qVLrfM7AAAAAAAAAAAAAODp1s4L - j+NYa23JtRFxGtFdax3H8TRj+6lHOslFvnb8rutKKZb0znf+8IMPPviVX/mVHrYex2WZUkpt5Jai - eqMno3FnSD584Wd98Wrcmcrm8OiRbdm/cvDw4ebywXbf5zrH0o+KmJbDRYPMZFm6ydDeJ5BNkZQi - mZJctdR5nmPQ5iDW3dClsdtff+5zXvyaB/+TB5//haujvXG71293L6Rd72M+nK2LZ99z73aZwoqb - J5esi7CaiuSKqkiRiiTzkJSU3NI1Off4pFz75uSnl1dX3ylLNVKEefI8KO/6z3zgn33pb39tP497 - 6T7LqczV5d26q9Vl7a3Mj9uxKkm0Xz13/rgZSVqWZW9vb55LKWVZlr4bvvmb/tSv/cZvtdqldhTq - +36e51prK0a6oXsdhqGVJLXbTtM0jmO6m2LZie4GAAAAAAAAAAAAAADAXc3iavVe6DS2W202hSwU - rhTpCStiAQAAAAAAAAAAAAAAAAAAAAAAcIc57U7bErsl1Vpbf/YbdU3D3B/8wR989atffeXK5d3d - teS7u7tHR0ddn3Z2Lx0eHh7fnbkkBf/JAgAAAAAAAAAAAABPFzObpmkYhpxzrTWl1E7vtvTuvu+n - aWpXnYaePrnTs8npzFS1VknJbNqWP/tn3/SCF7zwRS/6vKOjw5TU932tteWntozwriNa9K4zLOPL - 7v2iF154IA9W6qRUq83Fp4P54OFHP/ren/+ZX/mNX5qG7ZX5YU/bTTpaZu/zzd3VtQUPdYmcrbMs - N3NLlvs+hankKuXxYPdFz3nwda/5PZ9330uHaXd9sJdtkFmt9dHl0aTcW5e98yNXZ4okK56Key+l - ai6rucrMW/RzkkvJo0thsidYGdy8SJIiuctb1LZXJSlnhas93u7Fa41QpPqx6Tc+8OGfffn9XzbW - ZcjrYciL1XlZUkqSJ/nZrG63lIIn6+lkxw9v3/dHR0cRtrt74ehw81f+yl9977/+t33XL2UJd0lm - dnoYutHcbknttu1Y1m6+LEvO+e5J7+b4CgAAAAAAAAAAAAAAAJymdz+ubMikkMlTKMUTLQAAAAAA - AAAAAAAAAAAAAAAAAIA7i7tHRNd1OWdJXdfdXG53a3fbdalUr1U/8ANvffWrX/3oo5cv7e1st9vW - CF7mQz9uNpvVatX6tgMAAAAAAAAAAAAAnm5mNo5jm885R4S7u3uL0F6WRVKttQWmtjzvG7+LPI5d - i0qtJV7/+tf/2I/96L0XL2y3RwcHB+M49n1fSmnx4ee7dbgtZM/zI2Wve1ZXUvVFijxkpTiKo/su - ftaDX/XKjxz92r94/z99z/v+0X7xZ9238/GD37KUziX6uu9zlKjVU6Rk2WReXKWzTX7gM1/+pa96 - 7Rc8/wsvzs/uNjtj2YlqxRdls74fhj6FVKIuJSJS7iKUwi1S9hSysGSKk5VsGcNuIcmkJFEXcY6S - lBSS/DgT/bhpasopaoRXWY6uS7XWKKpRunX9V+/7f77w936Z1yjb0mlIQ6d5VpIUUpGSzGXJ1cXx - 80d697lz6Wput6Sc83a73dnZm+f5Pe95z7ve9S5JS1mGcZymqeu6iKi15pzbUelG07t3dnaOjo4k - lVIkRURE3D253aKVMAAAAAAAAAAAAAAAAO5yJ9VgkuR2XHHWavpSyEIWSqHsotgGAAAAAAAAAAAA - AAAAAAAAAADgbpBSWq/XXdfVWlNKpRR37/v+5kYrxccxf8d3fNvrXve6UuaLFy9uNpuc887uKneW - cz48PBzHcZ7n890KAAAAAAAAAAAAAMCTqbVKcvfNZtNmUkpmVmttkd455xbp3a69vlH9NOa2pX1v - t1t3N9M0Tb/ywV9985u/6+DgYG9vr+u6nPNqtaq1LstyozmsuGOsxjwOKYd6DXkZdNilw3G1vThs - LqYr6+etP+d1r/zqP/hVX7P2vaOHt+u8m+J8umK2oHoz6/s+pVRr7VP/vPXz3/hVb379q77tVfe+ - 9sL+p3Xb3Trb/rz1Tupy7voUqUxlmjdVs61LHiN7HsrYl66ruas5e5e9U2SL3FbV5NaiuyMdR03j - HEU63SXcrl6okhXJTGZhVrukzqRk3m8//Fsf/PWP/nslr+7uXmvt+751YzWFrEohediZAXFu/Amj - 0JdluXDhQinloYce+vZv//btNA/9IKVpWqRUilvqUkotbPsmjhdHR0c558uXL+ec2wt/GIa76rjD - +w4AAAAAAAAAAAAAAADuenZS3hqtniYkb4HerZLIQrKTar9zKlUEAAAAAAAAAAAAAAAAAAAAAADA - ral1Yy+ltF7qrfvtsiw3MVTOJtM3fMPXf+1/9cemabO3u7s5OhiGYVmW1n59WZadnZ2IyDmf+4YA - AAAAAAAAuK1cZx4kAAAAzkHOudaaUlqv16e/SoqI7XbbZmqtN30mNyK6rjuZV0opQj/+4z/+9//B - u69cudL3/WazmaYppTQMwzltE2435u7Vl7IsNUWX82DquxjHvL40Xhp9XB6NfDS84rN++2ff9zkX - dy8uZT7zrSFJKeykPaad+TmzgJ8GMJuynywSLf03dRrzskr76+fEZ37553/1t/yhP/fgPb/z0+Mz - d7b3dJvR5m4c1+v1evGibHNdSik5577vw7TUuUSVZKF0GtQd7VdJSWEW1tp8hiXZE4cWn6+4zrTp - M4u1m9yO08bt+DFPcXyVmxavKaWcTa4yl7J4hKRYotS+/JsP/syhLg87fdeluUxx3JE1nT4gYTJ5 - kp82a8X5a+1tIymSu2qNaVr+9Le+8ZFHrrg0L7PJJI2rlaSyLO7eKpdagPcN6bqulLJer1sRVAvt - NruLstm7Z3oFAADAY5x+KHmaPpGcjnz6Jf/0yznwCZ3uP3fh52Z8ktqfEUspXde5u5mx/wAAAAAA - AAAAbiFnSsGyyy1kHq2aUJIUUqQqlRTXX4gHAAAAAAAAAAAAAAAAAAAAAACA20NrsdUawUnq+16S - u18zPePaHri562qdj68zSXKXJSXJa/zRr/kvv+W//1NR6no1LNtNn7K7931fS0ipy0NZ/AmHlURU - DwAAAAAAAHAHeczfAFvP/7Po3w4AAPApdk0sd/u1nT6uta7X63me28e2lnt63QO7pAiVUk8vKsUl - lUVv/q6/+MADDzzwwAOr3T3VknOep02Xc8TVE8ehJB0nLqfgrPGdzFIoIqdhCdVUk0lRLaL6XLTs - 9mPZ9EO++OLnvvSDv/L+pV96Uwq5JUUKSyGX6XhnMaWQQm5SpFAXStO8vXBhVeatTxp6RVUJyeSm - bF2uO/3h7iue/6rf/Tt+z2/be35+ZMyxKqFQ5Jyl8GWWUjbJSzbJFFGjtm8vQ5jc6mleuOdFSiZv - zTzdUgpJ7uplClWpPuWDcT7C0tlaCwvp8QUZJ9/Gqt0SIdw3G93tNRcLSamFpiuSpJpcyRaVkFK+ - mqdeQ/3YH2y2/+ZDP/26L/nPDh9+5EJ6lvq0qHTRJ0/tnUfhFuq8hMnihlOi8RRy0jRNwzBEWO66 - aVrcfb3erVHmEm/963/jp37mZyWF5GovGU3bo2sGeVwV02OklMwsItw951xrNbN2/CqlnI39fvqy - Mm9BRHUCAHALWZal7/ta6+lHk4ODg729vfMav8V1t6/3p9/5W4bued0F7mBmtt1u1+u1pPapuuu6 - lsf8TK8abgM559P/SEkptbe7luT9TK8aAAAAAAAAAABXtfK+FMdlf9Jx2ZmbJ50U3AEAAAAAAAAA - AAAAAAAAAAAAAOBO0brQnuZ2bzabCxcutKZtN6SW0vVjqJpZmZeuSx7edVbm+NIvfeX/9D/+xc3h - 0Wo1RI1uyEdHR303Ph2bAwAAAAAAAOAW9uSBZ+bSY7LlAAAA8Mxq55HbuWMzu8Hc7k+glPjv/vg3 - /KOf/IfuPuTOvXa56/tunudrF40k41PiXcLCFKZqYe7JXIohd2X2ne7CshzNm7nKrZP78VeLk/Dm - 1kHzzH7Ssr3V9h/tXBiPNtveNAyWLMnDi69W4zypr7v3pOf+vtf94f/ot70qXx4vlXvL4p68Xpto - 90Q74Umic5zdRc0lTyedO+NkyZMLPhU7c9jVO2o9RMNkkrdH6gwLhV3tM9pmnmCqM9MnW+YZmkrH - G2uR0mMztsO87SFnG6mGabNdxvXe/nT5lx/6wBft3W/hZhHHW5cUSXHchzVJfubJw7nYbrfPfva9 - ly9f6bphmpadnZ3NZtpupn61fs973vP2t7/TTCmnUlx2NWD+hpwN9q619n2/LEtESGppcaexlXdV - eCU5eQAA3EL6vj86OtrZ2WlxyO6+t7dXSjmvaOQ2zjRN4zjWWpdlWa1W7Uv+uYyPO956vW6fqlNK - KaWzMfPAU4uItre03abv++12u1qtnun1AgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAdy8zm+e5 - 7/vWWeuee+6pte7s7BwdHT3l7VqX26ttuHKfSplOe+aW4iaVOV796i96xzveUUpZr9ddlzabjU9l - GIaguToAAAAAAAAAAAAA3JLcveXsbLfbvu9bgHdLPz2n8fWxj135xm/8xv/t7/6dw0f3L1zYVcT+ - /uE4jtcuSm73XSCUXGcSpOUyd3kKC5eHUkrel4c+/pCstKw5v5rp6xaStWkbSCEpJJPJZaVMnrLM - skd/uF2GlMbB5kemC7r/wc951e/70j+26/fGfrqwe+Hhhx+5uHuxFlfcxqlkfiaO7+pmxNn07nT1 - wtC16YDminR1+mTOLvPMTcO8bW8KPxvRneT+mODnJE+ulELucx61bDbv/8X3fvFrXjMdHmYzKVso - 7PSpd8lvMjgaT6nrusuXr5jlFui2v79/4cKlstRf+dCH3vCGN6RstYYX7/vs7u4RN/gkrFar7Xab - UoqIlk3ZcrtLKe4+DEPLx2wpcndVeCXR3QAA3Fp2dnYktdzuVsDdded2vC6ldF3X9327i5bk3T4G - nddd4A5WSmn/V9A+jLc/Cd1VH53xyWi7yjzPwzC0S1arFe8/AAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAADgGeTuZta6JHVd17okfaLc7idQS2kzu7vrw8NN16Vk8YIXvOB7vud7zKzv+mVZlqWmlMZV - v9lscurPd0MAAAAAAAAAAAAAAOfI3buuq7X2fe/u55XbLUmhfrCf+ql//T1v+St//tu//cqVR/Z2 - dp8gtxt3jWghYOEWIVO0KG6lUmrfd5t6dKTNr3/s36dnmbtSi2Q+kyrdFk5+fEm7PoVcbuFm6jtt - N7Xv8mq1KodL77v37z77y1/xX7zss195cXnOShdqlM3+dPG++z7+0Y/trS7cxsHdUgpdzVQ7iT1u - sdbpaiR50snDbiHpySO6H+90kDbzjE6tPdFtg8/uEsc7QHs8kqILmZRcPgz9skw5xy//2r+7Uj52 - yXJXxyGvinl7uMLkdrJP4rwNwzBN0ziOR0dH6529rhsOD45qrW9605sODw9rDUnr9bjZTJK6LpVy - IzuntN1uJbm7JDNz93ZJi8JcluU0wvLsr3cDorsBALiFtGjtNm9mpZSUzvM7SM65BeW2D17TNA3D - cI7R4Liz9X1faz3974L20fnsTgs8tdPc7mmaUkp935PbDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAnkEppZTSNE3r9drM5nmWNAxDm/lEWnvcJClZ6rpunufDw42kUv35z/u0d77znc997qdLWuZt - SsnMUkpHh9txXNVan76NAgAAAAAAAAAAAADctJYa1oKZUkrLsuScW/jXed3FskSX9ba3/dCDDz74 - Fa977VJLhJnFmUVcUoonGwB3ikhurlZ5YJFDIXmKJIVbVg5LxepDlz98uVx2lQjJTtK5dZzwnUJS - suNIaZdairMnuZtM8mo7O3nZzOPQre3Cs+NzvvYrv/FzLrzE9nWxHy1iLt3sunzl6FnPee68f3Rj - Uda3Egt1NeWTdO32AvKTFOrTOOqzm5fMH3OBSfIz08e7tR6cq1unx67vye8WpwnmCpOyalnS2F15 - 9KPv//C/ec0LXtsdrHJJntzNs7mkMG8PHm9B526pZVyvttsp5RwR0zSv9/a+8zu/873v/VlJXddF - xGYztcqlG83tblo8Zdd1pZT2q7ub2Xa7Xa1WOjm6ncbJ3SXOMw0UAACcCzPLOQ/D0Pf9OI52foZh - SCnVWsdx3Gw2bXDqtnH9cs5tX4oISRFB9DKu3zAM7Q1nHMe+76dpajsSAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAADAM8LdJbW2bKdtka4vt/uaYXye55Qkab3TP+tZF/7m3/ybz3vec6dpmud5tVot - y5JScvc2Pd+tAAAAAAAAAAAAAACcl1JKKWUYBjOb57kleZ9jzlffZ4XaeeNv+7Y/99Cv/6a7zIxo - 0buQm8IUdhLgHTK55C2PuctDrTWG+v4PvU87ZfElm0J6bObTcQVCKIVSWGoB1Z5OkpxrjpKm/XLP - uOePpBfe++AbvuY7Pmv94Orw4rpc8EOfD7apaj3uDHnnyiNHTxxXfZuwUIqkSHY6laT2IEtyN3fz - SB7JPXmkUpPXJDcdT+2xUz3uktMlb42plKTklrztG3a6sUonm29yKcKqrM7z0g25prkM83v/3b+c - u01KKdXjlO/TGHM3naTE45xtNpuIGIbVstSc80/+5E++611/u++PowBrrS23u+/7mxt/miZJ7p5z - jgh3r7Wa2Xq9NrODg4MWO2h2dz253TO9AgAA4Kqu69rHnWVZ3L3NnOP4pZS+79t3+NVqtd1u252W - Us7xXnCnav9RkHOutUZErbV9sH6m1wu3h2VZcs7tP0bMzN3HcWT/AQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAz6DWiLZN1+v1siw33pnNJZk0jnmaqklJ9r/+8Lte8YqXP/LII7vrdSnz0dFRzrmN - 3HVda4l77tsCAAAAAAAAAAAAAPjkdV0nabvdrlarYRhKKRGRUjqvqJ1lqV2XFFFr7O9v3vCGN/zQ - D/3Qc+5/dp0XKR0n55pfvUEQ6X1na89ve8ZTClVJclOOZBF+tBx84N+/39fFVcx0uhseh72f2Stb - 4HcLXA6TmxTKubcpRsv1o/a6V/yhr37lH+muXNipe12J1dCVxXM/hGyZlzHvmilFPY0Dv+2EqaYi - yU4eGE/SyXycVGr4mZKNFFIkmULtcXMpXTsNhel4qnS85C0yVTp9uzgJ4G6rmNq6uhXpJIY7lEKW - VFRtmH/14//ff3j4Q/f091skC5OFpLDkUsha8DkBY+ery4NXjeO61rrU+uEPf/jP/Jk/03XHx5dS - ipm1+qJlWVpo4A2N3xIG27SUsixL3/cppVJKztnM9vb2JE3TNI5jKaUd7+4Gd8t2AgBwu+i6rgXc - 1lrbR5bzTe/WSWn4drttod3kduM6RcQwDPM8tw/Qbf9JKbnfrt+T8SnW3t/cvb0L3VXfuwAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAwC3ITnRdt9ls2oU3119rmqqZhiG/7W1ve+CBlxwcHAzDUMrc - WniZWSlzSqm1mKN/FwAAAAAAAAAAAADcmtw9pbRardpM13URUWtN6XwitHPOpdTT7OD3ve8Db37z - d/31v/79V3O7cZcJySQdZ21LUpgiRalzrPzK9PHfuPLry6WtQlFlp/HM7cYmhbcs6jDJPOw4mbpl - MXc1Yuou9fe97st+35e84Kv6yxfGeXdIXcR2npfU5cVrhOfU+TKPKd3Wu2CYajoJ7j6Tz31N+nF6 - ijTkSE80vXUflTBv0d3H2e+SJD/J7T6e2skGmLpec63F5DZNw+aDD/3SSz77FUPabWOFJZ1mnEdK - kpuT3n2Oaq2SttttK1X61m/90488st+uGoZumkvOucVsny58E+PXWiPC3fu+j4h2X5JazVIrZIqI - uyo/7nyO3wAA4Ly0b9entdTnnqvdPg9FxNMxOO548zzr5LN123+o+8f1K6WYWYt+zzn3fW/AY6WU - TqenO8kzvefittEOSe3w1KbtsAVcj7N/OmyXsP/gybTvU6dfpvhWhet3+sYyz3N7t7mJUx24a7n7 - NTsM+8/1WJbldJ6/YAAAAAAAAAAAAAAAAAAAAAAAAAAAANzlTttKnG0x0brTtpnr/O/U1rW27/uz - PdlPO+X0vX3v937PK1/5xbm1W4ramuq4l1oXM4uInDP//QoAAAAAAACgSSmd/sXS3enLDQD4/9m7 - 91hJsrtO8N/f75yIyLz31qtffrQbw7SZttvYFrax28DaPAyYWTS7qwFpNYNAYnf/wGMbMMYsY1gN - Akb2aFj+mDEIhEeAMWDNsIxGo9HKYgfNrMRjeRnbDGb8gG4/cJt2dXXVvZkZcc75/faPkxmVdV91 - 73V1V92q70eprKy4kREnIiMjMvNE/L5EdCsYu4PHBzVXpX5yU9Vx+MlyT60UhQgEq+7m//gfP/De - 975XY0TQ+dDHrjWzxWJR01W/6AWiW5uv0pbFAIgroHDNUgbpU5j96V/8f94NiHkoaBoRhzjUUR8A - NaPaEjIaL2IFCFFyhgDR0Sa5S+7+1pd/12u//Ds2Fvdt5DMbGqX0Il6i9dJbMNHoLhGmPoif7lMa - LKAIDDDHMnTaoY4AREMsaAqarF3WLmtMggy4KySoKkRF6r04BFjd69ptffhNvzdzE0WfUQBtkIHk - kBhQN6SRLG9mcIMqpMEQ+488+qGkAwIcCqgtI8B1eXNdbZ90PDHGvu+bpmmappQSQiillFKSeWg7 - l5CK/8RP/ORHP/qXAOohZRiuhi7VkQ+Zfv31IISw94BVh7v7+g8OVdM0WB3R7rTfH+6glHIiIiIi - IiK6lY3f1VXVzOrFRXfat3Q6sfGytHppXCmlbVszW/9hiOggIYSUUtM0NZI5xti27c1uFN1yhmGo - OxYRiTHOZrOu607WG0p3IDOrO5bxQe0gudntotOhbi1j4nv9nDMOoYOISO2MHIZhOp2q6mKxmEwm - N7tdRERERERERERERERERERERERERERERERERERERHRziEgtK1FLlIQQcs7T6fS406kVcscKObUm - e845KMzwUz/1U294wxuaptne3r7r/Nn5fH7jl4SIiIiIiIiIiIiIiIiIiG6GGodRg1RqRoaZ1T7o - Y01HRW2VjuyOyaRdLIZ3veufP/jgC17zmkcmk42+79vYbWxszGYz5rbcCXa/xi4QL569STO5/NFP - faSEvk9D10lauASoqzhq1DeiT4XVAAAgAElEQVQAEzjQRPSppIwzW3GY5Y2uSfMUUnNB73vDV3/H - K7/06yfDhTg0IZlpVvUibmIuAMzEArIA8Gvink8jMQgAgTvE4Q4VBBc4BHB4QIBA61jiImYCwLy4 - O+pNBKrLZPRb+h5oA8yxtYF5DwAOtF3sZ6kJEaarTcvUsdxcAlAX0zD44uL8iUv50kTOw5v62rvA - AXUoC+F/EUop0+k0pTSfzyeTiYh0XSciO4teVYHyO7/zO7/yK79WRzY79vTrwagefWKMOecaXuDu - W1tbTz75pJm5e4yRuRgVo2WIiIiIiIjoViEiqlq/sY/Ry/VSJaLrGn8SEpHaS3GzW0SnSdu28/lc - VUMIfd93XcdfD2mXtm3rtgEgpbSxsXGzW0Sniaq6e865aZqUUtM09TOP6inve6RnRD0ejVUA6n/H - kzPoIPP5fDqdhhCm02kpRUQmk0l9A97sphERERERERERERERERERERERERERERERERERERHRTVAv - NTWzWl8CwPWuPK3Xg+8ujhtCqCngXdcNw1CvJdcAK/jhH3rLd/yD/6nv+9DGzWn31FNPTSYT9+OV - aCciIiIiIiIiIiIiIiIioluTqvZ937btMAwxxpSSmZ2gWPQyTWMV0TJfDJNJO1sMb//ff/i3fuv/ - mk7aCxfOLXZmKYnDY4zGbufblzpMAAfE4XAxQNVjkWwx+UZ+7PGPferix/W+YoYQQpLcjGEsvjqx - QeCC4ogt3FGG3HiDWZiU7i697+995Xd89Qu+IQyTvD3fmm5JQEmzVAY0uBrTrT0MLt1pD+4WB0wC - Qg2ugcDd4XAvZhCBCIoWAHmV0m2AACJAjesWqIqIpHT8OOVnnDpiQd/DWihQ0nIZ/erLqADggC8X - xx0atBRzR9F8cfHEX1/8xLn77u3yWbWmqLuMTxTxvcHydCS1Nn4IYWNjI8a4s7NTzzja2Ni4fGXn - iSe+8Na3vc2BGNV9Gbd0LOvBTHVGKaX6+NKlS+6+HnBQT5e6sQt46jC6m4iIiIiIiG4JNcmy/hZQ - SmmaZhiGm90oOjVCCGPKe43urn0MN7dVdIoMwzAGdauqmcUYx42KqIoxjkeo2ieac+ZPzHQUtde8 - XrZdH9cOjJvcLDolam/W+mX/Zubu3P8cbjqduvtsNtvc3Awh1E+GzO0mIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiumPVS01r1dpaWQKrojfHmk4trRtj7Pt+LHLijv/tf/mu7/me76nXApdSakB4 - CCFnlkAhIiIiIiIiIiIiIiIiIroduHvXdfP5vIakTiaTlJK7j/mpRycQcx9jEVJKcHz2M59/y1u+ - 772//MvDkEvx6bRV7fphrsLI0dtZMLjABMAyxls8iBvUcpj/ycd/z9qZaA6OlHIMYu7quDZj2wBA - YBnTVkOOZS7npvfmmX/DV3/bq/7O128M9+Q+BdV+tuMFbRdiM8m2ACCuABTqAtdicOB0l9AP2oiJ - WV69Ma3+2zTRzArcsgNQRQjaSERyOAQwd6+nfQAuaKV1gThu5Xtx3Qhti6Hk3E7QezZFv8gaADdI - zXdfpXeLOVAMTYA4gkKC9/3Ohz/1wYcfeFlXzgjqpmh67F0a7dZ13c7OjojUc5NijG3bZsd81gN4 - 85vfvFhkVeRsWKXkHGv6NZxbRJZHEKAeTcyslFJPi+r7vuu6Ov0bv4SnDY+jREREREREdEtIKdUY - 1PpNPuc8nU4Xi8UJfmKmO9CYnlt/xqybTYzxuD8t0Z2pbichhPXEbuZ20145ZxGpQe91t8MIWDoi - ETGzGh7s7imlpmlyzrXTguhwquorAEII7N86Inff3NzEqmswpVSLINzsdhERERERERERERERERER - ERERERERERERERERERHRTZBSqlnapZSmaUSkXv19vefVa3t31zDJOU8mk8ViUUqZTNpv/pbXv/Wt - 3x+jupfNzWnf9+4+nXbz+YzXtxIRERERERERERERERER3R5UtdYYr7ndi8XiZNNxeNAgglKKiAAo - 5hDEoH/wB3/8Mz/zM9/3/W/e3NxcLGYAYmyY23IbE0BhBlM3EygEiKFoUS06PDl8/i8e/RNsDKX0 - KChACABgMAVWpzQo3EQghigoM+sknmvvwlPtN3/Vt3zNC18/nd2VZrKxcTZLmg/bk2ZiUMsmquoG - AB6ADrCicyDDO/hpLYTuAkMycXOIIghUo4gIwjAMqjFCJIQa0m0FxWKXmuBNgLiKOkwg5q4iBhPU - IbfsvbiGPnRRS5cvXbwYNqCduVjbaB7Gc12W6d0OuJgLRCQYompRw6T8xWc/uGi+fVOyOoDsYgbs - iYen48k5hxAA9H2fc97Y2EgpFch0uvHD3//9H/7wX8QIK8uR3f3atX2krKVSCoCu6/q+X00E6w+6 - rqsZK7Uldziev0VERERERES3ivrVvZSytbW1vb09n89vdovo1BAREam/Co0Z3oxepqMbt5/1Kypr - NxVR5e6qGmMchqFuLQDqhbg3t2F0KtRj03j9dt29cOOho2vbdj6fhxDcvaZQl1LYy3W48cuFqtY1 - 1jTNzW4UEREREREREREREREREREREREREREREREREREREd009WrTvu83NzfdvV73HWM8bomS8Sm1 - CHsI8pKXvOSd73zntGsAyzkvFgtVNbNhGOrF5kREREREREREREREREREdHsIIeSca8dx7Xdu23YY - huNOp1hpu66UUvMRQoyqmocBjl/8xfc8/PDD3/ot3zSdbm5vX24aRnff5sShQBEAKILgACK8WLRP - fe6TT+Unhrjt5uJou24x9EEhwDJc2dVklfJr6CaaZoYeDboXPu9lr//Kv9cN5xvbNBdLKDlvTtvQ - NvMrfQgBHoBa6jzCAwQGxemPa07FVRGjiKuY2EJQBBmbzflgURGDtJM43ZxubW6e2Wg2nrV5X9Sm - DVGb2GhA0CjqKo3GWyGc+7rR3VqCBLuCJ//zH/+nx/vPzOeXtUMaTAFT06tbBxwKRwjm7uLqBSZA - Ux6//Nm/ufzYXXI/AIiZ+DIgvm5acqQYadol59y2rZmJSD1MmFk73Xj/+9//7/7dfwCQMwSIUQHN - OQPHy8epUSkxxprbHWOsgfR1YCnFzJqmiTECGE+UupMxupuIiIiIiIhuCTHGlFL9sWB7exuAiNQf - nW920+gUUNUaYFl/CQIwnU7n8zl/+qGjcPeu6xaLxXhtJPc/tK96WWzdPMZE2JvdKDodxk2l9n/X - 7SeEwE2IjkJVh2Fo27ZuMPVodYISAHeaWs6grqj6pjMzljYgIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiumPVa06n0+l48elxikvUy1QNwPgUVbjjK77iK37hF35hMpn0i+1u0vTD/Ny5czktc7s3 - NjZqwjcREREREREREREREREREZ12i8ViMpmMhY5rGeQT5HaHIKV43/ciUjNWS861ZHloNGX7Jz/6 - jode+OUPPvjgxsYGi1HfCcQBwAUONyC6KlB8+LP/9qfeDVk8AA1QE1hc6lPMEHV5PoPCLQSkuW11 - m61t3dc8+zu++R+GK5NQpo4Qo7qnGKN7WexsC3Qy2ewXBihgJgZNgKl1AOrZEadXE6AagkVkkV4n - snm2vfvsmQtf/twX3rNx77PPP3DfuWedndzVYoICN0llgEoQdYE46j1UxK8Zcsveu0hq+m35wqWn - Fv/lQx8omrLNLZrX1SEGmAEuCsDENKAk67wR98EsxUHb8JFPfOjFX/4qcZg4JMMVokA+/UnuN03b - tu5ewwWapun7fmtr64/+9IM/+ZM/KQI3AHDAXUrJIYRS/FjTd/d64lMN7QZw6dKlmuedUmqapg4E - c7tXGN1NREREREREt4Scs4iklOrX+Jqxx5+A6Yjqj001tLuqF62NvwQRHa5uMGOGrqpy/0O71L5P - rDaPGro8DiQ63LIjc5XYbWZjTwnRdZlZ13V93wMQkbrlcPu5rrFigojUrxhg7yARERERERERERER - ERERERERERERERERERERERHRHUxEVLVecCoiZlZKGS9E3U+tJ7BPDeJaRd2B53/J/T//Cz93/tyZ - oe9jCO6+ubl5+fLlzY0z0+k0pTSbzcai7UREREREREREREREREREdKpNJhMzU9VSSq00frKKx6X4 - dNrN5r27m5mZQRBiLDmbGRxXrize+L1v+s3f/M3JtJ00bSnphi8L3TpcDIC4isNFXOBiRYdtf/Kj - j32o38xoYQM0yqLvXcTgCkAgyPCojqJwgQCeIEN7xu/7zv/hf21nmxem9y4um3nW2HgxDSg5q8h0 - c/PK5StNuwnABIDvOUfiFoxgUDUVV3VAAJhDBajp464GQABxSIGaaooxb9zdPeuh5z38lQ+9+qEH - XlR2ZGLTxtqYG1wJWqKWICIlmoVlDfM6p1WywCmoZ+5ig6W2C3ed6R554es+9JE/C60+nv4qdvAC - dWD5EgMwCCAQQy6QaCKiQMloNvHRz3xkeNHQaFGHu6orAIi7FCDcvOU7xUopOee2bWumgEh44omL - b33rW5+6MoejaUJKpeYOrNIHjn1+US3CPwZ+hRAAiEh9EGOssw4hsD4/GN1NREREREREt471q5gY - mksnsL4JMbSbTmDcbJiHSnuNEd3robnM7aYjWj8qcQ9DJ1Bzu7G2LfGjznW5e4zRzNxdVUVkGIam - aXLOMcbajxhC6Pu+6zp2GRIRERERERERERERERERERERERERERERERERERHdTmpp2jGWu5a4rYVo - 6yWodYTrXLQrEIXXwgJe6zILALhbcQGe99xnvfeXf+n82bOKojARsQLAu3Zai+cwtJuIiIiIiIiI - iIiIiIiI6HZSyx27ewjBzHLOtbhxCGFv+fFDeqUdmM2XdaeXT3SUlAG0setLr4KPf+Kxt//wP3n3 - u9895BxERdzMNjc3r2w/ZWZd112tk19Ddq+JfWUJ/VPDxZJ6GdKknQh0USxGBdIQLv3po78/6y4v - bHBHELRdHGYpTgKAXMrWVPttgwzmMBUEFEeH2O0893/+1jc9EF4Yek2zQYKoB1gScTfR0AJY9Knp - IpAAVSzPiwCw2nJuoe3HZNU012AxWAgiOXgRBLhl79CEqLOUQ4M2yuwp34yxyZNuOP/C57z8G175 - bQ/e+7DMWv1bDQgKB8yAIIJgUHU4ADHH8qSQOq/639NQCt7RingSuyLPmTzvtS/+1t/6g1+dPHuy - 7YuJQwwOBVBf5SJQBxwxYkBRRzR10V7mj1766yflssiZjdD5ImkIIUifZl3butlqJ0P7MFFgmZIu - a++dlNLGxkY/ZHcZisV28qNve/vHP/HpulmlVLCKG1gdKQ58340l9OuJT+uRXvWoZGY1rrsaT1ga - B7IIP04QjU5ERERERERERERERER0ixv7Dt29aZqu69q2jTEOwxBjDCEsFouu68AuQyIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIqLbSC1r7u61QrqI9H0fQqiXlOaczWysUXtIerco3DCZdrG5WtzW - 3UUQAu46v/kzP/3T99//nEmrfT9v1sYhIiIiIiIiIiIiIiIiIqLb0ljKuPY7xxgBuHtN0W6aBquo - 1K7rDumPPkjbtn3fA5hMNgB84AO//f73/xuHllJUdbFYPPHEE03TTKfTnPPY8U2nmgGiKjGUUmDi - RQAMmGGSPvqpD+5gW9smqBqQc1KFiAiCGVJvoghBRQTiKaEVwWLy2q/8pgfOvGCaz8Y8bUIbtM5k - Lej9agyzAfmav17z+NYiDgBaGy8AYOYxxlI8DaXpUAzD3C9sbExtq51vPPKi13776//Rg+dfjIuT - jeHcNJ1v86aWTi3A1QQuXpfW5RZd5CMRm4RGMmKKmMVXPvTVd0+f4zms7R0UHuvaE69rTgGYwhTq - GkxdkMPw6YuPWVssl+hBXetZN3b8/RhVXdcNw1CPFCX7+973vn//H/7vE1TDjzHWMvv1mJJzFpHx - 6BNjFBF3r6dL1XvaFw+ZREREREREREREREREdLtp27aesFLPXHH3lFIIYRxec7sXi8VNbigRERER - ERERERERERERERERERERERERERERERER3TghBFUVETMrpQzD0HVdrVrbtm0doVZRH4uq76tWue3n - fR5KjQAXeAziDhG8+93vfs1rXuPuqqqq5vkZWjwiIiIiIiIiIiIiIiIiIrp5arljVa0JqcMw5Jxz - zgBq3eNSiojUBO7jSikBaNt2NpsBmEwm73jHO373d393MtnY3p7dc88958+fh+tiPoQQ6sh02qmr - uASNNSlZHIAl7Z+Y/e0nPvXJ4llEYBCHGUKAmcFLEHhG46gp1I2GtkCemr7g7hc/8tJXn9s6Uzy7 - QD3AwqkPrD0gWlsENcy43qtACzC0urP5dS//77/xVW+4e3pvzGGrbaOg5AWQIbbMAAcAAXR1O7Vc - +36YTDbMVD2c3Tr/VS99RHfaJgEOl1US+yqvXRxiq0X2um6Xo/zVYx/TaMWLiABmZiEEZ3T3Ue2O - vc/J4OouKZVPfvKv/uk//XE4Dj1TaX8556ZphmEQka2tLQDuXgeqas657/v6YgFQPc0b89OMq4aI - iIiIiIiIiIiIiIhuN8MwNE1T87lLKbWSQu0+rH2HteDCZDK5yQ0lIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiohunlNL3vYjUorRt29aI7pxzvf601k8vpbh70zQHTScNuWljLUFca68DKMVjxHve - 84uveMUrFosZYDnnlPs6LyIiIiIiIiIiIiIiIiIiuo2llMbO6Bqe3bZtjLFWPK4Zt19k2K2IDMNQ - yynP5/OmaX70R3/00UcfPXv27KVLlxeLxXw+DyFMp1NG6t42LFkQERGIRYlm2brhw3/9wYXtxDZa - zjmbKkSgKqVYKSUqokNr4Le5OiY2OZPu/caXf9uFyd3BkM2gakkkyxjbfErVOHOIredMAwghDEOJ - MYYQUo82xmnc6i+Wr3zwa7/24W+6t33e/G8XMUOK9bMrmxtRJCny8skudrsk+ZbiMEQJUbr+cv+K - h7/qQryvKxvisFVQtAvgUAMcqAHeVxPMrQ569DMfL6F3MQla4O4uEtyPnzVNAICu6/o0xBj7vn/j - G9+YM0IQ2z+G/jrqiU8hhO3tbRGZTqciUkoxM3cPIdSTmurpUjd2KW4nt8kbnoiIiIiIiIiIiIiI - iKiqJ6+klPq+B9C27TAMAGKMZiYi8/kcgKrmnA+fFBERERERERERERERERERERERERERERERERER - ERGdIiGErusA7OzshFCrWwuAWru2XlsaYwTQtm1K6cAJOfJQ6mgAQhB3tG38iZ/4iVe/+tWTycTd - VbWUcuHChXrtKhERERERERERERERERER3caapqmFjseu5/l87u41P9XMuq4rpdQ/1Y7pY3Gvcbky - DEOdQkrp05/+7Pd/3w9cunRpOp02TVc7xC89eblrp3A97anMBMDMBEFEzKyVAClpsvjgJ/8QnUsU - M1OHqrrB3RUQRxCFB8/qoiLi89IsJq/4kq95xZe8pukbT1lVNTZiEtHKbbGRuJiJmcBWodPuLg4R - EQkAvHfN7X1bz3vdy95wAQ+0szPn9EJTYijWRvEyAGWZXA0ACiggwKmPOp5Op/N5HyRq0Y1m42xz - /uVf9urJ4gw8mmAtelsBVVcF4LpaE2ZS12j+wuXPzfIlD15Ps3F3EQVOffT7003d1JeJ3L52my3m - Z86cWSwWP/hDb//0pz+LGrJ+fIccd+rhoJRSDzf1v3QQbsdERERERERERERERER0WymlTCYTACIS - QqjdiiKSc97c3ARw/vx5AO5+gvNXiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOiWlXOugdyb - m5u1mjAAVXX3Wrt2a2urlkp3P6wkbtM0dYSccwhSijdNeNOb3vjt3/4PmjbMZttNE0opTRsWi0XT - NE//khERERERERERERERERER0U1Wu6FDCNvb2yIynU5FRFVrenff9wBUNedcY1ZPwN3rFMwMQIz6 - J3/24X/5L9+9WAx934uErutCCDdwoejmChLF1QXFDGKm+fHZpx67/Giv82y9iERtAoIZ3BEbCQp1 - uEtxiEiQ6Dtyb/fsr3/Zt3SzrYlNxEVEshkgesrzanX3mR02DrdsbRtKSTnnadOmmWlqXvPSr3vu - mS+bpHNNmjQ2QfKoYdK188W2rp4LSA2xBrAW5n1auUkTuzQUL4jetMPkkb/7tdN8IVgDAGIuZtcE - eC8fODAOd7FZvvSF7b+BmslyL+TuAu5qTmgymczn/a/9xvs/8IH/VHfmAE6w604p1Ur79ekppbZt - a2n9xWJhZiLi7vVUqMVicUMX4rZyuneFREREdIPoMW9ERERERERERES3rhhjrXFQ+wtVddnLK7Kz - swNgGIYQQi2XMPZZEhEREREREREREREREREREREREREREREREREREdFpF2OsQdq1cG3btu5uZjHG - WkJ9e3vb3du2TSnVUrb7yik1ITYhupkVn07a7/zOf/iWt7wliHguk8mk7/t6mep8Pmd0NxERERER - ERERERERERHRbS/n3DRN3/cisrW1BcDda0R3Te9OKXVdN8asHpeqAmjb1szqfdM0OZs7fvFf/8oH - fvu3Q9uYYD6kmu197bMNYKXl00dco8SavwsxwLMsPvTon23rkzmkZCWqBIiVZWy8iChgbqahCACL - Hs7GC69+6Guff9cLfDs01jaIZlYsIWjxU79VqEMcgLuY16jpVdy2iHjNmUajPrl749mvfNEjk3Im - 9HHYMbHQxnYYhp35djvpljHVHsTjKhHcb4M3zjAMTdMEBDHxhU19+sDZL3vo2S8OpVuOIVcXUBzi - UACuAFywXKXiA7b/5uJjWdJyTBFfS/ymA4ldvS0poH0qH/7zP3/nO9/ZtrFWwQ+hKeUkUfH1xCdV - rfncwzDknN09pVTL748HnclkcoOW6jbE6E0iIiIiIiIiIiIiIiK6rdSzRnLO9VyTetrK+tkqIlIr - LMQY6zhEREREREREREREREREREREREREREREREREREREdBtwd2BZsVpVh2GoF5nWqrU1q1tEUkoi - sqeU+TXMLJcMQASvf/03/NiP/VhKg3sRkcVitrW11bShlHLmzNZ8Pn8mlo2IiIiIiIiIiIiIiIiI - iG6eGKO7t21bO6Zrv3Pthq65qjHGK1eulFJqwOpx1YnUjuxhGGKMKS1jdFXxtrf90Mc/9smSPcbo - LqyrfFsxM0Cimg5Z5h/9zEfSpNdOIMti2lcrbBerAcHaNh60lKIp3Nve/+qHXyvzMI0bniVKK+4i - olELVnHXt50QtBYhV42WbLM5c/+F59939n4sJGo3baYKyaXENrRtW0pxKDwACldAxU2RFac+nrpp - w2w2m250Xmyz3fAF2mHjlQ89EnOnJQKo8eQmexPKr+5DTHIKsy/sfM6QDMXFVNUMzDs+Kd3Z2fnH - //hNfV+GIasolu/yY6tnQGHtKAPA3d29aZp6rDGzEx937hzclImIiG5D40el8b+jA55h+95E/IA/ - HTjffR2lkU+39ZZcb20cdYLH/dPJZrrrWXsn8gyvSSIiIiIiIiKi08Lda09kPdeklFLPaMGq5oK7 - l1JEZBxeexbr8JvTaCIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6gvH60HX10tFaG11Va530 - OrCOUC879RVcW8hrvcxXDNHc6v++7ute9853vjP3QxuXRY2bplksFu4uIsOQmqZ5OpeViIiIiIiI - iIiIbnNHSJQgIiIiolvC+se29Z7iscpxjHEZsQwAGAO2VbUOP/wjXw1pro9rB3dVCvq+vOlNb9re - 3h6GIbTNUCznHEJQVTNrmibGWJOMb9jS0jOilFLjeLP1WRdPDp//q8c/lnQx2CC6jPt1FTMoAIcZ - YpTL822oRO00NV/zkm+8Kz4nlAbmgmjFFSHA+9x7xCGRc6eCAAKYwMRNrp4sYmaqcC8BgqJpO7/q - pV+TLpco0b1kZBdDQIJlcQ8RHkxqbnedrgEGOfWlyB1ou9j3fdc0Q993aLs8efhLX3I2XtASO41w - SJBidXdkutoeHOu55SZd+dinPlpCMvW6G3F32efcHLqGqorI0OcmdghxKNZONvqUfuAH3nrp0uU6 - jrkJBO4n+MJfz00az5KqO3kzq4eSelipx5f1Qw/txUMjERHRbah+VKrfpkIIvgarb++65qDI7X1P - ST98vvs6pJFHjPoe23ysvqL1BVxvyeENO6LDn37Ich23x2tvUw//LxERERERERERHVfXdX3fY9XL - WEoJITC9m4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOiWJSL1+tB6TWhKCUAIoZb5yjmb2ViR - /LrVutq2BdA0zVhLPZfcNMEdL37xQz/7s/9qOp1MNyY7O1dOe0lrIiIiIiIiIiIiIiIiIiK64WqQ - au2qXiwWW1tbAESkVjyOMZpZKeUEoWAAQljGXX3yk4/+0A+9XRBUYghhc/PMbDbLObdtO5/P+75v - 23ZM/qbTop7nUEqBuk+H//aZ/5plUXT1OopBrr6m4ogB7t62TSnWWHvvxnO/4vmviMOGugIwqMnq - ZAkxF7MTxAWfEiJS33riemZy/nx798Q3BOaagFLUisChDjWMud2igLipQ1DfjKf8LSNmKCYGmDrU - NVgT8+SlL3h56COSlwKIhwARr/sH8brICocJBIDYIP2V9NSiLAqSwQEV8fVtj/aVc3b36XS6s7Pj - 7iGEnZ2dX/3V9/3e7/3BYjGoaBMbACISQ1Q5dn50jW4cHwMopYxD6Oi4yoiIiG5P7p5zbttYSolR - xy/PWEVB25rrRm7vDaHe93Zdu8Y8etS3uwPubrv+uprg/tYXcH1ZDm7e9alqCKEmgh+y8q/r+itr - v5Dv9fzvsSWHRJ4TEZEyxY4AACAASURBVBEREREREdFRpJQ2NjbqY3ePMWIV401ERERERERERERE - REREREREREREREREREREREREt6au62rRWwC1MlgIIcZYc7hDCDW9+/AiXe7edd0wDACGYRCRpmly - ztNpV1L5ihf93ff9yns9Fy9lNpttbm4un7ZeGttrcWciIiIiIiIiIiIiIiIiIroTmVkIIeccY6xd - 2JcuXaop3SmlEMLYbe3uJ8iZysUdcACK/+d3/sv7fv3XLl68GEKY9Yvp1pkY4zAMtbvcvbiXG7t0 - 9HRT1eJeSvHofbPzZ5/84yT9MuFsubEsz08QBwAR5IwQJXiDeXzZ33nl8849GPpWHZAMYHkOgxhg - fhtEL/uYRA5fvXvEIV6ju11E1PWurXsuTO9pfQK4yeCaTNwEJjAEYJXbfTU7zla300yswExW20td - La6htC//8ke2yjkpIQpQF9VMRICrZ7y4KFYrJEt5anFpu3/KvdSsQObiHUUMrZuYIcY2hrZk/4u/ - /Mt/9s5/vuiTA6GJ2YoDBs9lMM/Hnf76UaOmMdaw8Bu9HLc/ntpFRER0G1JF04QxWjpnK2X1yVig - eqSY7XV7g6f3vR1hOteMeXgE+K5G7juL1QSPFI89fo4/6AP9USK3zayUUhPBr7u8uwPP9xt43bP5 - 97ZwfFBbcqw4cCIiIiIiIiIi2ktVa5/x2ONYCs8vISIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IrrViUitCVYreplZznkYhqZp6uWipRR3b5rmkIn0fa+qMUYAtXJ604R+3j/7Wff83M/9XDdpz5zd - MsuTSbtYzJ6RxSIiIiIiIiIiIiIiIiIiolNDVQHEGPu+rw8A1L7sGGMpJaVUH4cQTpAzVTvEY4wl - A44f//F/9uijj85nfc0Fr1laTdOMZZZv7NLR08rFTJYxyYj2RP+5x578RLa+ps/VRGYTuFyNmLYa - 4J0xle4M7nrNS74ub0sonbhglW/tsoxzllMeayY1cNevpu6aLAPNfRndDRERl7vP3r3VnMOgAkDc - BAAccIhDfZnb6xAXr7nmcNHTnudry2WsrzjGJPJg4f6zX3L/2S9tSmgj3GGGUjyqroL0DKgrVtXh - AguY5Z3LO5dMl+XZRf3UR5s//WqGYN29b29vz+fz7/3e73VH0wQAwzCs75aDhuNOv+u6nHM98anu - 7WOM3M+fwOl+qxMREdG+zJBzMcMw5K5rQrj6Ial+At717Vt1/1vN+T5B1PcuBz39oAjwMcN719zr - 470h3wfP9xrrEdfH+gHioIDtvSHcu8bcFfutquvNOErk9pg1risHtUSVn+uIiIiIiIiIiE7IzEII - ALqu67oOQD3j5Ga3i4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIj2V0qp4dyqOgxDLdIVQhCR - 6XSac8aqHnrbtrUM+kGm02nN/J5MJgBEJKWytTn5jff/+nOe++ytra3FYgZYKaltWwAQg+vVG059 - KWciIiIiIiIiIiIiIiIiIvpilFJyzrW4MdbiscYHNX61dnMfl4YGgpzzmNv13d/93RcvXjx37txi - sVgsFl3XmdlisTgoaYtuZS5mKCE0JvbJv/3YQi87LLqsp26vimUrABM0MTZJ4yy89Etf9eyzX1YW - HrVRV3UVGLDM7QYgrnqq07td4ao1khzwZWq3iAMCh4kCMHe56/y9bZnIoOpYLrILEOBRAPF6boeJ - GyQDMIFBbPmXU8/WlqII4O3Uzr/oeS/zFMXUHb6WxKf1GTBAx1h0j8ieLz71hIsZxODuZlaWId90 - gK6bplSGIQ9DbtvuB3/wbY9/7m9VkHKpIfM1ebAeC46f3I2+7wGM+d/1IFIH0rHcDu9zIiIi2ssd - qmia0PfJ3WPc56A/fpE22/9Wc753RX2f4Jv1rqerIgQJQXblcI+3GuC93oxxOuu3tUXY365g7L3R - 2lhLxT7kJ4O9Adv1WXtDuA+P4jazXe3RQ60Hjdfnrk+hPhiX6Fhh5EREREREREREtMt4zkrOWUTM - TJX9aERERERERERERERERERERERERERERERERERERES3qBBCCMHMALRtW+t6lVLcfT6fu/vW1la9 - gPTwIl2qWgvaNk2zWCxqBbC7777wS7/0Sw888EApZT7fEfFa6DylxJLERERERERERERERERERES0 - zt1DCDFGACmlUkqMsaZNAajD+76vD04QrV1yFokhNO4QQQi4fHn+9re//fHHH9/Y2JhOp8MwiEgI - 4fD8LLplmUBVk+ePPvbnpcuq0CI1ddsFLnA1F6hDDcUAaEhoh+6RF/93iy/YtNkUc7EoXrO9zQEH - gp3y3O6R718t3N1V4e4B4a6z99gAWBAPANQCoOIqy/BvA7Kg5nYbYC5waJHboQ75ciOBAlofA9Kk - jYceeHHjXUoGR4wYT7OpbPUUABB4gEf5/BOPi7iIwNWdud3XN5vNzp8/b2axbd/znvf8zn/+f2vw - IoDYNLXQ/bjaUyrHnb6IxBhLKfUkqKZpcs5d193IZbgz3A5vdSIiItpLFapaP2aZoZTdn1/rF/Ca - gS16nRtkeROFhi+qYTWTuxQvxXdFcY+3GK/mItWo77G1uwaunrK/MdP6kHTt+gtFjcQ+KAJ8tUqv - xnsf/hNDCGFvFvj43/VkcTtUXF8Ra+f9r095fRmP+VIQEREREREREdFSCAFA0zRj/+XGxkZK6aY2 - ioiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIgOU4O6+75vmkZVx6LntTzX9va2u7dtm1KqBdD3 - Vat+qWpKKYRQn/uud73rq171ytlse2troz435xwbDXFXCXVlZVciIiIiIiIiIiIiIiIiojtczaIa - H4cQanFjEbl06RKAGuydc67p2sedfs1/LaVA4I6c4YLf/f0/+vmf//nPf/7zk8lkGAYAbdve0MWi - Z4iJAebuKfWf+OzHBpmHELxcTd02wTUbjSKlMkXz/HseeODuB30egwR3DxbEgrpC3MVcIEBwPXZW - /C1GfO8SKLAMsENAzZ47d+acJe+0U4viQTyqR7WgXtO5TWBAgRRIcYFBi6hD7ZSnd9eg7vV08yJw - aZrS3X/h+fecu1eXZ7aIarwa3b3K03PUuHdkg8TwxMUnAFuL72Mu3nWklIYht5PJH/7hH77rXT/t - BlWtq7eUUs9KAlwVXdfI8d+N7p5zXs8oXD/i0NEdeOoYERERnV4iYub1M+6/+be/fvbs2bZt3V0h - xQ3mElQhBk9LAwBxuFxzX8fxYkNOeUjZijigIi67xqz3ly89te9wxXL84lZSHnIqKWcrQXTf8UvK - qeQ8pOKmEAkK89pyqCgEKjBPJffzxZBT1MYBAXbdw72YlZxTzlaKudfhKlIfQ0RF6ji5lH6xOGg6 - ogr3IaWh71POcK/P3Xf8+WxmNZe75ooDKlJDtus4xcxKGVKq8z1oOnBPOeeUcinjEAeCajGrbahT - S8MwpOS2ewq85/3td09ERERERET0dCilhBByzgBCCKWUvu+7rrvai0xERERERERERERERERERERE - REREREREREREREREt5gQAoDpdLp+lWh9XIuei0hKSUTqwH3VMetVpaUUEfzsz/6r1732axeLxZkz - Z2azmbtPJu18Pk+5TCaTUsoztXxERERERERERERERERERHQK1OTg2pscYwQQQhARAFtbW4vFomma - Os7JKh7nlOqD2DR5SAA0wAy/8PO//MKHHv4f//63bW6eGYYEWNd1h/SP061LpbfFjuw8cflzi635 - pGn7RQ4hGgwCoN4BMAhCQFnYBs698P6XTPLmZONCv+gnTVeSLcepU9wn8fr0cYGLm0Bcrl0iFbjD - VcVzUI/TbsNNYmiTzWuOtTi8/oNr3neOMeW6Pjjddchr6h+gBrhkiIsEdRULZ6fnn3vuSz//hc9e - weVFKU1ANoSrGd82BoKpAwWq+uTi4tD0oSSx6IBIYEj0oXRr6+xs0V+8ePHNb35L08ZhyOYWYhzP - L6onJrkjpXSClRlCGIZh15Ab0vQ7DaO7iYiIbkP1azbcATznWc96znOf5V4AqKsJ1NUAhZlg/JoE - QB0mvL+D7j2XPqcypGQlQFxFzLNblLqdoMA9l6FkSzlZOWg6AZKsWMpDyZ5LgaujTq3+1QRiXuAo - lt362Xzf6UTROsc+p9wPdY6uctB859s74zTHltT51mdlNxS7bvtRsBiGklI2CyIuArPiHlUNEHcD - FMhmJaUhZy+lDtl7n0qxnPuU6hTqcHF3kSBSH2ez+tfFbLbvdMSAoOpIVsqQ+pw8l3FNHrQe6mtX - l72OiWJ1Pex6HQ+aDor1OeU+Z0cAauvX2+aCKChYDg/Yf32K716iOmYdPj6rHLAO933uNdvtAd8e - Y0Qp1/xVZPd/65DDv3+q7h6nPnH83VJ1OZ3j/pIpAuDAuR/rr3LwetAgZn7IMopAVdz98PbvXQ+7 - mlFXC3Ck9bD3tTjI0/FTy/jS753+4av9kAmerJ1Hn91BY+476xO3Z20Ky5fksE0HEJH1EXb994Y0 - YBd3qWrbxtmFEHzluLM45ClHXw/jaGPbjtiAOua+7b/u+tw7rxCCHf6GP6DlY/uPOOYuN+p1V9Vj - vYiAHfE12tcJnls3v7Hjan1rPPp06lNO3AF2HFd/2953yzzZ2tv1rL3bxo3aHo7eBhz6fhlX+BG3 - rn1Xywn2b0ffG5yQPCNdNUc/ohwwpqiirocjvkGOOf6xHbQ3c70xcxynf8hn0C9m4l/0dA7fMvdu - 6uvjr7/fj7iF7/s++uLfHYe385Bn7frvsfbD6zPdNbuDP7cc1J7957v3k9X1pnNYa3Gi/diN+BgJ - rH1BAA6b4K53zCGfeA+bjtQvq3uHm4ZgpQAQVTdbff070oKsG3sxxwfuHkJIKelqr+Xu9fEY9R1j - NLPx0wIRERERERERERERERERERERERERERERERERERER3Vj1uk4AtdpGLbtRi1fUazzr5Z81t3t8 - Vq1Fvuva21oMF4BqrJchq6oXE0AVZogRP/IjP/K6135NCAqzlHpVAJJSijECsWRfr/Nw2ss3ExER - ERERERER0S3oRpW3JCIiIqJnTK1OvB6nWjuya+92LZQ9lo8ee7drF/aRilSv6tXntAxytgIAKvqO - d/wfDz74goceemjaTXd2rkynWkqJ4Wq1ZMfuws62p5Sy8vPnTdWgndt8OJN//7/+nrdlMgmz2RA7 - ZIdLFGQAoUSIQWCKvuBCt2mf23jkha8P8yDmkDDANELdBCUYIKFG0pVnJuzgaWNiFmBq8CgeAVzN - 7y5oYugXpQutDM3Z6fngYeh7F/PdS22o7wWpiViAqwImBkD9FK8iBeAwh3sAzDQpTF1gUSQs+vCK - B1/354/+uWxtN5Ni1oeIMclcAUGGLKPB2gL19Ln55y7rpU6nkqJ74x7h5fA23Hb2nBckhtV+Pufs - 7l07TSlNJpP5vJcASPiBt77tqcvbw5ABTCfT+XwOoNbKr6cqASjX29DGo8N63EApRVVzzmOp/PpX - VsU/LkZ3ExER3ZYUYzyRGGC6OrG7fstVAKhpx4a1r77Lv/L+zrhvJm1TQmmbGjpVP0/Xn2lwbXLY - +KsN9jOGI66HmY2/+OwaoV7zcNB0xjmO1zOo6vqFEOuapqkT3JWpuWsRxvnWbw57hdCUUsavHGNr - x2jVsWFmVkpp2/aQ9o8pqusBn/uuh4PaU4rXBR9XxeFfcmKMdbRdYXJjA8bvUYdnWDbNcj3s2h52 - RavWlVBKGYbhgPUZ6jg55zpmbduuDLNxmleuXNl3OnW+46RyznU6B31/TCmZWR1tfRZ1/dRJrTfp - oO1qXMY6cp3p+vYwjjNODUBNkFu/Vw1mpRTLOeVcSsnucDcR3TVmvb98+Up97G5rw7HaEiTnIaWS - 82AGwNxl37jzlK1YKtnNM1whZgWOohIdxU0gBlfznJMVS2kodcjee/Ncco199xoNvz4dURcER7EC - 8zzbGfYsEyCQfdYNHPuug7rEBwx31DU3rr+6nq5ZW2v3QcXhVoAxsK+2R66O43ZoS1btF909r7oR - 1JbsmsK+y3v1ueOx+cjj7x6+Xzt3TfPq/X5nV9Qg811pjofuG7A+2iH/3TUXHBC4uO+fDp6Ur8e5 - jvu0UtL1m3vNTGXcecgK1uIYVzO7Zkb7t+baxh/95JX1McueX8JE6mt22ORWIZfr7b+6HtYPOget - z/2iMfePPj36cq0fcQ7arx60Ps3yvqMdsmntCiUdj7wHzXfXdn7QZKvxyHvQHA8/jB7e5hM88bjq - p4x6LHC/elwQCfWo4V72HjX23o/j1yGrZ12zOE+Hgz4X7ZtPf92N5Ojt3DXm+BY7ZHved+J7N876 - aSqlI+yvrnsqnNjBEdS79/LLz8O1/XufdfiMrs2fFhE/KOr4oP3MrvFX1zbbAevz6vhrH1xXc7jm - ywV2HRKuR2oP9MGf946w2q/u3w6MfN53xyriB/9138nUz73YtYzuR33dr5n5NceCw98Lh7y5dh0g - Trz32zvNQ6a2Hop8xHbua9eXr+s+ZVfDxv9e87occ+7rjw+JDF/fpexdUXvt2tWMA8eWH2V56+62 - bmtHeWEP3wyP9blozyfAZXvWt/rrTkdUa3T33n2ClSKrb7JHatBxmFkN566zrptHKaUOrFuvqqaU - mqapSd43cO5EREREREREREREREREREREREREREREREREREREVOsa1cv56/WkY273ZDIBICK7crv3 - 1bZtLZ20XujALAsQo+ZsAN74xu/9ru/+R13X7ezstGH/eghERERERERERERERERERERHoaq1jnEp - ZTKZLBYLADVPp4bj1P/W7uya5H39ifpal7djPu/f+gNv/7e/+Rvq2Nw8M5/Pu64rOa/CeelWJ67i - YgFDu/j0xceK5FxK0yI5vGYqA+IQAA4XuKBV2AJf8uwXbOmF1lp1qCqCWskuFg0AgqmLWk2v89N9 - /oNLvhq05wLABfVhqeE/ruoSEMTNpfhy49/vLXDtqjjVod0jcSi0LNeNAS4OOMytlfZZW8/bwPlL - 5W9SRlR3Wa2XmnAkuT4WRwDESy/9lbJ9DwbRDRR1l1WmCUFVp9Opuw99NrOUEoIC+i/+xf/5R3/0 - J+OZS/P5fDxJ6VhKKeMZULUM/hjaUqvf740XpKNjfgARERHRHSqlNF6BsJ5PtutT9fhR+6CfZsYr - EHYFM69/Rt8V27yvUso42hh8JavAv73GH4/WZ1rntSsdrU72oPSy+k2jBoqv55/Vn67GZawtqb9b - Hb4g4xT2neN1v7qoQsRXkX8eglyv/WMk5DhCjYi7Gts2zu6Q+abU19Fr2BngIlBFCFIz0VbPVTO1 - /5+9+4+VbT3rw/59nvdda2bvfe69x9cGG+dS2wRUfiuVCkgNRXVpJFAjQZo0kVLnj6oN2MaAXSBx - A3Vtk2uQIALVNoUYlPDDODFQSEpSWiQkVBEJpCaliFJIDYFQoLHre+85e++ZWet9n6d/PDNrrz0z - a84+5+7re84+34+OlubMfudd73rXmjVrZq31fi2dnBztrWcckjdOv9tKwhvKTK3fcbOH2kQEE7ey - xPoa57jHLHaX/fB8xxvPeGUNEeZDPTG79X93oq9VMsQi7jqeEaSpkOzhr0O09nq6WeoI/I7schGV - qcBnuGqKJHfA41URGZ5SHjpyqM2sqqa99YjoECI+zDEyLt1tEyUpQz1N0+6tJ8qb+RBhHm2bmu/d - u6fYiTAXie15XdsQiG5WY4l26+n7EtHpQ5mhN8Ylay1RZrXq9tYTLdlq/9Cf42WMkkP7t6ZR3swj - FL6UPuqJ5dotf3Z27vtiyYelGILha7Vay8T2sN5KSylDFP34XTAYIu2n9vPxhhpS7UsphzOM7969 - uzuj4V05quceSaBNk8ys1ktxju6ucilFcjoQcy0pzDbpjaOm61Sm7VQk6u4zApHJBkxFmMfzw1/d - 14V22xNyTrXW9VxG7ZdLaZrum93+1I6+aVL0/0WD3Q98ON/zT+4X+2efbv/Uao7d+fAZYVdo/0U/ - rOd6qN/c/b5+JvTtg4dNpOhmYeXyhje19Q5hu1sduDevdLfYgfpFoCpDR+2+AgAunQAwAPAKQC7/ - VQSbPPtD5QU+7OcE4hjW0Sge+GDk/C6ZWMNuE/ufnVeto7VtIlp7op1T7RnuyLXokMiynd4OxUWA - 3aUezzcqqXbR/i1bLxYI5OL4ZJzCu2m/+MR6397PbCXZ7iz41PamKsNeetgvHVi546jdrXouagDg - blYPzHd43n29E9s7y3tuZsNh3fA+9jr5VgEgsicSHluHi5vk34P17GRR72Qhy8jU5+xWxPiw6m1i - O5+ym8R8OHp8KrJ6+KTZ+ip3INJ+1Abfen4UJn2P9m8dh9+z36YMqc3Dd9D1fmMqQn5i/zx+fmgM - DkZxA8PedU/NOyU3O6udbz17y299K9xaa8PK2q12y9TnyNQOc2ophv0npreBy/X43mL3PJDbrqfa - 3lOzbdP2fS9+8YaKb/FX/P5+FU3TjI5bTERyzvHTAYBaq6o2TbNcLmNwByIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiK6Rn3fN01zfn5+dHQUd32enZ2dnJxEpDc2N0THLbfjwaN26wEwDIYOIGc1 - g5uVYm2rX/M1X/OWt7xFVU9PT4+P57Xr99ZDRERERERERERERERERER0FaWUiF+ttS4Wi2Hw8OG8 - 9q1bt87Ozrqua5omTmrfryY3H/vdj33Lt3zLh37wh2qt7l5KEQB4tNOaHx8uqA6oVzv/oz/5Xfe+ - 7zGfadcbxEbrcT1GtxgkwZb+uV/8eU1qvYoZkGBmEfI9chPilhVmqIqqWI9av87thkLhUI/LRYCc - IFbg/eO18fs4V9svYrbF1LUCr3jylU8e3/5/kcVWktTMoNgb6KIKGMzs/PzUW4D50Jep6nK5LL0d - Hx+r6mw2u3v37PjWk7/wC//LRz/60Ris3sxier8hCyGufYpLm9bRVu6lFDNr23YI9t4NGaSrYHQ3 - ERER0WNqK1Z5b7LyOIsrwq137USy+VbNuPwlauqWhvEB/da9EAeWYjeAeTc6ercNYzGvrX7A5R64 - SGiermfckr2hazspjPsjzaIeH8HlDLap+W7VHOtraz0e4OuI6It+iMcR8S6XHbg1Zdw/Q4Dc1nSq - i7bq2e0rESk+eUvM0FexLR0OETzc/t213HXdUGDYVjcP9lRlVuC2/jFCABii5Prx7lT2lQEQabEO - QFNkEMY2uT+CrtQytFN02LCl1ov2AyYimqBJNxGZ21OzEpGnAIA6xBmLRID0KApRoa6OichVFQE0 - ScrNDM2wLU2t+iefuoWJDWPYJGL7jJ8Gcs4AdqPQkzbmxSr2RqcPjx21FjcvObV7I9Ujgj1KxlSQ - RN0qxiWHvzZ5treeWnwc0D60KmkzFeg+lHETUY/HViHq0YaoJ5Zxqp5IE4y+Gr+Rx70aHR6/nq/7 - c8fUHn5qf7iVKznef47f1ENVU+/H0q9zx8eh4+PNwzdB4HFeYWq7Wqe4bxLKhyaNP2XGe8uzs7O9 - 9cSteqWUvu/7vh9qm/p8PDs7G+/Mtz7jdts/9avZkJi+1Q8ppaEnx1WtVqv9/VlKzGho+fivu/1w - 586dvfWMQyvrRvx3b/nz8/PdDyMZRbH6OlD8oiv2zrbve1WUYkPm6BDOOtHO7aTtTRLt/vKRir1b - 2zjD9QofpxeZ33sL7879QJ2q8QbxocKLJPt9hffWtjdufMgX39vCYUl9nZgcHXc5mXr4aF6HVm9P - c2o2+ygbt2oqB3mqniE0fHihu8Mny6vokOo9bueUrQhwEagkUS9l+hyS7FkIX4edC8QFOt5v77ZT - xB1b+8n1nnO8/V/lEG4rA37zAS1D+7eyvafqq9V3U7MPHINPRe2ON9ErRt3vznS8EV6lkr3tibfP - 1FtmqmNHx5yITzORJHJwe4APn4pDBaoKmLvs7v32iuOr0Woaf9p61DyeTu/3tstcYe6X5rv3b1fY - DiejoN1tOCqIXjpQm6q626b92y3f6qWrGL/LMN2fW307rE2RdXuuvh7vr32jdh4+shrPYvxtd3xc - PbX67mnqS9PuX7ds7a+uMq/Dxe65+Fs1bXVafF8bZvHA15ccELOIAG93XywWw7AOXde1bRvF5vN5 - nLa83rkTERERERERERERERERERERERERERERERERERERPeaapgFwdHQU9+d2XXdychJjAWFze2kM - Tdu27TBY0C53V9W4F7Vt21K6GAGjbVLf16/8yq989tm/nRs9Pz9tmqbruoSr3wZLRERERERERERE - RERERES0bcgBidRVM0spqapvwllPT09zzqWU+xmo+YKK9qUH8Mu//L9+8IMffOs3vOXWrVvn5+fp - cUouvgEccK3Pn/7Jc3f+RG4JHC6KdUjTMCR4JHkDgBbR2r7+3/psRXKDmEd0d8JFivPebOZHlzjE - dQgmNzFHDCvvoh5BT0k2MV676Qs3nAK62Tx0WHhV9d6b2fxVT3/67z3ftHnvZqFAxDDBHdU9iZ2e - n6L9lDX+kbFarXLObZNzzsvlsuu6V7ziFb/xm7/1vve9786dO8Pw+HF101SIzwFx7ZO7R/BQPK61 - xudI3/dxAVUMgz/8l66O0d1EREREj6m45WCI/hoiXYco661fZKZytraO8ve+0C9Ht041aSi2G8h9 - z/luPX/F8LOtBo8j0LDpnK0wsyv2wzD3rSi1ewazuV9ESEZ44SaSbX/7hwjncZmt6EfVYV6T7VeN - gLphexhmnbbavyl/j3W0+5KpJ6dePl4vF0mKeX8EWnxF3Ko27pPZrQQHI4fH5YdXNU2zd+27V8AA - 35qmlIFLa83dNj9O7Z1GonYEBK6fj7zDoR5fB8k7Nt+B96gXGwGq+WZB2qYZNsUhnnd/DQCAvO6H - i1Tg9YYx2piG6tpC+gAAIABJREFUOFCZ7s/Sl/EbSgAVFcAmItg3eeCX8toB1Fo3f4o0cCQVQGwd - VR4//F1Mzdy9wkVgIhA4YG4VcLgBLuLxBtUkF5uJbE9L6daxnTDAVVwVIrD41UgFiH/qLoC6la2W - rKe2nqPAIREtrwCK12jPnikMAveK9VbhAo/OQwSoq6pISrq1pY3V2g/ravwvflvBaFepCneY7Y+O - HkqqypCiPtyENlV8lNO5bl8pdbw9rLcKQUr7t5+mmZk1EbCtqsMOarz/Ge9jp/dvOrx/x5vW1t54 - 2HNObc9mNv5TdIKqHvi1ayvsc+9n3FBgar8akeFb/RCN2d3p+XQE+9APWzvDYbmGHg5TP7GNN54h - GN6nI8zHJV9MP6jkiGiPONVNuK/dvXu6N37V1rtAmFUzr7XUau5Wq02VL6UvpUbY8joh2k1Ex2Ui - YTxq21vPJineai1RftyeoTZ3i/rPzs6n2hPLWGvp+1JriUDZqfkuFkuzGnWOg4RLqUNfDe2P6d56 - ogdiOtQ2bIfuEns2s1KK1dqvVv3e/Z67uNdavda+Vjcr8VqRtLf888/f2fu8SHKv7hL11NpHzUNL - tqaLxUoMta7jyYcQ6KlDwq3dhjuq1/WOduoVBz4/xQH4+uhsc0QXz12epgSz0dwdwzmKIYQbo0Tq - e7d/fagQ8/Dh03odgD3d5Iu2X37JUOdeaSIqfsjbHsdvH2hAzjC7HLzt66nsNOnAUuw23g0+6od7 - lo8nL1qy+cRw1EOzdh9KA+vjZwC+ruiqpyN1veXsVn+p/mEqsj942uP8oPvFwYxjfVJ1X/mLDtj3 - V9l5frIev5iXAKKIoPpa7KI96zI+2qduT81st/1DSyQ2i00b1l023ZKtZReF1VH/TE03Kx8Ct932 - 7++Zy/0PCFTW/eDuU4cJ48/xe3452ltsOC4aPkOHw5u931w2dDque3ubPdAu1bT3AGz6e65vlRk+ - 93cOTw6woZlbc4/PqajNzGJ8hGsUl62klOIAOP4bfxpyu1erlao2TcPcbiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIqJrF+N7xMgby+VyPp/HTZ3xfN/3s9ks7vfsuu7AeCAiKYaAANS9mlmbG/PS - 9/XP/tkv++7v/u6o7fbt24vFwr0CvHWUiIiIiIiIiIiIiIiIiIherDivHWe6a60RyrBYLCLqNUY8 - 7rpuSH69usg5UkWt/v4f+ODnf9EXfMWX/3s553H+0S51ALArjqVOLz0TeLI//OOPVT1FNgVKX1XV - Nlnd4rjI7TbNNnv6+NM+/RWfrsucVeEKUbMOAnUdshJuyCp2BRTeqGV1FUAcAq+yGVdeoLAEbbSF - Z3ge+uoxMaQ0CAyeIo3bAYiZmZh+5mvf8M+fz+KwYiklXN4/SAy2LzBABZpxfn4qrxC/IRvQdXAF - cDQ/6fve3c/Oztp2Ppvl55574d3vfs8f/MEfAog9eVy2tMmbu9L4+4PI5xoCCCKoCIC7x+VSMZec - 8zA8Pt0XRncTERERPaaGWwvGGZwYJWDtBlrvrSelS5Fde5Owx2mdU18J9kZuH/j+sJsFvpVEO5S8 - 55eQ3faLSHz32K3nQGT1Vj1bzdsycWuH4XLnDz02tRS7WenYiWI98PLd9gwlx2nGu5UciE4fJfJe - CkHfauHW7LaMe3tcYVf3Z7CNQ2HHdQ7rcexA+8flx3Pfaufm5SYSz2+ncff9ajTfTcgiZJzMPZ5u - IpPjmfjeG5mpPqwC1Wi8iMhUFl0zijbfbDkApFp/sRR+sThTEb+l9Jf7DbpOiRxXPgqSnNjO50ct - NtmBsY7MDT4d6Tfq6ngjxP+aNm8i/dZBwVEm6RAtrJenkY8LkVhA2fSkD4m5m352Ebnc/xfTts2b - 9mtkBpt1w/YsMl5f0Q2yt56mSZuFWqcIuxdExKDsKb+ZQ7ybhpYjJXHXTV/WcVThqCUX0832iXHf - Yb3exxGJsY3tXSfAaL9nVof32vAe2TUOmx8b9YObXbT/wH5yXMmwaQzR41svn25P9EaUHM9rHIYK - wFVjw9tbzXh7GDbqyCfevx9LKQHr9OXxHHcj0jf7mf2nLvq+XP48jekQX+2jDwIBUCf2k74/Qzb2 - UfG545uodRe5iH7fEvv5YQ+5iYge9m+7M1D3dXr9uB92l1c19s8T7ZfYZFXUBRB1mED8ySefgBhc - t6ZJm3jsqFYRU4jl1E6VNy+1uKPCVdTjeZUcZeIZ82IV5mWof2va5FnMK0oOr416BAlibjJM45nd - egQp5jjUFvVMtd9NHDXq3O2HYb7RKkedqiendljGYalHRyMXH2cRiD6bzfcG56aUh3jyUQS4q6ZD - cbs703HYeXyMHK5HNUXoeDyzeQO6TMQFi+iwLKXUUvpxa3enERU/1L/VznhtKTVqG7dkaxplIkZ9 - 6/khdj0+MiIGvuv6w+2P4PmIeN+KnB+WyN3OzxdTvR1rauiH6O2pfn7++RfG9Q89MPRDtLyUPto/ - 1Z8RJx9zHPfG0P5Yg0PkfN+XvfVEG2JeV1iPOD093bvrHo4SSymlxAo6FF28Gz1++Ih76vNlOtr5 - 4sHmszVms/no8ktT2Ry8b44f7zEdNpMD5X1f/bv1mK3r2RxhOQBN62eGj99xbVPtH45NRNbPmG0W - eetze3rp1t1lF+XXm8O+8ppGfbu17JuWjJ+ZWgpN634AYH7RD1N2N8L4LJ7aisaHhSIq4sNYAJfr - vPc3v/imBdjuVCTtfX53ama7rxVxM99bXjWblc0zSeIc7L56gPXS7atHLo7r4+huveCotaaUxmcQ - RSSldF0Z3lFPXM4SpypFZLFYzOfztm1j7rPZDMBqtWrbdur4loiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIgejKrWWuN+z/l8Hnd9YhPmnVJardYD/hyuZzTwjsZw545aq3/RF33eBz7w/uPjI0cV - +OnpqSpSSjg0kjkREREREREREREREREREdG9uXuMYOzupZSU0tHRUd/3qtp1XYyunFIys/vN7Q5N - bvrSA+h7f+c7/+t/+JGf/NN/+g21+iYGhR52JnDAU/29/+e3ZdZDHY5aRXM2dArIeOxxh3jWrnnd - Z7x+jmOvDhN1mLm4ynpM7xvFBC7wdY6DSqR3bzKK4MjrQiqp2ZR83CgAwXrUd9uMkm9mSbI6Xvfa - z9LfSOZw96ZJtYyylkwvJ527azld3nGBu0MiROmmbVQP5vz8PKV0fHwsIrVa3/ff933f98/+2a81 - ba51PXx9lLzf0O4wRMPEhwKAvu+bpgFwdHQE4PT09OTkBFe4RIr2YnQ3ERER0WMqQjfHkZ8xHaK4 - cT8H8UO89OGXjEOptzRNs/XaA4XHbds7x3HcdUw30ba7Jddf7YZvLkCkBcfzslW92f4FTClFhOo6 - zW2TDrdZhO1XRUDpDhURH92uEc3zUULfvkXYV1HSIUcuvsduZYrvbY+7y6ZMBLiWvt9NQ59u/7qf - Nz1vQ1TwgcjziXqifrvIaj64HsP6C6RsJ5oP7YnIZnefak9OeqnwZvOK8rqpdh0mieQT99Y0bYvL - 7ws3ixqmmr73ab3Unxd9MRW5XfuCnZUlIpGWLZeXWjYR9btmTXup8b6OYrzot03+t2zq36tbrsbb - j4rEzU5T0enRrGEOwwtL1w9t1ggNVsUman3XUP/4J4not+FNukn6G89zW+lXw7aUVIB1+yO6b/dV - Uz8V9V03pKCrCAQiChV337tBu9ve5EaPaPn4BTBpdIaITP167ubDTCP7PfrEIlNxZ58wtQO39fLK - sAbDVBTiejuR7Uzr2vfDa9MQRz+9PbjbOinTfcg1FSCnFDvY8U4egE1ETV/sl/zQYg5hnSr79w+l - W47i7LHpfql1Ynu2Mo4HHWjScTOGbXKKrH/13ZR0bIJ9a0Tyxooc6swT+8nNh9Qw03g0LPjorXfw - FzbVyEEfdgxRudhUdLdvfsG+bG9jAKS9b0jXdQSruFuNTxhABSaySWe9PLXSDfGfSRIAZBWRWsve - SNFSKmACVXGIC1wUIlJKv44ydRdJWeBJgE0n70TA1n4V5RM85wQgYlDNbGibQOG2Sc21/e03g3m0 - P2sSSfF4qv0qCet8dh/F9/rQnnWoKiyJigi8wiMO99K0LwvA3CXS1mV9MOaxflXWYauigpTQaK11 - f3/WOsxXJYlCRAGbKj8VFltLEfGIg02isf88EA0Lg8IuEokvHk+Ud6h4Spo1tTm7Z1kv4/6o2qdv - 347H7nUcMVtr5NNfLIW7HFiuiLB1l3E97rVpZuOSZsUM7jXndm89sabitTHHaM9uS4a/Huh/kTSu - 50D7o+RUe64+95Sa+GvUNqxrM4xLutfohyh/OE44altve5r3ldmzI5LNXlRGu9PDB88Auq6LW7uH - DzJ3N7M43ojH6yD3Wg8d/GCdFx6F4wB6+NPWwUx1Pzs7m6onEoU30ePrmU4d9965c2drYUOUHyLM - hyZNdUV0QillOGKPB+tDjuFj2qxuTHXCKDe9Dq/VzTHMuB8A3L17d289cY/90PlR24H23717d7cT - MIpy31qPU/3Z932ttZQ6rGp3uF86Ytw9BhEZPb85ut4rKeomDtw9vpTWeD5eKrL+NjTxhXVNFZts - e2y+815MxQ3icHOs48enpgJfJ2fL5tjbqwhiX70JGb+YCuI76brk0BVRD9xF4bYp49Pz1YtuHLLh - 44nZrDk/rwDm8/lyuTxwsPoAVDWCwMfv5de85jUf//jH43KW4WvObDZ7sLOhRERERERERERERERE - RERERERERERERERERERERHRADFYet3weHR3FHcTxXwC11qZp4p7fk5OTAzdlb5i7KEQTSrHXve5P - ff/3f/9TTz1lZilldTMtZua1Cg6Nd0RERERERERERERERERERHTYarWKgYtLKU3TNE1jZs8999x8 - Po8CtVZV3RqffI9Lf4yhkhWAwyO3G8Bslj75yeff/l99y0c+8pNHs2Y0MPv+YdKVoyk/NKp4kfLH - H/8Y0tJi1HwkjzG8h7HLBXCYaFObXOd/+rWfIyUly+7uqO5pMxi7AtUFthmc//4iox4+LlYUvVoV - AKKmqUZMAwCgIEmjllwTknapQPvG0+O0eetmJRd1wCMzCIABllRTbV715GtanSUHNFlxF9jeMfEF - FS7en57fAeAiCoPp4RSbm2t7zzmfz0spZ8tVSkkgv/iLv/jjH/5JAF23HhJ/iGl4sMHq3T2ugGrb - 9uzszMziI8PMUkoicuvWLWw+VkopU/llNIX9RURERERre3+FiZBcTGedDtFrozxTDOWvEgob4haI - IVPtQEjeMLut1M/dlwztORANu/tdJfphiIgegty20qC3jPthPJ1a6snnd6KgD6cGRjTdVp27y3vP - 9bj1pyGvOaU8zH3IyTtQT/TDuOeHcLu9YXgH6pGRqeXaXYShkVsvHD9zoBPG9Y/nPl7wcT/IxA8t - 3SaqGZc3iXvaihU8/P7aNY4230qjHD8/9aet+Y7fj7tdN/7TVHvGX9GjjJld5QeCrQJDBCY2oZjR - ws3WtScKdIgUjfDOUXSob8WabsWU7kaKDqGhmxrqOI70KhGtObdDEOxFS6o5FGLw7alKdlRBgpib - iHo8L5EW7+qoVgGpbhLl99ezeddHpw29mlIab2nDGjmwn9ldiYd3ibsrEZvtM2Y3fqse3hiGlwwz - LaVsvbujqjQRWY2dPfnet9I931+xPQ/tj93FVT6ttp4Z9pOHXziIDh83bMiG3NpP7k0eHez+dfz+ - 3e2KqXp253V4L3e4o3Y3pP3zFYNHdOtFCqiqiOTNfnInfFTWJd3dPbaZ6g5V2fxqfGnqHpnBw+cd - zAqAnJP7sNGW0eLvDz3dLNQmNxowK6N33KXyIjIVnpqSRLSqO6Ll7uMtZ7t8tHZjHXHq7m2bN41X - 99iW/MB89eLUiYgg+mE4Lood8LDHE3G5CMa+9G+zVcQ6NY8w8vV+ck/5+NV+t0k5p81jdzfANomz - ure8e0Roy1A+Nobh8W75UQNEJF5+oHw8dve6eZUDrqqbJ+OZYUn311NrH58RqrHBqLsAGs+PA7BV - FYicbOwLso13zMW7IBb/cnnft34vTc2qSKzWS++y4X20M7VNJ19atDhBtdkaL977U/Vc3m5j5ZZh - qdzjaDDulwaQp7bbKDl+Z8XGOd1v+49Otw6GMb3vCsfHx3FMEu/x4WM39s9D4PTwqXfgc3b8SR0v - lM1x7/jjaf3pOREdvbUswwfE1PHz8D1itx92j/0OdEVKKQK53X0csz0+wIh5RfT11Of13n5Q1fHn - /rgfpuq5+gHGeNGGJR1ePu7D+O/hbyI55yGYfLw9REQ6dvp5aOFWyviBz9O+77uuGx8YDFHiQ+W1 - 1r7vI0l9Ynk1ZhfjDhxoVayLA6MSROFoVd/3GK30XcvlMqLZtzYw35w+9FHw/LCMe/oBpZTSdWWo - ChB3V8nL5fJXfuVXVqt+Kh7+xYjeiKXLOUf/vPDCC23b5pz7vh/azPOURERERERERERERERERERE - RERERERERERERERERC+FpmlEJO7ljHuQ3T0GrYpbnqOYiJydnR247xWAKsxQaxWgVrz61a/8kR/5 - 4c/5nM8+Pbszm81Wq+W8zTEG7ktx4yoRERERERERERERERERET1WZrNZxKxGIKuI5JwjzDsex4jH - Q+jDgfPdU5rc9KVXxWpVAfzmb/7Ws8++72+/9z2j3FkdPaaHjou5mmn33NnHa6rFURyt5up1OzJZ - AEA8z+z4mVe+PtUma5NNHSIijSYvJlCsQwfgYsnwqOcui6u4JctNmc1XTySRRmdIMLg4zNBKzuXo - qLs1s6NkWf1RDyt/YAaBWjaBiQFoROGO3mft0VxPGp+lJp+vzrVZv0Au95WqVHj1btGf1Ygdcbj7 - o74JXZe+75umWZW6Wq3uvHD6zne+c7ksEewS8QS11ri0Kab3W38Mep9zXiwW2MRFxSfFMHd3b9vW - 3Tke/gNglxEREd1M7q4Cd6iqmWV9kO/VdLPtRtNhIuHs8MZzOHHz6hve4eDPw6068KrDOaZ7/zSO - Mt2axYF6HqDrruhwn+wNkNsbDXi4MVPbwz07cIvuRAlOvfZwnXsDv6/SjN0U2Cu+cMo93im+f+2k - cT/cz8zlcvlRSiG2Nsm9L788qyHocVPxvbMXL79+VGx47fYc/aL+e9a31Z4rzhpAJFfGq0S2/wpX - yKWpm0B09FjGf5WI0F4/LxBd/27o29OJMnvmePivcHUYfLslgML3TM03y+sCqJvFY8fQDyqigIhu - AsL31TNeazLqVbdLq+Cea2S8u8VmKz3wqqmtZTy78bZ9FcNMHVAZ/ZSx6RSsl+tQBcP7a2uJLjf7 - cMuHGQ5Jqvdo+e7uR5Cu8sJLrRktJgC3eGLYTw6VCS4VnKjtonH71+PhNbintoPbw+GO2rd7npiv - 2Fa7LDriXs3cWlXTH+TwcXs2uxrzMv5vVHCvvej2Dlu2du5DOUy23ydnOhXFuv3qeLJav9uMK+62 - hzYI4JvAY1EXj6ccEqtgcurxGAqJMzQOt3u+ak8NMS/oPcsLdGu+cDgmXyuj54cWHig/TEUd7hAf - 98OmBr/nkiqGyHPDKIxcIwp9fSrL7usU5sUWsu/Mxfqvvv+uYF3vZLG9aUy9c6/Ukouy930mRYZ6 - /CrHceO32Cjn+grzufxptLeeAy8vpcPFkYlvksKxSVKu4zZM7QewOZ4fHeGYjw78tiqRzYmuKyya - r3drEyvAhg/OywWG147/dKAjSq0ANEVpv7S/lfWDahWAKJLK1IYd7REd7eaBalX00vxjpwRM1nPV - T+iNrSUdXn6pD/1Smb1K7bDuh3hhjZc8+dStK7bkU+SRPlu83vhtOAoC1kukmgD80i/90jve8Y7T - 0+XRvF0uOxWYr7/Vxo9jQyj7A3xDHF6ydaYzvoHGG7mU0rZtPD8Eq8eIDCmlvd/TiYiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiGhLjDMLIMYuB9B1XdzFGaPcRrHhptG4nbPve0yMN5VSMrN4MqtU - q03KpRYAt2/f+qEf+qFnnnlmtVo1TYNqbcpmFmOjxwDEREREREREREREREREREREL0ac+wYQZ8MH - Q3q3iNRaYyDl+6nYADjQlV7WwS4AYIaPfOSn/swXffFf+k//ooioopSSc7NYnLVtux7q+dJo1TrU - Ri8TQ6N/9Ik/rNKtHKpoc1OWnTYa0RDiqm4Xo6lbmuH41U89k20uBrOimsVrrZ42hUw2MQ2AuD7S - lz+o65G1clbfcPQ5b/ry//xO/zxazzIrVmHeNE2pna/8lbderZ9onmieqFVEzK8wpP+NEYu6Owa9 - iPTLVXt01Hjzma953Sf+8A+WtUs5Ocr6Fa6RlAHABMUcYtrK+fJURNwkJS1WZE/dN5mqdl13fDw/ - OztrmiYStVNKkpuzxaLJsybnN7/5r73wwnnkdiPSKWrFZhz7e+Z2Dxc+jR/EQPer1Wo8pv3wID5B - ONb9i8HobiIiIiIiIno0DT/mxoNHbhrp3Xjppo/R74BE9KkQZxc4ffFT3O/08ToVQXSDPHwHYzLs - VezRnO5nVkX0jW9844c//OE3v/nNf/zHH986bxgjI8zn88ViEScdr6tHzWw2m8UVLW3bunuczozL - XEopOWdsroC5rpkSERERERERERERERERERERERERERERERERERER3VSR2x3T2Wy2WCyOjo4it/v0 - 9PQVr3iFqsZItSmlGPF2SkoJQK01iqWU3L1avXXr+PT0HMATTxy9//3/3Rve8Prjk/lqtXoY7w4m - IiIiIiIiIiIiIiIiIqIbrdYaZ7cBmNl8Pl8ul9dS87vf/d4v+IIv+MIv/MLlcjGft5EIm3MutbuW - +ukauXjxxQvnzxV0ULjA4CYQd3G4DOk8w4UN+vTxp81xkiy5xeDXJpLVIwrn0tUUAqhHkvejShww - P25OPnP+ma9+6lVVizZZra3VAeSs5l2tffZmnp5IXWPWI73cjf7UE0P0lRigDkDg7qoqJsnSK05e - qd4krVUu7QQi190kthWYwNAvVqcOVHhyV320o98fQMRp930/m83iAYCu61zTyckJXL/927/9t3/7 - t3NGKVBFvf9rjkRERMwspRSXQrn7bDaLIfRjoHt3L6XM5/NrX8DHFqO7iYiIiIiIHthDFqX5uP1W - QffwkG2fRA+ONzcS0TV6tHcpj925qRtAHr5NLs6bPnLTC0MA+fqxu1utR0dHX/j5n/ezP/PTb3/7 - 23/t1/4FFPD12c22bbuuK6UAuMbc7pxzrTUqVNXI5445Nk0TJzWjZDzJ9G4iIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiKiw5qm6bqubdtSSs65aZq4SdPdb9++HePSAsg5l1Li+b31RMnx3Z1m5u4C - nJ6ex8i5zz777Jd+6ZceHR0tl+cJm5IP4d3BRERERERERERERERERER048TJcdV1qkjO2cweOLd7 - fO48zn8vuv5t3/xNH/7whz/jM15TSqeqfb+6+DM9XKyXxb95/o86q9Kid5ibqqyvYbh8ZYQL1PXT - b792Lkdq2d1NIBoxzbF2ZSgpvhv7/ehxsYUVqSZSXZMioVOvCcVVU+2raNKk7n62OBermnJ+3Lfz - 9ep29yy5VmRrXv30a5M1krYGadch28gBE7iYodw5u+PqcVlOjLD+KW3+y63WPiUBkFJarVbu3syP - UKubWMU//YV/+hM/+dF4Y8YlTA8wi5xzJIKbmdl6fcW8VqvVbDZLKUUxjm9/jZjjRURERERERERE - DzfnT1hERPQIejjvzI9WPXLT6c5U1bbNL7zwQtu2t27d+tEf/dG/8Bf+vBmSwsxUtes6AKWUo6Oj - ++ysQ0op7p5Skg13j1EeSikppaZpotjWyA5ERERERERERERERERERERERERERERERERERERENKVt - WwA5Z2yGJgcQg5XHWLez2SweTOV2A4hbO9095xyv3YwmDFWUgu/+7u/86q/+qpSl65dmZT1m8cN5 - dzAREREREREREREREREREd04cXIcQCklwl8jqPUa/f7v/+Hf/Jt/8/z8POcWwMnJSa31emdB18LU - XMv/9/yfVIgmmMPdNUFsuIzhclqHy6ueelX2VlwBhaJ6MVlfLKGOG5azbIKmbTU18KyWUk1aNXme - NUdNmmc04ilbVs+qObXNbD63m9UDh9nlIdzFN49dzZA1iQtMXvXUq61XcYj4un9cZbj0ZtNjBpjY - +eKuiAAaudGPU3cCQAw+j81A9LGLjgcf+9jH/tbf+lsAmmZd4AHqb9u26zp3b9vWzHLOOecY5d7M - ZrNZPDAzjm9/vfLL3QAiIiIiIhphNCldcn8389ywH7/oRXvU9ye8mY0u40ck3RST9/7eUI/b8hJd - wg+vl9DFsWJKeblcHB/Pzcrt208+99xzf+fvfM+rP+Mz/u7f/ZFqFundqlpKWSwWqmp2nceZtdY4 - aQogpRSVDycyV6vVbDa7xtkRERERERERERERERERERERERERERERERERERER3WBmFvdpxi2iMRJu - PDO+fzPn7O4HhhQfAr9rrRHarao5a98VAH/jb7zjL/6lv2BWEmSWG9jl0G7eI0xERERERERERERE - RERERC+xiGXt+/7o6Kjv+5RS3/cHxk9eJ+1eoWaHAiaAO371V3/1Qx/60Fvf+lZR9zjbjgoAYnAV - XJwiZ97Ny8pSW587fc6RXJJZdXURh8IBcVUHRDfjcpsAT5zc9pLFRVUh3ltJng1oXGNbGTYVBcQv - gpkfRerad+buosjNTN3cxd1Rzb2qQj2JSYUnJKu6LF1SfcwibwyIt7MBBoFLBiBWJEsyl2pPHN0W - S2YGcVxsIaOLZAQeOwKtXVm5QFQBd3/EN6D7l3OOzOy+75umUdXlqmvb9vT09K1vfWvXdQL0fU0p - 1RodeX+0l2v5AAAgAElEQVQbW9/3AEQkLpSKIe7jAqfh+ihVXr90/dinRERERERED8yGX6ZesinR - 44nbPxEREd0Y+tBMb6a7d++enJyo6mKxWK1WTz31VCnl277t29797ne3bds0jZnFeUdsxlm4Lm3b - AojKZ7OZu4tInM4cnowH1ztfIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiohtJVUspkdhda1VV - Vc05AyilpJSaponHMULugaoi3juGtU0pmVnXFQB/9T/7S1/39f+lWXGvqnp2fjel9ClZOCIiIiIi - IiIiIiIiIiIiojURyTlHbjeA8/PzGOL4mqpXADlrKf6BD/zAL//yL6eUuq67dJ5dbMjtppebm/R3 - z56HJLPGRAFAIow5CigAHW0dT916hZgCKiImcHcXv5TzIjdqTOx5087bdpbaZAJTGNxSMTVTtwRT - r8iempSalJOoXtc76RHhm3e2umJrSzBPquIyb0+Stvfcybiaq1fUuG7H3cUes94EzMzMUkpxTVEp - 5fj4WFWfffbZ3/3df7U472NXWmsFgIPXL+3l7nFdU4xgH1dDxfMxmr2IuHvf99f3oUAAkF/uBhAR - ERERvawetl8KHrqfpR6u9shD9X1QXurQ7vveOP2+v4zTy+kh2/s8nNhJFG5+5uiLxjfLo+RxO1VD - 14uHe48Yfxjium/Ox6hPfH9/+umnX3jhhbZtmyaZlcWiSymtusWb3vSmN3zWZ3/d132dmdVam6bp - +z5ON15Xk7quA5BSUtXVanXr1q3T01N3v3379nPPPVdrjdOftVYO2UBERERERERERERERERERERE - RERERERERERERER0FU3TxAC4ANq2jfjtGLI87hWNYle5aTQKNE0TQ+W2bf7qr/pz73rXu0opTZOP - j48/+clPzJpmbz3iiun7W4mIiIiIiIiIiIiIiIiIiF4MMxMRVY2xi2ez2Wq1Simts2AnjEcp33fK - /NJI1LWaO8zwrd/6rT/9Mx/9ws/73Lt37+Zmd7RqZdjBy8vFurq6e37XmtxXSBZVcXc4IDHUucB1 - vPpfefuVSbK7OFzUI/9guP5hvDrFH7KwpwdhZksAYtXMXJNoo2km0CRqXrwu4X2tVcyAkiQ98kt8 - 38wFYhkwwHT9plYRMTNVVce8mR/Nbp0Nm4MMOxEZdgKReyUKiPV9v3n+sYtHqLXGFUoxLaWYdD// - 8z//0Z/+mWpQhRk0Jys+mx+vluf3W7+IlFLiEikR6fu+67q4PiqltFwu5/O5mUWkd9/3Q7Y3vUg3 - Ia2BiIiIiIjoZfVQ5HYT3Th8FxARERHRvd29e3c2mwFo29bMZrPZbDZLkMXi7Mu+7Ev+x3/8c5/1 - Wa8XoO/7ts3XmNsNQFUjmbvv+5zz6elpPH96ejqfz+Ov7q7Kk3FERETXRADARA2ognqvi0aJiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIjo0eLupRRVVdXZbBYjktda3T0ex19TSjFe7eGqAMQYxGY2mzX/ - zp/54u/6ru9KKQEmIp/4xL85Pj6+SgQ4ERERERERERERERERERHR9UopmRkAVY3z2sOZ8Wshqu44 - Opq54+7dxTd949s/+cnnm2YG14t/wnych8WyLs7LuaG6uyDFFRHuwzicDjEDHBDXZPrU8e2M7O5m - BVCRpCIATM0imtkVgDhc1nnMjzSzkuAppZSSqookM+v7vpRSaxVJObc5NwAU/piPCO6ito5sN1U4 - KgARydoeN8dZ8s5lMg4YfD2yqzvimpy+dus878fvuprY0rquM0POrWr+/X/1r9/937439tBmSClZ - rRB5gNxuAO4+m836vq+1llLcPcK547qp+XwebYg8b+Z2X6P8cjeAiIiIrp+IuCMOWVVVRBhZQDTJ - H++vy/f2cP1A9lD+mvMwbULc2T9SHqZN5+HEHqItD9dHEhHRy4KHe48YGT687KGZ3kARjw2g67oI - 0q61qqIRmC0/80+95iM/+ePf+Na3/e+/8X8slyV+I0tJanUREc1WK4CUcy3dFeY2PkaNC1rWHVtK - Gf4gIiKiqnGCudYa5zjbtgWwWq0ia7zve57yJCIiul8m6hAXFEUCzJF8OFC2uEZufRjGk2NERERE - REREREREREREREREREREREREREQPq+EeTAApJQBmFgOm5ZwBxK2acSNnzrmUMtzUOTxwXw9HdPkm - EgWgWWqts1mzWvVWqwo+99/+nA/90A/O2wyrjSYv9Xh2bL1NjXDicmNvziUiIiIiIiIiIiIiIiIi - oodBnB8HoJuoYXePxzHk8nDefHhwLxdlonjXVwfc8du/87HveNd7vvd7v1c0ubvC3Gs1a1rplqu2 - bStPkr98TOzO6gVPRbQ0IsWruJqZZoiheNEkrigFs6T1XF9x9KpZOsZCEhwiqKJoUT1BXSoAdTWY - uKrDxCCP/PCcorkiosvVAXhV1JxUYbHZ19jiVd1xpffKDaIOgwJwsQoACYDAADO3nFOxzsSl6Elz - S0pyhwK2vt7GIMXEXOCAuosALjnnUleeekXjZo/69oPdq4AOJ9a5mxmgKTV9Z4vz7h3v+Na7d5bD - dUpxyRNQse/SpUtzFtlU6XEFFICU0mq1Gp6Pi6Zi/z98LgCIMe3pGjEHi4iIiIiIiIiIiIiI6CXy - MCR2P2YnigEAOatYPTk5euqJk5/48I//R//hG+OEY9OkWh1ASilyu4+Ob9VS9pwyE6zPem67x8k1 - d4/Tn6oaud3YnONcLBbj3O6+71/UQhIRET1+1CAOuAAwgQO++Wh2AcRMBK6Hr4AiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiKil5eIuHtKKaUUd2XWWmPkcVzO7Z7NZlHgvlitKefVqs9ZAXzWZ73uBz7w - /qefvn2tC0FERERERERERERERERERHTN4ux5pLea2Xw+jwf3XZEgt02ccL99+7YZ/sk/+Z8+8pF/ - WKuZWdeVlJqcs5k98cQTQ4gsvSxcrKurqgWwyBgeXUERA2Wbi7nAIFlSm9pk+WLkTVd13UQRr6Os - 1VUdQIzbeRP4pWUxwBQFKMMiA4CrP6bhvDoMnG7IJorN/w1uAheopyQZsVEBAMThYoBBLm0lYu5u - 7hWwCn88u7TJs7adi4i7fOd3Pvtb/+e/dMimn/WKGdA5Z3dvmibe0aUUEYkno8B8Pq+1xlVSqo9j - P3+KsYuJiIiIiIiIiIiIiIjoRlmtVk3TnJ+fpiSAffCD73/ve98DoPQ1zguXUgBTxeL8NEWs95bJ - awoOXacSQd2z2axt27jGZUjyjlOkMeumabqui/8SERHRlThQ0VYkUwGSIzkQAd4CF5igbh4TERER - ERERERERERERERERERERERERERHRw8zdZTMSsLvXWsc3XQ5j0arqarUaSl6JGMSO5ke1LzmJVfuM - T3/l3/uRH37mmWeWy+X1LQEREREREREREREREREREdH1E5EY0LhtWxFZLpc557x3/OSDUs6l7+OE - +/PPP59SKqU+++yzv/7rvw7g+Pi4lNL3fa31+TsvzI7m178kdD8W3cK9ji+lGP4kAncfhXnLrG1f - hibSQ832DpweW5S7RwJ808xE0uGKhu2t1jreDm+CSLi/yLmfLgjta1ksFk3T/NRP/dT/8LM/92Az - HMaiF5Fbt24BiN27mcWqWSwWtdbI7Y5x7OklxehuIiIiIiIiIiIiIiIiulFms9kLL7wwm80iPLvr - uq/92q/9wAe+74knjjYFGlXNOUOs1grgWs6aRVWr1SrOhgI4OjqK0SLMLK5xiWnL6xuIiIjulyE5 - BFDTZBAHsA7tNoEDJvuuFCMiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqKHjIjUWmPM2aZpUkqlFBGJ - oO6UkpnNZjMzw+UBqa9osVgAqNVv337i7//o33vta1+L9U2gvPuEiIiIiIiIiIiIiIiIiIgeXjG+ - sap2XQegbdtSygNEutZSAOSch+xedyyX3Td8wzf0fVmtVmbWNLMmz9pm3vf9dS8H3Z/FYmECU3NZ - XykxXCqxTvM2F4GYi2tOHNqapigAuA7Drbu7u4hDHW3KcvAaHPeI7kZEd+OBLtp5GF0hrnsspSQi - TdP88//tX7zvfe9LKg98xVHsgVNKp6enInJ0dCQiqgrAzGqtw0j1MXA9vaQY3U1ERERERERERERE - REQ3iqO+6tOePj09XS6Xs1nTNCll+Y///Ff/yI/88Gd/9usgWHW9mXVd1zZ5lPJ5+MSZXeX86JNP - PhkP4kxn13WqOowTEaec3d3dY8AIIiIiuiqBicVFW8mQDIAa4gIwAFCHAoevAyMiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIqKHQUop57xareK/MVLt7du3RSTGBF+tVnGr5v0NTeuAA0DO2jTygz/433/u - 536ue621Pz6eX/dCEBERERERERERERERERERXaeUEjZjGrt7hHbHKfX741DRvu/dPeccIyEfHc0+ - /vFPvulNbzJAmywii8UCQNvwfPrLbLFaiHqMgC3qDo91PuQmiyQF4G5mOaWXr6X0aFCHOBTr0G6R - BGjOjdg9Rm2N6G4ANyq6+z65+2q1Wi6X73znO++eL3rzanX/6PSb65T2apqm6zoAsSfPOS8Wixia - vtYao9YDiAul6FOA0d1ERERERERERERERER0o3Rdt1wuZ7NZSqnrutVqFScpv+RLvuRDH/rQF3/x - 56cECHKDriuA46rp3fegqnfu3IkHtda4wMXMcs6qGk+mlOKqF1WepyMiIroyMagVhW8uGVWHuAyf - phL/3OP6MCIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInpoxZjgpZTZbIbNALWqulwuVbVpmigWz8f0 - vojA3D7wgfd/6Zf9u+fnp22bRXy5XF7nMhAREREREREREREREREREV23CNuOcYyHyO0HiO5WVTOL - AZBLKW3bHh3NFotVSvpbv/Vb3/M939vk2enp+cnJEyIpwmXpZSPW9ysoXNzdIYJNgrI7REQc7p4A - NxFguKyC6CB1A1xERB1eLYlGFPfUqK2xvQEQEXd/gD3Pw0o3/678As3vefd7/6/f/r8BtG0G0Dbt - /c617/shnzul1Pd927Y556OjI3ePvT2A2Wz2eEakf+oxEoCIiIiIiIiIiIiIiIhulKZpRKQvq6ZN - ItI0jboleF9Wz3zma3/ix37sK778z4rDK1Sg2+d/D59DtVHO9345ZwBmFpe2RFa3u7dti81wEry+ - gYiI6L4l65P1yUwAQBzqmkyTSXLo5p/AwCuOiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIHmLDIOOl - lForgNu3b7v7fD6vtcYo5DlnVY0HB2oCVICtf02W9777XV/1VV+1Wq3atq21mtlszls7iYiIiIiI - iIiIiIiIiIjoodY0TZzjBrBcLgGISPz3vphZxO6mlFS167rzxSplLcWWy/LDP/z3f+mXfqmdz8+W - i/nJcVfvu366XqV0Iu7udTSe5ibFGzG6NXydqcyhrWnHxajpe0dXH6K4D+9MtqK7Ady4SOmrZHjr - qq8/+4/+8Ud/5ueiYNcVFe36zhHvz3uPUT9wdzOLC6UAdF1XSnnuuecwjKLf97FqVqvVi1kwugpG - dxMREREREREREREREdGNUmtV1ZRS5GfXWuOKExFR1aOjow996ENve9vXu+N6z/zGSdBSSjwYGhOn - RWuts9ksxokopdy4s85EREQvNRM4EJcLAuMBlXzzb7h6iR+zRERERERERERERERERERERERERERE - REREDzFVdffI557P56enpwCWy2XkeQMopaiqmcV/78s73vH2N/21v9r1S8Bms6bWKup931//YhAR - EREREREREREREREREV2fUkrOOdJzY4zlB64q5xwjMw+VuEs8bJr0trd94x/8wb8+mp8898kX5vP5 - dbSdHpADBoe6iwEQEZF1gjIcCQmu4gCgQBZtmkY55CbdgwLwddx7wiaEez2Vgy8dRX2/1K38VLln - XPclv/M7//Jd73pX04gZclYAD9YVw6tivPqcMwB3j2HqSyl930eA92q1ms1mDzALui+M7iYiIrqB - xoet8dWXOUBERERERERERPT4UNVaq4jEKcn4iSyllAXd4lwTIPZN3/y2933Xu2dzmEEVgMW/tm2j - jtG/+2BmWw8G0Z6UkojEWdLQdR2AYeQI/pRHRES0hzt6a0vfKkqtBsjRUY9ekHx9MRgRERERERER - ERERERERERERERERERERERE9jOLGyUjOrrWOn48hwmutw8jjcbtl3KR5z9BuhzkspwxgGK78zW/+ - L77+r/9164s6Gk2r1UoT8KCj6BIRERERERERERG9pIZcCXePxyLC4eiIiIiIHlvjUYtzzrXW4Ygx - pTQuufXfXXGaHqOT77XWakhZ+76uVqs3v/nNp6enwxxLKSLSNE3f913X3bN+ukZmJdZ1yii1T0nj - WgsRiSsr3ATiOedSyu7A10QAIAZYDLMeVDVGaHd3iPWlk4OjraeEWk0E7h6vyjnfuPHSL3oohouv - tc7n89WqV80pNc8///xb3vKWnNu+dwBWAaBYFdXxa69i6MBYEaWUcWfmnJumicfM7f7UYHQ3ERER - ERERERERERERPRbcvWkaVc05m9lf/st/+YMf/ODTTz8RFxtEwncp3fD4etVaI7cbwHw+F5E4VxrX - o8Q5VDPj0A9ERER7GNDXV7rW0/P5E0cC9ItFm0461KqoikjvdoHzShgiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIqKHxtnZWdw4OR5JvNYao0vP53NVVdWt0WmvTkRKLSLr+v/KX/lPvvnt37gZKteAGJiY - iIiIiIiIiIiIiIiIiIjokaGqEeQMYLlcxoNaa8RsHx0dRYFxzvfVlWIiKAW/93u//x3f8d+4oxZP - qZnNZu5+fn5+69at4+PjIfCbPgXc3b2O/7v1IC6KiP8yupuuTlXdqwlEddUvXQ4Nfz6+cieu8Llx - ud37Pf/887du3er7vpTynvd85yc+8cnz8+VWmQfoipRSKWUIQQcw7NjpZcEBi4mIiIiIiIiIiIiI - iOhmcb34N2Jms9ns7M4LYnXe5G5x/sav+Pc/+lP/4PVveCYlcbco0zTJrIj4xbgML04EgccoErVW - AKvVKqWUc44z1U3TmJmZqSovfSAiItqj2j/6D/7ck3eXT8/n53cXCcgqpRYTKYoqMIELbPOAiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIXnZmdnJyEjdO5py7rqu1mpm7u3vbttiMKN00zQPU3zQpBrRt - 26ZWf+Mbv/xvv/e9jaaLEsztJiIiIiIiIiIiIiIiIiKiR8eQ6ppSiujc2WxmZiIScd0AFotFnGq/ - z3RtjdzS4+Njc0Bg7j/7cz//4Z/8B7PZvO/75XKpqm3bnp+fL5fL2WzWdd11Lx/tF+tdRIaIbneI - jKKUxdzh7jHG9cvXUno0GOCAwSVpcXOvSHK6OBX1ew7ZKgIRSSmJyI3NmRaDmLunlERS287dJef2 - x37sJ37+5//n1ap3IN6TtnkXPkBX1FpTSnGhVCkl3r+HstPpJcbobiIiIiIiIiIiIiIiInosRHL2 - 8fFxhGSfnJw0TfPMM8/89Ec/+kVf/AWzeVYFgL7vT05OrvGssJm1bRsnYjEaPyLOksZJU1VNKZVS - IuebiIiILjF72tKnu67Ol/8/e/ceJFt21Xd+rbX3OScz6z4ktbolWmohHiI8gB3GAvMHMBND2DBg - E0M4ZAKF/vCEPbZAGiQekggNkmmNJSHGCIuH0IBaRgzCQgpiGBiPPYwAIwdmLECIpx6gVoOE9Wr6 - dW9VZZ5z9l5r/thVeev2+1ZXS/fW/X4iozrzVOY5eSqzduXtvfb6LVV01VWPKrOqHs3qDiG3GwAA - AAAAAAAAAAAAAAAAAAAA4Go0jmPf9yklM8s5m1nrKp5Sag1qj7G+cp6riJjJOM7Pfvbf+PE3/qh7 - UQ0RFyG3GwAAAAAAAAAAAABwjVHVeZ5FpMUzb8O53b0FeLf+xqq6WCyO18d4f39fVSPEXbouvfrV - r/713/gPOeezZ89O0+Tuy+XSzPb29s6ePXtyZ4aHY6oqoof9sI82xva21TRCqlRJUuvsVETgUXAv - IuJSQ6NK2VvvhhZ/2PdORLtEq+dpadOfoaf7OPKDUiK57ORzzru7u23Eq7X+3nvf95pX/2Dus4i0 - uqb2Ezj2UVW1jeQi0nZlZtM0HXuHeIxo/Q8AAAAAAAAAAIBTxh5wERFZrVbTNLXk7IsXL+7v74/j - 2Pf5KU+98W1v+9m/+3f/joeIiiXZ29s7yWdjNk1Tm38dhqGVv7Q8b1Xtuk5V2xRsznlbEAMAAC4J - WY5T2l+fNdFBNvtzERn6ZXi1OKwvVHEVPwU1XQAAAAAAAAAAAAAAAAAAAAAAAKeCmc3zvF1f2VZQ - tq6+KSV3j4haa+tO6w/fG/jBdF0SFRF51rOe+ZNvetOyH7qU+67TttCkrToJkzAXc/qvAgAAAAAA - AAAAAACubu7edZ27p5TGccw5i8i2d7G711pbpO5mszlGpK5qErEIbS2a57mK2Ktu/Rcf//jHN5tN - ztnMxnFcLpcRQZ/kz5iWwh4RdiQmWPXg0l79liBsZrwuuJ/WgV2PvHnisEFrFQ8TSTKWcT2tw6Q+ - 9LCxHVHaEHSKorsvd5h8X2tdLBbr9TpC777rnu/5npeqyjQVEXGXbSGTqprZMX4OLQE959xKpFpx - VN/3J3gquCKUjgEAAAAAAAAAAOC6sLu72/f9ZrOZ5/ncuXNnzpxJKU3TdO+9d589u/OGN/zwrbd+ - XytHeDy0udVxHPu+Tym1PO/t9lJKi/RuBTEAAOAyLsuUFpYml81Ghl5y0s20v+h6C0lB+QsAAAAA - AAAAAAAAAAAAAAAAAMBVJyJas++Ukly+gtLd2832td3tSpVaReTmm5/y1re+9YlPPD/P47Do9vb2 - RK44BRwAAAAAAAAAAAAAgKvKMAzTNNVa3X2b3i0itdZhGMys1nql+2ypsX3fz/PBY3POd9zxF//z - K1/RQrtFZLFY3HXXXWfPnm038XhTkZTSpWDguH937Baf7CoiIRK8LngYR7uzarJQSSmJxd7exeJF - k4iJP4oG7Mer5Lm6ucil3G4RafHkOeeIeOUrX/mXf/lfPEREHtjjtgVvH++opRRVVdVaq5nt7+8f - bz947OhdDAAAAAAAAAAAgFPPRGy53CnFU0o7OzvjOF64cKHNjD7xiU+89767PcrznvfcH/mR1/d9 - awNxYgne7r6zs9NqWbqu25a8iEjOebFYqOpisWjfOqmDAgBwqqhvNmuv45Bk0UlVqRKddvO86aqk - ED1awhRGPQwAAAAAAAAAAAAAAAAAAAAAAMBn3bavdK21rbIcx9HMRKQt8BSRUkrOeZ7nY/T8jZDP - +Zwn33bbbTfffHOtte/7vb29nZ3liZ4EAAAAAAAAAAAAAACfIWa2t7fXJtZLKX3fp5TMrJQiIhFh - ZimlcRxTSsfY/ziO2x7IqcuiMs2Tqrz73f/5da973TAMKaULFy7ceOON4zguFouTPTs8lJyzmbV4 - YNWDaottUvB2Y4S4+/7+7mfxqeIakpLVOoe6S9x38YK7hz4wGv6S9pYzUzPruq69Jz9jz/bx5Ae5 - 3Zczs9Yr/qd+6qd+9Vd/LUSGfhAxMxNV0aR26RfzGEft+77W2h5bSmmVUavV6jGeDI6NVsUAAAAA - AAAAAAC4LrS2Dimlvb09EVmtVvM8d5Z2dy886QnnvdRk8vf/3jf8zM+89ZanP7XWB86GHjMHVFX3 - 9va2DSbac2hXSimbzabrulYA0ff9aZmNBgDghPV9NrNaZZ4liXgVE8+SVFwPK6AsxPhDCgAAAAAA - AAAAAAAAAAAAAAAAcDVx95RSW2W5WCxUtfWi3Ww2wzDIYXfaeZ6vdM/nzi3f+OM/+sxnPtOj9H3e - bDY7O8v1en3ipwAAAAAAAAAAAAAAwGfGzs5Oa1DcvraOyjnntqXWWmvtuq5tv1KqOs9z3/c551pK - 7jppeb0hP/WWt73rXb+22Uyr1ZnWM/kY8/g4nqRZPUeEi6qISdIQEXETEQlzMxOTUJljXM/7oeVS - I051V5eDmwcNtF3dVURERR46qRmnjYocRHNrCXURmT3Etdb5vs3dk26qzO2tJSEioiGil/q4RoiG - mlnW1Kdskj4bJ/E4aL8gl35NRMIkbJ5r3y/++I/f//p/9aPVxUXW0ygi7i4REhER7gcPMbvi1vTT - NG330DrSl1JO6pxwDER3AwBwOm0DflRVVcn7AQAAAAAAwPXEH+qiGrXWnLOqtiqTUkqf82Z/38T7 - lOs0P/vL/tY7f/7tf/1L/5qKJG2zaWaWVZJKErGDioNHXXdwtNilVZy00pbWZqIVrKhqe1ZtY0Qc - fdTRKwAAXJcipIYXE8kqMcmgIuEih9WiIeqSQlK4HvzdBwAAAAAAAAAAAAAAAAAAwIHWMK6tWWg3 - j9FCDgAA4OE9cB1l09rOthWUXddFxLa79ziO7crBlsvWb9oDL4vFavv95SL/xBt/7L/6omedO7Oq - 01hKWSwW++ux6xchtr20/bcbAAAAAAAAAAAAAABc/VqxX9d1chj4GhGllLbdzGqt20DZdod25227 - 4wfbq4t4RBXxadqUMklImeaW4CsqHvK9L/++P/3wR2qNUrzrBrNc5lkiWs1hSqnWup7m1A+P/8/g - OqJhvSykquXOJXIkmSNHkhBXcRMzK6WESFXRXvbLxXXdkxxVVEQ0i+uk2VxFI2mYq4eGm7uKhSU3 - 0rtPM3WR0AgLszjIbhedRefJq1qyUM36Z5/+03lnoxZJJURCTURSeIr2NhIRSTJoTVrszLDTVZOp - ZrVLIfHXKPWkKu4R3oqX5qmGJZcs1t11z4Vvf+GLqkvu+hAJEUt6qaF9XCp/2g65D6qNw3L58Nsq - qVdP0GEAACAASURBVI6WcOecH49TxKNE6TwAAAAAAAAAAACuayba565LucyjmdR5fMYznnHbT/3k - 133d13p4C9V2d1UNCdMTm93cpnGbmbtve0+0JO82mdo2ykOWvAAAcP04rFIK0RANkQdEdB9uBwAA - AAAAAAAAAAAAAAAAwCVt2cLRjpzu/vAt5AAAAK7U3t7e0c8brSOtu0dE3/cRYWbDMBzvQ4iqppS6 - rtts9kVkGDozed3rXvfsv/llq9Vqb++iu3ddp6p8zgEAAAAAAAAAAAAAnD7bDsnuPo5jm5RvX2u9 - FC4bETs7O9umx49ehKjIvfftf/dLXrK7vxaxVnl45syZruvcfZqmUkrO+dy5c+v1+gRPDSLSWadh - LhIqIpJDRcRCRMWPNKUOFTdxrbvjxapVVUXMvbiX6i4i5mZtF+rt/nTpvK64mIiLenvRVS2lrriI - yd37n55tU0pVl1CJFmN8NJY7LEI1kknq82BiSVSONFG/dm02m7PndiJivV6b5q4bJExVp6m8/OXf - 9+k77xKRcZ76vheV6vURd/hAtdZtenfOucV142rDqwIAAAAAAAAAAIDrWkS0CeBpmoZh6Pt+vV7f - csstb3jDG573vOdGRCmTiKesquFRJOTS5bFR1VaG0iZW+77POS+Xy3meRWSe567rIuJoBQwAAAAA - AAAAAAAAAAAAAAAAAMCj1xrAqWpbQHG0ZScAAMCJcPednZ2WmZ1znqap1uruZtaSvNsHknEc3T3n - /Ai7e8D6zYhw9zKPKtLlbhznW2+99Ru/8RvOnTvX7tB1nRy2I29HBAAAAAAAAAAAAADgNGn9itv8 - +zRNqto6G6eU+r5v300p7e3tHS81NiUTkTvuuONlL3uZ5dyOdeHixWmeRSTn3I5SypQS8/InrOu6 - uJTR7WEtMvn+5RMaYi4ics9997hU1VDVCBXXo/nKR1/+0IM4cJxiKi4iLhYqoiHiIqZhVjWpVa1r - X99176dEy9E3lT9gP3FQbKyrxY6I+Wl55wzLxT33XhiGxc7OTinFzOZ57vv+zW9+86/+6q+XUlot - 0zRNctyio1akvVgsWqm2u5+CyPPTh+huAAAAAAAAAAAAXNfcvdbadZ2Z7e/vR1T3sru7OwzDq171 - /a/9gf+lTZjO8xhRH2xO+ZiOxnK3JzBNUyml1toyvLuuW6/XrQ7mpA4KAAAAAAAAAAAAAAAAAAAA - AACuK62pnLv3fS+HkZbtKwAAwIkbx7Hv+5SSmZVSRKT1ClfVlFJEtI1XRFUjqoj0fZ7L/KIXveBb - v/VbVPXixYullLY+dJqmiMg50/oWAAAAAAAAAAAAAHDKuHvOWVVrrSkld3f3UkrrqzxN0zAMInLs - GfOUUim+WCzmKX7lV37tp3/6raW4mQ3DchgGVV2v1znnnPM0TSmlEz25617YYrESEZGW2B0il3J/ - LURENESPvLZ333d3ldLuZiFmZmKq6eg+P1PPHp9lGgdvkmgJ3uIWYiEWyVwtpGS/a++uC5u7RWez - y8Opt++T9u7Sgx3sLM64H7Rhv+Zbo4e5R855HEcJU02q6u6/8RvvftOb3pSztZsHw1qEXfn41gqi - 3H0cRxGptW7bzuOqwrAIAAAAAAAAAACA61rrPDVNpe8XrQBlsVgsl0OtpZT5H3zzN//c236mH0xE - zp7dOXyQHV6Ob57nduics4iUUpbLZZuK7vu+1qqqy+VyHMd5nh/TGQIAAAAAAAAAAAAAAAAAAAAA - gOtV13WlFFWdpqnrutZdjkhLAABwgsxsnmczq7UOw9DCuVuQtpmJSGtx+8hNacMk7rd+00U8ZxOR - nG2aynOf+w9f/OIXdV2utfZ9b2YtDrx1J8850/oWAAAAAAAAAAAAAHDKmJmqqmpKSVXbBH1EtEn5 - ruvGcWyR3n3fbzN3r+gIIrLZbHI2VXnVq17zR3/0R60YYJpK13VmtrdZa07tiDhZO4uzSToL0RBX - F9PQS6nK29BuDRGxULnv4t2S5jj4hnXWiZi6+mHI8jZs2UXiGk9exqNU1dxExFVcQ5ObuUWEd/Vj - d91RYt+lppQkVEMe9E3RWqNbSTuLc14PKo3t2o+BV1VVK7O7e5jurvenaXrZy142jnOt3lK3a605 - ZwmJesXjZ+skLyJm1gqZHoeTwAm45t/KAAAAAAAAAAAAwGNRSun7frPZuPtisUgpjeO4v79ffV6t - VimlL//yZ//7f//vbnjyuYu7e7k7yUO3adRa65kzZyJivV63LdM0tTsMh5hwBQAAAAAAAAAAAAAA - AAAAAAAAxzDPc865ZWfWWluY5bFacwIAADy4iOi6TkRSSiKSc27bWzvaYRhEpOV5931/JTs++MQy - z7OIlOLf+I1/95WvfIW7u3vKmpK2/rkttLs10m3NcAEAAAAAAAAAAAAAOE1av+I2+V5KORqh3WbV - 24z5NE3HSNeutabU5ZxrjQjpe3vBt7/w9tvvWK3OjOM4z/PZs2drre249Ek+WRa2szxjkk2SqoSI - 6v1/wi3Vu10Vkbsu3lltDnUR11ANU49WF+oqD3g0Trn2ioeKX7bRsnSqOtnehz/+oUiTqITrYfmw - yzbWPUQP496TpOTp/Oq8iKmmUxN23DrPR4Sq7qzOftu3ffsnP/lXfZ8jZFtoVErpuu4Y45uqbvvM - t73N89xqqHBVOSXvZgAAAAAAAAAAAOB4xnFOqeuHobpvNpuIMJPVanF2tdy7eJ8l8SjPuOVp7/6N - X//SL/4iPZhI9sOLHLuFQ855nue+7yNid3dXRFQ159x6UrS51TbbutlsaBUBAAAAAAAAAAAAAAAA - AAAAAACOpzXiLKW4u6qq6jFacwIAADyU7RLIWmutVUTGcWzdus+ePTuOY9d1bdVk6yT+6FzqJ6wi - q2X3VV/1la9+9atXq5Wo7+1f7Lo0TVP7eGNmZtaiu2l9CwAAAAAAAAAAAAA4ZVoT43meW+PieZ7b - TH2tdRgGEUkppZRafKy7P/zeHsjMWjJ368w8TX7n3fd978tffnFv78z5c7vr/amWlJKZmYl7Oenz - u97tLM520rfrEeIWVVzsoBjDLo8SDpVP3f2Jte+7FRFRDwsVscPijYN7HwR4q4tc8fsB1xqzUFdv - UdwWh1nvrq5+odzz53d+yGVKJjU8QluEcbQG60f2ElFFJEd3dvGEpElUNU5FErzlca7W9SVEJd16 - 662/8zvvVZVxKqKac96WVR9j8BSRiOi6TkT6vt9sNu7edd3xdoXHFaXzAAAAAAAAAAAAuK7t7Ozs - 7++7e9/3qtqqTOZ5Xq/Xy+VQSlmtVhExDMP/8Yu/8A3f8N+d1HFLKaq6rXTJOUdEKaWUklJqod3t - 65kzZ1rhCwAAAAAAAAAAAAAAAAAAAAAAwBVR1Wma2uKFlqDZfLafFwAAOG3cPaXUPnUsFot25eLF - iznneZ5bqHZrdHtlVMzkmc/83Ne//oee+KTzpU7TNJ07d2Ycx5xzztndW9/bdvPEzwsAAAAAAAAA - AAAAgM+uruvmeW7psOM4LpdLEZmmKSI2m42IRESblz/e/tucu4gMw9ACZ4eh++3fft/rX//D6/1x - tTrT7tbivQ8jonFSrLPBIpscvHyHP+FLJRYahwHeYa5+1+6d69idZRZt8cCmqmJ2ePOSEHEVCkZP - NdNQEQkVUXcVEVEJES9e3WLX7/7U7l9WHVOSiBA7LK05+m4JEXFRV9Uc/SqfMcutyOcU1BvXWtsQ - qqrvfve73/GOd7pLhHRd1xrCt7Lqvu9rrccoPWqVUTnn9XptZu3nxjh5FSK6GwCA00z14BMYn8MA - AAAAAACAh9KislNK8zybWa21zW62651pGTdZJUmYyQ//qx964Qufv1j024ebiYoktZzz/f5H3CPO - sx5td1VK2W6vtcrhtHQrfDnajWI7XT3P83Zju07/LAAAAAAAAAAAAAAAAAAAAAAAcNR2eYKIuDut - SAAAwGNxdBnjdpFj27Jdm9k+aWyXOm6XT9Za3e/fJPqB2pLPdr3LpiFPf/rNb37zm5/ylKe0XQ1D - N2/GzlJEtI83Xdepqru7O0ssAQAAAAAAAAAAAACnT8vtFpFhGNqVvu/bLHybPd/e4Xj1gaUUERvH - MURCZDPOofLTP/0z73rXu+Z5jtA2L99ZJ/UkzgeHNLSMctMNT61TNVVVmWfXdPmLqC6HG6r6nDaf - uOejVUfNJmLu4mK11m3BhIVoHLSzjgfkeeOU8dAqKl5FXMRETMTDak2xsc0HP/aHc9oVkwhxDzMR - cREJkVAJFTl4w0hKGtXnjd980y3TWEp4XFO1xm2MKqUsFotWpNQ6zLffkS4Pn/zEp1/84u+c59JG - uW1H9/Z1mia5vEv8g2o951uVVLteSjGz1ty+7W2aJuq0r0JEdwMAAAAAAAAAAABX4MUvfvGrXvX9 - OztLEVEVVc3ZPLyU0mZGzaxNnZZS+r5/hN09aqo6DMP+/n4L9pbDipl23HZdVdu87CNO8QIAAAAA - AAAAAAAAAAAAAAAAAAAAADx6pZTtMkYR6bouItoix7ZlvV63e26zt69IWylZa611FhFVKcVvvvnG - t7zltlue8bRxWkdErbOq5pyJ6AYAAAAAAAAAAAAAXOdSSuM4zvOcUprnudbaomQfgyPZpiHu8n3f - 94rbP3yHmYnYYrHYbDaLxeKxHQL3Yynyqt/RSBKqKi3z1yMkTMJ0Wx+hIiJhUfL6k/f9pfReo0gy - M1NVMXWNUBc5eICGOfHB1w3VaG8VVwn1aqUOPub9D3/8/cXWLqIimq14fag9uLuq9roY0k5Kndi1 - 9+7JOaeUpmmqtbbipTYkppTW6/ULXvCC9Xpdq6hKzlec45xzNjN3b/vMObeYcBEppYzj2A662Wz6 - vnf3kz45PFZEdwMAAAAAAAAAAOC6FttqgkdiIRbSJfsH3/zfv/1tP/uUJz8xQkSiFFeVLluXTUTc - 3V2GYSlipZzYFGmb9D179mybmt3f32/53Dnn1tJid3c3IoZhmOf5MVfJAAAAAAAAAAAAAAAAAAAA - AAAAAAAAXJJznud5GIaI2N3dFZGWom1mm81GRJbLZVvwuG2Ae0XmeTazbDp0vYqYypkzix/7sR97 - 1rOetV6vV8Ni2XdSXapHBC1uAQAAAAAAAAAAAADXuWmacs5d15VSzCylVEqJeJS9lo/yI5dLQuS+ - i3v/04te9Fd33ROuIjYMy7299Uk9f4iIhWTpz+/ckCSph4ioSkRE6NGoWVcJEVepVja2vuOTt3sq - s0yqqpKOvOguIhpiYZdu41QLNVVNISYuh73Wq/nUre9cf/L2j39IcxERdzGzkEvR3SHiIqGiIhbi - Hqa6SstlXpplEWlVQNeKWquIqGqttWV4ty3u3vWLV7/2tR/40IfmEiESIcfoG19KcXd3b1dUtQV1 - t4MOw9COuFgs2nFxtSG6GwAAAAAAAAAAAHj03Ewi6hd84TPf8c6f/5Iv+aJaJeeD2dY24dr3vYi0 - gpUTbP3QJlwjouu6cRxXq1XO2d0jYr1eR8SZM2e29zmpgwIAAAAAAAAAAAAAAAAAAAAAAAAAADRt - AWOt9cyZM9vljev1erFYiEiL6zYzMzteF1r3Ur1O89R1GiE/+ZP/25d/xd/ajPvuHlH39vb6vm+L - K0/2vAAAAAAAAAAAAAAAuOa0NsgRMU1TrbXWqqpd153U/s1ERe74yMde8YpXppQvXtjLuW8VAjgx - YcnTDedu0DBxtYPG0hYR3nKT9bBGQiVUQr2k+S/u/PN933Vxl1rCa3ioh1JNcd0JkVAVEQ3RkFB3 - dVdzjbHf+5O//P1Nvc86TSY+i0vVJA8ex60SIhK2szw3pKWKVXcTNXmI+1+V2hgoIq24aJ7nKiph - v/mbv/m2t729FDE7+O4xdt4etX3sPM/uvlgsIqJ1iReRVjHl7mbkRF91eEkAAAAAAAAAAACAh6QP - uJQyRdTz589+4Rd+/k+/5c3/9Vd/paqISNdp1yURaR0faq0eIXqSM8tmFhGllFahMo6jmanqcrks - pcjhxG3f9/M8n+BxAQAAAAAAAAAAAAAAAAAAAAAAAADAdW6e59b1uy1mLKUsl8u2yNHdVbWtr3T3 - dvNK959UVMTURGSe441v/JGv+ZqvuXDhwnK57Ps8TVNEdF03jmO7ctLnBwAAAAAAAAAAAADANaZ1 - J+77vpTSOhI/1r7ERxoxe4iHpKS/8iu/dtttt61Wq81m02oDcFI0TDzdcP7GHKYRIqIqEaGaLr/f - wX+rRu2mezd33XnvnWHVW52GVEkmIn4kvfswBRynXKhIWApLbiI1NEKsmq+7i3/wkd+2HavVzTRC - ao1Wz6MiruIPVtpzw9kn58gRGqEtjvpaYWZtdFLVWmsrc+r7/lOf+tQ/+kf/Q04pJ6s1RKSU0nWd - iF1RmnPrAF9KGYZBRLqui4h7771XpP3Cajt0SqnrummaHo9zxGNBdDcAAAAAAAAAAABwBUqdlqth - vdm7ePG+G2+84ed+7mef85znpCTzHBFVDmdezUwi5ORml9vUr5mJiKqqapujLaVM09R1Xa1VRGqt - tJwAAAAAAAAAAAAAAAAAAAAAAAAAAAAnq/Wc3S5mbH1mW1/alJKImFlbBWlmx+je6+GqohYi8i// - 5Wu/+qu/OqQOw7Be783zbGar1aq1Gm89x0/49AAAAAAAAAAAAAAAuKbM86yq8zyP45hzFpFt++IT - 0Q+DiLjH0Hevfe3/+r73/X6yHKFEoJ6wak86d0PSfLTW4oGvY6iEeph7KkU3f/Gx28VCNMRas2qN - I7ndei1lLuOxChXzrCKiHlYkUpX4xMW/+OjdH5YcUxERyVlE5EHreVrKu6pYyFOe/FStaZvb3RKp - rwl6hLvnnBeLxac//env/O7vEpF5rqV4a9s+DMM8z8fYv4iY2TiOZtb6wLcW8e23dVvLVGvt+/4E - Tw0ngr9bAAAAAAAAAAAAwGX0yEXCLruIDF0v7u51sexV9cKFe//Fq1/1vd/70nPnVqXIMAwppVKK - uz/Sca5M22FE5Jzb1zYNnHNuE7EppVYccw3NZwMAAAAAAAAAAAAAAAAAAAAAAAAAgGuFqpqZu7es - 7r7vc84ppbawUfUx9e01kwhxj5e+9Lu+6Zu+abVa7e7u9n1eDYshd6I+zZtSymq1yjlP03SypwYA - AAAAAAAAAAAAwLWlxdB2XdeSaHPO6/X6GF2RD/owP8A0jiKSczeOs4g8//nP/6u/+qvH+JzxAJok - PeHcEzvrTJKKxEGOskrYQdqsShy+Qq5eVKSPD93xwRoloqrGtk4jLnshSaq9LriKiGlochMprl4j - udr73v/bk10cy1pVIsJMxdqdGzu4HGZ5q0qE3njDTRGHYfARDxr1fXWKCDNrzzmllFL6xCc+8da3 - vvW97/3DUsSyikqtVUTGcc75iqO1W1D3doDdXqm1tuutP3yttTWoP7kzw8lgQAQAAAAAAAAAAACu - zDiOOXdmNk3Tzs5ORPyP//Qfv/71P7SzM0zj6O4SVURyzid4UD3Upl3bLK8cNrBoYeFmB9N/19CU - NgAAV7MQk1aIdliB6A9VVQoAAAAAAAAAAAAAAAAAAAAAAHCqbZcutvTuttpRVd09IpbLZa01Ivq+ - r7UeY4mlu+Qsz33uP/wn/+QfD8MQUheLfr1ej+PYDqqqKaVa68WLF5fL5cmeHQAAAAAAAAAAAAAA - 15aWF9tm8Luuc/flcnmSfYlVU87zPJtZSnrvvRee/4IXTuN8YvuHiIbk6Hb6M2aW1FKIhUiYqpq4 - iodKqOjBq+oiXkMi+Uc/dfvaLtaYVKO9C1QkhYhIqFQTV09uKcirvcbo4eVKhYqKqIdbmfPm9z/0 - Hl3Omzp3C/MQkWQiZhoiEpLCU7iIu0pVkxBV0ZAnLJ+Ya5cktbbnGn7Cp/d42lYxqaZS/I//+P1v - /PHb2re8hiXdtnDfdnd/9HLO2xKmdpS23cxaaLeqtuzwUsrJtqbHiWAoBADgFDr4zKoSIV3XRURE - tI0AAAAAAAAAHlEcvahfdhGpLpazhJXZW4sHC49S/5uv+aq3/9zbnnnLzcnERFTc5OD/yqUut+nu - bWOI4zyriIhoBTFypMNFSqnt08xqrYf/e1DlcAJ4nmchzBsAgIelsa1EFBVV0XbTVVwvFa25aD12 - FRsAAAAAAAAAAAAAAAAAAAAAAMC1ry1aNLO2ZLI1tBWR9XrdrkzTJCIt2PsBrF0Wi1VbCJnSwSIN - NclZvu7v/Le3/vNXLIduHtdJwkKG3LW2tl5F5aDRbWs4/rifKgAAAAAAAAA8/lpyWK21pX8RKgEA - AIBHr03Zm1mLid3O4LdGxO1m+4T58DmyrQ/zZTdCJCSp1bnknN3dQ6vL+z/wode87gdrjWFY1hqq - SVVVtfqsFqIuup3NtyMXPCQL05pj0ptuukmTxZxTXaimUiZr4crtnwgh5iLqIq4ikey+dOcffvS3 - Jt2VqCqeQvpqueZQrSrFSovuzqVT0ruvHSpiYRZmcSTDW13UXd3br9jhRcVFJGtOKY1SwmoS7bs8 - devf+7P37Pp9o+zJIGN4lb7UrGFaIsRCbSg+FG9d1Kv0VXsVlSk+70lfsBh3apHZ56FLV+E/UV3F - D5+UHk06j0hm7jIMy81UPvqXH3/xd70k9NKA5iVExN1FPKKKuMiDVx/pITkyeLZSqJbS3bSN7W7b - 4TfnTG731YlxEAAAAAAAAAAAALhCD1Jt4Ds7O1/6pV/8jnf+/Bd9wef3XTaRqHOygwjtxXIpIu7e - 4rdPcMq51nrmzJlWcr0NBW/1Me3mNsx7b2/vpA4KAMDppBJ6WFV1+d9qE9EQi4d4IAAAAAAAAAAA - AAAAAAAAAAAAwGmnqu7e932tVUSWhwsnr3Q/OefNZtNa1tbalkNqhHzFs//mj//4j6akpUxdlyKi - lNKOBQAAAAAAAAAAAAAAHqVpmloPZDlsUNxCZ69Um7JvMbTunlIax/Hn3/GOX/7lX27z/m2jiAzD - cIz6AYiIiFmYerrxSU+NYimyhoq32GCXw6BiC5EQDTGRpGl/3Phy+pOP/r4s6xSblCymoiEaIiKh - Bx01lez0005FNpuNiCwWebNZJ81TWY/dfb/zwf/oafTD176ahIqFaBzkXqtIOuywGiohJi7nl08Y - YpGjz5bMzL14nT8r53UMXde5e855s5m6rn/BC1547317cuVdZHPOEdF1Xevx3sK52zC4Wq1qrXt7 - e9v28qR0X0MYCQEAAAAAAAAAAIATcOHChVrrTTfd9Eu/9Etf//VfLyIeXr12Xachm/21qbVGEieY - 2y0iXdft7u6KSM65Vaj4oVrrNE3b6padnR1KWAAAeBih4irVpNpBeWJjcRjkrZEOkr0/a08SAAAA - AAAAAAAAAAAAAAAAAADgsyulpKrTNPV9/6gfdKkXdCklpVRrzTm3BZfV49nP/htvetObWlx313XT - NG2Twh+XcwAAAAAAAAAAAAAA4JTq+77Wus2d7bpORFar1ZXup8Vyj+PYbpqZmc1z/eev/P7bb79j - HOfVajVN0/7+/jSWnO43v+8teRqPSFWTpGd8zufr3KmqmIu4SYvwlpCDHph2mMzdUr11kD/7+J9+ - cvPxsV+bmIaFeklFRDRUw0TEzatVV16Ia1iISJiEHdTehEmYhmmYiIVYNwzTNFnxRe5C8mj1Q5/6 - vdvv/MNqs4QlFwtRKW6Tq8eRzugHkfAioq4hyfun3vB0SVajWEgSrTXias87vjTOjJtZwua5mtn3 - f//3f+ADf2bHeu5HK5fOnDkjIq2cSUT29/dFZLVaubuqto24Vlzlb2UAAAAAAAAAAADg2rBarbqu - q7WqyQ/+4A+85Hu+U0RUpcyzquacW5OIxWLRJlZP6rjzPIvIMAxyOGsbESklM0sp9X2/rW4BAAAP - I1T88Ksfyea2EBUhrBsAAAAAAAAAAAAAAAAAAAAAAMDMWmtaVR2GYZqm4+0noopIrSVCLMnnfd4t - P/ETP/GEJzzBzHZ2du66667lctk6gB/7EAAAAAAAAAAAAAAAXM9arKyq1lpVtYXOXpEW/h0RwzCo - amuDbCabsbzwhS+sta7XY4SeO3fu4HBBNOoxRbVbbvi8zheuEVY15pAqIn6/JtYqGuKl9MvkMl0o - 97z3I78zDxuPOlhfzatVEdGw5KYirqVaoZnmNSQOw6j9UTdC7fs+os7jNHT9po5Tt/6tP/n1aXVf - TXOLe7cQURd1OXg7uYjH4fE0RKSIepr7pz/5c83y7DXcVaT1OX98TvTkdV1XvPZ9/3/98r/9N//m - nX2f3SWl4/SBb93jU0q7u7uqulwuVdXMzCwi2kjYes67+wmfBh43/H0CAAAAAAAAAAAATsA8j3t7 - F1Wj73Pu0j97/j99zWtuXSw6M3H3UkqrNdlsNl3XneyUqqq2fO5xHLuuyzlHRDtcKWUYhlqrmc3z - bMb8IAAAD2mb3i0iKmLRcrvj8vt4qywDAAAAAAAAAAAAAAAAAAAAAAC4DvV9LyIR0RY2PhI7vDQu - 4qrh7mfOrEJETZ7ylCe/5S233XzzzfM8bzabzWZz44031lp3d3dTSjnnx+9cAAAAAAAAAAAAAAA4 - fVrg7rZHcdN13ZXux93brP22QsDd3SWZfOQjH3vZS793mqaUOhGrNQ76HocdhgS7qB/GEOPhqKpU - e9Jw0xP7J0dEWBERDT/okCkiIXrYJFNEooqZbHxTh/F3//z/u0fuDC0i6hqtnaaFaZiGVYtqVZSX - 4Jpy8LtztBeqiZiFWRzW4cThRWQz7vd931k3zlPtNrd/+v0f+PT7ynK3pElEkltyEZHQg0sL8A61 - kPY+ae8dSdPwtBueaZrFQsTFo4Zquurqdkzc5GCEOTgpkRCp4Wb59g9/5OWv+L7VajFN5eDuV6jr - ummaRKSUIiI55/V6HRFm1rZ3XdcyvNvGkz07PH54qQAAAAAAAAAAAIATYGbnz5/POe/v76tGHJwq - QQAAIABJREFU16Vv+Zbn/Ovb3vyEJzwh57xYLNx9uVyKyDzPJ350VW1XIiLnvJ2ybdUtKSUR6bqu - 5XkDAICHoSEmYiEaonJQrxYioeEqtdWZ6Wf7WQIAAAAAAAAAAAAAAAAAAAAAAHxmtSWKqmpm23WL - D/uIB295GhGqsru3n7OcPbu87bbbvvALv/Cv7vzUMHRtgeTFixenaTp//rw7zaMBAAAAAAAAAAAA - ALgyqlprbddbvqyqHq8lcisPkCNx4F3XtV3/6q/++r9+y09L6DjOy+VOrfQ9PqaISJ5Xcf5pT/hc - dXEVU1EXFwkVOUhrPqAifSdeiyepuXxq/fEP3/mhuSth9XB3pmEp1EJEPJTai2vSo/91ijKbhqRc - zMty/Zt/9OsX4565DzcX8dZe9SCu+8ijXCQO4sBFRCxsEWeeev4W1azZklpWE5HiEtdG5LGppmma - Xvii76g19vc3IrKzs7MdCR+9eZ5VtQ19KaV5nvu+zzlvNpvW9d3d26B64ueAx9U18T4GAAAAAAAA - AAAArnbzPJdSdncvrFaLnG1390Lf57/9t7/8F37hnU+7+anjZqMim/W675KIDMNw+Dh7wOXKmJmq - bhO7I6K1ojCzcRxFpNa6nSFmQhcAgIehISkkRSQPPawpC3U3ryrVpKpXI7cbAAAAAAAAAAAAAAAA - AAAAAABcp1pWt7vXWs3sUfT1vmzVpIqoSE4qIRqSzX72Z/73L/j8Z07j+qabbtrb28s5q+owDDs7 - O/v7+33fH691OAAAAAAAAAAAAAAA161SSkqp5cuWUiKidTA+xq7GcTSz1ve41lpKKaW4S+5zCXn9 - G37kP/6n38x9P89zC7UVEQmTOLyuLkpy9COIiM76YVp97o3PMkkeoipqEireGlbHQcRy65NpJqVE - 10nRecz77/3T99xT7pzTKCLJD6LWzU2DpNprUqjHpd+aR+hYruJdtojYSC078gcfe88HPvE7uhBX - CRU3EXERsSO/hSYiKqF2eFVEJLk+abjpxp2neT08qqpq8ivIEP9M2naMtW22feq673npy+644y8O - m7Hb3t76eHnNrcd7G/REZJqmNqi2UdTd25U2up7I+eAzgAERAAAAAAAAAAAAOAEppYhYrVa11mma - lsvlOI4Rccstt/ziL/7iV37lV5hJzjbPNaXUQrVPhLu3rO42U9vKYkREVReLRbuy3QgAAB6GhVhI - isNs7sPK0qrixHUDAAAAAAAAAAAAAAAAAAAAAIDrW0qplCIiy+VSRFpr74d9xP2+e7DOsdYQkeUy - /+iPveFL//oXD8Pg7ru7u8MwqOo4jqWU/f39YRhqrSmlx+NcAAAAAAAAAAAAAAA4rXLOpRQzi4ic - s4jUWo8RMdse2/K/3T2l1PYpItNURMRdvvM7v/uOO/7cNEUo0ajHExGddqksnnruadkXWu/3c7ws - vNlCShF3MbMqMsX0J3f8wSfXHx37PQtRzyIS6qJucf/H4lSyEDHf1/XFdN//+7v/dl7s26ClSKiI - iB/2U9XD6PetbTC8ipjnJw43PnF4cq1a3b1UCxG7hjqx2tve9nPvete7pqnUGsk6ETle0VGL5RaR - lt69HQZbg/daa865Xe+6bntnXP0YCgEAOIWO/it3+8nsGP/0BQAAAAAAAPDoqaq7e5SQaklCqlqo - Rkp27tyZN7/5J5/3vOeW4qriteakItL3vYirRtd1ImJmj9Sl4hFERK21XW+7UtV2xcy26d2bzWZ7 - fxGZpon/fwgAuM7FYbHTpUoyFRGpIpqTJqshSVWrdEWliPCXEwAAAAAAAAAAAAAAAAAAAAAAnF5t - rWKtta1MnKap7/vtUsT1ei1HmtI+FJWDBRs5ZxFPKSVLItJao916661f+7Vf26dcxk1W6ZO1NZJd - 16WUtjHhAAAAAAAAAHCKtSwJGsEBAADgZLXE7ohoHYlLKe0zZ/v82eJszaxFcT/MftrE/fbz6raK - QEVbAYCI7O3tvehFL1pPo4uoas79NE0ppZZuS6zto+JhYWnunvnULxhk1Wv2yysmLOx+9RnDIHX0 - rGomsvB/95//Tz83hoXM0fVpqhuRMDML1TALImuvJd4uh5HbWxGaUteu1yguNfep/UqOvtmc2XvP - Hb/10d075n4ax6IuGhIq1aQeSX5vAd4RknMupbRo6nmUwYa/9owv2dw79zaISJ9yKW5m9er756qq - Roi7R4Qkk5Rr6Ps/+MFbb73V/aBaqXoVEa/1GCNQGzkjoo2Q2/GzjZbb8fNEzwmfCbxmAAAAAAAA - AAAAwONoHEeR2NnZufXWW1/+8pcOQ1aVWg8ys/u+F5F5nodhcPeH71JxRVpfjGEYWk3MttJlnufF - YtEOqqrtOShlLACA61jogxWlqVQVyTJ5dXcPUdccmqtdCvoGAAAAAAAAAAAAAAAAAAAAAAA4ddw9 - peTutdbWi3a5XM7zfMU7Uu9yJ4crHGut1WvOEiGvetUr/t7f/wYRmaaNiLSjnPBpAAAAAAAAAAAA - AABwXdq2Gnb3Fs0rIhEREV3Xta7FrSrgeM2QQ+Igy1atVv/ABz70mte8pu+HWmN/f//MmXMRce89 - F1JKfT9QD/BI3EzEo5Nulc4+46YvSPMimamKipi4Hv78XA96YaqKuoirRhbxouPHNx/9vY+8Z04b - 63Rvs98veo8S1cOTafdZPDecrFImEUlJc84eZZzWlnX2Iqu4K/7L//O7vzQOY01hKquuk5AQCT3o - uWou6tbyi1VlrmE5zT6XUpZZYqPPuOFzV+msuKpqhIqHi2gyv8o6sOac53k+f/58LVGKu/ve3t5L - XvJSd3ngaNOSvK/IYrFoA2OttZTSBkycAkR3AwAAAAAAAAAAAFdCXfSha0rCJC6bg1st+qSh4dNm - /fzn/7Mf+IHX9n3KWZLJctFP0xQRKaVxHEVkGIYTfKZd17Xd5pxXq1VEqOp2Y9d1ItKyw5kABgBc - 50IkLr9ZVYrJvkt0qRY5u1jM1bPlwTqZ+LsJAAAAAAAAAAAAAAAAAAAAAABOLTNzd1VtKxDNbPv1 - ikTEXEYza49dLgcRKUW+4zu+7Vuf+y3nds5IdREZhkFVp2k64dMAAAAAAAAAAAAAAOB6FREtt7u1 - HZ6mqZQSEfM8t3l8VW2z+YvF4kp3rmY1XM1CxUNKjZ//+Xe+9a1v7YZhWC1b6+Pz58/XWqdp2uaI - 4yGpl1JM0qDLL3nGl+l60MgiYiEpRENEPNRCxFsGs0mtIpJVUpLkWj69/th/+uB/mIf1hXLPsNOJ - uvskKUxSlCCy9trS4rUtxC7PoTaTiCjhY5mrVOuSa0gncx6nYe/df/R/f2z3Q6XzIpqSlnnWMA27 - vNvqQe90Vam1ppRquLsv05ml7Nx80y1d9DKHiWlYxMGbTuShm7F/NszznHO+5+77+r7v+76WePVr - X/vBP7291KguLhGHPWaPkdstIpvNxsxyzu1mGyepazoFGAcBAAAAAAAAAACAk3YkvXt/f1dEUtLF - ot/f33/Oc57z9re//elPf7q7jOPBhGurIDGzVllyIvq+n+dZDid324yyiETENiB8HMdSSpsmP6nj - AgBwOrhKNVms+vn/Z+/Oo2zL6jrBf3+/vfc598bwhhwglSEBtZhkUiAxrbKo7sausrR7tbWWZa1V - tXqoroJuLRtMBUHLWlhSDlUUs4AgKQqpWJmKiECKgKDMiFICIqSIQGZCpu/ley8i7r3n7L1/v/5j - R9wXSQ5kREbmG/L7WWfddeLEuWe4w4n13v6d3zfXLsXZYhElzoZxDIq+Z8kNERERERERERERERER - ERERERERERERERGdx1S1NexuHbrNzGzPfXhTSu257jVGnS+Gvg/f//3f9//+0DO6rtva2kop1VpL - KeM4TqfTgz8NIiIiIiIiIiIiIiIiIiKi+56cs4i0hsMhhJxz13WtNfFisWgFAC3buy3Z6/ZbL2UR - aVuYTDozvPCFL/roRz82LHLXTUoxd0+xr7W27HC6EwECKwCCdd90ycP7smo11J3wZoUBMKCqLkOY - TaASRVQRJPigW9fd/Ok/+9JHcLhkDDkPoYuAucCFfafPE+6uClWUMprXkNTF5mVLDtVPfOEjH/zU - H67eT7OWDHNxy1BXMZVdnxnA2o9uCAgAEAANsPig+z14tVuzYjBEiXBViYBVy2fqfO+IiMQYVVUk - 5LG+6U1vuuaaN9/umg53+O3+6s6FEEopMcZSSlvSdd3+j5jODuwjTERERERERERERERERHQ36a0n - AIBrC/CeTCZdFzc2TuY8rEy6Yb716Ec/6vWv/9XHPvZR7lhZmQAoZRRxQ4XsuXXFHRnH7Vxwd2/h - 3CklEVkWtbQM7xhjCGEfLTOIiIjOYybb1VWzzTFBtWJFU3WbHD58shP0yoobIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiI6X7X7E1V1MpkMw6CqItJyuPck57yysgJYCwIHcNlll/3sz/5sF6LlsrKyUmuN - mqImmLQOwURERERERERERERERERERHQ3pZTa0H/LnY0xzufzltXd9z0AM2tJ3u3HvapWNYpZBaCq - i8UIYGNj64orrlgsFltbW21fpRRVVWX3xq9DFQiQAEDuv/bgC1ce7DWKSovuFjeIucCB9mgAHBLU - 3c0LpIQpNuX4Wz589Wz11MZwArDUx2y5mIUUWZFxLpI2+enJrABQRdcnqOc6ulgO8xuHL137sbeM - emqoG9VHF8uG2Is4dGcL2/nVYhBrP6iqmUmAiJSZffODH6FVpSJKFFc3U1WoGOoZfBFuVx6rSoyx - G4bhs5/97C/8wi+6Ab7zkm2zXdPeqGrOuT2GEObzeSmF/dvPA/xTRERERERERERERERERHSwdPcw - 3DDOZ/PN6XQ6nU5btcra2toll1xy1VVX/YN/8B2z2QLAdDp1d7jLgZaStL4Y7i4itdY24isirclF - 63NRa621soSFiIjottSxPk1WTV2z1Rj7U1a+ZFuYOMKZPjgiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IqJ7Rtd1AETE3UMIbSbnvI9NzWabANxrNb/88ie//OUv67rOzNy91tq2b2bT6XQYhgM+DSIiIiIi - IiIiIiIiIiIiovsqEQEQQtjc3BSR6XTaGhS3Ifs2WA9g34P1Vt0dKYVlom3XxS9+8YZnPetHp9Np - 103cpdaa4n6iwe9rtttHS/GKCdYe+g0PB6I7BAgOhYnDBSbwnWRiF7hKgbu7WQ0dSjd8YfNzf/Cn - vz85nFS11LF61U5zLWf05OjAhBAgVmoOSV1srGOaJJngDz/2+186dZ1OUKpDKmAIqObqCIZgKg6g - fXgMYiIAWha4uaC6R+8f8sBvDqYBEjWhwmoL+zZV2Uf69T1qMplsbm4CGHJ91rN+dGs+hnCQbd3d - ve/72WwGIOc8nU5jjO2KSuc0tuAnIiIiIiIiIiIiIiIi2jtZDhjrbablcgCY9pNJ18Wk88WWe1XF - xubJmHQy6a+88sof+ZEfVsV8Pg9BsFPUclDMbLFYtPmUUkrJzLqua3tR1VYuEwLTR4mI6L5OgN1/ - g9URHGqo8zyVKCECcWb1FrV/96H3YCUgnrFDJSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiuqeJSAjB - 3VvPbhFJKe11IyGIqgIww2Me/YiXveSlIYQQZTqdttzurutyzltbWwD6nt26iYiIiIiIiIiIiIiI - iIiIDkApJaU0DIOIrK2tAXD3tlBVc87DMCybEu+jNXHqIgAIoAIgpeTAMJaUwh//8Z+88IUvdPdx - HNfX10+cONGlyQGf3nnHzNyt1gxAcvfIhzw6aOfu6lBvrTINgEMBuMAcLigoLi4B5nBHVcjF5Z1/ - +vYbbroebjkPEgUBYx3PtuhlunPiaGHbtxVCKKW0Yp72Xf705z75kb/6k7oyG3OeJFWFBFTBaAIx - dQuOYAqo7/RdNUAkmFmMsbiLhCPrF118+P6toXprqi4iBi8lH2Ak9kERCX0/dQlXXHHF5z73N6qo - 1e0OXrF9UNVhGFohU7s8mpkZv0TnvLPvs0xERERERERERERERER0jrGd+oPbGUCttY5jXiwWMcYQ - gpmllEopjlpKfub/9+9+9gU/EwMcnmKwUg/qmFo/C1Vt3TFyzjlnVR3HEUAL8A4htGYZtR7YfomI - iM5pvpPgLY7g6DSa11IWKUVXRxehihghLLkhIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLzU61VRFqL - 3pbeLSI5531sx8yC4qEPeeCrXvWqQ4fWpyv9OI7z+VxEYozDMIQQDh9Zn8/n1fa8fSIiIiIiIiIi - IiIiIiIiIrqtNtzfdZ27A8g5t2F6AO6eUhIRd28diffRlziPJUSFII+lbR+AquZca8UrX/3L73rP - e1LqZ8N4+PDRYRgO+PTOLwKDuAZARSV2Pn3I/R+2KuvREwBvk7Q1t0OdxeEOswKxEMQE7iiOwWeL - dOrN7/mtrXiidFmS1zz0MQEwudVOTaxNAMS1TYCafO2adPftvNrLBQpsv+Y7adqnlwNw2emM6i04 - e7v96TiOEoKquleJsH78263P/d6Hrs4rs5N5M3YhjyYVUZEzUt8Z4GJ+2zfUIAjmJSa4IyF94+oD - j8QLYZKtmhVR1xgAlFJEzrYPhG5uzmI3ec1rXvvOd/6RRlht343bP859HH6ttZVLmVnr8W5mLcOb - zmnsI0xERHR+EhH37RnshPQQERERERERERHRAXDdnrbZHU8A4FDRGEPnJu4uIqglCtQtBcnD7J// - wD973WtfNZ0E9ypADNKGzAUANIS0M2qup0f3ZNd0B8ysPe4uf2kLRaQtVNU204Z+W6p3e2xjwwf5 - uhEREZ2txKEOdRjUoC4msLbErQRBAGqeT+BaDLoGrAKsmiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - onNb69CNnZ6zy4Wthbe7l1Lawju/37B19wYgIiFFCDQGVQSFABccWX/tL7/6kvtd3KeAagGuihCk - lFEVLjaWISTdT69cIiIiIiIiIiIiIiIiIiIiuj2yA0BKafev3F1V3X0ZRrtM573tzO1z1GwwwE8v - MzMHRJErfuzZP/6l668390UeEWKMcRzHUsd+kqpliDmqqEPs9LRN74P5quIQc69ISJjpBeGCJ3zT - E2Se4OoBFgDAxrKqARkREEcQCEyljrWEADMkBTLipPzliY//4WffejL9XUljhMpgAKC+HRctwQRQ - hF5dHGLqGiyoB7TGnEzvPlAm5uIu7tvp3duh3bprOp2e7sFEq7iJi0iUqB7F4C4isrKysrW1Ne16 - wIrOb7br3/jR13w+fGoeN1OP0TMCAuAjpgFWs4sVRRVzMXGT9m11oEZ30V5mw0wrpuP0Ox/21P7E - WvAOCSbVPZtnUY9dV/zOz+9A7O67fvqaEKI4artYlVJU1QwiYbq69qEPf+xFL36pA7UAgIrCfDvo - fhcH7I6Pv10Ad18nW85jq6FS1WXs47Iyis5p97k/LURERERERERERERERET3DNv1eJf0fQpBVif9 - 5Zc/5dq3/f6DvvFiBWp1AAIJGrquq7WKyLKQ5UC08eYYo6pOJpPNzU0A7t513TiOXdctVzvAnRIR - EZ3NtmvIIMBOkaAv/w5u15cFN3XAExBZckNEREREREREREREREREREREREREREREROc6EWnh3CGE - Fs5dSlneY3jXlVJEJKXk7rWUfjKxWs1ghguOTK+88lce+tBLa805Z/f6td29W/ftW7XhJiIiIiIi - IiIiIiIiIiIionuEmbVqATNbLBZra2sA3L2N+7ceyKr6daK770DL1o1BT5zY/DdP/7fDkCfTqajO - ZrO1tbW+7zc3N1NKtVZG4e4mIqoxaAS0D6nH9OGXPPKQHxaLRZArXCWFABM53Tzz9MxyvutxcrYV - L6zv+rO3f+bGT5a0yJjFTszMK9zd4O29NvNhPgIwwMSqbgc7i+uuVpx0sO44ll4MgLfvnCsgEDH3 - sY7FSovxrrVa8YhgVgaZbXXH3/qhq7+w+dk8meUwmsBa4Y1DDOIQNxMsJ7QPiQPQGDurkIBqWI3d - 4e7oAw8/ZAVHxKIDLjDx7Y9Gy3I/Q/1Xh2EIIeScU0opJQAxxlzr8eMnnvWsK+bzQUTalcTM9nFJ - qbVOJhMA7t52ZGa11gM/ETpL8NJGREREREREREREREREdAbknNu477Fjx9z9AQ94wNVXX/2Upzwh - RoQgDq9W87gQmLu7+62e7DsponvXBtpjjKWUNhJ85MiRVjPRemq0RhuqOo7j3T1JIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiOivlnGOMLbS7dd9OKbV7DPfK3XPOALrUWantFkhVvPzlL3/EIx6x - bJUrIvvr7k1ERERERERERERERERERER3k5mFEEopMcZWJ3DixIk2jt/G/VsJgbvvL1rbzPq+L8Xc - 8eUvf/m5z33u5uaslLK2traxseEmXZrUWqfT6TiOX9tv+b5Lq22natdaTeGOv3f/R17UXyIeRLU6 - AARNtVaR7YBncejOtOxTPWSsH47H58cHnb/9vW/90sYX6vpiCye7EHvvVNXVixd3T+iid8ECgBJy - jkMJo4kFl2hBmd59cNRVXMRDe8sAuJiLmZhpqVqqlp0lxbRCTGvQqlWthFJils6QRCT4UFe7yehD - Xl1c+5e/94Evvncoc6261/fLUB211hqBlCcPvvChFxy5CNg+TkCAVtujwL0T5W6A3XbpMld7HMcY - u62teQjBzJ797GcfO3as/aqt2Xqt72PHi8WibaRFgwNQ5Yf/vMW3loiIiIiIiIiIiIiIiOgMWF1d - NbPFYnHBBRdMp9NSygUXXHDllVd+z/d8T60+mXTbq62sYtcw8N3XNlVK6fu+zQBQVTNrNTGqOgyD - u3ddxxIWIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKi81JrO9tuJDSz1nx22Yv2rmvtvFU1hDCO - Y8vw7vv00he/8HGPe1xKKcY4jmPLBW9tvomIiIiIiIiIiIiIiIiIiOhe1goDYozDMLQZAGYmIjHG - GKOZtY7E4zh2XbePXYzjCACOxaK+7W3veOtb3xpjt7Gxtba2BqDWKgjz+XwfxQnnNTVRd6mG6sWL - XpwuecQ3PFZLBwgUDm3B3hrEdSexuyUrtzjaFu8dMHpJU53r5gZu+Z13v+mYfKWszquUWqt7VQVg - qBY99NqJR0CrWtVS1QFTRzAVdqQ+UOrapl3LrKpVMRM38SpuYi3AGzBxdRcXICmiV6+oFiAaZYGt - fGjrA9f90ds/+pZ0gWSbt2/xnrhXCSjmfZpiIz760sclmeaxALFldbvABQaIq7jqGfo8jONYa00p - pZTGcVxfX5/NZm94wxve9a73tNqkEEIpZd8Xk8lkIiIAVHWxWMQYa60HeQJ0lmF0NxERERERERER - EREREdEZMJvNRERVNzY2aq3uHkLouu7FL37Rc55zhfv2MO3WbKvronsFDLh1QwrfrorYqxDCskQG - QBshbqPspRRV7fu+9dpovyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLzTM7ZzEIItdYYY7uj - sDW33ZNaawhiZrrT4rTr4jOf9SPf+73fe/To0cVikXNeX18dhjl2cr5Pcz09ERERERERERERERER - ERER0T2p1lpK6fu+/dhaEJtZKaWUIiItyRv7qh+IMbYNNiHoT/7kT3784x8/evToyZMb7uLuqgrX - EILZTqfl+3bNgAMIKgjuEkKASkDs5mtPfNjlna2WjKAKdXc3uKu0l00AcexkbG+nd2uECTbyQtf8 - lBz/m5Of++33/cZ8ejLrUFGrZfOaggaFlWrFAHFERzC0+GcTVAHTiw+c7nqzzMRMzKW6uO8kdru0 - VuMGIIQorjAHrIjnWjwXgaH3W9Lx91//rjd94HXxUDhx4vjK4cm8bpjYne79VlwMoYpWVWiJR8LF - 33L/b0WNLqoW1IIJDKgCAOoaXO/VyONdVwM3iaEzQ84VUNX4iU/8xc/93C9OJp2ZLa82pZRa6z76 - qLe4bgDtWjQMg6qevi7Reee++2eGiIiIiIiIiIiIiIiI6Azquk5VQwiqmnNeW1vb2toCbMyLZzzj - 6T//8z+3utoD6Ps0juMB7ldEWokMgJRSCKHNu7uIpJTaaq04ZhnvTURERERERERERERERERERERE - REREREREREREROeTlJKqDsPQ9/2yd3ZrSrtX7em1VgAphWc84xn/z9OfMZ/PF4tFy+o+derU6uqq - iOyjVS4RERERERERERERERERERHdfe4eQmiFATnnWuvusO3Wl7iU4u5fE8J9F7UuxysrkxAEQK2W - M571rGd99rrPr62tjeM4mayUYl3XlWz72P75ylost0mQ6F4VwRfxm+738AcdfajmJBJc3cRU1WG1 - AtuVFwbcKurYDMXQrWBmW6Wfz8LxT93w8bd/4HeHyQbWDclKWcA8SmxB4O1Z4jFYUBfd3mbBXqKg - 6etROZ1+bSYAWlA3XMwB314BgMju74SJVgmmUWOMapN8S/jKZ05+4o1/+Lq6vtjKJ2Oni7xhsh34 - fdeJoBSPGspWffgljzka7xcsqsTlobrAxFxsV+L4GbC6ujqbzQB0XZdzvvHGG5/73OeaYb4YJ5OJ - mZmZiLS26vuoR+r7PufcdV3biKoCaI90XuJbS0RERERERERERERERHQGjOM4jmNKaTKZqOrW1laM - MYSQUip1/Mf/5Lt/+7evWV+fDEPuO43hdod+dR/jfW0seTlfa00piYiqqmqMsQ0Vt14Yfd/frZMk - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKis5K7u/t0Om2R22YWQmh9tPckpWCGLgkcAnz///a/ - XvGjz5zNtiaTzr3GqCHIoUOHTp06hZ2QbyIiIiIiIiIiIiIiIiIiIrqXtbbDy/kQQs4bLi3zAAAg - AElEQVQZgLuP45hzDiFst0cuZR9RuM1stqjVUwy1AsCXv/zV5z3vebGbQOMij66yOZ+pagzd7tjp - HbbXHOJznQmKW0UNUPHWMNqSd31decojvvMILpQqDnM1BFRHe1t8580RQIGW/ZwzQgACEDzrYozz - sd9695+/44Off+9X6xdtml1MTLUmEdUUW4B0METTWKO4upjpfev1v9f4MnC9pWGLiau4isvOpOqq - jmIj1EUCTKfWr2o/xvxVv/Gjx973+j96BQ7bRp4jjN3Eh9H6tOfvqZkL4BUTWX3CN182KWteRdR3 - IsbNxV3cxADoGUnvdoXrbDbrus5ViiGk7see/ZzPf+H6lCQEWSwWZpZScveu61qM9153MgxDSmkc - R3dvTx+G4R44GTpbMLqbiIiIiIiIiIiIiIiI6Azouq4Nzc5mMxGJMaaUhmEws1aY8uBLH3jttdc+ - 9rGPHEer9cAGqJfJ3KraOmjUWpfDw21J13UxRgD7GHImIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiKis19rw+3uIqKqAGqt+2i9nXONCTl7CHja0/7RC17wgmEYVldXa62qWmuttc7n8xjj6urqYrG4 - B06FiIiIiIiIiIiIiIiIiIiIvo5WIdDG8Vvz4RBCqxNoIbillFprzrnv+2XI9560zQLIucIBQBUf - /OBHnv/85/d9bxUxdJPJSin7ido9X3lwjSGGEKHiKuZd1y22xic87LILw/21xtY9GlLFESNsO73b - tpPOXeEqrkmhwGIGA5BQdTy5uCUe9Wve94ZP3fTReXfSJu4RpqgGMzMxgQVHMARTdTXRqrj3k5rP - by47ud1yOre7hdbvJHbHYDGYBlcBzIpESRq0itcyyPwmu/5zm5+85gO/cXO5YW6bXafZbayl77HX - Oh8B4OiihBwvWr3k4Q94ZF+nKG07pm47x2wQV5zJL2kIIaVeEGqtL3vZyz74wQ8CMEOtnlICkHMO - IYzjuL/tq2rOGYC7q6q7932/763R2Y/R3UREROczVbRS+P39O5aIiIiIiIiIiIjuOWbWUrpjjO7e - wrNVNQrqOMQYu6673/0vuuo33nD55U+KESpQgQh0Z4hvWdqyJ+1/C2uty/KU3TMhhDbTttyKadqz - 2hOXId9ERERERERERERERERERERERERERERERERERER0Tmi3NC7nsdOP+7Z3Dt55y7Ku675mSQgi - QM0A8MQnfvuLXvRfVSXGMF9sifjydkVVVdX5fH7bLRAREREREREREREREREREdG9oI3ghxBaC2Jg - O92sKaW0mgERGYZhubylccuO9rw7SkEtpdzqZ4dVAHjta1//jndcG0IopZiZq5gwR7UxV1QvqIZc - E1REFjZPqZ8O60/5lu9axSGp26suazpMYHo6E1pdxVQNqOgTFLBqiIgdZnZyNr35dz/wxg9d9968 - ttjCfG45TLpcShSVOkodk1gMAsA8qLCu4+CZmMmyqbeJqwBBkhcJFjvptEowTZLExMRqzWq1i7rQ - 4WQ6/vFj733dO192Sz0eJhGevQ4aYA5xWDHdYzihV+iIqa8+5iGP62ylk0kZK2AKgxgA2Z4xYDtq - /B7VSphEpJTSdV2ttWVyV8gij+7+0Y9+7BWveGWtra26A2iR2wBa7/S7YjKZtJlWuSQirRv8slu7 - iIzjyLqm8xj/5BARERERERERERERERGdXZYDtKUUEbnqqqv+1b/6lyJwhzuWpS3LOO0DVGtdJoJP - JhMRCSEMw9BGr5d7vOtj0kRERERERERERERERERERERERERERERERERERHQG1VpbcvZyvj3uY1Pj - OLYZEbQNVPO+DwAe97hHvfrVr+77vtRxzIu1tbUDOwEiIiIiIiIiIiIiIiIiIiK6x+Sca60xxlJK - jLEl6cYYY4wtTxeAu6eU3L0lee9J66D8nOc89wtf+NsQ4qRfwa1Tw+/LXOBigAMQ1+AQWNXqglU7 - /ISHPGkdR3ubiguAgJatvJ3YbWLL7WgL8Ha0aanqOHaLm/INv/PHv/WBz/2RX7hY9KcG2exWUslD - QJh0vaouxnl1D0GWlSF0QOz02yTe8rABqItl62KPIovZoBq6lGrNVnLqoqiZ1JmcGg5v/MGn3nL1 - H79xWDlV4tzEARMgGMS3s9tN9nA0aljrk89FZ+kp3/r3pcQ6+traWq0FUgFXGHz53TTIdp73PSeE - 0GqZ+r7f2tpKKa2srMznc1U9tH7khhtufPazn20GM/Rdv4/tr6ysAFgsFtPptOVzLxu8l1KGYWgt - 2ReLRdd1ZvfsydIZxD85RERERERERERERERERGeRUspisehjqOPQBVW3fpJ+7Md/9Kd/+icPH14R - wGrtUlCBWVmOtd99rVpFRGqtLZl7GIYW4933/TiOtVYzExFVXY4uExERERERERERERERERERERER - ERERERERERER0dms3RLo7q2bNoDWXHuv22k3GLYnxhjM0CVJAcNQv+mbHvza1742RJl0MUAmqds8 - eeKubVV3TURERERERERERERERERERHRv67oOQMuvzTmLSKsxKKW4O4C1tbUWeZtSWtYe3HXmiCls - zWb/9hlPnw+LxTjknN3d9pg6fP5Sg4i7wlrqtmkxQbLpgw8/7NHf+Jgw6yfovcBtOwd9yVqAtywD - oaG287jTuLoK4qE0m5z47ff/+ts+8Vv56LF5OjbLx6bTqSCd3FpsjWOcJoRay2LSs+/0wXOx1khc - HeqqLuIaJJZFVdX2/ZottqqXfiWVcabJFmnzRH/z69//ird/5up5Pzs1bkKyYgRUrYu1S6YmKLqd - 436XaV34ejzy0KN/75L1S6N1MXRmZl6AAikABJCd9G6/h3O7m2EY+r43s+l0amYnNjZXDx0eh3LT - TTc976d+8ss3fFUUDhjE915fNJvNQgghhPl83i5otdZlM/a+70MItdbJZNK6stP5iqVpRERERERE - RERERERERGeRlFLf94vFous6EZlMJrfccstkMvnBH/zBl7zkJYcOrZgh5+qOvu8PcL9m1nWdu7ce - HCml5a9EpBXQtCTvYRg4ikxERERERERERERERERERERERERERERERERERHROMDMA7t4Su1sX2hjj - XrfjOwDkXEWQs5eCBz7w/ldeeeXhI+sxxmEYUgo5Dwd7CyQRERERERERERERERERERHdQ8xMRFrn - 4cViYWbLXNvpdCoim5ubrWWxyF6jthVQEcm5Avr5v/7iT/3UT7kjhk5VGaUKAFAxUbQA5pbL7SYG - Vy2pbobvfNRTL9SLY+nVBYb2DsgywPt0breJQ1xl928BE1WNW+NsEbdmk+Pv+Pg1b/nIVcPaMV9b - 3DL7O49YXT+EmMZSECQEHccB90pa832QOgAVhzjgKobJZCIiW/PNjBwmanHcqhtpTY/nr1yf//rX - 3/2aj3zhj0/ozXUydlMxKYCpq7iKK1xdYHv8RoorRp1i/SmPeio2O5Xeow7DEERNvH2K1AWugO51 - 4/tjZjHG+XwOoJSiqocOHdrc3Oy67ld+5Vfe//4PwWGGruvGcdzfLmqttdYQQkqpXehqre5uZq0O - SlVrrctLH52X+NYSERERERERERERERERnUVqddUIoNbahmwPHVobhvl0pX/Sk7/9v/233/qGb7gI - QIwYhyGGAxu+VtVxHNse+77POWOnH4eItOqZra0tAH3ft1oZIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiOsup6jAMrbesqqpqjLHdRbgnIUWHi0hKCYA7QsBFFx15yUtecuGFFwbIBYePDMM85zyZ - TLZ7Qy+n2zsu9kQlIiIiIiIiIiIiIiIiIiI641otQQuynUwm4zjWWgG4+3w+jzECKKUAGMex1Qy0 - 593puP+u30qAoJTS9+l3fuf3rrnmGndvu2DlgDjUo1gEYGLeSiw8AmoiNsg3X/TIJz7kOzCPnUxF - 1EV1O+C7bcAAMzHgdio0TBSu41C7frqRh3nYKIdOvueTv/emd195DNfrRZiH+YiiIeVqJVcNEHXQ - QRNXdUV7uwF1KFC8IiKjjFq8s5lvbOJUOOIbOP75U3/50rf9/J+d+JNxZRE6WQxzSDGFCVryt6lV - Nd+OA98DdZ3I6nq4+DEPftKKHRGNs3EeQgwhQAzi6ioWxQM8OGCCe/oDUUpJKalqS9RW1VOnTh06 - dOh973vfS1/6yrZOSmkcC6Cp6/a6fRFpFzF3zzm30O6W2O3uItLWacHe+04Hp7Pfff2PDRERERER - EREREREREdFZpZSSc+66LufcikiOHz9+5MiRYRhWVlYuvfTSa6+99slP/rZSIIJaD3jkug0VD8PQ - dV0IodXNLH+1uroKIOfcamWIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjo7Nda0NZa212Erent - XjdSa9UQlrHfMaoIXvjCFz7+8Y/vJ8nMbrnl2MrKipkN43ynxTYRERERERERERERERERERGd1VoZ - wHw+b0UFXddtbW25e4yxNUkOIQAIIYhIW3lP3CyEDsA4lhj0uc/993/1V38lCAd9HucoFVfxYGKm - Lbpb1AM8ZLfpdF03++989FOPpAvFkkPNAFc1vXVms5nCBS7ATqi3yfaSfhJLnh86FErARl0Mk9lH - /+bDV/3hr//1LX9ZpltZthZ5Y3W6oqpbs8VkunoGXoPzmcIV27ndottvkgLouu7U5imPPl3vZ7bh - K7U/Gm7c+uJ7Pv2ON777dV/F9SdxwsJYMcYEa5nsAtdiWqqWqhAHsP1414/Hh/Btj7rsSLhYhs41 - VIWqenEXMzFxVQ/qER7tXglyFxEz6/s+5zyZTIZhWFtb+8xnPvMTP/ETAARQ1ZyriEym0zyOe41g - dvdSiqq2dushhFpru4611uvLXuu11m7v0eB0rmB0NxEREREREREREREREdFZpO/75TjxYrFYWVk5 - vLZ+4thxEV8sZl0f+77/1V/91X/6T/9nd0yn/UHt18xWV1dbL4yU0jiOtVYzMzN3b0nerXompdSa - dBAREREREREREREREREREREREREREREREREREdHZL4SQc15ZWWmJ3ftrpS0ittO7djLpSrFXvvKV - l19+ec45xlhr7fve3WNSK7WLe44GJyIiIiIiIiIiIiIiIiIiontfSsnMptOpiLg7gNXV1ZZ3O44j - gFqrqrY2xXvctra81FoKVFxQigH4v/7vf33sluMHfiLnInWIB3V1gYmZAIDWTi0VdRPILD3o0MOe - 9OjLgiWRUA3iKq7LINplYrfJdly3KarCFC7mWkqer0xl42SNwHRlugDGlfIXN/75r73t1Z++/sPe - n4oxw0oXJq7TrUU1RtweKHVRF/HtV7UlbRsAFUSpaqfGU5u2aZP6pWNfvPrdv/mbH/31m6fXZ5+v - rUwWeWGOEBACWoz2GG1MpQRzMbGoNe4pklhcjqxf/MRHXyZDZ4OaC5KY4fRX21VcxULLCTe5xz8M - qQuivrm5qartghNCeN7znveVm45LgDvMDIC7L+bzmPZcj9R6qptZzllExnFU1ZRS677edmdmtdYQ - wjLGm84/vK4RERERERERERERERERnUVKKYvFIsbYelWM4zifz9fW1sxsMpnknEW977sXvei/XnHF - j8znw0HtV0S2trbaQHITQljOtBFl7Iw0771KhoiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiM6M - YRim0+k4ju3WxeX9g3vi1VTanYbI4/ifXvD8pz71qe6mAWYlBMk5a4C7s5UtERERERERERER0d3B - Rm9EREREdG9qydwiYmYty9bMljHeMcaW291W3t2++C4wwGJKEAshoPU0dpw4cfKHf/iHsR1mfOtA - VbG7f0bnFt15HVoItwsUpu5RsZjNVydHMHbf8dh/1Je1qa9FTw51gcCCAwK0uO6dAO9bcRVH7LFY - 1PW14BWnTs4lYAgLW18cw5df/45Xv/PPf3exdvIEbj5RjvdH+yK+847c5n0Rg5jg9BvUwsJ3T6dX - 3zWdm/SOpz2tfzpSvS3xFoYtNp9v9CtxxKZPh3ih/dEnrn31m1/2yZv+1C8cNsMp6X0cx67TEJAz - yrgd3e1AvfVruv2Zcd3+IO38Vh2K7Y8HoPCoHmPpH3fpEw/rxVrj2sq6lWq5hBBCiAZtHy3fefq9 - w92HRU4ppdSLhND1/+Gnn/+RD39CFW7b1wxRDTFqkJIzsM9LRAihXevaj6raQrtFRFXdvZQSYzyo - 86KzDaO7iYiIzmdmiDEyRIeIiIiIiIiIiOgcIuIhiJm1ghUAKaWccxS1XKKgC1rGxbRPT/83//ql - L/vPK6up1SCkFADsjPxCEQQqty5TiOEOaxXafyS2x5wzgFpr+1WbaQsBtLHk1jWjPS7/E5L/G0lE - RERERERERERERERERERERERERERERERnudu2kd3fTTHLHo777Ut7wJaHgZ1bgdx9eYsQEd1HlFLa - NW050x4nk0m7NxBArbWUcgeXLAVUNbaZEBJUIEh9B6BPnZv1XYTjuc/+8X/xz3/AyhCkBrjXrAEa - tm9IlKBQgevp6XbYbSYiIiIiIiIiIiKi8584xLdbwykE5u3/byvcBAh6O3l7RERERET3pBBCm2lZ - tthVGdXibN29Rdt2Xefud22s//Q6pQwAai7YqdIqo3/sI3/+X/7Li9y2qxRExFFrGYPIMiV6V4z3 - bYKTb2edc5WgCAwuhmgA4JCsMkSzTmWW5xK69XT/p33b9/WzlW7sTVQ6DHP0AUlghgHICm9PdYT2 - Lw6HeIR3pcIjSqkq6BMUBh2HsHEy3XRy7au//d9/85fe+eLPjZ8eL9w6Xr8i01Isx9ipxuKQmCQG - RxWBisELrApMREzUoa6hqBbV9qOJAiotN3pnWv4T52vivc8SXxM+LoC43sm0OwLYRLcnqISuuuaK - 6gqoGQCVkExQ4ZI6D3EsBiCl5CWnTuf5ZFwvX9667tfe/kvXfOwNN0w/d8vaV0bMFTAxBFgxGKJC - W2R6e39t+9+VEHO1olYF4hpNt4O6BeoIDq8ICbnCoagh1cl0WP/ux3xfd2JdHNm2epVegldzE/UA - iImZZtcMqQpTv2e+X7u+v9XFBAhxLFZM3v2u91511ZscsNpyuxVQ91LraJbv/PvedV2b6fu+vc4A - 3L0FctdaWxmVmbl7K51aXutijMztPr8xupuIiIiIiIiIiIiIiIjoHNDCvNuYrirGcbG+vvo/PvUf - /tqvvv7o0bUQkMt2G51W4CIiAhGIiqpsDwvWemDR2tPptNa6HHUexxFnursQERERERERERERERER - ERERERERERERERER0delqqUUAGbW7o5pjRr3ysxCCK0vbYvKXiwWB3uoe7I8DHdvLSZFZNlXl4ju - I1RVRLa2tmKMIrJYLESk3fpXSmk3KrY124XidrX1u66rdbsJbh7HGOOYxz4GL/Vf/osf+D/+z/89 - Ro1RVfU86IJNREREREREREREdJZgbjcRERERnT1a0u3uYqRWnnT3nE4+/uVffs173/ve+WzR9jWZ - TLoumRngwIF1UT7r7TrfFvksgBSBeR672IcYF4uSxpXLHvVdD1p/yKRM1DHkcuRwlzPmc6hikoLZ - 9tOXgdmNCxy6vYdllrbDBbJqJ8PG/NDmp/7uz65826s++Nn36JHhZLk5TGQ2LMa6SEm8DuNiy91E - xKGQgBgkKLZjo0VNd+9uZ69qt05bb//QuZ01z1YudtvptnH16rY9wco4iEhKKYTgIq5efCx1jCnU - WmezGVynqysFfmo8hTWfdbeUw6eu/fg1r/itX/jkTR+zI7PNeBJTczFxnN7Xrb8NLbR7O7r71sfj - gBpkZ30RxIhxRAhQVa1hUtef9Ii/v24Xrvh6FAWKWNXqYjsfP9dbn+m9VBGUUh9DJyI333zsOc95 - 7jCaatx9rbgrRGQcx1YROgxDCCHn3CqpSild17n7MAxtTfZRvw9idDcRERERERERERERERHROSCE - EEIopZRSYozDMMxms/X19cc//vG/+ztvftADvlGBFNUNVqsA1YuhGry6GVxUD7bkpJSysrIyDIO7 - xxjb2PNBVM8QERERERERERERERERERERERERERERERER3bNUtcV111pDCMMwpJT2upFlFC6AlJKZ - TSaT9uMZYWYppWEYRKTrOjMbx9GMebpE9yHLZO7V1dW2pO97EWn3AAIIIbR17rz/bK01xjiOYwhB - RVU0aCilpBTM7GlPe9rP/MzPhBDm8/kdbscVzn6nRERERERERERERERERERE57BWYODurd5gmeF9 - UNzxzGc+84YbbhiGYTqdjuO4NZ9pDLe37r2XInwGSAFa5DJcWtq2qmsKndcaEaLFifVH4pH/4cnf - 3dfVzhQV81yLSIwQQGqNFQJThwnQop2BqqWKAQqP8E6tE4twbV2q5zOsrneDzQfZXIRbrv6D17/q - ql/ckK9s1lNpLcbeim2GME56EfFiProUCaOGIgogOFJFX62vlsySWdhO5lYXddG6M7UakuAIbgI7 - 2zKTdwLN1bEdXO1aTIpJMc1tqpqr5hKHGjIAcRVAYIIqkkWyIMfgQUy8VBvNRwk1dI4wltnmepcO - TVZQ6jiOlmqeLo53N/7FyQ/956v//Vv+4iq7ZOMkbrr55PFJXPUxyl5KbsQRDcFRQhmjATHUGEwF - MEEpUEEMyOM4TdN1u/C7Hvc/9bISQmg1P8sv9b0dZd0qi7bri3Qcx3EcSylPf8YzTm1sANhH3WMr - myyl9H0fY6y1iki7cAHY3NwE0Pe9mbXL2oGfE53lWMpGREREREREREREREREdA4opbh7G8NOKa2s - rAAYhsG8XHrppW9+85u/67suL8UAqEJwq6HuNgT+dbtp7EkIYRzHyWQiIrXWNuS8jxZFRERERERE - RERERERERERERERERERERERERPcyVR2GAUAIobVozDnvdSPuXmttN9TknFufxxjjwR/uXT4eAC2S - fGtrS1W7rmshvkR0H9G+8jlndy+lAGgXpVLKOI4ppVorgFqru9/J/YAxxlKKiJiV1gm31jqZdDXX - yy9/yktf+uJcRsBaN9u2IyIiIiIiIiIiIiIiIiIiIjrPtFbGpZRSSiszmEwmB7p9bG0NP/RDP1Sr - b27O3OTo0Qvuk3UI5trSu60lGQMKF1W17FJ8GqapTvIp/9ZLn/DEb3myznQtpsW8euhCPxEXLwho - 2d/AruBnFzMtAMRV25Y9wjt4dKCb6mwYLZh1w1w30gXlhtl1L/n1F3zgr9/+t1v/fd4fK90iS62O - 6lF04h6KqZmZt0bZHqSquZqGNnk7BgNgYsvJxRxYpnaffZnJO685dr164jsvqO0cu8nOoftOHvlu - MaqgWM1qOYhFqWqjWl6ZdptbJ4rPwmqZxxPj+qkvD9e98d2ve/0f/PLfjted6o7dML9RVn31SKo1 - 6x5fHXXEqsFQBVUAj+pRXdtxuyAlWEEnEob47Q+77EGHvkU8+Q4Aqnqwfcv3quWITyaT//gff/aT - n/x0rVVkP58RMxvHsZWGllLaSS3PLqXUCj7HccS+osHpXMdSWiIiIiIiIiIiIiIiIqJzQ2vlIyKL - xaLWGmMMISSVxWzz/hdf+Cuvec0P/uA/U0VKCeIiEkJoA8/YSe8+2INpfXzajlpHj4PdBRERERER - EREREREREREREREREREREREREdE9YbFYtGayy2jb/bWenEwmrZNju+unlHIG768JIQzDYGbuvrKy - AqDdhXSmjoeIzpR2X6G7q2rrMysi0+l0d5h313U55zvaQinjysokBHH3GGNrqbxYjE964hNe8Usv - h3hKwd3NbDv/2/VrJyIiIiIiIiIiIiIiIiIiIjr3tQRcALPZTFUXi8V+tuK745qt1SEAcEcI8lfX - /c1/eP7zU0qllGGRRRQQQG6vAuH0c88fYjsv0PLUFB4ALWNNMcI8Fok5Ho0Xyan+uy/7Xx6weqku - uul0pVgdSzZD8pBctcVmi5mgJUCbwAWQsp0/7dh+bRHhcViYC0IHmdgQNk7Um4/bjRsrX/7dT7z8 - qvf//Ie/9J7NbjaXMNaVqEfHuSZMkqiaixnERM3VDFVdxYJYEFMFBAYZIRmSXbJLrlqrWg6WVYuq - nbGQ6Nu3k8uN3QcWTIJJqpJq6kqb+q70qSZADaiiVWLRUCQVSVXCaF7dAnwSYw/TPIZhTFbzMO/X - 0kxuORGuv7m77uqPvO7lb/lPn7j5A8fTzZu6laOHXjygeM46Vh0he/uQB4cA/v+zd//hkmVlfei/ - 77vW2rvq1Oment84DMwMvwRHGGBAUSMiqBHRK4j3icHEKyJIAqIo8RERTYgh3KhErleJhPgDfwQu - 6AUScyP44/LcmIQYEURABlGE+Qkz09Pd55yqvdda73v/WHWqz/TM9HT3nJ7uPv39PPXUs0+dqr3X - 3lW7TtXZ736/gtpWwUVc1VEccYpq8IKZrO/3A8+87pvikZnk0IoMAZym1uUnZRzHruv+43/63X// - jncA2M7UvvtG8PuPfG/vVK1WqhVNtdVsE+M4tj7qk8kEQAhh99eEzm4saCMiIiIiIiIiIiIiIiI6 - B3Rd13r3iEitdRzHcRxrre4+nfZ33XUXYG/8mZ/58R9/9TBkd7h7rbXWKiKrQ+C7O6TVDFW1tRZq - nTuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIzmaTySTnLCKq2qZP7dSbxWLRdR0Adx+Goeu6U4sA - 3xWllL7vWwNKEck5TyYTtpgkOq+0U/xabvdkMtkO3oa7z+dzd19fX6+14gTON9za2iqlzGbTUooZ - VPG4xz7qX//cG9fX10oZp9PpYrFo5zku07uJiIiIiIiIiIiIiIiIiIhob2mFByGE+Xyuqq3koO/7 - 3Zq/Kmr1EPA7v/Mf3va23+i6SSlmFfdI7N7rxAS2HdjcAsvbBaqAmziSRduwfbST7M8AACAASURB - VLjw0njF11//LXFj2nnvtYToLg6oSGgPcQGwTKFWQFqFiBZIW4RLy2x2xIgYNFdszL0El3XYrOS1 - +R3xyKcXn/z13/+3b/2P/+ffLj5lB4YjuKOfiWtW8QQNUHGIiAuq1qrFQrVQaygm5mImviNquYWF - q7ieZZndAGCicPWjmx1wbRtNXeXoJcp2QrkBLuZijqOvVRe0HQRBDLW6wSV0fTed5Mnm1uSO2+Wz - 7/vIe37+nf/7Bz7xnzfWbr+j3Fb7UXqLXVBVKyaCEDCeZHtvAQSmvnyi28YHACgUY0GumGjCofTM - J/7dC/XSmCfB9Jgqx5Zv/UA24ykzUVW98XM3v/KVP7S6sXU7P9lZtcpJACJiZiGEGGNLJa+1tjpP - APP5fFdGTueceKYHQERERERERERERERERET3rx3lNbPWDwhAO56t4vOtjdnaLJdy5Mih7/6u77r6 - 6qtf9ap/cvjwRinmgJlJUJjDFaLwXUvXHsdRVdsw+r5PKe16OjgRERERERERERERERERERERERER - ERERERHR7mrRtgDcXVUXi0WMcXXjiQshrM6mabHZ4ziuOjw++FYp3SIyDEPf92YmImcwTZyIHmQx - xtYFOITQTv0rpbRT/2qt7r6xsQGg67pxHO/jrc8ApJRyzgJsbc4FUMXFF1/4S7/0bx7+8CvvvPP2 - AwcOLBZbfZ9CCKo6DMPq/QfAsovxjrkRERERERERERERERERERHRuUhEWr3BdDoFMI6ju+9KMVIr - umphwW1+r/upN1z7hGuf+MQniij8/EvvPpYaLKVoVtw9hlir5a168b7Lbjm4ef0jnvHxT3/yT2/7 - QEoI0dwxjp40Ad5KNXz7KQoGEQhawnOrEokAFDBHVIWIGTQiTYIVn2eLUboL1g4u8uyixcc2/usn - f/tPr7v6qV/3pG++ev/j/HCcYNZpggVYMXcTePCqcxcHBAA8AmoQQNVVHOLaEsS1Peti1kKvzwG2 - vSV1+ZIVVHWIudhqNxBvr2OHuoRQzIZaRUPsJ4tih+a3L9Zv+S9//vsf+dSHv7C4bcsP10kJnXYp - iNpiUZPWEGTMEEE/lbU11HwSm2cVkR4cgLmOFapV22gXBZOAYGuPvOBxf+exz6wHEbtQxc94SY/J - 0R28Fn/hi15Ua60VIUgrcwKwfEXtHOpxN0wpRURCCKWUVhy1qvBU1VJKjBFAu6bzEJ94IiIiIiIi - IiIiIiIionNArXUymbj7YrEIIbRjwDHGUstsNlssxr7v53UE7BnPeMYv//K/e/GLX3L48MZiyDtn - suvR2mbW2nPknAGICNO7iYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI9oY9HPmsqu2kmFW07Smkd5tZ - 60XbHhtCEJFTiAA/HVR11Tn3TI+FiB5s7e2o9aJ193b2H4D2ZiUiOefjv1+1h6iivYVcfPGBX/7l - f/fQK79oa2vjoosuWiwWtVZVdff27vegrBYRERERERERERERERERERE9qNy9VQWYmZl1Xbe78w8a - qtVSoAoR/KOXvux3fud3Hvbwh3qpZzxa+EEjjhZibC1RGwDE4CrIKCpmqC7IxS65+LI77zi0f98l - G5uHvukrv+2v/++PFtvc2hzQQ6KVWoIAgO0o+lOHOlzggAkUEBQA4gpFHiwkdFHMfD6vAUhdMNEj - 4xhSOFSOpB6z6eTPbvvAZ953w6MuufabvvLbLtBL1vWCUHvPDqhKEA1AEcDhQDBRuLhEMTVAWzK7 - Qx3i3qbtbKo0UYcB4gK4Opax5gJxmDgAFwMMribttwBM3VY/iAOAQ6rDVbLYQgddi4jDzbfddMOt - H/mDj75zQ78w1GyTiujF8jCYRnhBUojA3ScJcCkD3F1PMrZ+mdPt0BaeLtZeAxWY9hpLr5v91z79 - m9YWBw7sv3TryKAxYLtRuWzDaWhdfkJcf/wnfvymm25q7wO1eggCeAih1pMbT0op51xrjTGO4whA - VVuBKIAYY6t0SikBqLWy5Ol8w+huIiKivcnb14zl6U/Lz7g8iYaIiIiIiIiIiOjctWqE0Q7utsqV - 1twn5xyClDLGqO4V1R9/7bXvec+7v/d7X/yxj98QgtRqqmowUYUt07VXR44f4KHxNqqdB6FXbTti - jGamO47255xTSqtl7eH+TUREREREZ8qqELZ9XzjTw7mbNqR23br1ndoIV19nWo2snmyJ8dmqrVRb - nbaVjvlKRee0na//nd+LT/b7+Oohx8zwbNvfj2PnC3scx10/G4eIiIiIiIiIiIiIiIiIiOh+ubu7 - r+o02vQeLtVoZTYtvLOU4u4ppb2xvovFYjKZYLsg4YFUJdF5qDWTxY5zak4hcvuYx9Zad2+AD5SZ - cXcg2tva+1iMEdsn7mH7xEMRaR9v3H3nW1N7s9pZu9jCvEMIrR1tu7OqupkI2lmD+/ZNf/EXf/Ha - a68tdQwhDONcFFEVrvdRBnm+9M4mIiIiIiIiIiIiIiIiIiLa21YFSKq6qjlsVZc7mym1X626E5+4 - 6rYMLnYAuOOOwz/4g698+9v/vWLZj6tVPJZS3Oux1VB+ztdA7nAv62IChVVx6ZCRNYXNxWbX95Yx - 0f2X9w97zlc8/53/36+M/nlMMRTTaFYjEB2lZdepI1WIYFT4MaVkYgqkCDi8uApUVFxhKmI9zMyg - sQIbPuraONa/OXj7zR9913990qOe9mWPefqVBx6zFg/EMjXXUND5VBwuMA0VbqLm282yxOFAy9AT - gwOw9qtVx7zTv3nvRwrBqpubuW3n/XkVF/HqBnWJweFjLe6eNGFuk5iiwGoRRwjRoYO5B1lIHXrb - CvO/PviJ//EXH/jk5z6yFQ4O/V01FDGoR3ENElUMVkyP5qyLI1joPBrgPpqc6N5kghDCfF4nU4mK - sTgE1c0VIcCz6tb0qY96xnVXfZUenM7Hra5PpR7tSY7TkNgtbd8UQ4tO3J6uxbuuW+SSUrJsZhZC - eN/73veud7273c8qALTE7lOoxsw5A1iVS4nIOI47I7pFpFVYAWBu93loL/3NICIiIiIiIiIiIiIi - IqLGDhw48Nu//a5vfe5z2sFmM5v0EzdbHQtv/XdaDcouHiCvtbbMrdbyo80fwKq9F7aPW6/CyImI - iIiIaFe07nurQvazoRb5GKvvAtiuWD21LyOr6vydE2dVw9NTszozYdUNdhVSTntAS6zfuWOGEE5h - F2gtNY8z27NfW+taa8vt3vWqfSIiIiIiIiIiIiIiIiIiovvVCjNKKaWUVlc/DMMeyLG+Lztzu1vB - /97I7S6lTCaTcRyBZYdHETm36iiIiIgeCFWNMdZaW273kSNHAIjIZDJpE6so7uNop/i1P6A7S3ND - kFbft77ev+EN//L6pzyp1FHEccJ9gYmIiIiIiIiIiIiIiIiIiGivamm4q25IrQHaKUThtsI/AKrS - yhY+8YlP/ot/8XrV2HVdq2pYLBYxxna9y6txtlC4iIm6CkyBVd6xASZW20Wri0FcHTJqP+576iO/ - 5rorn3aBXlw2AUdMLSBZ4Z0hGuCAtloPhwMOmBzN8BZHMKhDAHWoQ1YXWHBTN8BMUIItUtmYbh5a - +8IH/ur33vKf/o/f/KO3/Nkt/+1Q+nyZbS7SHAkGydVhHhCkuhYPFaG6GhQGmGmx4IgmSWy7RfbZ - UfNpi8XCaoka+tSlEFU1aOpCEglBkyDU4q2xdkoJ5vvWZgGSc3aBqc1t64gfWqQj88mdd8Wb/+fn - /uitv/vGt/6Hn/3Tm//ojv5vb7fb510ZA0pA1eJSXApgAFzg29dwuAAuJ1vd64KxWpqKmS8G14pO - IAlQeIEswiVy5dc9+TlxsTZJ+/tJWgwbZ6r+pxU7iUjOue97d7/pppt/5Ed+BLvXhExVWy1xq6pa - LBaM6KaVvfonhIiIiIiIiIiIiIiIiOj80w57OwD0kxQ0/as3vOFhD73yzW/+JVFdLBYhiBla9ptv - A3AiPThOUGvm1ZpetbqZGGPL4mp32NjYmM1mfd+3hiC7slAiIiIiovPc6tP1dgG6rorRzzat4W8p - ZRVTfWrfR9qj2gNbmeweaCXcTkVo1wBaEHutdQ+s2ml1rgQ/t11ytW8+wD20fe/GjtU/h7ZDCKH9 - o6D9D6EVuLO6nYiIiIiIiIiIiIiIiIiIHkwi0o5Zi0jf98MwpJRyzmd6XKdLjHGVUz6OYwhhL0Vc - 7+ze2M6V2DOrRkREdHyrYrwQwjAM+/btc/cYY0vgbnW2reCwnVF4X/NpH40ApJRKKSpeq5s5gOk0 - vfa1r/36Zz3LcgkicMeJ/5ldnu3IOlgiIiIiIiIiIiIiIiIiIqK9xt1bUSKA1kHI3U+tuXFrjuxQ - RwUwX4zveMc7n/iExz/vec+bTNZWFZ5ra2utvGGPapGyrbqjKNSgWOY4O2S54qYVrgqN8C6uLw5t - Pf9rvufG99xcaz6Mw7UgOOBaJMArpEDgDnVR6a3N382kqEAcrQhEgOCtm7UBBmjL/IZA3bQlfkNN - 1ICxCxL1YP78B2/9oz/72w9ese+q6x/3tCdd8+U1XTmZ7AsS8zhKkSQxIKqpu4jC4IY6ejZxCVCJ - Lq6uZ0+1Z0oi4oZsRcxMTNomUYkhBFeptdbRWvssEZ9vLro+ok8LGzxVn9ZNu+Pz85v+50f++GN/ - 86HP3fXpuD/IBRjGLRNcdNF0vjUAEJgApssanmViN9Di2h1qAMLQbjqZ4etYbdrF6kUEncAzqgCC - JJNumD3ryc++avaYeNdUVXMeNAp2MSv7/rTnuC3PzIZhaCHoOeeu61/4whfN5+PyficwqJ1zu1dm - 1nXdOI7DMIjIZDJhfzNaYXQ3ERERERERERERERER0R5kZpubdx244KJXvOL7r7nmmle/+jUqMHP3 - o003Yoy73lyszXxtbW2VSQag6zp3XywWk8lkfX299Tg7V0LFiIiIiIjOfiklM2s99VrYcwjhLPzI - nXPuus7MYozjOKpqK7g/2fmoaq11FV3cyvdLKed6aWwbf7sehqHv+1JKC2Wn4zh7iu/v186XaDvP - ZPUyPnFtH9/5Y5vtubIdzKy9FZzpgRARERERERERERERERER0XmtpVq2jOcWY5lzbvnWZ3pop8Uq - qrMld+4M8jyj43qgQgi11lprK0mqtfJUBSIiOq+0YrxWQ9v3fftDr6oAWn/b9reytaO9r5nsrOZt - d2t/St2hipe//GXP//bnJQ3z+Wbf97X6iZYrykl1ECYiIiIiIiIiIiIiIiIiIqJzSa1VVVshYptu - dQuresUTtHpIa6DU5rMY8j9/3U9dd911V1xxRd/3KYX5fN4qIvYmV7j6stbCWoSxQs1VABcD1MXE - sZ2uja6PW4c31yYXqsVv+5rvfNv7f8HDuDkuHO7wVSw3ABeYAxDxlgUOgZqYAjvvJr68M9wgy9vV - IQ51NUQITGHqWeYxoF/rbJzfPP/U7R++5Y8/8oFrLnnc4x/9pMc94tr1tX3YlFq6HhOtERUKFRFR - iSFWrVAXMZgKzqaWWQHVKszgGkNKKcGWTbZrriJIKXYSxzrWPCJUrJUjfsiTW18+f+TWj3z4Tz/y - 139y6+G/zelIiVvhkpIxjCNCRAAOfX4+m3YOhRST4gq0TY1lmY4AcIWYCypMcNLJ2iFordUdfYcw - ymL02EMx8Y34+Cuf8lWPfXq9U9dSX2rJNU+nfdnlfuQnqlX5tlaE7vKqV/3wZz/7WdHla/SBa7VS - rQIqxth6M+7ltw46SYzuJiIiIiIiIiIiIiIiIjqHOXYe/TVg2dUiQA7sW988cqjrJs/71v/lmodf - 9dKXvvQLdx5qkX611lVpS/txt8bTZri1tRVCaKmBpZRWTDOdTnPOKaUYI4Cu69qPu7VoIiIiIqLz - ViklxrgK7m217K0A/cwO7BgppZbY3VrlAji16OJVTvmqn2BLLz7Zkv2zjbu3b2pm1vonxhj5vWnP - qLWGEFZPaGv2veqFfeLaQ1a9wtsM28xPy7h3m6r2fd+m2zsA7h5qTkRERERERERERERERERE9CBo - XdjMzN1bzUkIoR3KP9NDOyEnWyfT7t9KblrtTbtdTjR78yxVa11bWwshtNqDGOPm5uZsNjvT4yIi - InrwtAJCdxcRVd1ZXtj3/TAMqroq373XOZRaALQ2te2xKYWcK4BXvOLlL3rhC71UT9piwkXvtei3 - fbTYMX/mdhMREREREREREREREREREe1pKaVSSus8DKB1zRqG4WTns91PDICKBDNvtY2HDm++5MXf - 967ffudkMtna2uq6zqyYme7sVOTnRs3n/XKBQQCFlO0wZ4MDEHhY3UXc0OK0YVvDkX5tUkqK477H - XHL9N1//Hb/+B2/af1narFtFC9wUAlfATAF1Q92OgzYXiMNkWfAhfnQYaMuU7eRoj2qqpgoELQWl - ClRQHfM6Zi2hD0MaNuzO2zY+8z/+5P37/uzCRz7ksU99zFdce+UTZuXAcGfZ110QPapGEaiIudVS - 3L2T6TKx2r31oBORU+hHtzvEcikiopD2ei5eYKhWY1JNACz73L16qqqS42IzHf78/KYb/vpjH/v0 - h2489JmFbg262Oy2ZDKp1SP6AJeykIpJ0tlMckYVVFWIVphry0SHoE0YYOZwQVUACHb0eTkBNknd - mEcBUJCLh6BJOxv6i/Tyb3jSN62PF0yxFgTZa4p9McWDk5vuul1EtCwlEhEzGxaL2Wz2a7/5G+99 - 7++KSq0O2XHn+1jxExlxrbXv+1prey+qtZZSWtUTERjdTURERERERERERERERLQnrY4NhyCl2BOf - dN1v/dZv/cAPvfLjH7/BtxtsrVqM7WJ0t5l1XTeOY8vPG8ex7/tSSqun6bqudQNpqeHMnyMiIiIi - 2hUxxlWONYCW230W5liP49gGuWoLeGpa6S22v9q0foK7+L3mTGk9kUMIItLi2Esp/N50v86hFtKr - vpYppZzzA5lVm4mItN3/LNzfT8R0Oi2l5JxXZ+AQERERERERERERERERERE9aMZx7LpudRC/1jqZ - TBaLxZke12nRgjxbeX871+CM9TfcVaq6tbUFIOecUjKz2WzWzlk400MjIiI67UopIYT2V681L243 - qqqIhBBaC9oTLM9rfZBFJKWUxxHA3/t7z3/Zy/5xEBHx+XwzRGllkPf/EWLZcteP/rhXOmUTERER - ERERERERERERERFR08r2ALh7jLGVJp7arEIIZsvKxnaLu7vgbz7zuVf/6I+9+d/84mQyqbXWWlNK - 5qfeu+xsVluQtrigACqucCgU0O3eUlkdgC63kZhGxeiaw3Q4cP0jvvozN33yg3/9h3E9V12IVADi - CqBGwAEr4goxF7T0bgAGBVqA93Ihdo8yE4O2Jtbtl1aQOrjCDBUmncGzGbQDDKXMP3zrnX/+6T+5 - IF5y7VXXXXv1dV98xeOSTUJN6qoWVURUImKty0zys6Kc1TXKsginZBvroKoSxZNv+ZaFUrAwzXFN - s88/e+Nnb/jsxz5644cPjnds1UPej2Pa3Kq5BnTr0eA2YKwlinb9JImVOuY5Qu8OdYEL1NXMFBCH - tCx2h8sDStN2K17Rh+DFIN7FVAekzfB1T3v2oy/8ku7IJCHVcdCAioAKPUPFPK1VYAjh4x//+Otf - //oYZcwuIu671n9sGAZ3zzmvOp+3hn67NX86p/F1QERERERERERERERERHQO21nTsPOgt6Ouzdbm - WwOAlLr5fP7IRz3iV37lV172su//+Cc+ubm5mVJy91LK7ubb9X0/DENLmBvHEUBL6V6liIUQzKx1 - ANnF5RIRERERnc9qrasP2C0b+6zN8W0Z263CvrUFPLXU4fYtY1Wp30Kvz9q1PkFtU4gsOxvi3F8j - 2ql1+jazruvGcWyR26fwlbx9y1bVnHOb1Wrmp2HUu6+Nue3+8/kcQAihtfs800MjIiIiIiIiIiIi - IiIiIqLziLt3XVdKae3YSil93y8Wi3Pl+PXJtil091au0CI8W4nRCUVvnt1WJQcxRndX1ZbIfqbH - RURE9GBYtb3u+77VnbYA71Z92tpV55xrrbPZbHNz877mE2Kstbp5q2kcxzEonvnMZ/7ET/xEjLHm - HIKklKZr/XxzCzC0z0v31cBXWPtKRERERERERERERERERES097W2xiKSc97a2lpfXz/lDkirRlu+ - XcYQNARFzfV97//DX/u1X3v+85/X9/10Oh2GQc5M4vDpZQIHKiAChYkDyyBvBVQR4YCYQNQhri4W - UxrKUMwn3fpwJOyfPeQ5X/4dtx+5/dOLP626gKA6xMQlZimiiG4Ks+18aEer/dgZDW5AcSzvoN7u - VGqwHU2yVGFaRcy9jVbhDjfoQsfRqix04t4vPj8c/PzNn/7vt71fhnjVpY947MOf8OgrvuTyfVeu - yf5YU80aSgGkpWW39O4zW9EaPampAapFokjCoMPCN3TN57Jx+8bNn7rpEzd87i9uu/PGI8OhweYl - FO9hsFozBHHSRSDPq0hNKtI5UEcrmxmi6A/IVjXAWkq3OqKptNUVc6AKIGg3BIcsN/5JqGYC9DLL - tVg3FHi09Phrrvs7j/3a6WJ9FqaL+TwkNYMBGpKh6mne3upq96gjMrO1tbWDh4+85CUvGUtpDdjc - fft1qcB29dGO4Z1UbXd7IYlIKaX1amNuN63wpUBERERERERERERERES0B4UQxnFUVcCGYT6ZdLX6 - pRdf9Ju/+es/+U9f9463vyPnrNuHnluvsV1ZbuvkVUppdQ/tGttlEKuD1u1GRnMREREREe0KVV01 - 2lt9xm7h1md0XMdqRfZtYG2cp/ZNpLXZbdOtj/BufaM541aJ3e17U9d1/N60Z+Sc28u1fVtfBdif - 7AkDbWdvL5KW2x1COFdyuwG01W//Ilg1CV3t0URERERERERERERERERERA8OEam1trznUkpKaRiG - dmT/TA/ttGiFKK3SoO/7YRhalueZHtcuaCVJKaX2I+ttiIjovGJmLbd79be+FSXu/FQjIpubm8ep - V6y5iAh2nAx4/fXXv+lN/7rvu5xzVJiVUkrOKiIn2QuXiIiIiIiIiIiIiIiIiIiI9qxWnNDq91p6 - d2tKfFJUtdYqElphQ60V7rWaVXRdLGN53ev+5Zd+6Zdef/2TFosRcHGF2DLid09xoLWMUxeIA7B2 - i7RcY9cdVRvqrtXqdK0vwzjV6WJuD7nw6uc87flvff+nqw4eBhc4qonAcTSQGzDHMid5Gd0NF4ib - 69EAdfFloLfJMlt6e0iqEtTRwqbhaPUpqtCqa300sRGDA7IGE4z5jpjCDUeOfOpDnwz/bbpPL7zi - wMMeccWjr7z44Y9+6GN69DF2AeKmMBFXdVWouopFAeCiO5/oVQ70jhsF26tz7OZc3se3H7WMkRbf - caO5ADATdy1VzFGruoU6zxs333HTrXfddMPnPnHTnZ+9fesWTLL141A3crA4g0NKcXfESQiI1QwV - UWMuQ+xjLaUYYoc4Ra0YzE1XaehYBngvR9CeVvPt1YFDHSbb2dXbE77j2V+uu9tqI8QkY3EzK1aD - BB/ksu6h3/zlz58NF079wObmfDKdhODjYp4ms1pPe243VhscaOvU1qLr0pGt+Wt+7LU33/T5aoDv - frPEcRy7rhNZBsPvrDEmYnQ3ERHRXiYCkXZCmbvf+9cEIiIiIiIiIiIiOqfd/VD33QpHVs00QpBa - M6Du6GL8qX/+Tx951cP/1c/+TM0eFGawsix2ab23ukkahwxAQ7BSd8z2JI5kr8K5V9dd1+Wc2+Hw - nHM7jI0dAd7t2PbOCSIiIiIiOhE7P1qv8oDPttxubH81aAM72bjiY6z6Ce7Jdsktxrg9rewjvJes - Xq6r3fMUdoTVQ1YzOef2gmNW/wG+GxAREREREREREREREREREZ2aVqHRtJKbc+4Q/Elpud0AWmfM - vZHbDcDdd/bUY243ERHtSasessMw9H2P7fPvQgjtD18Iod3S/uK3TzXtz/3OUr2UUim2KtvTEKT1 - JjMDrIspl/zYL37kv/2lX+yiiOcgy1MUu5SsuEgAtvsC382OouVVc+R7a5dMRERERERERERERERE - REREe0aMsbUSMrMY4zAMsp2UZmYiEmNs1QshhPsq0dzuSFaBu9ckiAxjmfRpGPKLXvyS97//ff0k - HThwwHLJeQhBSylrk+l8Pu/7vpSCByGL+LRRNxMApi5AwjKn2SB5uyn0Msa7ZWnDFdZH8ZKLKNwW - nXjewKMOXPvdf/cHf+333vwF+VvZVwa3GDzWqBCXvMqNNsSjvaaltPzolmu3vRH1aO6yLxPvWpq4 - AzsbVbeqEHdArcLghhY2XSBtwmvRUXqzbrjLNg4vbvzkX/1JuCH0mF6wduGlF1922UWXX3rBFZfu - v+zifZfv6/bLGDufdFiLNUjRWlxMVGRHzS8cR7vYBVETqOuqHmZZ37I9xupwMRMzmIvFhIJcvbhW - KFyKoy50fkv+7G2bt9122y03f+Hm2+/8wsGNg4NvQa1qqaH6vmKaXcySKWAGuIe2hlZr22wBFVWT - mhURpAA3iCECqKvsc4jDBApb7Q8mq8179JajL2eHCXw7utuggOp2qre4KQBH3vTp2tpW3gjTgDFd - 6Jc857rnX9U9drp5oecuppg9LyxLF1ByuNfyn11Vbej7vtY65tr3vRlyrrHrDPrb73r3e9/7/6wG - YC4tU/7og+8xuHuOdhX4vZpYvfO0O7TcbgDM7aadGN1NREREREREREREREREdJ4wAIvF1mQyecn3 - vfhhD7/yx179mrsObQBwOICcc9/3wzCMQ05dLKXYrrYeM7O+79sh7a7r3N3dVbX1xmp9Q9o0c7uJ - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOg813K723Xf9/P5fDqdtvPvYoyt/XSttZ0YuGpHe0/b - 7bA1xmju7t5OHhQghghYLvmaax72q7/6K9Npr7qdvb0zeLtNy73P/1hMmVv8ewAAIABJREFU7CYi - IiIiIiIiIiIiIiIiItrrVHWVyb1YLCaTyTJQOYRa66rm4b5yu4/D3UMIiyFPJt2hQ5vf930vfec7 - /6/Nzc0+pr6fjuM4m83yMKpqywv30x5GfHqpt3qMY8ot7G6RxqsMYzmaZuxiLdQ51WR57ep9X/yt - X/WCt/+XXz545JbZBXFRRnUI3AUm0BZ2vF3UYWLL3G7ABWItpVvFcUyastx967a06R0Dgsndopfl - bncuCGXnK0BdD2fclW+58da/CrfGULskkylmPdaufsgjZ93+A9MLL5xdfGB24b7Z/vW1/ZPUIVdV - VY0A3N3MFEFEthO7RUTQCmJcsB0GL0GhAKyqVVSXemjjzvm4ddfmwbuO3Hnw0O2HDh08tHH4SD34 - hXLrIsxdzBWmpfbVpSLApB7zFIjfM0r63mtpdm60+9yA98rv8aNAHC5QGJbPzt1mNZl04ziWimma - dnntyx7z9Cc94qu6rQtC7QEFiu2YsW7HgZ8uYrXWELS6aUCtDqCYT2L60Ic+/JM/+c80BDNzl/ZG - cQpLaPVRk8lksVgAiDGWUu6raIpohdHdREREREREREREREREROeRVsVSyvjsZz/74osuecUrXnHw - 8JHFYmyH7IdhiDHWWvJQIAhRaz62SuPUxBhrrcMwAFjFdYssKwVaMQ2AWmu7XZW9OYiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiI6PyVUhrHseu6UkqMMaXUTs0D4O7tfL0QwjAMIYTtfsT3ojW6bZ1q - AagqRFJKeRzdq5lNJt1b3/rWSy65pE9hsdgK8fhNgomIiIiIiIiIiIiIiIiIiOj8tapeCCG0W/q+ - NzNV3ZnnHWPMOZ/C/FWjWQ0hLBajKD704Y++/vWvf81rXlPGhYiEEObzuUJCCIvFIoSA86vMwUzL - Mg7aBbIM29bar3cXPfkRX/H5w7f90Uf/85Ejt3cTq7EO2dN2GrfAIOOyvkSPCeFWcQRTwFrU9+ka - vVg/7WBWbVGKm0Kgh5GCxJtv+bRqVIi6mjkAcSjSZeuX93FtMpl0XZdCiDGm2LVCGgBB4vZ2MTO4 - 16Fs1ppzzlvD1tbW1uZ8cz5sDWWQaBVmyIZqWgEzVDPE1AFAcA0Qgbsbqt0ztPtoZvbpTYlebnxX - uAIqKBDbzv82wOBwURd1MQALG6XiwrRfjky+5CFf/g1f9vyU92voS6iic5eiYgZXF7ga4Kd5/Gvr - szvvOhhCnM1m42AhhOl0euutt7761a+u1SHm7oDUWmNKtVY/ydTtGCOAxWIhIq226jhFU0QrjO4m - IiIiIiIiIiIiIiIiOo+YWa2167qtrY2nPPX6d7/73f/b97zoL//yhhRTLllEWusNAKmLeSy7tdw2 - 2xCCmQEQkTaSEEKrqimllFK6rtutJRIREREREREREREREREREREREREREREREREREZ3T2jl3reds - jLGdlCciquru7r7qfH2cbtfunlLKuaxm4u7juBDAHGuz6dvf/ltXXfXwXAav3nVdtVNpnE1ERERE - RERERERERERERETnAxHJOaeUWhlDKaUVNrRI75RSq2GYz+enPH8zMbMQQq1VA371V3/9kY985D94 - wXcAGIZBVYOG9tsQQvVda6F8DhCDZACOCFEDFApAXXWRUp0+6wnPyYv6gY//3sHhlsllqfjoDtkR - bSyA70jmNkBdxaGu6oCgnuZo53EcAagAESriai6efai1rNKx3ZeDDI6tfIfmPizU3WutZgaXFh4v - IgFBRLaraODIMbmrt98azODeee0q1CsqAChEl0nc4sBYpQazCriJuQABqlJtZyC04sFxNE89toWq - Rcgoq185HKjSrlVgYpjGKIe7h8+++Nuf/p39/OI+XjAfSh8gbgoHLJji7s/76eK6sbFx0UUXjWMu - 2VQVUBF57Wtf+5d/eUPXxWEsMcZSLMZYchY96Q3b+px3XZdzHsdRVQG0t4LdXx3aQx6sfZiIiIiI - iIiIiIiIiIiIzgK15um0L6VMp1Mzu+TSi3/jN9727Gd/fS45BEkpAEgpAajZ4Pc3u5Neeg0huDuA - EEKMUUQ2NzcBxBhXud211l1eMBERERERERERERERERERERERERERERERERER0TnFzFpn4Ra23XpS - r1oPtzP12u0559UJeveq1gpYCOJe3Stg7UTCGOUXfuHnr732S2CljyHGKHq3Ewsd6lCXB6V7LxER - EREREREREREREREREZ31zCyl1MoYhmFoud0iYmathkFEQgiTyQTAKeTp1lpjjO7SehSbwQw//dM/ - /ecf+4vDmxvT6TSEMJZhMc67Scp13PUVPLs5xCAGCFwdWgWACRBrmtUD65sXP/vJz3viQ592oVw2 - 3JVjRFWYmunR2g9xqEEN4qq+CrQ1iJ3e1G5AHSnEqCFKUARxRUUd8zgWUaigZVSLQhWqQEDR+aiH - Fjg0yGHr5jIdZW3AdOGTwfpF7jdzv2n9wvoFpguf1iGMowyj5AXGLDWr1QhP4kElJgQ11QpUQ6mo - BkTTvsTeJZkGh3ipPmTHMaUyrrrcXKc3AtjbZRnULUB7mloFD4CW4W0mZmIAZl3wTdmXL/z2Z3zn - pX7VJXpFPoKU+qrVtJqYuKqLurZHndbBYxmqXeZbQ0opW3WRn//5n//93//DEGQcC4BSiipEHDC3 - crLzb6VTpRR3V9WWBM/cbrpfjO4mIiIiIiIiIiIiIiIiOo/0fT+fz919HEdVFZG1tbU3velNr3jF - y2v1duDZzFbXu6g1/iiltGG4Lxc3m80AtC4hbbk81E1ERERERERERERERERERERERERERERERERE - ROc5VW19ZkMItVZVVdXW8LqdALg6Fy+E0BrR3hczU1UsM7wxmUxyzn0ffuZnfvqpT33KmBciDsC8 - DMNwuteLiIiIiIiIiIiIiIiIiIiI9oa+78dxrLW2ygQRiTG6e621VTKcWotjM+v7HtCu64IKgLvu - 2vyhV76q1rq5udkWJCIbGxutjuJ8InCFRzmaIW0mxcU6Sdjyi+Pl6dDatz/zHzz56q/sy4HxMNRh - AhfYKovaVVyDabAWCG2AAVbFXMzkPpf9wJnA4AbPbtXN4CISgvRRIpYB1cGgFZIhBSjQDh68aC1a - s+Qs44hx8LHIkDFkDKMvRp8XGaqOriNS9WgWqmkpmk2ySXbU6sWsAFCHSBAJqiGIDNkWuQ6l5uoG - ICB26Lrlxr4bP/3hvw60wG4pgCmqAMsn2mE4+jwqEBzBkA/Jfrv4Bd/43Q9ff9Ss7tNFiB5V1cVM - TV3VRTwCaoLT/fwCCCEMw7C2tra1tUix/+AHP/jWt74VQK2+Pltv9UsAcs4AVj+euFUH9Rhjq6dy - 9zY3ouNgdDcRERERERERERERERHReSSXwVFns2lKYWtrYzLp+hTF7eUv/8dveMNPjUPuu2i1CpBC - DLKbxxNbuUwIIaU0DMP6+jqAGGM72l1KWRXZ7OJCiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - zlEpJWy3se66zt1bknc7Ea+dkdeCvY/TinqZ8O3WTh7sOx0Wi6D4kVf98Dd+w9cd2L+eVGqtk2k3 - juM95tO6MxMREREREREREREREREREREBgKq2/GwApZSu61olg7sD2NzcBND3fat2aDee7PzNMAxD - CGEcx1pdBCHgU5/6zPe//Aem67ON+VZbxL59+2qtu7x6ZzlXeIIneBQHYJDimk3ykLcmXV8O54u7 - y9fHA899+gsef8XTLumuiDWu2j1XUUcEonoMrsGRzIIDgqooASXAT2e0swuy1jFajV6CF7GMWiGA - lgLLKlm1hORpIt0EXS8RGeoIWF7adBQoEKRFjwNwd3Ov7rWambvDIC5wgYubuAV4gKubmGmpkqvk - asUnk9BNJCSYIBuqwwz38rISg5z2Eho/GrJukFFQAAPU0GWJRWKVlu4NdURDnyf7y6XPffo/fOwX - PfEADqQc88Z81nd1WIirWhQPYp24AnCpLn66C4E0dtUFIaZJf/DgwR/7sdccPrxlhpjSkc2NVuxk - Zt0yHf2kmVnrZ75YLNqPtdZWYUV0HOx6T0RERERERERERERERHR+6bru8OHDtdb19fUjR46oqoiE - oM997nPf8Y7fXFtbi1EBlFrMd/M4uqrGGGutOecY48bGBoB2YFtEUkrL9h/bbUSIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIjOW+5eSlFVVe37vjWbrrW2nrOt53VrRxtjLKXc13zaKXspRQAxYhwt - RvzAD7z8u7/7uyaT7vDhu2KMGnD48OH9+/fz/D4iIiIiIiIiIiIiIiIiIiI6vtls1jK523UraRCR - luTt7sMwtFtayO5JMUOXutVsU0rucIcq/viP//sbf/bnZrNZSv18Ph+G4RTmf45TeKeW1EUBgUGq - i7lYmsZxXMA91jDJ62vjBd/xrO/54oueNBlmfdZQFRYdWgUuZmIOiEN8mX7tgqqopz/etjgccAUC - JAgUBq/wEILGgBARIhDNg1W1ihb+rgoEAC3WGiYQgevdrgGYQgQiUIWupgFxKJZp31GX4d9JJaks - FnUoXhyqCBFBt19SDhybO2/bl9NoOXdpAzZFAVBFTbUoiqIqBEhVp8N0bXHBMx//LU++6qv6cd3G - oJDUBatjEASDmqi3tW+p4w/GzrJYLLpuUkoZFvlHf/TVn/nMjaoIQXLOAGKMrdipVTqdcp2Sqq7e - do5fN0XUMLqbiIhoz2qf38+/b4ZERERERERERER0PCJiZqkLol7KGKOaFRFPQWD1yU9+0nvf++7L - L780Jdm+//KBIUj7sU2cAjNbHcNeTYhIq7ARkXa0291VdRzHdodWZwOgHVwnIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiLaY9p5dsdMtN6yLaXbzNotq/useteWUu6n/6x7CnEciyrag17wgr//0n/0 - EsBKHbs+ljqKSErpvGxpTURERERERERERHRWOLVQQyIiIiKiM6V9fE0pAQghAHD3GCOAWusq2HtV - 7aC6DE6NMba7HceYx+1JbU2JzZaXt7zlLe9///uz1TSZmqiJ1lpbGHArtACQc77fRZy71AOgwTQY - dDtbuipGq96JBPdakse1fMFF+Yu+6+tf/KWXPuUi+aJ+3Ies7iKdjrEMWixU2xGd3CZCOO3jT0Bw - iEFsu05G3cWK1CK1hJI1D2EYwjCkcYxmASaogDlM4IABDlTfvkV2XG8nbpvDfHsay9+aoMXAQwBZ - vkA1KkSXD3SYufvRjOuWbr4jtPv05nYDcNFlZLgss7ZdzNRkErdqQYI7QtWw1V+QL/vyq5/1jU/8 - tvXhIV1Zh4eMLLGaj0EQDWE5eHeBizoUkF2MMM45hxBijG3vzjmrakp9LV6Lv+1tb/uDP/h/AZhL - qctXaqtxcvcTDO2eTCZtous6bH9xdvda6859fA/v77RbGN1NRERERERERERERERERNjY2JhMOhG5 - 9NJL3vOe91x//fXtcLM7UgoAanUAIWitfsrp3ffk7qUUVVXVyWRSa20Z3u1Y+Hw+7/seQM45pcT0 - biIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi2ntWHWlrrTnnVd/qjY2NyWSiqjFGd1+1sT5ZGgDA - DCL49v/1W374Va/cxfMEiYiIiIiIiIiIiIiIiIiIiBp3DyHUWldFDqoaQmjp2qra2hEfN2r3mEzf - uxVLzOflh3/on9z4uZuHYQghqOpsNmtLzDkPwwBgfX19a2trl1fsbCKOln0tMHGFd/DOJFRBVTOx - YNqXtDas79u66B9+3fdeM33c+njRuh+QglLGDMQpLLpHaBTVGESjAIYy3v/SH+DIgyFWxAo1qK2y - sdGCsV2OvaziuKVNAIDe68Xl6HSb59GZC9SPJp0vtyGW2dhwhce7z+1MUfHl+NsITWCAiR06srU2 - k2FEH9DXtVm58Esuf+rf/9oXrc0v7sd1tR5QF6taAA8OBYIBMIiZuAFAhO9axLWITCaTYRhKKZub - m33f79+/fxjyOJTZbPbBD37wZ3/2jS2Zve34Jzv/tbU1AIvFYjqdisg4jiEEAO6+WCzaxM73GaLj - 4wuFiIiIiIiIiIiIiIiI6HzievQiBrFWlDCZdO61ixoVl1580Vvf8kvf+i3f3HUKQS5VFKIIQUqx - GNXM739BJyylBKB1Fem6zt3dHYC7t1+VUlJK4zi2H4mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iPaSUkrL6lbVlFKbdvcDBw4AqLW2ptW11varEyTbHYbHscSIGPFlT3nyP/uJn9w/W182I955yuEx - FwCt/6/AxEzsvpZCREREREREREREREREREREtKp2yDm3qN1WBVFrbZ2HVdXMaq0hhFLKcWd2tyoF - 33HRiMMb81f84A8shiwaa60bGxttWZPJpO/7cRzN7LjR4Oc2QYVUSBU3dVUL8ATrDdFEi1oJBSjB - 0Oduuth/BR79gqe/5Muu/uq1Yb23PjhCwLxiDiwEg2s2dQvJY2+ItgrSPi3UEVyjaTRNpsk0Vo2G - aAiGYHfL8xZXcVWPOy9iUV3F9J7Xy4tDHNquj8Z6QxyCo9ftdtmZBb6jYGZneHbb6Ecvp5+2YRxd - egHK/v0Ytnx/gm2gX8yecs3Tn//VLwx3HejH9a708OBAVavibZRqEDikumbX0cXERTzsVoRxrXUc - x77va6379u2bz+cHDx6czWYppc/eeOOP/OiP1tZkXIBl7/GTS0bf2toKIYQQ5vN5m1ML6jazvu8B - tN+2O7c7EB0Ho7uJiIiIiIiIiIiIiIiICK2cpdWsbG1tHDhw4I1vfOPLX/6ytUkC4I7V0WdV3cUj - 0apaSnH3EEI7+K2qrbRlVePSrruu27WlEhERERERERERERERERERERERERERERERERERnR3Gcey6 - btW0upSSc3Z3VQXQzvvr+75NnEirWRE5JuFbBKXguuue8OY3/0II4r5sik1ERERERERERERERERE - RES0K9y9lKKqIYR2XWvNOQNoJRC11nbPWutxWw3fZ0mDqtYCVfz5n3/i537u54ZhqIbpdDaZTERk - Pp/HGFNKOeeU0u6u3VnDti/FpU2oeFAP8ABo1f+fvXuPli2r60P//f3mXGtV7cc5p0833fSDbpqm - oRFBhUCQN6JoLmokGI3hco3jqolKlIEEMOmLwUTxrdH84UDAx0AlNxm2jpGMO+LVG8TI1egdvhAI - qCgC3Q10cx5776q11vz9fvePWVW79nl09zm9T3fT5/sZ66xRu/baa61aNWudqllz/b4eEqEBILt0 - Y2f3pmvyzX//+V//3NtedNSv2Izt1CM7EIAgkpTwYhEhSbQV0UseguyAL3feIcunWwDZT9Susdln - 5Ij7GXPx9XkIQlATuF0UUF9rTCGItXn9Veyv+JEykCbV9PRA1D0UQJACMcdGYDp2R+zYU6/9on/w - Ja/aGK+a2NFsrYRqLI7AQgggIQhxE3cJiNc09MN6fmsh8WEYmqaJCDM7evTo6b1dM3vTm950552f - NkNKAsDdL660uJmZWUqpaZqUkoisziF1GFX9cRzHMwZKEZ0tP9w7QEREREREREREREREREQPJQVw - YCiAOABRGUvftu102s3n0fczAN/5nd/5uMfd+OZ//b2nTu3pYszCfdT1OGvND8Cqukf9nrtmeNev - uiPC3UXE3evwmlWpESIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiokeHnDOWF9BFRP2xXme3Kizb - 933OuRa6Pe+KFAAkJCJqHeN6xV/b5mEoT37izT/+oz+6tbXVtnkY55p0/XLAOOsKQWdJWyIiIiIi - IiIiIiIiIiIiInrARGQ1BGIcx5rsGxEisj4XkZTSMAz3ubLVAAZdzXPOpQwArKBp0s/93Ltuu+0p - 3/AN/2iY7UXYZDLp+35vby/n7O6rosePQuKAOyIEgCA8eXLBYqCHwNThSCIuhkhNTGMok7L18r/7 - iiuvuuL/+v1f9xBDGVMfCVCBeJg7JLsIxHH+oSkPmq8PV5FFfPjCWUWvJRyA6ZlPZRwY0+IAXJZx - 3gGNjEUC+PK356qYLViWuRYHfD8jPM7VcmK5rktM9reuLgAcAg1IIAYc764s98hznvyiV774G+0z - 7dHJlZinkAI4xACXCAmpj90FLjBdHFcJTxGAxSGNCKqv5Yior/eu62az2WQy+cG3/sjv/94fICAC - s7pxXd64sPWnlEopdf31zlVp9Jxz3/dd17l70zSrAuZE58P2QURERERERERERERERERw9+3tbQAn - T55s2zbChmEYxvlXf/VXveMd77jhhse6wwwpYRwtpcMsudE0DZa1RerQlnqjDq8xs5RSRJRS+P03 - ERERERERERERERERERERERERERERERERERE9yqhqKWVVwHpVbRZAKSWlVK/CK6WY2SrM+3xWNWpX - hqHceON1b3vb26677rER1g+zlHR9K0REREREREREREREREREREQPnpnVYsJN06SURMTMIsLd63gG - Va252hdRZ7iU0nVTACJSiiHwlre85X3ve1/OuY6p2NzcdHczA/Aoju7WAICowcwSLi6wxi17pOWY - ERM1DVcPCVW1EZ1tbg3Hn/fEL33l8159Y3drOjlJ89b7MB89OXIYvDh8P/T6UglZTljOsbx9vkEx - cmAuZ8VA6/IeBQBfm3CuxG0FNGQ93tshq2ktTfzhoOEaDuwfJQlk0y3f1s92L3jS3/ua5756snvF - Vd118529od91LZYMUurxq1HdDjXJhuxoQgQQRSiGFIMcUgR5fbFPp9O+79u2BRAR733ve9/1y780 - nw9tmyMW8dt14Qtd/6ogeUqpzs1sHMf6Gh+Goeu6Q3kgdJlgaXsiIiIiIiIiIiIiIiKiy9CZXxSa - FbMC8elGN+/3ROP48WMAIuKZz3zGu971rs///NtSghlU4X7W8ISL1TTNOI51gAuAnHMdRhMREVG/ - dK8jXWptESIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiokeTiMg5j+NYa9o2TdM0jYiISNM0tYZ1 - XVJEzk7mPriuAz+paNJ05MjWT/3UT11//fXuvrGx4e42lknbAUDoYlr+xdq0zs9VyJiIiIiIiIiI - iIiIiIiIiIhoYW9vL6WUUprNZnV4g5nViG4R6bquhu92XXchOb77IxZSSn3f17XVe2Z7wxve8MY7 - 77xze3t7Pp+XUtq2zTmvFnj0UhPxmtUsoTIqhhxD9iKBgIZIURnVSyoz38mtpGjb8ejk1BXPuv7F - r3rJt968cdvRON5a9hEF4QklwQSQ5Jf04IUmy6m0Yq1YFldxFYfEYlostQzzBpAcNYO6zpMjOfLa - pAGN/ds5PEdZm3x90tXkLuECX4/qrqvSWBtAEzUX/Hwjag6ZYhUd7gGYLvaqLd3meOyFT/mKr3jm - 1x8ZH9/1V407Pp2g3RhLGk2LSyhKipLCAQ+BIRVpDI2hBRRwRS/SAxecon3uXVVNKe3t7eWc65Cn - e+6553u+53v6vodgGAsEq2FOOecLXX99Fbv7OI4iMgyDqjZNU7fbtm2tZ66qu7u79TxDdB/YRIiI - iIiIiCoFMpBd4OLLae334otpf3miiyfnmg46q9+tNr/7mK9PwHl7M89Y8v4a9nL3XIAUnuLsXT18 - awdkcRAELjCBQ9zFTd0ULnwlXjipnb+L47k2rehFTefd3Pnb2/3s54UtT0REREQXZ7+aBlSTmQNw - 967r3P3EiRNtUojP5/Obb7rp3e/+lZe86IUAPHDflT0uyDiOTdPU77m7rpvP5wBqMREAdTCNqqpq - KeV+SooQERERERERERERERERERERERERERERERERERF9rhGRvu+bpkkp1frR9Zq7iKiX2pVSatnZ - iLiv6tIHrsBzkRAN0Xjb237mtttuy02aTCanTp1KKTVNeyFVsImIiIiIiIiIiIiIiIiIiIjux8bG - BoD5fD6dTmvUbkqp/qqU0ve9mdX47bZt3S84h6IOdcg5u3sEalbvxz72yTf/6+/b2dmbTjdXoyzG - cVxt+tHHa5b0IuV5paZdQwGJ/ftdPE+SweCCMXV2tJsdvXn7yd/08n/6uO6Wq/DYzbHtRiSHOEys - iAHQ2A8iCVlM6+E6B9OsD7rPJB6g1sRWDdVQ9by8ocu87jMGwJy5DqmhOTXnOyAB9QPTegr42XMN - aLgGFK5wjUueh7J4gIsI8MXjWR3VxbE9aHnAPTmy5W7YnPTHXv53XvlVz/m6K+3afKqdeNekZrBh - xBCyiLeXUI31ldS0F63tQXDubV3841ItpeScm6ZRzWbxhje86c5P3lsKUlYAogrAzFZDoS5OSsnM - 1sO56yu91iqPiM3NzQf7YOgywIArIiKiR6HVqHrVxW3m2RARPQDq0Xg0AQ0JV3O1kBoMrAAkQmAS - IfAHlFl7cVG1dHkQILln9+Se3GsHmQYEkFBZdkGuevYAhXhtfhIBceBccwQQLnXyWAUyi6+lNbtE - LCaYwCAjEC5wUYe6LKblrrqGJ/cUkd2z1/l5Y8EP7/ggOZYHoQE0YUwYFAZEyWNJVnSxnwK+vu5X - PVCoDUBhilFlUIyKUWGK0PDaAs9ofg90kjOm2tp82SADMCzam62a+pnTfk6877fSRZsnIiIiokPk - ywkAELqaVLIbBKmMrpJzzmam4Ukx9rNjR7d/8sd/9Nv+6f9eB2WIYP/bakmLt52qZ46NOPcgCQVU - oAIFMI5jvbfv+3pjdU8dQ1P7OXPOONjbWReLCHaBEhERERERERERERERERERERERERERERERERHR - I1y9FM7MVrHZqzrUtSZ1LVaL5fV0Ag1fXKIX7m5Wa4qdY9UCCAQJoXUNbZsDIRI//dM/+axnfmGT - YDaWMnTNRJHMolZRDvE6rV17uJhWFVE0FkWEH4pjRERERERERERo1YyuAAAgAElEQVRERERERERE - RJ/LJpNJvdG2LbAfoFZHTbh7LXp8gWvdH8+wygB2RwQQ+I3ffM87f/4X50NBymbRNJ2VclZpZAUO - pLF87gqpOc1QV3XREIcUlaJiqgiVUHVVV40anuxwh9YK0ZK8aYftxzY3v+YVr3/u9V961e7jjp5+ - zLTfbjyFwtKogTAkpJzaELWoiSaL1GeNmg6uGorIaznci18dHHByoDZ1iAJwLa6D6+BaXIuL12n9 - Ma7Hb8e5JpcD8/UplhnVfvZ8f2FfTgeO7f56Vg2u5v4cGFRzATQ0uWbXFJBlwNVi9xJKjdtRQBHL - cPGZQyYYHRrY0q28M706bnn1l7zmRbe+fHPnis2h2YC4zaFumou2Ne1FQwLJ0ThSQAFXWI4xx5jD - JBBIhtbQhpxZK/y+LV81taI4BFARFQn3nNI4WoQMxX/i3/307/6/fwgFAjY6AmGLYxVhZw1MWnuu - RVbzpmkApJSwHGclImYWEaWU1TirukBdWC7w4dBl63P+1E9ERERERHRYUqjGoqtikXccQBwI5w5x - EzjUAX6kogcjRAMaoouewUVfW/3VOZaX0GVv4n3MBYDWPrZlnxoArMWB160uFl6E82XUa+mA9YDk - uj+B2tEKhATgIi6IS9/4l/2YHnVz9REhJFRisbfiKiEpkPysEEA6h3rBJABZ5MFHXp7f1CEuqwUc - cIgrcI758tx3jvmBSzuXv6nPZQgObBRYXrq5+n0I4sDf1iao+3MiIiIiepiklBoVVeydPrW5ufn6 - 1732B37g+3JGAO7QZXy3rn2f/YDdzzu9RW0RkZSSrFmNranfjkcEvyAnIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIqJHOBGJiJRSSqkWkq61ZWtit5lNJhNVVdVSygVerwesXeKXsw5jUcW//f63vORL - XnBm3dtFyREWcyAiIiIiIiIiIiIiIiIiIqKHSB0jUSN4zawWFj4USeWHfuQnfuu3/p8md6p5HMfN - je1Hfb3iZTa2Ig4kZa/9CkAdJdLUYJoQBwzw7Kkbp+3OkVe+8NVf+6J/ck1+QrezPY2jqaga2rYN - 8cHG0QeHOyAON+yHxcQqWOfCcqyxHonygMOwz0jmXuX7rM/PXL6uNM6an3ufzjUdGq/hLOtbq2Es - ZohABMxQCtwhQNK0sZmGHke6RmfZPqM3bT7pVS/7lmfc9Lx2OJLLVEJDDFJcHFDxtHhe1lpC3ZCG - a3iKouF1gUAKpIsZMnTwT+oIpTrAaXNz0yx+7/d+/+1vf4cF4oJbBCJic3NzfaBULT9ei5NHhLu7 - e855VZac6CLkh3sHiIiIiIiIHhEkkN0BIDwCIeqo1xcJFinLyQU119ZrN4PXzoYL/9BPl70ARsnr - PUuyakiyyj8uuv/banHNm5wjIHnFAVVZRC9jkfkNXW1sLajbZZHODQmg6LIHa9mxqLbcsMiB+ORl - y79UjT8AE7jUQ+DqtR8zAxBPSTQMClGX5JojAx7i9ijv935QVs8pIiuAEDvw2wAQi+5aF3ha5KXL - OeYCCRyYQwXQmqpet4Ll5ta3sh+wHpCy6ho+oz0v2/96JrivzYmIiIjoYTDv91JKgtQ0TSllOp2+ - 4hWvuPrqq//Fv3jDvfeeUkXOeRgKIjSLmyEUcvD923mHGtzP27xV5ZH6o4ioqruP45hSAuDuAFR1 - GIa2bR/cAyUiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIrqEImJVGLomdjdNE0tt2+ac3V1Vm6YZ - x/ECii3UysgiOedx7EsJUXz3d7/2a7/2ayMMgbOu+2NuNxERERERERERERERERERET0USik55zo6 - opYXzjmP43hY6zcLCG6//fbbbnvy466/XmRZ0PhAiEkdOHEZjpfIHg0AyAjtFaXm2khg0hw7deL0 - M259yWOuufE//+5/+sDH/2B7e6vIbG+Ye0Ju4eJuaBSNtBhFTAB38ZDFfJk2ogfys8/IjlmLIBE/ - q3L1o50rFnHWoQ6NtRjvLEiKJOIeIgiHRGvmZVZaIE40V4xX3nL1U7/2S/7xVd31sdMCeUhe0v4B - zF4DiS79wxA/o8Z4RMxnw/b29t7e/BOf+MTrX//6UjCZtPP5cKHrVtXd3d2UkpmN49i2rZlFRC1O - XiO9RaTv+6ZpDunx0OXoMjz7ExERERERnZOHjKF9qIWUMy9bCtmfr1xmvTl0iFwWMcmrsOQ6X59k - bar3BFZ/hTMnSEAACSRAAK0/SADwVTfZ2nrFRJYJ2eqAAIttyWr5Rfh3CGK5z64eAhP3S5yTXTe3 - PBoFQEAQGcgBBSQELuHqLnW6tPvzuW8taj0EUCA7MpBdFsdzcYYTD4ll73WN9D5jHutnxBAIXAMp - XIEUkHAJPxDIHbKW273ojKrtszbRc03r2H9FRERE9DBr27Zpmr7vSyld183nc1V96Utf+nM/93PX - X3+NmQ9DUYW7u1nKGTicmh25rgqoKd1YFiXJOU8mk3qPmdXRNsztJiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiKiRzgRMbNaWLZpmpRSKaVeN6eqqmpmKaVafFb1gq/Ui7Bx7JsmQfCN3/iqb//2f1bK - 4O6sk0NEREREREREREREREREREQPl1pnuNYWns/nIlLHThyipHLvvae+4zteMw5FNYswwmSdrqV+ - 2DKOJPtcj7RXyt7kmo3H/8Mve9WXP+urjs6P+2d1s91q20ZCvQcGJEhylDIAcGgssk4UWETdnJXx - tJoUccbWLzsBlFQnd0VAxbM6NCCOMPgYKECISArxMGyg7WabW/1VL3jKl/+Tr/j2a/MtW3Zc+oxQ - 1xhSFA0TAJoC6SHI7T6PjY2N+dCb2Rvf+MbPfOazqpjPh5wv8rmuEd1d1w3DYGbuLiL1TgBm1nVd - LUV+aA+ALjP54d4BIiIiIiKiRwTTMuaAFMBQO3YC4gmhGlLTZRVACFxdHFGvbeIHcroYCnex1VVt - srhz8cuDPy6YuIvtrwCrLHld9TNqiAv0rH4xX24olrcXocuACwDV8OSefLVWl9rYF7HKEAkAtW+5 - ztXTgzgA9y+FIyAaAV/228IlATDFkMLUEYMGUoQEJEQPIxrw0UrrySoAuEABA1QFEotfOVzCQ6Ie - 7NoCVM53jtMzfpBACviitQBAyKLDMrDqLkcIECrwxrGf7S0R4ouNwhbtGrpohQCgZ7dqIiIiInoo - jeOoqkeObg3DMAzznPNgNu/3nvGML7rjjl/95m/91g984APjGF3XjONoY1l+ZlGI47zv5eobxvt6 - G18Li7i7mQHY3Nycz+f1dq0/4u5N09Qf3f0iqpAQERERERERERERERERERERERERERERERERERE9 - lFJKAPq+77oOQC0VLSIppXoBXZ2jVqw+68+XF+2dfT2dL9cv42h//2te/ubb/49+Pm/bRhV+dsFr - hnkTERERERERERERERERERHRQ8jMRERE3D2ldLgRvCICxAc/+JHv/8G33n77v9qYtMMw6FkDLzQu - w/ESDikAII4QoAEgkRQ5SbZ5tKnVMSbddS/7gq+8fvuG937gtz548v2WyyRPNpKMNit745AH6TDE - HDV5OhTRQoprWWY6+SpqZLVhcYUA61Eyl994lRAMCgDJkVDUcgrVaAEXtWIw15CsqqKO1Lchk357 - Y7jiH7zkG7/oCc+d7B7Tvs3adu10HnOXCIFBUqhgkU/kcv4q4Idh/VVzYOSSJhvGn/p3P/3//dGf - RCACqlrKBT/F7t627TAMKaW+75umqRXR6ykiIuoZIyKGYWjb9lAeFF2GWL+eiIiIiIhowcVNYCou - EkiIfKBDB5CARAg8hScU5nbTg6HhKTw5kiMFUtQ2pss8Y3EIYjE55Bwf4ReL+qKjUxxiiwklxEMi - BMu5hzjE6/ISLuECpICG6yKbXkMUUBcFdJlPDwkgJAU0kMIXy1/KDk1B3YRpuMAEBVJc1KGO5BBE - QmgIXGAKU7CL476IS9SGYAJX+P4zuzizQQOK2g5d4LUpIFRjlQ1/X1MITHzRzLCYa7iGL1YIV3hy - pPAUtalniSyhEiKhEot87jO+vKgvAiIiIiJ6eE0mk2EY5vN5KSUics6q2jTNyZMnjx49+mu/dscr - XvEKAP0wLr84X35eiAf7Rj3nDKBtW1Xd3d2td7ZtGxGqWguR9H0PgLndRERERERERERERERERERE - RERERERERERERET0CFdLypZSam53KQXL6+PcvV5SV+dN01zkNiRe8MIvfutbf8B87LrGbJzP54e0 - +0REREREREREREREREREREQXrI6XaJpGROq4iDpk4rB0XVeKT7pJ1zW/9Ev/4Y47fm0YStM0zDEB - ADhkhIwAAEU0iA7RSiQ3Q7HWdGptO+82huNfcMNz/7eXfdtzbn7ptc0tONliNzXSpAQoJCMUsUy9 - Qf0XQJyVMgIgdFGb+vLO7a5imXgtoSk0uaqrhIY0UNEm5zYDanOTeZqMx25ob/3n/+hNT73umXln - a+JHttKRfqe3wWpskPgi4WWZF/Owcff3vOc9P/vOd5ihVgdPKV3EelR1GAYRMTMA4ziu1l9PHXW1 - ItJ1nQgDbOgi5Yd7B4iIiIiIiB4hNLkCiwhboPYyCEIhjkCoA7GMHzNg+dvzedD5ZPQoJqEaKuE1 - H7lyQY2jBlC7t8a1Dh9dtEwsG6EDq45FAwCMIlBxAUJgUhdXgSNUsPjzFKIBWbTPRZuvyxfFIiQ5 - lqnOUbvZHIAstrvo51oG8l0SIXCxkOKCACAQT4Es0NVLNXmuOytx8JjQOdX+68gAHAqoxuoMliDu - UKAAnpYnPQD1kKa1s9nBIMbV/T6qL7PkFy1NauMJSQ5gkUmvy4ZnslwaCnjUFUY6uGZdO5E6n18i - IiKih9FsNjty5IiIzGaziJjNd61E13UbmxMzcxtv/5f/8gmPf/wP/dCPJ4UnuK3/dX1Td+63c3Gf - b/NSSsMwABiGoWmalNI4jjnn+t15SklEIqJ+ce7uTO8mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IqJHMlU1s5xzKaVWkj127FhEAIiIen8ppV5J1zRNWdaixX7Nh/NdSaeA54Rbn3jLO372Z1OWvu9T - apuU0sGStSEOrGqPEBEREREREREREREREREREV1aqlqrB+/u7m5ubgLo+z4iDiuFt+9HAPN+Xtf3 - wz/8wzfddOOz/+7fUaAOqAAO5kZfVqMmxBUjoI6EyI6koQgA3mUVtWGYW7G27bS0KTamkyu+5UXf - /XsffO/v/Plv/NXJ9898F5uiiNkeJovk2+KKZdpOTd45V3r3MgBnkU0iDnhNM/HLLHy5PupsObtm - Uw24IERnNoa45D6jj4LOptdtPfmWKz7vH37x10+GrSPtVSdP7lpno/YbRydjmUkgew2aUUAdMPH9 - Fn7J1DLgq0ezmn/kL//qe/7V7YCK1IhtjKOpZvdyQeuvf7yqNG5mXdfN5/O6aTNLKc1ms67r6sir - iwsIJ2J0NxEREREREQBowIFlpPF+qG3trwlxhLoaoLrqDbisutLo8OkimXjVP7vI7XYXIJYh2uKL - S9181We8bHjiiLUuMAHgyxzruua6irS6+E4Wud11yfq3qgEThLgJBBqyimBebUwBBFRirbtNLm3X - W0ARGXAsjkPUDr+6D8k11Gpnbn2RajDX+b7U4Oxlb7WjBnivPYka2I9UjHP3M7oc7O5etpMQuMKx - 6BpfNF5BhNQb9dmRUBcHNMRNktUnbrn9ZTb8InIegHpygcQl7+clIiIiovuVc+77vu/7yWRS47Sn - 00kpxaOoakRsH9n85m/+5uuuu+5Nb3rTbHZh34vfh1X9kVVcd9d1fd+LyGq0jYjknGezWc783pOI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiB7p6nV5OeeIaJrGzAA0TTOO43w+r5fRlVLqPRdaqfjG - Gx/3f/7Hd5uPPsbRo9unT59usx6sn0tERERERERERERERERERET0UHN3Ednc3CylpJQmk8khDmYQ - ESBllWJFFSdO7LzxjW+6445fPX7s2CLABX4gHeYyI0DAV4/fARUXeLESQ8k5tdqMxTU06cRnMezO - n3fLl9z0uBt/+8//6+9++DdPnLw7b2DaDDU5ZBnU7TXdpmbr1G2sVr/YVD3miyPva8tcRiTQGgBN - rhqLbCBTN0HOMjqSI1melo3rtp/4vKf8vRd83pe2J6bTsjnMy5Gto2al94LwTrM4xBdRR6ZQQY19 - kWXQyyV8FOcaffTGN77x3ntPLRaABKIOfLq4TaSUzExVAczn84hY5XYDmE6nAJjbTQ8GS9gTERE9 - aolAFfUNax2p/3DvERHRI5u4IgKm2sAs5+l8Ps9d66WYeNt2/TCz0btmoiJwcS8hEImaFlbX4e45 - 59WPROcTUKvdhyKii24dg0Ekal+jFKgACAk4JKApIwJARCz+Ww+IiEgC4BGItOrnDROJpNBlCrcD - LiIi4YhFwDI8IiIKAKgUMYgIUiDCIZJERCW72ertRI1mdveI82Q7X/QBiVDVlNI4ju6ecxuGEKRG - i4+1y3Usw6SdikTph6wpouQs5iOiSGrgitD739LlKdQgIlF7M0MKAAhEJItGAKHutaMzAQmApmVb - WnsPufjz1VphdQGHanSCRev1sBq2beKii85vCQCLtgTVghEpRwSsSKBJSSFhHiGNJpFUSrFSaqsQ - UQ97iI4VEREREZ1f13URUUpRVTMTkYRQxLwMIo25f+VX/S+Pvfbq7/znr7377nubnMYyAqifMgBV - UYvV2IwHqpSymgNYfe9eh9rU9G4ATdPUz0r1XevivWuEiIhcaGUSIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiKiQ1AvcxvHsUZ019KxIjIMQ9u2AMysRnSP41j/ZHUZXb3nYOGwA4U1FtneIghTUQ+/ - 9tprfumX3qWKadu4+3x3b9LmupUDl9qxQAcRERERERERERERERERERE9tHLOZ9xYVRIWkZzzauzE - egzQAxWRNBUbBSJQwD796Xu+4zte8yu/8svzft40jQg00DaTeb8HQOUyGjshoTXX2QWK4lIgcNQ7 - RXMTATMIGlUAofCuzf1Of/3GE175zFc/+TFP+29/8l//571/Ok52ohvmpW8EbZJhCAvkFqJwgwKi - iIAH9gtQ14RvrRtf3XNZiEAdrZNc1URCXQZXjUYdNiJCkBQNIDt5O659zuNf/OKnv+zGYzfjnjQt - RyRSk8RssFSQoK4lokFaPpuOQBFAPLAMJrqUaiVwMzNE27ZDX37gB976R3/0Z/uPFwLI0PcXkc9e - TwU1utvd18O5zwjqZm43PRiX0XmfiIiIiIjovrW58RJRIkkuw9i2bSmDNpZbzIbTkrCxsdFoY2N4 - X5InhURE/dxeP8OnlNZjbonOz6EhCchhsMGG+Tg3G8NLhNWYbbiHmRRPIk1OxQb3UkO4lxMAuLu5 - R4iLqrSiU9FpzpNJajttO21ayUmyhEaEhYegSIzwEW4Kz+pZXSWJJgTCNFwFEg4Pt1EVqgDcbBzH - figzxyhyaDnKqyA9dzezRVRzoE2NFo1ebIC7p6TIMbPdvpzWZPAySU2MJcqYBHohyX+Xp4QGyDVy - XaAiIRJAGWxeYvQYQ4toiCwy1N3g7vXkFhHm7u7FLJZd2i4IlVCRnFJKYQGDuqTQjJy1aXLOqY0I - QxjCFK6KnD0nE0hOgCdEzrlpGgD1XCoepZRSBpXo2tQ2KrBi/QWFOxIRERHRQ6aGZ9ehLe4+juOz - n/3sX/iFX3j60z9/LGMdgBKwnPKkm3gc2pu61UfvOoymBnvXDxerAiIiUlO9+TmdiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIgeeru7u6vr3bAsHVsrObRtGxGq2nXdBRebXhrHUbVeSAdNuOKKo+98 - 59uvOH50Op0u7pVF1YhDekBEREREREREREREREREREREhymWxnGcTqc11fvihlLU4RmBUFUV7fvx - j//4T3/kR350a/OISm7bCaCz2SyndpUdfjnJQNZQiCkMMkJGlxLiDgQ0UBORHVIgxcrYSYpTinsm - z7ju+d/+NW/4hhd+603dU9OJza3YbrzxPtqsmxOFY+ghAXH4CDPUKtQ192SZM3K5pI2sD9KRtZBy - FdmYdjnnubtJKRKlQA2TMad7J7dufuGrXvitX/f8b7pp+hR8Jk1tqr56Olb5RIpIiLxIH5YCFEHZ - z0S/lGqt75xzROScZ3v9b//2e3/xF3/5sNZfh1ENw1CHV+3t7WH5iiY6RJfhqZ+IiIiIiOgcJHSY - WRPTRruc2r7MbCySrcSYklq/p2kyn5fsabPZErgkGWQYESICiLt7FJG0Cgkjui/iEcU94BCRrkmq - GZCICIObR3jSvLgQbnSzoklEIAIRcUhEICQATY0hwsUjRg9HiQh4ZPMkKhpQCQ1NAhVHAhCBQAAQ - 5Kid0eY5XCEIUVURAbQmN7tYStI0SUQizBDuhhqWHfrgj4S71y3WDvEatufmbiWKd9NJVpn7GOi1 - CbOiKmIRQ5ekixJt05r63nye2we/L49aEpoluUlEQFKIiEoIosa0i0AQEQ4LFLgC2jTN6vLLuoB4 - GMLhIbDFdZkuIgEo0ImK1zstIsLMEAF0ubEId4+QgEIk1fjGwVQ111j4YmbRaMo5i4SZuZdQ1G56 - qKsIIIfS3oiIiIjoEKy9MatvDDUQxTa61t2z4ElPfMLP//w7X/va173vfe+Dwx3FhmKDQIBA/feg - 1W/rzSylZGZt27p727az2czMVqHdi09VREREREREREREREREREREREREREREREREREREDyF339zc - rFU1cs61tmy9Mq4uUG/0fS8iOedSygWtv9a3UYSZNU2KsH//73/qyU9+ksIjrFhxd6mbCl1UGTmI - gd5ERERERERERERERERERET08KoZJRGRUprNZg9iTQ44RCJiKGNKKQz9UN729rc/9WlP/YqXfXkp - ZTabbW5OI6KMVhOCLxehi5rS4gAgBeIBFWTAEeo19Bwe4hADPEWR0K5tO+lKn7q+ffbVL3vaVzzr - v//Zb/zBX/zOJ2YfTttarLeCJiE5RAWASCQRF0SEmUdAFYui1HK5DFWJwFmhVV7E79nZ0RbdRh6s - KHCskbbf3Jhd/ZKnv/y5T/6yY3K1fEZyarqmLaV4Gl0cMgIluQayeIdIDkAspEAsxCFIkR2ulzjM - RVVPnjw5nW5ubGzt7M3vuuuu173+u896Ph1nj0+6QGaLMJxhGNqWKUR0yBjdTUREREREBAAITapN - 085nZT7upGkqOuQtu+vk35YyWDNON4+UmUmRxhrr++PbV2VswRKAnLO7j+PYZGVuNz1AWRPEazpy - eDFTC6+Bc1AIJOAWDgAKTz6kuWmJCKjUZmaw2oPsCCBieX8IJCAxChxARHgEsAjkRiiQECqhiCQu - tTM6ayMGhC7yl2FJk+SUzB0+9mOEB0wkoKKH2s7rdYY1da/2gpkOvjXee/reJB0kdnBSYJEAiRaq - s/axW7fOZ0MppU2NqDRdF86X3n0JM6ndlJJDJURDEDBolLAI81RURTQAd9hujIYIuIhoSqoaEQGT - lBaNFuYREBckAXKJ1ROgECyaaZqbq2b1DKRwAbJKypFy03g/ltkoIm3bTdtJmJcyQjTgIgkSFu5W - kDTnHBd2hSkRERERPUTqR5icc9/3AHLOs9nMLI4c2X7b237m9ttvv+OOX89ZSvGcspkd1nabphnH - sa7QzJqmGYah/mo6nQ7DMAxD0zSqOp/PJ5PJYW2XiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjo - QvV933VdvV1KyTnXDO+IqNU2LjS3G0BENE0q45iSjKO94x0/8/znP29373SXk7vXNatKXfKQHw8R - ERERERERERERERERERHRYVBVAH3frzK8AbRtu6o2/MAVK6IK1NxoU0XOeRjKm9/8vbfecuvNNz9+ - Ot3MOe/t7bVt4+6H/VA+B7gAEIciANE6oCTEJRY3IO4CDXS5MYvRiiNBUiNd1slW2v7KZ3795930 - eb/7wd98/8f/8FTcU3QvNKbTyWw2C0BEkSACR4hAdJnkfNmEyaySfBbhQRGohz2wfaSbj6XfKZO2 - kUE37ejNR578ii979fG4/rhduylbkbyUUiKQkmEE3MUlFMgSjXoCNAQOgwTEAQdUAnrpD2/f98eP - H5/Ph52dHQv5ru967e7O/BDXLyLu3nXdfD6PiHEcmdtNlwKju4mIiIiIiAAgBAPC1cfOTItu9Kfs - U+/5H//lv//5b82bU3uxIzlZiQaNFlyxdeTLn/nVL7j+q7rZ0WEY6tVQ9SKoVV/eQQoAuBy73uic - JHTsx6ZpcpICNzNJ0Jy1S3v9XmqbnLOj9OPoYSklm5bdyamTdvL06ZOnT5/a3T19evfE6b2d2bDz - 2ROfLj6YjWOZDzaUMow2FBSDI6mqtqlpUts1G12aZO0ec/SajWb7yOTYka3jV2weP759fPvIFdvN - kZP37nY6zZIAhAFFJTxFVgE8CVISqEJERMTFzQ7nyrz62llFd7t7zrnf2PuF9/3En935x7uniyS1 - 1Kc2HIrRp6W7YfrEb3vl7UemV3fdRnEfy1wkRJY9u3Q2KSamkEgJ0ljAQiykSLgXU0MepB0lD2PZ - 3etPnS6fvXt+96lyend3d2dnZ3fv9N7e3t58dxiGvb29CDeEe4kIwEMUcFFLSdvcTtvptNvY6ran - 7Wan0yu2jh/bOH782DVXbF7ZNZtZJklSY5M8bzfa7abLNljvYxldTMbRUkpA0pRUVMQFpXgpYySk - h/sgEhEREV3eQs95dw3tbts2pWRmEdE0zcZGMwxl0jY/+eM/9rTPe+pb/u33AzAvqzfs9av8B/P+ - vX53PgxDzrmUUkqZTqfz+bx+xS4iNcx7HMec+WUoEREREdgvoKsAACAASURBVBERERERERERERER - ERERERERERERERE91FR1HMemacys67qa2B0ROedadbpW2LiI0O4qCco4tm0ehvJjP/aDL3rxC2bz - 3em0i2JJAYiqAGpmHq6qy+o3Z2M9HCIiIiIiIiIiIiIiIiIiInp4uHsdWVGrCteawxeR260K98Vg - DK8Cw1gAnDhx6nWve9273/0rG0eP7uycaprmsovtXsQ8A6iFpjUEiHpPQAwwoJaNFoQgmn5vnEwm - qdF5GUsMUBURm9tG2X760Wc/9YVf8MGP//H//Uf/5SMn3r/bnjx56rPbm9vuHuGQMFhEhISqnBlr - E8uy1I/SMG9ZZnevB1dFiEhz+lQ/bfKGbsbJ7sbtJ734i77yWU98/ka/tSnTxlD6XYvQpnNpSlik - GVAkVCOLNxrJoQBCimmpR0+hEgqIxCXP6lHNw1DMYmNj6w1v+p73v/9DmsQsDqHUeF1BBIC+7wHU - 88CDXSPRubBaPRERERERUeUp56H0klOJoS+nysbsQ3f/ycl8515zcujcFRGYpNzvls8Od/+Pv/qd - 59z4su22HcfRzNq2zTmLiJmtukKIzieAppsGbF7MpGgj0kbx+dxm+ajOsDN4vzfOTs1PfOqeu+66 - ++5P733qL+75yAy749hDIiUJNZdSYhD1kOJSRCxyoPGQMCC09m7CHckhvWZpc+S/OplStNkaWJNL - blI3aafTtHXLY2+96ug11z7msY85fs3WdDtHp6bJ2wZNsqyewsVcYB4uBsvN+S7JuzAppVJKRESE - u6tqSmnU/lPyt/dOP94ja5ORhtBx9Gg6LbPm0+NENmJ2coAlMZSwbtLEyODu8woJmcQYgzmKhAk8 - hSexZDPbvefUXR+/+6/v/MzH7j151+m9z+zOd/b89Hw6G6R3d2AR2Q4NSMimRJghAF9Fd4tEZERE - mIsh7STdSTlyjk5MkzVqTfa2y5vHj1519VXXXrl51eOuvPmqrauPbB3LTaslZ0xanebIMEVNhndT - RUqNuJRSmNxNRERE9MgkIqt38iKiqmZ26tSpyWQym+3mnF/1v/7jG2++6bu+67Xz+TAMF1lA5Jzq - t+lmtrW1tbOzM5vNsDYiYRzHum+HuEUiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqIHKCKapgGQ - UgKQ86Lyp6pGRNd1fd/X3O5adfpC1+/hAIahvOUtt3/NK76672cR4S6KgEjdAXerW1TVy67qNBER - ERERERERERERERERET3iqWrNKxGRUsrGxgaAjY2Nvb29C1pPrUNcV7K4SwQRqnDDBz7w4Te/+Xt/ - +Affurm5PZ/viYgeTtzK54YAXBzQgLogoAgALnDAAK8BzBIaAiA7dLq1MczmfT9LrTRZ3S08NlNG - n6JHhN925Rfe8JVP+NDdf/I7H/pvH777g7PZyTHmEE+NppQgMB8NAV3biQD2f340D2Q5oyx2Qoo+ - XT293vfGbTn6/Gd82XNue+lV6aY0n7SW+34+975pkjbNaMVKINXQK9VoxLNGklCFuxqkLA+dOlQB - wUPRlOvrdDrd+OV3v/tXf/VXITCLpmnGMh7K+mst8VVuUUppHMc68oroEDG6m4iIiIiIaMGHXmBd - twER0/jAhz9w511/IxslN2EJFhgdTcTWdKojPvnJuz75ibuOP+aGrutqum1N7K6f4dfWejn1t9GF - MIUDJm6pWOMl96eHe0/YvR/9i4984jN//Zef/MinT901yixNEBruJbfZ3aHFESHiEiHuME1wwGEQ - hAKAAxJIBVnSotdMgBRAHzKYuoeWrHBBQZK0l9uUmo9+/A/lb1OOZpq2r9q+5sarb77lsbddc/SG - qzYeM9HtDhvJGh0TJOWcu9SVMhxKb6a7i4iI1NdRSsndT548+bGP/m3flSZtATL63DxKoFFvO7Gd - +Tibty4ZKYnCx+hHFXZxnJepz7Dn3Rhahtj5zO6n/uaTH/nLj3/4zs9+7M57P+G5WHZkQVLXKBMb - SpnmBmGqiAiT2rHrCA8AcJdF73m9PwCUHCIIgbqLa/iIUaLPWQEVU3eg6J07H/3QXpO18WFspT2+ - dc0N19x882Nvvf74jVdMr95Ox1DSRt7qtPPR3dCgzRCkhNqRTkREREQPm7M/2zqAcRyn02m9YWYp - pZTSZDKJiM3N6Ww2m067533xF/+HX/6Vb/rmb7nrrk8d1t7knMdxrOVIdnZ2AIhISqmU0jTNOI6q - 6u5t2+7s7OSc9bIaC0NERERERERERERERERERERERERERERERERERA+3WogGgJkBSCn1fT+ZTABs - b2+fPn26aRp3N7P7ye2uqzmr5kJOuVh5zWv+2dd93ddFxGQyEYlxHMNDltHdNbZbkCREwgHEYqcu - izrIRERERERERERERERERERE9AgXEW3bYpn1c+LEie3t7QvN7QYQgZQkALPFPapaY4A1S1jc8ev/ - +WlP+/xXvepVm9PNYZhfbkMmQpaDT0IhAoSEQgC4hOoq2CYUghCc2Ntt23aSN8J6Ga1BwMN9yE1b - JHJu+4g02/7C6190y5Vf+KFP/elvfuCOu/u/OXH65Dj0qZVQEVFVXxzlxbgXRShCIfXuR+dTELGf - 3C0CVW290zKd7h77olue9cKnv/SG7VtwOsVu5MY9jdhUx2TuEHMNmaRISeeuQEK0iITQkAIZVcyl - KNShiAZQx2JkkcalPZgRMZ1u/un7//z7vu/fDIOLIgKHldtdlVKwLDPu7sztpkuBuVZERERE98MX - HzD2Eyrj4P0QdwnXMqbetUjowTSjC/tkEgKJxfwA8UUia/0JiEfAfG2/H2gAkoZi9beLv6o/qcb6 - nQAgoSkEoavP7/fNBesHXwOAA3rOZ0EOPpX7O7Bc2WqdGmtP94FVrK12sdv7W1/+yaPzc/7njPoc - 7Tcqra8vAAdbRW2WPmna0cf5bG+WdtPx8U//8g/msmONDRF9D+2wNZVhx3atP9JM9sbZn/z1H1xz - 9IYr2qtjLlbCDdq4JgiA0BA++5/76rlXHKEufo4z3YHX/n09417bg0RtGKZljiFN0fvsrns/+Vcf - /9BHP/kXd372Y/f2ny6pt2YoqfftwdJg9TwSaAqS7odzLzauKIHa9xuABEQWJzd1UQgkQxxwQ0Sg - SAAIdREXAVoUG+cxd4duAkBx6e30yd1PffQj//N9H3pPaxvXHnvcTVc94Uk3PeXGq2+5Yuu4ljw3 - 1VE67XR5Gl8+8vUTqa6fSOttBcQzAA11cUgJQbECgWpjZi6OBnv9ybtPfjLUmyap+LyMSJH/f/be - /deS67rv/K61dlWdcx/9JNl8iE2RMp+WFFGSZesVx5OxJ4OJEWQyP+QvsB0EiBPYsUeOk8kvg0ls - Z34ZGIYxxgCBY2BiJB4ndmQbQaw4siWRIkWRlEg23xRJ8d3Pe885VbXXWvPDrjrn3NvNp7tJtrQ+ - aFTfU6dOPfdj7V1V+1MBiorRtosJtG3nh5P4IsM5sbCI2uWd40oVVk4g+fKkmtMyYTFWNRGvpbqS - tPakVSdXyU69cnbWXtpnTz/1nWeffPypE8+99NS59rWcdq3Jnjo9okqWCdlQ+lWdkCap7TqGFMFh - SWLuCrjTUG/vi1gcSi4kAJjI3Q0Odc2uRCABEQgMcNbdGZwb7GY/1596/tln7nn8z6Wvj21fe+2R - Gz5y00evPXr82Pa1zaTxBau2CRW50LILa5ncnEthO3wiwyqx8dqJWkUCtha77K/TLzEElKsJwMAA - r0cIBChrn+bK2Qk0HtTyDL/NCmXPOUHZ5t7IjZwvaZS4tqVSgO3f+urD+TEkmVORxIOck9Z1noix - ERyvE5JdbMoeOhUPPa9PV/tPpmQmvbIad74/5Ljgelf5dH3qZLSantcKWP76rZ//tQRDe/LCgK9C - Vi4pk9YbO2Tk7oTldSNLlTZsiZ3I138OclY2Y1XplHunPL73zWXTy9fA3/OWS0wv0dTJSospaV3l - RqwaCmSAncfWsSnnnFrl3sleLy/sa/PuzztD+hxKRbrAkqt47N0o396IZe2zzloevEBOX5X8ez7v - bciURVa/cSZL5Ks561+93vks12vc3P4F9tU4q5IBANjWapY9v3zLHQLB9yQlYpzNZiKysbHRdV3O - OaXU59ahTdP0fUuEW269+fd+79/9vb/39++//8GLst2cMxH1fU9E7p5SyjkvZwIo3u6u66bTqS6f - lwmCIAjeb+xpMZZgg/d9b4DAkHvkRbJL3CAMgiAIgiAIgiAIgiAIgiAIgiAIgiAIgiAIgiAIgiAI - giAIgiC4qJRxpc0MwGQyKe/EnTt3LqW67zsAIlLGiX6L61v+ldX+7t/9X/7hP/xZFnTdAsBkUjNA - wkJcNl3U3aaecxaR89YTr4gGQRAEQRAEQRAEQRAEQRC8L3DCBQYWDIIgCIIgCILvA4gIgLszs5lN - p9Occ1VVxeP7tlAdjMnlUQ0bByU2dThS4n/1r/7PW2659TOf+cxbUcx8T2IEOGg0S7OjjKm+T4mi - hMl0mrVv25zYamJXE7BUnKHqbkpJaniyU35Qjn3y2s/fdstND3zn6/d9+96nX3jqXHu6o7nV5JSN - dKk4AUBehklnvtDJNz5/LPjVwy1rTocLjvReNrC+hn3+uAv4y9bWs2dDF1r1ni0uR3ov+ozlUPFe - XA5OMElWC9UVqiZv/djHf/yjN3zy+OGbeXdCJ+sKFTE0ZxfKAgOBUHNiJmRt244qcQxD0BsZyBm2 - HCWenQyjvujdcGOxGU6dOftzP/dzfa8OMNFwwvzijBOrqqUomM/n0+mUiMysDH4eBBeRUHcHQRAE - wfcg7g7ADHUt7s5MZsrMFylS/f7CCEZDFF6ZsZsDSkkZEO77tia4ZRM95a/c99zdtKnQRL7mLt0r - TRzbS6uLURo5y89OIPgeXcXQ9LKlIbXMWVdmvldTAETi7m5UejGYWUSYmQaE10jOm81G4qrmWkSY - hVHBGUaqSJQY4gYoACaihBptlcACUgxvmBARMxdl4LqD093NnVl8xBzExCTlBwDcspkRkYiQeW9q - TJISnFW9VyOisu8+XjInM7AT4GwEseVlNS9uRB+vrycMS5IN6lw3Cnv3eweZUenmMfLSZcDFp13y - 4Cr9eJHTcs5aNU3XaprgqdOPPfjCPe3BbiYzYtSAG7R1SSDmXjNPu//2zB//yOc/vdEfrrCRzapG - tJ8RIFbBOQswphBl4F03xQZ/WcgAL51QgDktM7O5OzuIRBhuAoi5KylgzMxFlG3GlJjZoEZmMOds - pMrZ0c/TzmMnH3n04W898uRDr519yasOteXUdtJ6ImVzAGQGgjkR4Mic8tiB6zQWRMtOxiKaxVjd - OwgMBxxOBAjIaNCHj4m/lGJjQk19KketnMGd1bMFmC3t2EtPPH//l5/54gE5dN3h628+fuutN9x2 - /Iqb/MyWzhInIalUjTglptwpGSUW5uSu2XojEEOE1XpzrpzZhJ2NexNXyiBLUsPd3VOddvW0bs8e - euA+5ezQ7GepghPMUDvQg0QUOuvninZSsXfmjstd22RUVLg8XC0rlUl2diNXV5CIMDlrdlYXkd6E - mVmMoeadqwHucIM7W276Nu2c1leeO/nkg09844nvPnxq/l3j3sm8hjaukh0wMncb+scJlRcLK4Ah - rdnghS/1L1Ae4hnrSPZxSiByIA+VX9F7OkBL82j5lcGNAAErAJHs6j6zCeeGntczL7702H3P/5fK - p9cc/uCHb/r4Dx7/6JUb1zbYqvtpnYVVnHMp2AUENzKBgogB9yQm3mursGk9sZ7E4OVtUnDJJiVb - Acv9Lxpm4PW7vy8KBLAbyA3ZwY4EcIkQiF37zJ54Sx947a6T+jJLTc6sQ4wxxF28Z/fsvL3da3pd - qbuNDHCGAVbczOX+Bxk70aWLEgE2AGROCpiTEVBs6+xMxuWsAEvbKxcnNMiVO2U1NoArTKa7m3/1 - +I/bGZeKsphUqes6ULp0VSo5l7ShVEr+1VRdK668A4NSk071p+ig3/vYX/T1rnK/fvJfBxtV2etS - +b3q7lKoD3dXhiRabh295fPvoOWtxMH1y86ALSMfx3AJDOxk5Q5TspKd3TkTeifKLswppWRn8cHN - 22488qG8o5uT7ezcZk0EhgjqWXdOrvT7n77nLL9i0g55CkyAlCoU9H5oucT0UkyVveOeKuIsG/PN - H/3Af8+70nmmms1gjg1MhdKi27VJd5JffOj5+1BnZS3pn5yHdu6o7rY9Nyb35Z2StEDjzdHlcqWE - GW7ojiXeJSvf1im1zH6WeXzMgCX0svHn5gSYl/ayiAixSCUgIqqpEmbhVBrSSeomNSnVDBYIQdzJ - tWybBHXVbYnV5W4ilXioRJFcygMtSw475u7CDlcndgglISEvUZgDUDeDc6KUkkJdVZTJYcwGKHE5 - EJCRu8EYNpx5MkMCovH7Pc/rPC5AlHOu6xpA13UAyjMuiYUAt8zEJDDTK44c/N3/93d+4X/9wh/8 - wX9SQ0qs6u7OnMyMEzFz7nsQwTylBOCNn4/xtb7O5ZLrM8v+lI6gMl0unFJS1eU4I33fV1W1/O1y - ySAIguDSUvoKhkaKUalrfNmKNzc4cwak67DYwe7OVLv3coeDIAiCIAiCIAiCIAiCIAiCIAiCIAiC - IAiCIAiCIAiCIAiCIAguxPrraeWFteX88s5dGY5pXJIB5Dy8cq7jONEXggEwi1mPMiaSmgiZuzt+ - 4sd/7Jd/+Z8Iee66JlWquthdNE1jZro2prCagcCJ/MLvCcbLoUEQBEEQBEEQBEEQBEEQBO8S44id - APYObzi65Wwcapoc5OcZ64IgCIIgCILgexF3Xx8NuAwdnHMmIncXEVUtz124D+KqC69n7e/1hzHK - DwGYYXfW/cIvfuH3f//3jx45RI6U0my2s7GxUTbR9YuU0vr4xkuTAoDL/hELL1rufUexHBR0z0wC - XDsBmAmQDIOwOwwO0KD1yp5AgEAhO/Xh3es+t3nkU5/+q9+dPXfv41/92om/eHnnuXSwam0HDdyh - CoE1dWJLue0SiImZ4e5Fue6MRACRu5fdJBKABwfZatB3gPaYR/awSkqj2Xo53xl7hr4HVk0zFk3l - yJ3M3F28fCsCGMxABiagtNcMAjYzAySJspm7EViGdTdIExyQxdbh6tgnbv/Mpz/y+UM4UuVGzoho - TUTFFsSUzC2VseQBcod7JkJVDSPOE0CjgX5wXkm5miv3+TscGX4tVa+dSRHp+x7OVVX1fS8iql5G - zP/nv/RPHznxuDuISKTS7sIjxL5pS5Z5uKbT6XQ+n2NIUsOVmE6n++YEwUUk1N1BEARBEARvQlHc - jXZAH22n3Gsm4UoE4HN29onnH/2je/+/k/YyoyIHwGutzVUzY02YN0ArldeyLbpqkFDRmK0bf/2N - jYDvNjx2Mbg7QTC2XooziWiYU6bsTL3xaAGspalSU0ktVG9ubjUy3ZhsbmxsbjbbGxtbGxsbkzS9 - cvPohJuqqoQrdnZ3coFz32bmOpGQsymIKHESFuuVISxSNmpmrpp7JXIREalScjNzdzBVVVp0Xd8r - oSKiVCcisqxZO5FyqYohFIMZzlcXaHlf0wnko34SozFxmG+XfdfJ9wJWOg6K1vjCFFkgQMY5Z6Xs - E/3afX8+l3O7vkMVXEHrN7bJjNBLt+Ov3v34n/+Nm250a6aTOuc29/10MimGzVHJWbI/R2K4XKHx - 7bjlHEWSREyq1mUlMmY3hnBlls0cDmEWFoUb+k5bq4wa1bo/25566sXHHn70wcdfeuRVfXGedsGZ - DplR23l2AiXokFJWvlVyGyzL5/X90drLcljr0eIi96WVLRJjDih/lUJs/RENG+cYmxOcYDBI12nH - FRLJQs+cevWFJ0499OWHN7f90I/+4E989MY7D24ene+cTbIxkUoXGaC6rrXPOXecqKqq3npTa3Mv - VTHFrgpMIwNMhFS18gng6p03uktnH3jqG1p56fQEDcbO8siIwZXR57nW2eBOPto63+BdxPc7w2Xy - UotAeZCsOwEsNSVTt96JfVLVRtq2XTPZVFXteyMlNhNFctTa+nzHzj358uPfePTuE9/91ll9DVXf - p7lOZzpGEEt3O8Y0cMFORyNjZyNbBirDczw0qLhLWrJiaablr1aBzb5t8Sj0NRqrVDKHZTECimux - Yln0s3Pnzjx172Nfuuc/X3/kpo/cfOcd13/48OSKlJuKUrKKNOUMhlSUjI2Fe9OcewJVkhIV/ygp - l9CKGWVzZmTwpTm17CoXvXeZ8Ze5iG8Gww3EADsNjnYArtakCiYv7Xz3S/f9p2+/+k1HSlKz7UvP - +9Tda+tdhpGDyHZ5/8aKktnIl+JncpbyJ13akNJo2AGQL3eefPRzryl4i6mXncmLjduUs4oqZ7ZU - 2eSOgx/7+JWfOlwd67nv+5bp3VBpkpOTF0X6GNc5ABJyV0aCu6pVk/Ty7Lkv/sUfvObP9mlefrpn - RWMkv/y8bzv7Z9FathxukFzwJtYb770Nd1C8lLpjHAsDfK3YJzgbwQnKxo4mJ3JTNmcl9E7IXqsR - gw740b9x+9++7vDxuqrbPmcQc2I2y1lQN5PqZPfS1x/96gPPfaWvdktOVwIBlTLKTaa3cQDB5YSy - 9ZwBrixd7R+482998ihfLcxKlupGe88LI7LEsvD+kWcf/IOv/vtzOK3SDo2oUhj5qnaxtQxeks3Q - UB7yy1pEvdTCY/B2F0X9pS3M90Vivgxp9i3He+TiQ5mMsSG5t72/yunlb0jpJHB3dSIRSolSxVVV - NRvNxnS6OW02JpPJtJk0srEphw5MDx06cPDAgUPTyTRxImex5L0lSokSOZuSmZc6gkBEAgEcZm7W - wwxAYiHhmlM2VuvbtgXg7iLsBCslExkVMXjZd7CBS7SJoaR6f3VWBO8nzJ2A8gSM/8t/+S+OHz/+ - f//W/zOftwCqqso5i7CqmioAEXFY6VYqT8lclJ1g5r7vmXk5vkm58Z9zFpEyDAoRtW3bNE15QOei - bDcIgiB4iwydP+WDw9yYASI39PCGBX3/H3/yb975+k9JBkEQBEEQBEEQBEEQBEEQBEEQBEEQBEEQ - BEEQBEEQBEEQBEEQvCeUV9LK62kAqqpyd1VNKRWTd3mvrYwlLSKqb++VeTODMzHcTITUHMBnP/up - /+Nf/O9Hjh46c/K1qqqKHbxs+m2t+23tSRAEQRAEQRAEQRAEQRAEQXDpiB7bIAiCIAiC4PuTpXqg - PPzARS7hTkRFHly+esfrL49SlFUx8wsvvPSP//Ev/vqv/1+bk2qxWGxtbc3nczMjoroZXMUX47De - z7z5ydwnRyg+tdUDL+e5vskq6qqaN5l3P9BMrv2hGz/3Q3/93ifuvvuhr7x07rlZ3jXppaKsXXuu - Y+6mTQVzM895kHYTwQGzYu6GD7IwCDlBmMmQMY7x7mtOCFp/UobG0e1HvRQ5nFAGVx+mbk7D/OXP - yc2hQ1IkI3JyGIEc3QJEkNG1A7CASMTNq6Yx6KLvVCGCmol7sFaY84YduvGq2z75sc/dccPHDk2O - yaJu2lrsAkmLnfeJHPZ+sj3z9xtGLn47su97d9/c2JjNZsVAV9dVr/5vfvt3/vAPv+iOw4cPnzp1 - qus6ERkEcG+TktPdvXi7U0rd61jAg+CiE+POB0EQBEEQvAlLAZWBqWjwyACICDv6tnfqkdB5u9ue - m6Vz1VRACqeloo4u1EZYavPEVg230sgyWmvnjG2eYsMygl9I3foewms7U2SApVG07MsAANCypSTC - ZuYOUxCQkNgTO+dTJhA4k5MrACYisGdtRWhST7cn2wc3jx45cPSKzas2m4PXHbl+a3Lw8PTwRLZY - k3beK5KlTdqmbNq5FSkZMzhVktw9W991nQ07bEXWVNXFDtUD5GYgArnIILnEaINjB1wAGHlxfO65 - BgR2JgAwUPlXxGvgNat38G7jzDBASh4cbNpYOkRHPfLY4QIgpWrWn6NtPbl4+f4TD1oFZiY3Hzxj - a+smOHmm/M1H7v38DT+5lWqgEoMzJ+K87uqmMLhfrpTrx0PP2kqkbb0LKiIyU+dMyU1aNzKtxWu4 - s7sYs8Ctneus2uaZnHvy1UfvOvGVbz/3zVP55SzzlmdoyMiKWNgcamBGJcnNyJm8+IoyO0ryIzJf - 6+mjccdKEUMOwGhMbMZQHsypb9BTtayhnNBWGWs5gg2piDAhLCwi7t5b3/nJ03pS8N1H73lo+vXN - 26+583Mf/u9uvuIj6JBsulFvqLpBlTOBBczwSmoWmVvr4J4hMJA6d8YZQEV1zibVpNc5KtnV+SPP - P3xqfsrrC2ccJyh83rbYgLsP2fPt98e9ryCgyQZYFsviynACXMi5tmR9FkNi107ndpbrauvAxvzc - 6clkYmbzrkNDsumvtS8988rj3/rO1x954YFnTz7pE6026i73msFStTQpNSDDCEYOKf3dY6ewEXRQ - tjM7Srew+ZqwEwCKfLpMVxhWEYsD5qvpOutvj5IDZDz8ipdy+gyl2ii5++x0358988qjd933H++a - 3HbLHR+56WMfuebjB/Vo2t2apG1BanNvFbJ0vWV21FKzeV7kTlueNkYQgwFipTowcTNyhxkB5bVU - Kuf6ElfVzrAKZCX6IZCD4Q6YmZmwiNWT1PkMVeforFq01O6tO3g8b7RvJhuPFY0vv11e0yKczuV6 - OpMXtS2U8yWPJ5c3HMA0bHrwlZdr7azK2Sg7gSyJJfZyt8CUHZTJqn7WL2jWp9zlbA54YlQs8Kyv - u92LgZOviXhpWVSqKZzqNHH31hZVk6bV9MzsZH9w0dY7wPm3K3jPdaRleLn8dqWxBzBqfVfCYnL2 - vaLft8KYDoonfnkPxkEG5OJTp1Ho7gQlZhNPiR1KUMkEdTCRuHPOvrM738ltLyJpYgtLiRnZ3VSV - mVPlkO7l156ztNDUlr3Xss8CJ/Ri9n5qvwQXFXank937wAAAIABJREFURLV2/dmdM9PN2udulg3U - 516QSGCklJCmgmTnurPd1iynORWxvTMGxfUQPK/fbC33Mi+QX7AeYK/yCw82ert0MUGpJ9duGL+O - utsHdbcT1uyTALlhr/+7tBoNy+WMh5LT3aHm7gwhEgYRmDv21l2HhwYASHIA7MyWGpkc3DhyxfaV - B6dHr9g+dmjjyFUHrz60cWQy2apQMyRZTTNiE5bSnMmUiIWYWfusqq5ILClJg8rMereWYWTiJu7i - YCMjDHHiUDq6wcTLaTEbY+cgGFl2BxERlWlK9c/+7D+4/objX/jCF9xItXeHqk4mk67rzEz7jPFZ - FtWLVumXMUcwaOmlbNHMlorunZ2dzc3Npmn6vi9LBkEQBO85BBLClAg7M6jtnjz99gamCoIgCIIg - CIIgCIIgCIIgCIIgCIIgCIIgCIIgCIIgCIIgCIIguPSklPq+b5rG3Xd3d7e2toiozBSRqqpSSsxc - 3phT1XEI0Lf8CrY7MTOcJJllAD94+82/9iu/evTo0dOnT29Mpyml+XxeBOGX+/gbQRAEQRAEQRAE - QRAEQRAEQRAEQRAEQRB8v+HuZlYGDRaRruuK2ZeI1k3eZjaZTBaLxdtdf0op54xRAf5nf/Znv/7r - v/6P/sHf39jYmM1mdd0QuarO5/Otra0iC99LDLP/JjiZNqlq6ga1tU0+k69sDv748Rs+d/3/8J2X - H//mY1+//8l7zuaXJ1tps2ozt5n6zGRSRBsYHW8OHyTbzIRBawF3gxvEQctR64vugbHXOTXaTwb1 - ibExYAT2cRz8tek+mYqyYljlsE42AKjBBGFKVOQiiqKwMuZz7SKJNxOp3XTh1bza8iNbesUnPvQj - n7j5M1cdvC5ZLZZ4Rp4zob6EF+CdcOFU3TSNmZ07dw7AgQOHzp49K2IPn3js137t19q2BXDq1Cms - 5al3QBl7vCiQzKzIwt/pUQTB2yPU3UEQBEEQBG/C4GP2BJiDQGO0rkbEZERVaqZ1qpOnPm156zvG - g3ZrbJitrc6HdS7nyX5XZPF2LZ1k5b9Rh0mmbE5eNHvv+XQ8oNIYBTkMw5QBH490OF6DE0iANP7M - 0I4yZRYQMYqh0B1gAZmoiYF95nwqE58SejWJVklr0abBZErTjerAoc0jVx89ds2x667cvuIDh65t - qmmShkjc2LKYEqkwp5SScGJ3M3NngrMTZRcgORkwfCFIKanmsb3tY6vZiwquiNl8r+XTwEw2ehCd - kcdfhe3pPWVQqi89f8WIuTRqD/LY8hU7E3trc9nwbz5477l8xibeVNWsWyQZlh1TvgHsZC760snn - n3j5kY9cdaCd86ZMk0xU3cid8iAgHF25AMLjfrlRJJEYDYoAGM5SsSkUIJEkDO7M1NQ5CySBbaEL - 9dap90nf8vwr93/528/c/+Rrj/abc9/MczvZi1UbcHPPMIULhOAO6zFvc8VpTCoZgPEq3ewzn/KQ - GoFBb7mqXIyKtXe/O5nW+g1XxwgAVnKJAewgBzuTJ3ZW1QwDdUrm7JQAJhaiTe7a/puv3P3IHz9y - TX38h2/97Cdu+dRBPswu1aRKEDfTTGRsyMhGiUvJqWwgc/Ky9b71AxuHz55ZNAebs91rebP7s2/8 - aX2Q55xxvgtzwGeLGdg9OxENlunLGfYiHC1Sc1OGg0EkmrpOJ1LXDLVO6tTUdav51M6pSW0z3eVG - ZJtemb/ywEPf+MaTdz196tEdfrVvFjjce4Uut0YggZlaEocs+5wx9DkD64ZlwMAAjCBlQS7F5vjl - /ukFyrR1H/w4XSXcckEZttLPr220VKJEjtRn7aVGVdfwRfbdrz75pXsfu+vGA7d88sbP/JXjnzq2 - db3kpE5Uilc3GPfZWHlCkwMHD57sdpxsGa4styWAg8sh25oR2WCXzjXqVA6cx6ACBGMYoYQcll1p - gtZbVNpbO7OMenVR1jLs0EFfZg/3AwSA8eCEXW0R49kuJ8DADAYJOTuZcu+sly4+XBfVwhnEvOa0 - NsqlEHAeSiqiHtKLAqAirjaCoN+YVpZyJlUCp7pOlXnO2ZYy6ktBSRvL07i87wJAhFzN4ETWtQud - t55cmtTRfMFz+NgGANZL19WqS0jgAK2t9rwCrISly6+M4Hib598JXk45l4B3lRCQCT7uANiHrEcE - 84qcM8PIQL0TctfV9bSS5NkyaQ+bMFX1JEH73AtbU4lp7rWjqfLE+jzveReAl6IeSAQn6wTG75f2 - S0wvdn4nb9FUmymlntp5XnBujYkpZTMRASh3vfaLvmkpodqUHW47bmk0bvN5uWBPsEPLwplXYfu+ - xcmxlkeULunxDvXj+d9iefN1bQqsgjNbqzOXaytHRDwsbMv9p1VHgDozUc6ZIAyi0mwGmNnZd/qO - GUJgx24vJ+cvPLtbVzahXsQasWYik+3p4auuuPraK685un3sjuMfbXxDmEnh2ZG5yklIGCJSETGM - ui6bdUxJJrV57wSHG1A5yCHOjgQSWx0ZD9eNlm3nIAAwpOThnjet5pT763/n7/zPN9xww8/8zM+c - PHmWGURUHnlZDkfS9/1f5u77+RRLd3nIZrFYNE1TNufui8ViMplsbW3lnMsyF2ujQRAEwZswNlbG - VhD2B30ObVuZNEgNujPHDh/mc7P3YD+DIAiCIAiCIAiCIAiCIAiCIAiCIAiCIAiCIAiCIAiCIAiC - IAjekPJimqpubW0tX1sTkaLTBpBSMrOmadq2fScDOpOpGgBJOH7dtb/xG79x/IYPnD17FgAz7+7u - ppREpLyUR5f/KBxBEARBEARBEARBEARBEARBEARBEARBEHyf0Pd9VVUiAkBE+r6v60FyXB7AKBrv - 8mzGO/B2AygPVDBzEYTXdf0bv/GbP3jbzT/5k/+TmdV1vbu7K0Jbmwf6vr14R/Z9hBEs2dn5OXae - 1NMNpG6nI0y3moMHDxz+8Of/yk9+9m+deOGB+x6/+/EXvn22O4mkuXYkYoHBc+7djRjMcAcRnMAg - sAIsABGr9oR9Y7/vd6Ys7TZO+8e0Z98/xV67x/C4jYPK4PkGOLOzmREBpMYEgNiZyMGSEmvFprxL - tKAjfOCWaz58x7Uf+fiHfmRqhyZ2oNJGKMGhns3Bfnk8z7Ozs7O9vV1VFRG1bcvMXdf94i/+4s7O - DKN1G4DZO/fZlzWoKsZnruJhp+BdI9TdQRAEQRAEbwQ7bFRPLTV+AAgGg1RMzAYzoLXFXHc7LJDg - PNrx1uTWtOb9ISMfbZm6b5N79UBjuykvPxL8/SBpW8rG9lk/icBgInf1PeJuArM4marT4Bzbo/Si - pYDWDA5Ai1O0a0EMISNiiBMrkjr6RX+2pbRLiZ2f3fUHdpyfIXYkw6HNg8cOX3flkeuOHb7h2OHr - rzjwgcOTK8+dmU0wJU2UqaamqSootF2QORESEoQBNrirupkQ2SCBMyPO6MuxEpaHWlSIPB4+mTMD - TkYweJlrvl+bG7yrEJbS7nLdDICN0m52wI0GsyUAU+1lglP55a898mXbsBZtMhUDl69plZGNBtVs - x7v3PPrlO45/uN8lYMIuXW69ZsOo5wTOl84ElwMMZwbIjVHKA/PiN61SR9kUwpQc3nnSVBHVzWZr - XS9zbLd9debJV078xTf+232Pfh2b2vK8P7TovENvklA78g56hxMSAwZ3OCBgYcbQaWYGAwEELWnJ - MLbiDTAQ+rEU9aUjeb+pe0y0e/v+lg5d2ptBStm+1j9oCnAtICNmkCvcAHWn3sm4SfVi2nbTV1uc - ffrhB/7kxO/efMXNP/HJ//HK+prDzVXsU+GaqokR55zZTdwAtkHlK3AiZzYyBZHvdqfTYX/oqfu+ - c/ZEOz1j3I/7cV4GYpq158DuZAQpvr2/7AV/TzFwZgYVq3Q5UYAJu0mV3K2DumR1tc4gqd4ST9ZR - +8zJx75x31fvf/beF+bP5qrVLQe7wk1hPSpBXQOMXnvxHp4G/TvBMfZlrp1bAsqm33KBNayDS2jk - Q7yEsnIaxM3Y10kN6FA+g8vmHI5R4QxwRcTuGbmHapcc7KiaLSF+YnHiifse+y8n/uSjN378h2/5 - 9I1X3JwWdeNTgAlSpYqVc2fd2V1uDO4ELRZjpWKj56IMZ4LBmMygTjru2SVUjRZPNiijxAnjSc7Z - iAjEVNedas+GikW4h50fa2Gs08rZW48tlYobfE9GGEoGEMDkbMRwJmcnp5ILL018SD6obY3hcGV1 - 6HrES4AYGGAHGZygxcUtgGPwTDOYsFjstLZAxWrIpu7uPhjeL/o12seodSdg8I6X+IGZ+64VkWba - zFPXa6fWe2LapxNfXYpyzWzfN3vldCAXOKOcFTJQdtJSBRiBl6HlW4vPAYzZzuC8Ollru7Fqp1Cp - LAjO7uLsJlZOcErk1pr2LNOqhpBq7q1TTgKDsNR13S5yZ132btbPvFKrMkreY4BQshfxUB+9H9ov - Mb3Y7UGvtyvKfdYszeFZP9tOR91psVjU041s2RQsRomMtdP5rJ1hGyRreXit3BqaWeuzV21wI3/d - /AKoM2gp8H7L+eXtHy9KGLO3pWs+5Lf9U3KIDfXhWlaEEWRZM64V9XB4yTDL0+IOqFtZXtnhhlKi - qgHAtGJ3N3MzEBklz+TmPW8ktx0YnTF7yeiJV4VPcoUKf0FHN6764DUfuv3622+++rYrt6+hdmoz - S6hIiZCcWaTyZEYwU0GpcQhgBYjKmSeCydjScTIHKwFky8ZXEOyjCLMBI6JmUuWc4fqpT37yd3/3 - 3/70T//0E098B+7MMEN5CKZIu/fefT8/db3te/M556qqVPXAgQNt287n8+l0CmA6nZYHdFJKAOq6 - Lh/f+QEHQRAEbwMee354vWxn5q6d12kqYBjghN52Tp6my7sHKAiCIAiCIAiCIAiCIAiCIAiCIAiC - IAiCIAiCIAiCIAiCIAiC70GWY0aXl9RyzuXltTKCLQBmLmNAl49v7f249bfqjCFcVX3fHzx44Ld+ - 67eOHz9+5syZ7e1t1T7nrKrT6XSxWDCziPxlxsYNgiAIgiAIgiAIgiAIgiAIgiAIgiAIgiB4N6mq - quu6uq5zzimllNJ8Pp9MJu7eNA0AMyvjFTdNMz568TYovyWi8kyFqhb/9//2z/75HXfccf31189m - MyIior7vAR7G84/Rtt8mrv2kZoZQ7vuuZUUlUvXCNlFPh+XaO68++uHrP/va/LXHnz7x7ecfvP/5 - u9p6FzBhJK6JFTBzcyjczDxbsdAoEQSo1+RoZWjS4jeBmxGcy9/D/MExRMOApnuepFmzd/vy+RyH - DGtlqAM8LOAuIu6evXeDMSAgAjtxN5n204kdunb7ho/f8UMfu+kTV0yu0plNbYLeYQrqzWCaSCcs - TKJO+dJfh3eEr4QaVWr6Tt0JIHcQyS//8hcefvjxjY3J7mzR933Jhstc+c422DRN13XuXvL+RTyU - IHhjQt0dBEEQBEHwJkjRSQIG5jUjVxIRkCkprMu9k1UN+4R3OnMbtXlrAu/1PwyD0NkvoB0sC+nQ - 3ttnMlvqVB2g98EUIBqMs0trajEd0uA2YxpPgsPhVAk5OcytqGpHIeuyy8EdtJxj2J7WZlBVVc1u - RGBmos5rUrQAiAiAu5vDHYlxFqe/c/J5vMii0ykdONhccag+evuNHz1+1U3XX3njxsZmXpzbVW6k - aTaqyipSaHY3IwEnNuNsyjRcHSMeZWq81OWOf/N4TQljY5uLuZ3AhmKoDZnIewWNfmLD0MK3pbFy - dVn2COTNlTfokRcffPbsk91223s21UktqgqAHUYrW6iRsbhWi28//82XZs98sLnNO9XszKkjc3K2 - 9XUD51mVg/c9S2/iaGQkKMEsKzkSwJS1S6ZJJNX8avsCb9GOnz7xnfvveuTLj770rXN8Ol2FnbzT - OyBoEtjZOiNDIzKpKbupmvUwBxGYwDKkN6C4G4dkpARCAtIwh1Z7hbGCWMqSyRmw87ty95VH6x+L - WrKIXpdibyMDWa8GBgNgYqyyQJ0aM219AfI27coWsu62Z08/8G/v+cSHPvUjt//oDVfcOqkOsXWw - Go4JiEBwY2IDyIsNl5u62ZnP0IA2/WT/4p/e8wf99PRCZiSA7/d2O8GJnGyxmAEZQOnFvtzV3WN3 - LcMFDrHsBIKWPl4HqZmyWaWaOpWdLPOnXn78vhNfe/Dpe8/iFductwfnPYMbWI/cIzE1VSLTvjUQ - UoIbgFyqXSP43iBkaQwdNJy0km0X+HVOsNHYrUwg2KpWXOpXHRiXWS0xWr0NYIeTwZl98BjnhZdg - oE6oEqDIHVjsXH8GFdUbzQuLp1986Dvf+s69P3Dklh+9869fv33j9vSwznrt+5omSRqYsbm5OcHI - jRRe+saZXQa3NFglM1Q5A4BfUjWjLU8RuTOVOoUAlmriBIWruzq1fVbr3QBZ18TuP/9Fl6sAoEN4 - OC65ZIgbh+8UUHcBMsBwAxR6qeJDR4mCirq7mGhX9yQYEIM5xEEGchDBfBBUW7lFQQqHG7amk7pO - nEjnpm7MTGRJkud1FfjFv157Q/RSJDI72na+sbFhvbqrCNV1XTeJEpllMwe0hLE+JnZydjIq52BN - ADxGk+txpJbIwonLGVt6u5eX9q2e/yHjLdFlNF5wGj75cHRm7HC38RjUrBy0e0YPM2z4HN7Bu8RW - VbUrRCqz3HWdGUQEwrPFvK+sh7NDCRmAw0oyfjv7H9PLbAp01rvCFc46W+xik2tuzHszg7qDKDFX - zDW4krpOc8vmCqdlPlvPHUApsJe5cViQ3Je1CbkWLf0yG3mJmEr2u5TpbV8LfU/BcSF7NztoLFHW - Cxa70HpW0vHxO3cv58McKTHI2bEv5smduQEMZkhKgCk0W6/eggAB1UNHhrvPDSDKtHvquy/e9/hX - pJ1ce+D4h2+88+Zrbz1+5U2VTyvfgDE8ERIAMkqDp5yH3R6ugpMPl2M48PE46fVjhuD7Fhqy+xhv - undtu7Gxsbu7W1V2880f+u3f/tc///O/cNddX9c83C8vgm0AZsbMF2uIECJi5r7vU0p93y8bEcUR - Xte1qoqIqjJzeLuDIAjeJcbIYdkFRlhWGhARuKOq0ANdD6kOb27z2d33YkeDIAiCIAiCIAiCIAiC - IAiCIAiCIAiCIAiCIAiCIAiCIAiCIAhel6qq3N3MyktqZURpZk4pTSYTZgaGwTHMbDKZlAGg3xaq - 6q6HDm/95m/+5o03flCtr6pqZ+csETWp2tjYUNWc89bWVtu2ZYtBEARBEARBEARBEARBEARBEARB - EARBEASXBWUQYxHZ2dnZ2tqaTqcAihXY3VNKZYzid+DtLuspT3SYWRmU2N3rOp08s/NTP/VTf/zH - f0zERJSSzOe7VVXFGNvvDHLAHFBhSdOaDdZb2/ZcVayJUCNPuNWr5eCVN970yVs/f7r+20+9eOLh - x779zLNPnTl3skeL2kz6bJ2lnMSochIyMjODwvcKwJY6lWLEGI1CgxDDAB4HxvZ9O1kWILDzStBO - Rj6M5V7GRS0LEMGViKhBDQeUOcONklY3Hbv1jg/e+ZEPfuJIdQ3vTOt+Os0bE2n6dp4qgpiqG7iW - itOEiDq7PMZTret6Pp9vbx9cLBaz2eyLX/ziH/7hFwHszhaTyaTrurZtiaiM8v2ON1HychkhvIxD - fhEPIQjegFB3B0EQBEEQvBE0yHoH4WKxopZGVd/3KVF5R6JnBqCWZzOrErkP7S8svZVrH5c4GYHh - vFQzrtp4ZDw08/a6f52K6HRN6/VeTgEjLJuOywMDALe1OatDhio5EQa9NzETkRORmZZ10Oj7dAc7 - 2t1OiEWkkuRMZlYc3lVVqbuZmTsRgYmIjDBTB6dUQWqQzlqd7dorLy/S0w99A9/gxreuPvKBm66/ - /cbrbj52xdWH05X1yXqTD3ItOZvChRlCZqbDKWeAyBObAAnIRAvnDEcxX5amuC/1z6VRXdxxZXoB - O3vwHkFDP4oDNKrzls45AEYOsV09c8+Jr7T1TsvZyUgpUXJXIxRrMg/X3QAog6rubPfqPSe+ev3t - P+C9AcKJnVqjkizYVgblaOpfdrCRMUxpcMQa2AHNllICqXsv4lyhz90pPd0em9/z5NfufeBrz7zy - +ELO6Uab02ymUMFkCldud4zVNprNxNzOF8UXLISKwcxG8Gx910rFpS/PwaP90EDmkoG81hvIQ79f - qXRoSGkr+eW6FXIorDAeiGFIkaMI3EHO4gzAR9V9yShSle5jQJ2chChBAOS8q4rNaS0iu7tzSrAJ - Xtw9efD6jf/62p/e9V+/fuuxD3/6lh+747qPHZYreS5kzGCQw4Vo5RbPMKrprJ2VSfdHX/oPz88e - 35nO0jZ0AR7lvLxWRRpUofNuYewGJZcEgyvoe6DAJXgFT4AQekIvQG5nmxuHlKbn8q5OvZvMHn7+ - 7q8/9pUHn71/wfOunvW+gIMZBnS7AKOpmQyzed+AtqabZD6bzVIFlIq4CLFosCkDo8LXwc5UFNpk - kDV7se83eS8p0ZGPsuLVkTiK6tgIMJZx/phmzclsJWEF+TJNgnhUPGbPCiGumzTPszSpGWK5hTi2 - 6UU89corz97/h3f/8C2f/fTNf+3GgzdP6kN5npWsqSbSVQCyaJacWY3LZpGMRYWdARMzZStJ61IX - 0CWY5MHaXPJdgkPVDZ6hvVrdTGtMld3JzGnVU788tTTaYEc3sxOGuMlBToPvlgCsdOlr1Zw6AVAA - onIJ40kyYnPyNGh4B7fumIqMx5CPmckB0gqAw1CJJycy9EAvQDvvOmnLfay6qkSom7dZs/Cltmna - uLfMzuTDaWVmImKGO2btovVzWbL1WTZYSgy4V0K8Z0rj3yBGbWBGBoygo5rOmdRgAMMZnhwQNxoD - zbd8FUyLY7ekbV+adIfbPwZ2sBG85AoYU88AM8PZGC6DLY8ZIqgVtRl7h7wwXbgloE5St92uqzLV - LDUoqTlJIiY4iJ25ZH+wX+L0FtP3dkpZ2VMNS0lnudOubdsqJYDYiaUiomyddYsFLXLOUEs1FwP0 - smYvtdLY/t2juGYi89qJiTKQmZywjOgVvswvg/P+7eeXtz21vXs4HgGELqQWJoDg5zXqidwNxMO6 - hmcCQEZsZkWKTuQgELG7g1zNUEpwrEIedlSpMoPB3TVnI3IjuFMlYnA3gpXzRGYG84lM+qydn6MJ - pa354zj32JPfpodlSw7eccNH7/yBH7rh6E0H+Wijm3VuxOukAhdjVzbAnKBUyiU28LKKcYKTAqVC - j1ZPsIRxId/9pEr9Yl7XyUzns51rrzn2b377X//SL/3S7/37/9C17XTazOdt7vshwCS6WInK3Zm5 - DD6CsVovT96UBUSk3KSn74WWRRAEwWVPl/s6JRihVyRBqmC+2J1FGR0EQRAEQRAEQRAEQRAEQRAE - QRAEQRAEQRAEQRAEQRAEQRAEwfsQImLmYu8GUNd1mVlV1XKY2jJA01v2dg9DCQOoJGXNTPRrv/Kr - H//YnSnJfLHbNE0trKru3vc9EU2nUxHJOZetB0EQBEEQBEEQBEEQBEEQBEEQBEEQBEEQvP/JOVdV - 1bZt0zRbW1sA3F1Vq6oC0Pd927YppaLfLtO3u4mqqlS1/HAymfR933U5CZ58+rl/9HM//6u/+isi - MvgymFXPH1M5eBPYQczu7vBM2vdZVUWqZrvptDMzV2dOE6+8dwDIk+luffXmdZ/5xI91n1ic3H35 - se+eeOiJB5955QlrukWeLfJu1y9MehNkgjsqOW+kah+mxc4BIA1z2BlLH8pojwKcB+2HD0ogEC/3 - X+DuarBiciHApWavYMxtVfWTKW0d2772Qx/4gVtvuO36o8cP1kd1Dm9pmreqtGGAd1j0HRmrCrG7 - GYgsWY/dnHPF7/sRVZ0BLBaLpmnO7u4IVy++/Mo/+af/LCtEkHV46klEytNK73g7XdcVe3fJ0Rdt - /4PgLRDq7iAIgiAIgjdmsOXpyiI2CHxqSUQEuJmZWeKqkUlL5yxzUe+BjIq8ecQJowjQx49aGnKl - 7UYOUNEZ+qAJX6MoytwNq9bfez8FlJbCrj27e4F5ADOzEwAmdjdyVzN3Ny6+Qx9+WnRoBtRNQ+bZ - rc/9oOgWrkgUCgIJy7o7zZlEAKi7I1PqmWHe94YMuKDz3bY99/i3HsE3q0PbR6479MFP3fgjHzhy - wxUHrk5Ua+diiVERkKwiZ3IBuHi7yRlgEIlxkW8aQdx8KZkDQEW3yOQCY5ABigta3IJ3C3IwmZLZ - aPkb7JvONAgsy3LmpJraV3dfPPHsg7rR9ZIBNC7tomdh+P5U7QRzuFi9ofec+OqP3/w3N+gAE5ny - 2O+y7LYZZGPrJuXgckBBZjAnBhmcyY3AiUiYzK3zhVWaGz197uWnzzzxu3/0O6/pi4s8wyQj9T31 - 6pAEBroZ4FbXwl5lVXWTJrm7EBO5eVY1AESY1JzdHGCwOTuYnIb6gjrQYI5ciSqXycytJGcq0z1a - +gunPFvvWCSwWfHLFsfwmpbSaRA8sxRznhFgNRPV6OddZ2gmyaCzPssmTtosbcKzf/u1+5/+0lMf - 2P7Qp2//3Kdu++GJJtGGbAKv4A3ADBjlFvMutXKg+9L9f3T3iT/PB3O9hZ0WNYbMWnZ2tTcOwDqd - O5nCBXB3d7/cBXs86rHJS+ghDHNYs7VxZnHKarHt/NhrD//5g//5wefuPkuvLqatVVmIE0k5AwKk - Cu7IvQlhOq0o49xsnlzqemK+WHd1DwHKMmE4MJhTTWmULPqw8LiH+6WJRkZrSWslAl87rH0xwnA5 - jYlAo7eVHavCmGAKM2cui5s6VLNUpNZpBhGxsLN33oFJk/7pw3/yrccf+uGbP/uZ2/7asY3ruK+4 - d8EGO5MPm3fOxUlszsS01N6zJ/dLXjDbMiUPAd/qvLk7hFPpKDeyTp2NxNjFYOUyrZ/3ksl9GZeu - rg7cfZ9NtgibbXlR1mzgZloctHizSO8dTJ3xigFqAAAgAElEQVQM8HIrYr0sEsDInGAlaHIyGJdb - FOUEGA/Jk4Q0A0gkFVci4tCu00oSqTWp0ktcn57nqid2GDEzL9o599jcnLqqprpKjVAiNcrlJoqP - t2fKVIfys5ycQePtRm05TaVZ4KNh3YZTqHAFxJHYKgBOPWBv+fxjbC/4kACGr8uJZyPzoUlivtQQ - O0izg53gsLLb1oMM2aC9Maiu64T0/7N3pzGTHOed4P/PE5GZVfUezb5I8RCbNylKokRJtmTL9mos - Wd6x5Vl7D2BhLOCZgbzz1cYaGGvWq0/GDLAzHhsGBpgdYGfGBrzYhRfwGvZ6RXlsXZZE3RJFiaQs - HuLVapJ9vUdVZkY8z38/RNX7vk22juawJZKOH9CJ6nqrMiurMiMjIjPj772DJMuN4q2gGfK8HwYz - c3d3AKTvf1w6nH75trc6/eFOKcyECFLOi2GhcRlTHySYQYICFCLE0DaTVlokQet7x5lSOnGvkvyC - 6G5iub8QVIDcr5Lr8kC13F9AFTYQJ+z7319exBTi3DvVesGUL3gGLssigfvl/nIqoqQDQi6zurMD - sBCEQhBOCFCKdnLZDbH6DABAwgXZkiBABRLKk2XmlglABCIiInQCIhLcHQqqZfVeewqkRVzTPu3e - e/L0lx6991jzmjuufsObb3nLDcdvXm+vaIZJsJYiF8ksF3cB98tMWdZRq+pCJR67PC4PSjeaqLRt - u1gsyin23/7t377pxlt/53d+d7EYyovbph3SaGYv4XaVUipDn7RtO47jXko3yZyzqqpq+ZCvgvZF - VVXVK44e6HwlEGLTj4tJM13+QQCzruv26kRVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV - VVVVVVUvE3u3pJVb2Nw9xlju8UwplTGjyx1tJMst2ZfCzV0Ev//7v/ee97x7HEcit22bcxa3vTvj - VNXMdnZ2ZrNZzvlyrGZVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVdVLLsZIsm3bcgFGSqlpmhgjAJJN - 07j73rUZLyK3W1X7vi8jEgMYhqHMNqcE4M///EN33333r/zKr6QxN02Xc67jEr84pIgoyeyuUZuu - cXJnnItIE6MQ7s5sQTVAmHWqxz2ZpZwwTtqNq2557Y+97qfGZvHks986ufXkYycffuKZx8/unBnG - haubZjNaSRlZZo2UVJ2SHFWe3Hveyzj1YX+qAMR1lZ+yHANVVukdyqCm4DL+RhCiNAFdZHfja26+ - 9shrb7769muOnjgUr4jWhRybsW3mXYPGwTSawVVVQ6CylWhmdBcEiJiZi8d4MHLnZa3rupSsa6fb - 29vvf//7yw5nhnIFFICyPwL7z1yScnnVYrEocygx3nU88OoHpkZ3V1VVVdWrk4iALNfru1tcBs/U - KuaLJATUKcB+xuTypgVjSmZdM/EsYWy60BmF4iXaWairvFM3dwmAAlzGm4lCFW4WVHImCS2Jmxkx - LkOsS4zfcqGAS0n7u+SGx2V0aZtVCQhUAPTywKEH84yXMW4OVygFPQwl1jsolunmZfVLeKUB+8Gf - gWjYLmcrXH1nAJABBBiHHoaZAjJw59TZJ7/w3Censn7t4evvfO1dd15713UbN6zbZuOTkGP0BhCo - uGBMvQu6rmUWeFRVkaCke3ZQJDOoCAlZJnwvp+XDvUKav69GFybI7ue6xDgZ5sP6bLpYLJomOjiO - Ka5Jr7v3fu1vxtj3yBIghI3WaARdy9b2vPkrIOhtfi6dfvCJr61dffjQ5KqcgRKwDACrLQEAtea4 - v5JItjR2s6l5TMmapiNzzuOsDZZzF+J8NAtp0e088O0vf/y+ex469dVx0ud2QAtBBhCJxkEHoA5Q - 1A1ZR49OgQDBVYhSDO6V9k6nwM0BV2lFlC6AIqghgy4igtWTUADuLiIigIrDymamjoYtXHwZqggA - 1OW7AQBONxOQgEIEA9C0LgLLABBVSFpG24ScjURJF6ZlUqJCs0BcFBaRkV2gAXQEQxAVEY/jzuTs - I+N9T3/1mx/66h+/7+0/f8PR248fulnGdQ4SYxck99zWtfm5/NS9X/nEx77y1+fwbFT1PFH2KFHW - JWB8te+4ACoSZGexTTUREQkhaEop6Cs1KlJEACGpgGsOQM6ENghro40L6XmIT27f96lP/dUXH/3s - eT/LTjw4S4+OwUsqKkOZm0OjOIFshLh0MKaFpL3UTzEAIh6jxHLuIbYNldkTxdnCLI8jJgIFRIIC - gJIsHcdRFICBJNUNAFRAT3ARqEJV6CBIusFDUHc3AwEN0LLZGoLFVjqHmZl7FoWqUshS4dJS53Fo - CTiGOASlH4tOg6t6C+jA3B0Oz+WTf/r1P/7cw5/+6Te+58du+4kj4TWLUda6K3JKZRdoYzA3IFPd - EMgAqIiKRGUE6LDLebxWsAFLEndahSgLoO6ZqvQcVZjyLEwWeR4VFFuGtqLEd5fkbzWQUOeyj58q - gAcRZu8mzaJPqpAgKbGJ0c2IoIDAIb4MyBY4wADyctUnKVBVuJMihJk1IYhwNA+NZDI7BNBA1ege - SRUkAC4CBlAVEuBKBI+WPGoA4O4apQkNnNAfULtGLkwf1xjMqE0YxxEBMDSIUWJACLggP85XwboC - 7PXzyzJGFyEiG0Eo4A5hUIXTZK+5IQBNQNVAgYG4lPq/SjCzGJXClBgCEMI4WtMKQDMzY1TECAI5 - IyqECA6nCBlcRUwEAaIaxAxswMYZiWCQNuqQhrZtzBM9SxtSHsopjZLEToBwAIGqgGtJU65ejQQB - cEeMiDFubW3JUQkibtJqTOPQNI2IDH1mgKSmkUnk/tb8/CM3IQIred4lBDyABo1UgSWIaBB1d1Ev - 9fxlMj2txDtS4HpZy3MoEPZ25wNTFagslyxAEAQFRbKTooJlNjCwbBQIAgH6KltbBArSXEiBEOQy - qjwoRMADJcxegLcLKNFFV8WUCwRwJSVA6Fg1iASI5TUSKXBxwLXsqESGh9j37LE2Psf0sce/9YlH - 7jlxzQ13vvZNb7/5XUemV7XsOGj0iXpQIMaY0qhNJGnuUSOgsFI18dr+rZ6HBzZfWXWRhSiAWxra - qChtJvg/+Sf/4zXXXPPBD37w7NltEYxpbKLmXPqRAGgpUsZxXHb7vChlTJNylcze+CblbD2Acl2O - u6sqADMLIZQn68n7qqqqy0ouaFHBAQKx7QiXGOCAAG0YLbMWxlVVVVVVVVVVVVVVVVVVVVVVVVVV - VVVVVVVVVVVVVVVVVVVVvcyISLkxrdyPVkb92rtzbW/U2u9/hnsj23ZdMwwDid/93f/1Pe95d0pJ - VQEPEHcrt8KVUUfKTXAxxprbXVVVVVVVVVVVVVVVVVVVVVVVVVVVVVXVK8vBgX+bpnne8yUgjGTX - deM4lsskyl+f9+CiDo5IjNWAySmlIOp0Efz2b/+LO++88x3veHvKAyGtas45xmhmJQ0lpRRjvKRr - P/6ucQEFjjLoupa0FCGiNhCnZQIQQwSRMhxQK7k6EiEa2ajl6NaM+bb1ozdvvuknrrcE6/v52fNn - Tj337On56W+ceej8eH5nZ2uR5kCWaKbZZZTGsw+GweASV4PIO1qoWChbTgm5CRJExI2gAiBFKQBE - JKDVPFnvNo+sHTm2cfzqQ9e85oprrz183RXTI51NgwelyqAYyupqcAkuJCCiEk1LEoNBMLKHQqgK - CEURwOB0vszyqlJK6+vr8/nc3Wez2WKxWF9f397epgYX2Dj+03/6m089dbLE3JDYS+ne29e+Z273 - 87K9y3/L28uFVaoaY8SFJUBVXVY1uruqqqqqquq7U4E/r3mtVJRWdAgSJYiaWUxx4pupHyLNBcpV - ZDQAgOKNAgSUhtyKA24pm1EUGhkFBFSAgCwYM2IEACfAEs4IhxLuiu/Y3H8lKDm1q+9nmSW2DCeT - ZSwlAIUKQfESKlZeqUBJ5nheHvNenqIAWiKf4MvMVyhk+Qu6AnTKuP8+cQYZfHtn68wjX/jG33z+ - IzceufVHbnnH66+/a3OySaz5SE9omradte5unqJEDcGz5zSGELqug3LMg8FKljhBB1TESwz5y6zp - +3eSr9JeSlq8CNWTR43jkNtmMuYhtHGyvnY2ndqJpx986v6573TrOL/AJKBpAgeKlIy9F8za4YK2 - Q7/Y/twDn7r75neMaZE9aoCyJLhjGUr4it5v/65aW1tbLBaOMO2m5jBDjK3RwpSnF0/7LD+9++Rf - ffZDX3ri87vNmX46R0MgCyD0wPKjl3BuXSbLqUOcuneA8L3iay+CUQBV0YBAJd19dEIkBIjBSdAJ - moiE5csRSrYlhO6kAAHi6tFziAwqgmW+LwASSMOAIKIiqo3Q1Ql3YjZr+340Q4xQlTFRiLbVRW9t - gyBqbjAE0RCWd+8tV+NAKi0IhSiCKHMcoDJiMdftOSZ/9PH/eKy99tYTb33LHe+87tjNnmB5LtPF - A49+/ot/+6n7n74vT8b1q7vtcbffHbsuAuVuQBWuviAuY7wNNqQ5hVZimUl9xeZ2AyAp4qFt+r7v - mkBSCQYsOGDDztnpe+/7y3sf/ItT88es623iLlFCCA5I2cD2o98BDQCpLk51ihOw1XcTAsTFIcoQ - tfPsw5Ank+k49hSTVik+jggNDh9u09zUgrvTBUBAVIiIpn6EioioCBChAodLbiKcToc7S/ZqUBER - yx4C2i64uDuNgEMFtJzgqqqBTdtQJOc8Jo9Rgf1KAmW5gUU/ULnicmOguDYcdehld3JoenZ85k8/ - +39/47H733XXe998w08+/cwTh9c3YVhrptvb2y5oGgVANRMKAyhKwAPgorh86V+6CpwUKiQAmVAX - BRGaGIK4yG7yiUxj6ibjrJFgtjrNs/wulnHfbRQCru50Ax2ePGX3qFgMSVuISHYa0YRoiSLRlzHf - mZJXtaPLuLJl5iNdBEJqCF3s8tibcTpt+pSCICIAUXPDHOBB6R4GAMopGISq9ICgRPR2FjZ8ZIyl - THC6l/Mt9gPvP6d4SmOMMYjARCFRunNbWzZHoxP3/RpmqXK4eIyRpLu7Z5KqEBGGlDMFiAEa1Fzd - 4KA7pAFwMJfcHaOIUC7t7mgxD5CcXSJihyFBzNYOTXe3FwESJLZR1I29qWCmOi5c2bbsgFaDUlwl - K1y8YSYsT+xQ9BmsFXQhqANAhgQyQ0jknAciA16q4g4EAFDlMom8puu9agngEJRf2bPn0qQT6jiO - JWlbEGfdzNowwdrE1vphzX2/BbeX3y0igIsIlQCMRjLruLaOrR00EV2j/cIZ0LbtfNG3HSDQEnUP - QBwc8QNof62SxZ83LRnYJcYbBIlscBAKrhoSKgqqqADB3WW5uwsIgmVnKVngkFUFjyDhGXqx45QS - DtdyMnr1lZb/orSyV/U05d7bl+VJqbYFLFdBFUkw98UYhrARPPPBrfOP3f/oJ77wN3ff9PYfef07 - rjt8YmrW2oQp7CwWk8kEcHcDxd3FBc4Yo7G2f6sXbxiG973vfSdOnHj/+99/5swZkZCSAShjhZgx - pQQgxmiZEPnOF8NcmjIASgkFL9flqGoJ7Q4hYHXaXkR2d3fX1tZemqVWVVVVL/C8yo6vnlQBFCK1 - ZVFVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV1cuXqo7jePCutBc3XvOF97VhGAYA - H/jAb7zzne+cTqeLfhcQujle2eNsVFVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVfU9938cYS342gHEc - u64bhqEMWUwy51yuoPgu0d3fidPbph3TCODXf/3X//AP//COO25PeVjMFxsbayT7vp/NZsMwlPTu - 8hmq74h6cLB0iEtJbSiJKqBDVyE3CqhCAQUhVBFVb5ZjkSrdDcBU5QrV1xzCLRs2YP4zh32XO4t+ - d2d+fmf3/Nb87Nb83HzYPrf97GDzPu0MaT7YPKUxpcHMNLdCBSASVDWEJkhU1Y3ZehO7yWS2tra2 - ub6xvr45m80mce01x29ovWvQddaF3Dapm3jXDlMkqOsy40zcxSncC1njahB4l2W6iokLAXGyjKgK - cVWBU19WEWZN0ywWi6ZpylVPJM+dO3f48OHt+QLUP/mTP/nQh/5TeaXsx61cghhj2T27rlssFuW/ - AMqeVR6UYcBf2vWqqu+uRndXVVVVVVV9DwQo+wFgQqxaVi7CENUdeeRavOLGw7dt+9EQIpax08Aq - OcyFYx761PfjYkgLFw9RoMwYsizG1IcAUxt7JKDpMFvHYgD2c8wUjAp1ODG+rAIqZD+f+KJ/vqDt - JESglww/llxtAKtEZcd+znGJYKO4q79wfZ8f4yFYtbTVlmFxF3mDLhPQV+jC0ntiGhPXFufyt798 - 9pkH7v3C9LPrd91015tvfesd193Z2ZrtxmiT1qdRG3PNRNt0XTfNY5rP54A3nQqAkqq4/wFqVvMP - 32oHhJdkl+Vvop69C11KRqXBNXDk6F26/7EvP372YT/sjSrcNYIktOTglvf6fkoxtWl1Z5HbiDCV - v33m64+eeei2o29FhrKRvf1ifwd5GXWCVN8bY7/rbZgAzmERJcSgI3wX44Ct3Y3nPvqV//ejX7ln - 3uzKps4tQ6MSwkZggBPZxFdl4P5Pr4TYslDi8m+l0NDVy5ykO+CmirZB6OA594aggEIIBZQUZvEM - QlxEQkAAG5a8cARFhEMRICIi5nR3Y87MsZ06zSyBIkKW9GeXvMPIGEWEAlhJxOvNmxmyQdxVoQqa - m9EACVyuBaHE3oZOEkgmgkDX5Volz+iwCOOTjz351w/9yWa7cfzQ4cB8buf0gH4Xi346jBE27Kpi - NgGZsToWlOPCXrlOwGHzfpeSSw+4mam+sstcwnZT300ni0VumiZ2YdDFDp/7+uNfuueLf3ZqeHSL - z4YrGBq1ZEgpZgZoyQY2dRdQshBaQkOBhnCHrXLiTQEiGwIYo9K5sIVqaGYh+aCNU1GC5wOBEfM0 - BgMpIhIQFEKCLk7vYiciIlLm7J7dHQJRKkCHCkSCiAhJZzDkBINJXPZ/AxBBmADwRM8OmqlCg4QY - zbAMa1+ld2OvukAEXz40za5wgTgkS4eJmvRYyGzxxfnn7v/Y/W975HM/9+O/FOLQ2mxra7E5OULD - mJIrGdzUKR7c6TG8VKmP34NBHNRStQScIiiVEQo9596u3bwhNLBxt4kdcyel167soDD30STP0+6Y - +77fHjkyWGhUIxjpyGhkd5xnesrs1rp5P0y6mWXXZWKsAErJ+/3al60+6YLREFtEQ0runrrQBTDN - GdAFNpGtWjfxtake2uwOd12Tw66pkw0RBRB6YA6kmV+9fv2wldYaVwXELteHfsFKACj71CpgmCj3 - OYvTA+jI6Jqule7uW37k1PB4jsPyrZRS06WSZM5jSmnIg7u7ubub9j7bmdt2iViHuygkBAnB6BC3 - 8gEEEAgzDxwyvh/qCNJG0YQ+kSroZlgMePbs4or16AvhoMrJhK0mBGNAvHLzWETTYSLaphgorkwA - ojRCKGydh440V3MRMxBEoZnBTbJrVrqzH9OcGAWpnBJbHtLogQq41YC9Vy/B8tBTDgqjZa7OT0+7 - ibvnnFMeAE8pNam9/vCtx+PxHNP+qdPVxqGqQx4Wi0U/9jmPFIjQwrA4/9zRNRmGpBK6htkthBCb - 4OBezVzKlH6p+8slry9hB8/7HrA8aIpQEIK4QEvApGUHtRxrCIB07r9BBKvAeyVA0ABCBCJQiSjn - Donlcfd5TW9xQd4L6i78wJfAvWTx1V9LmVaWtf86gBmtwANEyOChVTPfGs76mn/ksb/45EN//foT - b/rpt/zMDUdubjnbPHwoLRKSwtA2TYxtTp7ptQlcPc+BJgIuaA6XLflAf1HpBlJFENx5x+1/8ed/ - 9g//8T++//6HRNC2YRgsp0QghKAaU0oxxpxfsu2NZCm4Njc3t7e3QwjlEhx3Lyfv27YF4O5ra2vu - XkczqaqqeoktzwRcpJW6bD8DFER1yH6tpqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq - qqqq6uXD3UWk3IxWUrebpinDQ1/SfFTVzAA0TQAQG0kj/+E/+h9++Zd/+cpjR+bzeYA0sRlHIym6 - vDnuwvtPy+M6xE1VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVa9sJCeTyd5/h2EgWS7MIJlS2gvtbppm - HMdLnj80uxFomnDy1HMf+J//2b/7t/9289D65uErzp4924Zl5PBkMsk5t21bLuqoLqpkVwuxCqgh - 6DgwQrVQlHH5DJfhGQCWuc3cDywTisNIwkEVEemkaaXtz+y04cjRcFQmws542AijuAIOI0yEUKgq - YYCP4yhCQGVFNYJK44FlLaNVAiPPQdHoMmlFSVGqk5RQcjLApoyUKlBKHsMAmFB0GcdWtkaYomSq - OFzdCQRcLEnth61cd6SqwzA0TSMi3Wzt3PZO104feOCB3/xn/wsEQcWM0EDDpV6PVK6bcvfFYoFl - qJCWEb/LXnwwtHtv166qy61Gd1dVVVVVVX1PqzhVcSEBUYpDuy6M1uecch6byfTOm9543U1XNuua - hqzL1CxdxX07BS6UADL1Y39+9+ypZ7/91FNPnjr/1BNnHmY+K61OZtqEfsCQ3Xd3oBGUsmCFRGFw - RDADKnwZ3R0hvIR8Li253QCk5HEcjORUhe+t2MH20P7j1YL0eUtc5TED7jruv+eFH+wF840OdxhS - 1oQoiMhos+x86snnPvvNj1+9/tofu/Odb7n17Yenx9PurnrnmIbQppTZ5wZhNl2D2JgXAECFOMWF - efUBtN7K8nLA/Z8DQlUCrtrEEDzlQRsxGbeGLb8iffL+j/lsbLo49mOrCEDKrhJW+XyOC0Pv6KHR - 7A6ENHa7n/r6x2589+u0R2QQ6qpLaL8zqGbZvbJ0XcdsoAuEYoMvxjaP090vPPrpD33uT57YfqA9 - xkUezTCdbaTkMKB0lAmcekEW3cGURIH4soSnaKBStDwGHOIQtBGiYEY2wBAEQSAJQVURlBqyqKl6 - jIww7cJkrdtYm26sT9enk41pN40xtt30QL6yZ7fkyWj9uNhZ7JzbOrOzu9WnnjSKi4gAGpXiQ9/3 - XEgMTUOJPmYDwGWyJAKgqg6z/bBuKEv3ggMg3QUkIWAp7YksFtdjr6P4wJzoW316WlIeDB5hAZxB - o6bBlYgCd9gqyvPgj7L63jAfd6l0cRX1lGNU91fwLuZA23V9GiZXzHaG8wN3zo2nPn7fhz/38CfP - yimuuTTsc/IeUTFpIDQxXeaVriJ+AbgsN7Zl6cPyAqiBQc3pZHYnKQRgThGKEDJCRaN0IQQY8yCR - k+htCKEJ7aRp12br0+ls0k5Lt2n51O5uNDNz2LmdM0Pq+/liSKOZAXRxh02mzSjJOLq408yTKKRB - nwAFBB5AAQEDxbNKBLREJjsOxHIRB3qxXAkCCnRd6HeNmhg4ummr0nDLtz5/8uOP/9nD733bz73u - qjce37w2jckHaZpuwEiC4gBcLJTtHuKX93jtKhlUSAQccBcHAwQQEaKL3dXr177vp/7bNFm0QINW - rD0Y3W1IpFHNJWekPs935lvfPn3y8aceffzxb506/VSOi1EXcdJIi9B6MhtHTqekmWPV0Swg1OEQ - KC8ePfvSEExmOgyurrMwxaA6j7M4mzVrt91yx9HNY9ccu+6qK67ZaI40NpNRSaJJpu5QQgVQusAU - HtoQh+nmcCUXMuZBAwKaIDHL5a1fvbAC5wIX1yg5m7g3Gi1lybqxtvmeH//7s83OQn5epG4pCVUR - QqCw7/vzW2dPnz59dn7mwSe+8szO0+d2n02yCG2OrZilISdtW0KxPII4sFelvKSTKdqGdnd7RztO - p7o7uPWYTbC51ozbaMbpjIeOr115/fEbbr76phuuvv7o4SuzqTK20omErHCYMitIV1Wl9GnbD+Vr - N8KRPGQRcUCWbQAXEYiNaSHCcghD2WyX5dKqPfTKLaCr70pWgbskKNKPCwZaYhSapXJWrGunbYw5 - 5jfccveJW25iNOpqlIEDp0LdXaKEIA7v+/702eeefe7U6d3Tjzz74NcfuW99owXyTj7ftTrf2m3X - muT01f6i9L3ND5d/e7toFH0MkaSTbnBweZJPXGR5MCOhBFdNXhVAKAICJJygLAtBAqCQMJTThxpE - /CJLVaEH7Idwc7UDshw+CQqEB+KTxYlSdVjWS5c9F4QLYogE+pQtmQYLjcSpnu/PNJOJzvy+b3/m - 4T/7+htPvPkdd7zzxBW3rMVDa90VmmLfp5z7EII2brDn1dyq6pKIcD7fmU6na2trf/RHf/SBD3zg - wx/+y2Gw0ls0mUz6vjejiOScm6ZJaXipFl1GRen7vlwH0zQNgHLlTQhhGIau616qZVVVVVUXkOV0 - P7m79KEJDlyQ6LWOUVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVXVy1kZWBaAiKyv - r+/s7KSUXsR83B2ACFI2UaTEn3/fz/7Gb/xP65NuPp/3fd91jZQ7acVFlgutqqqqqqqqqqqqqqqq - qqqqqqqqqqqqqqp69RGRvZRfEem6zt1JqmoJ/S2J2uM4juNYHlzqIszYNF1Kgwi+8IUv//t//+9/ - 7dd+7fTp00eOHBnmuyGErutSSiEE9xo+9T0ErtLESqya7A+cDggYpcSTUJdZEGIvjPRSwM0VASXX - mTB3pwt9vVmHuWaUzQDQEEL5aUiStDK+OylOg63FkiCmZah2B4UKIGhTxkAVZ5kPAEVQBJIOo8Cw - jKp2gUhJ91DAhQqosiTFkOKAgoIyYD4g4sK9P4EKo7q6As9LsvihM7PJZGJmKaXpdJpSyjlPJpNn - n3nuV3/1V0UAQiQA+cVt/Kratu0wDCRDCCT3fikAOecYo5mFEFJKZUjwqvoBqNHdVVVVVVVV3wMF - XuJUl20dCFWh875HyLFhFxtBkDSd8Ue99wMAACAASURBVAjP2wQTXbZ29rO1vMRUK0XosONT3nKC - /to8YH6Oz3z6vr/56oNfPnfujIa2a4fYZQspcSjxXbJqXwEANFiE5Bd+zh8ev3g69UUDBmUvivUF - c9mPYLxgbsQFiWLL2VyY4b0Xq1za16b76WUgwIhVqBvLp10GdiIQkUElMNDER1p2mAw5DGywubbx - xPybT3zqkU8/8Im33vGjb7z1LVcfvmHc7mNea5um9U6SDnkUQmILpgOrrMsVuZSUxeolR8BxQXzy - iopShRAnDIED+rDm33j664+eeUiPCwiOmDYwgwgOhJ46sAzAKx0iQ5/aSaNI8yHpdP7gyftO7T59 - ZXO9DJPgy2jYZTYeUHPcX2EkJ09mNunWk40L2+6O6VNb9//pPX/81Wc+P5/s5OM2Noogmph3tpvY - JBET6LKcAZalk64iclclA7xkEjfUklProgJQ3QWgirg7xECDAo2oMgRGeqdjVNMGk0PdkWuuPHHj - VTdddcU11x070bLtdNpK0yAoggJUDpZKJjdUABjoXuKtnUoJhHg/Lra2zp05f3Z7fu6Rpx5+6vRT - Z7aeie3aWsfed4e08Nai9936RAPGfsg5a4gKMTMeWMfSv+klpVUzy9ZOiEABCBRK6O4wAt620Zi3 - F+gE000JGvtFSiOitkEIg2eFGMLFu7ZJAD6knjBVFYq/Gm4m1JyJRs76M3Zo53OPfPzD9/7ps+MT - YzMPs2BmNoYuTnUC87TI2Z1dLEVKFkEgsAzRRV4G/UYhAj3QA0HArXNVZyJSCNI2AvNxYBeEg0Tr - Wp9KmnaydvXRa6+//uarD524ojty+PDhjbXNJjRKhUsJIt07PwHAYaVzk+blv0Metxfnnz1/6ttn - nz6zeO6bT31jO53Zti1rRnTUJiUOOdn6NORsIwGFKKDwDDpacSGUKtDleknZNVqDiuQS0SpANADw - bJMWveUROa6Hvk+2wOFD6+d3Tpnv/h8fOfmOW/6Lv/+2XzykV01nm+PoMbQqLq5EJg1iog4JcF7G - 3mpxSJJlNqq75tUvBhX15KnPNF9bP0q3OAiThVWfOlCKhWU8rsRgzE4ei37iar7tNZ7uGno599mH - Pvzpr36kZ39+53TYkIR85JBs78675sIq2SrlXR16GXvnfTE4HDE3uug20uHXXfuGd7z+nTdfe6tY - CIxB2pCDjkEtqAeh6kgCpnu5s1S4Sx4WY2TMC5vEzruWMBuQ86jNZe7SpCoAWSXHlzoFPDG5+KTp - Gm9JMLn3cu3miTwstx8X7EXKLRPiPZOEYk31+NqNt6x5Fv7Enb+4Y1uPffurn//aRx988vNj3unW - A5qcma0cFxCAAEaFC13g+n2Xc0Id89hOOsjog68F0CDbGr2bLNbecONb3nLHT9x01W1XNEeb3CFJ - Om2zphE0jSmAJAbJgSZANmgjoTXAW5thMLhJo0KKBtIAUAUii2FAWO6tSkCW9aUimF406rh6FRBC - 4WVXofhiXHgwz5kIJCVARYIwD7aYL3KHzdkxH7hqWGM5pUJcRDgYaRRuBD166MQtGznBh+hJ+09+ - 4UOf/9pHg2ifthhGt6RAKczA6ADoCheUg8jlWl8Xp+xHdx/MCM++GkAhqBC6PFyKLZumEAUICatX - iRq4LFzKIV2WrYUAAYVkZokAX+Z/v4AqL6wElZxLwIHA/bOwex/YRUukN6i2Sl4XwkvtNLnBIxAV - BDgy01RVG9nJZ+KMxNbfPPbUQ09/+e4Tb//pu//Beh6n+VAbpyEEZ0o2V9WIDgyoqovYaw0tycWq - IrPZZGdne21tY0r+/u/963/5O7/7B3/wBzmbO8qQIsOQRFRDfHGjllyUiJQLbsysVHdJmpmqlpjw - ruvqKfyqqqrLp9RVfHXmBdjvUPJVjYXLfv7LWNOrqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq - qqqqqqqqqqr6z1GGYogx7uzsAOi6LudsZt/HWy+4/y6EQFqMkhLf9rY3/at/9S8nk9ZTJi1GFZGU - EmEicT+3u4xv8zIb3reqqqqqqqqqqqqqqqqqqqqqqqqqqqqqquo/U4noBlAuk1gGZLjv5WWUxyRf - xHjF3WQy9H1KKcaYc1bFv/k3/+7222//r37xF/q+jyEMwxBjLGHDqspXQyrK5SJA8GV4DQUqcARC - 9wakVtGSv6EwoVFzCkbJOBDrA6gTCICwDEBKSlBRVdWQhr6Mvw4VEdA9uQ8+ukFEXFRURYKoMELo - 2TPoAWGZOCXLmI6UTSRgf5B5AWAihBlImEAkiKqKMABmVgaSV+hyrHiqwiOdLBFosQwtD0ChjY8Q - d3GKm6gpTFQp0RFeTqFVJTk75zybzYZhALC76Dc2Nj74wQ+ePPmcBLgj59x102FIJYj9kohI3/cA - Qgh711CVAcDL0odh6LrO3ZumcXfVeu1T9YNQo7urqqqqqqq+mxL9S/gq7MqFDagQjTF6sMweGcFU - 0EzbQ0Ixs1X+kC5j/1ZE6OIOkqYqANq4HmTy3/3kP3rP2567975PfOqrH39m5yRs8MY1tgijE0DG - MgWQytXNEsvoipfDFBAIl/l833Pq3yG37+J5frzgga+yusvTssxHxN6X7Lj4/JdLv+CF+/9JKeVE - BmgnTcvsGDJEcT5vt22YHJs9OX/syc8+8Zlv3nvrtXe+5+2/0HDW5tkE06muxdCIRzqoEVTADjSt - VQmXS208Vi+x0hdT9mJZZb0rhLScUzvThewa5mEqn/zSR3xtGGU3LdC00S3D0bYhDdbsx3Auf1AF - QG1jY2kMAaLIbX9u99kvPvSZn7/7hAweCBOvQZWvaENOzSSet7MyRR+2//Lz9/z1V//sDE7mjZ6N - mcCTRaIJGgXuaZWRDWBZTu1tdVz2Ay6tSipXuEO19BiyxH5DDEINrpGN5ig5iDctZ9ccuf66Y6+9 - +cQt17/mpsPTY9Gn2keMoWXXeNN4I1RxgZPuFG/VXFju79uLQVouuPTgwTeVV86uz7Oc0P/469+V - dNwZtk4+9/Q3n3zokZOPPLP17YVto/Ot584sOMapdu109N6dGvcLN6GWrFalu7iqCtwBspS9UFcR - cBw3ujYHGdKggsl6o9l3exNJsVNpdEiDjZyETkPjduD7oggvSKykYrQxWZqJgBARcV400/KVgmJj - GIZ2/tzw5H/6yJ9/7pGPjLPdvLGQBv1oAALc85hIg8eIyVo79LnkeCohAMoXwBL8qYCqwFmSO10A - Mnv2EELXTm1M8/OpATa7Td+RzfbwtcdueN2JN9xy3euPbb6m4VTG2NisZSciMopnCiVKjLFNw7g6 - pruI+LJaglY6ksacxY526dqrb8lX90Ps0dn5fObkuaf+9smHvvnUN06dOTlyQOv9mS0PEqIzehaQ - CAGxBZJDXBjLMRQHi9/Vz1wSSUuVYMgIARqRHKTNJjH1PHduZ7qG3rfzzD/98Ee+9dS3fuld//2J - w3ccmhz1noGtMpBwwpB9WW+7rF2xFPp+Ti0VUgLIQDKE0KiYWRwnYmiGRt0QDZJBFReRIBSRACpN - s7uXvLKgFDhzwsZ73vSLP/rGd3z0i39179c+sTs/v7ExPXNusb4BMwgP7Bv7laG90NyXvn4YHG3y - Q9PDzTC9+TV3vu/tv3TjFbfbOV2bbwS0cDhYqn4BWpLakZWAqnOVlq1Ul6xtUoTgTc45Ibn6LKxp - 6V4Xx2WzPOvxgl/RmGNohJJSUqowjKOascmdMC4P+hTsl/NcroyqKEmaJRiPrV8Zdyd3XfX2N974 - +q89+ZmPf+kvH3n2wdCAjUPdxEs1A+KymsH3//1TMHA4dGij3x3yHIdmUwyqi+a6w7f83M/+N1dv - 3nikuy4OHc9rZAwSJy6eIJRoKk5Vg1hLUwjRjmnwsVfV6J2IhEhHAqJA6BkIggiEcczQ5X65THEG - yvdwICT+5dByqdOXfloKUYq7eJ97KB3majlZEwIEZgaXSZyJhrzIjTVKXZZCy91GAYQgme5uFIdS - lAA7CHb8is1j773rH/zk3e/8/N9+9K8++xfiZzMGhgR1gXNVGpTawiXtL5c6VbrJfqF6sObhBhGI - 6HKfXZ7TZZDVvkmW72qvSSuEC1Q0iEgou433yU2pyhL9Dd07vF84FQjdBXDFhSHizy+/xKUcd6ha - 8i6l7JVe/qk4gBITroIQgwocLB/eCLdBI7PhXBrWNrDFZz/y4D0PPvbwT7/159522ztVMOxYQGia - Jkj09Aquj1U/dOX0edu2pJlRRH7zN3/zmmuu+ef//F/kbLPZZD7vy9UwoEFest6WGGNKyd3bth3H - sQyPUoK6y1+xukanaZq9U/tVVVXVS4KAP6/xxeW0pHcrIKW2Q4AvqPZUVVVVVVVVVVVVVVVVVVVV - VVVVVVVVVVVVVVVVVVVVVVVVVVW9PIhIiesOIbh7GdD2Uu2NVOuJd931uv/wH/53VeSco1BEVdXd - zVMIQURyznXI2qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqXsVI5pxjjHuh3apaHjdNk3POOQMo2duX - OvOhH6ez9TT25b0lguO3fuu3brn1pttuu20chzJe8XQ67ft+L0S8+u4oSnnBWKMA9kKjxCkoydZ7 - iU7LAdvpFCgCQANJkITBDADbGPfS0ykqCgHF0EwiyujrpEOcNKeQAQqoUcXFABC2fG+AiFJkFS8k - Ii6AiIqXoAXCzIwkLZcRqiEEbDW2vKGkaQAKdWhZESHK2O/CMq69ClShRFgNdP8yEkIoG7aqppS6 - rjs6W/u93/u9e+75ayyDzgmombVdNw6LS10FM1PVpmn2LqMqP5+7kzSzrusux3pV1XdXo7urqqqq - qqq+HwoY4EooUQK3shuDQdl2TZennqKPkpm1AbUEmIlChCiRXuJUDQJYCaSgk5S+2whXLp5crE+v - fPeb33fjdTd/5EsfeuDJL2sw8WwCF1AgyLqcC2w/IchfNtMXBrh9xyn20/v24roduEijuRBimesG - ADDdX+h3TPt+XoaH5GULd7UU9WVzzgVZnVMJokbPTg6gIiraEHK2fjDT3clk5tG+1T/27Defu+9r - X37X3e95+xt+YhbDuAPFZtAwjkmlNHR172Ool4A0XNZoyeq7uiCXbvXU8oG7iRqELoPH8fGnv/Xo - 09/A0TSAUTSySTmrggIo6CoX6wKIoUn94EDbIkeXtv/KN770U6977xRTIfZiKwFdbgastzy9Yjh0 - OtvY8e28Of/ms/fd85n/54GTX9F1w0TMAxdoRVSVMFV34ZjRRgCgrFJLBSAofqDcXpZpSseqhAfd - V32CSqgjUCU3Tepa21gLR689cuLOW+++/bo7r2yPN9YKgAG+I0qJaLVEKYpnGQXBxRHACIWU7FdA - SYC6140oIiBLn6EIRdFK1+pkMZ/PutlMN48ev/quq9+SNZ8888Sjpx55+Nt/+8TpR7+982S2IXM0 - MscSsRzVI8UhEDokAx6wjCRWgIQDDqHEQF8LTP04AqqA6JjRou1aiKZkOQ8eIrp1eB6GIUXFwRDc - C34aAQAzG8fRQXcXEZJ4hUToLT/thSwMtnnmcw994mNf+KtT8yfHbhyYNYS+zyJoWwjJbAI0Ku7c - Oj9OOgWc2K8Y7EX8rlJLQfUMlLMEMSYZoDQZm3ZYm1h35cZ11x256e7bf/SaoyeOr1/FHrZAs9U2 - 2rm7EAZqyRl1CMXJZDlKXB3TnaSuujjTcjkS0YjHyE503XN2s3XfvGp63d1v+BF5i5zfPvPQI9/4 - xhMPfP3Zry1kZ8zzjD7J7ogRhISSugpIdgEBF+Wy93wEVxnAjIQnBcXbWTOfp6bFrNHdbXfPG7Pp - dGaDj6bwMB/bUWP43/6/f/2uN/3su9743g05MrVDjU2FjSOIRGoykctcOgsQ1YN4BwHUuKwxwWwM - EoI2KhoT1ZuJtUKMaTAVpRIKQriX9GqNiAtBimcjnSZows7xjY3jP3v3sdtvfNP/9Zd/cH5+6nCH - cVjowQxqqu3VUwR+2WqGjbXHbSOc7P7e29777rf9fLu7Ec+uHZlcNewkqAAQNYoBmSCddNPQOgA1 - CoUiVPUoCONoEJ11EwfHmN0TPYzJfqAnp8QBlGxgVSWQkyNzIhOQjbbJsoroqi7rByqvEtQ9k8ZM - KwHsqhONdn77eOxyjvN586arf+rqY7d99sGPffRLHx5lK4W5hHEvALuclfBLqf+7ep7gmXFbHWtd - sEWzng699fYf/5kf/YVNXDXJm2ExwagqaKNSLCOrNAKNAIQtXIAGKhJEJzAZ6ChNGCEbZvNIVVen - izihbnEYSY9gwIHaD0QFWs5+/bBbK3V6uaaK/XYcBUMeoTDkKI1GdVDJTAnmITRBmpwtQEQIlj1F - 9maVkokwKiWU/cgdFlyum26cOnnq6JWHdzy8+w3/9Rtue8cf3/OHD5y8r53Oc1xYWB4vsArS9v3G - 1+VYa+w1KlFaFqvHMUSS8HKUFwAiEtmGFIRBqSJSehL2Qn/L2UFoiQH25JaQZmvIPrg5QVWlUMsR - GeW08WqK5WNTEM9rqjgOhnkLQC9TJQIVdAcgXs5Pl1PFIoAqiYHmCSRUNCjh3ijSAI3YXJ8MQzo7 - bG8eO/TE/MH/8zOPf+Gxj/+9N/+Xb7rubdiZpu3QdbPkibX9W31n8l1axOIqMuma7d2dPIwxtADM - 0//P3n0H23bddYL//n5rrb1PuPe+JD0lK1rBkmUrWbIlm7aMjUOb0Aamm+AuemZgii5qBkNXFw0M - M1X8OVMNw8xQ0AwwTdtTYEMT3ETLDZYxjrIt20JWsqzwlPXCDSfsvdfv95s/1j7n3vf0FK6QZGH/ - PqU6uu/ec3Y6e6+ww/r+8A//8EUXXfzjP/7jW5MZgJKcbZAQo3QvzFJ1XVea6G3blmFNiKhc15/P - 53Vdl4FOys0xntvtnHMvOFu0tAjY7lEAgWCLNh4W0d3s6d3OOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHv5KbndZZxZ2qE8nnYyT33arow/IMNh3bbtaaef+lu/9Vv79u1bX1+vB0mzWhknBMbM - zGxmTx25wjnnnHPOOeecc84555xzzjnnnHPum0bXdSmllJKIlJjhwWAAoIwkXFVVjNHMSvDwSUNA - ntVsOu3H9CYCEBLWN2fve9/7PvCBDxzYuyeEMBwOc84c0LSzMmayOykDpA/T3jFE+SIyB0A/pP1i - UHQlJu1HqV/ErPRjkGbpiIiZKRATKWBQU2SLZlaGdicCERkzBZO2A2DLHG4gkYE4UkSfpdLfZlNe - AzMRADIzKXfgGECatSOyQDESE8USEsexUlUlhamRASpcoiuYNACRDQzFMrQbqgQgAAnKARxAyRh9 - JtHLaPx2tUxsIYQyLnfXdZ/99Gd+5Vf+byPEiNwZgHowaOZzZCXmMrb9roQQmqYJIZSsbgA591Ho - IYTyMzNPJpPxePyCr6BzJ+XR3c4555xzzwmV/F4AQImQjIGMuMuWSaOYijBViVM2KVGIbKXPwICx - AUTlmQdTJSIODIAssKRhPZ7mjWYLlxx89dobV/Z+Yf+nbv8bGwuo3Y4BLEGwAPpksueaLka2HTe4 - CBNUYBmE2f+erX/n00yhz3/l7SzCku4Xg6Sd2Ut8XA6THhfnbWzUr0lZDiM1UkCVzEi1Dw8rC1w2 - e5kpo+9b6uIrKCvzlO/JwBZL0jJIyxotFkiX71l8LwxoBzUzUoARKIAJZqrWdlJVIQwsZ53ZjGIA - MBWhof6Xz/zBl+/5/JuvftuV51/HmeaTtq7GJf2M+o2gy8UrGZklb3MZqGbgxcIz+v6/8iKX0R+L - eWEpAHA51UKmSmBkcFTVlNK03QoDRpRb7v5kM9icaMMJg0HVzTNFVIHmc0mJYWr9Trg9WYbOm1ld - 10FmnaLJQGofPXro3sfvOmX/KZZTiXDmci6opPyRenr3Nxz1R9mOWHcqZVIJ0uZSMObYTXhjNtj8 - zFf/+r9+4U8Py4N8is6t6bIQ4qAaas4qOVYxa9MKBiOiZlHCYjty1QCynecHGSgFxiJ9iMAGUrCC - NSZJoRsMsHr2/ldeceHrLzn3igOj09Cl0MTRbBRyAGlY5haZQUDMJQVcTBVmZESsBKgFlHhbLg/1 - ldLTzCIxiEHRTErSqxlVNqYWBATNaBBZz1u59Oy1C6+56A1bcuyuR27//F2f/dpjd4aQpJK2a8Bs - fVySGqualnUxBRFouYIGIYC07SwQBgESWFBBIKoEy22uRlSRNRkq4EWd1U+bpHw11P+eo4GNxLq5 - TAxZVSOR6TLN6cWynPxzLKUJagQ97oHJUo0Sk5qVik9yyDk083rj9z/2/9712G2PTx7NKVMyBOsW - +cQ5g0o8FYMJFOJwCLW8WCCm5Wln6nfpUqeQsrEKIRi4wRgjnYXQDs47+KprL/+2S17xmlXeX+k4 - aRU2Ks7MCKRsGWISk4oJzBgcQyQiE6guzisvQklREkUXJ6PZYGYmBBATEaeocZCGCu02ZsZ2ZrX3 - jFdecN2FbzqKo1++74tfuPNzDx6+Z8ahHs4zzXIW8PZRutxuZP1ZdYKSRoAVUM5KaObdYBi0k6bR - 1boOoK6dmxkxLMIqo1oenTw0Go4/fvdNDz5y3w+97V+pZrZ9lY4JHACFCaTExLLxslVjpCDVRU2N - 7T16R+nxHPXT5H61LCxXkZkJZKRExEIhBKhpNlRMlJioVGFllynvBymbipqpMoEZiSqm4WR9c214 - 6iWn1P/tP/3x/+/PfvvBo/ekvQOx+XblVXYMiwCMd56df05ptYtGHYL1rTI7/q9GSoYoPGhGB9rT - v+fG/+a1l1wT5ytJxhHDZr0JXJuRkZZSwYwMGpgpkEpJE9+xzQgA6jRs2zZDWukMwhxCCNKJvbSh - mcsFI2PJamZVSinEyXxmghQiy/a7dsbrSs4lrhtk/dEjqqYVAit0bswx6dpZKyvfdtnw7NPO/9B/ - +e2mDpP6cE79HFn7BbDtFvT293J8Pm7/eyUgwhqM6yptjVby/rde/a5vf+07B91amA8rHUetENnQ - mWXLQgRTYQCk6K8eqYBYABMATCEwm5lYZlJmhoARFNFUApGZNDLNlHW769InOhtBDdYHNCsBsOP2 - mbLMRk/bEymdiOUkd6z1SfosZTrP/LqcO6Ag2M4pQGEcNBogoTXCIod9d+nOi0bFCb9flAPUH0Fs - SJnZonAW3tXyH/e9n/Cef3g6dShh7eUangEoFxuZrFQux/UudXFxsSxNa41wBpgQiKzruirEuk5q - lFVMECgCuixJd9bdVSxtMIIpmZkpMQcgT+enrR2YTeejarxxdHbq6rnvfee//sin/+SWr35sXh+b - DtaXPUdelEq72g6L/jJ2/n55rC17zWyIuQpK5aouGbMRGbNF0gCwCbFyoJhSPR4Mx+PV8Xg8qAZr - 1b5IkTlGDiGkEAIzl0v4IpJzVlWRrs3dfD6dyezJzccm7dZWO825pf6Ka6eaEc0oK4uRGmVaNjWp - XKvUZ+pjUP+i1PdVGdAdO5IRRAFSM6ggMqVYkUFyGw0MVIQuYyYdRVDNx2Q9DaBVc9tjn3n0rw8d - uuyBG1/zzgOnv2L9yfU6VGylrw0yNlJQBvqqsK9J+z7RcpFfRpeK3Teabmxs1MMBM6tAVZu2rev6 - uuuu/fCHP/wjP/Ij993/EAHMEIF0i8bwjvNOz2+uzFzOD3RdJyLlhpsS4D0YDEpYeHkPs3fnnXPu - RXFci5+2Xxfnv7z4dc4555xzzjnnnHPOOeecc84555xzzjnnnHPOOefcy1oZZ7YM4lwevH3G3O6n - 6p+PizHOZs2pB/f9/u9/aHVltLm1vjIetm1bpdR13fKR3jIGcXka7gVfF+ecc84555xzzjnnnHPO - Oeecc865l4OUkpl1XVdVFYDBYJBzDiEs/1Ru1RCRuq6bptn9HJSYAS5ZYiKAAIS77rz/53/uF37z - N359NpubSV3XTMzM5mNpPyM5LqZ7ubFsMcaoYnsI6X4gUjLASvoGow/ARlUNVFVULZuSLAeFFhhR - 4BhBakqGPnc7lkyXMn7+4r4dwFSzLUafL7tKSe1RVSJaZBUtksZgVQwA2NjMTITMiEipD2FRWo6D - jUWwWp+EtYgn2hGpVsZgJyYjVi5xVcJ4iSM2npmIVFVFYCISsSPr6//mp/9t7gBC7vpRu9u2BfC8 - BwAXkbS466kcsCW3W0RKnjcAM/PcbvdS8uhu55xz7ptZn9MJMu+9/QMsAkT7PoASEDoApGJGiVZg - EAiiCUo/XHfEV6vt7B4VAYY+KxUBYmZZg9kAUdbpvNElK5efktrhTff8UXUAs/lmqGAMBUoqES3T - TJ+bsjCLiG5wyW0kzjBKNJnrYIzcIUUkgQlCLCcFSiBjnxYJBXNkAxkTEYPMQGrUpUG3N2lFFIiI - KVSxrus6pbqOiZnJWKRThWqWTjtpJ/ONbJ1ChBWsyjlTm9HawDptM2VOMEY2qCESksIERMQsylAD - EUKAaskHBRmISuI2VEGcscjQ5qdsK6MS+wmYGhhgIi3xsmaASYnoI0JkaBYAod/iGUAX9Aja6pTq - 9tkX7v/4nbfe97kbr3jXhQdeO9/SkKuVeqxtAzIlFVUBpWqoUjq+GkwZRgajkoTHBlaU0zBgQxQo - QXnxCfdCKPt9OQpIYaxCIoQQ6rbpSK2qRm231dDsb+/5663Vw0yAoJMWCQCyWmSYbOcgLiLAYaRC - SBy1y6AYg7Ukc82r+9uPfvnPX/uOq9El5hQooGUztWQUgef+UJV7EZRji60EoZZTWihJh107q+sh - hdB0nTG11nXDyaPp7j/82O999WtfpoFapfNuTlVJR86NTRFKGZ5BCBG5sxK0aoD0Gdl9PDcBpjCC - MQIZGULZFwLaFhGoGdQhdtWK7anz2ute9aZLz736laddmmRF5lat15GTZmVQqZJkmYy6ONFnhj4Z - tZ8Z92u4PD23szAkGJbRyMs3HRSDuAAAIABJREFUEJjLdAiDoIACooEshWFtazecc+b1F9340JMP - 3Hr7F756z22PN4cmq4fnacMM2aAABzambFSFoJrJpOTqASbatgpU5diBmcJaEChAoBTQioEQCSQg - QwTDkARKKtTaYnsqgxVRqpATaj08f/LcVYodGFEgzzlT+/mgRUmyiCkFFi2EvpzZ+WYDgEDIqhag - MBUwx0BRVIexmmweWx2POmqmttmOZvfN7v7Qn73/0MbXcpzZqimpkRqUsTMle7ERxICsjBwIAIux - GSsClW/VZtkGgxIgSjFUop2I1mE4nuxd6Q5cePalr7/iTa88/VXcVtxWq/WKNDkoA0ZWkpjNwIHY - RBkEEBvrsiXJrGZGEFvk3VLZ7bYDcYlK3Qkr+x1TFgNZ4AFg2gHAEGsDWjv9/LNvOP/Grx2+83P3 - fOK2Bz57dP5wGneSOwQw0LYYjIZtm3PuBsNQnko1MKzPqi9CQO6MrWLmLBDkwEYAG0SRgS4oRpjb - 5Il8aLJ+7Ff/5In3vOkHLzv9ddONdi3sY2EIxRhnmIaQbB5kbilySrELTacKAsAKDlpO8bOCj094 - fU4MrARwZyXUeXkSHqRmHQuAwAGSc1BmBhtvfyMg6o93gZS8YYCAYKQwMjNQtk5ijAPeO0qv/pdv - /u9//aZfPdo+gYG22oaAGDGbY1TBGgUl0G4WvoTH9gWaRi2NGQgvG1rJSBvS2rCSh6Otve99x79+ - xeqFo+k+zdDMFJGG3LYTDgHlYolpWQW1csVE0R9lCmMDlASAZU0csnUUEIwhyNZy3N3Gfx4WIetl - ScoXATKKOUWAiDJkqhOrACJTbOejLz6uBAOIGaVNTVY6Z/1fgylEGYmr2FHekAPx4N79q+M3D37/ - b97fhOk8zSzCOtQE0lC2Bu0savqI4hKQzehDpkvuMmSKtYjhdKXe2vvP/sm/vPbCG6qtwYDHaiTo - hGU5JbZyEQgGywSEMp+QASZVNCiXiLKCOYA0E4BAaNtZqitVM9NQ2VQP5zgBlDQytOyl2mcec9+n - IGUr+cqsVhmpcksRYsiK1BexYGYK3LZZM0JAICYllNx6wAyyrPMI/W5EZCUKvjTSFq87o1x3XM5C - HyoM7b8pWoQDCipEboaZVFe6lizMqU4D0dludjku9YWwGoF1MUdjIBrQ2pyHQEDIGE6HyGiHqqwn - XdqTLD+WyYX9v7RcsQMCTEtg+rKeMhggAgoIhO2n/Q1msEVJRAQwiEjMIIhl7woQsDADSApWJOvT - wYWX8diAIZOBECwE5UmzNdP5/noPTUHENSeDtbmlEAxspkRUcrH1ZAXporlCICKK5YqpEXcigWFq - I17JU90b+Puu+8G988HNd/zVvJrklK1vvJdDbZf9ZQAGARv1PUUY2BimYFhEB7SMgSJuDtfS/nmz - HhkBKWEwDisH1k47Y98r9q8cPOOUV6zWe/aM9w2rARlDSr8yGPUb65k7eWWRlU3NjM1I59302OaR - x44+8viRh9Znhx94/N6js8NT3UQtqHKjs85aCugYHJQJJihNLzOIoFwpLrsfW2BmZRGRviG87Ncs - twP3CxECyMxyQ8YRViZY3qCLk0shIDC382Z1T3108tgfffr3Dk0efOv17z546ll6dM8e3pc7ECIp - hQocMG+2Ag+A/s4ChRmpWg2AS73mvmmd+OU+y50BTKmuVFW179HUMUA0hnDGaQf/4EMf/Mmf+ulP - f/KzKtYHeCsTEVMU7QCuqqptW2ZWzc84m6cspSqAruvKP3PuP06lfiEqv9mZ3r38obRRy2V+omde - PeeccyfR90JKs6T0DQnLHijApYli3PeLd54QcM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - e4ntfMqsDO1lZssBgpdB2tYP3rTEJ04IABH6Ua0Wz9gSJOe9e0a/+Ru/ccbpByFqbNJ2kZFzJqLt - R1atH1zkZA+w+mObzjnnnHPOOeecc8459/K1HMjxpMqggOW8Mb+IAy0755xzzjn3jwYRldzuouT+ - 5pxjjGZWbuRg5mVud8kJXiZ5lyGLn37quiPQbTFQvsGAj938iV/9td/4sR/7UYMITFUjL4fKBHZE - RJe7R7DILjmOneyOkW9ex2ebL9addOd2OH6LLN7ff7CPeMsCLLYtLwYm3X6/AVAC0wlZPH13i7Z/ - A9D2gPXLu3qwyIsoy7xjcazPWFn+wfqR/hef71PGg1o4YZVt58rtSAIygrIsJv9S39XTd0BLaAwv - 0na05DVR4DCdzweDkRpE8b6f/DePP34YtEjBKOEhlstUniH6cDmOt5mVAzCEPlxGVZf3UIlIOVoB - hBAApJSwSFV37iXj0d3OOeecc88JLzLeDADlxS8X0REoiYMn7Sf0faGnQ2xmwsyJB7PpTNpw2uDs - N1/5jq9N77zj8C2D0VBpNs9QgAJCZM3/sK5USdE01pxDRaMRtMR0dQgKmSOzBo6JUwBDiYyjxWgp - z7SiNIij0WC8d7x3374D+/bsX633HBicPqpWV1bWhsNhxbWZmcDMIGCOjD5Ir3R11NpQWdPNN+db - m9ONja31o1tHjk2Obbbr9z/y9ZlOZ7KV20a4qzkblcuDDFaBmBKZVQECSAtTcEQMwcw0qwDMiBGy - TBftowGBRTJcH3q66CyT5cUGAXZEMi9fT/gSARhrHKTpfJIG6Dh84dCn73nwnusvecubr/iOg3vO - 3Nqarw5W51uzkFJVVUqYdw1TdcK0YCV4sSSi6s6rpGz++MsLSxdnAkAGBsTYuAO4064kFKqKkd56 - 1xemaWMa5klBuh11f9yk0O8tfRh7CfNWJTIgCBQGEWzkI49O739069AZfF4NZosEVLHSRJP5Vh0H - L9W6u5PjPtl0mdsNAMw8Hq/mtuusQULDDY9x24Of++Mvvv/B6d1aa0yxyTOwVcxQLKPcd04Y2N5z - ShmynL4ZUuTcKYA6paZtpy0GA+QOq8MqdJQ3tOrGZ62de83FN7zu0hvWcOoIe1M7lAa1cQoRObdt - pir0J/2eZQWfegb2ORUtJVe71BFkDBArAsy0Yq6bzWm3MT9/5VUXvumyhy9+8I5HvvTR2z/82OQB - TTmM4pbMs2WiqCpNbgMxgSAmAAXEAIswgRHIQOizP3dupXKcEtAnqxv3f2E1Xp6hBIBoEYpM0shU - rYOZcQle5ZfVM4RdmznFyCFDmZg5QkHMk8lkZWVl2m7ZSjcPG19+6Jb//IkPPimPaD0XPvHE/TOE - j6oaEQIRExhEJmYwwrAObSeBk4HaSVunwUpckaN83flvvvqiGy46+7IgtU2qlbgaOMyPTAZVKqnb - xqbQ5VldPvmp/Kd8cU+zdFjsqMsI5PJ/7uvZxEIk4JAuO3DF+WdccP3mDZ+54+O33PGJGW1I6lpp - 9uxbefLIVl2HwaBumybEfoMYKcAgLQX7jiR1qBGXOdBypkoGMJSQYzvHxqHJ1z7w0d9821VPvOWK - d0ymYYChdZnmxFUEUUopxqhZ2tyJKsewqEhgtL1Wi4NldxbNxbIdjtu8smNqRirHVUPP2JJcTMdU - 61gbRLba1bjnlfsuecPlN37kjj9Va4jarGCAAoRAREysdmLa9HPE/SHcL3MAVBFCNe0mcQTMQVvp - ra97x7n7L17DaVGjmGTLOWcioWDPWIAdv0Y7NoJtB3vjpT/Ml0u881szUjnZe572N8d/4wa18mS0 - UbBIxkHHGuKrD1712OUP/cE9h2AzAoRgAlMgMKB8fDN1cYgdN2UGoKiAYR7zZHD95d/++kvejI20 - Vu1vtmYxsrAZ1EhhTMZKvKgZT1xm2VnZWV/Alo1gMOoPAyZkILeYSyiJp0zWN3EZyKwKhL5g7yem - WB4LLFkVSAHM3HVqgqpCbnMVA4dk2YJyQEJnXZOrkJgjUcDieXUiIoOqMogoEB0X2K0lI/jE8GuC - RTaAOpAKwUgJAmgVB9Ql24r1vsGh6d2DNTYjdMK8i+Ol9EFsR6DgsnJiIwSKxGLaNpApzrA9NOeZ - jmvunkNsd9+AYe0vyCltlypYXrViIuovUJmZwkJddbkTbRUdsVJQJVUoEUKVADRNJ4IQjCMRDHmx - h22vte7sttCOP9niymQwBjhbVlI2Zo3EpgRAlJYNsG3PUhYsDxnjEk5f5hsksUKVTfitV7zzgcfv - PUpHQBsGqCIaVMBhl98XYGVFiIMpFl9iFasmt7mFJTBD5hjanri+cu0lVxzYt//s0889bd+ZewZ7 - kw2j1KGr8swqqqo8CJmhVPqlpTmzy1teuXQ01oIeGJ153sqlcs4s89zqPNWtJzYf/drDd999/1cf - evJQk2dWq1Qya7Y6bSlmRFMDEQYDSCZVY0vMLCqzeQNGXZOc0OFddnAIi1Edlra/MiWUXXhp3uqo - xmazUdf1cFR/+s6b7zty33e+4T3Xn/Hm9ccP7x2f1k5yFSrpusl0a7QyEDFA+ramkYIBNbCS+j3B - 7tlo1+lwWKd04P2/8x9/9md/9g//8E9CQNOBQWpksMBJVERkeUn+hZmxKoAYY0pJVUWEiMpwKuUy - f7lHB4Dndjvn3D9E36LUvtVny/bzzlsSAfrWuuHQOeecc84555xzzjnnnHPOOeecc84555xzzjnn - nHMvR8xcnmVj5vIwbxlJdreI2VSJyVTNMBhUBmkaGY3Sr/3ar1352su7riMyCBTCxjhJRLdzzjnn - nHPOOeecc865b3606/EMnXPOOeec++a3HBOYmSeTyXg8Hg6Hs9mMmUtscMntjjG2bbuM1n5u+jSE - ts3//t//8lVXXXXdddcaBGAwk25PZzkOvA9N/CyePb9cd7w+K33KDy+Wk+80xjhZINDTeJbEupdG - CEG1T+wmsj7DmynnvGfPvs3NyaAe/Z+/8suf+tRnDWQnDzx6JmY2Ho8nk8nyN2WQ8BhjztnMytxj - jF3XLRLEnfuG8ehu55xzzrlvMDI2JWEhCinV2ZQQTt178LrX3nDHX92KIbKCCTFCgJx1932IRRQf - lYAuLp2cOqX5rKMKpBgxpRxHtAaGASZkLShTTaO9KwfO2HvW/vGp553+yj2jA6esnL423Fuh0hyk - U1WlACKDwrYMGgAEMDOrgIionK0wLDo/atNmyLoPZ9oq2xoZoUMW67gOW83GkxuPPH740COHH3r8 - 8MOHDz/2xPzRrdF6W7eJQ3lSRUXZTAkcooiKGNgoMpBF0QlimY9EWOyTHqkzZOWSjwgABLAhGJS2 - s0Cei6CQjW4YwaFusuaw1Vr+uwf+4s6Hbnn39d972WlXH5vY2sqp3UypZaU5qXFQAAw1glrot79R - mXNAJhgICsohAs8zR9M9HbZF7PoiVpA1GAFsZkoWjGXdDn/2zk+FCMq7PQMAqBpgnEHGhCoAnUyb - rc/d8cnvfv0rbCMnHnfWNdokcBWekuPuXlq2fYipQZX6JOmcrWnnw3oQIm3p4TxuP3LLn33qzpsf - a75uQxsMaoUwMwWoas5IVT81HJ+sLFQCjxFMzaC8SIJUJOFKWdosXRsiqhGYMWrAR1uZD8/Z9+rr - L3/HZedeuy+eXulwYMkabbo2gohINDNQ1UFezBsl2KD96pQARWbrkzlFJMVEYUyZ8rwDcHDvWSur - a1de9rrP3P7xj/39Rx87+tBgbTDFrJ23g5oBMAOcVADLJdOcM4xh/SyYFwG+Je985+lCJTBUd6bk - 2gkPERoYqjpt5mZmJrDw0p+PNizO89Ly38ctJ6URBxJpINlCyJZNNCivjlZaa2bDboMf/8Rdf3bT - 5/68xbwahPlu5k4GVjCX/c2ULAN9enljw2pl2jaRw3i8mo/IuXsv+87ves+5p7wyyYjbRDlFTd00 - G6yqBoAuA7lfMkbahVylio1oQqN2z2Xja8+68sLrL37rzV/+y1vu/sRwXzubrVcrEBOOVc6kwchA - BjZlAKol3YsAgwIZxMasBjKGQUqgu3EUjYvYYELWYbvePPrnn//Qka3HvuuG78+6OhrsrWSgrXbS - tXGSIxsYgQPXMJB1SmqkmUHGZAiqDCxizl8m2IgDsxF1eUpxNB7tvfKy6z5976eOyVYM6DogIgRk - QWTa/V1Xx12EOOHTRFBVthhU0NLZp1147RVvHGMPzajrOiIqjx8TUYyxnJR3RssY8vIDA8waRsM9 - V77mur984MMb+Qhin5RsO9PqnvojndDUBxkGWucJX3neFe/8tndzUw3iuJvlxMlgbLb8Dgga7IQJ - P6/VMSuXHk/6V4ZSieY1ACwlbZpaAwg1gZHnosaBEhGxBUANuZVoHLXiLnBOK2Ftdbj3jP1njsPa - 6mDvqF5ZqVdH9XAwGKVYh5CMiSkSGyGAFMYgZYrLn7dfF1uckA1QYiMlZMDm3WRQj7TRrXj01z/6 - v23MHu/aXI/jfNe7LQOAqRJomUNoCjMolXp2bVCdf/DCH7jmvfvkNOtqIJ64nE/3ut1c7RdLFyHr - RKSac85d183bpmilPfT4o+uzo0c2Hz7WPp5pM6dZjk0ObWcyn3dECLGKRCKS2wyAiEvSOhvYVEnB - MENXErhLPa5PDQ43AF1uiMxMQLqoFZe3evLzu5BpBJT/Fj1ZNlILp+w7+PrXvfErn/9Ss74xGKKu - okxz6YDueh47g+oXctsFZgTuJGfBnmr8L278gavOemOYVhGDgAghbBGUg8YAXqkGEIiImBEZgvW9 - 4d128ExIDcYQRKQYEvEAbKoysLwazzz/givfcVlouumhRx667+G7v3L35w/PH1rHEazmBtONZmqG - wSBAEhmpSKNNTBitAoSus8X3xjsDsxUg0xPaWyVzXRbtHF1EaRYhgCJpY9OmCaNZGsdHjzz44Y9+ - aPaa9RuveNfW1tG6GnV5K4ZYh7VsUJ4BSpbYGBYBgLudR6Vzz6BcXO+6zsx+8Rd/8ZprrvnZn/1f - QoCKEjFIiRmqIgghhEAvVHh3XddN05Q48J132JhZSklElr8pf/VbZJxzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xz7ptbzrk8Nc/Mqjoej5/b4M79mDfLf5tlCmyio9FoOp22bWuK0TD90i/9 - 0rXXXtu2bV3Xs9mkrms1izHmzp/Td84555xzzjnnnHPOOeecc84555zriUi5eWM0GgGYzWYAVJWI - RCTGWAK8q6oq4cG7mniZsqj9jz/5P33wd3/3vPPPKTk18fhRvIlIzcyMy7jEO0fbJr/Tw33j2fKV - CLYMCyAAKgbj6XQaQrj55pv/r1/9NSKYWowx593tvcw8mUzKON5d11VVVcbuzjljkV9ARE3TpJRe - 0PVz7vnw6G7nnHPOuW8sFrEQk1LuJNexjsZdK9O2u+zc156x5+wnuvskggM4hdyYPSVP61mVrO8T - Ijm5dEwyUkXMEVOq8hizwQjjlZXV004587xzzj/njHMOrB0chnHUQbBB7GLEKOVkG6wtJQmDkGLk - zmZGgtLbUZhRQAD6rG4zgkrJaQt90FFVwtzQABSUEAAjyEz2Vav7Vk975dqlckEWnU3nW0fzE39/ - +Et3P3rH/Yfun21thcqUJJMw5y4rBeJAxllNzBAi6khtW/K6S2ePARiiklqJ2CQQAEOJ/wyGvMuk - sDoAigzREMKIxWZPNFuHNw+t//WT33b5O7/jqn/+2JFH1tJBKKBhVMW+K7jMOSOGBfSR0gqUWDs2 - 4kW21PPMtHNPa3lOypgANlYogpmKiHJtdx/66gPrX+MDhoxdJm0xERGZkqqCGCEgWqQqf/auT7zt - hneQRCLjCm2X0VGMSfLLKen1W88yYVlJQWakZAyEwIM4HE5lq+GNbnXrgzd94PNf/1Q3mseVmEnm - 7UwgIRBg2ayqyJ4mEtLAJfq6zIsVwiDjSCydRuWaKzCLinTZOh7n8Yrtu/4Nb3v9FW9P7Sk8W13h - /dRSN2sSYxCGMZhIzjkrcYxhl2d0d7t1+uoCIAK4D5Q1ADFWbdsqaV2NsnazzQkFGg8PWJa3Xv7P - rrr8hk/f+bc3ff7PZs3D+/fVrc46sWxiGUYcKDFJsCwltNtQSmbqTxwrgwEodgR4E5TAi3zfE1Za - SY1AABHN59M+SNhsZ5bei8GWRfPxuZVP/wEmYhVoJmbmGAAIlBM2u6MylCPhiT/629/9ymN/txEO - B1AMuyv8yVBxgEq/2v02AYNTHM632ioOUztYwylveeNb33zxtw/acZRKMyPHIIE0JooMjkSialQS - O2EE7ZOwAXtxK6Osal2XiEdhjA6ygf3VGasr+09701lXXXrdhz/xew9u3cN10yKrzeMwdJLBCFqK - 8LKECAZhgGCkgBpK3CwroKRKiIZgCAKUtSM0NMOKbc0e//gdf3l0/cl/8R3/inLsJnk17AkUJc06 - tEKcQs0W2nkXEzOpwEqCKQCQ/kODjl8MRiIamVKsRayZ6YHx6Zeff9Xf3fVgHCSlTAIKVhobYnlX - Salk25WpUn+oKgGGbGAi6XIVh9q0Ax3dcNVbV+IBmZl1HYAQQknvfoHX9x89Lg1BI8DABjMC4myr - 27fv1EvOfe3hB55sZDOSEAUiyMnKB+33/GX8rpZDOEmV2vHe6uC7/8l7hrZqM7ZMQWMMJNaWFN4S - 8s3Wf1ifR547k0EFEplA2jQzQI2sLMYJ7Sk2kMH6h8nVuF/xrJo4pjgkaDCVVq1j5uEaDVjTnuHe - c84694KzLjrrlFecMj64kvbolGoMkw2DhqARUlpioctQUCAyokAkZgyIWSBSgAknvIKMDQSFsSEo - wFDlDkNFUE0yGR2OkjhyqkXR7ap8VkKwHR9YbllCiEZgA3VimBGndPro/JXpqbWtktRPXc6Tvi6m - uD0HZWWDEkhNgzLDBkRECoNaJo2vjnOaNbq53h5+9NjX7330zrsevP3ho4eGozDVeWcdRBGIOcRE - HENTAryNWRUEXhz4ZQdjARvDmK00qPKO71tLiPuJNbI9vzzt8tHlUATcN1IMZDFAtta3Xn3RFXtu - 23+0OcoqxEQUmFkhz/0rU0bZen0uNfUZ1cEgYmwUOHJk1lY2dQVrcTKIk1GtY+YYiBnBDKQGM5WS - LmxgI4ItLpmX3vFzV0pNAEAiNVVoVlNiTitVMKZu3upchmnv3gNnXrT/srdf/Y7Hth744n1fvOXu - Tx1af2D/aMUqnbVbzKwmVRXG1Uqrs/lUxJBSfxyWY7+0x2xRwZWYeVtuimWj1gBi6wuKvsUSAiYz - GwyQRmmytTkIo3p19MSxhz7yxT+fd/O3XPGu2dbwwHC/tGKWVM24n5caswWQgISQGWnnSBPOnRQR - EdFwOGyaZmVl5bu/+7vH4/G//Zl/17XWSTZjQEtod9u2L+B8m6YBUCLDAaSUcs7l/psyukoIAUDO - mYjKz84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845576JxdgP3WlmdV2XYaBDCF3XPb8J - TqfTlELXCQG/8Au/8Pa3v71Ms6R3p5Q2Nif+/JpzzjnnnHPOOeecc84555xzzjnn3JKqlrspzKyM - Hrzz5ooyenDOudyA8TymX6K7ifDE40d/5mf+3e/8zn+s6hQCWRYscoiJCAA/XUjKrqIgnHsxiUiJ - Cyn7qpmZmZrFumrbfPjJIz/3cz/PRCLGzDnnHYPG7yKgoUy8rusyrDdKtv0idUhE6roGoKoeXOK+ - sTy62znnnHPuG4kMlimkqDE27YxzjBxJaVyvic4uP/fqm+95OHDIWZREhatUi+4mPW5H7BYAgBVc - ThgwdFCTtoiINK/+yTVvP2/t4gv2X3Rw5ZwgCUoigi0QhUCJKUorTMHARKGqAwCCGUtu5szEzIEZ - TGYGVTOTnAEQAkOJYCYwAoFCbSAuPTGAACoZdGrcsRITYooW097VoazlM886+7K3XSAT2bj30Tu/ - dO8tdz1y+7H8JIbCqWtsKiYwUInRbNA0ZhEgDpzVMhv36bDGfeTkjiBBA8MYptvRzs9FDCJiJiDL - Ip2iGmC0Jz6+/tCf3vqfb//6XT/0rh+LgdN0OKBRnhtzBKn2acElcE7ZUILP2KB9ziKXuLhlhqJ7 - QRAUZov8OSXjYAwgqyh1CLHRjc/f+8lJPAptnkfviJmJTFlVUZK/ybrM8yf14c9/7ZM3nvad7XSe - RlXkaNlyK+SnAL5xCH00o5TEUGoJFjSxJu2iDa1dlcf14Q/9xX+487Ev2YpaZfNuTtGUSqQpiZgS - 6kHoulK+bWMDwIoI6DLyUAlkDGNSCiHEikgozyVQvZf3V834mrOvf8s17zx135nNBlbrA/VgNFuf - RvBaVcEUlEUMCDEkM8piRLark1O73kSLwodtR4FprKpkzIBJqEJMg1pVpZFsmXM6wKvvvPCs155+ - 9Se++pHP3Ptfp3kS17iDwphRwqtFGUww2z5HvCjouIR2c7+5tissZZBspwX3gZ+L5E8lNbJZM6XA - YJhaOTf94loszI5Cmnesywl/YwLUiEPFwZDbLHOAtZK8Ontg+vUP/u1/uvfIndOwwSNSa2YqtJvi - gQ3RWExEgYgAQGFCSrFt27WV/bpBFx549ffe8EOXnHp5d7SrENutbjQYpzDICjUESqTWacdhR17p - S4fXxvtns1nbtnUKgSOJ5Klwk/YOzrxsz57zv+/ym79y0023/Ml473xKhw9v5sEKYCACL6KOC7NF - /jHKd6RCbGApofAAG4IBYAGMNAc0Nh+tIofmtsc+u/nHGz/w9h89d88lsyOTGhVImaGwrMKmVYhA - J4ttrgSGGkq1/vIqzwUEMWNUsTYRkjiQtWsvu/6zd97U6LyiIJqVsxEQICq7Xfrt2N1FJvEyPTiU - i0OCkOsDwzNf9YordZqQuYR29x8nwuK8/Auzwv+YGVjB1gdv94IyCKTITXXNq67/wv23zNoZ1QpA - VU+yu9GynX98OWlgTWE6fNfbvu/M0bnzJ/KpaTVYDESmBmIy7UOgSxO6L/B3uUeQ7oxnFti8bU7+ - xkU0OPdLqOB+f1KwQhDFdZ+7AAAgAElEQVTMhPIsM2GN11JcrZu9V5/3hsvPe+35514QOUmjFdXc - hLyhozSEEixAjUvksJmS1ZQUzAYtvR0CGwKBFUqLg3fHqwFUSgZjQw2LBAWyJpvONxFIKrQqTRAN - aBqp43YV+dycvLKWTgmaqsCgZMPUjVdxSpyvJBpQn4R9kqU94bVs/53NENVQUrRD+YNZfyGKiYBk - IjMZcByGfWtp/xkHL7j01BtuvHRrSpuf/MLN9z95zyPHHuhsS7iZN5tzA+pOE2BcCYIxK5TVls0n - AxFgShYBMFgBUO6/bqJ504AtmwQ2eoHqlUWGNFlpWZUEcw1VWMnSXHrOazcf2jw2faLJueJkZidG - x+9qRtbvn0YYjUbNvGtnLSeOHKs8Wgv7Bnk04rUgSVoxEYPQDkZEIYBJIAY19Gnju+jfkTaL/j4p - MUJA6WqzqqLNBosAczIzVY0Wg41Ory74p6+56Nuv+J67H7vjk7fffNsjt3Q6x2BulHOHtgEYVWAL - USFQOX55mGyZyQ1ACbosWBbVK9BXfLzcvUVAJdCdMkVMumkVu3rP8PD08b+69Y/X54+/+w3fu96E - cTxltjUZDEZmNaBCmVgNCkKwDKg9z1R3960lpTSbzQAMh8MjR45UVfWe97zn7HPP+9Ef/R+OHjsm - Uso9FXmB51sOvTJ+SrnbBkCMcWeTpmmacsnfOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - OefcN72u61JKRBRjLMNAi8hykNlnU97GAJhYRZlhhq6TehB++n0/9YM/+INbW5tra2vQ3LYtEc1m - s9FwpZk3y8hw55xzzjnnnHPOOeecc84555xzzrlvccsQYmYuMcBt29Z1XQYTxmJg4XJHRz++9zPo - B8nevq8jZw0hqAqAW75w6y/9H7/80+/7qcGwIiIQmZkBZn1CCj1derdzLw991H3Zdc1okePddUII - P/c///xDjzweytj/qsxxcbwosCMn6BmnX1VV27YhhKZpUkpd1y2PuzKcfgjBzNq2rarqxV1b556N - 34fnnHPOOfeNxSlVObc5KAKTBYiZYhAG01n9qldc9rHb/1SCWUBJPnse/e2nJKb1yXzzeR4OQ4bW - qIZx/w2vufGgvWJVD+ixlLQKITAzEalZeURkXNdmJiKmuaNcFsayjYZDVVE1ES1dLEYo5ynMjMhA - bDAzMlMlbpvWiMrEiQikpaOVOAAgIVVCJmkIxLVW41iZ6IDW9p5xyjUXX79lT95235du/dotf3/v - lzhUWnWcVNBk6kCIEY2ygY0yACXlkglLx+ftGQxQq4wAtM99YyphmiXGQKamkiIGCVlxZJLrIXgw - //r0tv/9/f/re7/jv7v6/Dc2G12yYUJVcl11mSpqDFI2hSkBjCiAES2iu1/EXN5vOaQwA0oeMKF0 - 6o0JMOm4ZiK9/8jXbn/0tjxsYLmKJGq6m7Q/E0UAgD6S2yAQcJdW4823fvTb3/OuPGtNiMBEtHiv - +wZiGICsJAyQERsDmlMzC9N7J7f/7kf/wwPTr4S1JufctsqRYlVBOWsHWCCYoWny0yWw9wHefNyZ - I4ZmYFAPtzYmQcP++tT2GM7ed/53vfUHLthzZWzG9bQaMbr1prONlaoyUe2mRMH6YjSEFAhgM7MX - OoxuJ2O246NASfuIU7MQIjiKSNcJACImCuPBeD5vo7G11dnx4u+5ev/5rzjvb778Fw9uPjChiQKx - Jig6NTPESAYDKRn3E+9nykp9NLiSlvTuRXbmyctDsxKVp/NmpqSlqnoRt8wJc3/O7yQimDKzaidN - SwlcYxo375ve8/6P/PZ9G7fzPoi0Sqos9ZC6ZnfhlWRGCmKEkmlqUEUwilLH6eBtV73rO65699rs - 9PaQVGGUUhqPYjNtZl0bY4qcpFMzocQK2U5ML1PexVI8fxsbGzHGuh4GMqgxc02xArVTW6uHUUc3 - Xvzu88+84IN/9ZtNs37OqYOj7ZYs0k+tDx5m7Q9qJSiW8aKmZech61dGSw4rWEnrYZzM86TF6opu - dUfv3brjAzf9P//8LT9yyeprpEXTzcGU4tCERPIg1TkzaSRWQAMUgJKBwKbLKPqXA0IIkUnnRKaK - GOvQ2Dn7Lzh17bSHJsdCCKoG5PLsLrHtZl/uN+MyvXsZW26kTMiqwyrNN7ox7XnlwUtStzLiPWT9 - lSoRMbPS8PPrRksG2nkdjoxBgMZBHM5ms3MOvHKV9x9pngh1AEzVTkjWfuZAZNZ4cHjWFeddjc24 - r97bbch4vKeZbIVAOw5vJuwmTviE5Tcj4pKRXKJLm6bZkUR+XOltYDIolKEoEdToC3kDmnZW02Cl - XsWGoBtdcfENb33du88MZ6/RPmtsNpkDPB6MA4VGGggv9yJiBhGxkpkKAKiaEdQAJjMQ0yJn+sRX - IzXADKxERjAQCAgibUSVhsMmrKtR2yEMkIZAt4uNw30G8o7LsIuyqKqQM0REO5acQ1VVeTywVdNS - tJ18aU94BQDqk9ttO8NdiVjVFEb9VS9iYyJicADUAroIcIUw4H1rQSV2p19/3qYevePQrZ/5yt98 - /YmvxmEII9nUrWmpr0u+uzEswjJsEcFOABSUYVFBABNoWYE17ezEg9140QMCsNsQdADa95LKpAAy - MBiIAYYmXHXxdR//ys2xjkhomy6ltKvG/DOUhZPpNIWqrusYUu5adMxtSnVFogRJkSjFsrKqqioI - TAATKbS04QBioqeLcj/52gIhRSMlAxmTEowMpCohJVUNABGpZstKgVeqUZtboqqbG1N49anXXvyO - y+554su3PXTL337xzyVNJMyFVYkUQTSYGZH0dSVgxECpzhgAmyoxDAQY6XFFzfEbqtSwZZeedRYj - BiukIlvzjdHq6tbWsU/edZNR+65r3qs57N93cLaRQ4hGwQjCyiRsmkrL+XnsFO5bz3Q63b9//3Q6 - bdt2PB6LyGw2u+xVl3zoQ7/3kz/1vi/d+velMqrraj5vy0X6F2S+qlrXddM0TdMPa5JSyjkzc9d1 - OecYY0n1LmOs8NN1FJ1zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzn1TSCkBWD5NVh6q - LQPO7mYyWh7tVEUIJGrvfe97f+InfmJ9/dja2tp0OjXp1tbWJpNNMxuPx7ucuHPOOeecc84555xz - zjnnnHPOOefcN7/lCOElYGs+n6eUYowlWms5WPqzR3c/RQhBRFJKIp0qfud3/tNFr7zo+7//+/5/ - 9u483rK7rPP993me32+tPZ06p6aEJJAQpoQwGERQQUMcGgQRmVRsR/TlbEs7tNp2/3evXO1/vP1q - bbxXgzi9HPC+vM73dstMAwaa4SpjQAIiQ6ZK1Rn2Xuv3+z3P/eO39j6nxtSpVFFBnvdrvXZOnVTt - vfaa1x7Wh8CA0jKBvKp3n0n9bIn3p9zltwqFVDV4r8Rc8L//5//8hje8FbWtAxOJ+11Z6v33fU9E - pRQAq086qaqqEpGI1Ido2/aiPjPnLoSnu51zzjnnLjMRWfSlWCaBGAlJTikvbBynjzh8XUvjHbtf - hInIWCzbg2r/GgMwQEmbMTFT6qzXftThUHtkvL2u22EyHqNYKTmbwcDMEohA834OoJ7ShNpiNFW1 - rcWciJgDS6jF7lLPtaS++qD1lQJVhRmBQhswnHQpqRqKmQ0xbVCgwLEhq+VvQDDvF+0oTjBebMKO - 58Pt1bceuvoZh2/d/vLt93z0nX/3gbfeec8di3Y7Tvo+zntTkBIUNkQNi4BMa0KPtMYmWcEFbAKQ - 7qtZaMTUhIWmCIsCUZQdmKGN0qeCsLMIO7PZ/Pdf/6v//MSPfe3NLzg0uTpvmWhUcH1NhE0JmZf9 - WiNWUI2leeLpUiKyZUgRBDCDjXUum7ff8Y7jence90FBxPtLmQKoZ/sMYgiDFCAY554W/3TvnR/+ - 3AceN/sSJGaNRBKCXNr0sjsnAysEMFARy2SBTWAhScL64j0fe+Mfv+P37uVP77QLtjRpOTKS2iJ1 - pMgGEYTAYpbzsJDwsmG7pFRf+jRelg6VSJVQFJuL7YMHD+cTlO5vnvOl3/jcpzxXdmaz/qrSC+VO - KDMsSBHVXFS4ZSLi1gxJcykdqBgTD5uLS4dXr94qaa0jGxBi6PtkCSLShGgGMyNI2rE2tFoWmvKE - D0yx9rQjBx/zNTf/6Zt/587ND/3zzicKCjeskVAIIloSCLx8rW31ktuy3l1faR5+acusLe25NdRV - zKCqVna6HUMp9deXvgo8VGmHWfCAM0KzmqqyCAwcRu1MjuNzH7j3Pb/3ht+42z5ts0XSNG6b+7fT - aB3Htmwa9tHMVkK2bIQAwKgoqSGEOMWBw81VL/yqlz3lymfg3kZUNsazPqspz4/3TdO246CKogiB - lFGsoPZldycx8CCCvuf/DJpxQ0Sp5J0+sWkIQYTZuKEm9RYX7RUbj1g7OL3ihUf+6i1/+PeffOd4 - SinOQVkJSgChKAOBjdhMua/LlC0ztmJDC7Qw1GBQJRjx9vHcTAhkOzu2NpP5zv2f1o++6s//0488 - 7yevX79hhHVbIJKAqbOuy0YkgIiykYKy1mS6sZ7HQvD5REQirF22IePLjY51sXj0NY/73Ic+DjYm - hgVDyZpFaL/v1NRFQgEj1roWGAhKglIAypGlSc2N1z4hplFL46xZqcZrdxems7979MVIyYYpCnBN - 5xpDWbRpy+zhG4/8zF2fyCWRFQmr2cWAGnZT0Ks4/Z51lknjk67/Etkarc8O65Ywh8X2oomxlF4J - RlAwltn7Cx5/IqrzVgkK7fvF3vm7GkEMx96BkJUzA6RgghIEIMKobdNWn+by5Ku+4tYnP/uGK58w - LbNm0WrKRDILM1XN2ymjBGkBAlPdU6jZkACHkRigIlwnxerWiurwNuZJt0YKmEGVh32IKAAbiTCg - faYWAW3LTU79BRwbrm7ZQBoA1G1XUhRDExCbIGhHPNaONIMESnr6eJ7xFuDVS/q63HPXUngMAhiK - 1n1oVgPUzCQ0qPtuVVKwElMglrVmHDD58muPPOHam9/30be+5T3/72c++/HpwUmh7Vy/7E/MgCFA - A6CMvs5WZbBlmAKN7S3Ck6bUgbTG0c+yeF3A5vPUeyIDwHlRxqO1q2bXtmmMcW9iRMVwQQfbBhCT - DQ9kBIVKZCL0fdJiAhrHqWggpZ464g6qMBCRUODARJRTGkbNmMEKrm9GQvN+1jXuFh0JCzFzIMBg - qmZmYklNARUWRKiVoqnrKVgTYwwtcZmnzRx3RjeOnnL9DY+99cave8O7/+ZtH3rjJu4La6EvvfZd - EIIMu1EAsLyMdi8f3nCO9nmd8gAIykz9wiRiOkVKmCcLYmHMfVm0B8L24sSb73hdQfvNX/4dvBPW - m0OaYca9oBCME6lSDmSkfh7sTnfaKc90Or733rtHo0l9KcmsMDNzfOQjr/ut22772Z/9uTe96U0A - ukXfNtz3/SkHtnvuad87vq7r6gdlcs41413POzY2No4dO1ZKMbMQQimlvv3vnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPuX7Cc83g8xvIazaoaQth/txumKgwzaLGXvuSb/91P/8xiMZ9O - p918PmoCUez7vl6s9vjx423bXurraTjnnHPOOeecc84555xzzjnnnHPOfaEws5xzjHHVKCGiGu2u - 1wpumqbv+/pzzvnsd4QzBkJqvTilJEIhUOr1F1/5Szfe9PgbHvdoEQq0G0IGUENdAPZeCZmMATUv - M7jPo5Ovy73n93sSEsyBiJNq38/f/rbbX/Xr/2cTQ9/nGhGq7e0zO/tnl+r6Ymb1Gt2llLZtF4tF - feh67e75fN62LTP7pbzdZeddBOecc865y0xViSjGCCCnRIYYQkSMqT3YHjk4O9SG1sy6VMhKiPs+ - fqvdSrJaP1r9c+2z9ZqbFqPRiBHz3MoitDLru9LnrIARGVExy6pqZdTEJogQrGTte0uJVSNRG0dB - RgQxpZJNC0zJzLRAdQh2mxHAJIGDsClZQslWkmkmQ2CJEgMHBrEaFbWSSp8sZzJtm5C6vizygbh2 - uD066zcmm+vrO1esb135rEc/98de9LM/+PyffNojnjXeOkL3ra2ljXHftBmsoFp+JSjXgDfYWLQh - jTAxgnKv1A8p1vNjQNIiIiKUM3IGk0QZoUgMogAa9HGnG9//xvf/5Z/8j9f8c//hxej+Ps5Veqv1 - PmOGkSlBjRQmsAAEMibDvkOa7vywMRsDXIOvRgA4aXdM73rfJ96J1uqiktK+p7/EAMBKzT9CFUnR - my5Sx2N72z+8uWu2YxuijLKeK4PnPj8MbARRiLGowLgLad4ef+OH/+LPbv/dz/R3pHYnTKQw5kUt - gCIAcOTRKBCj67QUi/Fsd69GvVEPYCiw1iIrMGp5hPHxzyyuHj/6+17wY9/w1BeO5wc39Aj30lCI - hcUwDg0ZUupD4MCSc14sFn1JYFNSpUx0ybvvSlAa1pSh201Q0q6fxyijSStCpRRSZQOKBpaSsgRq - 21h65C2Z9UeusOtf/pyf+Nobv+mRk8eO+6nkyCbKSGq1JFoERaCMwjBSkCopGwOgYVXdHR8MVdY9 - wzCprUC7vlczs2J2ySfOfhmBqAAZUKXccXdvufv2T7z99//7q++xT+lkuzQJATvzfjJGv8B0vO/9 - uzLV2aRqVlhKO6ONK8LDf/ibX3Hj2pPb42tr5WAoMefMLABGo7GqdV1XLDMjI2fNxqar/aARwKsN - 5iV9yUgJqfRd7oy0HYdmEom1lJRzBimpzZqJbVo40V7bPOY7bvnBb3rKt6/tHJ4sZjE3ovXpQ1l1 - iBATG7OBbTdnvPq5MLIgC5Rg4HHbSIlIiIxiWWO6u/9st3bsN//6v7zn07fvxK0Oi77vSC0ysaDm - 4tlIlMnApqfnJC87JRTLqllVGSQipS8jjKUP117xyAYNGxNRfRcnZ+y3oM06HE8CgLERGy0nAsEM - fT+ftA0nufbQtRvtwX4nRx5e8haREMLeN5Achno0jAykezOiJem4XYupecSR6yZhYkXVYLyb6F6t - mEpneufDGMaict2VjzoyOTq/r6Mik3bCMLPCkZY15WEPpQ9qTdeCAgzjX0oBVEmNVUlPfaORlu8O - Lk9M2CCKMXF37+KAHrz1Sd/wwq/6ridf84xpvyE7jZSWqSUEVSaKsZnE0AJUTM1syCEDq4VKNZsV - Va23dWOSUlfH8/RbWm4fjNQoGxVQAeVcFpEJWoIx9xYg1lOA0H6XXNotIwPMFqyWkQlqUEDNcikA - NJflynKG8TzjrZLqMN0Ju7cMoM9aSilGAAjCzMyBOWgxVWWDkESOjTQRobGGFzIu03Znsp6PPuum - b/iRF/3U857ykvb4+mQxbROLMqCF6oInbFG0YQNZ7TZDWY2G95tXK7daIYKhgBSkBq7LbV0AeP/b - gJpaN1IdZoPWRZYNIYzQS0zN46+7SZS2t+fjSXuud/XOpD4jHuYQ0+7BBooppJ6ooVghopxVCWAy - ITSBYjDhQtprSqUHGxh1Y1snPZnZ/sdn1ExbGQs10OE8erkhFQ4iMRSyrKUQKEhsG2liSqXv+4Cw - JpOJTibdbH1x5Eh/3Yue/r0/8E0//ZSHP4tOjGXRTJsJLQ+Z6umPMYzUOCtnJa1zjZf70JOWfNJ6 - 0EE2BLxLZ00DMnQdmBECSoFClcrmYq4T9NPuHXe84b/9zz+18XxeNtlsVf5WUiMlFdZwAUuF+yJU - SplOp6WUGGPXdXX7n3OfS3/o0KFf+7Vf/c7v/I5SECP1/UXOwa8++NI0Tdd1TdPUP25tbY1GI2au - n8hhj9A755xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84559wXgaZp6gWdVbVeRjbnTPv9Aj9A - BFUw45ZbnvGLv/iLk8l4Pp+bmQgxc86573tm7rrOu93OOeecc84555xzzjnnnHPOOeecc3utIl+q - GkJYfZZDVeuFglfdbjOLZw/MnI2ZNU3DzKVYzhqjnDix9TM/8zMppZyzmdHJzn5PftVi91BRl9u6 - gnRdt7W58+9/4T/mrKvVpy7JTdNc2P2LSF3jACwWi/rDKtQ9Ho+92+0eIsLlHgHnnHPOXRJWE0/M - GD7oT/4R/IcqVc0SuM89wURISyESqLJJLPGKQ1d9+u6PE0wEpFZKwn5S0zCAQFb/u4xvkRqUApIh - CvoutwRmiRw1kXFUgKEACEMijU01K8MYNISPzVDMiOsjYE+gbyjzgaUebeqekVHlIfJnNREKY+Qh - 18V1DEkJGkRRF1ulyEJAKUWtEDGIzKjlcenSJG886fCXPe5ZT/zMsU++6+/f+a4PvjVP79vmY30o - 2pQcLBlAYCAE0SRcWIQlINGikJpB9rNmELQxRjYDMRExK+qTgikbjE0VWKBLTfn/7nnrp/7moy// - Vz96ND5yQkdtpwRMWYnMWEoBFGzEMIGBycgyzAx+lngxkXDuM2mUGCEw1UJmKESU0b/rY+/Yau9P - SKqAkKnZPr8MVUohEuZcl/O6hgAgBTX0gc++75927pyNjtRoHzGrFa/TXS5KkEi5t5GNNBcjyLoc - 47tv//jr/+Ttr160x+KsTwZ0IVAwyX3drjHUVIsCCAEASjljeFKVUQwhgPoSqE0pIVBokXuzHWrT - +JYnfP3XP/n5V42ub7ZHrTWqAM0NYFEAuQDUioxUYQYSDgKlZDBiBchs/9/V2yerMcW6tYYaQWEG - UOBkPXLiYTFmNiY20p5Zs6qSWgQZzEKT1uhYeP4Tv+uRRx/7l7e/9kP3vbtMFjZqe+uCQgRFUQqk - ASmyIgq4qAJsXBvSdb9ju53a06Y1TMiMdN7tsBARsUgp5QK+zbgvw97sDPFRNrMQOOesVlYv0xtD - rajBQuri9rvufNuf/t3vbcbPltAVUzIogRoUQ2OMjof66jmZ7UaXibFIiCxtaKmUsU6efPTpL37m - dxztHjbq10IRWCksRgAyAb0VCEO4kBV0SkBtyBIAI6tt1Tr9668u2qQ7wxMhqIQh/atZDAQIRQ2U - rVhEj8SijUXeDIft2mc//qUPe9g1r/nrV3UaduSYTgoF3L+pB9dIO4VChuJ47dqiPhcYCsOWkWOC - ssEKs3G0MYoVyyaQKe7Xe7Z58Wfvei2C3HTll4z6aBq1Kw23vRkBqspMqspBRk27s7MTed/vslxS - xJZN2yBmRZVDaFAQtXncIx7HOeSyww2pKkeWkeZkQjhD+fncD2EAnfoGT86IDaxHyf0V4wNHJgd1 - oSMZqxXATil2X+qV9AuKnXKIDADgGGXR94z4yKPX4wNm0WLDfdHVlFPCalEHwIw+QxiqiMKkIfdZ - qHncdY/tt1IrEyFKqeNARlosa01oGwBWgAkGvaD37RQQZjbSUspo1Gxub/LoDPdTj+3NMjPFMNnZ - mo9GTeq6UcuUtMm6JuvPeuJLvu4pL1nrDuF+TDlkTVnEEGjZ+QZqh7VuORO0A0Bke1/Xrus4zAgk - BGIwMZkyjHDSrRij/lPKNU0NwGhoYRfNQoxcIgul0oKtmNGZ90dnRuC6hR9OoxggWADlXE/BgMBZ - RJU6SCrIhHz6eJ79FqsFBsPsq4ehCmLAQAZb/gYgquNuMDZQDXsbK6gUTYFJiGwBLqOr4mO//oaj - N17zZa95w3+93z6rsd/O2zJV1dLt9JPRFEVAAZSVVvsIhQ0vPhSUAs2WT2yfWAuHkC/WCxC6u7Wi - emCS62kjEWlGq9OrDz78A3ezCEpJEDzgzvQUbFAwGfOef2gECuisVyEjMND3nUQuCrYWYNQl0wAo - yAy7O1CQwgAbIur7HR/KursuWV30l6MEAZSJAZgqgAIUJAoIylQAFK4bihJmdLg7vnji6JmPufVJ - 7/jom1733r/49PxOGolpCQEUsD2HRISInABSgdaHW052nLTUG8h02P4YAyCG1VFlaIERmGEGUw0N - FgUxFB4f+7uP/LdRP37JV353PtFFnlJZMIxJcqej8drmiRMkst9J5L4ImVkpRYRy7kMYFlQighpx - 0dz/h3//c0980k3/7mf+AwBVAAiBU64nccNHZEIIOff7fWjVYfns+351Wx+9fhCHiFJK9f3+1Udz - MLxWQMy895fOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOee+gOSc6/Wd67Vl91a06xff - Vj+f7R7qt8wAxBhX126uf18EN91046//+qvMdLFYHJjNum5eH47IYpSUUgjBLxrmnHPOOeecc845 - 55xzzjnnnHPOOXdG9cK/IYTVz33f1yBCvSgxM6eUznUXp34uY3U54sXqVykVAHd85OP/9hU/9Ru/ - +X/0fT9uWk0diKC2uoLxnqvHO3c51IvM763a0VDS6vu+GU/6vm9E+r5vm/F3/8jL77rrHiwv6I3h - E1C2d8nfc8/netj6eaf6GacQwnw+X12U+5RQt3e73UOBb6Odc8455y4nIygroGzMtaRXu3EAG5PJ - welh0QAwCGYXUrRiBSvIeG+ISxkGGNVB95wKgYyGFpqBjAFmq+dXrCAYGWQYiLEsfe4OUDIlw/L2 - 5AEAdDnsRTXZXVgLa+FSpBTOSjUMxgZW0sKqnJXVCMws1kjX0FY7na8/evaEFzz1pa946c89+ciX - X4lrp936RA9QZgAkMKDPpagqZS196rdKzkyI4ZQi2QMgA0MZKgo2hrGCQaqkhmJmZkIcLFIX+xN0 - zz36yd/+y1/91NYHd+S+ElOCcmzUWC0ouFYAax2dTMVMDOTdsovIuBQViSLBClKfc85KyaRAsrb5 - 9g+/7Vi+hwIFJi1G4YHv8nQKkDHZ8GKBEQwQoC/zY+Xev//ke7TpFYkED/CSnLvktE87hmLKEppO - +mO46+/+8XV/9s4/3B7f2zULZRVTqU3lk0KR5ys0SAUIlstiPGlJaXG/jdJ0Q69+zs0vfMGXvuT6 - 0eNGm1PpxpZCLokpeIgAACAASURBVMU4gTslVYIiqEVFUAQjKKlSsZr9BMMiTPZsxi8FroMRK7HV - KiQAwEiNtG7WjRSUlTMogzKQQWoEI1NWBUhlJod2Pms3Hnzq9z3339x8zTPHiw3puDGBQjNgaMYw - IBOaEbLuTmeuqxIYANmwk1r9L7bVBluVVFHm/bygKEzpciaBiWrZWUVIRPq0mC+2k6ZsfTuNO9he - jLbf9+n/8dq3/Pbm6N5t2SycT/rnhlg4Kp8pCQ8Ae79HufdZFrWmEZa226FRv/5lj/zql93y8rWt - I5PFRpPGrGG1w1XKhbORGmWjrFTzvdmoLubDA7Dxar+/bHhfMsYwUQQABCUUhoIKmxqpLo+FxFg0 - hjxu+9kjJjf80It/8iBdNaVDmAMZBw5QRgdKoMLDQcue0R4W3wALqIsWgaCMTKjxUYENU10Zedrd - eeJDr33j733svg9sx+Nb+biMYikFpYAKhCgQB+n7NN+aP9S63QCWx5ADMhJl0TCm2Wy0wcxGGWpQ - I8OFrC6rI8lhlR+O65bxTDB0Y3YwYCQKUru0y88XPGUoLddQ2zM7siYRaTSsjw5Ah97nmeYXD0cd - BiJQrUQrkVLgZn1ygBEFzIBZUdZCuVBSDLsVIxjIqK5pXNv2+zYsbwpoKUVVsxWj0w/yASAG5GSL - eZ5MD+wsurW1tX5b29KOFxsv/MqXPedJL17buoKONW0/st6G+uly76M8bBaU1IZNmRkZSEEKyiCt - pxJ1tB741piN2IhXR26kiVHqQMPtcJZUx2H/qwzvdseprj62PPg3QmFTzoVL4WLDTmEf44+h5ax7 - b5ez45Tb+veJV4cQlI0SKAE5irGaFUQdNXnW9usbfM21azf+4AtfcU3zGNtuIrXzeWa28UjIsihk - b1S6Pi6dOsdVs9lwoYHlpGOAH9RmYfUopCADFZCaGSM2ZbTRHrICISoXtCzX8asnv8sz1uEgRAmF - zTgXzsp19wS2wBpZo2gkC2SBTNhWC+GecSa9gJM73j1frgu51jNQsuFEWxRsEGVRZuPV0REo1x0o - G0QDzTl2o3F3YLR14Jabnv3d3/jDNxy5uZ1vyKItO+i3cWDWEqHPoIB6Zl//+VCdVwAnncgzluf4 - 0HrAQBYAZuVh0hmTgRkkRIQMLFjvS5/94Gfe+br3/HmZ9QvbNvSjwEgYt7MTW1uhbS9sljkHANAY - pZQ0mUym0+lznvOc3/6d3zh4cFb3mzlr/cRMvTBK27Y55we4v/1g5poDZ+bRaLS1tVV/mVJKKZmZ - iNQPB3i32znnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnvkDV76kRERHNZrMLqGjXC9GK - SP3qWb1PIpjh+uuvu+2220ajUX0UszIajS76U3DOOeecc84555xzzjnnnHPOOeec+yLR9z2GAjFi - jACaprmI9//617/lNa95TSllsViMRpNSChHVB3LuIYuZp9PpYrGIMapqCOGVr/zf3vu+v79Y91+v - AV6DQaUUZr6M8SDnHpBfMt4555xz7jIzMiWQYVmPq7VDAymUrty4SnKoNUoD6AKLZwzUXKXyMh5W - A8NDoK5WV0kZJWhpSw6mwYaKbW2tFeJCIXHouemk6aTpuO0lKCmo3zMkPm0YCq+UAS3Ew8CWWBNr - kpwkJUlZ+iw5c8mimTUJMkOJYbIcgfr3U5LUlV5JYxPGTRssNDmuy8a1s8d833N/8tu/+scef/Bp - dO8oLsZTmbByTkAgHpm0Wdo+BkQCJ2i3vzQpA2KICjEVBQFsGDqCYgWWyAoF5WjCvWEzn/hs+tir - //xXPn7sH9JoJ0ta5GIyojA2RAUtg7hlFWO7oPnrziqrEjOY1EyYJRDYEHVOW//42Q9//NgduemY - gSI5A7Tv86Pl6rRsHi9/E7hR6Dxsvvvjt9/X361NYvGZe5kxlDVPRqEIHcubaWP+jk+87rVvve2u - 8vE+9EpggxgYmZFhwWxouRv2tqWx6isPTUUaBgCaQQSKSITN+c5Ixof46FV47L/+ih949mNffLVd - j3s55jhqmiKEJiipDVvjmhAVo9r1rF1SAAQTaAtrYe2lPoVfhSLPsl0c/qeRGalSKVwKG6GIGdWA - J+csaV62p9O1Ga7YKNd92y0/estjv/Fg2cBmmfEsIpYEZBBBFQkggfKQt6zT+OzR6OHpKwFMSrqz - 2DEAUDP7PLz6tlrPT2tsKxElLUmLsVFgaUJo2axspuNpff6Wj/7t77/xVYsDnz2R70ZjSqzLmikp - pAQ2sOk59kdn+v4m5wSmxnpbx4FnXv+cl33FDx08cdXD5GoyVsqFYcSMTEjGRbkUXrZvqRiV2r61 - 0yYbG8jsrEvBRULGokFURGuTXoFCyKAipqJcq6gGLqQ5zJXsmgOPurp93Pc8/4cP0TVNXqMeoxC7 - eQ339krZMIS6WQM0KLESA0waRIMYi4EIymrcF05GCghpwwWkoMZ0mu6jf/rD19/2wbvfaxu5Q2fC - EgyUE813yjY4NHESIJMweoi93FtbrWonNXSVDSOeHV47SoKCAlMy239GFqvF/9RfKZiHejTUHnb4 - qohoRfes0e5sar17+QdiJYA0axayxsKh2aFgFJhVT0+t786I+n+ZQAQUQCmiObxxJHIMNLxFYZYB - VRRddruHuWmM1bHog2CELnfZsqou739Ay3y1dlgbCSHPu/loduD+4/NpnIzyxvO+9Lufce0LryjX - yX1ygA6stVO1UqwnFEFPu/vYYQe1t2ZsEIMYokEMQa0xNOdza8RkRigYtoSaGZnRM/fMPVNi6gW9 - aC/aBcsBp28qz211YAACmdFJ83rogg9nEJyUioHPf/wVodbWT77lsw0AwxpYZAOjMDqijqgjlMDR - ckBqgk1gMfUKYK09eO3kCd/1nB+//uBNsmingdhUUwrUESUAMDbbu5M4abNjpKn0OkSgqz2bDtIH - fSijQFbKRqowJgmluWL9YVRUAqlmu8Dz5WFs2XiVrK4xbiPosMc0IyVoKNRmbjM3mZtCsXBUriFt - smGoi6qR7ntsSAuXwknrQLsDU6qHqYwcdBiiqmgg48KapGRJhQsZQsGIwyjGPu2EEGwerp0+/vu+ - 5t8+94ZvP0LXrtGhiU374xkJgUAMVWAVH6dTa/X14IesNtRXbXjeHeoT12FPQUZQEoMIUsSd2x94 - yx1/8/7PvbvMOglF+26tmeZ5klFMfIZDEfdFzeru6XwogPl8rpZT6jY3j0/a0TO/4itf+9rXXnfd - NU3DRMg5EwHQlLqum1/o62lnQESllBBCzrmUAmBjY8PMVDXGWD8rY2aLxaLuHJ1zzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzn1hKaXknOsPIgJg1d4+i1O/j1+FEJi5fhNNRIaGN3DVVUf+ - 6A9+/6orjy52tjT3a9Nx13V93y+v7OGcc84555xzzjnnnHPOOeecc8455/YnhCExk3OuGe+u687x - 91eX5X5gyyuW/y//63/6h/d/MJeyvb09Hk93ukWX0940A501tuLc58PpS3XSsr2Yt23b9z0H+e+v - e91vveZ3S7Hhb1/Qfe4VY1xdqXuxWAAgIr80t3vI8nS3c84559zlpUoKqGgQDasUlhKUrageXb+S - usDGF9wkHVqAyz/t/YaG0pDmNKrZ1AIoI9f6I+9mpLU24WoWzgiFKDMVIiW2PeXamp4+fTDaHWAC - izBRCMCrv2VUlkVYGNgQFMEgbLX7Vzt9ZlxqYpADKzQXLUpQ0SylJ/RN2Np44tXP+IFv/snvfO4P - Pbx9dL6Lx2m2FibIllNJRbPBGCIIBi4PIk5aX/hY1cuEjKGkCVlZCcIECNKoW0xO/Mb/9V8+es8/ - lLXFJo6VmHtLSrAaiiatUfMLHQ93LiJiZkkLgBCCiBTkHju52XnT+14nU0OjSXsAzNC836VhWSel - oVVZy3NkMDNjo7F9+vgn3v+p9+bRolgKIqcVf93nVdOEnW5zHrbywcWb7/jb1775d9PaNqZqDKNh - Y1Vf9WFjsnDe3TgAMIABK0PFtiUa58msP/qtX/09T73qqx5m18bNttXxqGlKSSl1xjVruOx/D9tk - AtgQYAKrSWNmIzbm/YzMgzEEFI0BrkHuZYRy7wjYcqt+0kvABAVlCkRE995zgvrxOj3sBc/4lq+7 - 4dlX08NxnBudTmWSF4AiCPoOHIept6pZn2714nJdgwxQUjC6fm4oy7912V7fUFURMiulJIOSgAN1 - usihK5Pu7z72pj94/W2bo3vTaCeMDVAjGLEBMLCF5Zw9a+qYaAj3EtHeQnkbJ7bFs7Tx9Efe8q3P - +t7p/CDvtHkBMt6dO2TLecTYs5kCsNxvAwAZ8TCXAVLjS55eZiibBgUZswVDVEjtv+9NGiu4sGZJ - Rtod1wN09JrZY7/12d97ZXzEJK2X42XEGBr3VMPkABgWeDkRABAgQ1R1zzPnXqkAxsaijWgwsyKJ - DvT/vPPR3/1/bvvIfR/cbrZSnCMqSQmNAEglMzPZ8JrvQ8rJaw+zAVAyBGsPr11JREqZCKx8rq8D - PzCtkxpQsuUqW5voiisPXsVZzE5aUN0Z7ZlAXLf2BhRS5VxgATILk3E7gTEBZ5plDPDQ2LXhz2TM - JqSysXaYsdqFqZktu90KAMZkq3rpBS4NZmZmgNbtUt/3ZrZbAadhlFYCI/elHUWo5XlanxzKJ+Qr - n/CvvuoJzx/Pr7J75QDPgmq/mEMgscWwW9E67D1/4RpXrm3XYQgAM3Yf9Ny3NT98yt0aDQViowAE - WLB6rsAoF7A47y1bkw47rj1H+0rDgcdqHM5//PmkA4fd29UZ3CnDciREiZfTUMVUkClnhjIRM8O4 - KHIBcuSt6dXtY77zud9//aEbwnwUlRtGygpkUF6mmofF57Q3gDXl3nZPJE9fyPa31HE9NDNeHUUr - KWBKWsyIREp7ZO0oCtUN0QVsfpapdV0ezOxW54cxHu5z2DMKCltmy4zCNc2+fCP8DIf6+9+ZKqgQ - 12HvebTujuKeYfcU3PY+lhK2+zmIZtMDeQHaCeNu7WC66huf9uJv+Zrvkq21Azja5KmkMAptt4Pl - xwlO3p3seVJ7pkY9eQegBuweLVuNdkMVZib1tQOKYcKLdvGZ9Mm/edeffq67s49dQenmmzFKMQOf - 1gl3bj/aUS1lx7ZtAeScH/WoR/3RH/3RzTffbIYYpe5DR6MRgHNeEmV/6l3lnFePC4CZmTmlVEqp - x0Kj0YjZ34R1zjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrkvPCJSr+YsIjnn+q3qsPuF - zPOlqjlnZhaRUkq9Ru3VV1/xO695zWg02tnZGY/H4/F4c3OTiGKMF/+ZOOecc84555xzzjnnnHPO - Oeecc859cWDm+lENEQEQQriIFyUGUKsBP/7j/+bYseMppZTS2traORPFHqJyl1+9dDYRtW37kY/c - 8fM///MxBuD8w/UPIKVUH6WUEkLwaLd7iPOrxjvnnHPOXU5KMFIjiIoUwRBCVCOoWDFdnxykLFAm - EPNJGbjzt6yr6ipMNkTFDIAAgQzLNnYpjLQcCmkhLaw1xWekQAYyIxESIwG5EBcKy7qYFJJCtGeQ - QqIIw2ANaUs6Jm1FoyizcY2/iUEMQSkUYY1c2pBHoTQEFevFslhh5GWZDGAqZrmYGoEjSYPYioxJ - 23RM7NiBZzzqeT/xov/4vCe/9MD2RjhuU42t1aYySuGSGEDgM/XVzj2/gDL0TuvU0KGHagYGCUBZ - NZsZ25ipyQH36omyMf/tv37Vez7xpnBkftzu6mWhu73bGp8zI1avOl1sIlJgimyilkvpEzRnXtyV - P/XBT7+ncJ+zqSoLgjQ4NU78wJZNxN36o2hdzIoiGecki9s/+tatcEytD+ZhususlNLJfHP0mffd - 85Y/eNNvHo/Hes6mQ/rQCIVQCAqwIqiuqqhU+5SnDACw21MEUDJGMZQOLbAuh5v5ge9/3g8/6ciX - TueHeLuNaEWoLwul3ETRlPf0sGsM0pSgEFiEtbAIi2RMMEICdZf4pVUFqdGwm6jdSjYmI94dhoCm - 7r6KxgVSqBa+64aeG2lObG2NDk476gAd99Pn3vjSFz/5uw+Wh2FTprTWamsLjESEgII9a4YCqnsq - lWdfaZTI+tKnnEmWG+HPi9XEWQ2qtdWHEEVRurTImnpblFl6151v++M3v9qO7qSQtucZBaa13q2w - AGtEQcjKmkXP9gRoadnKBQBSarkdp7WnXXnrtz/j+9vjk9A3cTxaaLYaVUVWKomhxKTCSmS8GyVd - FtnJiIY5O9ChgX3W8blIk1GjddE6UVZrVacF44JGiQmFLIsVMQOpkWXRwgrjRqejfv0xh57wsq97 - +VF6RLM9OkBTURihMLJoIZR6wDOERZVM2ZRs2DizwmobnKHSK2UArCIa0GkQ3L+zzetlZ3z81X/1 - qk/MPzqfbm/i2HbaNCttbKFUSpHIdim75hdMaxWeGMsKOwBK8fCBKwtMCQISCFk9cNnv3df7rD/n - YSoaqB4bMWBx48ARK2JG4H0dXn3RIaAullTL02AjVmIlcCDVzEpUbDabmRlR1LJnfhnvCeWCCESA - gg0CIWMUrE3XTQlgGJuRkRIb0dBUH7Zgq5lJ5ZTi7/lYbZyJQGQpdUZ6xjrpsCiI7CxguYyCtGpx - Tk+9/mtu/ZIXjdIRXrQRsY0h25bJgmKzSGbY3fnUUHTNdXPdcAEEiLEYB+WgEMv7GxQMsLIYs7Io - h8KhSCgx5hhyFI1SImkclvD9WJW6V71nRq5nMWTg4ShCanG8PjWC7vMpFEE601BOHwjZyArBELSe - +mmoewQtPaEj7g092ELTUhgXHY2wPkrrh/CIb/na7zkarh33a0iIEYVRWBWA8epOlnNa6n4KQN/3 - ir1JZq7B+At8J8K4Lgo1cj/8jtTIjAAwlbDWrouEupMkkv3NLz71kGO5pJ3cyAYAJmU2BXVMC6YF - qAN1hAzkU8cabOCTVtjzHB+woTG0iqiIpQ5nOMuuAwpbDp1xEkPMoSlBVApzF6CjuGV50VPEdI3W - R10T52XchSde9aUvf/6PNvONA7hypGs255FA9zwDA+zkmVWPk4eCOMNYlbItDxiWp7U6dOUJRBSM - KFsppTCnBpth+4P3vPOv3vlH/ahbUK+h45D6vv98Hb65fylITxk0FyE+fv/9WkrfL8bjlqFHDm28 - +tW3vexlL0m51LV3sVgAoIt6Ol6vutJ13TBq9YSIKMZYr5+yOm7v+/5iPrBzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzrlLrza2VbWUsr6+Xn9Tf3lOp164RlVFhIhKKU0TAMxmk1/+5V9+ - 9KOv39g4YFZilO3tzbaNo1GzWOxcoqfjnHPOOeecc84555xzzjnnnHPOOfcvnpnlnEMIq5+xvHTw - WTDABKZzXEF995r+MAMMd9917Kd+6qcNnEvpui7GuPybey6e7NFud7msLoy/zE/Ua2V3fT9fLH7h - F37h/vu3+n7PVen3LOGnW64d54p5iQiAlBIzq2pd787YrXDuocAXTeecc865y8ywip8FGNdmmJKq - QAmTOA3Wkga60M6QEYZz8r3/3EBa03EBJgCTgZEBPcvpe425KttwK7WFuXwKNU5mYFtlI7Eb2xui - ZUPz9STLACotByZjUWYj0WUNrpbuSMmYjUWZjLU3ttiENnCsKc3Sl5SSiEziZEbr5S45ML/iJU/7 - 9u/9+h969NpN07QhacQamRtwSFAt+5+mNvSZC6MwimgRHfqdyYKiAUUQmxpKQl5YmRtSxBaf2Iqf - ++PX/8b//MfX04EFRn2RglrGBerTLEQ6/MJdNKpaSoLAAkopZIhR0OS3v/+Ni7hZdNEKRFBK1qSR - 4wPf4ymGBuaQsqsZTjYGmwlKUW5xx70f/KfNj5lkVm93X05K1scOs/yJ4x/5rf/7v9p6b7O8kxYk - w5ZGl+lugMVqZfN877wuABJDP89NieN0KJ5Y+6GX/MTjjjyx7afTMLVsXUrFNKXEsHEMDXhVJQXA - piBlGNtpm2HKoEx4wK/wPXi7D81Dt5tlN8/JQzXTGGBdBaCHTT14Wbtc7MzXN9b6tNVGoc7GeTbe - PnjL47/h2V/5TTNsLO7LY541aDWDDfWbiboK0RLO9Doy1/3ULjJiMytdP7/srzszs1pWKxJZUQpK - M25kivfc+fY/edNvd81WCb2xZQUF2hMHZTYoobAm1lKT6Wd3Sps8WMS99NSHP/1bbv22djENqYlo - iCQ0cShrklnNbxuJBtFmFb7lk3PsMjS8V7Hn1XBJDSscoGwECCwqokEKsbEZqXJe5Y1ZuZFofRnZ - jLcmN15x84u+6tuOyjXlOKQEGAojM1SysYIykEEgAJQJmWtU1cIqwVsPa4wzqAcZGRoWFEwmlGPe - aU7sTI7/5l/82j+e+CDWgRb9IonxSFoycCvUnP/m4fPhpFC2DS9vKxWQUorr06MGVoAhDKEyTJsL - RACBMMwaUzEjWICFyXgD1pzrvSW3tEw4EyC6LMsbQZmMjNRQsD5bB5ggZ0j/LnvAROD6Tpwxg8kY - KgemB3h1hE9US8ZEREQwIltutG3o7IJOrQ6fDwV0uUallIZt1BkT4MadahxzKYWSTXW8YYdffOvL - NvAwW4QYo1LZypuIJm1TlEquZwR1GQNOWcJPue89hezzHIxQGIXYqG7plKBiELOoGguCIiguNDQN - gAuFVaR7uRNX3j3xAVsgY7ZAKjQ8wfMd/92dRV0wVrfnSkQrqABqgIKMWCkosYmQBABFk5ZEpkKg - rFQw0radz64ePfpfP+f7u7vDmNdgPGxp6+Zk6FifUnhWwPp+ccZdCS9n6L7t7qcYgJEpQUnrYsIq - TZiOm/EFR6CVa2pdjZR359EwwsNZbp1wxgCUtEgurEaqDGWrC5WCFQw7xwJ7PhjDOCx3zRjmct1v - K1iBQlwIhUgJZHUjQmwCEyUuBCWN48hM8/m85aYsctnJR9avzFs06jae/PCnfc8LfjAuplNs2Fy4 - RDltt6D1MAGAYXWoXJv0heutGtfd7upzAMNyDlNmZqZiWlQzcxdyni3e/bG3veuOt/J6xgTztGia - hrI+yOnlvsiZ2c7OzpVXXmlms9ms67rFYlH72a985Stf8YofCwFNE4gQo1zEVHy9SEr9BEBtddef - AYQQ6m6xfjIgpdQ0zUV7YOecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc58X9ZKyzBxC - 2NnZadt29ct9iTGWUmrAu+9zjPKqV73qmc98Zkqp3m3XdSGEnPN8PvfvoznnnHPOOeecc84555xz - zjnnnHPOXTAiqt1uAKra9/3F/TAGEYlIjHL77e/+lV/5FRERkZTSRXwI5y66vu/H47GZ/dIv/dJ7 - 3/s+ADHKRSxrl1IAMHPf90TUNE3OeXXJbucearym45xzzjl3mSkpAFERrV/PGApnmQqY2jAdy1Qg - WgxG2GdqyIYI2TJrR8BQTeMhmK1CxmSEZYNYDKu6pxiLcdA6ICjiqbdD0nt3sGFgKJlyHVAHMIpx - Zzw37oxSrYrWGlqNPgI1P5iJElMH6g1IJAYxCEzIRDTGEkdox2iaIugVfQ6KceBxGxZlU0MfUNZ4 - cliPrp04etPsK374eT//xCtuOdo8xrq277JSQYAFGMu5U6mnYdYxbKTgJOgCekERgBAKtTm0fdP2 - QZRBlkPqYkk84vHals4X7X3bo0/96Ztu+/s73zqnY0r9al4AMKJCXCjsbwa7B0JmZkZi4GJmbYxM - uO/+u9/5obfpuGtDDMYUoAQthSH7S8cRgKFgt4pyiwYyVjYOgAFStujYuz78duICfbApP/dgKPdb - fOzOEx/5w794TTNDl7fN0mQ26tVqNVlP3hDRkJysnchhq7j840lWc18lG1HbbRxOj/jRF/7sde0N - ts1Etqn3d822zGI7nbRhrJ3meRcJooE0kAa2mgjNhEyUiDqijigRJUbPprzfrf+FIgzb7aFbCezp - Pa+qmcNQE5U1GMkWYKFQKITY8mLnxIRA2900j2UeZ+0VaRGfefOtz/iSrz7YHpHUtDzWzhgwxclP - Tpfl6ZNHzLA75RlqKGZG2J7PlUD0IDrE5z9xztIcrY9uZvU1waZpUkof+eT7//gtr04bx/syzzsI - Fmezdl5MWgbACrEelAvnzMiCLLsL0unMzAx1AMDMI508fu1JL/vq75zKNOVOGiHhfp4FUUkT5yxa - WMmILbBGKZFMyIYK+96B99RebThyKEZ25gTvRWI13E2oe3yxwgbWqNZmij1TklI4gUpQalMbc9un - eYhUOhuXWbu99qRrnv7cp7/oAK4IeQoLZWjxwkgJKljGkDEcVwCABcUI2gyLHNUlXpUXxr3m3ADI - lJP1PP/09ic3R5/7/b999Sfv/0QzG0Ua0f/P3r0Hy5bVdYL//n6/tfbOzPO4ryoKCiigeEiVIFAF - FDI8CxFacAQNXx3YTk+MhoLRY0dM9EhEhfY07X/THa2N2mp06+iMitigiIgtKPgCREBA3lIgVdQD - irqPc/Jk7r3W+v3mj7UzT95n3XPq3luP+/tERsY5efLs3I+111p7597r23FU1tzPUjdNM72Y62d/ - 2IZQXhgruPayGGFz7RCARbAykYVFbbYnp6bwEkDGpENuN1PbxLWACIpldYd1Z7bY++q2sFDjvBVK - ZGbGROPxmFlUa/b27vrU1RpSAcAMPMSBExttTA7W3O7dNsuYlEiNscghtmEPqL137LGVWda6ClNY - l3o7eyCqETJxEWpjExNPuvXXvuB7j8gjeC6sCaHL4500ms9Qtud9ybQ+WhdDNBPT+hiCgUmBWnVw - IU7LB2Ovj56lZ+mZsqiyAYXQCTpGxzQTdPVBSHyuuvmsy2sIBUFXegi7R2EAG5Mya+QSRQNMyl5m - vhAKSaa4VLIQUwAAIABJREFU+igUC8W08igYHgYmqFgGZVApbD1Tz9JTm2WceFzQwhoUUE6hnzU2 - E+umx48fbK9Yz1dcs/HNL7/ptREH+syZkQRKaqRkLMY1jLxu/HqoamY553OUh32kd5Mxa6j9kJWk - dtjQURMxXlvb2PN0VxidFDxfj4JFh/RuMiEj0aErmJk7qQ9ZlKVQi2V9LHfYoYO5F2waLAfrF4/a - R8g0zEltputOHAwBFpscQ4lsXAi9IDGUlaDzrWPB+is2mzQ/HhuZrG987etbByZXj9NhPrF+9drj - f+QHXr8RjwQdRWvFwuqmUQJskVpel2i5lU99qNXc7sXZhmCgDLUMATErMUg4RA2EdX3XB3737v5L - J9J2aEesJRLtM9DdXT7opFbgFE3TMPP29jaA+XweY2zb1sxqO/UTr3/DL/znN/cpS0BK5QLO1PIj - sDgKiDESERGZ2WQyiTEC6Lqu/uCcc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+6hpd4t - WweTJaKu65j5vIeU3b3btI7OHAKrFgA///M/f+ONN5ZS2ja2o9inuaGMRiNmFhG/Jc0555xzzjnn - nHPOOeecc84555xzbt+spnoAqsrMMcYTJ07cV5TJckRxplPiFRbDbq++uZSSUhHBf/tvv/nOd76z - lCIiZ5jqWUZUdu4iO0NEyGQymU6n733ve3/jN95SCmKklEoIJ6fan1baT94jzpU8UsflzjnX66zm - 83kIIQTPX3MPUp6j45xzzjn3AKuRmYW1DPHZQyAZKQlCI00rLRGfPf7svC2mcFIeKg1ZwqvJnXTy - MdEy4W/xzt3n1R/qtLFy+8hyioukMa0LplyUy0rm5en5kTX9qwCmBICX4WHDm401qxUFLBBHEWFo - Lt3OVFjVOiYLIJtq6EaHcPXBcs0P/7OfuPlp3/m4yTeN+wOxb6JGM5SSh4+m3YetJDEvA2vrdrGa - vWZ0euB3YCZjFFWtMb/gABKAed4naVEC+nb2Ddz+zg/93ue+8ckUdwqnYfUCZHbqutxdM0w1GG+R - j17PsCjVHDV3dqQiQgwFVGGmkLKV7v3HOz993I7NMKVA023VjBC5aRq187xF6kwfZbuxvkbIChIQ - QVXB9vHPfnQqW51My1CedVn+a7lTUqVhm57h/Js7i7oLECA2JCMqsSLAIlkgAKRKWrgU6bpm516+ - 69f++Je/Ue7qwpSjFk05dVIj2BcVEdmpu+IikhBYyZusVZMt0hlrnZCnOCBH1vKh17z0Bx638eQ4 - mxxqj/Tzwswykr70W9PtrDpuJ0Kc+wRg+NDdiStQVp9rqmqNlLyY63JYLJwjYNJOqdqXoebASrS2 - EkAqRCi61kxYWdCkvkiJvNW88qbveubjny87a9IhgpsoTABgQBbNooWX23R1t9JhK9jwwQQYkiHP - 06y+OjQxF1cNvd1NuK2rQLMFlkZYtc/U5zj/wtFP/fe/+p3j8vV7um+EcWjbtpTSdd1oFOZzpUVo - LkiXzc3qp5wSiqkwM2KTWgwFcZTX17pD//zb/uXBcuVYJ8isCmMCqaEYLUvqUKSXXYuB8elNP7Ca - WnopGpfFstfoVSUs55mtxk4TaoGUEkQ5oNQE7iAjSe2kP3DTE1/ygiffPOo22zQWBZY9HBqeFut5 - aD6N6qdyXQPLPd0ISgqBGjQbG0Ro84rmGL7+1dmXfvu9/9/tO18po1yQyBRqEqhtWxsmy8Oq2w2V - XE24X67PS9peKw8zQ0BEsx7XGQzArFYsIDrTVzj3ZeigLladgY1ARGQwM+bQ0ihwK8w1xt6dmy0K - ajWE8ppRkAITjpFGEU39bg8rDdBQdEmxSGRHbTBIAQQ06+06nSGdnU6LE16tzPbcxMjii8ZMubc+ - ozcUVqaVWVosqUKgCvRou8lzrn3x0x59U9tvhJ6biC5vJZ0RsyEEGTch9vMdNt1d0lMbX6DO+qJ1 - tpX1eZ7PQ+1KtRs+VMgY1onR0ARnUKa9771kIOhJ/3XqV00go0WXngDWRS19fs9sWIa+D8/DfmnD - MwAFKw3dGzZlGJnVqtYIBi7EatACIhEOgYVNmRCDFMw3Nsf9tMOObJYrX3nDax4RH9emjdUtwnZK - n0HrZiqcE/rdcHoAw5KakgJs9/EV9dlW6ymt/KKEq4EJ4LZZY23YCHq/DptXA9eVAAMZLTs5wDLQ - WoyG6rCWIltpak8/VDx/BNTtNWzmxfEFY9kXZaw032yABTJWsNJuH5YMo6aBltnOdDSOpaTpbLa2 - vrEz7dDJGjYnduAxB6597Qu/7xFy9SiPbG61/OgiZn6xU5y2UKs9odX5XghEKFCtnTld/msq/QzT - Y7jrnX/9Vj6E7bKtlhfrlrW2xYvGi864JleOoZyrVDXGyMzMTESqWl+UQKUUM33Zy25+x+//3oED - mwTIhfumvl4KICLLkVZKKbZQXyGitm3rny7YBzvnnLuETjpjUw89hl8VIJiQCcz8UkjnnHPOOeec - c84555xzzjnnnHPOOeecc84555xz7mGJiOrAzX3fL1/Z33QApFQM+L/+7S0vvfnFwhCilFJKKYTA - zH3fp5Qmk8n29vYFXATnnHPOOeecc84555xzzjnnnHPOucsKEYmImTFzHay4bduu6875T3sYVbLm - gocQtIAI/+bf/NTnPvcFVdT8C5w8frhzD4SV8rwok32fb7/9jltu+WkARCAIES2vidrzZE9TR+eu - Wd2qOhqN6g/7mX3nLj6vpp1zzrmHp3rVvoioaj0sfKDnyJ0ZD/mdmiWlkAwMi/X1mClmIjURwZAr - eYaQrHOjk6JPARuSthaJmFZD6WpC9hDPTYv3LB66CKc8/VGDylayvZlXzwgsTgrUyFWr6V/GbMRG - K4mhuoxlXXwoG1hBRsQGNt3N1xxCjtWCFS4Fpf6qRmCOsQ3K0Ui1FMtoRIVK5iZtyD2TV1732h9+ - 0Y/feOQF42MH4qyZSMMCMyMSNkSBZhQFNZSGKA4mC6INacPGRiisJh0oiWkoiAWxQArIkFEy5xRK - kVJITcEJUgDrA5toa8pzQ79ud4bb/5/3/PJXui/N4k6RpJpFqAlMWqgsVjZAxmRMJmTCNWQUxiiM - sgjeY4N4eve5maZGQk7MGDdNM03H9eDO+/7hT7tRlyNmKTejQCVohiIp0l7T4GqenOjwYINRLpxN - kAoMEG5Fm1nq/+rL77t37R4LbFkKEiSHEAJHJBOQkWVWJTYMm3sRq+nOalEFcVANamKFoAY2RM1j - LuNgFAQUbI6dMpl/Xf/pv7zjP9wTb5utndiRbQs5BIYaGcSG8O+ao6mLuoiNyXQR8FkTl5lskdfL - o9xL5IkVZAMMB8uV46OHX/38737Ko66nntebzbRTRjIRjUgAwJHB1pVsJiKtLqvHlapvSII8+XlZ - 315UvJuyOcyYnvwYqnEo2+5j+f7ln7SARIxCV0oWZCrKKSJsdIcPTK/+n2/6F896wovGmHDJoRQG - SkEGpUC9IMOYuTFQqo0X1z2isBZWgKUEZERmBkjydj8rBCFdxrdfRBYMYWj+SBlam9dRiHmWRAuh - aOjv4Tv/61//3K34ZBc7iUjczTElUSFolxtCLUI1sLpOYahDDGxDMciCLChSo7shYWQdNTQW4VDi - 6NjhH37Z668aPz7mTZuNJnyACiwniVQsAcpGpEIqbGykykk5GZVlczzMwGIrL8shUDNfCaCLe2Z/ - 8SmLQq5GCkqMRFA21PkHxMCF1ThHZuQeMXfoQML9aGPnEa959uueceTZciyMEVkBBRFKLQgGGKw+ - ahGlzJQIeehk6NA7UkIWdAEpgogiQkgo856aMhsfv3X6ibd+4P+9N9w5H+9M89ba2obOcl1bQ3eF - WMmUEmr3wZg0kkYyWYSPnpLkfXEpqVExKgBIxeZ6eHxYOuECNOi5I9nzqWpdKTZYZKJnRmbN6CUU - MS3z/tD6IeuN1GQRu+7OyIDMXKj2olUsCZKYiYGI+lQoSFHa4E3MEEWKdrvrk7TG0tfOPDNKgTEy - aZZijCa3R9orybjWyYvHYqczBoYSsmh9oiHudX9niBVEcClJm3Ssuzc0DC1REQrDUBZHFsORhBbp - y6SsH8BVr3zODzbbj2j6TVZRm0nIjMi5jbYmGlC6IDq0x7R7kFGPLBa/K0GHZ+hQaRiz8fk8i0Ks - EAoBZBKKiBKMC3FmySxKVBiFUcOLuexmh58fFevZ+npYZKhr42RkoGSUCpvVfeq8558WvcTTH7x4 - Ztt9BlRpeWBFZMTKbBpMGYUokfWGbKTGUoiSmQp66y3oJLbNCT7cX/G9L3jdeHaosQYFYmwFsMSi - RQGiwDA1gZEghXR0fjxwE3IgYx5a59rQYJksvifGedkPIQNAbMxGASakuXRKmLQHUZqGRGj3yPG8 - P2DocgDIooW1cFbSoRgQYGykhVU5gzIZWJn1pAK53AR1zS8mvOf+mwF1ey2fz1j+lw9AC2sWNaod - s9oyMRsXMyNGCL2qMXGgor0EMKPk3MooTOONV37r9930ugPdgbFNVGNmVoMBzEBRKxoEwCIw2046 - vXDSqQYDbAg7VyURWEAClMBQKSUWbcRmaSdv9H/7Tx/48Ff+wjZnmTIjsArAmTWLKRUyE1VRYLeR - ZQIIqmRG5undl51TTjGd/CiKojBAzWqctmpmRuk7IWsCk5WnPuVJ7/zDP7j+qU/QDAJiYCFe9tCI - 5NSuGq08zjZTZgBKKcs+1fKHGukNoKaJ1x8A5JxrpDeAlNLqvzjnnHtwUrLTa2pbnHsqGsRGgEKT - H/8655xzzjnnnHPOOeecc84555xzzjnnnHPOOeeccw9py7u9SilY3AK2GtS9vKfsXFNhA2loBKSA - MgOAiMCMgBjwhh//kR/+oX8eAwUu0J55uFHOlACEEObzedM0F2kZnXPOOeecc84555xzzjnnnHPO - OecuE6vDAgMIISyvAxmPx/UN9a8GPeVx0oTstAdpHbVYJJiiZLzh9f/q6L0nsjKHkRnlnFlEIvf9 - nPY4ur1z9xNxMSQKqmZmpgpwU0z6rvzrn/w/ThyfwiDMfZ85COhMJXzFGfeOGGP9ax2Fu2kaMyOi - 5S623O+WPzj3YONF0znnnHPuASZ6UigpDWFmKsZciA3MXDPK9poaV+3mdgPLOCLlZRaRrhz9MHCG - NLXTj5XOdNC0Fyup3ud6F878tkX0+MpjMVmyGuQWgGGVGhkZQpEDfHh+V37Sweu+/+bXfetTX7Ke - D9EscsdEpCgA+g7jFjFiumWj0RCXbuDFqRHFsIK0BraRgbUGjA9BZfUTC2uNcx7SWC2LZQAKLozE - mMt0Go+//f2/fUd3q62VjlLOue8zcmmbsLuYhFOiohabcsgxu+i5qg8LqlpKaWObUkrW0UQ/+eWP - 3tPdUTgVhjLqViWDkirvN2fFQIAYk7GSGoEIOUOidF0HwKT83Rf+phudmOmcg8QYVXPf9ygIIWDI - lN3NgyGrm9hPpZ0LLyo3A+tJ+wqHyKpaiqWUEjLatC3feNv7fueofW3KR/vQFU7Zcr19jrHMHdyN - OK1xiGdAipq4TKSqHKTrusloHIG2sJxoX37jq575pBvXbK2xkfVAYc7CQ6U3TNxIV6IcTyt1xqc/ - P1BFwZZV7hDOjXPGEenuX22ZtzrU2ASMaUOPy5oeePWLX3vV5JoNHJgdQwTFGFhijbJWtdwrFOPA - vNr0EYxqrCYz2MwMKJTnpcukuCRR94t48uXSshEApK4XIW6iNmnWHP21P/zFe3FHN94unAEoqVKu - MZOrLfKygO3GXu7iU95WSmkn48CBZjJKm992w3c8/sh1Ma+xRilRVBaZ67sZvScHsWejvLqB7LTn - kxf1vJrp+2sZuzhYDYZfBMkbA6j54hgKQy0pIG1inoy69W97zquvPfxNsWspIQpkkRptwEoQ4+76 - Yehu0arx3kDN1i21+a4bBbWZ7Wfx2Gfu/MifffLd88mWTsq032qaVjulk+ecoWfdnc/4p4tLlRTG - DEQ0kWNE5GF3htJ+5keXadzDjl17PgANlacQkzEZD5nLF3yZHl50aLlqR0QZeVksbYjk5YhGNDDU - qPYKeLnhaGhNhqkRwQjGqijBYmMNDz3Gk7f1abvb8OLe9/dav9e9oLD26IwyyGglplgXux4BDVHL - jW7jhc962ZodHuOA9TZqmroErAKE5UEQhoznc7V99W91MVd+Pq9nAITMyEOJNR76AkSFuBArDY2X - EtiI97p+CDRUOoxFF0VPrvbrAQUo15zmPc3/bp75UFvuPi/Wxmp7rRiqumX8M6/8ew2Z1tW3GQHC - SmpWCGhKO8qTR65d89zrnm8zHgdik8iiMIUao8BssbWULIkmywBIw2LFnUf/6lzrc+g77b5gAGio - qKFEZqSCli3AbM9HzIs6jbS2OCi187WoM4dlICwnTSdthdXNcdpOty+2O1Nn3gtWPxeLftopfwJW - O2PL6SigoEJq3Eubxk03evpjn/myZ78qzMYjmeReJ5MxBKnXIBhF7rtTLxQ4Q2736qwPJzTYar1U - ryFQiKGU0q7JVj/Fur7nQ++ax21rStbdUSrqVmYorZz5sMVyObcnImJWcu5FqG3jlYcPvfWtb3np - i5/HjJxVbfiOn5nN7IJ/hV9KEZF6rcBoNFpeqRNCqCOzxBgvxuc655y78MiWh0tKgEAVzDAiipO5 - Egg4Q8C3c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeceerquq0PKhhD2E6FtBqJT4r1LKcxg - xvd+z3f/5L/6CUAFRmwh+v1lzjnnnHPOOeecc84555xzzjnnnHOXSCmlBgPNZjMssmb2MR0WIeac - c84ZQCl222133nLLTxNxzplIxuO1nHMppWaEO3cpMXNKPYAQQinGzGZglje96Wc///l/NCMA+yr4 - u1JKdajt+mvf9/d7rp271PzSPeecc865B6ma7gOg3tfhzoeBdYgGEwUP4ZRUQNp384PrBzjzodGR - V73oNTff8B0b+ZFhthYoEAHU5B6woHNstLCdwgoYF9YsWqRX0lAQ8t7y08kQlGFm3BtnFHAGkhXb - +dzdH/ujD731q/nLeT0niqyjJrSlTwCMrMaUGhUjMzIlVYKBjBgINTCODLRIK3RnZSIkwgZKPVJu - ykc+9aFZ2QLlRQomQBmkoL0HZdvKvyySL7nGeBPMwGzKCZKpyV+589Yv3/1Fa3qVrKpkgYzNzAiZ - Sp0TWWZRUw0IvDDr4OFLxZQMmdGJ9CyFIAZBB94uYWbcSrMx73ds3P/5x/7kk1/5yE7eKsWIUOtX - 1Xrv21lXdM1VHVIJh1RUGEEQoVR0Hhs1Tjtbs8NxE8dGNz31Bc992vMOxCOSm9ZGZV7YRCRgN0HZ - agKxDvv4ZZTuY0CXUtOEiHK4OfBdL/yhUXf1gXYiBstsBcgWFG1AYGiBGtc009MoADNTgpnN5/Nl - 4OjFppSV8iKbk42gEAO346ZHP+O8FWdv/8Bv3XrvJ3dmWw21+6iflQFSgoYcYoYo2BAlzuc9jbA9 - na33h552xQ0vuOEla836RVjEBzNWEoOQ1aqyBgabET/hUU952XP/WTPfWKcJEuadLftNdUerbajS - 6q8n7Xqr4aOFUUhr9csKVhBKZ1t/9cn3/PXn/vxEe9TWbN53o7DOFmpMdc0CJwtkgYyM1LgY1xac - DUxDtPwDs7/LwtmruvtlOVnvr14QRGQ21B11lZrZubcd0dCQqaqZEdEl2Ra8eABASqnAVnOOKyXV - GmGtFkrcHF1x49O/lcGmGWSqCouwOKSSUw/KqCHT7gFkzEYwMTMYk4gWOzg5+Jyn3TTWTe7bUszY - 6vZm3i1stV0GtH5hjEvVySEiIgohnKNH51YoiTIzOmloXDQ3zejG626+6anfTsf7Q027fbwja2rF - kpPK3ndHW4mCXySsA0DTNCkXE1Cw2+++/aOf+jCPLfFcWWG0yPxeTOTy6yq7C4uZiSjGmFKKMc5m - s/F4/Ku/+quve90PAmiaIEI5dVEYULOC1a6a7f/QoqZxE1EppQ6wUsdqKaXUmHAR6fteVYkopXRB - FtY559wFV49rRCE6/FrP02oeDoF3TI9pmo8FDOyjw+Scc84555xzzjnnnHPOOeecc84555xzzjnn - nHPOuQcNM2PmUkrbthjCtnk/938RwcyKjtoRM6tq24RRG1Vx880v+dmf/Vlm7vu+3oa2s7NzoZfD - Oeecc84555xzzjnnnHPOOeecc86dVb0ahJlFRFVjjPuYiJZScwREpA6NDuA973nvL/3SL9V0gOl0 - KiIppawla7mgS+DcfchaYtvWcici9RKmt73tbW996+91XaqXLdXQ+lIK9j6qfy3wqppzrlNbxmo4 - 9xDiQwk755xzzj141WOMEMLyYMPzyO4Lw4IhLANfF4lfZX0ymh4/YTPEfjTOB1/4La947Yt/6Kr2 - Cem4tTxGkc3Ng8eP5bYNLQMZYiCokRbOygoCGYvxXqNYSY1MjcwIRETGbFwsyaH+I1/5y3f+7dtn - a7NeMjURSlADhoRPoMaLZiAbqdXk0RoxDSYDG/hShNU+lBkLRCSm+UwaS6PuK0dv/eKdX6Ams+ky - J7uWlX2vypVpDMhABAZy0aZlta7QPKzpB//+L3W0k3ia+hLRjqSFWlatmZxcs9gXM2IEwHf4cyFA - TEGamZOwEhsxkAl9LlNprFcrQjru/uGfPvynH/0jPlBMlBnMtBruePazOUMcu+iwJZRQWBVsRgwC - QTm1baBM5d74lMPPePnzvuOgXJGOacwtZUGRNo7ruadVl20SoREYFk37oztPuvJbvvMFrxunK6Qf - iyHAgoILGkYMMJIuYxl5Xtc/GYYsSELdaES00+3U6vFiU9Ia70wYPk3BBhTWTKXnfmc8/dNPvPtv - Pv9+bPTtmpS934q5WAolQ1SOpamfqZrbEU1n262Mr6DHvOJZ37VJh9FjNeHyYc+AQqFQECNW0BCd - pQYuU37GE5779GtuGvUHJIMMKAwLez3lxQY2GGnhWs5YFFHRBPAo7TT3vv0vf+fWo5/ZluMUJamS - EQNsSmZkDOMhgZgyqAfySlb3A5bbPSwa8+rJ6wubbkuL/XHZX/VT5OdlaAXOXDBqgHeNIq7R3bV+ - OCUYGyuVIQ1rnS9BdPepc2Ho+34ZMa6kRjCC8bB0bKBMnORbnnzT5ugKFGju2ob7voc1sFYJoAIq - QDEwQLic6rcHIS0QCBubmTL1RSXHqw8+5qmPfrrNAxsbASzFBEyrxdIIZjbPc7NyanEFAOz1SOrc - alZ9rdPq13XufOTSN1FCoZAjgafb/RpfefOzX/34g0+KMwmZS7EYAkB9j6Zp7+/nGdiGNqgoYou+ - 9KON+Jcfft9xvbcLO0YFABuzERvX5vtM5YdhBJB/peXOk6qmlJj5xIkThw4dqhfE3HLLLf/u3/10 - KaUUA9CnHsAFbDdVtWmaGtENYHn9Tdu2tb5avgFecTnn3INePUtQeyW1c0IAMwNEIfQHRttjQc7e - OXHOOeecc84555xzzjnnnHPOOeecc84555xzzjnnHtLqnapmVkpR1dFo1DTNfiZkFmIkovl8rqpr - a2t9n7suPf/5z/2FX3hzSp2ZjUaj2XyaUlpfX7/Ai+Gcc84555xzzjnnnHPOOeecc845585ieX2I - qpZSsEjyvj/MLOccApvhzW9+83ve896maZqmyVnbZpyT7vMSFOf2i5mXhTyEMJ3Obr/99je96U1m - EKFa8mtqCfaVJ5JzbpqmDqxdSkkpdV13QZfAuUvBhxJ2zjnnnHuQqofuRLQM+/EkxPNhEJjA2MBG - CigoM/J8Z3pw7UDLY5vGTb3iCB5z3ZFnf+/N/+ujx08cpQ0kms1mB46szbtcMtpIbGBbhGgDsP11 - nRlgNsDABIEFYiJSSVM+UQ7MPvil97/3E3+iB8qJfhtEQqFmggyRhzWxm4ty0RqGB4YFGANMsAc8 - DfShoaipZvRlMvvgZ/5iC0c19FSDJE1BGQDo/sdk123NVPPdFVBYgZkap1k5TqP8hdv+4c7tL6cw - ExHWwGAzFFZlACADIxOyAgreTbx058QGJRRCYTaAUBiJqagltLhnfs8xu+v3/+K3Z6Nj07BlQZdn - RQEw4z4TbJfbdLFXwgiaMgskoE9GsAPt4dH00Hc+7/uvio8Ns9EmH2wxsY7bOAY4Z1WC7v77Mp39 - stvCRKaq6POheJC3Rs978sue85RXYHs9qrSsIwpBkTqowiSYhJNSG3dbQAWgBCIQ0bybEhugikvQ - RiqQhy1owkbKpbDe223zkfDh297//s+8q5t0U9sxTqb9/j5DFKIgYzKOJYhyKSZCQmGNN1/2jFc/ - bu2bmtk4lPayiu5W4kKkYDKOCjYFVEkBasokzNZe8ezvekR8bFsmB8ajnGEIy6jjZXtafz4jMpAG - MjaCshYGANHAyjnnEufH8PX+wLHfff9v3Tm/LY9yr9nAZERQBtiITVhrA21AUS467OP1FcMDtMvX - aNtFdPdF6Uwuo7txoXPBL2OMMyR6nr0ILUo22W5S+0V1SkD7Irr7zFufDKGENWzecN3zy6wVZSZj - hhDDIqyBce2x116Z+vnqBxoZEwmRFNPCAJF2OkqT53/zSyblgJCAlDmYkSmpAljtUGlKyczOXmIv - fGVYo+5xWsl0Z0CaNAPaSihdZhq18SDPxlfEq7/zed8Tt9cPTjZR1Ey0cIzIec/9GV0UBrKTwtrn - 81RPbPTWzTH9+s4df//FD+bxrHACGSuzBjIhJcPQcz5tmotDLefuS9/3IQQzizEePHjw+PHj9ZW2 - bV/72tf8yq/8l0OHNkajUOuunPMZJmHYx+EFM/d9z8yllLZt6/U39UoFZiaixXEow3tNzjn3oLd6 - triegmemUsyUkshXtXvlu9+J8fj+n1N2zjnnnHPOOeecc84555xzzjnnnHPOOeecc84559wDaDko - rYh12jB/AAAgAElEQVSISM657/czWAQTay71JrK2bafTKYBnPOPpP/dzP9f3/fr6egih3mu2trZ2 - /0d/ds4555xzzjnnnHPOOeecc84555xze1KvCSGitm33NwUmhhqAehEIgJwVQEr2xje+8R8+/elm - PMJisHSCXKg5d+58lGxNHAGcUumzSow/9mM/trU1Y4bqSSNjE6iW5L3q+15VAdR08LZt68VXzj2E - eBSKc84559yDVz2croFD9RVP9jk/vJuZQQaYkhFZKaWhdhzWaNZgqzkij77+qhv+l1e9no9ONnmT - Mra3p6EViPTJyEAAmYopGQM1R3nvUXNDzPaQ3m1sxlZYO4W1fT/e/h8feccn7vgwHy45LG/d4Rra - SobVfG7FEA+66MavJIu7szCSVCyGVtHfm+7+yJc+JOvWW2GDKBh5yFKtUbxnT3U9y8QXQc6L5yUy - MEMEKYMaFMJWd0yb7mP/+Ldz2hpPWs2aUgHAQepWJIDM2LROWX1nPy+s4LovKKCkxhmkpBRjnOME - bc5/412/eg/dtRO3e0pKamaqpgozEBERnX/I41BCSEPknBMzRMEd2wl+5XNfc/0jb5CdNqRRiwml - 0ISRSJzNZk0T7nvSl4ds2jSNZYsqG7SRj/K3P+d7rn/MTTbVvFPEtBEmRS4Am9KZTrGRAiAiUxAA - olm3Y6x72nP3Z/XsiQEEAxkbCqd4JHzh3s/+8YffdkzumtEOjWQ6m7et7LXJqCWRADHUmp8skDEz - rCD07ROvuP7Fz3x5nK+1ZSOUhi/BYj+YGNiI2DA00FCQwjigDf36NQee8uJnfPs4b9gOEwJkr+e7 - WAykrLVCYTXiGo4uASfmJR7Edrj3jumt7/rgO07YvbJBSmUZ6kjGpIGMuPY7SJdt9+AByu0GoKq1 - rgNqvYdlbOQFseyanpYz7e4f4xgb1PD1+yrOyw1aY0GJ6NKc8q0Bugrruq5+TXKWt7Gk5uqDj3/U - oWtDGjGkEU7dPMYIE5igtuCki/6Pn69+YDGR1CMRVVUGhRhKiLl90iOuO9I8kjKXUpZN0MlJ8WqE - vp8bDS0ZwMsHXbTEZTMT8W+gz9doNJrP50RgZs0caRJKDPPmmx/1nBde//L5vXkUWlMuCmli2fNX - p8OxzLIaWnZWmoayISuM0NOstP0HPv2XXbOVQwcoG4kKawDYCEZmpKcEeHtotzt/k8mk7/umaabT - 6dbW1sbGRgiByLanJ9bX11/0ohf+2q/92vr6OgCRC9+jrv2uruuaphGRejlCCIGIFjsgnaPddM45 - 96BFREJsMDRN2pygFTCXYn4I45xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc849dNV7VJe3accY - 6+1ge51Ova8fQIyxJnNfc81jfvEX3/yoRz2yacPOzo5qLppCCDmnrptf8AVxzjnnnHPOOeecc845 - 55xzzjnnnHNno6pN05iZmdWhg/c3EV4My87MdSJ1iOMTJ068/vVvOHb0xGg0mc/7tbWN7e3tCzj/ - zt0nZu66jpnH43Hbtm984xu/+MVbAdR4JgB1ZGwz21+wSL3OSlXrv/d9v3zRuYcQH0fYOeecc+5B - jYj8MGOPalimERQrudchhL7POSnngMSxjCe6TsdGjx897f/8F/+2mY4Ptwcmo3aey1y1BCoMNkRF - UNRo5cKaeM+BysakYFawQYEiJVMyQsMoBVm2p/HuP/ib37wbXzqhx7Noze1mC2zMxmzExsuUMgXr - EFoKUAbOFG3rVpESETOrlI9+8YNf72/Lo5wBMhZdRMkZYLggSXC6mKAZ2ggAxDAGIjKgo/Lxf/zQ - 0f7OTKkUIyIwqZmx1VkRwyLU0I/U7puBC7ERxBBVeUi4h4KDrG1Nt7Gx/T8+9pbPHf/4MbmHRgCj - rKTVnk9w7ambYbGBQkBREBAMTV5/4hXX3fysV4St8QjrnEOeqxUjElVVFA5sQ47vMpoZAGoq8GWF - SEpGlLZ0RWfdgXhw1F/57c/5nkeuPTYmWNIgDCIFjFQ1gfIZ0q9JAZhBATPruplZGcIdL/L8swEI - CgGYoWIZQJb0Ddz2jr/9na/OvjSjbUghUwoovLf6eQiGN4gyQY37whkAWyBCQ+0Re+QrbvxO6kYb - 7SGds5SIy6wIKakBOkTAYpnhrb1NeIOOh+c95UXPeOxzsRUjx1oZnDHc3E7OAQVQ21kyMJQXXYdC - qImhSmhG6A1J0Lfbn7njY3/+8T/ZDvfmMDPKwxTBqCmlxgDBuCaP6rDv0wO7sWo7uPe7g89XrU69 - v3oB1buyRQRGZnbythsirs/4X0TEoH3cCr4fK6W6z1lhJrXMnzZjGtoyefq1N7R5TCVEEpjmnHdD - nenkqPvTa353aS0zZYkIbEQkKuOytqYHn/zI60TFzFQVTLWnffI/W87Jzt7NurC1Yf2g5XfVp+0v - 7gyYWbUYkgQ2DWVmrGWCUTM99LIbvuvK8aNiaUCSydQS80r49nnYDdu2oefMCoICyjGUAiIYg0eY - 89ZXjn7h83d+KstcKQNgZTKCBaWzHXQzX2adH7dvs9kshJBS2tzcNLOccyml7/vxeGwoavn66697 - 97v/+DnPeZaWMzaq+6Sqa2trpRQAMca+70spqioifd/XOdnY2ABQr9G5cJ/snHPuQqLdM7TDuSYx - iCEXBRGButQfLwmBQSISH7g5dc4555xzzjnnnHPOOeecc84555xzzjnnnHPOOefc/VVvqs05AxCR - lFLOeR/3fxGgpbSx0VxM9RFXHvn1X//1q666auv48XHT9n3ftm2McT6fLwduds4555xzzjnnnHPO - Oeecc84555xzl1Ipxcyapqmpw/uwzDzOOfd9b0AuxoyU7LbbbvuZn/mZ7Z2dZjzqcoqj8YWbcefu - WzZwbIzk+Nb0LW/53d///T8qBcwgZgOapqkXSmFxxdRelVJGoxGA2WymqjHG/U3HuQeWRx0455xz - zj141Rs5ag6ZO19koMLQZYxyzQ/LaqGJzEIkDTeUoZ2u88b6/NCVuOYN3/evD+DKdNyaEEzYxMoi - MIwNBGXT08M+z29+BGBTmKJmC9e4MTG2ArD2snVX+tLb3v+benDexZlSZmMYkQlZIGOqpWAxudqH - N1LAQIozRSS6pVwKCXbSNMX8kc/+TZn0M8wKo0ZFEmoWHcPC/fmUISh+2BZMxqWHiMzniBEpAYJm - TU50W7cd/fLXdu7cmh/PlGMUIiRNw79ZnR0DWBeTdedWiBQspmwmpgwAbOBeS1ijv//yX7/vE++0 - zY7W0GflusGJRJgZRPeRl8amZEqmhbXQkD5IxmTaldwGSAF3vF4OfPfNPxBmkxYTlEAkIUQSnnU7 - xfp23Mz6mS5iVpfR7JdhbndNNSYSLQixbYJYl0Zp7bEbT3rG47/1yOgq61FKVhNQlEC0uoZOXl1E - ZAYzFFiXZkpJ91c/74kxIKwy1B6mgBbp+mbrzz/+rs987aNp1FtjIbCqkiCVs6VO3ic1QmEU0cKq - QLCALX7hdTc/5Yqn6Zy3t2bjMBa97EoRw0C22xaTEowMQaSbzka2PurWb37mq440V4cSNO/t246a - 203QGgdeq+RCKAQ1lAIRgEBtmvLR93/63V+455MpTrOkwlBaLaIEsGIZYl0DiRmQBzC9u0Z3r75y - AaMil6m99SMuUWj05WF3ZZ6hghu6fwaYDRuUFrDb3FxcSsNMFk2LSDuc3mEmkxE2v+mxT+PUSOKG - xIrG0JRsMF7sJoCxDr3cSzHz7hxIzXIhNmYYadak2UKObb/2TY++bhwmUQKgRKZaVusTQwG0WDZS - JTXSRQPNF/VriDoPHoJ7Xoyn2zvNqC2kfe4a4TbEYITe2rK5rle99MbvsJ0AAFz2u0a1doHo5NTv - 7e20thaUYIxO5zl0fbvzd5/9YApzlQQyMiYL9Z9sUc2RYbeWAC52WXIPG6PRqO/7EELXdQBEhJlj - jDXAO4Sglo8cOfIrv/Irr3nNqy/g5xLRdDpd7Q6JCIBSSu0pxRhzzrVv5r0m55x7kDOgLKpqNrCB - warKYCPm0QhMyH5m3jnnnHPOOeecc84555xzzjnnnHPOOeecc84555x7aGPmOpIsM9cA7zrC7P70 - qVfTtbXxb/zGrz/60Y8qJY9GTSllbW28tbWVcx6Px33fnzyghnPOOeecc84555xzzjnnnHPOOeec - u4jMjJn7vq/DBc9mM+w3C6yUAqBpmjq8cJ1IzS/OGe94xx/+wR+8o+8yQXzUdHeJ1cufiOirX/3q - LbfcUlOZluHafd+bmYgQUS3GeyUi8/lcVUMINRTDA/XcQ5GXWuecc+7hjJmZuUaTeiTMQ049YlkG - /BCddEjjzkIJGcigvAgtrOFeVKhGfmmxXKgQG6FIsXHfrs03H9M+9Qdf9iPXrD+p6UYllcLQwImQ - DUwQAgvAlMqe0wQNxQhGwRi2CCMkgHIIJloQx7aV7/7UHR9+/6ffPW2P9jwjMgICQkSkxEiIuyGg - sCGTXI3UPLf7PglbsNKmz/zTx++Z3Z1D30MhNWaVAcCgYCO+f6Gqp2aoB+G+K01ETkMcd1cKNUzr - +p4P/HHYBJo8L1OKlnNuQrg0EZsPM0pQmEjo+77lwFnXYmuJSZrcpru72//4A2/Zae/pQtcViECz - MWBmqgpg2Sqeo3lkKEhrCqmBSQMbGKg3wYmGMF9/6bNedfXatdKFYAEACRJKgXLLKtpbbwGALjNN - ycCX6+YWsCpMQoIWywxrzcZl/O3P/u4rmye20pYCIgFJKZn45LzHldMXOecQhAkS6Pj0KAdkzRf/ - rBynLCGMkMo4hvm8z5SxNv/0HR9+/yf+sGtOKGcApkpW46X3Nj9kgJIZGYEaZEIyoEUqmbvmSYev - /7anv5KPtZEaGYWc8+V3K6YSMiEroRDXlcUGhhZLMQbtSuwnTzh0/U1PeUnMrSjYmAxQMChniHAp - iDHUyZ2aLkxKpmwggA01tzuLZkEmMAMdIlBUSzPbmdz7W3/2X4/a11Izz5ILodM8Ho/n8x1mhkUg - wAQAqIAUxrDwQJ2Cq+e+RUQVzEPM8wU8LlC1OrF6fnzZcXXn6+T+Q/3qTlWXIdzMQXUlGvm0OG8R - oBgRzKyU0jTNJfgqjohACigLiGx7ezu0TTFLBSJsBlUwBRgjQzRcsfboK9cfTT3aEEtSIgYCWEA6 - TAdYdNpXM3rdA0JBKoEALSjZMpFFaShz6OX6x30z94JkzKwoIbAZzFDyULcwY3t6on4bN7CVjs+F - PnghovoVHRa7j38TfZ+apklFExEiqXVW5kzEFqXEWNa/5fHPf8KV3wwzZYjA8p6nr7ubHgBo0ea2 - Lboum4Fq1zrkPs4+9aW/v2fnLm20oCwrwGJKu4e/y56z+pdZ7vyllGKM9bgvhFBKqadGS99trk26 - bhajpNwdPLT57//9m37yJ98gDAKIILJ7vcuyv7RslO9TbYLrc0oJiytpamVFRCklZg4hLPtLdSb7 - vl/+7Jxz7sHACElQGGWlA6IEJVIQhhqeIRHe/3TOOeecc84555xzzjnnnHPOOeecc865y0MNcaz3 - 78Cv/n14Wd2a9S4Vv0XOOeece7hS1eVdYPWH2rsTkdoNAEBE8/n8Pu8pq+8fjUa151Cf2zYCGI2a - X/7lX7722mvHTcuAqqpqvQ283mvG7EMzO+ecc84555xzzjnnnDuVLSxf8VAJ55xzzjnnLpR6JXAI - of5QY7yxiHUDQEQxxvrmGu99NvVqk5qCjMVlqCxiABFyxi233PL5z3++jmkcY1x+dCmlXjri1yG7 - +6kWJBERkaEEMtei1cTRbDb/kR/50VJMFWog5tUiVwfrPvf0l7tAjHEZUV8DEPu+r6+UUupk60Dc - zj2E+K0CzjnnnHPuYUYJpWbEsQ1BYQrWGtbNWrgYFVACZYZSwQZtjHcOPWb0lO+/+V+Odg5u0now - 6pIqYzRud3qYoRSkzkajsNe5IVMARqyL0DEyiILUAgsD/VzXDjTH091/9ol3fXn7s7Kh0+4ECaWU - ctLATdOMVHUR92s18U7pwkffPfwogQTbabuMZp/44t9NyzQLsgLAkHp+0gmB+53evZs0yUBNjeVF - DiUbuJCmkO7avuMzX/kExjlTryhNkH7WLw/NDITFL57nfZ9EpJQcQlDVSHTs3qObmwdPdNN+vP2u - D//3r5XbdNQjQAQ5Qfa7eY3qpmWAyUCGQNAMma9de+T6Z1/7P23ooaCNqgKqpEq5cH2oUgbUaJnb - TSsFA5fjIbmRQQysZKAsVtoso3To5me/ZqKHJ2HS933bcM42jGxwtktEmIqhqOY8L0hUq9qLTKRJ - vQYK0+nW2sFJH2d3dre+7+/f2cftInOlvDK3tT7Z0/ZlUlY1amjaQwQhYGfej9txO5vc/MxXtjsb - Y1s3MzCKZbsUS/wgwlBCIRQsMkEZqPsjM8A2accxRz0WX/zMlx+MRyayJhoEggIiClyvQBq+zDgz - AmiZDwqtfQbS+mJQSAGATN1U7jnGd773I3/UNdM57fSU4iQc39kaj8elFBizymLrK2CAAORVujuN - 7qkvd2re/MnYQMqLN13cq+ts0ekxqt1uy5rNClDj4Q1AjRJngBlU+OrDj2t0TZTZ1MyYgmmtuBVY - 7pXMQ0/MvJf7IKDAUM/WgsfGocQJTx6xeVVDrRUltlKUawwzD/0lhZWSDKUWjzPVfF4bPuAY4MIo - pIRClOrmJqUGk8Ph0dc99gYkioJSEPZ8+AssD7V2U7eBxYZnG34qrIW7Ps6+eNdnc5iRqMJMiYjA - nE3rmy96jeYuMzHG+Xxev+YvpUyn04OHNn/0R3/0P/2n/0AEW1wBUL/yX15AcP+vYl/+e514vVym - Xj1TX2mapv7VrzNwzrkHCaUhqLv+etoRPZMtjvidc84555xzzjnnnHPOOeecc84555xzzj3c1ZHy - Qgj1cl8R6fu+Dj3mHgbqNs05M3OMMaU0Go18SETnnHPuYakONVD7APX2rq7rRKTeMpZzVlXmxdgv - Zx+gtoZ255xryLeq1jFPAHRdEqH/+B//7+c977nMNJvvxHiuoZydc84555xzzjnnnHPOOeecc845 - 59wlEGM0s3qZxzK0WxdExMxSSjW9+1x5FmexuC4Fo1Gjih//8dffdtvtwnFnZ762tkZEs9ksxsjM - IhL2N/C3cwullKZpcs7T6XQ0GtU0bpHIFLqu/6mf+qmvfe1rpVgIvLyuaa/TB1CvrDazGhBe1cur - +r6vUzazZea9cw8Vl19OmHPOOeecexgjrWl/y5xc3s1OrnkbqpSVslExKkpJGptN5xt04BG45onj - b/nfvuN/b6YHeN5MGi7AVt81E1EiKwgMS2WP0Zs1lFEzI3FQMClEwQYJppoDiAtKn6m1O/Kt7/7Y - 24/mO8OmJswLq5kxBzJYUV5JMbQa7ny/cqYvE5pJy6i/fesfP3vHx3pKxFLzm5WGpG1WgDIhkzFZ - oL2s1ZoaW4uEEZTUFo+h1BmTMmwI8TWCSjmR7/27L3xQ1+Y9z5RKTXAn+//Zu/dY2476TvDf369q - rbX3Puee+/ITY7DNw5hgTMwjTggEkiYNHZLWRJmZnpFGmQxSNI9uoUmmOxGJlGm1wh/RiJEmk8fM - ROpkMp1GHTVM0g3pIemE8AjEhhgwD9uAIRg7IfhxH+fsvdeqqt93/qi19z3XL3yO7732vef30dbV - Ofvus/ajqtaqtXZVfWvcvFDWaa8+r/s7UBpLIUpoumwG2KGN2YlT25PDzV9+9c/u+Pp/HKbLHrAe - mhBqIu8eQ9bsTE6qCrWmDyqRlphgNs1HfujWf3DV5Do7HdrYFeTddWB1I2WMUa253ef6Y7iImIAQ - GmKRUNSoSZCicZoP3/zC2155/RvyyW6ja1OaK8CMmu04BtHWj26V3ygIpaDQlmlZkKjnPdXRBKKa - aU1o2rbdLqfy1vI/fOZ9X374rhwKoAEIBIEiCsZ9XW9REeTATAgRoBJgCS+/8tZXv/D1UzscpaUZ - JFONurfQ30uA0pRGYIxFp2htn5EpL0Qgpm2ZXdZd/ZbveZudUrUQtRGKmIiAhaowe8xR/EzC+tjY - CaEKFTATW9+pRWMRBUyxDHlbH/7kvR/53F/fjk0rbVqkeTdthzzEWIv+cV94HOi2757Q3trvU4R2 - 73bB6hlRIEYWslBsSMlA4xjdPcbbmQiCUNXaF117U8tphKzy70ImTUApEKt9KXnqcHJ3Qdljfq4l - I8aJTq+/4oamTIQESIOqABCBiNRaMeShnNWVHXfaNeBwjydT7tyrHdoiMAUkK3LNnMw0FJ1w6+br - bj3aHmtMUIcH7LHIHtOOOZ6DG6C1bzfmeQtKsBz6z933Vzty0mImC8RERGMguep+1zOp2is8WD0f - dz6oas5ZjGnZz7p21rWnTp2YTNu3vu2H3//+3z925FBOBTRZLZ7y+JTufeR2r/+wDscJIQBo23b9 - FHXNvprnXX9+Rm/SOefcOfPYyy7rUxYlogmoHt3tnHPOOeecc84555xzzjnnnHPOOeeccwdBDWXM - OTdNU/Md27YFIO6SgNXicapa09mXy+VkMnmWq51zzjnnzoMQgqqKiJmVUoZh6LpORGKMtYNXF5mt - j3mK7dSlaeuSzQCm02mdHRaCRMG/+J9/6S0/9INRRVhi1JT6sxaQoZ65Oeecc84555xzzjnnnHPO - Oeecc865CyKlVEeJmFnbtjXquI79UNV1Vve+QrXHtIu2bTWExXIohr/527/7uZ9/V0q5bdvt7e2a - dlxfRs55H1HKzu0WQsg5q2rTNDnnEIKI5JxVw7/6vfd+8I/+v34wAikbSRHZncny9K2bQyml1uHa - ampwuJnFGEWkDsB27iLiQ/ecc84559wlhatMDaHUdM91Vu4qSRcQjsnNYoYCZWBMp+yIXfXKq2/7 - kdf+xOZwDAvd7OJiAW0jpdEQGxXLe47jUBgkjynRjGKx5gdnZgSDyaRp+oWFBoeu6D791b/45N0f - 4ebQxwVbk1YJ1DNeYEwlP2vbPhXnKVHQ2zJs2O13/9kpeahIr5AmdORYGc6EAddpTs/481zl0mF3 - sFyNAxeCYglJZrznwc//zc7XcxwKB5p1oQNggiLRoIAJMf7NAYvm3SthUhpJA0ygnSx46tvDg39y - 5x8uZ6dKmxIREDmgDbLXK5C7cruhuzPaoa1GmTevuu61t1z72nJSYunGB61QuIoYHOPCdZXbvYqH - r5HAB6x8JQNGkVVbM4UJEUtolrPvf+Vbj8VrJ5xwQPhOkXjruY45L0tJUFoN2TtvlFChiAws1tq8 - PXnXN2+//cufyBuFYkoLdlYcqe69cIMwhNBnNBPYgJDjLMzafvrmW98Wd2aNbrCs4nCjmez9gHSR - ExhWDQqAidZGWkoBmMtgOU/jtH8033bjDzxvdp32UalRIkzExAzxySPeuWvCqxBK6KpN00QsoARY - CBBVQJFjOo2HPnT7Bx7NfzeX06VNA3tt1MyUWG3poBWRe2bO3RFfz3/VExECJjCQZMo9YKIQgRnq - hHSSAohJRPP8K27Q3AotiJFk/V8R1g45V8cFOXPcdM8uqydNgFKFILSIkpSCF175YvQxSE2cReD4 - fZsIACNsGIbVV3HA0w6edxfQ+ivSepwbv7QXoZlZL1ceuua7rr2lWU5aCXvtP9fiHk9l1nfW46yg - xrcDZ7pMJaSv/91XTwwPFU2mRUTIsQuthNSD8lnPYAeu/+zOqZxz27bT6dTMUkr1+/6cBzN75S2v - eN/7/u0NN7yAhCrqUimPtx5SsydN09QhBQBKKU3TDMNQn2I6ndbhBTHGlFId5fDM3qVzzrlzRnHW - pZ7x+jxMiOC9Euecc84555xzzjnnnHPOOeecc84555w7MOrA4xhjHQPcdV0pJedMd0kA0LZtznk1 - nR8hhOVy+ezWOuecc86dD6WUvu9FJIQAoG3bOpkr5zwMQ9M06ylgJJumebLt1D8XEVVV1cViAaBp - Agv/p3/6P/6j/+I/J8swLNs2iviCA84555xzzjnnnHPOOeecc84555xzz7463gOroO4QQoyxDiU1 - s/VAkToOZB9Uta7NXn/tuu4v/uKT/9v//qtN09TFhzc3N82sLj68TvJ2bn9Udb26dc2Dzzl3s+kd - d9zxq7/6q6WMA6RDCHUo1P6eZd0cmqYxs9peYox1s+tqvG5czl0sfBfsnHPOOecuMUooRQiVMUlu - 7PSePaVFa/T1wKydZBsa6AYOpW/JD73ix978sre1p6bTNNuahflOXwhKMDLGPcYJSo0og8CCQSwo - o0ENWBLSgjCaTLpJzji1PN0e5l98/k+/8MBf2WY/NH3SnKUYqEGwigAX1lBgBUQ8uvspUaiNPbzz - N5/5+h1psk1NYlKGogymKHomJpMC4ZgLuyfCM/WKMt7GYHiYwrAKia/PlQ3o+NDywU/d84lwiAVJ - jFqk1luDUmp0pQG8ANGbF7smqsBKoWpElG8vvi3H0wf/8ve/Ob8vT/vt3oJi0rZB1OoVoT1+pEVQ - pBYMFNk0mxhFp3Jkmo/84He/rZlPuzzZ2jiUcl9T22uVEIqgxg2KUpQiVAGEuq4nBy7hR2yMaAWA - UHfCBgVErcgg12y85I03v9UekUOhE5MQnnT/ttqvQkQKyjLPKbDzHpNsgVSUpaXTsn2q+9v3f/y9 - NsOiZJMsq7zn+si6u95jEZsIyUJDUAgRcgynmldcc+tNz39V2g5mMFgDVTPSKDyHQb8XCRVAaQqr - 4dpF1AAz67oOMKqZpc32EE5O/t6r/2FjkzyUIDEgwEQJ1ccnqp8pplKPC2M3woIhEIFQEQAUGKVm - 0db0bpvlB3e+/oGPv4+bvTVDnxahiSklSBYURV71FwQ4gIXl9sQe90Ol+7hye2G6hhSrx7baMV2O - Uc0AQEIhdZcoJqRMu9nWxjFmCVIjv0FyPAiIUahUoSopNAXOJHm7Z41hjCUUoQBa95AiYgnPO3cW - KxsAACAASURBVP6CJk+jxFIQIWTNWsb4r0hK/eOPgHJBQuXd0zDuWBRFYIACERRIPRGhMDUMr3vx - 97fzIw1mzyhAeF3iq5NiUMWiWBSOGd6UfDo/+uCj9ycu6gG4EhEBlDqe2AMQj8d054CImJmZTSYT - ksMwdF3Xtq0qhmG45ppr/vAP/+CNb/w+MzRRm6j7HlXwGCmltm0BxBgB5JxrYreI1PEHW1tb2DXi - wTnn3LNOiWiIBt117VfW3y+ICQgYxkt8zjnnnHPOOeecc84555xzzjnnnHPOOecuZSJSB/rW8cAA - VDXGKO6SUMeN11Xk6sKLpZSniOp0zjnn3MUrhNB1HYCdnZ26DrKIABCR6XS6u8vXtm1K6cm2k3Nu - mibnXNdurltOqfzkT/6XP/VTPynCOptsGAYAolwvOANgNc1zP7PInXPOOeecc84555xzzjnnnHPO - Oefc/tSY4fVIj7pGcR37YWYpJREJIUwmE+writgMgJqNf7tY9CGE3/yN//NDH/pQSmk2m83n8xqi - 3LZtKeUcvz13wNSFtYdhqAOZar26//77f+mX/vmjj56sI6CGIZdCQLtuutft12o8mUzqIKuU0mOa - TH1AbVAeRe8uOl5lnXPOOefcJYS6CkkeZ6rUOI0aw4l10PKYpCuAmBiFSYama5anF8cmVzSnZ3/v - lrd/30vefOr+YSabKsg2JPba6D6ifymAIJpFQyAAKaJZERoMGRLQD4OINF2zs4PQxb9bPPCxu/7j - PJzqwzJpylLQSGGuadCrKOgaTq6ARxs+JcnSlc9/5c6T9vBC59JAhblnjC0Bk7F0VvaXBler2Vml - QIHpuEGlKbLQhCYwKhKGMuk/c+8dS+5QSxNiSUkJQE1CqbmXMPF0w+9ILOfUNE0w5JxLFN3Szz/4 - l3fc9+dllpZkiGiCzufztlNFEOwtS2edsa3UYKi7FlMY4vIUb3vFm6+cPX9iG5MwzUMyUkSUNWJQ - A8f0QwWEKlTUGwDAxEzMDmK0D63muBJgAza1BQrRodF5fN2N33/15vVxmCkk2+4s27MmH4qg0FRF - RCBcLOYXIMpRqByySuFsyIeWf3rnHz9w+htLDEWwK459VcnEbO9RzQWlEDGiDAihaUo7nW+85Za3 - 6qLZnBxO2SgSVGEEy0FMr6SCGsbQLLV6bBdVCTkXEzSTMJQ5yK4/8qrrb7vq2LUlFRgUIUoMEsXk - yeIXTXR1hFeMGV1WA7oUVAVVKCgUUIKBBLuhn87vvPcTX//W3WySdEgpxRghBZJRQ7xQG74B/hWI - e2oXVYsWA2DCdUZdyn39Yd3ESFEEkgo5cuRYlCagQU13Fik0BKPmVXdHAUAyxECfav7sM8Humf9C - NQgFEtXMjs+uPNQcWUUUqmXqqodDQpRDySQz85NW7L0fIt25pVyFUDKAAYhKNRbRHJTSlxuOv/Sy - eDWGUPu3+7A+l7F1bvfqyYWq1HrQNTG2+b4H7s2aEYDaAydF5DHb8XMjd07EGM2s73sA9Vt/ACdP - npxOp4C1XRSR3/qt33rHO34yZ8v5rJ3Vel22/T11Xc2tlLK5uUlysVisq7qq9n1fR+fUV/hM36dz - zrlzQXaFdlPxxNfxBOYdFeecc84555xzzjnnnHPOOeecc84555y71JVSdocv1ozGZ/tFuXOGZA3m - LKXU4dwxxqeI6nTOOefcxSvnXI/yGxsb65liqrqe8LW5uVlXRv6O/b365/VhIYRSyo/+6I/84i/+ - YgiBpAiHYWlmsVFfatk555xzzjnnnHPOOeecc84555xz7llnZsMw1HWAU0qqKiKqWn+IMZIspdTR - wvtbHzjGKCJ1jf3JZFJKydl+9p/909OnT588eTKE0LZtSqnve486ds+cqtYxzyKysbGxXC7f8573 - fOnue2OMOef6mJrhXZfj3pPaBIZhqHnztW6v24uqrmvyMAy+nra76Pgu2DnnnHPOXTpMQEQighHQ - dShspbAxgI5RLKq19WGMSMxzW0gnsDwts2O46q23/mc3Hn1V2GlnodUIC0AIwx7P+Igx1a4xdMUC - CTDrGN09ztsNmKeFxrDZ6nJniWm+58HPf/qeTy1lXlr0GAyloEBK3R6AGvPs0YZPg23PH73rnjtL - m1KAKAQlSEBRrnO7ZcxqVUD3nN6tSlWL69+5Ow5cnuAWIwYbrO0fmj/4xa98QQKCSGCof2sCQnkQ - E533ycwUbKFqHCxt49Qf3fn+nemjGaaibWxA6w2FWTUqO91j1H0RGBBsTAumoCgIOTK78vtv/SE7 - HTbDluWys9jRRgEINVisWd3rm+66nXnlajx46d0mgFCRFVTrYNN1hQ+USQlbuvXmV/9oHA4HBAJP - FrYuAjNTVTMjy2KxcwFevFI1hQDm2Yl7H/3shz/70ebI5unFyW4SDVpvIJT1pYP6pK//ydSPQi0y - i4hI0Vuvee2Nx19RlmpBJCjEUCwQIjxwuadUigAitECAQpGiKALVtmQppUgQxrycL463V83y8Ve/ - 4jWTZlKv1da5r6WUp/gmovYfDLUtIxDBEAghRQgtRY0CMQkJYrCGO3YybJU//ui/nw+nMwtVVFdB - 3ZKBVf0WG2/O7cNzsltQazNpZCFLSslA1ORmAQkzqioNqvGK41cIVTVaGRN5yaIKszrVXFYR0aYo - Auz1YO3OAyVAKKhCBZXQIqBAJEzC5mVbV7OoKkgB65fBUlchEJFSElHO/qpMzmzXPduEtcsqSiXU - EMUaMBA9sRQOYrJhR2983is5yDM831z3dcezpHr2xLDqIQNibPJXH/gKtQBWSlkvVyFUodTITFsd - Qw9a59mdczlb03R1kMqQlhDrmnBka/PEiROTyWQ+n0+mLcCf+7l/9gu/8HMhyDreG09jsZWnUAcx - tG1Lcnt7G0AdkWNmk8nEzEIIWA138KEzzjn3XCCEEkoIjIIiZ87M6rWI8agg5j1c55xzzjnnnHPO - Oeecc84555xzzjnnnLvkrVcZqxGPs9msrj7mLg0hhMOHD4sIgJxz27Y5Zx/X7Zxzzl2SYoxN0wCo - fYA64cvMVusmY3t7m2SdgFYXrn1CTdMMwyAi9TGllDe+8Y3vfve7AYtR5/NtEdnY2JjOupRSnTt2 - Nl8syDnnnHPOOeecc84555xzzjnnnHPughKROka067qmaepS2GZW1xze2dkB0HVdXVl9HwsR17xk - VS2lQLDsl6GJIjhxYvHTP/3TNRe8rkJ86NChUso5f4PuQIkxDsMQYwwhpJS2t7ff+973vu99HxBB - Lrnp2qZrAdQM732Mi65NwMy6rsOqgdShViJSSmmapuu6OvRaxBeOdxcZH73nnHPOOfectSvtrEZD - PCej+56Txl4ux9Ruk7M+TJUafQ1RoNGQ+kEaDV3obS5C9NrMp9dOX/qf/MB/NUmHuaONIEb0fQph - TOFcBfHWhM8zaXRnZsicXVYCAAaYiUHMBH2ProEZJrOABie3l03ThRB67U/xkT/79AdP8SGdMFsp - sBijUoViEFAFCLRVevdBo4CawMQECBw/aRtTkCMQV79aCuX+01//6kP39NaHABqY2LbtMAzAmTIS - QkyBjPEDBhWUsZTPzplbFbCAouNDxbArIX5d8ruD5cacQkKgZuilL5P+U1/6ixSWyzTXuGrgY4Ga - jvkvcjCL2GQsYojJOu4Z0RBX/wUAQm2aZjFfAgiTsGx2bv/yJ+87cXea7BTNUZrl6SSGrS0sE7IV - yr7S/gSmue6QhQiGNnevfen3XtZcsaVby9MLam6mQUTWCZUKnCm49Q9nUnvPqjAHh0FZ37eY0Op+ - 2AQmFBEhpnGqy3jbzW860lyNPnQRWB33hKs/WcWCkkVESFLQp4Es+7giV2MgBQg1mhRqYqZl1ahV - agMXq6WqXeh1sYOH/+T2f8fpcGrxyHSjK0MCFIxnVbB91TQVxAArpQmtFQ2l+96Xv6HZbjbjxuLU - dr1YbzmJMITAA5hdyXEHzF0Z2EqUUmaTaTFbDH0IYWNjY7Hdh9y+9oY3HAtXiYkhjYdg43qKrADB - xp2zia23OXa1Vr8KYQaSVFK56ptFocy38/RQdzI/9LVH773jq5/gRirMpRTgTEq3nZk3e2Fyu88+ - ZIitdkFPeCjZ/0uyx3VLH/8EB28nt38m2P0R1gOEnvW/q/9a3Tc+rPaC1iUhtvrgz3PngSqkUoQi - xoKUrScLbXyRJMmxqbbSHt44IkTUQIoZoFJAVWUxZT3E40K1Efc0jX0w1LMeQFAEZsbANnB6ZPNy - JDZRDGC0Whs4pjwbUTJoZlr76jXVXbjqruNA9m+fO4wCwJQIJqh5lFRQY4xCWEmdtqGPN77gpsgo - 1D3tUuoe7DF9lF1HBHvsHYBp+dtHHygx55gKUxANErSswpLruRgVY8/N9xXuGSGZUqprqcxmMxE5 - depUKWU2mw3D0DSNquYykHzHO/6b3/zNX2+b3eHd9QC3nz5OzllEUkp1WzFGknXgwnh1YrX9Uoov - 8eacc88RAsjjT21Xp10UqZ2eg3d1xjnnnHPOOeecc84555xzzjnnnHPOOecOnLrEmKrmnOuyeiRr - 6KO7NCwWCwAhBJJ1GTszn7/gnHPOXYLq7LA6yUtVh2Goudq1g1cXIlhPBKvzv55QSklV6xyxJuqr - XvXK//U9/8vmxrSuS7u5udn3vTHv7Ow8yXwx72k455xzzjnnnHPOOeecc84555xzzl1QIlLHiALo - +74GHqtqHSXSti3Jvu/rI/cRRVzXHx4zuUWwWqtfgC984b5f+qV/rhpVo6qeOHHC1x92z1Ad2V5K - CSGIhK985b53v/tXQISgAEopaRjqw0II+xgXvW4Ffd+TrLH3dUR9SimEUBtLTRD36G530fFdsHPO - OXdpqrMF6kB/ACLiUwSfu8ZYUD5JyuHZHTYPQnxKSghMYIoa62UUo9j4sXHMuq53mhQT08RWGpID - B2klWeoQJ3mmJyavvPo1r/+ut2zgsrIAM4RQZU3tqJGuAgjHEqIoEYlI6NmZ0BAiC3KwohmSA60x - NAAMVCxTEUHXoc+9BZaYhnbxrfzVj3z+g4u0PWmmAVqGItaodWCnDLFAScCKHrR2rcIAaFaYUmnR - LBYVgAEIOiQRtCYmkYk5T4Y/+swfyJV5YEJB1K6w7dPQTmMtu1pAyqiAKUxRACqSoumCLayxLrax - t5pSqZGIhkAoQahJrBnh6xDN9Q0AuKqFOBM1HW1aBrDFPJy496HP37/zVW5wUXpKEEBIsKyS5oNY - fNY+6WdJTQck1ESpBZIUKZipNbDOpMkSshrFhKrUAM006ZoU+2+nv/7jv/qDYdKnxqiZpZ820ILU - I0QtmktY7mkOmxANgYwSkRTB4jRvTPOhzX7zra/8+9OTXZNDjMrIokVqqu8qNr7udghQzHbdao5p - TSoVqh6wXToRgTAGdkqWMVAZlEJa6vMEG6Hvfvh73jadz9q+NcACSChMc57GNiclI4QaaMzaxCQ8 - PexoEMHe0hxNrMaIxqKxhGANoDmmHIZkw6Trcl/EJIiEoJaZgWHS7zTb937zi1+9/0tZTsTJEjKI - iDIACqopckBRgAh73D0rEQuQEILknFuZXXP8hpdce9OU07i0I+009QNEtAEk50JqOIDRpwSKqgkg - RVCimRIBHHIfYwxQWGOJ0uRY5PLlC9/wgre10gwhZU2EtQGWcw0BDYZABKoSpiha66QJzMQIFFn1 - zBQFNDPAqDV61gJkI3bWM0+GnY0Tf3jn7z+EBxZ5Z9JOAcQYUUJAFI2ZBoA835eM9TvVB8Uzew1K - q52gM7lkVFDV6oFPa76ve5qUUK4zbHUMSYbWeDilymMKlLGeFAggu/d1VKXWeyEGmF2Qi73BYitd - GKTVMO9PoTVtohmCRhaUxK5TtKXA4jC5avN5LSUNyxAitCkQDcGSRY1qIkTtsZvU2gSfcP4sE4Mk - Qa9IARkUoQQMgVnQwaaWuyuPXjNBCIXWlgUSpIgiGQwQLU2jD516RBoJptEQzQQ5a84hEwqE/b4y - 3XVz+2QCk1w019ZWT2kpBjErGnQS2TbWosTrnn9DQMu8596q0ISrVlyTvMdTJIOY6WA6ULKJjRdB - WEzTPQ98qW8W1pK0zkJbJBgoLIoiSpFVufv+wT0jqhgPPGZpKKB27ZQmKBYgSrM0dDFEBUt605vf - 8G/+zb+++qorBAgKAVTGQy6A9QCXEELbtnXjT4ErAHYv2lKv1o6jalaPXF/FfUywd/0hpfSYDTrn - nDsPlGC9jidEsDPXeYLVszmFKDiexTnnnHPOOeecc84555xzzjnnnHPOOeecu7SZWR3iW2MdsRrW - 6y4l63HdT5HT6ZxzF6/10kPOHWR1teXaKGp3bvfErtoHOHvelgIaQlNnONacbwAC0EwFMeD5z7vy - //jNX7vs2CFhJkvdpqqC2sQuaEOT9WJHALBrHZoL0vqdc84555xzzjnnnHPOOeecc8455xwA1DWE - AXRdB6BpGgCllJrnvR4xUn+og0zWSxDHGOvDnsgqG4tl/NkIwnIBQEIj/t8//MD/83vvHbJp04a2 - M9HdixKratM0KRWRfa/f7i5RISajGURCDYRXkaBaxy+F0KRUHj15+n/4J+/MBgpytrHujYtw51LS - U4xWqvW8/ltbRB0itbs51FoaY6z31IfVRoRdzcq5i4gHXTjnnHPOPdc8/nRFd/3rvgMllPY0JquM - GboAdHeYZc2fpG7GQ+kkf+CWH3zJFTdt2OGQ0DSaV9FlhILxrNhdKp+gjFQIECYogprxLLQxmXB9 - W70kE0ugxWLt8nNfueNbp+9PYZ4sq0YwAGNqI4SAnfcY0Ocqg6zisMfPTolhGCRK13X1QhbFeu78 - 3fY37z/1tRPlIQqkgBSVoKqlJCGU6zC5GugOCtoGqUenSIvShFYVy2VenfUDOCu11ATUs+rYExUr - gDP30KxpAhWDsA8nP3vfp1Ls2aIwkxSaQlYPPcjZhONHbGKQ2loUUIPWYqIQYkKUwunG7FR/chl2 - PvmFP9/BQwl9X84KyVVGcP2He3sVamgCQgAVtBDY6Xbz+lf8wEbe6KxTKmoCIkoNd+cqtHu3M5VC - zlSVGtp6EK2mFCq5yu02UfZp2WjThKnN5cXPe9n1l92oi0YMpUAEbWxAlNSH2FLCKugRAIraUAay - wPYcZF3rg3KMil3dSQCWchubqGFIS7McQkCDk/ZIP5t/6GMfjDPLsuiNpsWYASiFYiawXdvUvU6W - NHQNcram6bBoX/Py1zeYMSGM0cjAuOPTZx7DfPFa7U2tJkk/5kCsFkClZKXOhsOvesHrprrZKACQ - iHE9lxY4sy9XE1BWqaIwjMmmWBfo4xiAYEqyRC7jchuP/PmdH5ockdPLk1TJycxYMs0sxjheYj73 - H8bj1UbwRE81to/9V5uzQruBs3ezz2zTB9sqvRvrI748rgAJHXcCq/+SVRruE0a2n//0bi2ihUJB - Zt7JOwMHsyICM2vbSRc1Z1sOCSoR063JsbF/zrNardbEd2I8HNQOtnuuqLtOFSqogVSQhYJWGI9v - HZdlloSUSIUBItAABiFpUrJm7SJgwjFUHlJPXvQJK+05c143fqng2LvetbcRMyGgpIAxIATTJky2 - NraCPNlogKf/fLt/Gc/QbVevGGKGdLo/mUMqTAAsM7AOETAKKbVk5Ux/yLnzzmpv8xU3v/z3fu9f - 3Xjji80AIIQzOxkzU9UYo5nVgO2zOprPjKpOp9OUUtu2dRhNSklE6jJ/dXSCiAzDUO88V8/rnHNu - RYnaRxp/r1ePVye/9XIWAIB+Luycc84555xzzjnnnHPOOeecc84555xzzjnnnHPOXbJCjKWUEOpK - NWUymdT7p9NGiMuOHfmd3/mXlx8/ulzOS0l72bDndjvnnHPOOeecc84555xzzjnnnHPOPftCCOu1 - hddxxQBUNYRgZiKiqiRzzk+e3v2kYtSckTN++Zff/elP/5UVhBBItm1b48BFZD6f930/m818nWH3 - GCmloE2MUVXr8tellJxzSmljY6Pv+9ls813v+oVvfONvsiGGPS/RT3JjY2Nd7bGKk6/qiKlaY8/J - 23HuOcLTLJxzzjnn3AGngKpJsKAEgRxyDinZchonl02ueOvr3r6RjsxwxJJCx9BQE6XAEIFdMYo1 - ikye0eUMErEJfcqPbj/yiS/+6TA5mcNAbYqqAYoESaY5BwOgBy+droZaB1JgFCuCOiWpjS1LKbY0 - 9o02ALCRbv/Cx7bnJwpK6GAK46CBIuPZvtW4bijXs5oIJmxGyKlmw45I0N6WQcEMqlGMNS18lZep - e58NZTbERpFBgk367Jf+8qH5w4wGyYKiq1jOMbL6qbLnL3kK7gp3lAzJiiwogiIwpQEoDAxaJsu/ - /vYXP3v3JxLnGhAAUE10la+T990q62UhFpEiFmjGDRy+7bveGLWVEKGBolJULJDcncztnpDsKgsT - UHRd0KQIAk3E2ssPX33Ly75H+q5lAwMpoi2IUhgbM+kBgBALNAHycpiT3HsUugpl9Uo45vOZCkPQ - dpmGEFQDxIQUiiXpbZY/fe/t33jkG6fzaTTQBrkQqgBNKBiTpPcZK8kxj5yAFhzrrr75uldLiQbW - YGkFhEo2RSIgB27vv3c0ef7VL7juyhu5iAqBIhXQxmDXcX8O4HHx7U+PUQcykRAoke/47MfvP/3l - PNuRIKSGJiASZICQPMA7c3cJMrGeaRlS7phaW2KQFoxoJoG0wpyMxUAJgnaZ0XYbnsl9MaGCzXhD - BNbfBFsIChqKXXX88olMDjeTKXUWghCFKABX+7vtxfbOsF20UGzdQRKqULXGgbvnDAImpJiJ1YTg - 2jFrY3vsyGWK815eVBrKIyceFqExi7KUxLMyMP0Y6p41ZnbV1Ve8//3v+7EfexuAnC0EAdB1nYiY - Wc3SBhBjLOWcDSCoceBt2wJQVQA1rrsOpgGwvb1Nsuu6lNI+huw455xzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555z7DsRKGbquIUtdiNksAwhBFou0sdH+7u/+7otf/GLA1pHezjnnnHPOOeec - c84555xzzjnnnHPu4tK27bg0N7COKC6l1DtV1cxqgPE+orW5isyJMb7zne988MEH69Mt07CzXAzD - EGPc3NwEYJbr0BTn1kREA0imlADoiiC0zQTQX/mVX/nwhz8MAETOe17LXVV3dnZCCABSSm3bhhBU - tWkaVR2GQURSSrVd1JWxnbsEeE6Gc84555w70CgwgVLVxliyIlbCMHApgOyE64+89E23/H0+GmfN - 5vpPCBiEUK7SvMdIs2eY3SvQiAKWUrrN5tNf+fA9D92pG0jIRZQCQRakFEoZn0gPXJdeCMkBOdBq - nC0FgLaxS302LhEMQLK01BN33P1xtDnGmnUFoxFJpYRV6K0BJhi3AwAIhi5vvPzKWw+VY6qhgE0M - OYNAUatp36v4QRPkPaa9GsTIUhJEIF3529PfvPv+u4bYQ02kRlUbpSbL2jOtThcbQQ0nhhCg1DsM - SjFIAbKgKE0JodVPvgh28naabn/yi392YvgWtVdIgwBEUAmYAIJ9X8IRAQlkoQUNDS3cePUtz5u9 - UDmhBBNgHUIp4peKnpqum9pYsWW8G2Jmk7Yrxcpg0zjtT/PmF73mss1rGmsbRTbtcwlBRFAkZ6ut - HkJVAMHm/UkAImF/r8vUihq1CCEMoTQhNCSN2SzH0IjIwD7FxQl++8Of+ZPmmAzSJ4U2kACTYmI1 - VFKwSoAeg+f3uH/W0Cc0AejxqutfdwiXSYoaGlMzMaA2hmho9vVODxySSPq6m14fF9MW06CaMoEo - gFANKHImC3Tv0e8gCwQoUIQQZaec+LPP/od0aCdpKqWoIgQRKyXlnLOEA3awdpc0CqiFYghCsp/3 - ktW2SzltbZnEZdsMk0OyNeOhJs0mZXr54as9qvkiwwi2QMQqP/lMjrIxQLamhxublFOCUyFst12e - NqWblFnMU01dgw4m7aQDsCu3ezx3cs8uHQtChLXXYhCOxbTuypqgaIP2iqNXStlf/2pvCHvkxMNQ - EoBKYVZ9kjNren/bXVB1TEDbtr/8y7/8sz/7TlWUQlX0fb9O7N79yHMoxtg0TR2agNVgHZKLxYLk - 5uZmKeV8PK9zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzrm1nLOZtW2rqsOQp9OuFG5t - zX77t3/7hS+8dj7fBrBYLJrGV4FwzjnnnHPOOeecc84555xzzjnnnLsoqWrf9yJSSiHZdV29E0Bd - BLj+0LbtXrdcrKioii4W6ZFHHnnXu37h1MltEWmaruu6GGNNRyY5DMNsNju378td7EIIJOv4pZqI - VNejbprmoUcevvvuu3/jN34zJbbtmMm1P3WbXdcNw1DXwU4p1bh6Va3/5px9KWx3yfDcFOecc845 - d3ARMCiogRoIYQAkB2Yt0oC5NMuuOb3xQ6/8BzdedYvNG2ULYMxvVhSFQQFVxlWcsAnWedL71Cdr - uq6X+aPy4J/c+Qdz3R4Ca8K0okCyac6BgMqBiy6r+bUWaIE1Tnv8qMtAIdouhGh97tnanV+7/dHy - oMWUcxkyTAAFUSDWBFFDDeumgGI1r06I1ibd8tA/fP0/ury9NpSoDUoubYSJ1WItMqa91uIG9pau - TaGZiUAVPZZp0t/5ldsXctJiCUKVIjBy3KjsMRj8klA/UhUAjDWc3gQmGZIgg6AoEajAWHCls3v+ - 9rN3ffP2MlmUkMUIEzGlqImW1SmvEELd6ydaw6CDxCCxENNw6PWveHO72AylMwqhJgC0JpLS4yi/ - E6XpKnadGNNfASUlhAgqTII1Ng+XTZ7/6pd+HxeqpiIhZYsxiiBnY4AJRKAMQlDz6eVJAIqalr23 - VwSgCIoaYBALFoWRFFEli+XShJaExWzT/hNf+vNvLf96jtNxK8wTBgMCihFjMPnuXFIFjO0L9gAA - IABJREFU4p5eiolCg6g0CJt66NUvui3ON6JO6n6BMtZhIhDB/GLO0yAS0hIvu+aW5x9+kaVIiiBS - gljdgYxh8gDEolD3Fi0sKFKrXQ0Cz80hfuprH7n3kbtS6Cm2TANzUaGwEFDZW31w7rlMaG0MEVAr - 7AtPl8vCZUft2NF8fHPnyKHF0WP58s35kdmpzdn27Kgdb/om2IVI/3XniAIKrEO7DWJWb5bNbKKT - lt3lk+dN+iNHeeUxPG+yvbUxP34oHZ8tt5r5RpsO5R0yyZmvHoTjidcThjG7C0t3dYkpMMAEJgax - 2t8gEahq4ejGcZjqnvtXezCeoykfOfmoCRloYiJCAesLq49Zv1znLjQjC1GOHN36qZ/6r9/97n8B - wAxtE2IQACRDCADqSIJz+MQxxpSSiGxuboqImamqiEyn05wzVqnhbdvWbG/nnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPnlui4/nJKQyklRl0s+o2N7j3vec+tt95aSqkrNYcoxXyel3PO - Oeecc84555xzzjnnnHPOOefcRaau9JtzroNAYowkT548CYCkiAAQEVVtmmYYhj0/gYRCMxqAUvDR - j37i137t1yaTSUopZ2uappQyn8/rUsPrmHDnKrGCklXRtpFAyjkXGmU5pGHI/91/+99rjACGwczG - Bav3xMzatjWzEELf903TAFBVkiRLKSmlmtgdY6zNwblLgEcHOeecc865A60olAChVBA5ACimFqli - GnIbJKLgx97wn97zb+9uDk8SDJpNDKswOqMqADGF2Vmh3QoqBHtKdzZD24olLsu825IvPvBXX3jg - c7de/aayCFrqpsxkjAhWjjniB4TVD1M4pv6JGiACECzWhpbMQ+5NJbXLj931YRwqFowEgRghAmbA - CiXU0quZcBCDmAChqM3jzTe85kVHX/bal3zf1z/zeQQUIDYCnonppiiAQAMA7gqTe9piFAMXCZOO - 9z38pW+c+PJNh7YadAFFBDAFxoxDwUGL7zaFklagEIKBgMAAQkr9pGtTFWpRWFtyO//4XX9yWr+d - whIRYgjUGnXMGjsptaUoV012D68mQwQ1wNJ6ueby62665uZwYqoaaqa4AkIBVKRWNPeUpOZ2h3Uo - O6UAEJGUUogTtdAPeas7OsxPv+6m7//oPR96qO+ljajTFIlsiC2sQLFKnQxpp98Gatb7Hq4mK2Ew - ilr9eVVPFMg5hxhQCk3MkKWwzQ8tH/zLL3506BZD7EvJsQUFfY9JE5ENMD1rb7De/z99NljpwsSW - 5YXHXnTNoRdsLA81wj4tJQrAVRKgjhXb69t3QrLTac5HXnX9bd/83NczoE1DFgBCMwUFWgxQoYLY - U6CsCQQQgSKIccjDsg0pbH/4cx96yetv3ppdPiyzmUxCm2mqMhQPLnaXDqVaNiEVcUO3rtm8/g0v - f8s8nNQWYWiCRCj7nCSg4WSWtzZtK5hfgr7IUGrSNkBQisAAhBByyihxoz3y+lvectoetmaSCiNY - WHKjhbkRTNLmtceuiynKeOw6e+8qHuD9HCMGGKWOAyAJMQY2UsLGdCuYZpzH6G4ITAiRncW2gRLA - YgjruHdg17Prnvrxzp0LIYRhGMxAsm3bH//xH7/xxpe94x3veOSRU/UBdThLCKGUcm6HtiyXyzpG - IaUUQphOp/P5XFXraIaaFF5KqaN2zuHzOuecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeec - AyAKGmLUnI0EgJytaeVd73rXm970A/P59vHjx0+fPinKruv6vg/qU72cc84555xzzjnnnHPOOeec - c8455y4mNe14nXlclwLuum6d2w1AVUspNcDbbG+LZJOMsVUw5QQgRvz6r/9fN91009vf/vbFcmeg - zWaz+XzeNA3JdXayc2ska2x2SgnAZDIRkZTKO9/5zge/9RCAto3DkDc2NnZ2dva6cVUdhkFE6tra - 9SkA1OWvK+xahfscvi/nnkWem+Kcc8455w4uExAwQaAJVaHBQDFQiSImXezmfWni5nVHX/q9N77x - jm/8OduBmk0yBcYz8bHy+NRPqlJtj9F0QrRNs73ou67pwxCn+PjnPvyiK15+RK/U3GBMm1awRhMr - 5FymQ10MamB2BGpqtxKAIIQogqFfFs2c4ov3f/aBU18bDi0hEjSoFlGMSc4GwqAC1NIfU9iFiKU9 - hONvfOVb0sPh1pfc9pF7P/C1/mSc5WzUmpQrAFAESgihQNlXbroKSwEVJXJHH/nU3R+54dXf1dgs - qCk1a0sT4XBOPq+LikEIZiAIUD9jgykgGD/wmpOtBEWLptT0dz9w173f+nzeHHpDDFBKISB5XbLr - gGOh7i0qkjBDq5LK0GIW0uTVL3ndpGw0NikEVAAzIIyPLQRFBDyf6YYXuVUQfS3SdfyiqWpJuYli - KKGgkS4t51cevfaWG17z0S8/OrC3UIxGAfRMYLXQRLJpWQ47rP8H7iHSUQxCwEwioZEZUKUYKEKA - VBFILkAjKWx/6q6PPbz4mzRbholszzE7BDP0BaENJZf6vOv6VvdLtpf9AwWUYoZJPnTLDa+blmks - gWZC4ZicuuszlDFr3D2FghLYxMXs5he+5k8//4GMZSoC1YBsq9IxhVqNXd9zHmht60wlqFrAUhbY - 0C89eNeXv/3FW664TXMTShCRghLDNA05BI8cdZcIAWIJzNJKN+lmL9hojr/0WmsHbWKTW0smwVJZ - NhuNFgnzph06+sHxYmJcpWsbxlxnFQNURVUaG9A1W6956RtkakW7fp62wjSllJpSxLomopfZcFQW - TZAIQCSPJyxCE/OD13NAbY/1LNIAZe0hC3Q8GmoIEUW7ZirSAIvz+mpIMLCfL4xZVZgpIoVPXFF8 - V+IusDwsj2xt7mwvAogm5Jxvuull733vv/7H//if3HvvfU1UERlS4ZPU2GdCRNYDcepIhTpkp94T - QjAzVV2P4HHOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOXcOiQjBnA3A4cOHTp48DcHP - /MzP/MRP/ISI1HWTh2GYbUye7VfqnHPOOeecc84555xzzjnnnHPOOef2o5SiqiTXi/2qat/3XdeJ - SNu2OedSStd1fd+r7nmFbFXNOQMWY8w5W0HX6s///M9ff/31r7j55cOwLKAJhpIh1naRnmXhdgkh - lFJIkszZJpOJSDhx4sS//J3/+6Mfv73rmr5Pw5BjiDs7ixCaUtKetl9XwCa5jujuum65XJKsa2KT - XC6X0+kUwHw+n81m5+NtOneBediBc84555xzY3ysEEJVi8LAUto29v2ibSeBLbfjD7/mRw7b8TZ3 - sUBhNdbOJGMMFR6vYdRMbRD762xHwXI5iJCBQ4FO8aUHP/Pg6a9RF6bFBIAKVRgFjwsLv9QpDMIa - 20wEoQKoCaw1wkpEm2ns21Mf/dwf65bslOVQMk0IlIJSQEIVQaT+LcQggIwZ0U3prj1ywzVb1024 - eSgceelVL9+wrUZiAWrdAJTQIjAxPZNDvAdS60ZBKQhNkxSyWT7z5duXMk9IhrIr611JHLxwQ4NQ - QK3FBgXUZAwUFAoY1SIYKTDNfTh5x90f25GTCxaLyASoZIFkSG2h43Z1X6GhjUBVk1nU5ogefdX1 - 3522c6NRKUIhahskYKSdj8C2S4kQSmgtozMJxrZuRxlDKbntYlosJzLljr76pa+f2VEkKswMIUAF - VkDWJm+GTMlD7uvlwr2/qFp8SggYsWrUMWphKTQJTRGw5TZP3P6Fj1mXwhQ7O2k2Qe7BAV2jy+Uw - vsFd+wQTs73vn2NUDuWwXPFdz//uxlobEszatq2bNTHAICYe//z0qKoN5RAOX3v0+uOzK2ftVs4W - Y8RjjtcC7CtKVhQAbEBUaBdKZI+8kO0777vjkeHbUILx/2fv3oJkOc77wP+/LzOrumfODfcbcQAC - pAgQAimSEknTti7eXXkVsfuwsvyyIceGNjZER6xua3IpirFrWfIyHLEPkmXKIdIMUZZDCm94V37R - gy5hrWSSIilQIMELiAsBAgRAgQAPcG4z012Vmd+3D9ndMzgAFqcHBwTOnP8v+vTp6emuqu7Kysqq - ycp/tlpKCSGFEC/ohyN6lcWoQR1ekT2U7mi4fNOu6HeOTIZjaXZ4I19+qF7RzTZwWg/r4VCiMLr7 - 4iIGuEnF6gYAyDl3MUV0PoQ0HNHZ4W7nyOF8VX/26NH5VZfnaw7PLuvPHulnh2LuOunEg7hgsaNt - zOQSbN++xrXdorv7MgNYg0R3JE36yv/9yACIZKvV3QQV5npuu072deRF9PKp6s7OTozR3cdxDCHE - GF//+tf/3u/93rve9Y5SrPUteCXys919lcwtIrVWEWk/llJaV57VKy/43ImIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiILnFWHUC7kOv06bN9n37qp/7Re9/73hhDKaWUIuIbGxshhK2ts33fvcqLS0RE - RERERERERERERERERERrCiGIiLvHGEWkBWz3fQ+glDIMQwswHoah67o2FvFa2ltSSqUUEYFjHG17 - e/zgB3/pxHeeDSENw9DGHG4JzRf8A9JFrYXKt9HjY4wxxjNnzjzwwAMf+chHANRa9774nB/P3yog - HEDL7W5PjuMoItPpdD6fA2BuNx0YzE0hIiI6sER2w2NW+S5EdC4xF5jUlg0cLAQLscYo/fZ8hh5F - LRc70l92pFzxX771v56ME4zwAhWILiI/zz2F4XCBrp/erYYgigoEuLsKdoaxv1L/011/mGU7JCsQ - Cb1asqGuYpwuKeoGwBAMEa7qyyxgwMxSnM7L8OTskW8887WduhWSqmrLwDYAskoNREu6ckVIGAYI - EGqIY/+eO3540y4LFpL1P/LWvz+dHy6jSIC4BlMxNVmmvS7yvNf+CG2lxYhsboo5tnKaffbLn9JN - WECx2nXRUYex9P30An1tFxeDlPbNii++6sYtqIeQJvOcLclMdh49cf/9T3yh9Bk9HHCE4iayzIds - 9/s9wagON4QQzICq73zj3zqUjyVNJq4IyxBKcymQIuKBSZQvTffc4G0VibvXEMW9IJqVIarH6r1N - X3f01uOX3dojlYyuxzgCHlrGtpnHBNGaUc/unA1d2NfyGMTgAiggcAUcUsyrqgZNgxXpwrZvffmh - u06N33Ydcx76BClIhugaLEZN505U4Gq+fnS3mXU6ef3ltx+Va3vtNLhX8+LqbVdlVauiKvZEn9OL - E69djDaTMO/e8eYfKDuYhEktRYBVULcLTCBugvXSux2oBndMetSaC8oojois4xcf/syJ8QkkcVEX - 7zYnO9uzKDz/RgeHSx2xVdNOTbNRtxHGUmdSSnC4lS4G1CKAFOtSzHnmmllrXcx2190iOxbQqgmb - Xd6Mw6Qrm305lMo0zNKkbHZ5o7fNUGNrJPsld7BykfDdvdJqHalqzjnGrv15NYQQQ6cSX+m16A5H - FfVhnKmi1tp1nQuM5YdeA0REVdsJn6SCWhwVYldeecW/+Tcf+4f/8L+r1VNUq7VLoRXYlqjd7mOM - L2furVcEluHc7b51khCRnPMq2BvAMAztXaWU1T0REREREREREREREREREREREREREREREREREe1b - 16c25LIIbr/99g9+8IOllDbaj5mFEMxsHMfpdLrvoW+JiIiIiIiIiIiIiJpVioS7M7GPiIiIiOi7 - KYSA5TC/bUjh1kUk57wanbjFGO9v+jlXrAYZBlzw1a/e/yu/8isA3KXNXWM3Fg7mT8/RQuXdvZTi - KsXtzNbW//yzP9cGny6LAqOlmgD7KJ2rIp1SWo2GbWbt+a7r2m8nk8kF+DBErxmMDiIiIiIiaund - ix9CjeohQEMIFlAklzoi46r+uu+7+Qcui1cdS5f1KjCUCne4WwgibmghoIDJIg5t3ShQtDxaRYzi - qKhww+nh6cdOfv1bJ79hcaxSZ/PcaXd4solaSx0u8DdxkXBER1DXVUazw6A+K/NwWP7qa588o89k - ZEhQV0AX6XR7cqCnXV9KGUe4Y2MCjDisx67ornnDtXd0ZaPTLu+Ml6drbr/hbVq6XkMwDbY6erI2 - tX3R3Te6GlAVYxzufeyLZ/3EgKGKzoadGHUymexsz/c3j4uUC0zMBICJt21HADhENQKiHgRpHEu3 - MZn7gM38l1/+f8/iGYs2H5ErBEEkiIg4FBDfDejd31nGoGGc540+6aC3X3/npGxGTcXyKre7ZT/7 - PiLcL13a6lsXgxhgutqKxYBqUgATR6zxMC7/3pvepqNuJJQCFwTtBV0ABKgohgqgGnIdDXXf0bDi - i1rC1QDLeYgxZqvmPoT5ELb/6muftmlxqeII1m4aTFdr3uScyt5c1kvvFsAyeu/vvPnth3CsjFnV - UkowF1dxtAmKm6C072t/H/ZSIRaC1lyCyVQ3brn2tkndRPaw56Tx7oYrttic1+LL+lxQZfHQxU7X - Z+5+8HOjDNlyVbPFGW2uLzpQTFDVSyhVq4kBkOVutzWJ1UU8woOJ8vzzxccVHuABWK0+hSuW+25x - BIuhplhTqovGtriqQzzCo6u5Vt9tIwnQWmUsDK8h5+6azFsnAFXd+yfS78aSuLcZtZEpaq3sK0yv - cdXydDr98If/j1/5lf+9FEsptO4vANqoKy26u+Vn931/AWfddZ2IuHtKaT6fr4K6+77POeecW+ee - GCOHeiEiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiejnGIQNIKbjjnnu+/Cd/8icAZrO5iKSUZrOh - XZIpoqXwei4iIiIiIiIiIiIiIiIiIiKiAyLG2Eb6nc1mqtpGG953dDeA5wzP7gDwh3/4x5/47X87 - mUxq9YYByfSCNjY22tDxZ89sv//9/+vJkycv4MTbQNY55xBCznkYhpYlT3SAMS2DiIiIiC5d6hCH - eMuRXaSXiatarFUgwYIjukYpY01jd930de+54wfDrJdRAtAniKI+P87sZQSN1eoiIgJ3D5ZS6moY - To3f/vx9nx7jTlbTEGwsUg1iCFgrGvYAEFdpkb+yPJZpKeniFbnG8dT4zF8/9LmctpGk5d22mEBf - RKq3MEItpYggRMARgVBVZt3bvufdV0yusbmqSJRwNF75ztv/bpc3bAgto1dbmQHQsofXX9UtzxJY - vlPMBCWUb5158P6/+UKOVVIUNbMCaEjdpXbI5ud+Xl8EKrurRri6SxWMUkqa/82pb977+BesmyOo - GJJGdzFURwUgjuB47snL/WwsXnyqG9duXn/bNbf3uTczEzNtuaRAi6+EocU/00vQVtU6ADFBVZjA - 4IsttKq5VJMqbsFCN0zufP3bjoSjnXdWAEAkeoW4BsCACpjDzGbjzKTsb5lkb4QzDPCU0jAMIhKm - MoTtB5+894nTjw6yZWLqKq7BF7ndbSeym3y5nI5LS4Ne56sxpIqpH77txju7OvWaHaOIL6MrFXCI - QYq6saidHwMgIlrSzVffcvXh64JFWWy2UIeem969Ft2TaLv7jImVfvjSw3c/OzxlfalqtVZV9Rdo - KxBdrAzBvTdMKnpDcoRlzDOwCANWeIT3sE23DfPeEV/VRaa1KDzBk3qnltSCeoDH1vBxqZACqRAL - joAKzSUMQzfLcZZDLmpVLYdcQi4hVy2tnaQugKirur6cvy3Ty2cCF1u2XlRcxRUQQBXBvapq9WJW - C8bqZW876ZWgCrhaRdDkLiJSSlHIc3bTRK8WVzz3KE/d1A0wURf1f/APfvw3f/PX3SuAGLUV4FX2 - fNd1fd8Pw3ABl2gcx5TSZDIRkclksgrqLqWklEII4zi2l7GLAxERERERERERERERERERERERERER - ERERERHR/gkAxKg51xAkRv25n/0nf/mXfzmdTkMItdaUkoi04WvbAM1ERERERERERERERERERERE - dAC4e0oJQNd18/nczGKMZvvIm7A9tz0ELvi1X/v1T3/qM21o7mHI+5k8HWi1VgCz2RBjFzR99KMf - /czn7hqzt6is5c38BcvYeXD3UkoIQVXbANcxxjbANdEBxq5+RERERHRJ02VWsAEm1lKhgRbRFHIp - BSWlqPAyq7Yjf+uOHz4iV/RlozOgIgqioNbqolgdmrYgNDGgrH106jB3h8MQIEmDdu6T8qVH7v7W - 1hMD5v1G76WWMSMso4MvRQ6YiQFYBc2NPsNmvefhzz87nqi9hSg1l0UwMADoMlVXAZRSQtA+wguQ - samH+/Hwu+/4IZ/HYMnHMu16n+mt199xw2W3yizKMpASYi1GzoEq6x9PtbLhCqguT15UrUN3+q4H - PlW7PEqRAPPazr697C/qorPcIsWwSBE0LNZXcJcKT308O56pk/nn7v3kPG5ZV3IuXZQU1ayYmGMR - qNxuWOT3tk17je3RBNUtai9b6e1veOemHIkllbGGpC71nNx25nafB4WLidoiKtIBk0WsnQDBoS4w - MYi5IJj6XK87fMNtN7zFZ1EACXCvMBNTEVSgKgAUx3zcQVjUCefJABeFq7grCsRMzMRc0IXeikGl - yDCmM5/9yp/LIRsltzzalt4tMEVbVEOr9h14GRmT4nEDm1cfuu5If5kXVYkVXixrDOqyrOzdBSYK - ns05D6WUGIOq5iFP7MhtN97ZeRLDqsy9nEBQcYgrvBVaCCCwYAAQev3O9pNfe/xLdTKvWqsX8yqX - 7v6aDiSFd2Jdi3MW17bDDQ5IAUwcgC4inxEdjA696GjbawMKRCxyndv+21zqskFl4gUoOYwtqNu0 - uJgJXErVsapZ27MDwKLafH4OLn03mbQW2LltYnHAXETMTBXFqwXPNZuUV3qRRCBAgKQQxDxKcBMO - UUGvcSLiXkVkY3PyYz/2Y3/wB//PjTdeW4qlFNpvRQTAOI4Xtp9BO0WQcy6ltBm1ubTH29vbqtp1 - 3eqVRERERERERERERERERERERERERERERERERES0T46YQikmglq9FJtMuv/lF973+c9/fhjG6XRT - VXd2dmrxzc3NNk4uERERERERERERERERERERER0M7g6g1toGAS6lXMBxs93Rd2k2y+973/tPnHjG - TQ5tHsk5X6jp08EgItPptNZqZn/xF3/x0Y9+HBc08ySlJCK11lrrOI6tzLcBrokOMEYgEBEREdEl - LTjEVV0AVLWqtcXOIYQQeq9S5sW9hqgaoOgPh6vfeduPTO2wlDjuAECXohng6oi2CEJTEwiKrpnb - bQKNwURhEMBRS52XAg/1lJz8q69/Bl0pdUgpphCrl9EK1omqvegtggNbDHOtai4mbsEAr6b5LJ7+ - 3H3/2SdVAvIwRrigKEp7r8sqqF1jjLVarbCCYLGvh24//rarNo5L7VPo1CpyzSOm4ej33/q3N/KR - YNqCn1sypQIm6qK2Vnq3LIKpDQqPAgveortLTjuPnLj/8VOPljCUUqKE0IXZOFs7+v2i5motsrut - ZAcW6ebezteEEFwsy6Ab+cT8iXse/qs6KXOvlpGgnkeghqQmCo+hxmBoSboQAIuI5bVYcHhIO5tv - u+kHfAbUlo7mJla1ANBF7bGMtGQU5UtYpHW6GKRAKsTUVT3CO3hyBFPUZcJ9byHl/vtv/ztxPBx0 - ggBBDeIKExEHXCFI7r49bnm0NaOYFZ6AENwCKlAhVgUGyXObdpvF8hxnn9r6xje+c++WnUWvVW0R - A7+IvDST4lqqmgO2CDeF+iLddC3BYhoP3f66OxOiACGkEFIRdxVAxaUF0lfRKi0oV/cxl0uKuIqI - iwNqW/HNN76l8wkWafEIrbw4HPs8wyxuClSgCoIjGVoQ6liLd3bXfZ86409Bs4iYlRBYOdDBoY6w - uJm6BbfgntyD1+BVUBVFUAQuMKBcWo2ZA8HE7Dn7mEXetglaFLeLLVaruImbem2NOHiLcncxF3dx - X02HbaTXDBe4oB1QtZWrri2vXR3u7uouBvWz8zOubq/08aYBriEkQRBRVXX31heB6DVA99wAtE3H - vOYu6jjOzYp5edNtb/ydf/vb3/d9d4xjaaU356yqrefBBVyaUkrL5G6dGNr0u64zsxDC5uZmew0A - VW0PiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhoHzSEkisAd2xsbACYz8dTp8584AMfePrpp4dh - 2N7enk6n7n7m9FbfTV/t5SUiIiIiIiIiIiIiIiIiIiKiC8PM2sDCqotBs/c5IcGLJZcMQ45Rn3rq - mQ996ENmNpvNUkr7XV46mPp++uyzpzY3D3/rW0++733vd8diuOsXL1draWnxOedSStd1InIB8+mJ - XrNYyomIiIjo0iWAOIIBHgF1saqlaqlaa3GBpjAJEuEOGAIEitnkXd/791I91Nu0j/CKWj2EtIyk - 1WUunS1nsN4i1WUUdFJoqGZuBgtSNstfP3iX92UYt2MQg401hxQvtSxEhzpU3YBialUNLUfLETt/ - 6Ol7Hzn5oAWrQM4+6XSRMAjbk3GuAFzFC1QRIzAqxu49b/0vMOuidGbWhWhj7vtpGXDH8e+7PF2j - ri4FgLRcXodD61q53Y2slkGDLUqgi5Uub/mpu+79VJi6xGAVqrjUoi5N4Iguz92IAIjFqEOehyAI - 2BrOTI7o175596n8nUEGD+hijAjjAFFIkGwOT2pJLaoDgO8rL9IFGkPNuGHzpuumN0bvAEy7SSnV - pfoi1bKFWYbnxLnRC/G2iltapHjLZVc3ccADPDiCQQ3qAhcVIEnCjt9yze1XHL6p5lgNbqNKVXO4 - FMAliAR3n+cd17zmGWuFR3hUmHhRlJYzCqhKb1UAT5ty11c/aRuzGeYleBV4S++G+TKr3QQuZtIK - QFRXcYivXf2LhzBM3nT8zQpxsZIdngwY6gio+qLGWNaBGi6t6mE/Qgg5l+IlpV5zuu6y44cnh2HS - NlVxhLobxNjK5zpMYYBVVQfEERcJqObucZoefeaBb554UKKHkNyrGdOL6SAxcYgtK3nuAAAgAElE - QVS3JhYAqIssNi7F7tZkQIVU08ryf1ExwNu6aztrwM5JrjUxh5poS+YWFzUVV7UQLAQLaiqALIK7 - WzJ0e+OiMUCvLse5a0EdLbe7/Sgirn7qzEnofjsEnPeimEEdk66XNitzdXH3vc335y8w0aur6zpV - FZFaa0oppXT8+PHf+Z3f+ZEf+aGNjY22KZlZzjnGGEK4UPNtgdwhhNaDoYVzt048Zotdbcv23vuA - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjWZbXGlNqFWjs7O33fi0AEjz76xHvf+95nn3320KEj - qjGlFEKotb7ay0tEREREREREREREREREREREF0YIwd3NbBgGALXW9uOFmn5L6Xb3EOTP//xTv/7r - /1JEVSIjb2iv2Wx27NixkydP/pP3v39ra8scZriw4123Uh1jHIYh5ywiLc+b6ABjPUtERERElzRx - bTdAXbyGbJqrFoObaUKahEkwVBsycnVLvnnZ5LrbX/8WRdenCMAMgrDMj9ZlMC0g68Z2wwUGN7i2 - 2FeFJCSBS5iF2VOzbz/6Nw+JWrGh1DmCSrxEE8wEVVFNahUooAZxDHXnC/f/ZU47FqQWdKHl6jbW - /pmgRcKVUlQRAoJAkW685vU3Xf1G5Imb5pwTYkLUKO7h6o3r33z8e2UZJq2OYAgGF7TVvS8GQBxt - RUPgEWMc7vvGPSe3n1KJijSO89TpnsTxS4G6KHwRMKaAwCB78gLFgIqQT25/58v334XJWNUQNWkK - 8CBIAWMtLgHWw3u1uHi3LO/XXF9VHB7ecsvbJvmwarQAcfVqLla1XTi3qED8FQ82PEh8eW8A4AJX - eDTElt3u0FbuI1wqernszbd+fy0tX9kERdylvQ8REDObz3cq6rrbiyMAIm4iGVKBCsChIfQ1Q6Js - zU7d+427a5rFqY7mLuaAq7nCl+GjVRcViy9y3LWVBlk3SNziVZvXXnfsBrPikr2qW3BB9XYOXoND - XapoFQHWnv4lx1U1WIsDBZJPD6VjN157PCK2p9SiuopFuJqsfXJMHQIDrIWpB0dY1AIWQz+WUifz - Lzzw2WxZHBKlGE8x08EiBWKtVlxU4BLhqWJ3a3CxqqVqdsl2abVnLnJikBE6QDIkC4rsSV5fHOlA - 217bEIAQrEul73Pf5z6VaayTVFOsKZiKxVVut+++nV5N52yPumhUqIi4u4iYmYm52LOnn614xTde - dajGyWQDkJZGLCLiL9zQYVVC32XiEH+BhuLW1lYpJaXQ92lr60zOw2TSHTq88bGPfewnfuInJpMJ - gK7rAIzjeAGHXDGz1pvBzNqALyLS0sHb5lNrbXne7Z6IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iPZHNZWxqkZAU0rDMKiGdvnj/fc/9P73v//s2bOz2QzQGKO/yHWRRERERERERERERERERERERHQx - akN2TyYTd2+53fvvH/K8oJycc4yxVIeICD7+8d/+sz/7s/l8/vIXmw6SGGOt/mv/8jfuvvvLpSwG - aK92Ice7ns1mMcacc9/3LVG+3RMdYIzuJiIiIqJL2gsEyIkBHvtYa81jteJmpq4hSEpJivo2fvRd - /003OzycKeLo+77WaoCJCWyR7bT/IF8TERhKRjZA4ZKK1UHmvjH+9dc+45OhIKvG6bQfxtnL/wYu - NioAICbqy7BVlzLE+TPDd776zXu8H1W1jkiTtD3Uqov1K24KW4attoArzRnjDg7Jse+/7d1h6DtL - KUbAqpvGbrYz9Npv2uF3fM+7Yk3iCL7I2272l0NpCldzMRcV0xbxOzqsG0/bdx584itnxzNx0pea - UUsLHzdpt5YvG8VVFrHWZmImODBHdi2NeJWwrq7t2x69agrZxop5fzg8+vRDj5z4eu7mULfi8zwv - tXYdQkAZ0KcEccBd7EXiIXX3G5PdM5XquvzV8rcZU5m+8cY3S+ncgoiWMkZVE4UH9RgMilZpwBhF - uQbD7hcOABDTVn+aiAta5qtY0GQ7csfxt0zq4V42VVt2N6Bowe7iKualjrZuNLIvY9cXe4G2OYu4 - 5lnuJmGuW/d966tbOHNmOCuCsIiBt/ZSW+47FkHdsJZi27bWxRywu39RV13NSwBZfPz2jDpiDcev - uPWQXo7iQTWEIKItim8xERfZkwjO6NOXZGYANGAYZorY28bNV7+x881gEa0CX5Y6AGund8vqXgHF - nj2+CM7sPJsO21e+cfdWPTXILMa45uo6IFU6HWjmy1bQMshZDQqoiS7bLczYvWiJt30dYMBzD2lc - W8zz4idoe0ZdxQKwaNmKq7qK6znVmYmZGPOXX13aNlBXeFw9KbDWD8DFDcXFTHxr54wh+7rb8qJp - rS6rG/Y2gc55sStCCBtpI3pIiABcURddELQdwS3sbToSffe8wCZw6NChlp89n883NjZSSrPZDAC8 - /uqv/rNf+uAH+j7lcUwpANBz68L9WQTbtz46McZSSkrJ3UspsrTK8G7B3kRERERERERERERERERE - REREREREREREREREtD9WK4BxHFU15xxCqLWKSAgC4D9/6nP//MMfDiEZdD4fQ+CQtURERERERERE - REREREREREQHR601hNAexxhVdf/R3S/OXdxhhg9+8EOPPfb4BZ8+XbQWwUl/9Cd/+olP/DtVVEOM - CoEui+XL5+7T6dTMUkqllBbv0u6JDjBGHRARER1Y7oukFlU1MxFmPBKdy4GqVtVcTN3UJJakNYmL - 11FDlVihrpoEHapYqfBhU9NV9bq3XfvOo92xWlGLG7yG0XVUWHILpi0ZdF3iEJi4iaClO5nDIFAR - NYuzex773Ck9UQUl28722WmXdnNcLwECRAgqQpzkLOqdmFTk3A2zjZ1PP/jJedrOGH0YjvQbO0P2 - HkNAVogjmgaHLNO73asH1IJD3aEjftXbb37nZOimEqXsxOSjS5aYpE85xFl4w1Xfc9OVb4hjnyxF - oBRI1OIma8az6zJ2t4oVtSrqiGKdOmJEFh+nZ/7sy3+aD9UZdkKQ4BoW0cJW1IqoexJLwVIwU1Sg - urhDDdryvC9q2sLRYaa1atUaYg0txXkwSJ+yzErYznH2mS9/ej6dj12G1wjXKCOsAsOILsLqYDqr - YVZCqQJrW9aezGO4+iJNEEALUYYC4qrWqXVwhSAYunl/RXfNtdceLxqjdnVeNHhFUQvB+lCDmqgX - Ra1a9mY20wtaJrObuokLEAyhqppmSFbk6BZtt8zvxCEnn3h6w+W3XB2uizudGWqEJVRYBKKXBO00 - zHbORsVaUaAttxJAVi2iJiawYBoc0ygFs51D2598+NOnw6w7lLR4X5EqxGGAC1qoqRhiRTQEN0Ex - LS7my/h5byVLoEBYfC5UhQsCABN11RgMgCPWeMfr3oZT/YYezfOqUSR4GasiAGZiVdWh0S16NbGq - uIRq/30pVieTHl6SeDCU7XrnTe+MW4e0Rl2UPlMv6iVoMg9rnSJr2e0mEDdxuKDKIlJ0btuHrown - tp/1Q/mL3/x87odqJUnfouIXoaVi59yWUcdqogZdO0qc6LurBTbDW0E1l8UNMHXTVv0twptFXNRZ - pC8eruqiHoAABBdxaIvxVm87caibLrO926o3sRJQgpU4K3FWFQZxWeV/L6ibOv/k9mpSWDQPDrUE - TwaYFEgBzFUyDMm9cwlavTz59OOasF7U+rIx7FD3rqKriFVRW50hAHbrh0UNAgB2+aEre2x4rmbV - Qs1aTaCuwa3dxBVQVib0Xbbau+1uCK5wLdkEISAkTV4qqkVRdYvqOW//D//ov//YR//1ZUc3Sq4p - ws0AiKB1tdGQAI2pX/V+eKmlWL1st3dOKQXAOI6rF7UzwCLi7i3ee+8kaq3tAfs9EBERERERERER - ERERERERERERERER7U/XdavHquzcftC0daqqIpISczeJiIjoOcwM0FodgLtXAwQQ/F//4T/+q9/8 - 10POk43DxeAu4zj2fV9KMS8aUOoo6oshBRb0vK8sIyIiIiIiIiIiIqJLi7uLyCpIYu9jIiIiIiL6 - 7lvldu9tpddaV51Oz/ntC/M9tz1UtY0w7O7tl6X6T/2P/9OZrZ0KQYiuQVMsbo6qAc/vf9KSLy7Y - p6Xvvj1JJa0PM6AxdrPZYIaum7jLY088+Usf+t8cMBdVLcXgsFKfX6Ke98S52vjVAFS1ld7VENbt - x5ZPD3aVp0sAizgRERERXdKWB5AGLCPEvEWCGVAW+Uy+iCdUwGF96A/5sbfe9HabySSmnGvogotB - IIC0+GFXvNSh6fktX0sXNgCu4zxtf/Ghu7Xzvu+7mPIwvtT7Dxqvpqo5166bWC6q2k/7rXzmlD/9 - 1ce/NPOdfhK91FpK16XynBMEJt6ufoI4NMCqT2KwbX33nT94yC+PSMhV4ZBFqLa6RNNgIZb0jtvf - E8eNTnoriJ3CJQQxK+tF2QEA1BaBry5o4aziKAUSMPOdZ2bffuSp+2eyo1HMbJk27a7uApfF9VcC - CBZnx3YjqHfD8i5WwaButghIW+TgwjWEkGtxserz72w9+cTJb9ZkowGAOKyl3gJYRHQbZDQd2/PA - 7unIFrbugjZZYPmVyZ5gbwigDojr1CfHr7pZtVMJajGEJAESsDoX2fLTAAPchClo52WZ6qqLDHXA - xYDS4jx1uZpMUBG8xlQnk7Lx1lve0eVp301cUAzVoQG11mI1u+2MQ9X1N0ZvmaOoiy1L27p3r/O6 - /Z35k986/Xjt6k7O6ggVugyAX2T3+W4qvPji6UWpW55mbP+1ymdP8YMI1GHFi5kIxNAhXX/5jVM5 - JDmoq3utNQdIlMXexHe/PXvJU58EQBCqm1gN4kElab+pR68+fENCckc2VEAF4qjVReO6019UL2LY - jXNUg1agokiHs+OZ+x+/t8S5oXor8+dj92U8ZUcXkXMTmleW7Wq6qCyPffYEtC/o7u5n7xo3l8W+ - qWp2Ka3ZtXwZvbYIbLfdKy18HQBqrSFIsTrWPPr8qZPfGn1wz/uYwZ5HL3h0cu6IEqXYsSNXIIsX - 17BoXrcitGjbE10kRBy1APZ33vPuf//vf/+Nt76uRWaHIO4wsxij1aohlFzXaey9RPvQ3UsprdPD - ZDKptbZ+PKvE7hCCmbXXML2biIiIiIiIiIiIiIiIiIiIiIiIiIhoXSKLFMY2Bh+Hxj5IWlB313Wt - 37W755z7vn+1l4uIiIheu9wdkHYl5L/6yG/93//hD4axFLOu67qum8/nqppSqrVubGzwei4iIiIi - IiIiIiIiIiIiIiKiA0NV25C/q+7Ey9Dl9axSk1d2dnZOnDjxoQ99qKV6m9lsNtvY2BiGgVHKB56Z - iYiZzefzK6+8WiTUWrdn8w984AMnT55WVXc/J2l7La2bNIA2l9ZlejV4NdGlhlUqEREREdEaRCTn - qhpuufmNxyZXxdqZFdfynNc4FGZA3ffF167LuDuoQRwBKF6qlnvuu3vQHU8FUPG4G9p8aXAxEXGv - AoPXGGRnHGQjfO2bX3z8xP0SRKAQuGdRDw6tUVxNYQoXqCkAF5gD0JC7I+Gyt9/27mCTWmttqdqu - JmrLoDkDgPDWW95x5fR6K4CIWzAIrMrzzmedxwcAgOAIbkCBjGjLUiGAKmb5zD0PfrakMxnFJQLQ - RcCeCQoWN3NpedG6Gz19cKi2WGRxE4OruHSqsOpQnaavP37fs9tPi8gqR/n82fO/p+UUXFqmsgEO - GAQKqMVbj78BVd2l5Z+1s0gvNG3h8fUF5rHDkeRHQ920ob/tljvrTGyueY4Y4AAiapDahdKHZ/LW - EFDXXAMCaCtoADzBA2CmBdFd7bEnvnF66zsA3GECCfvZyp7/HnG0EuTqhoqKIFE9Hdk4dtXlV7Qs - vTZ+x4uUNDpfquoOd29/rggiKUyuv/ZGhQQAgBtUIECtWXTtb1v35J7uPiumguqICdXLN5/4+unZ - iSLZFbb3Zc9Lw907hXWXhIiIaA1tByQVUlfZ6g4Vq5OYgid3WL/zjb+517Qlaa9HDVhEbnswV0Ad - wdsz7XCs7QQVjsVBb8YNV98AE3dXicBuV4NL7GCXLnqllJRSzkNK4c1vfvPv//7vv/Odbwfg5ipo - 3RFCCG4G8dUGeB5e+pVttLjWAaLrutaXoh1WtM4QAGKM+/xgRERERERERERERERERERERERERERE - l7AYo7vHGIdhqLV2XdeuNqWDIeccQpjP57XWNpJd13XDMLza5Y6IiIhea2x1nVcbDBfAdDrtuvhP - /+k/+9M//dOU0mw2mKGNnqwSx6GM48hRI4iIiIiIiIiIiIiIiIiIiIgODHcvpbh7G+8XgIjso3/I - 6i2rKA0Aw5D/6I/+0+984ncFock5b24cHofy3HcbYMt4HToIVnHaKaWtra1aa8n1N37jN+6666+x - zInv+3714v1NH8sRqltnaUbC0yWLRZ+IiIiI6HwZNIY0mw1istEd/r43vsNnoUuplAIAvogBbsHD - VWHyQlHB52F1jkNgCgtuqqgVFspTZ558cuuJuW+XUrrQv2j25wElIu41BMl51qkAfmp2yib1c1/5 - c90oqdecs0aVgDwUBYKrWjR5TqavAxVI0ttWeOst3395f5WPUh0ag4kCuwF14ubiYt0RvfotN7/T - h5TitFR3k1IQ43pr15ZrtoW7C8zFXAqAoKgZoQva5/se/fzJ+bdGyabSlkEdClOYoEKqawUAaLuJ - S0uwXj959jXHdks+XM0FgKpHMQ/iVap1/pWH7/bJvFpJIb3sGSoA+CoJzQCYWIvOFddU+5uvvTVY - DAheHbsnMdu6M9sTHn4Avv/XFAVqLlo9mAbDTdfeeM1l13TeHeljLwEjkKEOETexs/MzJVRfO/O4 - xbS3JO0Ijy7ukrNm9HbfQ/eIlmolJMHyjORa5EUy9txhBhFRVRGBa/R43dU3xtjVWs1sdZpSVWut - 686XltRNTFREzEqtNaC/4dobtYo4kqKtUlW4u/ua3/Nyexc3wJYh7e2Ms9aC4oiTsFNOPfjovTVa - XVRoa2GGNxERXWiugGDR4h0BE4dBAUR4MA3eiYj1Ow99+6seCkT2cTyrDkg7FDY1093c7kWLa5ne - DTiSdwkb11x1fYCqRnXxCpGwmq3vHlNzz0ivda3PQd/3Oef5fH7ttdd+/OMf//Ef/2/dEYICaL1q - FgeV6x1f2J77c7XBXFpWdxstTlVjjG1e7ZiiHWKcPn2aXSKIiIiIiIiIiIiIiIiIiIiIiIiIiIjW - cs5oejnnvu/bKHt0AABQ1RBC64MNYBzHfVxPSkRERJcOM5tOpyIym81yLqr4+Z//+XvuuSel1Pd9 - rd73fSml6zozS+nlj4tCRERERERERERERERERERERK8JItJ6nLZOpymlUsoqU3kfWnfWNp4wgJTk - X/yL//Ozn/2sSlSNtdacc4zxUsulutS0LkZd14lIK1F//Md//IlP/LsYIoA2uPQwDAD2N7i0iIQQ - JpNJzhnAbDbr+97Xj5wnOhhYnxIRERERrUE1wgUepaS3v/Fdk3yoC1Ge06xWcYibtxzi9Q82WziZ - CcQRDMFa1JkYUEKehe37nvhS6QfVKBYv2Ae7aCgASFV4EHUrcTN8/ckHHj7xYDqG0eYV7gJXkZaQ - bQHQuhvdrVXURCUoLB7BVe+57YfTfCJZu66rcLjC1RcHSg7ABeoBZ/t33faDh9KVJYcQOndPAUGx - 9rXXrnAVb4F2gCwi3sV6FLi7p3wqP3731z8pE2ufRRwCqEG9imTICBQXMyg8wCNcW7b3QUmzM3HF - MulcLYirFPNaPMm3T3/7oafu080y5GwV+zukFZj44rtywGV3ozNpX6OJQywe7S+/+tA1yVJExDK8 - eXk2yiHLzXwZo35BPj8tFcGOhh33s1HzNOobXneTbmfdqv2oaURfMHUNuYQ6mO2kVNascA0tUdKh - LkAAAmCmZZTZ6eHEN5/8uqTiUmp1h45lf9uXoZWxvcn0AjMAGmMEYMWDdcevu7lma7ndImJmLdu7 - nXan9Smg7qKqriJeYR7R3XDNTV6BClV1R3Woqga417WrUH9uiRO0QmUGh+QCQ5U+f/Whu2scR4wm - rSSsdjGLPcJicdt+odXka4fQExERna/W8HGpLlUXezIFVFzrkIMFFz9lTz126oFRx2x5f3NRh6Is - bm3nZwAisMgOB9oBr2pOl02vONwfCRKjtj4HEFnsIpfNJ13ucrmLpNe01mkmpdRa8uM4bmxsfPjD - H/7FX3xfKQZgOu1bs3M66eB1+b7//2NJ23N70fm2Tja1Vnc3sxDCahkAtOMOAEePHr1QH5aIiIiI - iIiIiIiIiIiIiIiIiIiIiOgSUWsF4O4hhPZgGAZe93eQqGqttZSyeoYRm0RERLTHC1zbNZvN2ojJ - MSYzhBB++qd/+uGHH97a2ur73gzjOIYQ+m6ax7p3VAEiIiIiIiIiIiIiIiIiIiIiuni1+OTWu7hF - iqyeXEsbOrjF32A5rDGAnL3r4vve9/5HHnlEEGp1hFjbQOKuENu9HZx8oktV61O07FlUSqm1mtl0 - On3wwQd/+Zd/GUCpi+7NbfTplhy/j1mZWa11Pp+HEHLOqtruL+CnIbqIsOgTEREREa2hlNJ1EzOP - Prnu0PEbjt5Uxqra0rUVHsVajjJcFtnD+9CyhLEId7bggLsqchiGcPZrj32ldLPYhTouQo4vEQ5U - dwTNeei7KCbFcjzqn7rnz3xa5zKfjwhRM1pcliKjBXg34gDMoVUUgO3491x9503H3pSsV0MX0zAM - i+C65yanisU49MeveOOt198xbiHFvtTSJal53WD2RSJXWES0Lla0CwJC0L5WGzELR+vn7/vUjpzN - MZuYC9RVWz63G6RC6jL0ToHl6TQYxC/ys2PPObvnYiYOIJh0GuCmPb7yyJe29XQJQ4iC+uJTWosv - 7lywPM+INt/jV9088UNaVQyAwtVNDN7ORbZ8b4cArQa4QMtDjVgMiOrwGhFkCG+6/s3HcN2R8eqN - 7SuPjlceK9cdzVdPtw9P54f6eT8/PcqaFe5zAu9d4WoC01rT+NCTD8zKGZN5P0m5QlO0Pdnb57X4 - y/Lgi3+7dbUqfFVaTKRIsMkNVx8HpGXstew9ACKyOmNO69rzHTpgIYTo3VXHrttIG54Xa74WuAoU - fkEqTwEAq4ixg2Kw7Gn41jMPn86nSqi+RiA3/9RBRESvnAhXLI53TBdtYQSJYiIO1/rIU/efzCc8 - lpeY0vP5qr20/Mu9LNrJsvgD8Cq329pDHeLxK2+J3kkNAVKKqYS9B7kmYCubLhatv8vOzo67q2rr - 0DCZTH7yJ3/yt37rI4cPb85mA4AQZDabtWH7LpQ2MFzrORFjbF1tUkqTyQSAiAzDsLdnDxERERER - EREREREREREREREREREREZ0nEXH32Wx29uxZp4Po1KlT7r7qlZ1SGsfx1S53RERE9No1nU4BtKFy - c84ASinPnDj9Mz/387PZMJsNIYTJZAPA2bNnOcQtERERERERERERERERERER0YHR930ppXU6rbXW - WmOM+8gTaV1Yn/+8CObz8uyzp37xFz+4tbV95PAxlVgrh+g+4IZh6LqulBJCGIbhZ37mZ3Z2dgA4 - EEJIKbUyJiL76+TcdV17UEqJMbYZXcDlJ7q4xFd7AYiIiIiILiZmiDF68VBTV47ccfNbv37fF9ED - AFwhqg5BcRhcXW1/OWPtTe38SnAzgTpcYMFG3Xns5EMnZk9t2JXql9zRrLuryjJcWV3s0RMPPvDk - l+sRm5lLhMGgcEBNVlm56jAHBKbmbnANJWxi891v+rvT8UiwAJWcs4g4BOLqhkXsuolL8JDQ5dn8 - 7bf97Xse++JQnhVAHV4g6x5RuQqgYnuCwRfrO4i6Sq7e9ePTJ/7mK4988Qdu+OFaYkCEQ9rrxNDy - gx0OhYf2GQW+zO0+CEWiZfWZmKIAQRzqEYIte/Yrj37BpzazIXWdFRdfL015zzysxQe2WTna9mZo - icsCdQTr3nT8jpi7WKMVD7JYVe4ucBdzgbfXu4Dxyheca0WFiWKq7n2WO2/4obNvCaa5ZheBRHP1 - PB+meujqeM1lfk2s3Zrz2JPbDW3rtGoZ4+xrj34R3VgxiiZRuHsI0dYOVF682ASrE+bqi8Ii4tXc - zHrd2JBDVx+5Tse0OrHeHphZy/xb83MRACi0mpk73EQkiHq1Sb95zeXXnzrxhNXFSnF32WcmqL5A - eRCoRjPEKHAfbEvRfePbD77thuvcbG+C+66WTiqGvb92XoJLRESvBDUIECFZVwciYuYRriGE7PMi - 21948K/naaeKYtkAXoNjtcMT7L7bRdtjEwfMtUCgrqlufM/xO5NFGBzi7jH2uRZdTGN5+ANtx2hE - r2WllKNHj548eXIymYhIjHE+n8Pi4cOHfvRH/6vLL7/8Z3/2Z59++lk3F8AWEdov1KRcU0op59w6 - PQBYPVj9mHPu+x5oxzUH4aQBERERERERERERERERERERERERERHRd42I1FpTSimlNk5ZG/VsNYQZ - Xewmk8kwDO1CTpHl9ea8rpOIiIhe5Mqv2WwGYDqd7n2gigceePin//E//t3f/V3L1ve9aNzc3Mw5 - Pze9ezFaziu+7ERERERERERERERERERERER0obn7Kk+kxW/vI7d79XYArWPJqhdrEC21mOHzn//i - Rz7ykV/4hZ/f2Nhwd1MBoHtSLRb3jLQ4ECaTjZzzdPPw1tbWr/7qP3/0scfHcdFzqSXEY8+g06pq - tt6I1i3we9WLKcYIYD6fTyaTC/gpiC4WrDeJiIiIiM6XOkIIZhZCgoUuT990/R0TmQaDuuoyclgc - LVHY9tvetj0hr+1eVd2hESXmU/WZJ099cyfvpP4Sva47hm4YR1cvsXzp6381j1vbdVYF000ZBoQA - qJSxqsYWdy3LbxJA1QIg1clV6bq33vyOMO+84v9j796DLcvu+rB/f7+19hihBpEAACAASURBVD7n - Pvo1PdJIQm8JgZBBMjKYl00ggUoRkkDiP/JHUpXyHyQRcSkRklEKVKRsFyHwB3Y5wTGFHDDClHk4 - RZWDAimBBZGMHggJGc0IPUaPeapnpqe7b99z9t5r/b75Y51z+nbPaDTnzu3RTM/3U1tXZ07fs/fZ - 77XWXXt9c85lGPfmO+13DAGrQITBiBQ+89l4EK976V998ZmXx1D73liZHb59xhaPNp1x9VgVo0xl - 8C5Xx9US6ZR9+M73jfkg0sRVUl12pk3LF83CHHCjO/HUs76eKVp4LQ0AjWFhCAC1MjweOrz/i498 - uqRpWeEOs2M/937D5rr+PDV4tP3evfyOV+Y6S9a1c9CSI2VvycsWQITFeof60cNMTpDnNI2IZTqT - X/DXX/093/uN/8l/8Pof/v5v+s++++t+8Hu//j/6/jf80Pe/4Qdf/9Jv3Sl7KbZJobOA0UGjrQPz - AvTqsbQrd3/pk9WnMAzjlDOGYbz+ecjjoGFzzLYm9IgwYp529vLZM7PbLSwiaq0t549krVXjOxxb - +0MFycp2YXGOyCW98NyLZr6DsJwSvf1V49itY4/zOXcfhiHgPsNAljR88p5PDPkwrG7z7W+Vq7qI - iDzjtMxuBwD6pnBSgky+4NWFXbrrC3cVL5ONgbptRYPmNA8D7FrFpy0kDGGVFvTS3jf63PZe/rxX - 9bZj9NbPIOccdXWLpa2np7zaIk8DM7t69erOzg7J5XJpZjs7O61wWGt9wxte/zu/8ztf93WvIpHz - SS53mqY2CCCA2Wy2XC4BdF0HIKVUa211mfYLIiIiIiIiIiIiIiIiIiIiIiIiIiIishWSKaU2uF7O - 2cwiQrndt4w2dN1sNiuldF03TROOjEwnIiIi8lhm5u4ttxvAYrHIOUeg7/Of/umfv/nN/0OXZ2WK - 5XI5jiWf7LNkIiIiIiIiIiIiIiIiIiIiIvLVY2YpXReJUmu94Z0nY9NVNSK4FhGlFjcHkLO/852/ - 8nu/9/uAJe8UNXtrm6YppTQMw7vf/e5/+S9/a5oCgDvcvUWuuHsbXHpnZ2fb3O6NWmsppQWBR4Ry - u+U5S9dTERGRW5YZzKzVr9qLr/Y3ErkVkGRYrdUjpdK/5PZX3LH/oliuQrtXrqX5bm3zuTgyhzCM - y9jb6xYjBkQ+hT/7y490exjrwOdYumfufJoq4HnWjzYs/fKf/MUf1/lgXaJhGNl1KARJ9x7wCcVS - cMTMwAo4kIJR+mHvO77he/thPvcZ4ItxsbM7m4YRABAO2iqVORI9EaVMO3nWjXvf8vXf1UUuC2JC - tq3rU3F9bjfWYc/kNJulWmsN2AyHnD774J33Xf7skMaSrIQl76N6ti4qYDfs9Wgx0rdAgPfqRrXJ - UwZgFcZSmeb+ic/96dRfHmKZOphZKWXrKMEj0enrFPT1TkxmBjMkGsJ67OykvfOnnpdLZ2FmRtbW - cNk+ary2N8MU2n0TWNBL2LJi4an2nni1nkr7ednPh1m/7PenU7vD3t6w1x12e9jxybbdC2aMiOQz - o1cW7y3AyvrFi5++XB+eMNLgDiMs2VS33seb053mm2u1EbXAPdFgZhaGyV75gldjSMbUntJsod2P - bXmXrURUSwhzt2xmUWtvnUf3kjtehdo7UkSYgTA3PMVi8urT60T22WwGoAasQ+3GO+/+aMkLpGpG - d5CrDO9NjCLQrntqoxMRkZsupVQrawlDl1qx1ghngZUUdX74wTvft7SxZutmOba8QdKccGKd3r3O - 3gZQrDLVgtG7WkqYA0Rv3QtPv/i2+flWt2qdDIZhOFoEClwrsduzv74jt7w2DB+Avs+1Tq0jgiO6 - ZES9/fbzv/3bv/U93/NdmxBts9VZthmBpXWG2FYbHg7AMAybd9x9U+A0s5xz+z7NJsm7lHLs/hYi - IiIiIiIiIiIiIiIiIiIiIiIiIiK3vDZEg5lt+voq1/lW0p7obK+naWp7+WjXaxGRW0O7vlFEHk8p - pRX2Ng95PXF5j+QND2S1Z7XGsbjjPe957zve8Y6u68wsz/rFOKWUSLp7+1QbSFdDSYiIiIiIiIiI - iIiIiIiIiIg8q7UeJptM5WbT3/iJO4cc7XzCI8OAE4AbgakEgHe84x133nlnSzCZporkFcSq+0rd - 9HWRZwuSm6z3NqA0ae4ZngP+6U9/5id/8h0peTsiDGkdkHTtgFksFk9mQe3gbEdIe916Sbn7pi8T - lWMoz1V6GkRERERE5MnzhFW0qpl1nM25+9LbXz3nvrO1SgQsuE5ofgql7VUMcxjCQEPOGIaJhGcs - sfjsA586KJfYVTzHortLKTCj5YF1mi0+8qkPLOyg2BAWAFahV60xig6aZ6uou73HgK5zBsYl9mf7 - 5+z5r/uaN8xtNi0HT8lzGpdDMsIIhDGcQSMAJ4zo+jQM03zafd1LX3/Kz56ezYwpCo+1kyPWCXag - t2V2CTWmyvAMwtHZwq98+JPvq7Nx8pHJS8A9kQZYWyjhAHy9umFHZvvsZS2G3FfraGznQtrxJRef - eeATg1/JfWKglDF3T2VJAYSvjxmuf5IAPLOzkm4/88Jc58ZsBCxoCAvQQG+54i0oOgyqWd8018KM - jTBarjnXlKvnmnOkFF2KPtUOdMBjy+M/DGbWTivzqCxmho53P/jpMR+wa+3OANAaEI+xAobHOSvd - rz2N6e5W7Hmn7ujKzJXcfHOs7siEB1Lk86fvyLEbdTVYynpHHGfGQNCu+6QTxtgcLcVQc1361QuX - 7i1WgqW1RAMgaWZqkhYRkafZNE0ppb7fbZWLCEQthUPey4+WR652Fz9w5/smL+Z5sZjm/TGGfvCA - s/2Nfy0Mno1OZJCRMozogXqIr33JN+z6GS/Jkdxhxgg42nIjjoR/W7vPnsRGEHmazefzcRz7vodF - sPzSO3/xb/2t/7TrVvUCM0spbQZbOcHyYSvotvn3fX80vfvKlSs552maaq05Zw0dKCIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiLPTZvhaFuGdxuXtuu2HtDE1yMP/Pqv/9Yv/dI7DWkcyunTp5fLEYC7 - u/tsNhvHseu6NvauiIiIiIiIiIiIiIiIiIiIiNwa3H2TpZ1SaoMAb6vrulpry8NyxzBMP/qjP/ro - o5emse7u7polAF2eRYR7Wi6XJ7kCcvPlnOfz+TRNOec2XnTrszQMwziOb3nLW5bLaZPSXWPrQ8jM - +r4HEBE55xYqv8n/bsNQb+a/iZkXea7RaOwiIiIiIluICCMrOEV1pFxnr3nhN8zKnkUKwBEAqoPr - yOdtecAAGDahZGEg4CnVCZ3DHdXLg5cfvLi8MPkyjrGMZzMzppQqWFK5bA998FN/XPpxYnFGCoAZ - R9K7w7yiVtIYXuGR6oSdDrhaX/eSv/by819nFUQBAEsW7ZOlpUdvGgnaBh7KYt53XOKOnRd886u/ - JQ87dYBbv+33b0fIJtzd6EZ3AsYIkLDkU0W4jfngY5/50CPDfWNa1hwjq6ED0zpSa1OVizY/PF5C - 8LOOXTtrVitIQ3gpabo0Pfr5C5+qvnR3BCJ4rHSxox+Jtt2u/XfbBfRkHYf0kjte4dE5E1qc++qb - udFW39PaHFbz9OfWuXjz0T1m4CzQB5xwX10aCQta0CKAgE3uU/IpYds9wDCaR5AAEionOqvXu77w - iaUv6KzrK3FKiRZPPLfH2hwSvP5QM0skKwhEshyFX3P+5V5npujukxSraG0Y4O36mBg58IIzL+ux - b2FmgFkhCJgdf+Nzc2EhAJgZa5CkI4BqMeDy3fffRRsroqLS2W40R9um13O4FlcvIiJyMySw88Sw - aWSltT/NemcHcRln4/2f/KMHFl8Mp1lyoExb/2n2sQWmMNAQQKlBIkgHvMJrt2On/sqr3jDDrlU3 - EgDdbrw5rvjx6tciX20BxMHB5d3deRmWMY1nT+0n8Gd++h+8/X/6uymbOcxYa3VHSidfpW/ddGqt - bSSXlt5N8tSpU8MwdF3X8sLHcTzxRYuIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIPCuQrLW2Jy7N - jOSW0doOoDJqoJ91nvEPfvp/fffv/T993z/88MPzvV2kPE3TMAxXrlzZ29urdboZT5OJiIiIiIiI - iIiIiIiIiIiIyFcFyRaQTHI+n5M8Xi5yG507IsysBmrgvvse+PEf//Faa9d1V69eNbPlNNIsgG42 - O+n1kJsrAlevLszSNNUI1ErLaaxlf3//bW9726c+8+kgaqDre8LN87bzJ9niut29HYRtPOpSShuA - ugXDb8K8RZ6bFAgkIiIiIrKFlhZGW9UkvaRXPP/rdnGbsdVaW1AowmAIx7GStXld4Gs1VEdEuKFL - qBMikX3c88gXl7hCKye0Zs8O7rmiTjFhXj/z8F1fuPTp2tWKaohEGP2GbObKMEed0GcvJazaudkp - v5q//Ru/m4t+HErquqnWCPZ9T1a0dF462BKanQYaSpTcJZtoC//O1/3NdLg7931uHfW6ipJt4e40 - wMLpRi8FllbfnbQS1XpeLg99/HMfKbOrky8DtYKtdaOCAAzhXB1maNmxt1rg66oxMYxLXr330c8d - 2tVIKKUkOJKViNimvZEGttPLVhHQQGCdx9zOaAOc7tGzpJe84OXG1NKUaQEEDU4H3OkOZQfebA52 - YEcYbX1qrwLqGRbR9qk5DcVRDVsdD6AD1lK0SZqxxoBUr44H9z50T81RUc3gBhJAbN26fd2vO+Cx - Pu5SC5MGzSyZpcgvuO1FKTqLtN0i5Iltzm4YAGM4IoXt97ftzZ6XLGNz4psdp3W47c7VfWd1+TXC - V5f69l+godjVu++7y1N1dyI2146UklqlRUTkaZayk7VOU/vruzvISoxTd/Whcv8ffeIPyt40YYyI - Pju2Tu4GLGBhq7vgqqJCAxGVMIMRKZBKh6vdK1/0uhede6kN2emtb8HREpdxU+C+xao58pyzu7t7 - 6dKlvb29lFIpZbFYpGR/+2//1//7P/7HZ8+eCsIcERjHAmB2cl1eWm53e92Knfv7+1j3nJjNZpu+ - FH3fn9RCRURERERERERERERERERERERERERERERERESeRdq4tKvhXGptL7qu23I2qwchh2FyS11O - b37zW9///vefPXPbMAwAaq3nzp3ruu7SpUsppTbOsoiIiIiIiIiIiIiIiIiIiIjcAlqfkzYU8DAM - LRo5pa3DR9ocZrNZRLgDwDTV97znve961689evHy3u6pCOScu27WFnTCqyE3WRsUepqm/f391mfJ - zPb29n7t137tX//r32NYe6f90/H6F5Fs4dztmHT3xWKRc+77fpqmdkymlMxsmqYTXTmRZw1lHoiI - iIiIbMHMUjJ3WII5EX777tfcsf9ij+QIQxgiDDQY4cepyToAWIt1RZhXRzVMQRAp3AqCxNw+9cU7 - MZ9oz62ncSIiIujTEpf/9DP/9nK6ONoCFik8hbdgbGeLeSuw6o4WvttC4Gap9yuz177wm175/Fcu - ryw9z8KdYUanm5kBBEgkokv0RIShGrr5bBiG3m3P5i/cfdUrb//GPu3XEseoUoWtEtnbf6VAooNI - yUnUGp2niMKucKd85JPvP8BDkZfWk6xAW5E4Mm1m67F1lPizQBiqlzJb3HXvXxSv1YEaCTSzsnXi - 7XUJu8AN4cpwhxPG5NV77J0/e8cmtzssNuea0w2bnHgnoOjdm8M3GzmAMMR6i6/TIFcIhEXd/oIb - NFgC1nnLFmHTQxcfOIxFdKisZmgnXJSaHEfPuC3Q203h2nIDZqtgSpI7/c7p3XOp9mqiOXkWXJ/4 - BhiqkzF150+90C2TEQQAs7R1y/ON0ezA+qgkqxvJYAC0QJ5s8cCFz40xpGRARJQw0szbXzyuXZra - l4SxHfwiIiInLiJKqYMZ+9x1KUUESy1cYP/wDz/67ofxyKNxqXp1kCXmffLtSrrhvKGe4mAG3eAO - ZEd2pNJ1ZWdez7zh1d/axy4m9N4ZEayBaKHC9pjlGm8ovIs8a9SYdvfmh4uDlK3Ucf/U7jgtSynf - 9/3//rve9asvfekLSZjD/VrHCAA31l6Psdx1bvdsNss5Azg4ODCznHPrJNF68Gx+TURERERERERE - RERERERERERERERERERERETkuabvewARsVwu28DHJJ/00LTXngLz1LXHIKeptoe23vSmN3384x8n - 2ff9zs7OQw89lHPe399vI+fcnLURERERERERERERERERERERka+OlpTcEijM7Bij/rYEk2EY3NE6 - pRDoZ93P/uzPfeADHyCZcx7HsZQyn8/V/+TZKKU0n88vXrx45syZWuswDB/72Md+5md+BkApgVUi - ledudoyZt9HdI8Ldu64DMI5je7MFeAMopbT32y+IPAcpCkhERERE5MmydSx0ZYVHkID3dfdlz/9a - o2/yyWigHSdkyelGXyVPt1nBq3m1VahwitQlB7xi+vyDn7FZjcdmmt3a6ObIc9538XN3fvHj02yc - 0pCzp/Ac7ijAJkg5aMUMUdF1s+VYgZqRyiX/rtd/H5aWLM92dku17J3DxrEgrXZiIIM5hTtBoDpq - 0C05asfULfa/65u+b3mVSHnbr992VzVUQ9g6ojVy8i6QSCCQPSXzkTHZ4osPf+rzF/5y9IV3oFeA - ZJB1NSPbxEY7aITFrVDF8yNpfwY6LUp/+Ol77lzWAUTnlgIRkZ7CurazzIgWLmgGVLjBAISx+umd - s6d3z4HeYqFbendYtDxppzuxZZChbCtgBYiwCmuHRNvl5myTG30d4hjHytVu12kCQdIMxPSFez+H - ZJEYLRyS3sKWbfuLbftEPDZkMmhmZtZiKc+ePtthnqLTEXXSjh4SDsBQnIjRX3D+pb6OBDUD6Aw7 - bhOZg21aLcYAT3SANPM+aEz18uLCpcsPA9H2OwAYVy9ERESeRuaVmHIyTyhlNLOdeZ/n9pkH/t2H - P/X/HXaHZUbvmBicYLH9rcrCsbmturMVtzwi3IGAV7MppWn2Nedf9eoXvs6m3tEl79xhRrK647G3 - yHVBTLdOeVaqtdZac84RMU3TNE17e3tAlDK+4hUv+43f+I1v+7Y3kiBAnmQR0d1TSl3XDcMQEV3X - tX4SpRSSZubuZpZSam+e1HJFRERERERERERERERERERERERERERERERERJ5FIsLMuq5bLpcANmMo - bzeTWnOeAd73fQRSwuXLize/+c0XLlw4PDw8ODg4d+7ccrkspUTEbHac0XVFRERERERERERERERE - RERE5BkopTRNE44kdrfhf7edjxlydgBtKGMAe3s7wzDVih//8bffe++941C6bkby6tXF/v7+Sa+H - 3Fxmdnh4WEqZzWbjOAKYpultb/3xy5cXAObzHkAEUkq1VtjW+SkRkXNuHZ+madpkyZvZzs6OmR0c - HKSUsAoIF3mOugVy3UREREREnj4kYVHKCItgcSQu/VUvfo3Tw1bFa67rmHbMcN9NKd1pqAYaLJk7 - ENbZDECgXl48+vDlL9GOkVb77OUpdTWiYvEXf/mRi9PF0k3ogginp3AYYDDCwmkBCxhqRWUKAAYn - Xn7ba1/zgtdzir5PQ0F4BplgBGIVBWdECiSLZPTqqIZp5KzrgDIN43w6/fUvecPZM8/z1G299S1o - CANt9X2s7WafTSNTAgBGaRncE6eSrv7ZJ963qJfCRjqJCot1K0YYw6+lvGdw6yjxZ57Nwd9S6R3w - 6rxw6b6Hrz5QjIAlwkFU2HGyux/nI0Y4EAGztjtgkc6evm1ntg84LGikkXbt1L5+Vg74OthbTlDQ - JviCPtAmIJywyBa9RZ9qnyJ3NXc150AmE7llercHPAA4w0qAcAsb77n/c5EsaHDQwMrsKeJxIiSf - PAI3HD+GFMHWWPm888/nBGNSE81JsmgbHsAqWhtwVEc4+zue/5Ja2f4FjohIqdt+EVjNfPVqdccn - kZKhRXejrzBLKLG8/8H7Sh19FWTqEdGe4L1unvRrk4iIyImzSGZGOoOljrW4m5lduvTwH33o9y/H - hQMe2l7yFGDJjmnarnxrgHFdouYmb7tFdyMbWMGChK7H/je/9ttOzW/36BIza5C0nFrpCAAQuL50 - 3SrXx6pfi3w1rFokAhY555zzcrk8depUzrnWulwua60ppd29+enT++985zt/6Id+kISZ5ZzbWbOe - kR+ZttMKnC2Tu+u61n0HQOst0V4AaMHeOd8C7QkiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiItuJ - CHcn2cbDbaMet59P6MZnvsxzmSYAY5kA1IrZTv70Z7/woz/6o+M49n2/WCzm83n75bYsERERERER - EREREREREREREbkFRETXdRGxXC77vgfQdd0x8k0iUEqkZNNUWsTy1asLd/R9vnDh4lvf+tZSSuvr - YmaLxXDyayI3U0TMZrO9vb3lcjmO49mzZ9/yP771L//ybjhyb8vlqkORufO440W3wagjYm9vD8Bi - sWhvtqNxf3/fzIZh6Lqu/abIc5CigEREREREniwCdMLM6cmyu+Wcbcovuf1lHjNnbiGhRhwvdDPs - xjeAcLSnegig1lpKYQ06h/7q3Rc+G16wSQ21TbyZW3vTAhZhqznfOPtngM13A2D0dQrykZgrYPNm - WDD5IhaX4sLHP/eR6IfikR11BNh+ATQQTmsp3qtHncao/WzHiFxnb3z1t+/WM7tpF6xXlwf9vI9p - BNl1s6htWe0rXduDTvR9P45j6jt3d+R57P71r/3OdDgHcjicSEQKd3pL4n5czlU2OOibWHdaVAfJ - sZSu69wxjhPAnC0SfD99/O6PH8aVwQ5pU1gwLCGtN1nAAvRbIrT7mpaB3RLUgaCPn3/kM8t86NkA - FAYAsh0wW88bN5wILe7dDAGDM4ysiXGuP79np72FvmOTOyhPIwtYDeP1qY2OdUCyrSMhW4ijbd/A - YaQDZuZ0BJAw5sWDB/cUlohokcqVbAeexZYHAVdZ3d6ucwxDrKOk3c0iQCIzn9u/LQqhw+xk0WkW - MCcSIywIBAxMc9u5be88JzqRDQBI+jEuJ21/Wdj6zx5hAEEiwYztmAmSkVBzffDKfQtcNafTncZA - BY/e9zcHAC2qbxeVKiIix9QqIwZ6wGJd/lxHUK+vzE7Y0SL+kUTex07t2v6Ymt1W3yqeaClP5rOP - z42OCKsBZFoKZ+3LhfH+j9/z4Tvv/Wjpr3a7dnAwkrRAStal7W+Q69WngRYAaGGMRHSpy4TXfub7 - +/nMG17x1/bK/oydAWOZAnTLETCza2tBQwvtBmgIs6e0YUW+SlrXhNls9sgjj7h760Yz6zoAh4eH - e7u7OfvP/PRP/9iPvZlkKaXVW5+6vu9Jkuz7fhgGM2s9JCKivUnS3Wez2ZMYR0ZE5KZywI802Pm6 - /aQ1xwOEtddfsSx0UtaFuuqr5p1WMvTHNt2oMUdERERERERERERERERERERERERERERE5NnM3Wut - 7p5SiggzWywWXddtPx8ASDmDBNDltFwWd/z5xz75pv/uvy8EUq61Inlb1omviIiIiIiIiIiIiIiI - iIiIiIh8VZgZAHd398VikXOepukY0cutS0mtTMlrrTnnlFIEpqmY4YMf/LOf+7mfi4qUOrN0vGhn - +SpKyQrj4OBgvrfbdbNf+D9+8d/8m/e6w4BppBlSSrAo07SzOy/TtO041ZsuT2Z2cHAQETs7OwBy - zmY2TROAzcDUOn7kOUvR3SIiIreyVjcTkRNUPaaoO7aTx0QypjL37szs7G07z+/qflQnkavPLJVA - ZN8yWiyqR1hgHVZriETkAAgCnq3GlJPRpsPZwacevqukCWRqyaQWdBQCcKc7w1DD2JJtDXA+s5LO - wkALWsDCAKcbU7Tg7Ywplqw1uTsy4LQoqV6NwziFD33hg4/ggeILD1hNaRXkhjBUoHrQwoFEYMCs - M1osS+2xu1POfMdrv3NnMfNlykz9jGO5lDsmsFbS+kAKuIP0KZy0FsgNj5pSGmoMrMwjCr/7Fd/3 - /OWLk8+XgBt8Qo9sxT11wVX0d5toOPIGjOgYXcACYSgeJU0Vw3zm0zA5kBLMUCfScCWWQ18++Ik/ - mdJhSYdATSkjMti1bRIWgUQkA2Dlq7tDnyIDEhLolrtweERniDLWXD578e5FdwAb+s4nouZEB1m3 - XEIAASveghiZibyKFazM2afCSAYfsvMl516Oy7NUs9ONZjSjGwHEarNbhLV0ngDC+XjhPfJU0AFz - mkUymtOB1RWjbf/qqykM7TexXZp7uEeUiRWIlJlo5Z7xc/cMn0tW+kgItDzwqZZssMfNZ3riBcBB - OJEjcoQzqqM6DAkVfbJMT8Ps/N4LutTr0cqTFYaKDOS+Rmall5IQ1oOzNOQXnbmjj2wVrEAgZaux - ZdMzV5mshmI2GgIAgWqwhKGEA8nBGDyVIMaEP7//o3a+jtPUYcbi2XpLbql92TAELNoRXFKE12fW - DVtE5BZ2JGQ6B1K08r8nIsUqo9HoKeDhRjiKoR6dgArUMLaJrZRoCPMwRwsCf3IT0HIiGRZhvBZW - CRrX0ypt/IZpdStBq0laGMLNkjvCaiVp8Mwwnzi3GWpm6oY+Hu0v3c27fv0D//xw79KUR5um3QzU - FMggg9v9XZbru2FxFEd1VC+wEVZ6s+lg6mNv7memq/zOb/6bL+heNDuYoYBWvfMwVNI9sdQE0oKr - GqIbAojqKK4Stzx70DeTW24XiC7P3HItTN5FRR2nvZ3dOg45pd15/9/+yI/8w5//2d2d1r8hgEjJ - sG7atdaH4rrrxVcwjuPRFy3GG+vm4tZVwsy6ruv7/savT51tIvL0WQV1WyvMeMALUDf/VoACC4J1 - ld5NO9LyevIT141+q9Ker8qKRy/Aqzo7j/wUEREREREREREREREREREREREREREREZFnpzbaQ0rJ - 3QH0fT+OY3vTzNoDWWbW/nUtbphqGVc/CQDTVEFEBYH3/9sP/uQ7mfhNvgAAIABJREFU/uegIeVh - GivoXSaJMANAJndGlGnosl//ANnRRx5ERERERERERERERERERERE5JnIzDYjALv7NE3uXkoB0AKS - W7eTrxiWXOtqMM5SAkAppb0TRBA0vOtf/Ppv/at/tRym3M0i0JZiztx5qWPuPFhWw3tuphX1P7kZ - bhzRdNXzh8RqMPnIyRhlHBa5c7rViH5nHrSPfPRjP/8P//EwhqcuAu1DtVYQQCwOD544PCXnvDmc - 2tG1CeeOiIhohyKOHFQt2NvWbto2EXmm06VQREREROTJinWom4XbKiM2jJ5qeuG5F6fapTQzuAdZ - g4bKFuK8BdqNH3HCAHeEwYzucAJWFjz40pUH4BVmJBOMJBAwC8BaODEC2ARJPxO1lbXHxB5PteTc - 55xRI6aplEISzuhqnS0+dOefXCwXvEfO4BQpdUCEIZBbAmpjRJdgRKAm91x2v/k13zaLnT5mXhNg - hjAUtLSV1XZf7VagpWLDiBQOICLcs3umM4Wf9+e//uXfwgW7jGwpu0cEgIC1iL72BW7QvpsHvAUB - EmERVsIKEOu91jYNAK/JYo4PfeJPDvgoujqWoda6CgCDt2jA9dcOgL5V9OwzTy0kWRiVTGYGemL1 - cu/F+xZcwMhSA4CbmR0nuK+dv6tYnRzr84KEIZHJkOgE69n5+Z47xgTA6U5fh3MHEJsQRbm5WtAd - 3a9lcrdr2vXT+je3nb0hPCFbMvMIFC9fOnxgyMuW794Wei0+efv5E05zJxLRzk3aOtSJJJkse/Uz - u2cSLKJue7+QJ+TcpKUyAFRDwMDcIffs5v1ewip8G8fLJlxdBTYP024iuFdXB2O7xUQAxfHI9NCj - 5RE4LNyZAG9t1uvZXbs6xerAe3Zfz0VEno38SGm8FTVpoB+9IDuYwQSma7m8cGCdKU1bp31f+0wr - rjyZnwBA90hOdz5uPO/6nSOpwKvva9iUT41wzyysY3XPs9mOdzlQLcGtT7nHzC5Nj9jp6Z7l3b/8 - 7n8aty3GbklDIrq6quo+leLuta13JGZ43vXTcooFX/PC137ra78TV1OOvhWwn6BE54TzWglc5FaS - c2appRSWablc9tl/4Ad+4Fd+5Zef9/xz7kgp1VpBdn2PE83S3szK3SOideIxs6OLMLP2/ib/W0Tk - 5jFiVZBhbAoDvO7/2u+tWvZgXFeZb97PxymItbINDdURLT1cLTkiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIreoWutsNmtDQ2zGq2153k/CtQF5U0q18jd/4//6hV/4J8NYTu2fGcs0jmPfz22V3M1Sirvv - 7+8vFosvMzcREREREREREREREREREREReYaKiL7vl8tlG1J4GIY2tO98Pi+ltIjlnHNEuPsTBng/ - fsD2bNZtXv/UT/3Upz/9GZKlspTY3d01s2EYuq5bLpfu/qT7t8jNklJqR0IpZZqmrutOnz41TVOt - 1cwWy/HSpUs/9ta/e/nyAeDTVK/P//7Kcs4tw8vdzSwiUkotqBvrKJzWMWkcRx0PIjdQbzwRERER - kePbpLq++EUvYYVfSwKmP+Wy9tGsNjMjUUE6Wi231vrQQ1+qCFiQ1cxwpAL8bGE8Uv+3AlRaEB41 - GXqnkdVTyRlmCZ5HHNx9/yfvu/D5loVLopTNKoczfJN72gJfiAgSYYWn7PZvfe13oSRLHg4AKdwj - BxKRgaPx4fHYzNTWjGVmTjfabDb7q3/lm/uym4YuAmFRokSqJLP50QheY1vNCNs6h9VhNcbLiwc+ - 8dk/G22a7c9LmdIqTK8dYYSt0mG3nfkzUDuAyUqEwUkiYVkWD154ICLcEQEA/tTPLrSwn9VGI+Du - rWkpwVhx+23nk6m+fItbHW8OM7Sr6JcuPGj2dIRCkiTbhd3Onz3fGjSfhuU+lxnRrsxmllI6vX/G - LNmxc7u3d+Xw4NHLF5lIj3b9rrUCCDuSREaHmupERJ5mLa77SGh0NdSWyOigkRa0qM7woAGcIXYQ - O+AcnIEzi65NHp3TnZ5iNTkRFmF80j9hzMbO2Fn0Fv1m5kSbMpEJpzltXZO6Lu7aQAezTd75LFvP - EuO4XI6LZRxOXpdmh8YrvJjOj/dc/Yvf/P13XuGFK8NFrKotq5oLDcXXsZRPmhFO5ECu7jWDGfS2 - hcOwKOPOqZ6L8T/8lv/4XLkj51n12Gr+IrcYotaY3H0+n6eUhmHY3d194xvf+C/e9WuvfMXLaq05 - OxDTuISFOVdXqpPIsTezltvdek70fZ9zdvdWQJ2mCUDOeZqmvu+f8oqKiDyhdlnbNOYC6WhnMTtS - 3jHAjjTf3rTc7lakSeviVyIMoCMM1TA5xoQxAWnddq/yjIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjI - LYfkMAyz2ayNbEsyItoTWFuptbYxUv7RP/rffvd3f/fKlSt7e3skF4tF+6f2eNdyuSxRc9+1x1qv - n4cGoxARERERERERERERERERERF5Rqu1zufzWmtKaTabtRClFubdskhKKRHRXmw782GYUjIAtSKl - 9KY3vemRRy7mnPu+v3LlyjiUWb9Ta93f3y+ljON48qsnT04bY3UqpdSaUtrd3XX3YRjGcVoul2aW - c04pvf3tb//8578AoOu6rzjPxyqltGjwlqIF4Gi/pk32SkuUP6E1E7l1KA9IREREROSpMvqLnvci - VFtVQd1wUunCay3nFYAZIqLFLF05vLocF5EibBU8nJAMAbSAUn9WFPjtaGC2FVgAcJsxUgTc0PWe - ExhWWaJfvO/P3oOd4rMogQog4YZHm5zeYrjZQucsZTeOeMXtr/mac69myfRUDTQY3elADiQDDfQn - SMCiO40VrLAwBF78vBe/+o6vt6vJItMtEuiMWLVzha1iYp9onl9x45iNZZHP8E/vfP8hDycvuXNy - vb5s8e4VqDDeAjEx7t5OHCfCEGCx6eKlh5bLw80/peTcnA8nx5zrDetu+ezZ2052/vIMxA0D3Szh - wYcehCNufI7xuNbzoa1iL42w9fthgCenn94/Q1JPS94kNF5/KwwgzOzc6XMW3v5o0d65ud/DYqrj - I5cehtfCAqzu2kd/ZX2zaNdAe1bcwUVEbgFOOGH0Fi9NAy1aina7gwcQxs2b1dBis1efg63+c3Un - 8dW93sIRhnD6qlbwJH46screBloIZJvz6ptcN0VY1PVCW6Bv4FohZizF3VNKZDhs3nc7fWfZR49l - Pw47B/cd/uVv/sEvf/7yJ0q3iBwEQKRwQ8CieqmOuv3tMQVSdaM7Wgr46nZGo5nx0L7rDf/eK859 - bV7M6uhta4k8Z61PUpZSWoV3uVweHh6+5jWv+e3f/u2/8Te+s5TAuv8E48TqC13XkWwNKbXWruvG - cSylmNlsNgOQc45YLTpObrkiIl8WV6HdoLfXLRcbWEd3J1SLlpAd5usy202cjLBoRT3YOlOcrb13 - VX70dZlNRERERERERERERERERERERERERERERERuTS2928xqrSRbjPfx5gPAgLe97e1//Mfvc8uk - 7e7u9n3fMrzbSMrTNJ3sUEUiIiIiIiIiIiIiIiIiIiIi8jRw95ad3Pp+kIwIkvP5PCKmaWq/lnPe - /NyKGSIIYGenWy7LF794/9vf/nYABwcH58+fJzmOY079MAwtGfok1022576KQZmmiWTbKadPna2V - JH/1V3/1D/7gD0n0fZ6m4Rjz7/t+k9LVXrQDbzabtXGnzWwYBvVEEnlcOjFERERERLZyYxG6hXXf - dur83ObrSOVVaNqxw4VbLsi12BIANAPMzMwKWcGUjKiPXHm4+irD+lpeyCayje50O+GM4xPTQvKw - TjoJr7SKlsftM0YmzYzBsdRlRIRNj4wP3HnPR6d0OEQpRErIOQFtlVuy3kYOZHpvlrxiz3bf+Opv - 36u3dbZbI8IQcKd5JCKtd2t8ufRco7s7w6LS6Nk7TDa3vW//+m8/FedSpDCyRzgeL1XaWyDrMbK1 - yeo9L5WHv3jl7vsuff7KeMmzIWgojgAy6I5wlKcSEP7M0Y7wzTlWUcOnex+6B86ULSqxbnYkwWNE - /X2ZTB3bPOpGoqbd2d7+zr6FogRvca29MqIAYQkV9eGLD3F1LgWAm3HxbLN3R4uK7tNOn+YRuOnR - 0c89m923yVJt/xcRRpzZP4fq3q4JQePNjSQkYJkPXb5QvQZqoCI9/k5f3xlFROTp4JtExnXIdBjC - 16mNrUJmXIdrs3qtXkqbUq1eq9ewElbCor2gRZvCChBGT5Gf5GR0GumV1mZewkr1Ur2ET+FTSddN - kUba0VuY0zwM1eEzH1lGFk9I2RKBkcPhoszHYffK/cOnf/n//oUvXLmr7Fx9tBymeQayMxvCEbBV - faPadlUYJzyyrzdmYnEG4AE3s1TyC/pXf+/rf2hW9+Z5NzPHZLdGLUbkeFpnmtx5qWNE6brUz/Lu - 3nyxODx79sw//cV/8l/+V/8FDNM05eSGzdnoj5m2M01T3/dYd9Mppezs7JhZ69OzqpW7Hx4eYt3r - QkTk6WMAVm21hoABRwskdCcIa027N+UnPJDYrq7rxnluGp0JY8o155pQEyIjjjTgi4iIiIiIiIiI - iIiIiIiIiIiIiIiIiIjILaQNIFNK2d/fBzAMw2bQ26/k6PA1m4EOYIa3vOUtH/zgh3d3d69evXq4 - XHhKMJtK6WczMyulrD5kbeSio5OIiIiIiIiIiIiIiIiIiIiIPBON49h1XUqpxU+0kX4BPProoyS7 - rgMwm81az5Br/UMex5ftJUKi7/LicJUC/t73vvfnf/7n9/f3r1y52nWzlg89jTUi5vP5+jP+5QJ6 - 5ETd2MOHpLt76hbLkfCuny+W4ziOOeePf/zjf+/v/S8A3DGOBYBtH4rTjrdNQPjmeLt06VI7EvBk - jzeR5yJdFkVEREREttAqrfQWxnbt/VOzM2d2zlm1VjUlERGIE0t+NbOUri2PLdTa+MAj91Wv9NU7 - ZtaSlnAtrPTap8KeiUkiTvjR0HELIEgiIiG751KmQO3mbj0/8O/+eMxXBhxaj5xRK6apppQ2czPA - GE4EnMh0q4UY/KW3vfy1L/rGNMw768caLY2vpfStQ1ID9mUfVYqIZJkVCSnBk2WE2eBfe8c3vOTU - y8tgNVANxWBG22S6GLA5ZuwYla8gqmeOqRzg8ofuen8+Y0Mt8AQErIAOZCBg9ZZ5zioisGnccZZc - vvjg5+kRYK0EgagR8VRijtfhi7F5bQbWSOYMA/zMqduSZQSfsbH3ciKyuZkFGBa0uhiuXrryaGU5 - wR1vjE3OU9gqt5sk3Whg5am9c86MVXT3LXIWPzNcvzGP/FWACAfOnroNce2vBTc9Ot3ovV24+ECg - 0ltg/JddaAuRFRGRp8emMrLK6m41piP1JjsSXu2EIwzVbDIUWBjK0b+J+uojmyu5O+D0JzmhFR4Q - QDjDEUAYAayKJ85rUxOrpHCEOeCbDHLv8sgpMKHjWJbL8TA556fssl/4iwsf+ZXf/aV7Dz97mC6X - bkKHYArkdlu09r9VgXnrzbnaThbrGPS2Co5ldxp3fP8bf/j2/mVzP71cjrvdTuJxUodFbhlt0BYz - yzm3QVWGYSildF1XSun77id+4if+/t//KRhKDZInWF9ofSlqrfv7+yQXiwXJ2WzVyaZ9n93d3fY7 - J7VQEZHHZ+vJV3ndsJaQ3f45WoHEsQrSNvrTUGUOs3X74aqNlwAIp6fwRE+r1p7ATW5OEBERERER - ERERERERERERERERERERERGRr4o2+AkAkgcHBwC6rmsj224r597duy7VgmkqP/Ij/81ffOKunb1T - ZhaB+Xw+jmN71uymj3sgIiIiIiIiIiIiIiIiIiIiIiet7/vNKL4tKdndAbTxfmut7j4MQ3vzGP1D - SABowUx97ylhmvjP/tn/+f++5w9LKTnnvp8vl+POzg7oy+Xy5NZMjqONO01yZ2cn57xcLmutqZ9d - eOihv/N33pwSSkEEZrMu59xGit6Ku0dERLQjimREbMaXnqZVvnvr6XS8/k4itzDlIoiIiIiIPBUO - wOlz2zm/f7sjY5OQHavWkK08TmAnAaIFcrfqrjvIqCAtvvTI/dUGOCuY4A6rLHDG6rttktfimRkD - 6te+loMOOgFYkHWVQU4jkfocqTx0cO9H7np/7Aw2Czg8ISpiE3lr66yUIw1NEQVhu3HqW17z7ft+ - xgZ3ZtC5TpUD4AznKjJ89fPoN2wTvW3/lJIhoTLBvebb/Hnf9LI3+tQBRoJESunLNXW1rJetJOPE - qT+Vl7b887s//MjwwEDCe1oFKpDAZITdQom/tVaAbWuHs3bTF7/0+coWcwu03HrSHbU+xbUOWLRU - RnevtaaUGOGRzpy+DXEkUV5uWeu2aWe1emV5+WA44LVLAXDdNWprxmuH6JH4z1VrOAmG3X76dq86 - 0m4iXpe4ijAY4bBTO6ctuqe2h7cQFhPGC49+qdjkmRUkefRm0ZLArs8rExGRp4djVeqLcIStcrtX - FQvC6UYzJotkRI6aOeWINnWBLtCFd+Fd5BQ5Rfbo11MGPSye5NRuADkiBxKRVrP1HPbYqS/JA7Ra - 0lQ90DLCI6XapdrFFLVW64Au2E1pD747XSwPfOQLf/gbf/iLX7j8iTIfOMNiwnxnbyo3VlRWGZpb - lofDMLlPHuFlU5qCIYWfnl74va/9oW/6mu/YreeWQ9C9DuPc8tMQvSnyjNW61NRaIyJYzJkNndtU - BnMyyrzv//Mf/uF//su/tL/b25Fw2810PDnnaZr6vt8MGdPiw4dhaAniraOPmbVq8smtsYjI4yBA - R3XQUA2txRMAEOsJ1mrvYQhzYjUhbsrPVSsrAdARhuqoBhgMSAxHGMNJoMIK/NZplRURERERERER - ERERERERERERERERERERkQ13N7NxHGutbYTcaZra2Mpf1uM99GVm0zRFRHtua1jWi49ceetb33b/ - /ffvnzk9DMM4Fndvi7hxnCILWDyVp8lERERERERERERERERERERE5GYrpaSU2jjDbTjfTV+R9nrz - ooUrbzv/lKzv82IxABiHqAUgxrG87W1ve+BLD02Vi3Go4HIa5/N5maJlP10/jxuTmOSpe5x8MQBA - zjmltB7tOQA/fe62g4ODd7zjp+6//8Fa0dK0h2EqpRzpL7TFPqq1zmazNnz0bDZruSctHr6FPZVS - WsDZ5jgUkUZRQCIiIiIiT9ZjEz7bG8bUYX5qfrYFPAOryOwTjDiKAMlaCSAlNwPJsLi4fKSmiRab - ENCI/5+9e4+S5KrvBP/9/e6NiMyq6icSkngJSeYlQCAwtjHgB+zMMWfYc+wzu3u8nrF3/WBgsRke - wh7vLhhs76y9s2Ywa9aLPR7vGuOBY44Hdjl7fEbGOzDGMsICYwziZSGwQWqppX5VVWZGxL2/3/5x - I7OqX6Kru6q7q/X9nFQqO6sqMzIiM+LGzXt/3yzivmvCnE0c81w3mZ+heKxcJLuImSZTRKz2R+65 - /+5VOzL1NVQ4sYacUddSVSHnXJLmxOd5p/OgOHdvpD4QrnvOjd8pMw8OuFRVVfovhs0HF2TA/OzB - cSKirmYWJAIonU2VVSu+/zk3PW9J9o7CMuavwUuk9EZU7/luCoEIkmGWkjeyjqN33X0HGk8KE4OY - uorrPG5QAZzW/7WbOICgLlCIQtzdxXudPbT6QEKvqiGoqpR+xRCCXcBb3AUbvU4OAGZQcbjCqz3j - A8g4WwQ7XTFKX6GJuXj2ftZNe+sR3c/7M/utzDtPzYc3su5bOqi5Zk78ttN5P7UJbJiIupHMGhCW - 4p6Qa5Eggq1/PbFlDvTeHZ8cz5I0ins+25ci4sPCX6RQcSIiAkzMBYsLhtRqqCFYEC9p3Kqu6iKw - 4Bbcy+XM34sOxARZzeVcLyYGpPL9qPjGt6Tqqq7BTr64ho0mxKJ1MQgSosA9z2wyDavT8fG7j/71 - v//4ez/w0f/zofT1sN9y7Kddqqu6azOsvGxz2Tif0K0fjFxgaqZWVqMrBKgTltqV65ef9tJnv2LF - D+RpaOJyHZucsyOf/7kS0e7X9727xxjL8IhynVKq6xqAu2frR6PRC1/4wg984AOPe9xjt+t5U0ql - 2ks5540xunspGePupSMrxgigqiq7kBNvIqJzk4eLOnRjPJdv6lxd/FM1S8C8g2UnLvMnmxNg3mFd - ztYFpkiQDtJBWqBne4aIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6IpkZnVdlzlWZ47WPgelHJCIqEYA - IlDF5/7mi69//RsOP/jweLwcQqiqxsy6NrHOCREREREREREREREREREREdGuE2NMKbn7Ijg5hLAY - Z+LuZlb+2bZtKfy7JTl716VTxq1YxtGja6973esmk4lKbOqxu3RdappmO14Tnb/ZbDYej1W1JLhX - VXXixInff88f3H77fywRJSmhroe3wXlEuZdBTW3blsD4tm1LcemmaVJKMUYRKUWnRbYzN43oysB0 - KCIioiuTiLhDVUtDnOPyibaPqqthSDcb7jLVPjx273Xi2vcJChOoqqV89sfZ6rPC3UtXyDw5yVzy - /Ye/kWNKboIAaM55PB73fV/+CFBxXSSu+eW5J1ikm3g0BHEFzLwVTSklD5XEZj3NmgP4s0/fnmJr - 0brexmPAYL2XfoTsrioaYDZc3LMqag3axm+/8UX7/JoKEZLcssxzXE3gYoqkSIvcbt28YGJDYp6Y - eQohmJlnRK3EVT1Uqbl6dN0zb7q1X5foQTe2zplOtbaezpszVOEqHryP07u+dGdXt5O8BvXUmypC - CIKq7fKobpB3fU5M6UPMOQsQQpBK7j9+qK87jWKWSoC9akk0zxrP63z2pK2wscZE4O4wg4WV8f4g - Ecbg3CuciJTO6+QJlRw++mCoYEOEZInMvMAnKP9TQE3gMk+QVk0pQaEaKx1r1qjBsW3HC9psHsK6 - cSgMUSzl/csHo9ew0loW5B3+vItpjaPrD3c2yzmV9jmAMr12zjbFg7G/jojoIpnndpfY7IE4InQU - xpJUOkhCE2rPiBpEBCpQdzGXocXg6h5h6lksi6WYU8wppqzJQs46XCyYBVv8M0lKkk75URJbXDLM - xFwdARme4aVdMVxc1TVaCBkwd88Wuhx6hKTBAyRCHL02dlQO3373h9/zF7/10fv+eLbvaNesTXXa - IwWNnjW4BAEkmySToX0sBjVVU9nKKYyLdZo6NQDJgQDJWOkPPiHc+MqX//TSdB86DVqhV/QiIptb - 40SPQmUEQ865DJ0xsyE5OyexrArAIBaiPOn6J3zwg//+ec97bjlPUQFgdRUFw6dWVauqKo9ZHvyR - h0T4HIAyrmIh57y4syzPKendpceJkd5EtHN8+E8hDnjXd9kACWgTNOamTjLvuNmBizvETdzgZTcM - LZcMVZkCMmpMM2JGaGGrQAt26RDR5adt29I+BFBGzV7a5SEiIiIiIiIiIiIiIiIiIiIiIiIiItp1 - SjFld6+qysxKeeXFvKpFDeXNdZZx6rjdYb6Ce3b3+aQtlMf4xCfuevOb3zxtW1fpLSNoCEFw0ryw - kvldHmEHXyoRERERERERERERXQZKplq5LlF/qixOS0RERES0a8QYF+NJSmO+tPDLaJPFjRLyDaAU - i1vEbNd1/S2f4oz1gP/6r+/+V//qfxWRnLO7u0pvvjizKL9TbpxShZh2hBjEYozT6TTUjYlCo0v4 - m89+/td+7R0ALAMOOLpu2BzuPh9ldK7quu66rmx0zIc5Ld5gmG9xhnYTnVH81r9CRERERERnZwJA - q1zvG+1XBFUxcTc4ypedunOBZCY2SWsdpksBSBCHOMySCMxVBcF1HkF3uYYqiTlgrptiSrMAqZ82 - 1Ti1DkNSpJC+et9nH1z/u7TSmsJlPmHJFYCLqWiynB0xQhVuQIZn8x7jvPyCp75o2fbD3D2JRvNc - tooLDBbg4gaoQbb0dbRYDL2Pmj3PuvG5n/3Gp2fubVqLo2jI5iowBebRv+bnlcMaAlxQ0uJbtCfS - 0c/e+5fPv/7F1getKlhOXV83scK4z1fAPKthFS0i5rOn1e5Yi4lL2vgduZA386bP46Yke3ePAgBB - giKOmxW1AIMyPffKVba/mRmyixnypJ1kzyZ2xveYy+n3nSuDuqC899ThyMP70GUUxsEq5jTvCDEA - trFyy/9NHAoZN8vqtWWYmThUTpsGu61MLHtK0k/aSY5ZJIgI5nGJkBLaXd5kftKeioiIdpIJ1GFi - JgDMZd56d7Qz09oarUKorO/6tndkg3tW8fJXAjhURMThfZ9kDiIoybgwcXGfn764l929uVcxLu4Z - jgjuAFREdPhK2x3ubtndTSWKCMrwWbPsXr7zrEPsspUlgXq2vkMn6rN+Otpfrfuxv/7aX95x98e+ - +ODnJuE49qQcUnmZUs5P3AQluTxBhgaPONRQQrt9OOE9VzGi7aFBBbDWqna0P1/3I//oJ1f6fY01 - EqJ6eXbBhbWviK54ZdB8GTffNI0gvPvd737b2952+3/4SLakol3fAVBRF5TfxHyngm2N1q7rOqXU - tm3TNH3fLzIgiYi2iwAB0PkZ/EYDwb3tu1ivAFhfny4vLSHnvxffs3//8fFIHKVVs+3XAAQGpKGD - yNVF4Soio6ZZPXLixPLKN5sx6jGavUB1UicjEdFloO/70nILIeScyyDpcvtSLxoRERERERERERER - EREREREREREREdGusSheXCZ5lZLKpa7xnj17VldXS6T3hQzT/eM/vv3aa3/tttveWNd1Ntu3Z9+x - Y0eqqnJkzMs3A5ByezteFBERERERERERERERERERERFdNIvxJ23bunvTNCVxWURKsbi2bQHEGMv9 - i9rC50gVIvLe977/lltu+cEf/MEY6r5vq6raHBkOQFVFpK7rbSxZTI8ghBBjnPVJVd390KFDb3jD - GwFsVyBK13VN07Rtu0jv7rruXNLfiQiM7iYiIiIi2hItp7IC9EfeAAAgAElEQVRim2M1xaWy5qo9 - V6sFVU2eXeDu5TT4PB5/no52epirAUMaiAMutt6dmOUZgrg7pER3m0goP4arzoNLy2NebvGwtnmR - PMABEcBEXcQ1hKzWq/VN/4nPf3RNHsqhdwCuOkS5DBtCY913uSQte4YZYFlTHKXm6Y9/9pMOfJsd - EQ2mNSQhpaxQheYhoDdBcPq6GTZ1CX/1M6w5BayXpm6e/vhnP2HP9V9dX60U4shuUhJn/JSUaJ2/ - 6HPlCrchVy+rr+bVO7/8n571lFuk2zOWWjSZZ3gtOuq7dUS9MsJeRUqgYeg9PbR2uJPWdGOumvrW - 4gO/FQVgZjHALYcwUov7VvYrgroyeedKp+7mcBHJ6I+vH03oXPJGbOeFPnrZj2zsPdQgAMRc4QKR - sFStRK/EccrukC5ciWLFsGY3r1sDsHe8L0rtPgSjisi29VWfRZLe0K+uH/e9ecjodoGJlEOFQJlg - SkR0Scz3vYudcEnmHo0aS9L1KUaouImFkboixEY9ikNkU0SuWIwVYD6cXwwB4CoSPW4+KXMRcTcA - nQ2naYAC2T2IGCABls19+I48hBirSlX7vncgO8wM5lBRFdWwPlsNIQQRS+5iEnJbTya6ng/O/uxv - P3nH5z/+9w/f08s07NVxrJNoQirPKFBBKgcgk2FJhqaLKVzVAVjeyrFJHMEhGZ1bzM24Hz2ufvIP - f9crb1y5JfYaFIC4m8IBdwkOK6e/F7QFia4Mm/ocSvWWcjbhlgShUq3Gzd7lPe/+39/1K7/yv/z2 - b/+bDFOFGcwNjqCABABlqESMsQQ0boucs4iUyjJmVgbxnMdQHiKiR1DSux3IiAAiDLAMxJH0ncOj - VFXdTa8DrgciEIByGr8T14tFKhzIgAMRmADXAO10/euHH/q5x9xwDFVCBHpg2/a6RETbpbTfRCSE - kFJibjcREREREREREREREREREREREREREdFWlSrGIYSccwih67pSyHh1dbVUvy2/Vtd113VbfnDA - HL/zb3/v8U98wo/903+qqkdPHA9VdPdSesJ9CO3e5ldFRERERERERERERERERERERBdFqeJrZk3T - AFhbW6vr2t3L/TnnGGMJ8K7rOqW05Xq/ElLOAN72S7/45BtvuPXW55Z8bokBi/Qrs/KwHIWyQ4bk - EdkotJ7c+q4VCdPpdLy08j++5S3fvO/B7X3SMnKpbNmS2922bXmbEdEjY3Q3EREREdGF02DVY1au - hklJXhOBm/u2JgyfzjV33q13q4hucPGgqu6pRLGdFkJ6+SWizUNVA1D6E9SlpLdVIeacRZEkpdA+ - NDn02Xs/pSueNQEQV0DFrUTNQZBzdkEIgCMluKFWRNTjtO/Fz/5+X1PtQ4zB3B29alCHeXkcMyl9 - RgIofFiqc2FQFQRvVrD/lhue/3d/+eXxniWzbOZBFV6SxQEpUdM6z+bbAjO4QV1EIFVM0t575Atf - O/6lG6tnVX0zEkXU5OaCDK81uu3u6Cx3h3sQQckDE3vw+KFOW5eEkim46fU5tpqsfVo6+6a/V9U+ - mQDice/yATlTWDtdYYbMORVXh+Lo8aMuJmf+mG7n+8HdRSACQJeaFbHI0O4doo6spwSxW9nwoziO - UpfeZN/63mTrzMRd/MTqMdvrKhIgWTYO1A6YeBCDb/lIQUREO0AFcHdVSBSo99N2amtr/YmxabDR - 5u+YRUSgou5lb+7Z3UUhIlGC91lkONa4wwUCEYFAVEUcKhCHiCskqPQ5q1SiApVS06HrOnfXGCEm - IhoAiCH3ubec40hD9GRd5y0an4X1rz70lS/ef/cnv3THkf6hia/qyER8mlrMEKqAEqBt88NNOWPZ - iC1XeNShJWwmtqWjkjgwxXKo4LFK44P5cT/0kh99+tXPHec9QAcYLMO11ItwgUiAMz2O6FQlHrvU - cMG8vIu7pzQzs5/7F7c9+YYn/uIv//Jk0oeAksBoBsdOfZoWQeAl97GM6dnGaHAionBSdDeGTlqY - C/rOoREGz0nq5kTXfmFoVewUm/epl4UoXewGZCADFbAP6IEJwjriaPnqtL7G3G4iutyUNpvZsLNM - KY1Go9lsdmmXioiIiIiIiIiIiIiIiIiIiIiIiIiIaHfp+76qqhACgBBC3/d1XQMwM1Ut1W/LTKvz - yO0GoKpmFmP85V/6l1c/5qpXvOIfeamzAmzMnJhXai7FMbbplRERERERERERERERERERERHRxWBm - IYSu66qqUtWqqso4kPJTEUkppZRU9fzGn+Scm6bpum59rX3Tm970/ve//7FXXQUAUPfsDlUNIZTi - xovydLTTmqY5cuTI1VdfE0P9jne+80//9GMAVLFdW6CMO1LVnDOAuq77vmduN9E5YnQ3EREREdH5 - WIT+ukAcwcJKsxIlursLVGAZ4u7nlQZa8onPFvw9TKYRAGaxX2tXLbi7lxQ4EXdY+fOwa+bdzGNr - h4RVdZOcHWJZW1nq7rrrjmP5SKy7MpOoxCorALcSjG05q0QB3FMENEI91lY/buWGpzz22WG9Whqv - 9NJ2faviEAuuCsDgCodmAVwVKjD45njoxdo+vQ9DxaExZEthVj/vphfc+bmPPth9vYtTEYhDXRUK - sXwBibDlWYMD5qi08+6EHb7rKx+/6XlP197NcpAwsx5BQwhXwDwrF8gQqAwAED987AFU2U5e/+q4 - kLV62rPOkwsd5oDLeLTiLorgDNC90omIi0NhYsdWj7maC1zK7gXAKanP500dJRheFWYCUWQArsuj - PepBd/1n93IkPhypXRSb1rCYA6KomjhWBFX1ZOUYunNcAHFEO7Z6TMSlZLZubiOIueum8FTufIiI - LhYf9r3imxOs0adeEEJdWUjJ29g0qelGV9V+vBePAcG9hHQ7XCHiyUREAXdVB3JJ3oWH4CqlKSDm - BgfgAs/mEAWyu5hnuDrEpAojd4fDzAUWgsQYTSx7b5azJQ0lTRyW+5yziqTQH8kPfenwFz5/32fu - PXLPoRP3r86ONUtNqKSBppQ9pGoUPFjOGabBorhByuFp/vKttH8UPoRUZk2QrX2TGyxWPqpy03e2 - T6/5sZf9zDMO3hJn0cUMUeHBE4AENdWAsJ3bkWg3cj3j3aoKoJzgl5E0ZXSLalRFSt0P//B/9eQn - P+l1r3vDoQePiiBGMfOUAaCqqr7vUzINleV+WxYzpVRVVUqpDK9R1ZRSXddM7yai7aJu4iW6W1WC - C9y9dKsCqDT2DgCzvnOgEcx2ssMuY95GcgRHHJLF1aEQ6SUdN8/ATDOCzqbHMJzjn3mXTkR0SeSc - l5eXp9NpGVMLYDablZqAl3rRiIiIiIiIiIiIiIiIiIiIiIiIiIiIdo2qqrquKxOpYowxxul0OhqN - cs5mZQ6p5pxDCKUGLk6aXHAuUx8UsDLK93Wvf+M1111763Oek3MKocxmGB7hCqgkQ0RERERERERE - RERERERERPToFEIAMBqNyj+7rivDTkSkjAkp9eIWVX+3nq6tbdcKRARf//o33/KWt7zzHe8YjWuz - Ia3b3VV1ERZOF8d0Ot2/f/+sbe+44xPvetdvzqNSFoOLLrSoasntbtt2cbsMbRqPxxf4yESPBozu - JiIiIiLaknnK7+YgUGgwGVXjphm7uztCgO9874MJEGR1eiKNUyOhBIWLSJYSg6aAiamq5Z1elPNi - Q/C5OkRcISZuKg5XN40hdpYt9Gv5yF2f/3izP6xZci2p2BBXSIIsorWDhJhTBkJduZn1fR6l8KLn - vzTOliqp3HNuTTXGJnSzPiAG0xIJ0wUHEFxLIviZUrrPzMUyxJPXOn7snsc97UnPeOCLf4fGRMu7 - QxeZ0C4QA1y39KYo6YEhIPYw9+yetW/r/rP3fvIHnvVDe+sDtmoiEZIRTKE557Cj2bMXhburiDtE - xAQPn3jIgg2ZgqYmFuZxvBfISqYvVObPC0DMo9TjelmmIrJNqc10eVt0TK+vr+pYMrYpRlLmn2HT - zZ9LcSjKAcLdMW6WYOJeYutpJwx90BtJ3u4i4knHzYr0CkAE7uWQubOLIgFra6ubdy0i4ot9mhik - JLoyt5uI6CJRhwDqqq4mJj6ca0AshGDuPbrsqRdU1fTL933RJ/EJB59USxMkAiImMImoFMGSR4lR - K3Wk7GZW2peiAh2+CDdYOQyJiMYhmrf8E+XkyD1Z72JmlofzCLPgUPdg0IwAqPW5O752/Oixh1fX - jt177z0PHLvv0PSb63FtWp+YyrqN02h/Y+3MENQ1KDIk59QZkFEr1MvX75bL2Q+gDpRWiqkBLm6S - XA0C8ZNPfL/F+lSfSK1LV42u+i9f9qM373vOUrs3BLSzWYjRxYcKEeIiDjfnUY/oTEII7l4Gysic - u9d1nGcudrfeeuv73//vfuqfvfqee77e966Kuo5dl1JKqmoGy9vZD1TG2gBYWVmZTqcA+r5nzRci - 2i42xGPDYeYGIDvyvJGQug6oAIWEPlhngKA0YQDb9mvHomPIsrtnAVQQHNp5hksdpU+GRpB6xIgs - ntNOhokTEW2Zqq6vrwMoI7Pdva7rrusu9XIRERERERERERERERERERERERERERHtMmX6ZwhhbW1t - ZWWlFLeNMWI+PxRAzllEQggpbW1ygZktLa1MJhPAqqr5Jz/yo//P//3BZz7z5m42U4VIcN+YI8bJ - XERERERERERERERERERERES71CJTua7rnHMZdhJjdPcy8mRzjPfWHloErqUkZlWFj3zko+9+97tv - u+02kaSqyKlUOS7p3Rx/ctGEEHLODx4++prXvGbUjCaT2bwQ/bZtgpwzgNlsVoLhRYS53UTniNHd - RERERERbMARunpzuqQ5kUYTl8ZIk8W0K6ygJapuTRE9LLDZHXls/YfsthOB9wnxuzy6y6UVlSCop - KYJaoCEAobv7y586Pj3s+3LKUIE4xFUBwEyGbaGqgujmZeZT7jqxcHDPtc++4QXVZCkhmTusilXl - 2llw5CGjLrs6YIIAVR/W9pDlvCnDe35LNy24AeqWMqS2xtrpc5/2vM98/S8O2xoUgIqLuF54yHSA - aHYNoct9DjkLHlp98O6vfObxT3miyEpOCGPLYm4VoNvY1XJJlBRbAO5ucAeOrx735bzTr0oEZq4K - MYkxxhi33ClJu5g5siFPZuuyou7z1O3tfQ6Bzvcg8zc5YD6qRuJ66kGFtk/ZnpuPNO650jDNvjxe - llRy03ERjpwZEMF0Oh36xd3dRMJiMe30Q8yOLxMREQFwPeNx2ODJsytQAQGT9f5PP/knn+jvNJ02 - S9W+PfsP7Dm4b8/B/SsH9i4dXGqWr953TdSmllq9UldxVYiIpjR8LY15ZQRVLV+BFyJSfsHMzBJC - 0ugI6uKzfrI+XTsxXZv060dPPHxievzhE4ePHD+8NlmddpNkfS8tVrAWVm0l1XV09dz10sOl8+xd - 7lVR17XCux5BMRpFzBJgEGRBLmdSDgeiqbqKQ8UMCWo5AEDIW2qm6J7l/Y9rbviR7/+Jpx585uRw - mk7X9u070NTBLLkjC0QggMAhaVj/RI9ep7//h/PQ0weyqGrXTqsYutS6+9J45brrrvn99/7em277 - ubvu+lTbZuRc/jDGWIJvt+ukpgz1AFDX9dra2mIJd13vExFdnlwA8exQg0OgAp2fQruLoHbJgISm - z2YOVEBfelVK/altvhZAkxrg4hCYeOsSIAaHqqp1KQuAzuGINlWowTN3iUR0OXF3VQVgZuV213Uc - LU1ERERERERERERERERERERERERERLQlKaWqqtq2bZpmZWUFQCmXXOaElmmhqto0TUoppYShpESZ - I1bmjn2LuiWTySTGaJZms9loVL/qVa963/v+3VUHD7ojhAAo3OHupZSOsAoKERERERERERERERER - ERER0e4T4xAUm1IKIWBeQzjnXNd113Xldkrp3B5vU01j92Y0atsJRPo+j8bxXb/5W097xtNf9tLv - q+s6xphSWtSgK2NdtvWV0ZmVtKM3velN6+szy6iq0Pc5b8QundPIokewqAtdVRUAd5/NZozuJjpH - jO4mIiIiItoS23QNcXGBCVwgosv1cuyrztKQzLqjoR0OAMm7SbsGMdWSA2qAzvOirYTFAiaXaQio - AiZektssi0I0zINzZ32LJZvp9BNf+Fh10B+YTMIyJEMBF5gDULiZwoHcW10B4lFNJEgKB+qrnnrt - zXVeWm72TtdP1OPKpOpSTujrEN2GEKt5nOv5rRwz8RiCuvo0PvWamx+/94bDqw9q3SMniJ4cvL5l - 4jAHVBIkiphZrCWZh5Hd9eWPv+jp31PXe2ASQkipy9mraox8jr1plyfbFN0tFixJP+kmWC7Ju3A1 - 8Y0E3vNYt+Ibf+YCx/A5KoGFGuAmtY4jKpiXUB66UnnJwxPAxCzl0La+LuJmJ0XcybwnGVv9PDtM - oIYyAVIdKDtiUYOJiGQXk6hRDBD4DuSFP8qVfcVw+JM8TwbVDKm0gslSNY4IU/MAiEje4Q0gBleZ - 2jSFLOjVK3FoSSADHDq82ThjlojoIjKBDidKdsr5QKmb4O4pAYJ6jMnkhFV9ryfU7eG1b8hq9B6e - VRGDV5JCQF2FppaqDnVdj0b1uKoqharEEIKol8cMIahq+dI6peQ2pHqnlHprZ91qZ23bz2Z91/et - IWU1FzNNHsw1G1JCZ8EQUw42VfgK1NDlPhqqWiQjJQuKugoOa1NnQFUpgH6aqtKeKdcOAAYNgIvC - 4WIQg9iiyb34TfWNmhEmtvl+8agWxGPdj5/55Fv/i5f8kwOza2f3d/uW9reepuuTphkrYCIQMfHo - AsBK9O/ObmGiXankZC8GspSRFmUHknMWkaWlpRPHV0ej0XXXXffb/+bdv/i2X/7gBz/U914GYZgZ - tjWUsSxPjLHruqqq+r4vi1cWjIhouyQAcLjBBHC4iw6B2grrc6tBzIff21ECC0Ba7ErFcmmzuIuI - AUGRHAJUAGAZ27vfJSLaHu5ezmrLIGk23oiIiIiIiIiIiIiIiIiIiIiIiIiIiLYkxujudV2Xobl9 - 31dVtaik3HVdXddlsG5VVWUS1pYq6qpqmVsaQgBsNuu+8Y37XvWqV//h+96PqCLzWsml/sCO1i8i - IiIiIiIiIiIiIiIiIiIioh2Qcw4hlIq+McYYo5mVLG1VzTkvcrvdfVH4dwtE2tkMghCCeZ5NEwRv - fevbbn3Oh/bu3buyNAKGyp6ypcEni7wMvwxTri4nm4NFNq0rVX3729/+53/+SQAxat/nGGNK21YP - 0MzMLOdcVVV5O43H4/Jm266nILqCMbqbiIjoShZCcHdVdc+XelmIrhA+zGlxAMGCA1ngYlktu+0f - P0YfDs1S6C1rlNz3usWehJOjYU89c5bTUkAs2LH1Yxrc2qTqUFHX7BAYBFngjuBWkolNdEvzfHaa - egnKM4Vl0SSVIgTPwVPGdLQSjtvkKw98+WuTe1ZHD4URkKElXk4Mogo1NVPAEUKwrlta8rW15Egj - 3TM+tu/lL3/F2Mep66qqTikDEhXqAQmAZS3rxNShPuTy+tm6Ns60/CamUbu+XQpLS1ieHMvfe/PL - 7/6Lz81s2mjSDDdIgBsQIEFzn8JW+qPUEQAz5Fo7oLYKXVJ193zv2pc/d+wzt4z272uugvXeoVlq - Uur0cgxo3wJBgmdYQNC+Sg+sHapHYZpzELggqS3ijcUVrhhS/87twd0AwM0WUYXlzwUCMfOskN4O - jA/GrhIRh4Fhglc0U3FHrdGq8M3ZIVvuc86VAmVXLIuUynk+5VZzmFxNoF72UuZAVrjDATeroMF0 - 3CwhqSjAnKedERxAhmQXgUdARUOXuwrVnnpPMIgDEcl29tMuDoWY2Yn+yKxaC9XIZl2QkbjokEum - m3c4yu8hiIgulqwoDUJgfqx3OJDdoaKQ2s17uCMvzWbWQ3KGARmSUEOGHEcVV6CcraiUUMkEALrY - vZ9+Lle4zM821MRcMmBWoiDr0tAt1z7kasMgQ9C4CxqDb5yhwrIDQIA7ehuyLnUe/YuAPMR1Qxzz - L1FNHLZYClh5tJCBcjqpQFJLUkkliuSdCEIj7t5NUYewogfWj/bX7nvSS1/4D7/7xu9ZmqxUqQlV - lbouQEJUz624KWDw4IsmlbKpTY96Zz6ZLQNZfH56sGlci4ogAN2sb5rG3XPXjqr6V//n/+mmm256 - +9v/dddlASznKtR9TgA0BssZIqWzqRRzmT/y1nqHUkoAFsN3GP1IRNvGT/6/28Y9GQA6lGhs93xy - m23HFue079IWQwaRexMg2/Cb3Uk/JiK6jJQm36JJycYbEV22QghlGomIbG1eBxHtKqU8cbm9mCEW - QphXKyYiIiIiIiIiIiIiIiIiIiIiukxtHttWVdXidsntLuVu3b0Uva2qUMbGNE3Tti02jZY5I/NU - buRsmM8E/dznvvjKV/93f/AHv5+6tm3blZWlWdtFVbMMecSSoXLamGGWLCAiIiIiIiIiIiIiIiIi - IiK6pBZRyouRJ6UMi7svQrtTSnVdd11Xyv+eg5NHiQjgmnsrt8Rx5KHjP/7jP/WhD30IHkSkDG6Z - TtZGo1EeIns2jyrRjcfcGH8yr/spxiEojyDnXlUNHrQKqn2foVFVP3L7n/zuv/09OEKQlExEXMrK - 3PJTxBhTSmU7qurm6PfyXloMcGJuN9E5YnQ3EREREdEWzfsL1DULAHMxE4ig0VGw2rztLSOcJfB5 - +5Sz6zykhAxJcfAoXkLd4FATC8P5t86vL6Ma/TqEzJV5RDDEISsu+lp3zPbIZ+751FTXezUYFjno - rgmuNo/WAyAiWknbzpoR1EU6ffaTnv+Y+vGhC+UPgPIsG3moLiXLblhtwPkEt6aU6li5ufdYqvfe - dN3Njxld18s0+7oHBIEIoMgJgnQeBcfLeyypAYiGYFpWV1uv3/nFP3vO97xk9YETK3Fch8ZS8hI6 - uMu7rkREXSGWJbV5WnqP1JEFAEwgJQS3BCpv8cHn0e8YIsBdTRDcBCJSnkkqqcUVEBMXsEb8lcwE - DkiGWZrZJEk7/KDsSoc3mwKAmstZAzfPxqGCzYmbw5OWx1GHAGGeP+8+ZNHTdjGBOsQBMQdMVB1w - hSigARolLNa4Y8fXvpiaWYeuR2uagBolPkcA6BC7SkREF9eQuy0AIH7Ssb7cqfMWI4AcuhxKQxSY - H2hMoG4bt0+6HyZQwzn8VMu5jgGQdEqrQze3QDb/SABHsDM3ie0sB7bN95/ctrGT/sSHI6N5WSpo - CA5kM1eEOrZtEsO+0RLWq/Y4XnDji/+z73rFDQe+rTmxVOUKroBsPjHxebvKIJvOTHFZnZwS7U6W - kv/kj/83119//Wtf+8/NkLOn3AkAkZLRGELIZqPRaDabXeqlJSI6k5PbJGf6oQ3372Ro9xkWZ+OW - neG+M4R8ExEREdEWnBLfW2YFlDKml3rRiGiblUk+bdvGGPu+Lx//0nNFRERERERERERERERERERE - RLTrmFld17PZbDQalerJKSVVLYPiFtO4No+WObcHHqaZfvLOu1772tf+5rt+Q8S7bigoFGNMxlLI - RERERERERERERERERERERFeCEl00mUyapgkhdF1XUpkv+IGHsSVfvedrP//zP//rb//X5pZzjnGk - qs44jO1WVVVK/XhpabI+U40xxra3++8/9La3/VLXWUlkB+DuOSWIwLdcVrUEuocQUkolt7vrurqu - t//FED1qcBAeEREREdH2EJGqqkofhzvcXeRitLdzzijxn/PrXaTExWWBiQW34AnwrGZwj3b/ia9+ - 4et/5e7BEeMQcGsAYJAESXCIAQ5Hn9G1PRShEm0s3PrM76xkj1jcycVXQEUCzNyzRN2/ctUtN3xH - nC57hgHZkTLgQSUACFsPmy6/LUiCtMiMh5iG/IW//ZsH1//emi5Zr1KrarhScn9dxdzdfTqduvtZ - Is+3p19vyLuff3BUtXyKRWTXfZro/Lh7zvmSFAdXVVU9yzucdlD5dF/87IfSl12efd5a4H6GiOiy - IGfYHxtgJmZi87MQAwxipmZqvnENVwzXApdN10BSTRqTxqSa5LTL8KOYVJOqlceRky5ZNcv81yQm - GX45iSbVHW2+OOCABkH0VrqZTFPVp5DbnODY0+xNx+IBv+6//r7/9sde9s+e1tzSHNmnecm9cTSO - 2lAZKkM0qIkaxCAuw8MS0Xapqmo0Gr3whS/8oz/6o2uuuQZA01Qq6u7igCP3KYQwm83KCcilXl4i - IiIiIiIiACilSEUk57y8vNw0jZkxt5voSjWbzZqmWXxDWlUVvyclIiIiIiIiIiIiIiIiIiIiot0r - 51xyu0MIi4ExAESkVMuNMaaUtpLbXWh58A9/+I9//R3vLOHfo9GoS6nP+VvkdrtuXIiIiIiIiIiI - iIiIiIiIiIjo8lYCmEtUygU/mJ0S35Mtf/jD/+8HPvCBGGNVVWtra6Ol8azrhh+LbYQfbcZhJ1sU - qtiltLY6KeV02rYNIfzsz/7sAw8crutYcrtjnGdmbb3eztLSEoaA8IR5sA5zu4ku0I7m2BERERER - PYqo6uYZNReHiGxxos7lRAwOwE0AICCLw8VMkD2FZXz6zo+v58NSiXoMlU9nOUiZJpQAQKAG8wiB - azKDVvCsodcnXf3k6699qrcBO5lmrQ7RypN7thhjdl9fn73wGd9359/ckcLxpK0b+gxFrKqQ+qn7 - FnO7BQqYmALiVu4pK80ltVi96wt//p8//4n9QynkWNWhswvvU7v0Nn+CJpOJ+yO8qO1MKlQgAyJS - 1zWcacqPIiUevu/7s+fE78STAoCILJLzWJp8B7lu7v0vW1lEqqq62AvivosP2UREVyJxuJwxt/uR - qMPmf3W265Ozqa2c75z92uBqgM7bt765SeKAmMn8S2tBKb5QlgQynCnsBHHAkJKLoKoUqp4cuaq9 - Gdk4HG1e/NQXf//z/sE11RPr2fLYlvvOpapssYQCwMOPlkkAACAASURBVNTFZN7i2Tgi6+JVbG+r - nuhRqOs6d9+zZ8/NNz/jD//w/a961avuvvtL7ghB3CWEkFIys/F4PJ1OL/XCEhEREREREQ1EhpNW - AOvr6+VOVeVXZkRXpKZp2rYtt8sHv67rbjGbi4iIiIiIiIiIiIiIiIiIiIho91DVUjSgFIvwuVI+ - ogyPKdfnVVtAzW00qt/x67/xuMdf94//8Q+tnlhbWVnJOZud82RMllEmIiIiIiIiIiIiIiIiIiIi - uryV6isl8nk0GrVtKyLbWH+paapf+IVfuPGm67/jO749xlHX9uPx+FvHhA+5HrLxTzq7tbW1vXv3 - 9l3uum40WjLDv/yVX73zzk+pbtTYKTfOz2QyEZGcc3lvpJSYo0R04bhfIyIiIiK6YPP+grquhzsc - FycFdhHdvXi6XXSqLA51YIims2gW3AAz9dzgofVDf/W1O1JzHDDk0PdZtKzqRQ4cAASLwaKIIEBi - CKh0vfmup79kWQ4g1eJxJ1+BiglMRFwVfe5sJk/a+5RnXnNryA0cYSSokG3+Dtl6snZWc4H6SQnk - JkiW0ORPf+UvjqYHpJYM5OywK6SOuXt2ZBNbm667+0UI83OHSLkOdT0qd+oVsjrprErXs4gsOhwx - D9XeUYudtMzt+FPSycqmr6oKrmX1X4SNULb1okebW5+I6DIhvnGZ33XqxWXI0hZAHeIItnFR05Mv - US3K4totPtIlzS9d9E5hpeW/cXFADGKAAeZy0sWG+3eKui6FcZWbNMNsYtbl2kcr3d49q1c9Y/zt - //wH/vsf/e7XPD49bTTZ3/hKm5OMNWt28U0LCROUaxMYYFATNejGaiWic+ObLpuFICIOS0H8uuuu - fc97fu9lL/veutac3cxSSisrS+55Ol0HTIQnukRERERERHS5KF+axBirqgJQ1zVzu4muVGYWQohx - YwDPeVUlJiIiIiIiIiIiIiIiIiIiIiK69ErR5BDCom5AGfzm7jlnVRWR8tMS5n3WBzp9qtjcbNZB - 8T+8+S0f+9ifNc24bfsLqeFLRERERERERERERERERERERJehuq7L+JO2bTFP0Nias4w/EUjb9l22 - N9x228MPPzydTkMIfXfGwKTTipwzrvucVbHpu9x1Kca6S3b7Rz7yO7/zf41G0QxmAODuZqaqAjnb - SKFHevyq8k1ijCEE1ukiukDcxxERERERXZiNjgMpdWYFoWRxXpxT1r7vd232Z1l1w8IHL9HdyJow - ts/c+1dH06FZWIe6aug6aFyEu83XuUABcYGrqKScxcJVzeOfc8N35wkqCTv9Ako3RxB1WIavLO3F - 8epFz/reOi2nGTLEI3LOOZu7hy3GiPs8JlAdwYZ7AEDgAb20D8/u+/zffcZHWevY971qkCunG8tU - MZtNTu3qO/vcswugQ4S8QxxVVSkQhrREusItpjvmnMs/L/6z79od+G7jsjh2uHuJghj+uYOZpxtO - ie5eJMdfjOcmIqJttLkt6uob37Do/DJ8z2xQAOrndil/7EM0+MZlHi6uMIWJ2yIFW2C6k7ndAExg - 7qnvG62uXt67lJb1aHj6Y275iZe/+idf/jPPfux36dHlsLa8ogclx9lsZkjzZcviXi6QvAgdH1YM - EW0rdw8h9H3fdR3EHnPVgd941ztf+cqfCgoAVRXW1ial2Rlj5NAKIiIiIiIiuky4e9M05UR1c4Kv - ENEVB4CZ5ZxTSqUScV3X7KciIiIiIiIiIiIiIiIiIiIiol2qrutSngJASdQuo2JSSmZmZiGEnLOI - xBjNtjynsq5qAGZo2/yG17/x7ru/GEIlW6ufo6wvSkRERERERERERERERERERHQ5K2WXcs4llRnb - nVshEAAPPPDga1/7OpGQs5chLufkyok92lmqmlKqqiqldOjQoTe/+c0AUkpVFaoqYL6VSwJ3CFvO - zyphZIt3CIAyKmlbXwTRo84Ws+OIiIiIiGhwhtNRVZ13aly85ShTetxdVN19t50lb+5zKTOONKsd - 6R/69D1/2VdTUyAn1UoNAExMAXEVt7IFxE1FzdQkByBkef5TvudgfOKsU61kB2KeNzOFiGeDZ0fU - qKiksxuvfsoNVz1t9cjDs76TAHFomU0VFGlrs6pMhvQ+dWQBMLxqCLL0bT351JfveO61L2mqJckq - EvziJNDuGIe6ZIe7QFX7vhf1M8T77cBmXXQ5hRBYJPpRwt1FULZ7SqnEOV+E5y1PckoPuMhO768e - ncohJm+6R+AKqEiIsb74C2RmGx3cu+2ATUR0RdLNx99Ne2aTU+92wIGs2HQKMzRTXc7QQDUxLX/z - LXf4879VB6B6hiaBmQACxY60hM+6XGKrebZ3Ty1TScfslic893v/wUu/7apnjfr9Tb8vT0W9jk2Y - zlqH7RmvVEE7mwFw6OZTYsN8uaWsLGBYw/wGnmgb1HXdtm1dR1WdTqceYxPDG297/U033fTGN/4L - yzkG5OwqmNd52d39BkRERERERHRlUNW2bauqKlMLxuPxdDrF/Bs0IrqSlE/6aDTq+74McOq6rmma - tm0v9aIREREREREREREREREREREREW1ZSinGWMrgloq6Zd6WiIhI13VLS0ul0nEZIHduDGVuJtD1 - CYBAYoxHjq+9+jWvfd/73nfddY91scXUsDNNRNWTb3MSGREREREREREREREREREREdHlq6oqM1vE - OZcE6At/WN/0/5WVpbW1yR2f+NSv/8b/9oY3vCHG4Pmch5Swdvg5yBCJlSOESn/6p3/m8OFjIUhK - 7sgAVLVEmJUyO2XE0ZYeX0RKOnhKqeQohRDK4KUdeT1Ejw7cuxERERERnYdFDtlGi7rEvm7KztaL - UFdaRObZS7uPAwaFq7iqw8RN3MRS6L954uv3Hvnq1GZSo7fWLMcoXQdgMZVoWPOCBKQgsITlUa1d - 9YKbvw/r45HW4mlHZxMJ0FQh5z57gkqQMDs+3TtaqdLolhtecLC51nu4IAYPCnWcx5Yq7yZ1FY+A - msAFLjABYphi9W8PffGhyX0TW5MoOV0JhczdpHyUNCDnvmxBK2mIrhvb3QFAtzOqXGBQR4C4CU+W - Hw0WsdnunnO+aEkAFycgnE7u0N+4vVj/Zb7rRVbeZj538ReAiIgumDoUrkNrVOZR1GKnXlCuAYfa - puvyl6ff4wAUHk+6QMW15HmrQX3jEuyMtRW22WiE9Wnn7jc++YZn3Xzzwf0HkM3M2tS6ulRuARqk - qWKAtNOpOtQtuIl7MIhDHIqNxQYwXzM+rB8iujBl5ERKKefcNM3Q0Ez9D7z8H77vfe9ZWVkqXRFs - exIREREREdFlpXxLUr5Ab5qm5HbzSzSiK1IpQNx1Xc5ZVUWkqirmdhMRERERERERERERERERERHR - LhVjTCm5exkMU2ooq+qiPO5sNjMzM4sxnse4OBUFtK7rPvUCue+bh37ix3/qxInVrS8pq6YQERER - ERERERERERERERERXabMrAwsKcWXQghbzXV+ZCvLK2trExGo4t3/x+9+5E/+v5SMgdzbqyQrpZTe - +ta3fvazd4uUe7AYVlRKRgM4v3o7JUYHQIyxjFZibjfRheN+kIiI6MpUqtyWMf3MBSTaKZu6FcxQ - ysuGEMxMBCJyET595WTb3WOMfd+XZ78kcaTnRTVWKSNALGeoeC29tajTf/z0n8QDSEBKqEchedt3 - XgeBAGIC08XKFxMky33tQafVs298/lXjx9VpLNkEaYeX31LqQoRHzS6esVQ1/XTSeHjejd+5YlfX - MraEKojnNkTk8347DLl9myLjXVxCKx5X/GN/dXuqpynkvFs2+9klh2v54Fj21PYzreJFOIapSvnY - 5mwx1iri2RRh55+ZLqXSvVhu55xL3J3qjkcC5Pm+QERUtfR4MorgoilHyVIX3t3tYmWGlvdb2dbl - qI15IgUREV1Sesq3JCYwAYCcMXxV7XCDOIJE9aAIiiCuyAITRQgSFVJiqsulxHKLIUACREoyt88T - vXM5rZnf41BAABExgYmWi2twCeZiWd0DENUr9UosIouYIIvu5JFEHOgRAdd874N/+/7/8N63//6v - /u7tv/WfvnL7w+H+9eZoP5r1YWLSQUzcYI7/n717D5Ytq+sE//391lp7Z57HrbpFUbztAuSp8ioV - EA2wDdvHRDttz3TMTAwxNjM6My3qgI6otISPAdumH4EBjvaI0mIb06IdINq02L6n5Q0iUjyroAoL - inrdunXPI3PvtdbvN3+szLznvqrq3jrn1H18P5GRkSdPnsydO3fuvdc6a/2+JuJRLKgH8RiQAlKw - GCyIi7TYc5i6QQpgAA+FRPvA3TXAUc2LBkCs9VA957nPesc73n799Y9vDwu66FRohWAApJQevqUm - IiIiIiKiK1obStFmBaxmFHB0E9FlrP1j1MzcvYV5ExERERERERERERERERERERFdomKMqxq4rWaF - iLRKAqra6hgAaOWAVo/Bnold91NforrFlIYxA6oaHX7bbbd97/f+r7PdIcauFAO0uCHoqcWFDLBT - JroSERERERERERERERERERER0cWqpTsDmE6n7R4RaQNLsGdEyvk8pa0uDsyGOQB3mMEdr371P73p - s59zd7i6iSC0Jz9bvpXuudBJItI+o1JKS9EWEav4oz/649/6rbcBiDFUg0NajZ29f/uA9Xb6vm83 - Ukp7w7ndvZTSPqYWccI6XUQPEXdtRERERETnyc9yFr3os1j9yvfceaDLsid69lJMfh3mY0qpiz2A - LJ6ljjLcvX37rXfddHy4uwJuqGISPWpADbq3E8ABwAUQ9EF6C7oz/fqnf/PUN8tgSYOqyEFHwUkF - zKCABtPgAIo4rtJHPOeJzw9DNwlBalG4q1xAIvBiNpQHeADgyyjBWqSYpx73jfd+5osfP+F3l5Cz - Zbv0NoHTtf4mAO5mXt3rgb+iw911+Z1dLgAby1eEVcfipbj/pIditas5zI/e3bmlERFdKswQArpO - YwztR8to/6GEOWAKEXVxWKllLDW7G6QCjuBIQKdIqzPKduDRoCoaYggqGlVFNIjA2z+wgeIV6qal - eik2FBsMFUm0Dxqji1S3YtXMgAjtJMSDPmuNMUCRax1tXidlO5y48fa//t0P/M6//K3Xvfndv/hn - N/+nO3DrfPPETrx3Hna79WDBs3sGXAIkWBVUjZLgUV3VFwHnkNpaUge68ERXNLGUQtd1j3nMY972 - trd94ze+QASlWIwaYzSzFo2Wc27p3XsHZBARERERERERERERERERERERERERERERERER0XkJIYzj - CEBE3H1vLYtWVXk1sas94H6eqk3+as8J6HyYf/rTn37Na16zs7PTdV1KqVY3s5yzGedpEhERERER - EREREREREREREV0mxnFsEc6rqOYQwoXFW7TRKSEIAFUcP779qlf92M7OLIRgS13XxRhXI1XoXNx9 - lcY9juPm5qaZTSZrQdMtt9z6qle9qhSEIDlf4Jrs+34YBhFJKeWcWyh43/ftFWOMqroaJsS4E6KH - iGlkRERERETn5Zyn0HtnztzvHJn9JCKtx6S99P1PzrkISQwAyjACkBRnMupV9sFPvOfe4Y4acpeS - Cqo7gquqVwMAAWCAAQpv+d0I5inHJz/iWU971A02SBBLaTGp6aCZwAUOVdeWQifwiW/c8NQXHJFr - U56itDQ+v4AZT+qL9G5fhpA7AEeMXSkFgGm+Z7z9b2790DzsSi8ul8GsKnWFKxy11my2n/10Lfj8 - rFqMr+yxj69LF7P2Was+DN0je48adGj2znE9/C97CIEfOhHRRaidJe49V0xJRVAHG3crKvoYp303 - SZ2oO8Zq2TwrLAX0Sae99AldQAyICgHcYBWlIJuPvrhkRwbGdo95BgqkqnoMHgRRNUq2XKWErnRr - HieGWCrGsQ5jHYrnItWCIYkHFNRidq6T233hgt1Sa4AkqGrSkPpQpvNj8cu3xc98rPzl22588z// - /df8xl/+8k3zG+cbW/fWY/NUah+9i4P73M0lOOIwVvEAb0HjAviiQSeOy6H9QnRITMzEztmqbQ3p - PeY7u6hl0sUjG2u/+Itv+q7v+k4ApRi8CqCqXdcBaKMuWg8DERERERERERERERERERERERERERER - ERERERFdmK7rVkVy9xQg8pzz3jLK7n6OKge2ujjgQLEqqg7d2Z3/7u++69/88q+4ydbW1mQyCSGF - EEIIEIOYtGI8RERERERERERERERERERERHTJEpETJ07sjWq+sECTGKPZYvxJu2iQj3/8Uz/7s6+t - 1VWjSHCXcSzz+TyEcOpf254LAcv1GWMchiGlNI7jzs6Ou29v7/zYj796e2dwoFTXEETE3QE9r3Tg - ltvdRhm1AG8Ruffee0spLXWr1to2CQadED10jO4mIiIiItoHbVbM2ZqpB37KHWPcuwznWIyLkQli - DNlqzTVoKlJn2N3VEx/81H+RSQkJMUaRYAazPW9q9eZcTbQqAARDGtKLnv4t/XgE1V3dvALww5la - 5ApAFjHbBogP8virr3/ao78qbKekvQvcz7tjqc2MEoeJm9gq1q4FgUcNDng0bNT3fvIvtuoxpLpn - 7Vya9iSNubt5cdQWS7YMJzu/PqYHTQGoQyEKURNxuB/S5kMPFxFZzXts0d2HluLcXseXcOnsty9N - Z/lY22d9yLnd7h5jbDNpH5YFICKiB68UM4MIQpAgCpOc63x3jFFDFA1woDhK8ZxtGLxmWIFVeAEA - EURBVMSoIWmMMcQYomgIGiCq7VrUHXCv1b1WK9VjQATcUEbkATXDDSrtJBUSIAEuZpJNquth/Pc6 - BMQYzGw3z+Y2s5TDkRqvLXeWO79Uv3BXuuUvv/BHb3rH69/8h//3R+/+8Il073Z3YifuDP3cevOJ - IDmCupg4ANXlCb/y3IfoIOxpU/d9H0IwMzM7evToG97whp/4iR8VQa0OwMxaXHetNaX0sC0wERER - EREREREREREREREREREREREREREREdHlQlVbLd1aq7v3fQ8gxlhrzTm32yGE+68vscr2rrW2PxGR - EOSNb/zlt771Nyb9WimllNJ1XZsjdg6snkxERERERERERERERERERER0iem6Lue8SlFp40bO90lK - KS1+BdAY1Qy1ugNvf/vv/+Zv/r+qsZQynU7NrOs65qQ8oHEc+74fhmFjYyPn7O5XXXXVUPJrX/va - D3/4rwD0fQJQa22DhS7AaqBRC/B29+l0GmNs20OLVw8hiEgbg0REF4zR3URERERED53i1BDWw9Qa - yW3izaXWqWGljiLoui6lNOQ5+vqRz73/WL4dYbSacxnMTARmgFgIQVxl8RYNAFyrwKGprl03ffwz - H/s1aT6ZdNPqZaxj18cDXXoXmMCxyO12WdwD12ChL5MXfuU3TYYjUSYucEDPt/nlEIc6XItrbm/Z - XR2xDGOfRIFSPaed2+773C13fjaHXZdLfurUyWR2r2bm7nt6AvesQdmvnMKTzykCWQJOyTyjy9Vq - ymLbkeJwI7RXhwzmNz98DvtrHuPBHpiIiOh8WTuBBwD43nNRg4rEmIKouopJ0r7vJ8NQcnUHQpTU - hZCCRpUADUE0qASBqqmaokYxtWxWzEqxWpbX1VpMt1V4VbGgiAEpYhKQMvqsfZWp61RlqjIRdIJO - EBUqcEOuXh1QX56/HBRxTAShwMZqKpK0aJ1bHsY63y2ThOkEnmaz6b13r33pPff88Zv/vzf9yrvf - +MFb/2J3/W67evc+ufPe8csz3QlTXwaNN2FxceEpN9GFWe679NQLBBDXdqmWh3Em4mtrk6377i3j - +LKXvexNb/qFzc31EKTrOjNrY2hyyWCLhIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoQrUU7VLK - Kq7b3e+77z7sqWLR930ppQVy3w93V0WMCphZqTW7e6nuwOte98/+9E//TBAAnDhxIpycaGqAQWz/ - irEQERERERERERERERERERER0aFqqSWllDbaJMa4un0BT6WqtVZ3WcZ4o+/ST//06z70wQ+HkHZ3 - d1W1lBJjXIw52XuhPVqozWQy2dnZaXlGZvaud73rP/yHt7cHDEMGtOsm8/mY0gWmd7dM7pbPXesi - rWk2m7V8kzY2aRzHlNI+vS2iKxQTg4iIiIiIHiIFTETgugxhXcxsEREccAisu69yQFv7/GBfb7+5 - 55jUstdaTU0n+Iu/+mPfyCJVKgymUVTEqosZgoipK1bThBZp2Yg265/77BdcrUfTECyqq8SUcs6i - B9tr4FCDiKsCJqYAoOpQDeNs9tRHP/MJVz/5c/WjI6AKheA8Nwg1BQxSVoGChqhA6mC19ZtgjjGk - 2Uc//YFnPeF5pj3qpdpRYqICcbe2lkRkMTfsoLkCBii8AgqIux9+oC8dPhExc0ibuKirGO9D07o7 - D/MVr2wKl1UkYVvzy/Wvh7CrERF3DyGsPvTD3+SIiOjB6/tUSslDFtEoAdCaq7uvxTUvLq62KIyg - UYKIWHG4qqu0nbuLigDe+ehiIqH9P7X9zfIQYEA793T3amaARo1u4qgGE61QB9zURssIkABVLJub - cPj5tzDOj5rU6hDRFFTVaw7uKbg7RFENpRjEdFKq5JrtMyc++vk/++R7b7z+G294yVc/8TmpHsk7 - 21pL1Gge1BVQOBYHXx4GifaZwrEa2iIiXdeVXGOMKaWUgoTuJS95yS/90i+99KX/U6nj2tra7u4u - gBBjLeVhXXIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIioktYK/6zKgGUcw4h9H1vZimlVkl5GIZ2 - oxUfOOvziMAdtdZV0eTVI2OMtZRXvvKVv/qrb77ha5+7sbFROC+MiIiIiIiIiIiIiIiIiIiI6DKy - iqAKIbSRIfczzuRc2t+2iui11pRSG4gyG3MUvOIVP/w7v/O26x71SLMaYxzHURjOc7/6vq+15pyn - 02nOOed88803/9RP/VS1k+EjZotc7ZbAfV7agCIAXdeN49hq1rePbDqdAtje3l5fX8cy3J2IHgpG - dxMRERERXRA/vfOgNV/3/ngISyEiIYT2cqKXXCPZQlT3Os81JIl9+Ozf3nTbfbeUIzOFBwAKEbSJ - RRCYVUEHV2A0gbpVQRVET1etPeY5T3lBV2MwDPOSuonEnOc73YFGd7uaGKCrTcEE8ACBiEjGVZOr - b3jq19984401IGospYTz+YjUVVwhVlvg7CJ+KxgQo9URAEKHKig23PzFT33pri88af2r9vUdHjYR - 8eV3xwR++ibdcv4OJGG3fXtX32IGKl85Wvei6qH2B+9N7jzM1yVA96785jC7mNshm53aREQXDz9j - l9yOzaWUUjwAIQRFtGIK6XUjnpgkWetCl1Lq43QyWVufrPfdNIUUJEaJMXYppKAphSAiQQBxlbBn - 568AWlZ3rbXUnHMex3kpZfThvnFrK2/v7GzNhp1SBpNqoSKUSawVY7HiXlzdxYqbOQ66CVjVXQBB - rTWPbmZJVCF1MAhiQBAAYqbmWny+m3b6q/ub7rvr9j/57Geu/7pveta3PPHoU4adLFgLtTdgkd4N - wAPggB3Q6T3R5csWXyIsv02n/Apwbd0HQbSWAsHW1tbGxpGcc82Wc37jG98IoOu6ltvdRmZoCFbq - 4b4RIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLLRAvbdnczU1URUdVhGPq+P378+NGjR0MIIlJK - CSHUes7JXC26G4CZQQCBiAKImnIeVLG9O/zgD/7gW/7trz7jqU9x9zNLFyjMWM+AiIiIiIiIiIiI - iIiIiIiI6BI0DMNkMhmGYZW9nVIax/G8nmQ1NKXrunEsObcfTSHmfs899/zwD//wb/y7t04mE7My - n8/jmVktsix0TMsPxd1ns9na2trOzs4rXvGK7e3BHS5tkI+EEN0956yqZudXdL2U0v6q1jqbzQCI - iJmZWRtxtLGx0Raj7/tSSgt3J6ILw+8PEREREdF5aR0EES2nGRCYOBQKqEnLfrPTH38wxOHuimCL - 8FcFIFodIq4ABFBfLEQLmT7wXLTTO1BUfJWHt/elFW3ZrLrXEFKYIKed93/8L3Sj7NpuksVsIjOH - uwKqEFs8hSkMCL7I1QuuT77uaY9cf5zsaB/7bMhuGOqk37AqJlBXEzuIa1PAoSbiCoHDIDBARYNO - dKjP/crnv/Njv93HrRiQa3GBoOUBnsz7Rls/fnLNLNeVnRHEBQDqPh/K2hS1YBiAHqMP2zj28S98 - +O989ZOr9gLART2Kw8UgBldABebL7VZ97wtdFNQBFVl9rJCAxXfLHepwWFimE7bHPMTZYsvPoq1o - A+Du5m6CtpVdRGuHDoSJOQLgUSHqi1BnXW5avvxp8XBZfU8fFPG2kS3mQwJwqDjgpo4Kd69FalXo - cjdA+2cRZ+iLfayoA+LqZlB1HeHV3QVuIsHk4CPUXQ2AetSq6u2EwQThtCVeWOy3L8yZIbRny3Qk - IiIAgLi56N4jftuNVvcQEGP06mMej0yPPvv653zN9V/3mP4Ja3rVpOtjTG6CCkDFFa0J5qqu7TnE - VR0CExEsTzMAuIu7o90v1u53N3evWnJfs2azUqzsDtv3bd979713ntg5dvvdt52YHz9+4tj2sGWS - EdzFqtYSh6oFAGCnNbsezKmyLhsmrSXrYgDEIYBaWw8AYOYAQpA+Tt2Kl5KioB0+RSqAaiIhJrj7 - 3OZpPe8Uf89n/vRTn7/xRV/9kpc851ul1n60WKbL3G6p6oBZW4ZTWpFteWzPQq7OzszP1j4iumLo - qbndD6BY1aABsn5kWsYyFrvnnrtf+tKX3nbblwCshnGUUkKKtZQDXngionMToJ1+ALan60VO9pOq - C4LbVUAP+ME3a/2MpvVqqQJgwLC4qIvCjQ1tIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqIrXAgBgJnF - GN09xlhK6fsewMbGxnw+Tym5e6urez/PY4ZWI1+IIQAAIABJREFUDHn1KDcDkGtupXitlLvvPv6K - /+OH/+2vvfnxj398rfmsT/Ng5qARERERERERERERERERERER0UWl67rVdRtqcr653U3f98MwjOMI - CSLiZjF2tRRVzaV+4IMf+Zf/4l/9nz/6I4BNJpNSCoR1Nc+p67phGERkY+PI9vb2T/zkaz7/+b+1 - ClV4CwtzF5Va6wMODTqrViZaVWutk8mk3dkGIAHIObdxR13Xre4kogvGrxAREdHlTEREzjNnkoju - h5i4A1KRXCBS1S24qSs8iYStYadINh+TYDDTKG440EBQNV2bbrhKcfSuCqlq7oCruAaHwkxkFcNm - yzDvAyHW4k8V1oLrAFUXA1wce9PjXBUQ1yixWvYo237sjvHmj9/+/mGyi7hIO5Fleq67oiK41low - CaUL2apk7TQEH2KWb73hxeEEYphkF1MDRHxixU3cYdZSwvf72sSyujpijeoKRw1WpVaFZIFPNchG - uPaGJ7zoQ1/+szt2b6sTAAgGcYXHZUadnRIS3PLqBAIzgVoBAF/m4jkCMsQkYsxwh0TAIVGGja0/ - /+QffvOz/itI18VY5r6GtQDxNMzGMclEXLUlFQvgCg+CM5PmH14GKwrTGItWKx5jl2GmcEcwRDMA - LmaKKgAu7MulDmsJPGIG7wBYnFuFAqhW4S4Qr8HlMOJ86eHTNjarGmLamBwRR7aqSaR4cOSAZfom - yvJc6kICtuXkrs8RBUjiNVdVaLKdPL8adU0TcrXAM7b9pO0rLAbxYAIY3AANLrWKd1IU2T2EUM1C - hB/kvtAFrl7Nr1q/prN1L1rdQgyl1gBpSd5w6CLfu5i44gLSu8/6HnTPjbpaogt7I0RElyVxc0QI - 2qFDHXC4wgS51qgRyWwX3/TE73jS+jNSWQ81Yoa2gxXHnhMERWtt7dmBP+iTh3aii1rslFPQdcM6 - XIpr9ViGOr/3vjvvuPv2L975t7fdftuXtr5wX3/X2M2qVKC6GNQdNdcqIqrS/uFaq4WgIYRSSghh - rAUCEagjqKp1Q65I4mK5HYiAaAi+WC6T9i7cUbPX9iZPHnLcsXjHxSsi4I5B67zbtaM6K/M/+vzv - f+zzH/ofv+VlT9x4WrBURw0pesRgMxdL1gEGLwAEUZAcwcRdrAV1i2urMqFeIG5M76YrmLbullO+ - AgYsxme077iqppTm8xxC0BjHcVSNUou5f/wTN/7Yj/34Lbd+cfGn7ouBHUDNF5LbLSLuHkJw9zYi - pO1zVg8IIdRaz7yf6BC07TOl1FLqAXAjvHi1HlFYAgSo0Aq4GBwRUWEBCGG6hZLq8FTgmj29NAfB - ltHgulo6AEBd5nlPgQzcCdwJ3IVQY488v5j6V4mIAEBVVbWU0o6JAFrhv4d7uYiIiIiIiIiIiIiI - iIiIiIiIiIiIiC5zLcBbRAC0Ornu3uZhmVkb37sa3NtmYLUpMO1X7frkPBjfM4lCrBoEoqoi/tmb - bv2BH3rlr//6r6+tTd09BRnHubtvbK4dP3bv5uZG2TubZjHv9fS5aafUXD7v4gZERERERERERERE - REREREREtJ9aSLOZmVkbeTKOY8tybve0+sMPpsjqMAyLW15bEeJFMToNZg7Yr/+73/yqZ33Nt33b - 3xurKSAIjmpmKcScM4AY45VWalNTN5vNkkpKqdVVdjMz85BS6s1sd3f+jnf+/u++8z95hUbUglU9 - 0lJGLOq13x8RSSmt4thjjLXWVjDQzFb1oodh6Pu+3U4pYTkeiYgeOkZ3ExERERGdB13kQLf862pi - yV0c7uomQ567mIqbAhXufsCNVxUJXUgiumonG6o7BL26aFsAWYWHGA4j58yAgPZK5+oXkEUiqRdo - iLt1Nx71977/z7dxPMsgEV5afPVeCmgUADobcxWsd13ZHqYyfcajv+oR/aM2x6vFUjVAXRVqClRt - HRViciDX1qYhiZv4yXvUoVFRtcyH9c2NFzztBX9903u6zVjDaBV+8n3pIvJlEaENd0BU2tSpFrXe - HrpnNQoKHCJi7hDAIQYIhrA7i1sf+ewHXvy0b6tDCZq8eqm1YlRVLJO/sQhx1/bqgnrQ2+h5kZaq - 7e7u6giIgC62J7T4QCuyWB8u5x/d7YrF219EIqovVrLJ4lWq18Vqb2uWLl/uDnc4RLTvJy3QJJuf - 1lEiFzrDUAG4meKUb5mLqCy+jF4HG4s6/MJy6OmBubTvclCHicERLGkQE1Q3iLggAOonQ60PbElc - RCY6jZ4AcfdFPKyFvRFfCmR5wE71M53t+H7Kpru8zb0aEdGpWntBHA6FGARiJ8++XWCAiYnrdDiy - pkeD92rh4JZneR7ScsFXe3Ib60yTrAXbmF7z+K940tc+GYa8I1ufuvMTN335Uzf/7WfvOfHlWdkp - OvdOp30P9dk4K2ax7xBKrWZmGtWtikKDaEDNnoupFo3aVkIwQJZtXT3z/GRPC+UsTB3iau6mjuhV - StVy3O52q7/2e7/8d5/1HS/56m9XTMWKj/BgnXZqKq7Q6mIwN1Rvja2wOIgvgrpZ8YEIOFd/TusO - cq/txtbW1nQ61RR3d3cna1MzG+b5xhs/+Y//8ct2toezPsOFaYVg2iCSNnCnxXivosRrrX3f11oZ - EkmHz927rjt27Nh0OhWRcRz7vjezFuNNF5VVTrZUgwOq0GULPVtQxaxgEncD1obZW2542hN3tmFT - w4Gdj4nV5TmQuohHuLrAxGY2u3pjQ3fH4xLueOS1/8sf/GdsHs0dIpvaRHSRaWdfbYitqtZamdtN - RERERERERERERERERERERERERET0cFmVAxqGwd37vh/HsUV0t8lZjbuvr6/v7Oyc63lCjLWU1QQu - AB/96Mdf+cofeeMbf2F9fW13e3s6nfR9GsZZ13W11uVsB056ICIiIiIiIiIiIiIiIiIiIro0pJTc - vaV0j+PYdd3eoO5SyqqsXEqplOLnF2phglSKARCR+Xx81ate9YxnPOP66/+OiIzjfDKZANndQwht - iMv+v8OL23w+39zcHGe77b2HEGKMXdfNh5xzDiF97pab/6/Xvc4MIUgt550oklLKOY/j2Eo3TyaT - +XwOQERijDnnUoqImFnf96348/6/SaIrHqO7iYiIiIj2g5ihzsbBZNE7cThtWHGZ9mvqi7k6p7ec - xQ41DdZVYUBo+SaGNoXHl6Gkiw4dXcZIK6ASqpfaDdv5no9++qMZLerJHC5+ygwgF8AtBrFcJwJT - dFq6mo6M17zwa7/5SHhMxIbU6MW6VBUIyG4FKuGc+eEPVYV11cQRMEQo3MQMYlXgeWdtsj6Olufz - pzz2+ide94StE18cRpRFiPbJlNa90XcCwB9svHqbiCWLzG9399GHD336vc9/5oum2EiIosXFq3vS - FAoAczEXE3egCMJFOMHKWx450GL/Yowi4i3L3HFwKePLHkVp/VCAiwjsLHGFdPlpfY7TbqKuqvHM - 7mUXk33c/MTRDhAOdx9Llh5uVdnveZBa8OqSibQve2k3cHIncJAMirDWr4mr+kW4AyYioouDGIBT - WzAKoO+mCKjIUqtJbE2tiV1zw5Fv+fpr/1553u5du1/85G1//Te3fOiWe246vnvPKCN6CUmHPJaC - GKGCIVuKqAa4i6mJZ0WIJYqgSDTtSwfAxXIoYwCAYOd3RuwibiYVQSWIGJAt31fvm2F4x0d++6a7 - PvfdL/5Hj0yPTfM1n6Wpb9RcoV6lmJiHlpou6ggOB8zhssgLF+jpK4aIsBjpYmYxplKKql5zzTW7 - u7u7u7vT6XQYSq31He9456tf/VMtsFgVZg/wpA9S65RY3Q4h5JwBdF03jqOZufvu7m5KSVVDOLCQ - XaKzOW10URtvxNzui9ZyV7K4rkBLlw1JAWAaAawBGO3qe+dPHgvmd6d92pWdyQSt91oAuKhHuAIw - Me98uPvYNHZ3hbBVR+QdlJTSGqBs5BPRRSXGxVDAdsK2GpJLRERERERERERERERERERERERERERE - h6/Nc2kVdQFsb293XdemurRpWatSvzs7O3urLZ+mljbfAiGEVqC55Pwnf/Jnr33ta3/u514XQmjP - UOrYdR1E4Gc+T7uHU2yIiIiIiIiIiIiIiIiIiIiILkY555RSjNHMuq6rtbYoopRSK/bbBqK4+/nn - dgOAw1NMjlrKCGAs5fu+7/t++7ffdu01V08mk2EYJpPJMJ93XXfixHYb63JFUUUp4zAMa2trIlJr - nQ/DfBj6fgpge3f35S//gdnuAAegQD3f5885t5Tulr8+n89DCC2sPeecc24JTSGEFtO+72+QiMDo - biIiIiKi8yeQPfnKLi7mAlEfxpmq1sMJAQXUVSysTzYBFRGrBsBdcO60ED3oBXOV5Q0Abd5Oi1tb - pNCdTOQ2uIrEwWa66X/1mY8cm9+DDahqzR7aX58MN22BbQqVMuZpF0V8HMbNcM1juyc+8zFfh2Fa - LQUTUYtwiLnnihokHdx7FVdxqLdMmeUm4RFi4m55VLgUaOm+/qu/4ZN/+mGfZPdS9eQ7gtiFJbuc - 1gvWfioyfmnrls/c/vHnXfsNPnfXrCloiera8vaqwsQhRbz141y886naO5qkibqeGdAngOOCYrXl - lBT5pZZ2BjhEpNTRxXxPCBldrtxP5nH3aa3lRJ72qa/29XIhm9wZUxnF4OruLhCBqwzDDFP34s7o - 7oPjp+zrXCDigJVSWpabHNa+0N2nk0n7l4Yi+Bk78z2LyP0PERGdYhxLCIKgUaYaWiPEpOrEN2zm - s51wbfiKb3rKo7/+md9w2/Fbbrnn5g/d+L7b7v3bnZ0TG5vRpmWeZwCma6gV4jAD3IpDI6DI1ZO4 - AtEigCqqai5mAvXzOCiZIGiEI1iVgqBa4Ra9hGwdaq1/ded7dv7k+D980X//uO4rj649upwowZOh - eIgOr1rUoFKDBDHAVcRqa1FCHXF5cnVgWZ1ElyZ3n06nx48fP3LkyDAMW1tbIjKZTHKu7vLmN//a - G9/4JgBmENnP3ip3DyGISCmlhXa3YR+tUoyZ1VrX1tZWDxY2eehwtRafu7eRRtwCL3IGhGV0d9tR - OVCAjDrVAAcMuG/3+n5zcvtdV0VJ7vBVF+G+XgMmy/huV/HceoZcLA+lAhODTiZ3RMfuCRxZgwWE - /mLuZSWiK9N8Pk8p5Zzdvd2+sNHVRERERERERERERERERERERERERERE9BCZWcvnTimpakpJRFqY - N4Baa9/3wzA88HBfEbi3v3X3nHOXQin13//7337c4x73A9//T8ZxLMU2N68ahmFZ24dDiImIiIiI - iIiIiIiIiIiIiIguGSGEdkNVa63tx1ZQbjKZlFJqrXgIRVaDhlxyK/HdqtXdeuttP/MzP/Ov/sU/ - n06nIqOqhhDm8/nRo0ePHz+eum6f3tmlIca4Sk/f3t7uuq7ve1Udx6Iaf/qnf/Jzn7vVHJNJN5+P - F/YSbdgPAFVtcextEBGAlFILZQ8htPh2VRY7Jdp/jO4mIiIiIjovZ2+auphrnY+zEKRUWIULdH9j - kc5Gqm5MNlEFgKGKQBwiskg2dgPMDzE7RHAyJNXbQgG2DO1WB9zEFYv1aGbFU92WY+/75J+XSbGE - PI5RFgnLpsvnBEwAsSpiESLipQTA57jh6S86Gh5vYz+6pGACh5i4mVoVACJ2UK0eF7TYOxODqIs6 - YhUzWDfR+TCop8nk6hOjP+kJz3rkxhPvyJ8f07Ysg8wNqyTp5drzNu/JIKYGyDlT6dpmteoQaxta - 1boT733fJ//iuS9+fhBkn4slaCoFvQOAn5xWZRCDn74ADz8VeIuthbj0/UQkHPBcsD1rwBXAWGaO - jMUGTJc5EREVmASEiM5dRAHfs1mI7feXxNxbjzZUdcy7Gswl7POLEM7MWl8dCt0F7p7LeJgJ2WIi - JtN+6tVFwtnTuV0vut0yEREdLgMgrqecowJAnzoXFLdazUuFqEgw1BP5eN/3nXZaRbc2j/SPvDo9 - 4fpHPPvF3/5dN93x6Y/c9P6P3fqhO2ZfCJsJ07wzQ9dBIwLUTIJVhZh4qYgBJla1qCsE4ipueubh - 9IHUVvdBVR2oJgIEsWhzn4U0w3T82F0f2PqP973s275fY78WrlJPQBSpLsVcoBYgwbQ1BUUAtSoG - QUUAQvC6fyub6JJzzhPFEydOTCYTAO7edZ2IjNVKth/50R9917v+sO1GVBFCaiMz9ksbrNNKw7RX - b/e3MR+tpsxsNptOp60czD6+NNH9a4ndtdaW2w0g5xxC4JCji50srgJQF2naoYih5Og9+t7u3Xrk - ZJrn8wNuObf+WaD1uS/vC4JuLezsFPS51opJjwAPkT2IRHQRmkwm7cQvxlhKYW43ERERERERERER - ERERERERERERERHRw6XVUG7zvwCM42hmbSKmmaWUhmEIIdRau64bx3NW9Y0aaq2tPm+7p5TqDhH8 - 63/9huuue+R//Q/+/sbGxn33Hd/c3BzzXEQAWZUA2oMFDYiIiIiIiIiIiIiIiIiIiIguRq1uasts - DiGsQp1VtY0wSSnVWtv9bfDJeT1/tZP1vXPOIYhV/4M/ePdzn/fsl770pTGk2WwQ8fWNje2dncl0 - 2ooPXzncXURCF3eHOYCU0jBkM5tO19/ylre8/e2/ByAEmc9H1Whm5zsOZ1G73R17KjkDMLOcs4i0 - xO5WTbeU0l1h0elEh4PR3UREREREF6a1gXV5w1x8d77tYi3xt6VoH2jqsLhE649MrlLXFoMkAkDF - 5awRawcdUSqAumIROLcntPuU1z5lVo9LkYl/9s4bP3/vTeN0NK1ltI21PpcBgJqa2CK7G2aiRUrf - hzzLGLE2SZuy/nXPeL7OIiRCYV7Uqlo1ra6iISKrC5Yf075ft7BnQ4vxFhjgUMByLTF0MIUFWNro - H3HDU1/4Hz/8xbjZuc6t/a2cd0/K/a18AUIdZOdTX/ybu7Zuf2x/PYoXy1EnAvHFxwFAZJHlbpAD - DsV+CMRVJPRpEnBadPdqe9ivVzIsooAWch4NBr1o1w3tG5H2lRAzEwvr/cZ9dofqKRuEA7KfW525 - AA4BTGGos3Hu4hUWhPlhB0DszMNwO1Y6LOfs7u5+CCFb4oAgQjama+rQUzapUw8ErgDEzzoJloiI - rgynHZrE4It/gasoRIEIFRFxsdCVUnM07bXXEm3uCd01aWN2fPb0IxtP/oanv+g5L/ngTf/lrz73 - /ru3vthN6s72TkgIKcHdvHr10OIxAReYFoPC1cWCweW8m5DFs4okhTrMAHMTz+LSI2fcvTO/dqMe - m335Le/8N9/zHS9/0tVP93lR711EkMQcXgzmMIGqwwB1tJOlFj1sUnmeTnSaEMLa2pqZHT9+fHNz - c3t7u+/7rd3Z//5PfuAD7/8wHNNpPwyDGczy/VdvOS+tGyrG2HK7Y4wtsbu1s1S1jfWZTCZtuE+7 - n+hwiEjOuY0nawHeKaWHe6HogQjgi4Ts9tPgRUUNFlKCAbAyn2EsURSyb32q52LiABzSzj3E3RyY - 1wAkTdNuCgjmxXpRKHdwRHSxMbO+74dhqLW2Kn4ppRbmTURERERERERERERERERERERERERERIdv - NptNp1MAXde1BO4W6d1G+dZaRWQcx/spqVxKWU3Rak8isFq9pXf/5E++5rrrrnvRC1+4vr65uzvv - +2RmhzD/goiIiIiIiIiIiIiIiIiIiIj2i5m1wOZhGFQ1pdTCpLEsBdyyn0UkhFBKuYBXiDGWYq1u - cK22uTHZ3p7/s597/dOf/vSve94NrZLwzs5OK2G33+/vYtdKK5dSaq3ra2u11lLKdDp973vf+/M/ - //MAYtRSHUDXdfP5/AJeYu9abQUDW4Z3K5xrZl3XuXvOmbndRAeEOUBEREREROdN3GWRVA0TmMC0 - Zp9t7W4ZqglaLGdr4h7kYmhCvzm5ShFWHSXtV7oIy7a2eA496Nzusy2fQRziLr6ItoaIi7ro4kdY - tJmf+OCn3jOLJ0oaRwwxKszUW3ypAtryUVxgYq6eUatCFWlIz3nSsx+1eW2oFkNWmUNn0EFQg6kv - c08FJm4HcQ1YcFtGxxlgkAIpECt5DKpRQxnGWLUv6XlPef618bpQezFd/UlbDS0STxzqpjCFLbar - +1m1gjNDr0zKEOcn/NiHb3rfoDuSRBEEEJEqqGpAe5UoFvf5s94nIi4iCoiIunaxDxJ1GV5owHJ7 - bmvsobVnV98IMW8bGLzChzqryC6HEudLDytxhXvLVwpIV68fhclqLyoOiJlY2xIe6i70jD93h5nN - 59uQ4l7lYdhHX+bEcVq0pwMQE3WIGepYR/cKsTM/nQOgYho1ba4fiZoAtNTw9m+J0x/K0G4ioiva - 3qOALVsZVi2bmTiCSJQgLl69VjezYrlYNlTX7CgK66BXpc3NemRzfvT6/hl//9n/w//2rT/+nV/5 - PY888YzHylMn82tsV6SaKCBARQTEYYIxYAw2xmJiwTRWPa+cbBe4uKkVsbI8jxIgGHwXU8U1RzCU - vIXjd+ltv/KHv/C5+vH70h1zPV6lwkP0Xn2iHqrDxWwR2+mLFoA47q+FRHQFEDt52WNnZwfAPJe1 - zSMVsrZ55PY77/pv/uE/ev/7Ptw6pWazwQzr65uAjmNpPS0PfXFadZhSSoyxlYk5fvx465sqpaw6 - xFpuN5YnwESHw8zakKMQQkpJVVuG90Pf8ukgSNsr+fJSgYJQsSYagcHGuQ+uAPLRa68qnt2rmx/Y - BWZSTLLL6DI6BqAYqqONSZx0k92tsewaSo94VfDIHkQiugiZ2TAMANqg577vmdtNRERERERERERE - RERERERERERERET0MIpxUeallLKsfVz7vgcQQgghuLciQfc3j9Ld2/OM41hrLdUdUIUZxtFe8YpX - fPwTn8hW+7XpWAvQ6vYQERERERERERERERERERER0aVBRLquK6X0fZ9SqrWKSBtPMpvNAKyvr6/i - pS/sJVp11la+FcDu7hxAKfjxH3v17XfcVdxyztPpumq8AvNt3WtLN08pzfO4PdtdP7L5pS/f+RP/ - 9Cd35wVAKdbW23w+b5Vvz/P5fTKZrIo5t9zucRzbr3LO7bNejREiooNwxe3aiIiIiIgunKuhBYjZ - qUGs7lIK8mzYKV5FoCoC3O+MmP1Zng6TaVwPElrcbMs0EwkAHq5gM3EozMVMHIvVJADUVSzCoyEa - oolW9azjsfldN97y0TIZrc+D1b5Ps9189qaKQAKGAiRMJ+uys/78Z74k79YQglsxH82KiKgklSS1 - s7KMfVlkM+/z9Z41rC7aIqXbnZN+OozFzGJMk9hpSY9af9wTH/X0WJN6FFd1oMW/X9hKPjO4G3BB - dfOpfejm993jd1lw1YgKd6+KKlCHuoqH9sIuF2v0nau4KkLSpC7i+lBTus9Jl4GIgMNdzL36aJJX - GeF0GRMRN3Gv7h7Rr0+uUhf30z/2ffueuLboTRGpgBnMbKzz4qOhujK7e3+d/XNzoLqLuHutNQPm - 7nYoKVvqGqWbdtOoKq6ogLkuDgMX696YiIgeHnpGNQTruhiiAOa1VCuwqo4kIbpOtIuazGpF1U4R - dbQ6DMUG6Djtx40j4yOfPP2q73zWf/uD/+BHn3nVDY+Wr+hmG6F0XVAAZqi1tZoUi/htuEBgF3B2 - ogoA1VEcDqhq1NBBNlLAiNkWuk6tL/fYXcend/zy773hWPelrXj3oDMA6l30TpBcUBWmBjHx1ooB - YBeyQERXgOl0Oo7jZDJpgdkf+chHvvu7v/vzn78NQCvdAiClfmdnp43J2EerkTpmNp/PNzY2AIhI - jHE1gieEYGbuLkSHqDXt25AjEWlb5r5/BWhfyKoXeNVT6svuurEGoNMUJGUYomzv7qhARQ74WlVV - NEhQj4qgHtWDpF4rMIzz9c2NEBJyBYKzQU9EFx8za2NtVbWdkrUYbyIiIiIiIiIiIiIiIiIiIiIi - IiIiIjp8rd5xSinn3OrqunubcjWfzwG02gcPOPOlPaDNmlnNl1FVM7QKNMeP77z85T/wxdtuL9mu - zOrJRERERERERERERERERERERJc0ERnHsZWSG4YhhJBzVlUA0+nUzLa3t2WZVXRh6c7urrqI7g4h - tJEnMeK22778Qz/0Q7s78xi7Ukqttb3uFaXFpbfRPma2vr65sz372Z/92ZtuuqWF2IQgWA7jyTlf - wEsMw7B39Y7j2HVdK92cUmrPrKpytkQqItoXV9yujYiI6IrSkiqwHHZPRPvCF03Uk8nNLgb1ed7N - PlQvEOTsIqIXnMx8BrO932igTaFxncaNje4qqVCHxJBrUVV3F4e2JG9xX57268HvCU4LU1v9JJKA - qJpythi7Yj7mKn2Yx90Pfup9u36ipnG0EhJyzn236OI5Lfqk5bZogoW0s5Oe9ugXPv7Isx0bNURH - EHSCvlo078wmjjVg0jLCD/JiACpCRaqiVc3FAR+zhzQR7Uq2WjyhzyfCi5//7b1s2uhJk0LcoQpz - pLS3XWbLi95Pe+1ce3V3VM1fGm/95F0fy1KtStQA8RZEDWhwVYvq0S/KnpYKF5FSikhAwbVHr7Mi - 4i28UCH2kDfhUwLXV98Id6hKdU9duPf4sRDgYsZD5+XOKlS1TWKUGq5ePxo1qcP25GW6GsTg+7j/ - NHdXbXsAnc23qo3dJOVa9usF6Cz85Gcaoowlhy4Med72Oe5nBKTuN3XANO+W6x7x6DoCtf1bQlvP - e3NwCeJsCFzGVqmEIjA75R4iumTp4uLaIrRbqnatxb1Cimg7qrnApZY0ohu1KxJdAS3wQX2IGKPU - lEwVNcgY4ywcKWtf0T/h+77t+/+7b/yep13zNbLdhdqHABeEhCgxSvQSpYQUojvmFejO+/DkAAQC - QGCI7iLF1BxjTYaJqmVkjNgsx+RLt/vN/88737DV31XiDqRK0eQTNy2Goshq1kLEHeIQmJ5yMk90 - hVplYLchFDnnsZrElMcaNL37D/7z//x2uEWUAAAgAElEQVSy773n7u02uGIYctultJEcteY9fQ7n - IaXUbuzJAk+rhVFVMwshtOzw1V+thu9wtAcdvjbSKKW0GuZ1BY73uoSsMrsXt9pn5YAmMQ8QAAWG - IEhqAoM74H4w1+7wIl7UCry614qatWb1uVtWBMUs746aMVFIsQA2wIjoYrM66q3OzXgyRkQXrVWZ - 0a7r2g3usogub6tv/YXN+yIiIiIiIiIiIiIiIiIiIiIiuhSths2klNowOVVdlRpoM79WP55WW1mW - sIwAb9dtqPAiAhwQXfzV7bff+fKXv/zYsWNtzpcZAO26yTgW1djmgp2ycIsZq3rgBReIiIiIiIiI - iIiI6BCt+paxrBX28C4PERERERE9eKtyTK0O8Kos8DAMbeCHLQeF1FpFZG8hl67rHuj839oTtB+W - A1FQCkRw442feP3rX1/MoFFCGmsxs1IWGVillL7vS7mcQ1XqWPvYt5E5fT+dz+dvfetb3/3uP/JW - Jh4o1QGt9QILka5SJNpHmXPuuq6UsvrUzrxBRPuOQ+WIiIiIiM6T65kpR9nH3WHbpdj/z969B0mW - 3XVi/35/59ybmdXV3dPTM8No9BZoeSxvhxbCwWLsMARreyNw2F78wISNbCOtLGRhWEsIeb0L3tAu - klnYPwgQgWIDs7smbANhwDKPWPFYvYU0YiX0GglppJE0j55+VFVm3nvO7+c/TmZWdfe8qrp6prvn - +4mM7MzszHtvZt4895xT556vlfVfJo+zst2WGBHuiGiXgOP09LY+JvSIqICTBCyc6yimTYv9iXKg - j9tm1b7a9jBUJKZxqH03HUtlSt1seqlcmudLH7rv/bWvljGO6Do6NxttAIL7cXEEfIQP6GK6hTPf - 9jX/7qTcwejHUhjGMAYtMsKcZrHJEffrd31Vmu/6XTtbMmugImqKtN2dvuvEPadnZ2fdyTp6rXUy - 6cYREdjd8wCuPeaFgS5xqPNL3aPvv+/dC5tX1upL7kd3A2GMhEgIc96gyTKGZEFDnnZThpFpf989 - tg4isxYHDm/hgq2Hqrgvy7xy1KiCZ4P1OBJHmFU7OT2J6mQ6WGAGEDyW3O5V/DxWOxtocMbecg9W - R6/a366Dy47UQQBwwiMiaoQP45KMoG8yj68nS0izfpatIxODiZm+qi84EbyOW6C961lC37PILWJ/ - goPNjc3PO1bNDZZ2MTjDGD2QgRyA04MerNVqWG0HwORIzs7zZJx088nX3/3N/+Xf+KHv+zf/1mxx - Bhd7LICaxrGWUvqUs1kZSs423eJy9ENVv4lVzDYAet68BYvVBbCgOeHmJfnQ736pfPa3/uT/GCa7 - NS3BMt/bSyml3NeW1M32DsyA1qY5ts9Z5CbUpl9pc6YMw9AGx8xms5RSa9r8+q//+qte9T9cvDjH - utFxLLquG8exjcJZLpfT6RTAOI5typiU0nK5bEN2cs6qeYrItQig8mCUNxCrLO8rC5e4btdrBFIg - BQywuGILHHDQQb9Be1dFREREbgZd17XTNrquG4ahNTzjGBu0InIjmc1mWJ/u1c4XunIKYBERERER - ERERERERERERERGRZ5mccztvaz6ftzBvAG0+ZZJtmuOI6LouIg5Osnz1cmoNEjlbBD7ykU/82I/9 - +N7uArC+7919Pp9vbW21EXrz+fxpe4MiIiIiIiIiIiIiIiIiIiIiciwiYjKZjONYay2lbAaTtETt - Nl1wSmkYhjba5AircEcp+LVf++e/+Zu/NQzDZDLJqe+6yWbhXdctl8uIaJMS35Jms1nLNS/FI+Kj - H/nYm9/8lmPMMWlTN7eRQvP5fDN86NhWICJPgX5yIiIiIiKHspo9lnBbZ3g73TGev3QurMLCQRIW - IOjXHsjcVkdE7E9VvcoGD7vj1F2pdghzdxotLMKIenCO25ZXmhw8hnjoJ9HCR1tQdOyvzxg0N4sg - WbymPi3KHNvx0fs//JlHPhnP8VXGmwdpEUHYOhDF2/bTkRwne9QBNsddW3f/1Rd8c1r0haPR2ZJU - oq28GqrT0yo9xRFYfRXHfc1VVncCkMID7nAAyVIKkhUWgYhSJtZvT0+97Bv/2u9/+EvDYg+GUkoE - ZrPkjjrW1Tfb0srX39phw7U79AsMy373Xz9w7wOXPv+Vk9N1Ht2q68rahxyAw/xGjdMiCQScxrQ1 - PWnMFtxkHq+fBAQscNh3EY/z/Pb7IlMEh2FRo1bUMCp/59a2SZWjBzzddupsVJAMGOCMy3eYK1Oa - npxflrNpB1++Cg0HLu1eYIcylMT+sL93eVKEc/Ut7h8VA9UZoM/nuwAiIpmNo1/vTn5D2t461acp - ByaklNKijEgHS2NHSzw9uLnHRzGKN504WPMTkWcZXzX3gFUNltwvEDY3qjO5JUQOOlgBN7jDibB1 - PcYAMAJwOOBRasfJc6Yv+re/+vRzzrzot9/5mw+PX9gZLnQTH3xRfWFEAqKGO+zwDchVfcazRV5t - tvmmdeMogAXBgBFB7PDR93/mnc8//TXf/fXfl8c8OzGb18FSaxqi0mCenGAY4NHa4CLPXu7ed9O9 - vb3t7e1hGBZjyTkjLKf+p970v771rW/bOtGXUobl1QM6nniIhz3Bc8Zx3KR3l1JKKSQjouWFD8PQ - kpbcvT3z2t+miDzrxKprpsIqEERO60oIVyUUAVQkhwUQdl1bSoS3ukoLDr+iOtSSvC1Wj6ulLSIi - InJk4zgCMLNNkxOK7ha5Rbl7rbXrujat8DAMm1+9iIiIiIiIiIiIiIiIiIiIiMiz1mai5L7vF4tF - zrmNq/H1dL/b29u7u7vDMLTTux5rGQaglNL3/TAMXhxA16U//pN3vf71P/Gzb3lzrWGWa63L5dLM - +j5PJpOn7Q2KiIiIiIiIiIiIiIiIiIiIyLEgWUppQ00iYhzHcRxJmhnJxWIBwN27riulPM44k8fW - pnyaTqeLxaLr0ljq3//pn/qGb/rGF7/4xYkstZihJYJPp1N3v7Vzpi9d2t3a2kK41/rIufOvfs1r - lqPzynnajx7l3T7AUsowDC0Bveu6Ns/ztW66iDxlyjkQERERETm0y+NBDIClePTiI06HtQSj67Vq - EmawlXz29J3mPWMVbOxARBAJLTWNR2+0X4tVTly0nDizQEZ2R842liUT3MYLy/M+Hf/o3t/vbmdF - jYJJjzqCjJb8DVy5/clhC0yXKe903/TCb9lO2x1Spk0mPcPpERHw6hwDy+AiMCBqRFyna3iseYR7 - RAQZQV8lzQXDDIBHiTpWDPiGr/nm5H1Cf2K2XYcAsVjUvb3aYl+u2GsCCB6iyWZhdSyWgC7m2Png - J99f+nlJAy0s/EDy3/qDfbwg62dOm42dTOGM4LSf9qmLqwK6GTi2iJ71sgPWOqTGOo7j3K97zL08 - 81r046oj0nn21NkIRm3Fzuqn5+v8dl5Z8h8VQaLt1UHs7J5ncgAwHjaKXp7Y1aWnE4BHuCWQMZ/v - 0uBPy3GSYQy77dSZxEwnYES6uh/8+sW3b1bUMi/U/X6DO0Jo9+Y7bSGax79NIvK0oV/WCApD7AdW - XvYcetArUQzV3AFvOZMMC9j+K4MBwgEEwZx8BC51t5d7vv72b/vv/r3X/JUz3zJZnIyF9aknEYGc - UpQYl9F1/WE3vyVZWhiwChAPIAg3VEMQQUerzzsY8A7jbPjDD/zOvX/5Lt/eLZMFUqljYawO5QGr - Zg5Cf82SZ735fH7ixIlLly5NJpMLFy60mVlSSuM4/uiP/uhb3/o2AIv50HK7zezAj+Zaq7xtwE2L - U2pB3e2Gu7t7SqnW2oKX/OmpXovILcrhAZTWqbwqxoywBMvVEEhxHRvOG8H9TtPkV17oWG2c+g5F - RERErpmZtb+VtCZnOzHjmd4oETl+JNt5XymlTc9Se0RERERERERERERERERERERE5NmsnVHeTs7C - +tQtkrPZjOTOzk6bovdJTx5fT9VCAONYU+L/89tv//mf+ydm5u6z2SznbGbz+bwtUERERERERERE - RERERERERERuIi3yOSLaZE2bmVvcvY0babM5jeN42ICDZrFY5JzHsSbjxQt7r371qx999NHidTOU - pe/7iGh3DxUNfnOZzWalFK8wsze84Se/8IUvH+/Uo2bWvkGStVash/2IyNMpP9MbICIiIiJyU1mH - ZF+Gzsxz5x+BhTMIMAhcGcl5rWtex22SXGU6Om8/dadVS2YtiSki2lMQ1sKZ/GlM7w7A8VifT3vQ - W6yJI/nAZX+Kn3rgI5988C/6e6zsVObU1ToGwhAp0upzdHDVF5ECyXMunJVT92x/9Xd83XfSWVnc - 6t5y0TOTsEAQzhpWnLXFxSFS+yyO/RoA6Ahz27xlIjoDHFExEpEygQ4ejEymUyduf8nzv/JDn3gE - ThJ9D7PcdeHlsg6R4NF7YKIEpoiJf/Az7/q2v/rtL9x6SdkdjdkCQXduMqlvzOQ7d6YERrgF+9Sf - mG0/tCTMgOMOxDnwW15nMxvJwLizd+lsdke0nUpuVa0gpYWR5unUiTPZkrsbLTb7BuHXHHR3BZIB - lBodsLfYddSwQD3elQgeM6EwCI9gQtCX4xApIhAR9jSUiIV3nrkLIJwIiwCZSEZUwIFAO+o9zpZf - C2U5i4jcVPabGs7945MBB9pZtnlqsAYrVv/r68cJWKvHErBwwBheDZWR+27iJ8aL9ez0ngm3/ovv - evlvv/f0u//yHQs8al2HXEqtACY5Y4x16+epifU1HWFg9dag27wPAgGGWxgdQS9E9L7Tn/vd9/1f - d9/1nLvy809Obi/zSJ7bqp0GWHs+6Kqby7PZ9olTZaxd17n79vapWiuZv/jAF3/kR17zgQ/c2/cZ - wDCU6XS6WC4OJJ098U/4yevBbeRNu+HubWDHZlKYNlfLZsYWVTtF5MgIpHWZVYHEVnEA1/8LX0Vq - 87hbzQdFa7Wv7yaA+1UZXz0WHWBAQpitt1BEREREDiultBrzAOScSynL5XLziIjcSjbTCm+6lVJK - t/ApWCIiIiIiIiIiIiIiIiIiIiIiT4W7t9Oy2qTGEatJiiJiPp93XTeOY5urdxiGdvcxl5NzP44l - pc69tOXUGl2XfvEXf/HkyZOv/NuvGIbBvZLs+34YhpQPnAkRN+bMMyIiIiIiIiIiIiIiIiIiIiKy - r5TS9z3JluFdSokId7d1xkbL1QbQnnOYeZwuGz3iHgA+8fFPv+ENb/ilX/pF1DIMw2w2yzkPw9Bm - J26TRx3ju7uhDEM5cfLUz//8z/9/b//DnK1UD1w+8eg1v/X26bXrNtXzZm5nEXkaKLpbREREROTw - Lk+nDiDojz76iDNWudpG1kCiBfz44jtaBNLBjo47b7uDNRmICLdVA9tojFiHt13W08Fjzz++SsAc - CHgQbHFxAXiQKKVMTqQd361YzE6nP33HO/zE8sJwCcjZ06LW6RS1uKW8eYNBOFp8sgEY4R145x1f - MT3Rn1t+gdO0tTVdLpclkoWZWxDVStDdKgDzjnF9Wz1XfJ4MAshG91KikCQTmRAcu72xLl72rX/t - k/d/9OLwCBIWC3RdKSO6lFv6S4uHOSrvun5cVDqc5cH55z/2hXtf+NUvLjtlGhnwal4BQ2lJfs7D - 5PBdf04g0E4n80ozGnjm1JnPPkxGi+a58sM53t9XEEGHxYWL5+0OiwgqfOdW5+5IIEm3E9MT27MT - F0rr+rTDBVU+uSuXFgGSw7BcLhdTQxTtb9fDqlzFflkRgJtZKWUcl0gg4QgzXt8u/jDAzp69EwUI - M7DWuglBfMwXHPsmkGxriwjtaje+o8WTKCxT5FbR6gwH23EGbGZA8Mtao3Ss/m7r7fDBK18FJyw8 - kFrjbLlXO+OJyamdSzu3nT47LJZ/67v+8+0Pnvijj/7egxfvn53p3ZcAuo7z+WjdIbc9DIDTwWH/ - eLMOEUdr2a2KN3OY1zJamZ0qn3vwk7/7nv/7h/6DV+48dP50dxYjnKhEEAEELAcsWlr5DdSEEXk6 - 7ezs9H3fqrKt5+lzn73/Fa94xX33/eVkkhbLAiDnvFgsAAOJiGNp0bh713W11lprG5rj7qWUnHPb - mPZgG0DT9/21r1FEnrVSa7Svqw0BBLjqEX/aR+U5W72lZXMb4AEDwIMVs1BXjoiIiMjR1VoBtGlA - Sykppc0kpCJy62kdR60fCUCtdTqdLhaLZ3q7RERERERERERERERERERERESeMW0W44gYhmE6ndZa - 23Qr7bytYRhSSm1mXnd/vNxuAG1MDskIAj6ZTJbL5ThWI97ylrfcdddd//F/8h/t7CxTSjl3ERGo - T+O7FBEREREREREREREREREREZFr1WZq6rqu7/uW3t0eb6NN2nCRTa7BEdIKUkql1JbMDSBn+4M/ - eMcv/MIvvPK//W/6vt/b22sDXdo4lpbJdYzv7oZhw1C2trbe+c53vvnN/3g67ReLwXKKiPDjmRS9 - paq3EUHL5bLFsWveLZGnmaK7RURERESuSesSKKU8cv4Rn9RgkK0z4pg7C1r/xqYbIiX2uT9z+nar - iYHqjmQwXh3M5IQdY77xE7HgOk9u/yEAiIiUDFFAVixqWn7pwS996vN/YXfUvRLblqxaB7SE5hrF - 0APewt5WkS2BaqWcQl1e+uLy/t989z/bqzs1akaKEiQZlrwLWLVSLYIFgIU9xmYel83CWYJAZAYt - jOG0AtYaXgNAJpLBI4hgdyINi5EpJlsdMAKWWohe7Cf7xvprTIfciQK171lKeNQym//Zfe/+9uf/ - W2fTPTYCQLUIwlktHJHthuzOars3STBF8MyZs3YuB+px5yhjf4HrH+s6J9XPXTjHO2/IT0eOFcnw - CAYBOifddHt72y5eWVoeX8rxqsRwDyYiQItxXO7uXTo1hSPUJ3o9GWIdbkp61MVir9Qh6CnRS6SU - Isp13gKePXMHnGaJYT5Wm1q5cqUOrHNJj3Xtm7+RREDBYjcyrlI2r3khInLTMjgA3+R2r1ocm7Tq - AMzCV4cMwGEELBwE1we79qpWmlQaiLJqpuW6jNPbJ4a9xYXdR287ffri7vkT/YlxL333N/77dajv - vO+PLgxfRh6dvqwj8n5V+aluPszpQQ+itUHNjWHJjYChAO5EwCstCKKj8UK9cOL22Z995l99+/3f - /uL89Wcmt5vTYZFQCIcZiDAGYZoSQp69Tp8+vVgs3LG1tb23t7j33g+/8lX//UMPPRoAawDoum4c - 6/bJ0zs7e4jH+rFssnCv9CTN7XEczczMAAzDQLLVN0iO45hzzjmP49j3/TiOXddd+5sVkWeXA6VT - jgOPrEuslqBtNKcdXy/N42pdptzfkAA8EG6OVtehgwWoQAF80+EjIiIiIofVzvcws5zzMAw551pr - a36KyK2EZN/3pRR377puHEfldouIiIiIiIiIiIiIiIiIiIiIoE194D6dTttsJ21y3s2p4m1MXXvw - CRbSnlNKIRnAclhaTvDVlMFvfOMbn3PP3S972b9hZhcvXtza2ro1Z04WERERERERERERERERERER - uaXlnNvAEnevteacSQ7DsBltklIimXM+wrwutVYALUa61hoRCPzsz/7jr/mqr/ze7/2eUkqL7m6T - ErfZoo7/Hd4Yzj964Yd/+JVdlxaLIaVEszKOVz/tcad5fkKbAUIRMZ1ONeOWyDPili2/RERERESu - pwBXiWiEh9VdXrowPhJ9zWFOkAyC8CNFZhtWGSHu1la2+Y8EICEI62yylU9ub52ySxbBiGA7o6a2 - TfKDi+J6GU/DGTQOAMaWcRLudEMJJgBd1y2GvZRtSOWDn37PXnd+d9jd2oItrQ5lsjWZl2VKHEoY - fJNPx3X+iRuWjpyWn7/06c9++RNlNuTeFjvLWT+LCIQl7wCM5mERdMAZRjjCwOtwvfqEPawAQGR6 - sjDQve6lnsEUgfBkZgaPkrq6vVgsJqcB2u5imSYoxbucUVYpfYx12MtqFYcIq3ZiPq8nT20td/dS - ipjUjz/wkfsvfO62U3egmEUCEASduK6J5kdlgQqLiLBAeAKt8sTsRIdUwjxaMLxHSyW8NoxVlGAL - I2zZho4yEkPmxeVFkvvfxVPb/ONOFpfrzVrxsL6DDml7crKzWfGW5W7cpGBey1rW6+A6+akCmQ4C - VhfcuzhcfE4f5uoWPWa1RWqFIQA4iIAxwIjivlf2hhjIyGbLx8w1fDItLYwB25QnAAhb71ROAxB0 - BlJwUqdnJrcDBgMCY/jUcpQgDXACFgg6YE4cJV6bjsD6gLk6bK425GDpFGjhp4fNh95PR1sd3xHr - Q6GDab+CodCyQ1gdOQ4c8RnraDq6szqqxSo37jLcr+Y5jOFOAgF6Ah/j+SJyUzpYosZVh4ZVubEf - LbkqPmxdK10XFPRobRYaA9nScm8gmWf9znBxNuuWBbHoT9sd3/0tfzOM//LP386px3S+N/p0hjoc - WGWsjm7twLq/afvHnfU2t9zu/dhvA8xitT2r15kHLCqRjIbFOD91++R//723veE/++nFcHHC0/R0 - WQWbruJNnuXm8yVzHvaWTOX3f//3/8cf/zuLxQggJZbiLTzbUrdz6RKYaBZerlzE4/6Knqgx2wbN - lFKwzu2OiJTSMAx9389ms+VyOZlMWuqScrtF5IjCEJc3XbGq/jz9jUwGwFVv4XpD6uZvAU4A7nTQ - j6GPUkRERORZjGTL7Y6IdiZGOz3jmd4uEbku2ule7t7SuxeLRZsv+JneLhERERERERERERERERER - ERGRZ1KtdTNwrs1o3GbmbdMot/E2bW7ldlbXYy6kPaedXzaZTYdh8FoJAhhqjHvLV/zwK3/zt37j - ec+7ZzY7EbGeM0dEREREREREREREREREREREbh4tNhvriG4AEdH3/TAMAMysxW+36yMws4g2OUza - LOQnfvJ/fulLX3r33Xf3fRqGYRzHWzi0G0CX+x/82y+/dOlSu1trJSLlXMtV8zwfSUSYWZt3C0AL - Ste8WyJPM6XpiIiI3ILWY+6RUmoj8k1xkCLHxBE553Fc9skiAk56eBo/c+kTu/0FsHJweq4lrLPx - kLmYAIKGMPOekRlmgSDC4AnVUR3GnsU67203v/grvool0xmApY6OqEiMFh/itECySBYttvPpiDpz - AKCFWeRczYCwWq3aJC3qWBA5T2OwiPjjT/7hpe1zKYFLeAzofChLAu6RDWGlxZ2aIzuyw+AWmFSk - wF66OGwvah7mscetWOS9ZTdf9vP5ZGc+2Rn7nZJ3xzQf87zk+ZjnY3d9rrv52O2O3bykZUnLkudj - v7Psd5b9TpmWRVoubTGkxdjNl2l3nnaX/d7e5BE/vbtjF5dpbj0ikBLCi6EQ3uI5kyM5uoocftj8 - F3YopXQAalSO6XT6vff/7nhqgVnsLRYpJYOV0ZgmJTxuvGzPlDrAFnVuEw6LeXK+5O4XlN1ins0T - YJcnjR36ZLAWIMiwFpIahBNBdLBcI6XR86JsjV/e/TIRE+QUxrD2KtDB9svaXFpajx24yM3EETCa - WVSfwHxvfNHdL60Ls8iI1XeaAilAPFZ07pMwC0NYsGUeOwP0bJ5pFoxkGGOnbC8+f/5zmXlSpwzt - QsfG6ZXhACMn79ZhognRdZgw8NDeQz4dPcZaakqocbjyJIhKC8La7gFg9UUjHL0ZwywMTB6EIdd8 - F+++p38uwIUNcy5tkoZSjZ0FLFqaqTvD6UHE4csTQwEcYYF8IErcidFQzMzYu4MJTHboafCJVuLF - Oq3cAMBBJ1MEAxbGEgVwIoUfPnr8WWadfRuG6oDTg054QqIzyEqf+y47hzN5xio93Q7uHAHUcKbs - 6MItAmbIKSXmo6S/i8gNIgxhrV1g4YbNpRpqu70+rjWrhl7AggzSwSDXpYW3xiDXNwyVbA+5Wyx9 - SfMO/WQ4tbVz+3/4sv/021/wHdPdWR77rsN8RDvYtYpRO7oRCcZYFzPrA9mqcHKWVu1JjlSRvB1D - ittQbajmlQjACbbGTrJwzjiJgot+/tL0kV9/56/unbq4zA5ODGlqOTuiFHQYbAjNByG3lMsbkqsU - WC91AN0SQK8+pkwAZrkGxxJb2yd/6Zd/+dWvee0wVg8EUGsAKMUB8zauJWo81QqfH7jAzDbDXzY3 - IqJlp7n7ZmgOgL7v243JZNJuKLdbRI4msOmzw6qNvbl9sLuNq8KKcX0vAIJwoBLVWA1hrWuIFt26 - qydAx36lS0REREQOrZ054O7txpHP9xCRm8LBgYulFADK7RYRERERERERERERERERERER2Uy8uzlp - q0V0N22MzROetLU6NSyijuMS8OV8EdURiIgAAnRgdz7+wA/+1w89ch7W1UileE79OFQi1TKEl+mk - q2W47u9WRERERERERERERERERERERI7q4PCSgzf6vm/jTK5OpmvTC3PtcRa8Gn/iXtpglXGsbWKY - MuLLDz36d173E0PxZak59xFEBCJ45byh5rR1+MIN5aosofUU0F2f3L3WmnPf3rJZ/pn/7Wf/7IP3 - VofHKiU9qicaAvsXAJfdfKy1Hvguuq7bRK1f/SUqt1vk6XcDFlUiIiIiIjeudn5LiywCYDlFRGF5 - eO/Ly7xLBpwkgwg4eQ3JiZsM11U8MMISmdydZEJKpb/r1HPSwXTMdfbnOrztYPDb0znpbaBFe7Y7 - 9KDPh3nqDLBa62TW3/uJD56rDy/S3K09p1QrznUs5abnIgwBczBauhuywwJupabBzUG4+fpSahpq - GtyKW4EV0N1K+6/rc725+P4jaXArNbkbLnvcvNow5vmY5zUNvg6hWcdCA1h90ZcFnh52/zEOtZDs - ulwRF4eLD84//7lHP3Vh3JmdnLn7uBy6rh/HQjLKjToBuqFENbOMdGJyeiudsDi4n+/fPFw47IF8 - QQBBBL0l0aLCQAsgxZLL83sXHLWF3T+1NrNddUNuAq130t3pYWCH/uyJu/oWob1OhWRcQ/5tGLAK - PCbcAutQcFQEDU6MeXlh8SiAqAE3JT4AACAASURBVND+c7xaaLoFGVh9oWGIHE5H7JWdMQ3te8ET - dm0/wfIdq1j3luzV0rvNUOs6ZyLgjnAkt7u379ny7URjQgDBIEkPhnG9p62C3o8c4k7f7EWbd2Qo - YE00a2shgMvK1CPjqsphMALmfjBHFoqifxJhrWIDrD7KVQpdBIGgeYoRY7ASsFWh5Acrh6vXwtDy - etuNCENCHGGPFpEblj/W5XGEISxgVxxKLHwVBL5f7ETQg+EEwhip7Pqd07vzpcnf+La/+ZVnv7Yb - ZnWOaQfGqszh5k/Cm0KGq3o1Y73GzfHlyvxLB9zZLgfq8PS2wHFRu4Tpqf7c8tzHHvzIX1789NCX - uS8BDMslq/fZmFCjHK7+L3ITORBLf9ttpxeLeRvyknMex3G5XDqRczfpZz/10//gH77pzaW2rO79 - 2Oxj4e4tpbvrulLK9vZ2e3x7e3uxWLT/bW2oY1ypiDzLxYFrAOD6disYV1UQv6z19PQxh22qH1x3 - WTtjXSVTy1dERERERERERERERERERERERERERERERI5Tm5l3b28PQEppGAYzi6OcP25m2VK3HIf7 - 7//Cq1/9mnPnzqWUt7a2F4thOp2S7PvezBaL+dVzN4uIiIiIiIiIiIiIiIiIiIjIDa7W2q5b8ErO - uQ0yyTmTLKUAiIiu6yKiJXkfCoF3v/fP/tE/+hmERXBra2sxH453PuRnREQsFovZbNb3/WKxOHny - ZET88Z/+6dve9rblcrnJ1W6f2DAMh11+m7055zybzcZxjLXjfRcicjQaKiciIiIicigeEYnZCwCY - WQGD9sUH76/YnO7ioNcS5KHjQBkOutPjQAAnAAtkBBnuxVMNo5X8gq944Q0WjekGt5YdzuJ0AOaZ - YY6a+hReHONef/E9H39nqUsSTpSEklavbNmTgRzIgT6iR/SOvj2CMAaS37SX2L/Yfo4dgnCiEpU2 - GophTBgTih0hTTYczgQAqA4vFxZf+vAn34uJl7TORU9hxs7ScX7zx6U6GSQjwiwT6czszPbkNBBu - dZNTGKs45CPu/FcH/rm7mUXAAHc/f+HcsiyD5bInxZUhiAcXcLQtkWeYh4HuHkYYzdJz7nh+xgyA - s7Ykps3OcpScSDrYkjJXd1tiJbCfqxvEoxcfdXqNoh3peK3zvCIY6zxR33wLO3uXACe5Tv869IfP - cFsX4Ksk7AACJNxBMiwAJ4MAg/fc9bzEHpGJtOkZj8cNYT1S+Rb22IsKSymHg0g4GLl6FPZ42+bu - mz8kyLGoPrZdKKI+wdMi2j62um1moWBbEXl8qzYIC1GJ1uRMFjbJ/XJv3qfp2RN3f+93fd/pdPd2 - nOY8mxuAYLu4t9sRB3Mzr+W4Un1Ji5ToDh9LSri4d+E9H3p37fa8W8LCAikRcC/jtb55kRvUlfW3 - Bx986PTp28JZRidS300nk5lXLBaLV73qVb/8y78SgclkknPu+365XB5YzrU2KPq+B1BrHcdxMpns - 7OwAIHn+/HkAKaWcc8v2Vnq3iNzauO68vbxo9dbtb4EUq04AERERERERERERERERERERERERERER - ERGR4zUMQ9/3EdGmVz6alFKttdYxpRTAe9/3gde97nW11p2dne3t7d3d3VJKm5TZPbquO8btFxER - EREREREREREREREREZGngZkBaHMFL5fLUoqZdV3XhoUA2N7eJjkMQ3vwsMuPQNfxV3/1n//Gb/zG - ZDI5d+7cZDIZllcs5xhmRb7OrtzCZB2RLl26VGvt+35vb3Hu3PnXv/4n5vNlm5+5fXrL5bJ9wkdT - SpnP5+sUs2uMCBGRY3ND5fyJiIiIiNzoUmb1kcyAkayolSgpHnjwCx5LtoDWdTJry8g8PAf2o0AD - sAAcBhrDo4aFu89s+zlnXmCej+29HQ/fpJi04FsLMthNuhpjKQP68vEHP/KZcx9LHXKLxSUeN2GS - 3lLiarscJcr6xmJhLYDcfBWbxwC9Bb201tnjpqI+qbbDmJHkMJRwn067wkv3fvL9i7zYGXcrouu6 - cVxOOhKerqGX5zqJCAuQdHcm82on0m23zc4ACBbADZu95fAbf/neczCVGYCZbaLHLu1d2is71aof - Os33Bu8TlH2EG5wWrY/SwfB09sTdW9wGEFwVYm23aQXR0cNwL38hyQggWoB3nLtwzq2gW8c/y/Ew - gAwc+BUbEECFEcYLl84FS4v0PpZu6lgXwhGoAFfHf885ZxDVnnvXc1HYQuERAQ973PUeQ+Hc9qZN - nHO21QYde598bb8geq2V5H6n/+HT0J9tLB7ji45YJc2TLKXsB8M39Ct2Dx7IziUBZ069ojRF5PG0 - EErADRUoACyIyIjUpRwV406JPb74rq/769/0PSfKmW4xS+v2phNuCGsljAGX1a6d/viNuifgOVut - S+sMhr1d397qu630gb947/3nP42tZcHYdV3KLHUYhqFL+fGPniK3jlOnTpVSlsvlyZMnF4vFcjma - 2cMPP/yDP/iDv/M7/+/W1hTAcrmstQ7DcLyrbgvMObdVtNpdq1psHmw3rmXUiIjITeBxqxxuESk8 - ucFNPYEiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInLs+r4fhiEiImIymbg7efTZKNw9JQL4gz94xxvf - +MbJZLKzs3fy5OmUEmBtimFNDSwiIiIiIiIiIiIiIiIiIiJy0yG5XC6xGh+S2kzCLTNiNpuR3NnZ - SSm1Zx5tFWWMnO3v/t3/5T3vfu96wMnN5TEmDh3HcWtrazabRXAca0rpR37kRx544MGU2EbsAGgZ - 3kcLfWivxSqYJtp3pPmcRW4Q+imKiIiIiDxldDO2VEVjD6DU6h2Wdf7w+S85a9CDAJwMD8bhY5jb - C4IedMSBqGqHBSwcRIvuvnP7Obd1Zxk3VscEAa5yu1dhk8mzhZGxGHcjh3fjuz72jkv2iHXOiuTI - Fbkie7u4RbEYiIEYwoawodpQU6mplOQ1ody0l0o4MmICn9KnVnurfap98pw8J7fktv5ArH0sPOTJ - TSSAcBqAjsi5lG744s79H/nsh33LS63GHCilLlGdfg3nZl0nUVf/gg6D50k9cffJ5+JAHKAFGKso - 5WvUwgsJhCHoESBpZsXH8zsP1zQG3dH+e/NDvvpHvY6rl5sQSZJgqg7WfBKnz27dCSCsAG4t3jKO - +AU79vfSdcyk4/KOaZKXdi8ufGETai86bgYYVins7WfrQQ8LGB69dM6twkgc8TC6KggCuPybCxgJ - JKtRwUhmKJY933n7cwyTFNkqEUYSDIZfGXEd5jA/fP3hKqvFkglgzn0EATAQUZ8ghewIWqd/KQMJ - MBTa/VQ8wbe7KSLGcYwIsiXQX7GfHLzjgINugYjIuceR4nNF5NnDAKIYKgCEAWRYHcsk5R7TSZzi - zvRlf+U7v+7ub94aTludIODcVL8d9FaLXldvzNcl/xHq5zlbqRjKsuusT6i1DphfwoV3f+yPFt3F - GgOAWkcSJG/Cv8qLPLGrD/GGsGFZdnfmZ86cHYbS99PpdOuzn73/B37gBz70oXsBzOdLkn3fR0TO - +apRL9faOE0pteE1AGqtpRQAm4lXJpNJKaXWei2rEBG5KawqPPtdOut22LouhABCrS8RERERERER - ERERERERERERERERERERETl+fd+3k7za1L1HiNautaaUuq6LiFoDQNfxX/yL//Nt//SfMqWIGMdx - LCXlPneTYdQpYyIiIiIiIiIiIiIiIiIiIiI3n8lkAiDn3GYMnkwm7h4R8/k85wygTS88DEPXdUdY - PolSfLEoP/66/+nRCxfGWoJYT8m5H5hg4RY3YETFepNaZMkqBcxy7vf2FsNQZrMTAN78M295//vv - zRm1xjiO7RXDMBx5re21bQZpd59MJuM4Hi0FXESOnaK7RUREREQOhwE6SYtgYfUuHjj/xfmwF4aK - GgZHtDjYw576YlhHNe/HXhpWSSE0EOFsqWnOF9390s63LG60Kr0Dwf1Ek7b95rVW1LzNL+7c/68f - +FCZLZ0FjuRIDovVDQIpYECKlgIOrBstceD6sjs3z3UQlR6MVTT7vs2X2KLfwQAP/822j71WBJH6 - lBPGZa0sPil/8uE/9BMLTwSs720Y98g4bC749cYWyw0nDbCg0VNeTl94x0sYxP4nA2x64o4QjxNY - RZzCN4HcZnR3Eq03jym+dO6BkgY/xGek9O6bD0lUNzMA7s7I3Th9yZ1fRW972+ppdsSo+BbJ7GDb - af2K4t3WS95Z7lxYnK+5uAKPj4+tywqgxR4bwggHi6NW83OXHgoWkoBFgEf5inFlCRGrvO0W+N6+ - Ti8VxU6fOHtm+/YuusSewWSWyKhOtsj2WL/8WDK/riyOGJZzjoh0ZbLjMQiszsLdRDyuH5enyA7s - fIawzb1xHFuN8wlefLC2aQYLTHJvYTde/VBEbigOeKvvOIGwgJEcl+Xk9FQq/aRsn8Yd3/lN33MS - t3d1wsjtZY9RIwq05bQ/lh/BchjzBBUY3fuMMtbdcS+dxJ9/7gMPL7/ISZTwsY4pcdJ1PqqyJM8K - XdedPHnykUceARDBd73rXd///d9/332fKxXTaR8REbEZunGEKVceTwsCr7XGGslWjWyDb9rAkZxz - SukY1ysicqPa77AFEISz9TCAcAsiTE1fERERERERERERERERERERERERERERERE5du1Mrs2pXmiT - Yxyeu29OCptOp+MY02n+qZ9609vf/vbFYui6iVk2sxbyfbxvQURERERERERERERERERERESut8Vi - gfUgE5Lu3h7JOfd9P45jGxOSUiK5CaV+6kiSCcBk0t1332df+9ofNcsppZss+vaqFJj2aXTdZD6f - /9E7/vhXfuVXAJSCru+xnqUZq7fPI4zb2draajdabnobAtRicUTkGaefooiIiIjIIbRkyoigR42A - RcnDfV/4RLERRA2nIQKAwQ4d3Q2sUjtbtLMTDmvJoAwY4I4EMJAjv/R5X9sNU3o+3jd4TeibsM79 - QNMwAPRk2Zbd7ns++a/O14frZATdAoy8vqxyuy+7OCxgjuSW3FLNVjPd6DfndcBTKd2i5qHmwdPg - NlQbqg0llZJKSb6+oCTUI3WdkAhnRE2EBzyh9PGZ8x/7xBf/HL3VMJKkZ7sRz5tKhAXgQRJBYpKH - yYu+4istMi5LyTVgFRN4OC0edxPJjP0g8BJuBD0iAgkPPHJ/SUu30hIK40CKswVaKqrBrcUzt4vc - bBju3mJxWcFkU1v0L33u1yTPWAXJtyLZ8JhZlU9pFesL0MK8gyjh4Vh3sfpy3Ht458vL2I1DRMXL - U9GK0IM51h6sNeqI4eLu+YLxyImDPBANHrCgxbqHjUwRqO4AzBCDTzF90d0v6vMWPFlkVCQwERGV - dvUGHFdP3X6hFBEpdS0WGiDjmHsD2x8MitcgPMJRVSQeXvt2VuVMRHV48dEjYC3f/WDN6sDrVgcg - B1qpYjn37Y9YIiKPx4mgrao5wKYYMabF3mKWtzjHtJ54ye0v/dav+rauTs07i01cJdCqSQcPhUeZ - 8GGlVuScmFgrvMAIdFza/Fz54scf+HCxAotNq8hdxxe5tfBAczJaZcAAG8c6jrWfbhXHH/7Ld/xX - P/TyLz90HkBKXCwGAH3fA2gx24+z6IN14KeqlGJmk8kE659bRLQbbZzNZphIG4tzpPcsInITONgF - xNiv6aweJ4JwGNBaXqqfiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjIceq6zt03p3S1OXwPy8w20ymU - UhaLRUpcLAqA17/+9e9+73uRrO/7+Xw5DCXn/hi3X0RERERERERERERERERERESeBtPpFOtkbjMz - s3EcI6KUMgwDgFqrmbn70VI5IlhrzSkvlyOAd7zjT3/xrW9djAOA/UwN3sCRPQe3ajX/MwCUUk6e - PD1W/8IXv/S6N/zkYvTUJQDtQ2uzNHdd14bfHOGj29vbSymdP38+pdQCcfq+P3IwiogcL0V3i4iI - iIgcQutZANAayJ4wdrv3P/KXSCARWCWgkSR5LRlm+7HELReNBBABEgZ2Pnnubc9LY39N67huLMwi - I6yluwVB0lnO1Yfe/8l3Y1Id4fAaqESltevAlRnJ6/RuSzWb94y8jkzGzXgNHAjIi8vuAgiiGpyo - hkqPy6M5n/pnn6x3xGKAO3IGuzz3ed2av+/jfzp2pdLny0VKGQD8huua2U//CqtgZzmXyV2nnptL - Zw6sW7CPkXV7bQJRHSml1vfH7A/vPbTMCz9U794N2BUoT4ike2FL5oZl69I4uef25ydf7W8bx1vQ - rntFiYATQywvLc4tYi+0Cx03CwRXpamhBW77iGHEuDdeclTAWwjXoZMHuUl2v1wYkdzhDjNkS6iY - 2dbz73wJxxwFdLrDQACBSh4s7Lnprz8+RmfbKq4XfpRu+QMvaT8HP/DmnQ74KsFRu/G1aRXI9vHW - Wld5usar94zHLJfIlNkRiu4WkccW9Fa/deRADljQg9XNHZG6TBJjzci24FY99R3f8l1dmXU+oRv3 - jwUO7P+NubXgWqHEwx9iZrO0WNRaY9L34QSsm9puvbTsdv/is/fulEvOyDnXGnB2SVM/yLNC3/fL - 5Whmv/Zr/+wVr3jVfD6mRAC1Rs4Z6zEcJI89QtvMlstlG88xDEMbc0MypQQg51xrrbW2VR/jekVE - bgIBB5wBIIDRMqw17tUKFhERERERERERERERERERERERERERERGR49TO8AIwn88BpJRqrUdYCICu - 69qi+r6vdTX3ze7u8NrXvva+T31mZ2fv5MmTXdeN43i8b0FEREREREREREREREREREREngZtuuA2 - UKTW2nXdJm0659xyu9szjzCPcUrJLJda2t2tremb3vSW97/vzzbBQQCuQ77G9WYRjIhhGH7sx37s - /PnzAMaxEgQwmUzMrNY6jmMbsWN26DeYcy6lzGYzd2/53zjS5y8i18NNV2aJiIjIk2t17gi0+vem - Fi4i1679oHKiGS2namWPFz71wEcrIgxhCMIArwaAjGtJ72gxrpv8M3dPCQbEXtxz5gW3zc52mNgN - 1g1hOdVao5ixS2lilj1FRbi7W7n3cx84n84tfQRQEZFRko/JS/KSMJqNRAUqEEhgjpoypj1nLJxE - l5yg+017AWAOcyRHcnTVupKTm4V5gRnd4QAMXZ8XS0/5sF0nRs/ucPpkCncQKCOQ05j2/vy+D1wY - HxrywD4zdcvlmLp8/HvAtfHL88prjQ6TGbeed+cLrKbUcgLDzHKtfoQuqgNNYGfsBxCOjpytlBoR - fd9X+Ge++KmSljVGJLgXMloH2WULC7sJ+wFlX0RNKbl7BFNKZfQck7Pbd509cVfyHg4SrYzdJOke - bvlEEAxYbPLmHfCWe0cPMzqqTexTX/hE3kKkqg7TY7T5zNu3sLpDR4cvn3tgURewamYWcD9SmjVg - gZaHHQAuP2SnDpYwLOokTcsOv/aF35Cjt+jg7FJ291pXu197fpDXmhC/Xxz5wboHmdwxnc4Ii2Di - eoOPsorHLvdaoGNEnc93u64r7lRy9FG1tlutNee8WOylZO4lwgFgXZ7sF0f0iBrhluBeI0BY100Y - 1yMGXkRuEUEPGKJDdGhpkyzBGkTLAK4+9gYLYLQzs7v/+rf+O5inDlMDaoUZoq7/xBt2oIJ0hLoS - APhYc4aZjQVkB9hyHG2C2g0f/dxH576zW3adSCnXEt4aSyK3ipQSSWOuJQADrO3kxcGU/97f+/v/ - 4B+8qVVT+27aXlJK2by81SSP1uXb6m8ASLYbbWPGcTw4tubg8zfbvGrOqOUiIs8SdGDViq5ezWAJ - 6NKcBAhT61dERERERERERERERERERERERERERERuRO3Uj80pJO18EBG5WWx+vLPZrD1CstbazvPa - nO31ZCd5OeDtlDEAwzAAWE9vgHPnLr385S8/d/787nyZ+2kJr7VOJpP2zL7vh2GICJUeIiIiIiIi - IiIiIiIiIiIiIjeyNl1wG0+ymTe4TWJ8cCpjHGkeY6+1TQveYq339hY52w//8Cs+9/nPOzHUgmQk - y7iK3jiWd3SMcs6LxZxkG41TSnFHSinI+XL4uZ/7J+973wdrXX0sgQCwXC43ASLNFXevYGbX7/MX - ketBOQciIiIiIk9ZWGvMupfqY61DTeP9j3x6brvVDrZyjWGE8xpyu/eXFTAHybAoDou0xVNfdfdL - p7bFsButSl9rzblLKUXFOJQyenWPVK2DT8p7PvauR8eHmZktucMyg+4sQQ/ACWeuzJV9sVyQlvBq - PvqSnY+xB45kpTkZN+c1YK1XiURHdIaU0BkSCS9hgS4BI+pQugQ+YRfMYwhDJISBHlxltwYRVpcx - zLnzoU++Z+zng1ewY7Jax+uzFxzZ5v3a5i7Dcu2ee8cLUunJ1Q8wIsjjiQprObs0uAVp+P/Zu9tY - y67zPuz/51lr733OnTskhxQpiaJeSFqiJEo2pShO0iYG3AAB+iXIp6ABCrRw6raubEuWYycxareF - UwlF0g9tAqSAYyCJnTiJ0aRBEydunBc7thw7FiXbkqh3vkmkSQ7Jmbl37jln77Wefz+sc87c4avu - nTvkDOf/w8Xl4Zl79jn7be2191n7+QO11oJxhYOnLzwZqW6DliNiE0+rS1pvEC0p+VAbak7LtXv7 - be/wscuWtqt6k153RXwT5h2oZtbePiyKTecWzy65oJ3E28ja4ePjZVHWI1fnLj5rHYshIszMHUdt - bnEpGhyAr3O7EbAA6W4kIpANHWe3zd5yOp+x6C77VHbZpwJa9vbJHdON64AxALBs2ejrZPETilk8 - nDUeIMlpmsjKk+j83MhadC4sai1AwML8hYm4beEfXtBOGGFA5z2YISLy8sJAJLAjnAYaw0oYaQDY - Wh5HeORchu+898O3dLfnMmDCvMf6AJfz9ohFi9gccfxYHRlnOwiCcMJhCEOxUvLqoUe/iIGFwTDA - SZr6SvIGslqtaq3jOM7n81JKq2wSEfv7F//CX/gLP/dzvzBNtZ31LpYLO6k+3KExHO30luQwDLVW - ksvlEgDJbRkXEZEbzfpsywDAEM712Rbos2FGcpxwME5x02kMPQ4WgEP9ExERERERERERERERERER - ERERERERuQGYXFdI9n3faoZ2XVdKSSm9oBKoiFxf3L3WikOJ3duE76MahmSGxx578od+6IfHcdzb - 2+u7mVm6ePFiSunUqVPL5XI2m5nZNF1rdWlERERERERERERERERERERE5FXknKdpms/nLWSnZaAc - b5wJNrndTSkxjuXjH/+RMsXQz8exrFbTmTNnyhTX4Pi0xWJx6623llLaMJhTp065+2Kxyrn79Kc/ - /TM/87MAkidcPo9HEhG11jZUr9badR032TY5Z3ffJn8fe/mLyMlS4XURERERkSOgJUsOBFDoxbry - 0GO/N6V9WiEcgBFOP15oWRhagrW1KVjAwugGlKjIaVXgHOar3fvvesDKtZjLOEWFG9yCTJbdHaky - 16UvHnn6a9949itlWLkDYbXAzJzI67DJABCGal4cizqNacLcpmG66GXZTatcMS8FRAXiuvxdiSWw - NCwNI2IiJiICEehTdoMBHeEFuWA3mY886obkdFvnAYMA0YJao6LWXH7nK792YM8wRQCWjdfklZkw - OC+7LmX0++56fy5zRxdAtNA+M7+ydNgWvbN+C0cNAnDPRKVzTMtHn3oYKWoUJIRFsHjC+qri4ehb - essalOtLa2zDAHprglrUkkd+913vy+NgGIKgpZZjebxWvTHCDm0kJN3XIc2BoE9n9565WC9U1Cud - KznEiMNXvYzhLZLUpyfPfouZYQhUACnZMaK721vQ/MUvdfcIsCJZ8im96453n+5u82hH7Rf+Oc1o - 1pqR9TZ55Q7lmpJmZl0eACfXTV86+iQv2wW2qfYGWsu2r9XqclyEIsuuzOa7K1ZyNY0BVvLSNykv - le9utn4VCYb13czCdMlXRF7OOmZ73YN1oJ11EkAY6QGrQBjhtBTd22+59zvf+Ye6acBoZQSJvvdx - HNdh2+0srqV9s50LH+HDGNc/gIc5Ye1cwAE4pjQ9+NXfLrOx2rqWhL5aljcYQ9qZ7w7DfLkcu25I - qVusxnMX9n7gBz76z//5LxMwRxCeEoFu6IGX6AkcQxvM0c5KUkrDMKxWK5IRMQwDgJRSi/fGpnMi - InJjWfeUArjUVwFssVo60c/gs/7JcQEznD4NtZMiIiIiIiIiIiIiIiIiIiIiIiIiInJjoFxXAIzj - OAwDyWmacs4kc74WS5SIyLeJZCmF5OF6vjzKfQ3c/EwlgrCEBz/3+z/6Yz8Wm8LBXdft7e1N0+Tu - 0zR1Xbe90UxERERERERERERERERERERErhcHBwc55+effz6lFBFm1iKljz6lACJA2mUjTz73e1/4 - n/6X/7nW2vf9MAx7e3s7Oztu19z4tJzzhQt7bplhKXV7exeH+aluGB5++OGPfexHzEGgRM1dZ8lx - 9Prns9kMgLubmbu3ITdtkM84jgBqrS29+1gLX0SuimuuqRIRERERuWaFAWa0FKhMYTkKFt944qGS - V5dFrrJleMc6qvXbPwXmpcxOo8MKAIeDvozo+8xlSRhO47Z3nLmby2pm11pEplsiOUV1s5wHgiNr - xZLD8lc/928wm6yPMiIjpWSsTC05rkW+WXscYchDrjEGwRVyxmyGcYnVCt31nERpBkuggQGr2eFG - cwIOsqTstcRU4Q53ZM/TNB0plssBA7bZMtXcmGHF2gWtvn5r7xvfeOYL33nH94z7U7YO6doKl9kk - /605CAQNHn7Xm961w5v3YlW5ym4g3XlFV5fW+eZworZ9lC0O0GtUT4xueuzph+0etotZPLSnKTLw - DWOdXn9p3QbgRr/7ju/Y4U0XY6qGtElpOurW1i4fG/wFUc1h8Etv6kCtXp9fnL2wOHdHuqI0enkx - I6rDCdh62dKQBj5x9pvVCfQYEQAAIABJREFU6noDQJiZ2dHWsPNwOrYfej4saK2NMaSaOdp73/XB - ru7YJvdr+2FwaSO8vK1fh6qewPbQ8lMdqe9nL/w3O0r/ZPsibj7zJQzQzcxsuVzajESQgU0euRxV - GMwsWFqIJl4iHf6FnIG2usPmww5ftJJERF6gHQRsnd5NALQwAiibP3HQU+3TQf7IfX/swa9/emfY - PT/t1YxuGJbjEpZoaMe8dV+acKIesQXaHI4C8PYJ1gGZBqTp8ece2Ytzg++45wiamXpL8kZiZhGx - WCwAmKWu6x7++je+//u//+GHH3eHuZcSKaWcc611tVqdSG43gDbN1WpVa20PDp9cl1LaO6aUWnWV - E3lTEZHr1aFz51PzUxcXF51YDfzv/82vYN7DwDDTua+IiIiIiIiIiIiIiIiIiIiIiIiIiNwA3DVw - 9nri7iRXqxWAnHMppd3JovUocv0ys5xzRGzrKU/TNAxD29O/fSlZrWy/zfHLv/yvb775pz/5yf91 - tVrN58NNN900jqNtTNOUs0qVioiIiIiIiIiIiIiIiIiIiFxPdnZ2AJhZGznWig+3DOnjTZDkdjoA - 3PCLv/iPP/D+D/y5P/dfdCmvVpNZOvwH14iu61q++HK5nM12uq7b29srpf7Fv/iXDw4O2sKYzWbL - 5RKbgXZHmn57YVuqbYTedlIAtrWdU0qH/1dEXl8aDyciIiIichT0iLAIz7Q8PXPhW0+df2KVF8kI - REvyMDoAB+JYlwUIWPg6ddHWMZlpwKpOqbN6gO+4/b1n0h3Ju4j6glDY111KqUaYBS2xTsERVups - dbZ886EnPzvuLksNBzylZF2sptQCUAzVUBEJUQ0OGK03z10ax8kq6oTBjeAYLw4NvW5E2yQCVsko - rJ2R7cllrV0yZEwVXYdCA40psW1X3/Y7OGps8vYIwJACQAR85Irpuc989dff/5YPu+fCbIFrLYR6 - G6W8icVlWAB2S3/b7afuPF/PIqNdcTOCNY4YtgsnwhwWILazzhab2/5DJxlWaxqfeObxQIUzorSb - yiLC6O7OaLG87TO3kF3dpHod802mcng48aad228/9da9ei6SwdwdgeqGo25vAAikWG/PmzxngM4w - ELSAwRIWZf/pc0/e+6YPnPCMCQB4WCRWYJ0kzRRnzz9VWMzgCaxB0v04OdYNLcIAIBMwmJFBaxnt - YT3m777rfVy60S47fm2zR+nxEjFfxzi4+3qyFpuXJ2y+yRiGAXSYA5s81KMi8DJxZGQ1S+6+XB2Y - WRjC1qnh8u1p0bltG2T7Viki2m3SJGyTwv5ymwUJt3Xa+3y+81p8ZBG5bjkQCCAMrd1pp65hCFgE - CIuW2w24B7py6u233vPWm9/26Lg3H5b707RarYZh4DS2Zol2/GNoYwQBWtAcFgYkgoZiZWl7Tz7/ - yK27twNBhtmmsRN5Q3DPy+U4n8+7rlutpt/6D7/9gx/7+NNPnwWQU1+ippRqrbVWONxTlLaztS7Z - 8feF9TSB3d3d/f19kq3HaGYAWpj3MAwR0XWdSjKJiADtOqLHYtyxNEXlrEOfMOthydRIioiIiIiI - iIiIiIiIiIiIiIiIiIjIjeHYJTvlddGSfdvjVk6067prrS6qiBxJu+2r1ururf5Je/Ko06mVreKw - O1rT/ov/6B/feeedH/voDywWi5xzSonkMAwXL15sN5qd+LyIiIiIiIiIiIiIiIiIiIiIyNUzjmPf - 933fk4yI+Xx+jEEmL7BO7zaAjAAMn/zkJx944Lvefe+9fZ/NbLUauy6dyOc/KeM4zufz1XLVRtMt - l+N8vvNTP/VTn/nMZwG0QTLL5bLr0lRqqUfL7W6GYVitVtvY7zbYxsyWy+VsNgNQSsk5tzVyojMn - Isek6G4RERERkSMwMzLczJ3E8tFvfvkgDmqu1m412YSfrSM5jx5jRrQpuDHCYesAY/fO9lZ1p8tR - /EPv/sN50Xd5GBfL5N1Jzt4Vi4hgTcloqSwLjMOprgzxm5/5d6t+fyoHQ2dwlDIlZPeMqNxGnBtg - LWgUZUl3w0W72c+k4mUR87wD99FGHiM+99oQBloBPNeUIqfIQFQvJU87s9MXFufyvP2BlWCN0qVM - HvXqTAHWgdZhcIQxAHTZaxnTrH7p8d99+tw333XqFowI83SFOXsnix4WABIPRZFZAOjq7N673vP1 - h79g7i0j1/x4sX3+kglnJOCohW2BFBY3e/bC0+f2n78zn0GYmaWUSiluiTxe7K1ccwIA3LHJWLIg - CGCGU/fced/Dj38VbjUip2Ovbr/88Xrba7dBJnMANNBrTdM3/+Bx3q5bFk+MEc6WStr+P1oEKYC9 - xfMHq/3axzYKmaQnYz1We0i/FMKNdb67E0EkmIXf9eZ33LZ7B85mJD/crG1f/qLc7pPdDJxhbnno - ZmZ2OE7beTLvZIbtffqLxQKAXbeH6dfRdpGZWZA0RMQ4LtG1TfRlXmaXPTCD0+fzU2aulSAir8AJ - oK47KnTADQUItNxuejuQtr/t6mxWdz5w34cf+o3fw+0+m/m0iIT6kpM9Rp/J18fjIFAdRqQAHVOA - rBzGbzzxlfe958OFQZuCcXn/SuT61kKyF4vFwcHy3//ar3/sYx9bTuEOho3T2A7mZhiGvkQtUz2p - 7T/nbGbTNLXc7vZkq59CstY6DMOJvJGIyHWNhstPrNwQwdr3KAAsmFMda1Z0t4iIiIiIiIiIiIiI - iIiIiIiIiIiIiFyTthUS+r4fx3Ecx5SurbqoInIkwzCUUrquA9ACvHPOtVa+7L3oL83dW8VhABE1 - JauVf/2v/423v/XNf+bP/OnWaAAopURESknR3SIiIiIiIiIiIiIiIiIiIiLXl21KdAtGabnd7n7U - cSBmwMsEJbhhuSw/+IM/9P/84/97Pr91tVrs7OxM05UGhJ+45XLJsL6fjWNx93/5L//l3/27f7/r - 0jRVABHR93kcS+5TmV6i7vqrasu2DbNp421qrV3XzedzAPv7+6dOnQLQssNF5Fqg6G4RERERkaNw - Y8DdzWNVD77y9S96NlrQYQToYHYAjDh6LiYNNICe6GHr5G8HgqisxVACt918+91vfY+vMlHp2zjS - a4UDJSL3BCvJ2dAXW5zff+63H/qN2Fl1kxkQXYwTrFbzoZiFBb1sc94MSBU3Dbu+6NJy/p//8T99 - 71s+eNrP+DiwAqkeOQ79GmOEMxkBC9o0dotVd/BbX/30rz74K6MtvLPCyQ3mQRuPOK9hiBa1BwMt - wsKrG1FSWGa1OL//3Oe/8jtv/9C9jiGnLqL4sRKwr4awS6E46+xuC1oF6LW77+77f+XRf+6eo0Ry - u5LQ2RcsVAMCSAaSBM2MZCQupuU3v/nYne94l3uKWEcR5pzHcXR7QSTzpZk47oeS11oY2NYjYQgg - CLbweK/de+5+77/71i+75yi0bBE4wSQmMwuGuRFhjmC1xMe+9Qg++NJ/fNRbJeUFaJft9s888/Sq - LGzuYSC5Tp4++kJuUaMVWDcCtslRJt29Mkg40nvf/X6MucPMiW0TcSjru72kTWH9tK///WjbXBj8 - ZWbCzLquO5TzfFne9hVy91qDrBH1YLmsoLWr/9psj4XejkaMiGmarLfWObxs5dKBeEFArpmBZmaz - 2UzfvojIK7DWhiAABzOY0A45vgJKoJ1M9K1f7oQXdN38/nvv/39/a763Wnanc6SplJLdwhDWJgQj - rP0+ZgsUaIcOC491lLcZJ1s89tQ3+L4gq7uRAcc1df4rciUODg52dnZS6v723/7bP/VTP51Tu5hk - ltyAiCAJx3I1AjAHLxu8sT5pPsb7llLagxbUXWvt+37bf2iDPHLO7n7x4sU2tkNE5AYUBr90Iu0A - CAaQclqWii4t4NkIAjoDExERERERERERERERERERERERERGRG4Dud77ukGwpv9M0dV3X7l31E7xn - XkReWyS3d4GRPPy/R9LSuFv1YTOrlQBqwU/8xE+eOXPzn/yTf9LMlstlSmk+nx8cHLSwcBERERER - ERERERERERERERG5vpRSpmmaz+dtnMkxBgGScIdtojwuTcENjuT26ONPfPwTn/iZn/kZwLdFj68d - OfUkU99N00Taww8//GM/9mMpWdAI1FoBjKXAUKYKO3IWVkqp1tp+t6XddZ27l1JSSma2u7sLYLVa - DcPQaj5fjdkUkSPRfigiIiIiN7QwB+CMbWQm6ED7ac8EWgAH3YEoYHg1Tlzt87mvP/1Q3DIWIreg - bvo6UdiCR7/Dxejt3cMCVjzgQLWgoRbs5tytune95V1nhlvTol+NUz+fxVRffbqvoZTcgkFHdbAg - xX45//WnvnQezy14wRIu7sdwGrlD5900VvZGQ5g71mHlTjhwcHBwBm+5FW/7yJ1/4vZTb7fVrKzS - znyHZYLVdcLzdfcbAOBAigxEWC1pLHm16g5233/L7z/4+adXD1vHGki9ASwF7ke5OmOHUmCJ8Ehc - J9uVVclzJ6L04+cf/ex/8sHvPRXDaZ9jGxTbHrW9YL0LNHHoydfAOr82AFq0IECP1NfZu970rjln - E/opVu5utIRjpI4HsE4H5+VPr28ytXD3iGrZIpeHn/n6B9/1kR3sYLKaGTBPXY3R86UFZTzOzi6v - btMmt9TIQ9F0623SLt8mW1ISX+LluLQ909vKivWegk3iZFQnEE6A1pXhnbe9c+CwZDdiVQ0WR41R - btbvE4Ct9yN4S01uEbvYpEZ35anzT9S0Cp88us0rIyFtPinCAnCnG0EvbXaO8ZluENzGYEcKM6LC - AkRYffrCt0bfQwoAEUgGBOgvm3v9sgxgy4CP1syDcIIWSCBhxWfTznvufO94EKdyX2MELm2Z7dNt - JhWbtXmc8MXD2kz4ZrOPlugM75C6wOgIO26mmLXptcz5zXOEuZGoQGVZlUWgJOTUFre8vLBwxjbh - 7dBKCRrBIGthtFjcS8vSIoC0ye12tnYMlXBbb/aD94mdsuNE5NVw2//38HD45tR4a53JbZaZb53d - cc8d73lo7/cWywspmyWghrcD36HeNe24rb+hdX9s08F3h3tajRef238KXQ1En7taQ/0feaNwAPP5 - qf2Dxaf+yk/9/C/8oz75WCOnXGqJWnPO6xEtQQC5S2Wqm/3yit/bfZ0LDkRE3/fbukttqEf7J5LK - 7RaRG5C183prV10CAA1gBJA9O7k8qLMzO7BEoOuSortFRERERERERERERERERERERERERETkGtRq - gOac24OIAKDcbpHrmpmllA4/U2vt+74VEf72TdNkZm1q0zS5O0mAY8GP//hf+tmf/dn777+/6wYz - llJms9lRpy8iIiIiIiIiIiIiJ0vVXUVERERE5KhateGccxtCtq11fIxJkTDDC1K/zSxqBJmz/9qv - /ebf/Jv/10c/+tFaq7tfedzGCYoIJF8ulxWc9/OPf+JH9/YWAIjS9/04jjnnUosnI3mM9KM2rqbW - SjIiuq5ry7lFdLfBeyT7viep3G6Ra4SG0oqIiLyRubuZkTz2KZDIG1uYE4ktKhsVNhmq0Y3JorPo - Wod5nSdNT5H66LN16PNqGH/r0d/Ymz0/+sXUwjyYEwGL6lHSMa8HpMgpACuwSIQRY8aYgqOdLjfd - tDj9oXd+aLpYh2Hepa6spmstuowxdp7K2Bl2uq6/OO7FLeOvfv5XFv3FVarF2M8yCxCoDHSsGJHC - zBjmBV4QgRWA03lRy0fe85++Od3VHXR5tD7lFh/lNKdfj78BhKMajPBoEZuep9Oz5Zk7ePf73/LA - Tu5LLZZT0MsK+YjNthFG2Dozz8EM5jAnPJkn9xKYUnlyeuyzj/922sEUkxndMy1VWFi4mxkYpe0C - gIchjGHrNNiT3l4u44TRnQAqbRpTLYnG1JdhZ9o5XXbve/N3DLU38wKLCocdNWo3LIBoC6p6VI8w - GNEBCJgzLMhqhlLG6Op/fPQ36y3LsFVyJyz18/MHy26YA9VRaU6YA4YALmXZygmhYTJMTrfoEAPY - BTwMAI10Rgqk8BTubA21G90AQxhpqIbJUZ0tWrLlXqdAR3ZkZ0wp3FHpU0ljSWH0fhp2pp2beNO9 - b7p7iC55X828pXcfZXuzlgvOCAtaFI9q622PteSMylJBIxAoaVri/Nef+EKxi6lP9LSM0WZ5KqsE - c8IQNNLCmJwOMKxeHgItl7MoNnqKHLOB88KoDncPW3397Bfq7sEqpq5HGEpg1vVW7ahNXMtUpkU1 - VAMNqfYW/VgjUpAYYv6unbvvPnVPj51SNiuLDrqvf1rvIpzhiM2Guv6bI86wt6NM21ITPYUZnYYV - EPQzw05alpxzgEGYHTFbtQVI23oZhSMMbQestdIQDnb23MVnalq5g5XXWv/kmtK2nDAQ3g58aX2k - jUCFh3ns7V2ojHCDA96y4Z2bqHgAgFtkK0hMNBQjM8Y6ntk5k1YwLX8ReRkECKcZ4bSg1fCJFgEj - Ujs7BgJWHQGUglUy7y7uvv/Nf2gopy36qR3pDAZ4IIfnmi3ylDClI3eJA946WS232+kVXgG411rT - zM9eeHI5naOvKh3s9ZWWXMta/zDWHafY/gRL7hxARJgls7RaTcMwf+7c+R/+2Cd+/hf+EYGxBsym - WmAGoJQCgGTbactYN523uPznlWwvBaeUto/NrOV2l1K24zk21VXQSrd0XXf45SIiNxpbn6230/P2 - VIVVxkhyAOqKiDy0zG41liJyTRrHsXXqALSh0q/v55FjcPdpmtrj1nsHsH1G5A2jjWgCYBuv9ycS - EREREREREREREREREZEb0TiO28fbYnly3Wkrro20iQiFjIpsbw9pD9xdud0ibyRtj3b3Uso2z7s9 - +e0MxmvFgtvY1Ha7WRtxfH5v+f3/3f/w+Lf+oFWhQcpTrP9t8465lOi64VL9BBERERERERERERE5 - olad+MVaNbAAaFbBMCDp+x0RERERETmOw6PFuq5r40m20XXb4bKvOm6WQBARlz9FsEYr10layv5/ - /J9/49/96r/33JFG2mV1pZyHCzUfCljxEyk2boABOaXlYtHl3OVcy5iTRZ1KKWMtKfeWupT7n/gf - f/KhL3+FQO46bMYPl1JARCHrK4XRtDlKKW0X7OElnFI6XOF5azuKT/W1RK4putoiIiIiIjc2tshX - wMJ4KFkT4KVT11gnkNERZmYH9eIe9x564qExXRzH6g6LS0GbtGA74T9iMXy/9L1pbCaFcNAw7+a+ - TLfgtvvecv+sP7UcV+45eXe8mb56pmlyzwgjWcEpT1958gtP7j9Wfaq+DXJzI8KCHimh1ohAstyl - oXNLCe6YVpaw86H3ffeMu2lMXpObre+Sbcv5evx9WbKWAw7mFF0uc9sfvudD3xsHls3MLKVUK7ou - H3UTerHtBGKsOaPbwUWc+8xXfrt248hliVprbXdSRUREGJFzf6XvelwtvRuwMNBAA+jGzBEz27n/ - Hd/FPUYFEj13vLKs7LCIzX66GbJwWfhZ9XLge488+9VIUSprbdcSU103FJf+0gkgjpojLt+GtlTX - i7qNHaFtWpKWen1orW1XCV+0aRweleJcX5Y9vMq4TbJjxmSn880P3PsRO8gkK+ld50e/mrl9x0sB - fpc9Gdv/DSslrR47+3AMZaxLACmlaZq6rmt7ZfuE2w951E9yYworlWH0mCx57+5jXaKLb5175CL2 - PcECZkgJpNVy9B2YbaVcaklAp3k3YJrQAan0D9z7h3dwq9dvZ7TTydybGgAQFrbZtGDuAHa6ecdE - EmYkeNx3M8IvfVQ3goQ73K2C++P5msaI0NX/b0PrKzrWu3RsNhECQdbluKhYf+9ktumatsZq05gY - 3SwBrQvqAObD4PBkWYckEXkV69OT2DRHwdaYHHp+fVRxRuHA3bfddg9WfUZHR7nUrXILdzo2HZ4X - d8Ne5YPAad5attZ2Bby6Ax6GQC1W9hfnwgsNoV6QXJ9ms9n+/n5KyT3XypS6vu+/8cij3/d9/82/ - /tf/li2ozL1VSDnBVEWSbexLrbUN5sg5j+PY3iLn7O6xGfmi/puIyGGX3dq0vgwVh/7VEFBVThG5 - Zk3T1Pf9NE2tj1dKweWje+W6EBFtrY3jmHNuX+RtE9lF3hj6vm+npTnn9lX14RNVERERERERERER - ERERERGR10ZEDMMAoJRCcpqmg4MDyvVmHMfVarUdN4XNgITXdeMSERF5jYzj2PozbSQeyZTSMe4X - yymXEs8/f/4HfuCjTz/9TPJuHEczc/dt+eA2wLWVwjjxGRERERERERERERERERERERGRq4rkbDYj - WUrZ3d0lebyiT+7ehpTUWkuJCPylv/QTX/v6w62uVK217/ttEFKrunxVkTx16lStdX9/P+dsZrPZ - bD6f59yXUkrUX/qlX/r7/+AXo8Ldp2k6xvTbzEZEK/i8HZ+zu7u7XC4jopRiZiqiJXLtU2lOERER - EblxtdTudbohHcib0O6gRVgNK+G1Ols2Z3hUFqbCYXzi/OPffOrRCrohEy0IloawgIUd617OMNAL - LFr4WXFUgxEeSGCd4v67P3JmdmcNRqKZ1VJOcGmcCLfeYTkX2GrExDkf/OJv7I3PwMLbJQJroblo - UXDJEgJOuHuttRRWItF2Vrvve9v9b7njzsVyaZEG75JlxHV/i+wm3d0JBxOQ2nIws7vees/b33Rf - V28qq1Jj7Gc2jcdevwELR3GGIYDouq5W1opK0Oq3nn70kae+Emll7mYpOzq37B7BCCMJVPq0yaNN - YAJgJ5Qs+wrWEcXIRGf0FJucZjdO/t53fNeunckpmU/RZtKu4iktvVZffPnhL7BLSO4wZ+QUbgQM - TEBBayWshTLrKtjJMrAP5LCgr+Ar2AQQzGCiWVjQK32qXsPWuY80JzzgNCMS0QVSmLeIZViBTfCV - of1UABUp2KWaPZzwcNAcJd//jgdOp1sTQUyVUa9yVGQYv/bNr055GssqwbL1nJBSili3A4cOK1R6 - 97fDLAEIkGRGQnC06cJ04ennnyGRDFFAtpDCo0dNE6CDgIVbGGHMtAgrlYaCDv2Ms/u+47tYe5LJ - XtP24VDQO9wdwZ3ZKfcrqjTxklGsNBhgBnePKOf3L8DB4/WBbmAvWF7hEagX9s8HKlHXf3No+cdL - rQsjrNru/KZsruhNETlByRw1sue77nx7suxI2YAK4+Y8euN4zb/xRS+0wDq9OCKqmT3//PMkzUiq - vy3XNOeln8Mu7i925rvjWNqAkmmaHn/sm9/3fd/3uc/9Xhs7EhsA2tiLE9F1XSmlFUOptQKYpinn - XEoZxxGbSO82hOWk3lREREREXndd17VatLXW9qCU0soKy3WnDT1vo7Tb2cTrvX2JnKR2ckqylDKf - z7uuq7Xq+raIiIiIiIiIiIiIiIiIiLzG2u3t2++sSbaR2K/355Kj6bpuWwUSgLuvVqvX+0OJiIi8 - RszswoULOed2sxiANhL1qNMptQz9UEp89atf/cQnPtGmmXMOw1jLNE3jOEaUrktkNZUUEBERERER - EREREREREREREbnekNwOsNzf3wcQEa188ZG0V5Hs+x6Au589e/bjH//4008/ffr06ZS6g4Nlm+xq - tXqZulJxIvk+BAiUWkutZjafz7tuODhYNimlixcXzz777I//+I+3vz/GzAIws+1oHDNLKU3TRNLM - zp07ByCl1Mo+t1rTVz5fInL1KLpbRERERG5oznDC6YCDTmtRwQyrtKBVWgEijNVBY7Wp2jLmq9// - xoOrWE61DKnFvyIswoKbUOpNIviRPk6ERQBGB70aiqPFz1qxOXe++/3fExe7WunZSimGdG3Vrqb3 - qZumkg30cZmXZ5fPfOGR3/WheJuLFind4pkNQESp3gLhgrWWQpih56nT05nv+cB/Nh2UiEieEcmC - yd2v85t3PGBsoaoWyEYPAxDZu3KAP/7An8L+rIOTcPdyxJltWa2bxNaAtdy7AGBBJJCYJrALG8qn - P/erfqowBQBY8QS37OwYqcYm5Bjt3nJ3up3QpatXYIAjAAQczCmSEWEIC89dWeHmdMd9d36gZzYy - WOpVTxeLsMVXH//Sgkt2CYBFTR7kBHiYGwJWqpPGl4lSlStABxLNaAyv4SvYptgBc8AJVI/qQQPh - gMe6HQbggdx+iNzCvNu2BFRDdZsc1VEAtL8xJo+W9AxLPi15c77jvW/9QIfeEbXWyriql1Cqx+PP - P35ufNaykZaYEhLD6EYD4IAZncbNpqbrOa9iHd0dxZKjeK3kUB5++mslSvLkQEtFr2BhpN6P2MQ5 - kAFvKeop3OlhUT1K4dDN+mn+tjN3n9l5a0yWzE/q6v+3/dk2jwgDImI2nOpSzwoAx4y9eFGLu231 - fJMlv7/cr69tSPkbBu2y7SNSnNt/bvvlDYnLth8efqFV0sxA95pu3j3TtnwRkRNhgNNJc/rNp265 - efcmC0O8Ui/kpIotsB20AEt4/sLzcMIRqKEDjVyH+r5vIypqZa3187//hT/7Z//s1772SNf3KaU2 - 6sLM2iCSEyzx1lL9tnXH2qCNlt7d9/00TSklACklRQCKiIiIvMG0yOcW173t+Mn1hWTOOaVUa91e - J9SqfFWvR8C6nIy9vb3FYsF2uVtEREREREREREREREREROQ1FBGtTJ67j+PYRmjknF/vzyVHtl19 - q9VqmqZhGDQOQUREbhztfrFt2d+c8/GOg6txlVOOwH/4D//xL//ln8ipXywW7jmlNAxD3/et7LLu - RxMRERERERERERERERERERG5TrXqx214Sdd1AI4RNe3ubTrjOHZd16bwxS9+6VOf+tRisRjHcTab - 5dynlG46fctisTjpmXihvu/HcYyInPOm/PIs59xyyv/8n//z40gQZscs/kyyhXMDmKap1toetxlv - j1erVXtwvHRwEXnNaBcVERERkRvaNunYaDQDPQy0oAW90Mv6MUAgLKpPtV89s/zm5x/9bM0lUJNl - TnAGEOHBbZYYj5r/XSofAAAgAElEQVTbDaBFJq+nUA0B0OD0NOZ33nrPXTff09XdLg9hnMba9/3J - LYmT4qhgRLWRu6vf/vK/P1fPRl4ZogWIvlBFphkREZ696z0x26p/284973nT/R5pfmrHkcpYrcZ1 - n9u9+amOagASmADACDLX2f1v/+7burt20qkuY7E8+voluM32NFhb4BawGMfR3bsOnjFhGtPyocce - fHZ8stiqsNRaohSEmWW3zizBalh10AmPZPT1G7wmUXlEIpLRjS2eGZVMNsuLUx+654/4iKikwTKu - ahRuWFnh4InnHn3i/B9EF05YDYsVYgIddIBApUUYnHAqvfuEERlsBQ4KUGjVULZ5kGEe8MuyIy2A - Sy024evQbjjNeegvjXEoJzcBKUV2ephXBy0lDOlg50P3/JG+JA+YO6xFaF+1mfVyIZ577LlHrKcR - Xn3woZRiyTefeRPia0e/en0DojvNkApKSmYVFrRT8eXHv4jO3J0BEO4gUVkt4cjrl6ldVTMgER5g - 6wM4Moa02nng3X+04ymLPlu2q5/bfWjXaP/dpj5XVHTeDf0OeeVbcdilo3kAAEEiIpCwnPYLF0yM - Y3SAbjwvmW5LEs7I07P7Zy21FE+QCEMY7IWvivVd0xYOoPgtu7cijNTyF5GT5HBWROEdb3ozq7Fi - +83viXV/Dx3FaCDWZ+lMcPeLB/v6rlmuC7Y+Yh8616CDPtZYTiX3s+U4/ttf/bX/8r/6r5957vww - 68dxrLW2IR0Aaq0n+3m6rnP3WmtKqaU2tidJLhaL9qaHx7ic7LuLiIiIyOtltVq5exvd24ZEX7x4 - 0cxex0ReOZ6IiIhWTbiNzNZQbHmDiYjVakUSQEqpPTjxs2MREREREREREREREREREZFX5u7DMBwc - HABopQP15fV1qlVdBDAMQxshr1UpIiI3iHa/+fYOspzz9vGRpNwTKLV0XSLxL/7F//epT32q1Vme - ptoqDpdSSiltuPLJz4mIiIiIiIiIiIiIiIiIiIiIXGXuHhGtNt2JjLScpqlVSNvZmf2Tf/LP/s7f - +bn5/JS7X7hwgWQppcvD+k/XKT8nr9badR3Mxmkap2mYzWpEBGbDzk/+5E9++cvf6DoD0AbUrMMd - jv4WpZRhWM/LdnDO9sEwDKUUDV4VufappKOIiIiI3LgM4Qhfhyo5mGMb72phpDG2EYkGEEgDSr/8 - /KOfeerg8aUd5KFHRGcAQEO09LJ1tqIb/Vhp05v8bzoAAha5Xwx/9H1/wseh48BqoPV9X0q51rIZ - S8BzzzBa3bOzv/Glf9vdZCPhRAq8eGm4w92jlGCx3qt7WZkvhj9x//f2i53O5qRN02Rmfd9Hue6v - MhhhbBnwbf1uQnnNcvTzcssfvf97y0XahJRQWY46/cuW8aELPl3XlRJjgWUw42LsLbu9z3zp09FP - qUNKRpKFHm5mZhYWQPtpk3nNMqk3yeMtdZlAy8eudac/NZSde++475Z8q63gDvgJhNC+Ahoi14O6 - 97UnvrRKy7DwgBO2bTGw/njcfGY5UeslHAaa07YtdnEE4IQRCUyBlqAcjgJEi+W29e5mgGGTOU84 - YKAD2Xk4ydtt094SKFFn3U5eDffc/p5b8q1pyslyGK5qVHz1OnXjl771xeojMVmNDjkCNAu0i8hu - 9LBYZ2TSrtKV5TcOOklLZs6EhISFnX/k2a9VL7USFQnmltsuXXi040vAeagtSNH6EkFDQq4HcWt+ - 6/3v+HDHudMYgXpi2aav4kUtkQXdjCXtzm4xOkl3P/Ktti/68zY7YTADyShMiSuuLiwuwEkqX/6V - tPZrre3Il+3OrD49v/8skhlfYuFvXLaQHcmrnzl1m1k6xq3UIiIvz52OsBjrm29/C8Kcbrx01kGP - sE0f/gre5rKWyy41cbQYpymMheWqdv5Frp6u6/pudvHixX/wD/7hD/7gD+/tH3iy5XKdlt36Ztzk - ap9gFN80TRExDMNqtdqOCCmlmNl8Pjez/f39ViHleONFREREROTaNAzDNE3YhD2TPHXqFEmT64q7 - t9/TNLU49nEc2zqVV/BaZqvLlWulz1uTJSIiIiIiIiIiIiIiIiIi8nq5ePEigJ2dnXYze8uhVNW8 - 6w5JAH3f11rb4+VyqUhRERG5cZDMOQNIKZVSWnnlY0wE8KGfRwWArst/62/9nb/3879gSMMwrFZT - C+3ejnc98bkQERERERERERERERERERERkautVSdeLpdmxk1J5KNOZDs6ZRtlPQzDwcEy9/5X/+pf - ffDBBxeL1S233HpwsJymqQ1ruarGcdy+S9/3q9WqlMhD/3N/7+f/6T/9pWFI08Q22qXrOh49x6HN - bM55tVq1BwAiog3aqbW2Ulo555SUEyFyrVPOk4iIiIjc4Nb5xOsc5UvRiWGIludqdGNyOiymvHpu - 9dSDX/vNaXYw+ujZEJYsXYpSvTRl3/wcDQ3hQYtEOD0Mxu5tu3c/8M6PpOKlFFZYmOc01SNHO191 - Fikls1w9fvfRTz8zPbJIExOMSIevDxC0NqdouVQkSqpjKbnM3nbTO77zng9304yjlbEGmDo3MztW - EPq1ww5lUdMICycABy2bc4y0mn3kvj92Ot8RK9vpu2k6Wgn+MKd5mB9+rybnHIFaQaAC7BGz5e88 - 9Om96bnio3funrZZey0Vj4Y2qZZ/exIL4NtjLXd5Pe/rGHs4Ig2YnRlu/cA7vmteZgjGVc/KjnDW - PH3+0c8ucZ6GlIYESzAAtPZZPcxpZoQpR/mEBRBhaPncYHbCyMQwhBMWPdiDvTMbkRhGOqqDjnDC - Eb4O4yYNAQ9zIoMdYgA7oAVDbne0tgadAVbrOdzSn7n/HQ/0ZYe0q32JkxbTbPWlb35+bzpPq0aw - wt0jou2PmzD79oGPc3C50ZCMQM6+KqO7w+sTe48/vXiyWCHpSI7Nak04cuKJxTZj1AgDDIUG0BNS - Kt0H3/Xdtw53oVgLsX6NE1UO54SbI5uz+qnZzQluhJld0eZs0fKkN/mpDiACljBx+ez+2bCg61bb - YzIAiMnH5xdnAxNJM3vJ9G4nYEEyQIclpFyHm2e3JKp9EJGTZATgCe5IZ3Zv7dBl73CpAtXVOMIF - ALjREESNEiwkK+PkEo1Frj76+ioTvBZO0/RXPvnJT37qf5tKADBLMGzzFFuntI1WOdkoPjNbLBYt - Dtzda60551JKe8fd3V0zW61WXdeVcu1dXxIRERGR42oDoM2sDWsex7H1NuU60tZdrbXrumEYSil9 - 36vE4at6jZLV5YQAqLW2ktnttgeSKpktIiIiIiIiIiIiIiIiIiKvsVOnTrUHXde1Md7TNOn76+uO - mUVERKtyYNM0zWYzjZMXEZEbRysKvFqttoe/Y5RUbiNXl+OqRCUwTiVn/PRPf+pf/at/VWsddub0 - lPoOyUsp4zie8DyIiIiIiIiIiIiIiIiIiIiIyFW2DdJ2d5LunlJqA2iPoeu6Vtk4Ilar1WzWj2Mc - LOtHf+iHn33++YuLxe1vefM0TSQ3tZqvlG1DlS7X931ElBK10j3XytnO7mcf/N2/9tf+dwCrZQVB - ouuOObOtOlYppYVzAzh37lyro9UGHtumRhxbtISIXMOUdSAiIiIiN7IAAlbRArOBdqJt6+RjT+0n - ktNBo9Vl3Xtq77Gv/cGXOJsi1ykmo0fh5qWITcYqgGP3t1scpiNSIIV3Zf6Bt/+hW9PtnWWwDsMw - TdM4jvP5/EoXwEkjMJapGhd28DsP/Xq6pTy7v+993/7Vtn+0WTIlAKPD3K2CteCW2Zved9d3DWXn - dH8TRuu7mfU+1XG5PMg5X+fXGLwlatMiLIAKBOhArrUOKe/67m39m++78wNd2a1TdPllLvy8gssv - OW2zrVdlSp76Lhl8OcEHu1DPPbt48onzj51fnp04cftGFmTdZIzBAEfAWlSwncj1rJf97Ju42UQ6 - 2GKbm5TSuFgirIv+g+/8yC7PYLKrndwdhgBLjm889dB+PDthYlsC5Cbv2dcR9MpJvercaIAnwsAU - 223baG3rDCMcMHoKS+GJSIQxNhtw+w3AiQR2YAc4EIl0VFq01htAl3NZjcl8ZvMH7v7Dp3FrKm5X - eQ2HRc3lyfPfulCeqz7CKsuUUqpEWAAwepvrTZ69vConadmW40WmKD4+ce7xi3Geqbp758nCSql0 - Swk8xtHFCixaOnxrAlqGdyr5pnTrA+/+I904Y62G6LrOr3rWaLwgPDXWcc/hYDJHSbN+15BaM37M - 6G4Cl29+bbkZHYA5Ri4uLJ+vqGYGbaivxgFD0BCGbfvTArmLL/enCxWVL1pVrSOxnsKlAHU4vCvd - Tr7JrIO+khGRE2WEmQ2pH3KfLXfeRT387+sG3w6dfZzAm9p6ohFRyQDJSlf7JtcjXy6X3//9/+3f - /3v/MGK9k5S6LoDSeoltnArJE68LVmttYzVaInhKKSJyzq0SWXvrvu9JtkEzIiIiIvIG0C4oTdNk - Zq3D2bp8r1c0rxyPu7eh2K2QYs65RbC/zpuXyElrW3VKqe97beQiIiIiIiIiIiIiIiIiIvJ6Wa1W - bdRNS7jsuu7Fd3XJNa7W6u5t5HwbNg9A4+RFROTG0ff99nfryRwvWrsWApjNdgCQiACJH/mRTzz4 - mc/VwlprKRERZtZv6giJiIiIiIiIiIiIiIiIiIiIyPWixWyXUkiSrLW++mteRiuVBqCUYmY55+Vy - bBnWf/AHT//wD3+s6/qzzzw3n5868ZLLLxYRtdaWCWJm7vns2bM/+qM/ev78RQA5e6tudSXz28bM - lFIiYrlc7u7uAjCzluSdc661tlrQGocsco1TupiIiMgbltm60K3q24q8EqtAtNBWALRN3ljhPA8d - O66YrXekYEWOdFP88q//Mw7jiBEdSlSYXdrL7FKAN4Bj5ByXAk9ICTWQgJl7P83ncev3fPhPTReQ - K7rMaVpZTv8/e/caJMl13Qf+f865N7Oquntm8CIIECQBAgT4BElQJEGBFEXKVuixu/4ghR3htexP - km1pJdJ6mLufRMqrWH2RFLv+oFjZjuAqlubSS1tBUbYYErUkxTdBEAQB4k2AwIB4zHumu7oq8957 - zn64WdU9eBHd6BkMMOcXFTPd1dVZVVmZN29m33v+zEip25N1sIeSlvG+0dTWDx598OGjD27adLSf - +5IBrvmmAJTYwKhriZFUYxQUM0WgyNPRj1//3sBN3+WRjEoqSlaiQUz1jF9SOdOGDYUKKIF6UKl5 - 2Myc+8Rq0su73/z+0K1Gi6Rb8ZzPkdKQIc3bk/OMASYiy6ZZm4g+d9aUPsy+cuvf8pomJA6BCGpZ - kUMYUrp5SAQdEmHtzJ8/GhhQRi/WK6lRzTPVUjTGyKIR4coLXnfp6KoRjaFgQr34BcBsCKPdu6Me - G0lPuY8nv3XvV3qZJxSJjZYhfN3AQAAChtxeP78+s8iIjESlpCxEJCiWwNk49/08hCDcaDKhRrMh - A1lHIWpJjEzIRmqkCtQPS8E67CxZLNecYzKQIaXUtk3Rrp/O3vCKt14xeU3UlhRkw/TCOsOQtjf+ - z5uRdjqXNb7tvm+nZqacjQ2LMD8AbGDjGlKO4V24Z8HMQURyznEUN8tGWKHb7v2mtb2SmVm9ui3C - ZlbKLpKOFaJFVQuiAEAIKApRjmVyxQXXXH7gVZJDBAshd32t2XHmaT3SGrj2QAggK1Y0yuii/ZcI - NarKvMsk8a2UaAMBRjBAjZi5iUhpZrEc3TiSrGcRD+5+ds/0CYiEgnJ04/B6f8rYFMYsqGubdHsm - 7tDTNAtNNDPrVay97MJXkA0VRpxzbk+UUkSEjHPWfav7oVRSkWUrtjyA0t63PKoIAfUP+QD8T87u - 3FFHliwT9eq3WORkmxGRmBHAxejo8eP/8B/+o69+9etmEAn1qhFzrL21etSuvdPn8tTLJ8W2ImLL - s5Ll2fHyVS2/3TqtWHxRO6jbF+icc845514aau/uSRckvcv3YlQ/xLZt67de39C9JG3/c4Vv5M45 - 55xzzjnnnHPOOeecc845514obds+aYCNj7d50VnWPcS2ofXOOefcecLMmLnOJlvWVt7+gOWRsR4x - n4VaBjCfz+u3RWGEriu/8Ru/cddddxHRaDRSRdJCQZi5Pm8tarzLEgbOOeecc84555xzzjnnnHPO - Oeecc+4syjmHEJZVkes4kzq8ZFkGajQa/cjlLGOw60JqFXEtQ4zLLbfc+kd/9EdEJE1U4pxz27az - 2UxEiKiUwszPJ0gbAEiXt5xz0zQF1pecFRziRz/6ew88cLDmduSsdTTNs1aAHvKGnrb+8/Kd1tEy - 2wfhLMfMiEi930exOneO84FuzjnnnHPu/GUEJdUhzLVmUhoANoziaL6ZSkLbrpjZfL7ZjEOn0+/c - d/OR7gkNWQFVEA3nvWxDUvJOs5ZPQxABM5UEMowi58086lbffvWNra0FjCKxmIKsRimfa5QQmnh0 - 41jYn75115c7nmdGnxVbIccAFonmxgCYwQEppSY0oswpvunVb7mgvZRr5qgFtqCEmrkLmJ2BTLiz - aZF+rYCCCiGzgY3MbDRutEui4cqLr73ywuti30gZws53iMm2/aJxzSSuP6o3IyhrDrMHD911ePNg - CakrfdIiwk0TZt3cCIv0bpBpjYM9K+ePDDChgAqo2LaQ0gLLOVnhfXLxW1/zLkxFFFpQiqrWhGNi - JgD12z1B3ChKabpvfu9LOk420pPTDYnLwvEMEzYmq6Gqe/W0rmKAa1MA6NDCWoCxSLSgm+WE7Cub - dKrEuazIZpplU5JWjWJo22ZkRvPNWSMMGKgABVCQKpX6YdV/qd4PXX6CIYQudxLQhNZOhXe97n02 - xURGABMhBI5RmKkUU7U9nEBIgs20ce8jd8zjhsVkXFJKwGmbFnlg5XOWcwbYrFCwnmbH89EnTjw6 - y1PjbDRcTF/uuTtesYRcNARMRnG2segPZIx5LJuj97zl/ROshCJRQk1wrH8teEEwiI2RZdLuY6PA - DN1x8un2jXDZ4QGgdVdSAqCkJeSTs+OIL+T7fXF4SmfGaFiZqpo1radTHc8UKYSQUj79Lyx8Wt+A - qf5xi0ErvLYW14RO+yuOc87tFTIWiWSAEoEB1LOM4agKsD2/c+HTmYEIMGZmBoSYSGjv+vnOPR91 - hIeqqmodMFGNRqOcs4gQcwih7/Ojjz76cz/38w8++FDOiuddfCSEYGYxxtqZyzkTUb2zDsuor6eO - PvGoe+ecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeece8lbVvtVVWauJYPr/LLltwBEZDf1 - jg0xyqFDxz/4wQ899ugTfZfNrG3HXdellFJKdWKdiKSUammFPXtjzjnnnHPOOeecc84555xzzjnn - nHNuT6lq0zTz+byOJOm6bhkqISJ93xPReDyez+cAJpPJTpdvMAClmCr+9E//9Etf+vLmdF5KaZpR - 13Vra2t939dayn3fPykY+/lo2zbnzMxBmpzLxz/+8U9/+r/uYhjLM9V/BmBmIQQiMrM6COf5Ro87 - 514gHt3tnHPOOefOa8vYzhpqRAYGyKC5MEQLwTiVMt7frs+P6Gj+jTv/7lj3hIUhc5EZirKMtFyG - WQ6L3XnOtAjl3qigDdTNdczjle6Cm97wgYbGIhJMRBlQI2M6F5OCTbiT+aH+we/+4GuZDSQsQE33 - NIYxK0BKUDJmgwK5QBWkFFO7avvffu27JrQKwEjZCGCASn2rL/Lc7koJBDAyIy/jUEspzGxIonwg - Xvq217yLZ020SLaLUzYFMMTQG4zYwHXVkYFtyEI2QpZ0tH/8lvu/2cd55i62IWlJmmKsl6iYjLfH - 2eoZ3+BYl3l/lJd5umwAjMiMtGSd2P4bXvvj+3BBW8ay7TUR0R5P4jIuRcGcZXZ484f3PnHHup0I - 45YQALBBQfUV0mKVur3FFggMFFCqGzZMAAmj5uT8hK7MvvuDrx1cv2sjHJnHDW11mnsZtwihS+nU - xrSJ7Xg8hhpBAQX1oGSU6hegMsR1U8YizJsAhhLBUBQpcJR+fP1Vb7909AqeN1xIFaXsPPf4uREm - 4vLgkXufmB/s41QlGw/R4EpKttiFh4e/FNrDM21oE7jkcX/w+IOH1x83KQoAqlRvwG6jRjkgZ5RS - RMCB5nM0DOn4Vfuued0r3iKZyFSzCRGEz0504rIRUmKrxxrDEAmtcsG+i61AiM1293K2jkd1jdXl - MgcyYwOxWcxPnHhMIqXSP/+389JGdlqncYuaajl08rHEvZIycykgekqfb/hdJaJsSmQC2dfuX2kO - CNinNDvn9hKbkQIwsyZEM4I9TTvD25q15/+UQD3lBQHCgSBExCDzDrc7Zzx1L1DV6eZcQpOL5ayl - 2J133/2zP/tzJ0+uz7tkADPnnOt5+nCGskM55xhjHcKyuroKwMxyziGEekkBgIjMZrO9Pzt2zjnn - nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjl3TjKzmttdKwL3fZ9zrneamZmNRiMz+1GTznTb - bUufihEeeODhD33oQ+vr6zHG2WwWY9s0DTOraimlTnATEVWvg+Gcc84555xzzjnnnHPOOeecc845 - d+4qpYxGo1KKiLRtW8eTLIedmNlsNhMREdnc3Nzx0kmI2QAD+mz/6rd+8+DBg6PRKGlR4ul02rZt - CKEGh4vI7t4CQen08S1JixLMLKV0/wPf/92P/B4IoB2HPT1T/ecYYy0u3XWdqtZXzuz5v869KPmu - 65xzzjnnzm/GutUrVpACykDJ1jQNWDrNJZSOZ307u++JO75/5J6Op8RMgABElC0boOAaOzwkltnw - z87Sjg01UJOZSUUKqGtvuPJdr95/dbQWRqZc+/D1QsC5FsVkpL2luIZv3PWFdTlsUqDUBFLdikjf - jozNUArakWg2mYVrL3n9a152rc2ZbJk2TTAGWAlnI/j0DDNafIJDVrzWyE5T7lOJMQpJOp7ecvXb - LxpfFsuEdxzd/aRZTMvNW0GZMKT/wtiAIhlr+dv3ff1kPqaSKZhZSSnFGIdfBmqANwAl2CLm9syp - Ye02XOhSMjBAgJEaKYVIFqznS1cve/0Vb23TJHLLi+hAVa2TuET25jzXCGYEJg0qK/mrd/xNWdnM - khVct8maji4GhtbJZy+BTfTcQQZSgxkARVGU2owUwnpaD/ttMx77zNf/07/79P/xpe999kj54Ww0 - 5f04lU+e6k7GtXY0aYqV+Xy+tUCg7gggI2RQrvcboKTD9mYgQ9YU25BNcy4jWVmlC37supuwIZFG - RFBFzmpmITAzlbI3UwfJQNliDHOZ3v6DWzZ5XUNiBhnXvMzFWxhaD6MdHl/OP8wMKJlmJJ2kOw/e - 3pdZI8sGrd7qgea0HOXnqLY8aa6jNhgoZazFlXwK77n+J8NsxIWJrOtSDK2ZGe1dnukzWDZ8W0db - 49oysQGFD6xdBDUAqru5lG+nPclpz2VKZjAycD566rCRnmv9kxcRIpLIjx15tHAPhpkRoWatP6kf - tcybV1UiMqP9kwtHMrEC7LT/6Zxzz8rMam2G5eGDaOi0P6lpqr2p3TltScZmAKCqDIkhsJFAzvjR - 1LnnppRS94ja56kVTFS1aRpVEBFz+PNPf/qf/tN/Np+nlAozhxDqw+oSdl1/pD6jiGxsbBDReDwm - opzz8qdd17Vtq6oppb14r84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845585dKSUiqhWB - RSSl1DRNCKHOg6tVlWvVYDPbXcnjtolE+M537vjN3/ytvstNHJVS6ry2WpU457ycRre3784555xz - zjnnnHPOOeecc84555xzzu0VZlbVUkotNl4LJtcRJnX8SYyx5mrXMSc7ZWZ1MQCaGKbT7oMf/ODx - YyfrkJLJZEJEp06dKqWsrq4uiyo/f23bppSYQkrpX/6LX1OFSFBVgHea0vu09Z9LKcuxN7WydNd1 - nsfh3IuUR3c755xzzrnzHJ9+tqygDGhsJOVMzBaQm3KsP6Irm3/1tb/YlBPW5lKMlZhgsARToZpw - THVBhiF7mHacxkRGwsTMudMJXzjJF/y9d/60zIQLmZFC1Jh0+YL3JjJ2rxgZJK+nY9+45+9spS/W - oWQUQKFA4fpqGVAjrWtIACGwCIPb6eSm635ihdco8VYqmzFZEN3N5J9zjaHGuzPZcAPAUMBCGGUV - iiEwY04vX738ra+9UTcbsrjDJ6kR3VhGdANQUiUFAVCCLp+6MFKcHZr98L6Dd5Q4n/VTEg6h6bp+ - WBRAxkAAwjLj9owigIwLoTDIIAapzynorRACoVFl5HDjde8bpwuRxcyIqF7aU4XZXkbaMyNrNrL1 - dOr+Q7ed0B9ulvVMBgQFG9W1ncVghOKn13vOCqnCCsAgUaAwStC+mffjjT/7b//ugemdJ0ePfeZb - n/rTz/zbOw7fclIOdeOTeTzrZXOOmVIBEzjCBIvA9brxD5s3ACAxF2IyFqsbPLL1yiBE5MDG1tM7 - rnnPJeEKKlGEQxg2sfrfri4aPy3mIpozVtIt939jRusl9MuZkEYKUh7ipWse87nV/p+DiKheuc46 - m/LJOx76DgWFLbYA2grw3kVuN4CUECOioCTti7bNuMzi5WtXve2adzQpCAXmIBLNGMa7Tmfciaff - KkjNzAI1K+N9ITSWy/bs1d2g7emqdc8CAFWolI35qc1uTuGlcNQ+05423ZaZmfHokUcQDYKUUjh9 - ZbJBaRGdCxTY0CQpLtp/MWfRspfHQefcec4AY1NSkIItZ102+0u6J03OYiG1t1NPq8mADFUdtWMz - qt3+vXgy556v2rVb5nZjOIJzl4vENis+9md/9tu//b9Mp93y8bXKCQARIaLd1R+JMfZ9D6AOKwkh - zGYzM4sx1leSc65DW5i53umcc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+4l7JnmndWf - 1toCtbxAretZrtwAACAASURBVCP8jAsi4OkmccYYuy4BMMPnP/+lf/Nv/leRAOOUSp34GUJg5hrm - vbtocOecc84555xzzjnnnHPOOeecc845dxb0fV/DuWtp5WWdZDOrwSgppXpPWIay7FCtw8zMfZ8B - 3HPPfb/7u7/bNM18PjezlNJkMqnR4HuY3zGdTldXVzfns9/+17/zyCOPYBhIs6ym/uwB3ls/faZx - OKratm19dyEEAPVb59yLkWeLOeecc86585gxQKwydIypxl6akoKpzwmRMmtP3eSS9ht3fvmBw/fk - dq6NlWKkUiMyFTC2ejotui3+c1jazs72RWLfG4RNg63HG9/4kxeNLw6ZSYmUinExgQWpEeG7Sns6 - kxQx3/Xgd490j/dxqpKFkXsLEo1VKRttXyFKBiiJhHnuI8eXjS5/y6veZhsIFNiYrD4CokIaAN6b - WLgXVCGBCRuJcU08JcsMNWJQ6IuWUlbiiGa44XU3NraPNOxk8Qp68iZXg2nr/CgG2Lby8IzQh6Kj - /ubbv1ykI4EZMQeA6+8tfl9gNSrYzmhaMBlYGaBCbGAeopQBgARFtWRmapnZenvtK970ygPXUBLV - rbA0AGbYq0tsBAWM2AzQiHU69PmbP7N64STlDKvRzRlURJVMldT2KrnQVaSgQshERBBAlLiQJZ7T - Svrrb/zVHY9+2y6czfatz/afONjf/3/9t//zz//u4yfsUVvbPNo9JitGjc37rm3GsMAagUAWAGYj - NmYD10xlYiWu+8WQpMtIpRduhJu+75vQXrZ65fVXvittplKUiIhghjopMexoH31mbGio7fs+S/fD - Ez84vPGYhqxWSGnbBq1kzDZsgO65EGIKfPDYDx47+UgIZHlrdW5bjcxPCSL9EQzCUAVTKMUkBoPM - T/F7b/ipcRmvxlVSyzm3zaRLBpYfdUV+byzf0fbmiIigxBZGYbw2WTOjauft1WlJ02T1IFFb4KH5 - LaTJ+qNHDz+vaPDzw5Nyuw1Y3tF13YlTxwtnIlMFMz9Lb3IriF3t8ktewYXPvc6hc+7FjBQwIytm - zDybzQAQ0VP721v9qD3DtccFpQNrB8gYCobs+JDt3BnAzHVYSUVEzCwi49HK5ubmRz/60d///f+t - DiwxQ9u0WFy9qbvP9hPYHUkpEVGtXSIiKaWmaUIIKSUAqrqsn1IfvIdv2TnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnn3LmpzikTkY2NDSIaj8d1JlqdZbZM7K6z4Xa68DqvrU5hb5rwH//j - f/rjP/5jEVlbW4sxppRUtWkaIso5765ks3POOeecc84555xzzjnnnHPOOeecOwuapqnDSLBIp64F - jVNKpRQRqWWWmTnnvKvIAyYOqkMJ87aJpeCzn/3sxz72sdXV1ZqKPZlMmHk+n4e9CnoB2radzWaf - +MQn/uZvvlBKzW7gXSz/meo/A5jP56paq1LXdTifz/fq9TvnzibPOXDOOeecc+e1mgkN422TPxSw - nHuOobB16FPojm4+8flv/Y2slV46E0ApWEQBABIYAcY1bbomDS9CvXcaIcykhAJFCaG5aPyqm67/ - QL+ZGwmNsigX4sKBEURDDVEDncEo5R0jnXbHb/3ezRhxRyBGEJBGtsZIjYcA6ZotygY2RSFTygoo - vfN179pPF3JhZl4koCsAssAW+cWfVatgAysxGbMxTAACGaBFGWiTWjFqIdTTRauvuPbK62Gyo6dg - U15EdG8hBaGufoISamIxAFhACf3Dhx78wcF74ygASL3GMK5bL5lyfZCJEfSMb2xMxjAuJIUCAayo - J63FCoRNW9bGSIlobBe88w3vnbSrIrRM7yYC0Z5G2lNmhhk1Y8mx+/odXzgxPWJmQGAjowLqCYWg - AOyc2hlfEgiZWAE2CopQTDL6OW3e88gdX739C2Wt3wjr02Z+Km5ujI5tjg/d9tCXP/bn//bb938p - 7k+benLan1rZv3r81EmDAAEWYZE1kobFjQEuRIWIAFmk1ZMgaWFrGFG5BOKQV976unfvm1xAhJTU - rG5pULV65XQP3qwxK0UJPedO5t+957Ze58w8ZNLTkMW83LVf/C3i2bBYe3rbPbdlSdh2edxoOCQB - OC24/TkLIeQEFA6hMUFK5VWXXnf9a2+0uUrOqijGsABjAoNfsA+sJkQyB5G4b+1ATZp8auTqrhYN - JYWZUE2JhBGM7YnDhzw9ekdOW1lFT5w4sdlNs6WCLAJVfZYZymbGIqrGxpe/7DIyFoivf+fcHjJC - /WMwM29ubgJgZjM7/UAyfLeL9G6jp/RqbJk9zLVGw4EDBwCoYuvg7dwLanv1kNq5KqXMZrMTJ078 - xoc++PFP/L9Zh9PvEOO874SCUGAQzGBDP3R51WNHzKxGdNfxGX3f55yX6d31zjq0Jca4R2/XOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOefcOarOJuu6johWV1cBmFnOWVVjjKo6n8+bpgEQ - Y/zR89Bp+1TOoYANERFz08a+zwD+5E/+5JOf/OT6+joAIqqz22rlDZ/n7pxzzjnnnHPOOeecc845 - 55xzzjl3zso5i0gpRVXrYA9VLaXEGJm57/s6FKQmWdCzBCQ8gxCjqUoILMLMXZeM0Gf83u/9wde+ - 9rXxeAzg2LFjzDyetHsYttX3/Z133vkHf/AH43Gsb6qWaF6MftmBp63/bGYppZods8z2Ho1Ge/X6 - nXNnk0d3O+ecc8658x0ZGIAxjI1YCUaqpBKhKMV6Hue//fpnj+kTm3yyUxBhiFO1+jXUnhyhvexn - 1/SyRYZZzRB98m3xSGZDSt3a2sg6HunaDdf++D6++MDkQitKBmIDkREIQhAjmJUzv3oYYCXg9Pk1 - SqoEIABBF6HOhdNjGz+454k7NGQzmIENkUPOp60c0gCrvwUKgNoqjcfd6rve8B5dl1FYrUHRNbSN - oaxMBgWMdpyFfm6hbWnuRsvtQUlhhdiihJq5tdKs8Lx5+7XvjKUVDWx1g2Jsu7RjxDXyi2viLMEI - Ovxs+7MqoMM9y0B0qh8pckamUibzr9/9pTk2pKFUkmqmxWvU5UwqA5/x1a+L9GseAmAXG5yqtaFl - hpmV1AcKZcNuuO6dB+KlK3yBaLBsUCVGzfhb7FkMPGli2M4QUUkZxhsbhVtglL5wy+ewkpQ7kNX9 - WnlrB3mOaYX0vF7Uix/pcAOWU/UWH9bWj9iUSIlMwGxBLBjrPE43Rkc//ZVPHqPHUuiSYJZADWRs - cz65To8/vvnAp/+///ypv/zUvHTNynhztrGyMqYhqZ5hDBOg7jtqrADImOy0ayNEJJCS1QxN06Qu - U8dXXXL1ay659kBzEWVik8iRDaooVpTrrsdbm9yulD6Nx+NeYSO7+Z6vn8rHETKgYmCDEQqhkMAC - GcgML/IW8fnZvtkUUAGpEpRYqe6SqsXMbG6bU5y688FbMUrFegjBeDjsAyCQLZdWF1fztsNpDcjp - 80trC5NSjlGUlMjyNI9s8u43vW/VLrygvSDNUpTQhtj3fYxNtjP+SREAMBkPexYpgNqiErHpEE++ - NloLaIlEdfF2f5Rtcar6pINwzTtXJA0JDBigCBSObDzWyVS5DL9DUMLT7fXb7zy/kA1hnYahBwVA - DCDtrT+6ebinebYMLVFi6ZUhp39aWyuNjSMFUhDJBfsuDRgJeXS3c24v1WacAQbN+6lSZ1KGs4tF - Y2NUDzo7/iM0AFqcp9Tj8nCqoszGbMwgNl4dHwjakOFJ5znOvbDMjEiYA8Bdl05NN//HX/onn/vc - F0XABFWYIaUUQlBTNbXFBiwszChlx9vzcrRKHb0RQqgvo+/7+vVySEcIwfsDzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjn3kldnkzVNU+eUpZSIKIRQ56PV+kiz2axWs6kz0XZKFaradTWi - m1Kyj3zko9++7Tubm5srK2vMnFIyMyLaRclm55xzzjnnnHPOOeecc9t5fJRzzjnnnHPuzAkh1CDq - GkFdA7yZhxORWty45lLvTk5diFJy1lJq/ncMAoCAX/+fPnjw0ceUeDQaFVgNw97Vk2zLthgCaHhz - c/5rv/brKWE+r+NbpD77kNb0nD1T/WczizHWys817Lx+7Zx7MfJrL84559xLlhlEhIhKKczskS3O - PRUBmueMHAlshNLAorFkRmbrtGcGSX//w3d8884vbI5OpJUuBJQeJNrbDAIrIANBjXLhXHczskUC - GQDUvEzmRTR4jfzsDRZAMiRSCwQqmpVbpH6+UvZfWF7xvrf+vTavUooAFymFM6gASGQ9mYKMd3/N - 4rlhmCjEwEoqqqJKgBI4olg2DSVzO24yUkHJofvr2/+CX97N+mlQRJGUQ7YCyUPy6bAqAlkwYmX0 - pGS2Mjvwtstvuqh9ZaR9qWMDF1YjJVNWsCmgylb4xR3wyaZEiZEMUGIDDKwgAwtl0t40o6iFkHrs - y/vfcsUNl44vpxlHBMsACUkwRRAY1bUEIwRFsBp1XUNAFzmpAEgJWrfGuqUZhmR6GLhgVCRwPBWO - 3HnolqPdwU5PSlOIrW6xippkD6BuBHxGTyCNVDkZp6AalI2oEBWGAWJiOTF1RvNGGsqhRcNd8zPv - +EU9Ml7RtaAQQt9DomS1upcZGOAa0ssGth2/fAYJMRVqA1LBJs2//eBXjtpDiY62IQUT5Jg5lNAI - KOiw7rfyp0m1rm0a4tJpkfBdwwjPtzlnWoPqYUAhMwKoZmmb1I0NdQu1ISzYWImNskoCZyilbv/J - j3/5P9zd3TrfdwJNCRkTRuihsxLEsDrfCMdnNO+sIDRauGmaoh2oB7IRjKgQF0KRPktSUkCDIigM - KKz1HiocIMSqyKQQxGjS9OHn3/kP+OjKhC60LJw4kgRGMSRBEhgC6zBN0QhGO93eFELzfsYBPfJs - vH7rwZs3MSWykHRFWiXtCL2FZCQBRD2fb+mV27OfLcIiwCBV7pU7pWKkVncvUiU0oU3Is/HsnqN3 - HJs9Ns/rPKberFAN514utv7HNGRR14W3Zk1tSbZyu2loe+uNJSQtRXIpaSU116xdddPVN7WbI93k - cVhBTqaJmbMWcN3yz+QhrP5hoAagUi60PBZwVxCaVi2xlisveVWTxtaJcFv7JBjaye038GlRrMNR - 4LSjCWC1ewOg0Q65U7RNpM3AKg8duaebHKPWjEmNQMKBlKyUvLXXg+vxaVjoeXZ9kg0BIqBOex6F - nDOpBZhpskl/z+Pf68K0WQUVSEKggCKhMCuMUHjYJhUMa8Zoy0Yah9EF+y4Z8b6oY83GZHyeNQ/n - MAaeqbU2wHCmGwfnnoK23Z7aa9WtI0jt18KAUkpEQC5HTzxmbT/jDWvBxqIBGJqmwijEuvP0bgZI - 6+EbSlAGmzJAxlwIBU0YXbTv5VwaIiIuvsu4s4mIatEQIgqR1XK9uFpgSUtflEOTivVZHzr46C/+ - wj+64/Z7YCgZum07zTkb1KC26ERlLUWfrStfh2jUf2OMAOqAFTMTkTpQQ1XrMJda0GT5i8uhLV7i - xDnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnzge0gMWUNCymmNU6wgBqseNahazeQ0TL - B4sIllPgtia/6bYbUOfHFTOg6/Wf/4tf/f4PHtqc95BQ6x03UbSk02epAthWA8c555xzzjnnnHPO - Oecctgq9YlHHflm5veKne6RzzjnnnHPO7YkQQk2kBrAcQ2JmNevazGoodQihlmKu5Y7rIJPtZZOf - gebULUabMMApFRjUcOToqd/8rX+dshYQCc+6TmIUESaCGQFMZKpWEKUh463btprqzCCiPqfQRKaQ - UontSp/xoQ/+1rGjJ2EwBQDVRen1p4x+edrXvLzVUs/LgL+cMzOran3LdVUws4g8n4Bz59wL6/yK - xnHOOeecc247I5UmEFFWNVWyoqpayIyMiBo52R9NzfSvv/KXWOlSmG0WwECGIY4Xw7fLe5S27gGg - y7zPmj22eF4lNA1UkRKsAAolsEhoOHeYhKackP/h/b8wyiuNja1wKbbIylRAl380PSu5jAywkRoZ - yIbUYWjKWWKIMZrZdDqTQJ1unMxHvn/o7hPpMAREKJnMhEWYax7qcoF1pagSRiPkWQmz8U9c/1Pc - t7nTlZV9qjWCWlHTDkn5pZLQVmPIsW2mkg0nZcrIbIsfGYcS29S++03vDXkc0IiwiJRSzFAKgGFi - Uk1D3x4Vf/pUqMU1IAMMupWdPMTfajYAWfSUHb357q9iJZkk1YS6bIISamI6GeTMb29GCugQHGtb - AbKLrzKQgSH3usnt1Re//poL3xB1FDiqomlRtDzpSt22/XHHW1EpRUSECAZlZNHD+ZGb7/9iPGCn - ZicCSaC2KFLOqorynJZf3xKdjZ33XLbVDmAruxe6TEiGAsilFAUQQmCM+tye/OJ3P3vnY7fYvtxJ - 7nOGUighWCMSSXjeYzQaCbfvuen9raz0XSGi3PU8ZKOipkLaEEGsVhuZxXZmwxY4bGDD7rMIMw4l - XD559Q1X3hj6dswrpRgR8TKP1VDbNDbetvftgFGNf9cY2RhHu8M33/c1rBQNmYW62bwouIkyChag - KZOeZ9vQafsvYysZdNnenfYAI0xnG2Ecyrj78ne+QE0fRzg1LRS2LcS2j0xa/LrxcKADPfmiWT0c - 1UeTFhQJZIaxjFfSgXdf+741XRtjhSzY4uUZKWD0Iy7H75nFdotl42+AgRWsmsX4wsnLmtwyZHnF - /7nYGqpFMDCsJrMu9mECCQzIGQ3a0qdjs8fmvN7lmSrMqJSSc0bdk3lYsTos2UOLLZcEoJHAEHCZ - 8qkfHLm/xD7n4RHCXHthbKfNdB4i1dWCCbK8/MJXRIxQhA1y3h9jzhl+4d29BLDVHpKqsR45dSih - y7qMJeZ6LFgeKWw3m/1wYgJbHmSHH5jRKEwuWL0QJcCYDWbleb0b53aolEJE4/EYwHw+ByAiNc87 - xjZIk3MuRW+55dv/+B//k4MHH9ur5zWzlZWV7R22OmClftE0zXIgCzyi2znnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnn3DNg5lJKnYw2n89rnWVVFREzSynVwsrLKWzP3fr6/Ff++b988MEH - R+1EFV3XNc1o79+Ac84555xzzjnnnHPOnU+8mKhzzjnnnHPuBUFEtcpx13Vm1jRNzrnes31UyVPL - Jj8HDHCMDYBv3/KdP/zDP4rNqGQDCxGllOryFzWfo4j0ff9My+r7npkmk8nJE+uqGI/HXdf/+3// - H26++ZauKyGEOhLGzLCros31ZSy/rm+f2eMGnHtJ8V3aOeecc86dx4yVuSdSGImJzBvqG4SICdNo - rj1f2P/trf/1gaN3dzJnIdnp8glKUN4Kp6wpwmwgBWU0hpaFjUopPc8TdMLcHeUbrnvndVe8Kei4 - 5dZ6a0PLxlRDRmvsLJSHJO8zi6CMQiigXAhKw1swo6yarZOgESFwLKPu5ru+dmJ6XGGxZSJSy0TG - ZKaZDbAa6qaAGakR2GBzTHjllS+78vJLr2RjZlZNqhlgINS3CmTAAIKFH/mCX0pIw5tf89YD8RIz - BlCKmZIIqdXVmEUZxkqsxPVz2eHlH1WxTIUIKvate28+YUdVdOtikNXo2VwkbUsLPVdICReFiz/w - 9p/qNroYWwCmEAUKYAEWQJmhooFL0JoGuLMVpEUzCwpSAVSDskxx6Bv3/u0P1x8pI85kAhFTYRib - Sg36ZTImY9RbTfA1htWdoKanL3JwzzMMZQAmpLHuzsYZ1IN642xgICiigQFTMlhsm33zzlLIG5Mj - dx775he/9Zk+nxBjJCiBAwIKldxb6pKO0GBj9O7r33vFJVcFbUQDEjWhBUA2tJxD+7nzzZlNVuii - m67/yQO8FgvlohplniCEWBAVoAzKsJZ1BKC21zuhJug0a7YoJI09dOj79z5+z0xm3BIAoWBFk05B - CWDBaKdP8OJXAAMYRkqqlEA9oGzEJmyBjIeP2BgANTTTjUeOPPjI4QeNTRXMEBKCgnQIBt3K7dZ6 - fAUpqNSk8OGQrYub8eJYrAwktRiZe/CsufriN//YtT9hcxaQcs6imaSQgDKhZ1M5Ky2oAgCTgWEE - sIGMlQAmM2ILl114BZfIjGy9sS4SvlVJlZbfnuapw7aWzRfVhHMDE4hQSuKGC/LxjeMbs1NgI6LA - IhSgJCBmzqXY8pWex2PClKBMBSaBLBdhNpZcTAVHuscfOnI/M5cCC1AxsBmKkSoN7cqiBVMjVS4g - pY6vvuwaJslaCGDsuMfqnDvf1H4pll1WYx5u2HZjNg4WAwWVnEN3+MQhIyXColv9lPacdnx+qqRP - /i2CkioKANH4ykuu5EWq9/IL586OGON8Pk8pEVHbjGNo+5JnfVfLiAAopXzuc5/7pV/6Z8ePnxTe - s+MvM0+nUxEBkFJqmkZEmJmZiajv+2WplJRSznmvntc555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84559xLw7JKsojU2Wpt26oqFnne9ach7L6Sz6OPHv7VX/3Vw4cP55z37ds3m81yPuN1kJxz - zjnnnHPOOeecc84555xzzjnn3N4yMyJS1bZtAWxsbNQ7mVlEmqZJKQEQkel0uoso677viajv88c+ - 9rFPfepTRDSZTHJWESFmYjagT6mokgBcA60Wt0VBdQOaUdul1M3TZDJp23Y+n99+++1/+If/+2zW - 1SeqtZprGeddr4f6taqaWc55h1HlzrlznUcdOOecc86585cSikFhysSigVVMJRMpektYKXcf+u5f - 3/ppvthmmBZY4B0HpdoQBTpEXda0RTKUBAaitA1FqBlBBQBCXrs4vPLvv+u/synHMqIcI0dSAjBE - jRrIjHeT07w7hiHlFEaqZICysZDknJNuckTDktKsa9a/+r0vhHEI0mAxh4e4ADokdQIYcovzEGQO - hF5aXfmxN96EFISCEHf9jEUBGGoQck1RzWRgo/PqFIYt7AsXvfGqt1ISVWguDCFp60QlBkiZNRTi - QoxdZaCSIGuBIbbhsenBOw7eVmIPHlLh2QhA4VI4285z+M40MubNcP2r3/aaS1+f19GGWF9jAGgZ - dW+ABeD5hL6rKhQwYhPYpDy6/uDnb/tcWS09StLCaq0wESnv7BPQczAO/SwwJgs10dwAwJQKqNRN - zjCk0dcGU+LK+mbXrMWTOPbw5t1/+fVPruNIMxFNmZQjExFpMTUFGxGHtPrylVf/+Fs/oOuETsZh - TMpRwuKDUTLbdftJGsqUr7r4uhuu/rGyYW07TiUDCMyhcCi1aVIYwwTYcTq7EgrDCJqMYGEs2qTP - f/uz3Wh90/rCHEKA5tRPjQpTJAo439Irh2zpmrmtoAwUUIYF0kAmoiw6pI0CsFa7Znrz7V/JMs+c - FWgil2KAEvLw+Sx2QmO1045Q5Uk7KA2b7iKslMCCnMq+cIDXxz9z4y9O+gtiaTQXJRRCIVZiwEBF - TPkMH7JrND1QXyDYIDZEmBvBmMhINFy8eukkrhGRcXlqSvczqRHmqB2CrWPB4rhjKBkiMAPYKFqn - m48ffZwEZsXMAkmgAJCqquVhWefeMeVsMkBhBRZYhMHMWpC0pFAeOHTvOk4wQwwQSlwKCj1dm6UE - JS2kZhbL+NWXXM0Qs0JE/icc59xeIWNRgZk1enx+5MTseKbchNP+ME629S+wPB7tgJHW41g9iBtg - pIUzM9sU11x+XX2Et2/u7DMzESGinHPOWVWJaN++fQAmk4mIfOIT/8+v//q/qlc8ipY9f3YAbdvW - rG5VrVVR6o+m0ymAGOPzKYzinHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPuJYmIatHk - mtJdCxMDMDNVjTHWb2ez2e6W38QA4OGHD/7Kr/yKKmazLkjTtu15VRfIOeecc84555xzzjnnnHPO - Oeecc+4loNY9rmWQzSzGSETMrKqllL7va6T386kQbmZtG3PCRz7y0Xvvvb/vMpjMrKZl1+DwmpMt - Is+0kFJKKaVpmrZtNzY2jh8/+cu//MshoGkCEZYx26pqqrTziPG2bXPOdVxNLQcdQthdCrhz7pzl - 49ucc84559x5zcAgIi5mWopphmaoFYuzo+nhT33xzzYnJzb4VBdT1h1HI9siuntbgBnXUGEhBCIU - 7fseQAhMAWwtTo0/8Lb//rLJVfvDy2Jprbc2TOazWX1qhoKUATKIgW2HWcE7VnNSlUwJqgSjrTjk - GFuwqnUpdT36ux697Uh+TEPOOXdZswEEs0JWItNycUaqrMZZCWSY0L4Dcsl1r37zmFbZgqYcxZgJ - IIDr420IUuXzLadWVEZ5341vfF+DVUIUEJGVYqAAAgFiIONCnHkRMruT7cEIxQwMAnrL3Xj6jfu+ - MrdpRo9lViupUS5clM65nGlRGelENkc/f9MvyHy1dCYBACiE+uKH+F5SJd3dqxeCWgETMQGaqccY - 83b+je9/8Z7D38EqMgxgUipWzBQAjIcbGGA25sXXdZm2jL89/5JryZisBh+TbbUKCtTWpd67iFQm - yoVVMG9OdmvH/suX/u+HN++zUZnbnEENC6mlTrVAhEJEIyHOV97/tp/dRxet8YFQomiIIfR9j6Hl - 3Go/2Win7ScZNWkUN8c/+eafuWz8ajHp+zIZt8gkBjEQYMQgBe0mtM8IqeRmxDFAM7qc+pC+9/jN - B6f3zdEn5Vx6pty0zGSqKJnPs0s6Vj9HHfYmW6R3GxmzSd3XuMYZGxvpJm+csmN3PPSdaTlZKIWW - U1FNxlCGYhkyahgSwXk4ZBtnQiZkNrCCrG44y0zE4XeFIBlYj+95/d9/7YXXT9KBUFoiLoQsmpkL - o254BCM74/u7EYxqRDiLQrRuH6ykSkokonHC+y9Zu5zUiLf/Vt0pT78BWBwInm5PYVqsHCFYATNB - kEqH49ukGAAAIABJREFURq3RBw7eUyirZk3FChgCJTOIiJLqsEStEa2EHfevXgIKoZgCYAgZl1Ko - 4dKU2x++lVezahZCISSzYoXYhj7Yto/DCMqqktXowubiS1YuhZKSEsR2EZzrnDtvGKmd3gsd2n7j - p9zABiqiBX2cP3j4gblNs2UGo8CAZW9WhjNlXdx29HqechehMFTAJDRrr7zoNQIxK0xE59n5oHvB - pZTatmXmWpTEzPo+b2xsBmmmG7MPf/h//uhHf380agA07dj2rnOuqk3TqKqIdF1Xx2owc30NZlZK - WVlZAdB1XS2b4pxzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzi3VfO7lPLUQAoBa5lhV - U0pEJCKj0QjAs5Q8fiZ9n5nQdeXWW7/7Ox/+sDRxcz4rqgYYeFHHYKj1wTucfOqcc84555xzzjnn - nHPOOeecc845586aOnRkNBqJCBH1fV9HmNSSyDHGrutEZFk2+bktdXu5cm6apusSEebz+Yc+9KHj - x08SxMxqOjiApmmYuWaHP+Pr5AhjVayvTxX8Ox/+8LFjJ83Q97n+EjMPw2CGIKad6boOgKrWuO76 - wuqdzrmXDI86cM4555xz5zWCEpGZlqKmDfOYG9bY9aMTf/W1Tz2yfj8O5PUyNdlNNDJQQ0QXSb0A - gCGoUkFESVOBSgxkXDZgU37dy9/yjmvfG2aTFdpHObAFLUVEQMvLCgooAzA6G1GXwzQYwLjGqg2r - oaCRYGapzKkxjLq/++7n83ie0ZsZM0IAEYrCrIhQzSteBJmrEhiIhbEu737DTWtyQOccLDJzneeD - bcHnNamSDOdbVBtpaPPkyouuvWTtilDaIGIoOSszPzm8kwAw73ymUlLESEwy72d5ku8/evdjpx4u - 0gHKw9q2bdveOSdSiKl57UVvvPGa95aTxgXSxD5nhoopGQxIrIUUNVtwR7sMgRmqYBEIE1kpKAaN - dpKOfPGOz57iYzrKJKLZaBGl5p4Zw0K9CmFkQAZp3XQBZiM2DLHnBpjA2LjYJHcrx//iyx9/+OR9 - U13XEWYpgYmZkSGG2DIYqYPN8YZXvuVtV90Y52PJTcuj3CdVJd7+qesydxlGO7okwsZNaDELl02u - +om3/LRu0FhaU8q5DFmV9V9KShm72N6AogaAADYoLEsuk80v3PZXOlGZSJ87ho5C1FzMCOdZe3g6 - NVKjGk9MbAQwqVDdEQ2AKhUdd1+/88unylFrcibLpqqQQAStWaQAADZiDK3o1vLrsa9uLUPUO4aM - 0no0J0AKuG8vilf89Dv+AZ2UkY4jGoCVUage9BVDXP3ZWi8AADLm2tlQxv/P3p0HWX5Vd4L/nnPu - /f3ey8xaJCGxCCRkkFhsbASIvW0at9c2XghPm6Z7ZtoRPdHG2P2fI2yPI8aMJ6bH7Ql7PNGzxLjd - GDO2cZsxbbN5YRcgFiEJS0JISEIgCdBSUlVl5nu/5Z5z5o/73qus0mJlqgqXlOcTL7Ky3vLbf/fe - 38v7u19QbYeQI1mWobnkac/ZwyLRskb2E9uKAYaDHDWX3Amjjcqqebjt7luVx9QkSezuAiYjV1v8 - rYKWLRmAnJcH8/46pI1ggBcngxi7O03sSPet2+652aemqjAovEZxEp2ItuVVA4BgBCUn52ed95wD - OIediYiZyX2/NZlCCGcIOWUkFnQ8v+kbN5WmOLuZ1ZK/1juM1d+f95Lb7csibufVTZ0WkTxt/cLz - p09LlOrLO+YVwreDiJRShmFo27aOS7KxsTGZTDY3N3/u597ynve8hwhdNxCo7/vaneK0YOZhGIio - ds4Yx3H1krsPwwCgdiJp23YPQ6KEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEELYP9q2 - HYZBVeuQykSUUnJ3Va03rD3mIZVPEKF6V5073ve+v/7N/+W3NjYOqvpi3IC42z2EEEIIIYQQQggh - hBBCCCGEEEII4QllPp/XX5qm2RmhXYdHVtWa6r2HXB5mHoYCIOdURtx66x2//utvA7htp23bunsp - hYhE5FFyu+syHDp0qO/7lNLb3/72T37yM+5QrbMAADNbTaGO7bwrtVONqtbP5pxLKW3b7nY6IYSz - WfRsCyGEEEII+xc7YM5u4gYj0Dryep/7o/TNz33lg1d9+QPT82k+dtQAABFOBCfuZhZ86qU9A2wO - YysJlkFJvOe2n1w4ueT1l//IOfSUia2XbWWjxDKOY9O2qyCz+o/V6ewyenYPvMbPOrMzORtIGcog - IivuCklc2vlXj3zl9vu+3MvM2SFMTGAQQ2rep50IRTUCaBE8mUp7iM975Xf+ozw0NjcyypK0LL/K - WCVGO8GJfZFou3+IUy5tmreveN5rp3aAzImdyN1pmTtrvsiX3SNmMLO7G5nmftOPXn3zZwaaAyBn - J68HwCrj9rSs12k02Njmteb4xj950Y89a/0SGbOaFwJQAMCTEUoqJRWA2RPv8uYuIpgtNhFIYdDC - RsnWu5vu+cJnb/3IphzxLKqSwfnvLyB4tQ2dzB/HjnuicoGLk4IG0Gik7MwubC1ZIge5kRs5yJMR - a+675shHb3zvZ2/9yDaOpY28NQzcTjt3B8NYCNImVecB57Xnf++LX79uBzf4QNkuYoC7WZEsvihM - dhYfewlUZkemxo9NXvHc1z3/gu9a9/WxG52hvMogh3FxKfXtu56+wIrZCBEIZ0qgteGar1z1tQe+ - UiYDhMzASlSQJYP3W3IlLTKeT5w4Nb+cASarO5ThbAQnK6m/d373VTd+rEwK1uAJgyIliJyy3XaE - jHqdaK1rjN1OehPByIxKXQp2tJbW9JzXveSfHpanbqSN7vhWmydaHM5GzCjkRk5w2UPjYQ+sFixg - AGwQW0SOO5OZAZyQqcuXXvQCQVp9inZkoNqOCPPFlvWHbcYsP+vMzjDkBKt/PckYMSjr/Zv3Pbh1 - HxptmuTucGZKZqbuO0u//XYQrxjBoJzEHVBJnoS8460bv/7FY+XoiAKgtoWIIUSrcPQTud2A1/aR - Q9A+9+kvaPUAOZ94OYQQHoNFLeAMZ37ktgsnHNUjX/nWTZqKZPLiQmyr8twBB/tJ9ciul8R3FHEO - AO5gzS+46MUTO8ieicjMTmM0cgiPRT3wIJzaZtYPgxpTuv22O/7Vv/rZT3zi02OBpOxAbic550fv - 1bErdZQTdxeRmszdtm3trdL3fdM0IrK4kDfbQxeQEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC - CCGEEEIIT27MvL29XcdKLqXsvDENwPb2NoC2bVe3s+12+qqu6jnl+t/f+723v/OP/kh3DusR6d0h - hBBCCCGEEEIIIYQQQgghhBBCCE8cKS0yLEoptc+Jqtbg6jpOcu1hUnubPKKHG0S8fkRExrEAYKIP - vP9v3vGOPzx69CiR5JxVdZG6TcYC1Bimh4QxjebbXS+5vfqa637rt/63VYcXYiZOO+e1WpddqSHi - 7r7qS0NEp3Hc6RDC2SC6tYUQQgghhH1NHKkGggJK6Lg7ot+6u7v1PVf+v+nc8Vi36QwYhBmgR/8G - 4KHIT1zLO8HIFk86nEEZmlAIXpw7eVp61ksveuULn/U9ja7ZzBvKAiJyEvSlN6pTAGr69TJV8zRv - jlNXYBF2Sy60DB9VghISMymyZGr4QbvvqpuvtHYwUSd393H0foQaKIOZvNQ7aniVXkoOUSRtn3/h - dx/i85M2G2sbVlTViGT5LYaDzAhOqzXdZ1HHzskTzeVV3/m6gzjfBkuElJKOpQapOptxAcoyYnbX - x0NmjKOOo6VGCqlKf80tn5/TMZCRw7gYFXIsMnEXQblnCyNDor4bD8k5z5o++yUXv3bDz5tvl3YK - Y2CR+Q7lRWzurqOaHQwyg0NVjZyEABUimtm4JUc/ev0HjtjdXRpGGANkvu8O0d1hp1oImJHaIk6b - yBK5sAsDDGMYyJxgPOrk+C33XvuRL7yvn86USz+O3OQRBiJncnczeBltxLn5vBc+4yXf8dTn5TKl - gaepdTUR4kRDGR+h/Ny14kUkJ20P0lN++GU/wUcnySQlroGV9T22ON54mSr9WJEjCxPBDUTc90Ox - cXvoNA+f+tJHHtRv0YSckisypGmaUoY9rMITHAPMjh0p7Ayv9QUttzkcUOlL2r7h9s8/MNxb8nC8 - 75EgCeaAaQ0o3XnLp9EynXr15DLRuqaQOsHJjO1ELWbI3folh5//ome/fI3PsV43NtY3t4/ntqkL - SXDxWuiwEys/Uv716bLjLwenJoWbupF58kx9evo5z8rWcNllxPNJb7adc3CDMJkCQMpcaBy5H9B9 - /d47tofNQqPaSACzEImfyEo3crAzP+wi7wPunlIiCDszyHg8qvfc8PXrqKFSikgGIARZ/Enm5N21 - ozoj54YmFx6+OJcpO9Guq7oQQng0zjpqN3L/wHD/3cfuHKmHUCnOOwuinR94HOU5+UnlGytSaS59 - 2mWpnwoYMC1OdHZdj4QnPXevgfE1Ob5pmi984Qs/+7M/e+2119dGzDiObTvt+34cx9MeLS8iNa4b - QNd17l67qtSuG0RU51izvUMIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYSd1tfX6x1q - 9aeqAiCimuTt7n3f12f2dn8cM49ldMdk0rjjV3/1f/jklZ8+aUCkuCc0hBBCCCGEEEIIIYQQQggh - hBBCCOGsV3uV5JzHcawZCu5es7S7rgNQx0be80jItXeKqjILEVQ9Jf6N3/h3N9/8lc3NTZEsIjUk - ezUg88OqUVYPPPDAW9/6Vl52S2GGmdVVqNPBsrfMbtURoU955rSPOx1C+IcVfdpCCCGEJ6dVfIu7 - M/PeLgnCP7gaxlOvP1XN3UWi/XY6ESAgVkqUQTTKbFg79kC+8+0f+D+66dYMHTPE0TgwGkFAstsm - tBiLrT5igLEzwAZ0CmoAho10XnPBM+iiN7zsp/J2S6NM88StEKn54GRgdoISfBlv6QQHO53x46GG - 3cITvBFLABuxEdzd1RKlXocH6L7P3Holr3MpxV0TMScwgwTuMHMRuLuIiLA7oGBItrVmXH/dFT9s - W9J4JjUiJhJGcgW5E8xqVKWnGs7K+ywXmQAvPuW1Zn7wFc//3oYmpQA2NInrhlGGcQ2WNax21mOf - vgMGHdFOW4UzoDSWZuvqmz8LNk40lI6FxCkja29M6Qys5d45wcRTk8v2cK485R+98EfP82ef0x4Y - ejigiYwKGO4gAjObld3OwsxzgqpKApecfdK4sDkxtNW75re973P/uWuPdTxnZhsKAE7EidRLrX/N - 4Cdn0q522P46mpeMHnqgshi7wgva3BC5+ggpJc/unH3pzz7y9gfGIwo1t2mbyzBnMTAZvJYwrLTB - 6xvl6T/yyv8qD2tUWAwEd4xOql4gOKn8dN5b+Vmj4g3KTs3Qfud5V1xx8feuYc3cChGyOMwNEIzA - OJrstnwmmBocJDwWbjITChNM9Pqvfeprx75UkqsKg6e5mc22U9vsbvpPdM7wDBfAQPVcZngmF1Vn - JhCpmzH3GEozHtf7P/zZ99lk3tPIDYrBDMxwx4lbPf1ERDcccJCDHewnx1on9FaQfXRThzsEyDo9 - oE/78de8+XA+X+fKybe6o2sbrVmph3QyiC8qMaWktMfA+L2iOjsjkLC7imQoGmonNL3smS+U0pJR - lmQKLQDQtk3fg+jhT1Lg1Khvp0WDhAhuTgRmFDUnuHiR/vrbrpUDPlKf2+zuVhREyz+o2InMV6d9 - mNvNjiypnw8imZIMQ0e5HPf7brr7OnVLaNSNGaQQBxREUgpSEiKHmbu7AQAVyNis4+AlT78saQuT - eu0Ql36P35nbhkRQtdVc4lL98RCR+odbLHcZEdn+bGDtxkmtUGc4L+pFSuOgRMTMBgU7CZzVmnFs - 5ld98eO8puqDqrVZzMxrfeHA8hCudeiuCQ0Dpk0GUIqZIouwYoK1pqxddtGLsk/Fs5mllCx2cDgz - aNlKUVUiqj0w3H00pSQiue/Htpl+7KOf+Lmfe8vXv/5NB5ZlOerQJNhT3bH68paXHT1Wv2DZEYSI - zKxmh9eFXA2DUk/Yva92CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEJ7V6M1rOGcBq - 9OQ6IhkAM6u/1//Wm9rqbWv190ebMtiX99l13UAEEXrLW37+6muugbDCU9u4uymYOYYwDiGEEEII - IYQQQgghhBBCCCGEEEI4a616leScazcPZq5dPvq+r6PBA1gN3fxoTh2k2QBzV3cFoKp1FOdSDI63 - /vwvHDnyYN+PbTsdhgFA0zSllDom8ziOZpZzVlWRbAY3Ggf9xV/8t1tb23W08rX19TpSdO39skr+ - PiWB+1FWeWe3FlVl5lIWwUY7B8APITxpxKjuIYQQQghnu53XaXFJdtoRyag2FLOsXXPf/f7Vd3zw - /zyW7+tyKQw4RJEMYqeGVj4W7CyWyBnLkMtVHGbOYMIwQAitTptu/V/80M+udYdzacVqMLOD1FmN - zBchdDVxFl6/XSDYQ792OCMIELYaW87LUE8DbDS11q688SOzybHtcZZSIgBkWAbCrRaPjBQ+juaO - SZPRUR4ml1zw/HPaCzbkoDhDreZNwpmdQYtQOQeMyBanwb47/kWIlZtuevlzXz61jWkSIbYyYnlQ - gVbJs3vJsRNiBlS1FCODCB7o77v+9qu3cXxr3MxryclckSCTZvr3frv07deNnUKn0+n8+HB+vugN - r/kZezAdlKwKJUuTZj5HcjAwjkUyG+1uK3m9ZKYa5StiwkbsSIk3O+ODdu0dn7n6q1fm88rgc8mN - mc277WHsRESEVimSZ2Ldn4DMqABWk7MBZmdyMAywLCwi3dAPPngqHW8+UL75nk/8yX3DnXmNIeyA - WoFDSJXGUTvK2Jg2mKe8uf6GV73pkF+YylSM6+ngrM7FyJ1MCQA7UU3vNtCy/NzdVyLd2Dl502Qe - WY6vveFVP31eenoa2zI62DNT06AfIYzpNHXdsNsNtAi89ASkZfglG+sm3X/VjR/pc9/xqKqljMwY - S7fv8t+dgRO1A5zZE5wTi6oWG03cstvESrv9kc98YMizkTunRbao0yKkm5fp3avwaZyS571kDCf0 - vbUT7gedTBIDiVi0wfbk+1/8hmceeG6jE7hSYm5o9GHQgdzYIUbiXBNMDeSQh+Rhn37LVgbXbVWP - D6JFNrAVJSPRfOkzXpi7ljWXUgRoGjHFOI4549GLKzoRar7z2Dtpo4HgBJVyx323Hiv3d76tXPqx - E5GU0rzrTnzMmZYb5duwcc42Vgozu5NBe5rZtP/QF95v094BQACAQF73IsM5Jeiobi4LLETJUx6b - 73r2d8vYMJIr2NmsEBF2Wd+FU5y5uptocaKtZhHthD2LS+PTjhK5e7HR3UFmXnrrt3HsmB259Rs3 - z2yTBWRwJwjXRh2wl8vkU6SEcRhcrW0zEXRUVpZZ8z3PeWmLNbGsgwLsUCfyKN/CGUBE8/m8aZra - J6PrunEcJ5PJ+vr6bNaVUtz9Xe9617/5Nz93zz1HJpPmdM23fgeiqjWcHoCIrCK6+76vPUW6rmPm - iK4PIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYTw+JkZEZVS6v10GxsbACaTSSml3umW - UjIzZn6U0ZaX4zcsuMPd3ektb/n522+7A87zeT+O2rZtTe8+0ysVQgghhBBCCCGEEEIIIYQQQggh - hBBOu7Zt69DNOedSyukNLTpy9MFf+qVfKqVsbm637bRpmr4bJ5MJgKZp1tfXm6YZhsHdzcxJmqb5 - 3d/936+99tphWIzVvL29vbdx/lV1ld6dUqqdW+q49/W/q+GgI0cghCeZ6MoWQgghhHD2IqJ6Dbb8 - N5xmDnBmJdPkOulmk7ve+YHfeoBuf9AeHDipT8gbcRaDOPYcgljjabFI77YaxU3gboY1Rh4mk/Hg - j77qpy7aeO5GOSQmyxhmMy5GBXAjOLGDsePGlRpH+u3gDOdVrKYTAxCGY6Sm3D//5udu+ZROB4MK - pbrkWOTjnlDzqAAIIMaNTZv+wMue99qD+dxMUzcqrk6L/D132pEPuiP/e5/F8zkwaEnSNGP7jI1n - veCZ352G6TgvIrIzKnUZ8Ys95NidlC1t1DRtSd1Xj9x2y7du0rVxoN5dxUBKNWH09K3c6ZEouXuv - fWraiR540YUvfeUl30sPtpOmKe5bs2E6kQzmAhKMKLufg4GAE0fjYivoaOtrcv/RrfZc+ctP/sFd - 29cPTdf7yG2SJlFiCIrbaCMz6OQDt+4v3o9X4wZSkMIZELgs4mlhRftm0qibsvmUtuh41zz4/k+/ - +/pvXDfL8+Ja+kK5Ri0DZonV4MW89DTtznvZxa9/+XNen/sDoi1AgIHMqBipU/1Gk30ZZgwA8BOZ - zbshImbFeBDiqU6fMb3k+1/645Ph8JQnrtbPHUqJ6gy8zbSXaO3lwULO5EwOo1LS9jW3f+aWe2/U - aW/ZOx05O9Hp/Fb6iWAZrb3zGSeAa7xos5ZH9GMeurx925Gbrr71KuUt58HJHvZsOyl6ukZ3++Jt - O09ZJzRT7nubTrnfKg01aWh9K7/o4pdfcenrDuF8jKo2GBRJ1IyFxE0M7IlMQO6ky7j6M+3EOjmx - Us0md1cTJpgCYGZWecEzv3vDz2t9UkPsEzEZvHjOvIrBNTrxqJuIdjRBjGz5WDxDvnzV4YCxPjje - d+NdXxybjhrnLO4+jmPOuR7ltNjODLARfP+ldxNRm3Lx0uk8H8Kdx2+7+partOlBRr6IXRcDLysg - oVSLMyJXt9Hc1VNJbb92+XOvYM2EzI4a076XwiecjJbO3PRP+SXszSl7KrbnY3NqO7Rev402rgY+ - YKmXgNBUbKO//utfuGfzLiPNkmBwAgns5JptUYzv4XpNPSUqxd0hIgCRUWvTybB+xfNf1WCNKala - Ikbs4nDGlFImk0nXdSIiIk3TTCaTzc3N48e31qYbbTP9j7/3n972tv/RHSnLvBuWn3u8V3VE5Mvm - V03sHsfR3VW1DmjS933f99PpFEBEd4cQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQnic - zExEakp3HYD46NGjRFTvsCMiM6up3vWXR5kSwR1YPdRQ1I8d33rTm9+8ubmZc26apu/7Uortt+Ex - QgghhBBCCCGEEEIIIYQQQgghhBCeFFQ15zybzcZxBODuOefTNfFS8IVrr/t3v/nvDx4+POu77W6e - J+32vHeSbijHNrf7URW0duBgN5Smaf7yL9/7h+/8o2GsyRkkKQFIKe1hpOg6NPRkMnH3RTS4eyll - GIa61jW9ezV8dAjhSWP/hYWFEEIIITxBrC7AVtm6O0N9wmlhZFvDNm2gTPpNPvLuD//BXbMvHdej - zUEow5F9R5Ynu4Fsr2mIJxreysXIxt6esjYtD+JgOfcVl77mFS94zbgJH4U9AQAZqABWI2YBhgtA - Ncx18QbgjEczeg1GXWRC16RbWgRFm/Ook+7aWz/3wHC/t4UFNhaGLTK7T04rFhEvnjJEUOY6ofWn - rV/0wotfTENCIXciImInNnd3Na4hu041BG6VZntm1/fs42SqOpVWtuiKy16T5mvswsxOMOIdKb8A - 7SnaXCEgEhEWjM5uaUp9s33lTR8bpvO5bpkVEVHVUsoqzO8sQY61PPXivQ1IYgWpm/zg5T9xYfsd - tuUHJu04oG3XWQUFLMu02N2oh98iYpYUVGrELDlcdf2gHO8f3E73/OmH/tNRfmBc085nkpkTOUx1 - BJASR8TaCqMsNqonIC1LV0uJt+bHlIu2toXjfnh871Xv/vztV+Kw8Tr1YweASVTBDALc0WZYgc/z - 06eX/vir//l4xKe6zibLWSngvsgVZngtQhez21GS727XZM5E1I+Dk01yu31//5rn/8DlF7+2GaZp - 4Fak77wRtoJ+rm27y6+MHVhsHWM31MUlczbL7pPywU+9u6xtbtp2Xm+3u63c8LIi2KdqVjQ7zCy3 - aXuYpQ05Oh7p8vEPX/3BeTquMq72Nftia5HD6pOPtPUeUkowgwg+WsvgPjX9xvn8rB+44sfP5adi - W8RBpIOrMopbI4kABshqKHWdi++lfN6lWjWTGwAHG1HNuocpO8wLZyJWMblg+syLz700o61p0Dqa - EBFAYH3EW16ZT91gJ6WfAydVScoFa+XzX/60T3SknpMbrOhQQyjJsajlwXXBF4u6nzAIZO7jKPNu - bevjN/xt38zm2DY66e7l1ZHj7jBkZncvxdydTBqfPiU99cKDzyYXAOQkxEbm/G044p7MznQ28Gr6 - cWX3OK0uk/+hF+TJgwROBoEzio1O5o0eowc+/sUPYWqpJXLGCGZoHUyBQP54o4tVQQ4iiKDo4O5C - qdHJMzcufvbh55BmdwhxHf3B93fjJ5w5zJxSqkONjOM4jmPf9+vrB3Jqh2H45V/+5d/5nd/te1XF - OOppvC52dxGpDaRxHFeJ3URUSqk9Ntq2rb1S6kshhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ - QgghhBDCntVb5FJKfd9jeeeamU0mEzOrt7Otnv9772t76H2+pdj21vzNb/4XW5uznFsimU7X9ZEH - MgghhBBCCCGEEEIIIYQQQgghhBBCCGetUgoAEaljwtcBnE/r9PHOd/7Ru9/9/+XUNs3EDCIyDIOI - TKfTlJKZbW1tHT58+JZbvvKb//5/nc26nMUd7q6qRLSH5amrY2a1/4yq1qmllJqmGcexjoguInub - fgjhbHZ2Ra+FEEIIIYRT+NLyv/+wi/Nk4+RzmW83m5vNfe/+6Du//K1rBpmNhHF5x4eBlFiJjQAC - 7TJX0ghGpmxYhi86wRhOWG+m3X3lWc0lF+Xn/NjL39gOG2SJkpw8gcX+ZidyJkvkTItcUQOVb0NV - ctO7AAAgAElEQVRuKy+Swt1ZjYqTiYEdqgWt3jfc+bmbr5SJ1e8R3LWGhq6W3lHzv9ndRUAEN5AS - zfjFl77inHQBjckMAIHZyJRGkDIDLryM2jVWY3XA99n1ixEkt8MwJKcpppccet4zN5670RweymiA - g8lBBiM41dDWXWNe3AdFRFYwDqOKjk13w71f/PKRG9N6BkDk7mpmzmdXMiI782DJKLfTzW4mmVpu - nj655Ide8lOTrbXpuHZ4erDbLjBigiv2kHTmNajbwA7QoNwXMWWoYtI0NuhYhjkdu3P7K//lqj/r - 1mc9ulGHfuzUS8pSM93MCjlOPPZhBP0O9UDdEdwLAKmR3vrSjtt0rFs7/uG/++Anbv7QeHA253nn - AwkmTQuFDhCBO2DAiEPpwGQ454de8cZz5Rm5zy1lrqUNoT7qDOECCDmTc93+gC0fu1pytkEzNe4+ - wkaMh9YP87H1H7vipy9sL5J5uzE5h8GknBxEiy+Rd0XruQwj2GpdjGDM3vhX7rvuEzd+0A/bjHsS - I9tvDYLlXnMGmBbZ2wqy6XR6fHsLrDPdTAf1uts+++Vv/l1pZsqLXVCLSvZlpUrmi8eqouKdme4n - jh8HOYa5NQxWNNYcsAPr/aEfftlPXbLxPOlbKp4lpZTM3SFu7LY4qp2gVCO0QTCC7q2U3gWyVR2p - VFsvMAI5YG7uzm5kiXPTr7/0sldbL2SSKKl6/fZfVVel02prYZEoDwBsqEXY8iyzkyNUmZyXH7E5 - b91x/633bX5joK4vPRFNJpO+71dFYC0S9y11G61Q8rThf3f3Fz576yflIEY3JwWNIKs7wGtOLZkt - m6buTglN0zBESn7BM7573Q8Jt3qiAeYRbfs47bz4Ou1Oye2O9O7T4ozusv2DBAAcCvJi46iDJyup - v/r2z9z6wE3eFMBsNK6hwqa+o0W7rDr3EuNNBDMngggVNSJiFfT0sue+ct0PwXh0Y07kZNDY0eEM - cffNzc21tTUzA7C2tta2bdd1pZR/+S//63e/+89rA0ZY1tbWzHDy0W6LluSe6l9VLaW0bbtaEiz7 - atTeGwByzqo6n88f/5qGEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEELY5x72vrajR4+6 - e84ZQNu2dcSMRxk3w1HHQHYiWtxAvRxOpZRy8823vfWtv9B1XUrNfN6L5DO+ViGEEEIIIYQQQggh - hBBCCCGEEEII4bQys7Zt6yDJZmZmpZTaveQR8I7HY58Lfv3Xf/3LX/7yOI45Z1WfTqdmpupdN+TU - ts30/vuPvO1tb7vzzruZWdUBEIk7udMexkWvmd8AmJmZd0TC+Xw+Tylh2W1mGIZHXd8QwhPP/oq+ - CyGEEEJ4AqnXaadEd4fTS6U058iR8o2/+OQf33D357ewta3IDayAUUCjsStjlX8J7DZ015TNqEZ3 - M3kN8waAcVYOp3MPdOf8Nz/yrw/bBTzPknMhNQB+Siud4MyeyKWGubIbwxbBmt+OdEYGzKgYm5Ml - Qza4uzV20zf/7u7jt7uYmalq0ybCKkOad3wco2lKYgYdMU3TSVp/6QteabMsJEJMEAAGNSvEKonI - GZ6WE6lxqnuJgnui64u2a+vz2fE1aQ/S+Zc/59XWsxnqviBLYgnLXNU95MI6U3EbykhEjQgAd3Q8 - 35ocu/LGj3JLRKRQsEpO9RuxswcB1mvLjYMpSfHiRu04fcklr3jNC15/7OvzibVicDCIG4GOu5v+ - Kr5XHOIAQcWKlMIQIR8LG0TAE8xk8/N3XPXR6/9G1jhPspk5GScyV7WxZuIGLIpBZgevkrM9GfG8 - DHkteTN0efPa2z/7vk//+XBwcz7dHLgUhydWNx/QEKXExUAEMfZj7Usve82LvuMlZdvOnR5EX8hP - KSUYTgCTJUDIQc6A8Z6i9QhoPNNgOWckmmsvImnWPKt59o+87CfO4af2mwNTEuMMmmQuZXfTr0nP - SqhLSCjGRRlGULfe+nSofOSaD3yr+8ac+2bSnG3n47cDnVQXMAqoAIWZSyntRotmvHfza1de+7fz - ZmvOW05GDlrVIM5sOycFoFa4i4qmhnmvYqoNyxKAYQUTStwRbzdXXPaaVz/vH+fZei6SAHdXN2ch - CKG1QuxsgNWpQeDEKEzDbtPid715HOTOcABGrMTKAEyYCQCZu5qPQizd5Lue/ZIDk8NWLHFOlAB2 - Jy8u8vD1rNHiPtlHrGica+ultnYAG2g2o82rb7iaW3Di0cac87JByzt+Yudm3z/cHbCUfVaOfuLG - Dx3xe+c2pwTjwanUo8UW9bsZWWIhgrurIiUhotKXVPLll750Mq4Jp9GLe82/BRG+Le3DJ7MzdAlW - b0DfOZfTO/39ZuffMs0stufj59DiCnawpzZx4ge3jlz5dx+RQ+hsZuZUMJGWmYHlha0znFfNZnLm - Uy9mHw05sqQ6T5ATgzlZscPTcy+/9CXtMCVIcSNI/au87+GCJ4TH5vDhw8MwzGaztbW1I0eOmNn9 - 99//xje+8brrrgOo9sZQ09msO41dJer3fimlvu/rLwDMjIjqeCUpJVXtuk5EptPp6ZpvCCGEEEII - IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE/cndRaTezjaOo6qmlNy9bVt3V1Vm7vu+3ky6yOT+ - +ya48ybfyWQyjsqMq6++5r//1V8bx3EymZjZPhwyKIQQQgghhBBCCCGEEEIIIYQQQgjhCW3VgaSU - UgdMxjLT+rQgSJIGwLFj27/4i/92tt3N5/OmaWazWSmlbdvJZOLuwzD89m//9qc+9dk6NHQdwJmZ - 6/LsIQ+ojv+MHRne4ziKCBFNp1Mi2traqpN9LJ1nQghPLNGPLYQQQgjhrHZKDlnkkZ1eTvatrW/8 - 7efef/XtH5019w8CErYR0wRGAXfKpTCPwkY1KHS304eTORn7ibRLZTihoeZwOvenv+9NF6aLDurh - bJPRy8ij0ynzIXImT2TCzuTEAAHkNenyjOcyktdgtmKsyg5AHGxg5uPzB66++VM6nQMgZWYQORaL - x3A2sCH58psEh8GRCFC88Lnfdd760zCwUAIZwAY2cid3r9+zCDzXHFAndVIj2L5L72ZVl5SMio5l - Wg5957OvmOQNTqnmwYslsuTgGnr6aKGqj8DcwIvgQxFhBjGQoev9Td+48Wt3flWYjYrR2ZiTx47G - m2x57EsznfSu/Tgkzq2u/cCLf/K5h15g26XJ7EzqJMQou98+gBPYQTVcljGIjWygbCPEcGAKJWyj - bE0e/PC1H7j5a18ayiCN1KL7UcKVyUFn3RY90xieASYYqIYuG8DuuRiP5Jvj0Vvuuv4vPvouOtwf - 8WPbqXALEiihH429aWSibmCYYSLnn99+x4+++if7rfnhjdbGOWkBYIvCpJaPxM7siV3ImZ0YYAc5 - CEruu4q2ZecJNd4pM7tAkx+bbR1q1u0BvPy5r3/58/+xIAkp+6IswyNEID8Sp0XtQM7kBjInOKAE - N+KMGY7O5MH3ffIvZrzVlU54XxWGy9xuWoRwAwCcoQSfz+cHDx2addsjzf/6E+87Mr+74+M2WX0Q - DLAzeYI3hMVX577I9E7wVN+GRa29zCIFaky4ECZC47wcnh5++oGn/+irf6yZH1i3Q2wqbOM4ugkz - u5OgEZ/ABYByUTZHnamKj9+GKnvFa+wquRNq+Z1SUlfDyEDS9pzJ+S96/ouICCDmpKoAmFFvil0V - TnWDLOpx5xNFKJ14aVXL1+1cf3WCNdA03PDlLx7dPCINVFVVV1GXZIup1XTqndPZL5idrBs3v3D9 - Vbfce4MdKl1REZhAxZYHJDuxMUBGRAIhAggOG8eRwReef+El5z+nxZo7OYGZ3WBm+zAK/fSq94eb - Wb3yOkN/FTtD6eD70yLUOTbm7px6YVUbrvWAd3bJsrW1de0N13zz2F02GVwAICEJJdWR00nl9o6q - c9fcnZmJoQpnuHui9OLnX37B+tOltLVOqQVhvVq03bTfQniMVHV7e1tE1tbWxnE8fPjwzTff/KY3 - vemWW24zg6ozsYOnaxsAxnE86cO0aEnuQR3ipJSSUqpdMY4ePVpPQyJS1VKKiEwmk/l8/jjXMYQQ - QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQlgNPVx/F5GdN82thkkxsxrmvYtJO8O56zsQ - zDHvhvf85V/8P//x9wHUAQ1CCCGEEEIIIYQQQgghhBBCCCGEEMITSO3yQUQppfpMzfB+1A/xjl/+ - nkQVh696ldxxx12/9mu/VodlnkzWUmq6rgPAzB/96Mf+5E/+pM7d3ECLD67it3e7Xu5eUzOapum6 - zsxyzma2WruNjQ0i6vs+53wao8pDCGeDfRb1FEIIIYRwFnKGM8gWsZQPfd3VYQbf5403IxjZwz1W - bznpwnsR3brYsKsn2QjKWlI/5FnfHP3rz77n6ts/dRT39XmOhtWJIGXAIiEbJ++URaAlA8t8pp2P - U9BJrxi4xpuJIZem7Q784Evf8MKnv7TtDpUtaqjlzMpqJx0GNbmxzm4R1E2+4w1+xg8JdiYHyIG6 - tR0wJxtTf/exO7905w1otS6dCM2HxVcG5HVpT6R8ppTGwZnR5lQ208te8I9s06c8hZqamRmRMNX0 - U1dd3NXDy62wbzMoN9bWjx8/vraxDiYM/NT1p116/gvzsA6wsjkZgPqTfNe51ADUkBIzMxmZmRe4 - wxmdbG/TsWtuuXpoBhcuZl40LQLCV8cnAwlIJ069erqR4fEF+D12nGjQIWXuullqcjOZjp034/SC - 5sKf/L6f2bADmLmAnTDvrWlBvgqXXSzzyXmxDDA7sy8Cnit7SKZsjRhkRtdhLHBBL/NjuPc9H//T - Wx+4aWz7gYdifQ1g09VXacuN46fOd8eme7gwwrM2hHW5k3l5MCy2Ki1eWpRaRqccDwoo4DWdWtm1 - 0X46/8qR6//0Q++YpSNzPoqMxPARYhDJklNqsqrO52gaNDYtD6affv2bz8FTDzWHZ1tzETkpx/HE - 9q2liLHXV5dLsafC08yY2cxKURJpmqbf7g+1h/x4+08u/6eXXvCiVg94B4YMpmBjZ6n5xCeSiU+q - p2ourxNAJ463U+KQyZEbmQ89kvWYXX/b52/91g1l0nU0A5ycT9RCfuJMNFZbVCgAWJzrO88qRmy0 - OhlP/ur85NOhrh3DyWEnBWybkymXAd2YNq++6ZNfvvu6GR33iY8Oo2VSe624nZ2lbnAj0GKWtUq1 - xWxW9bwzPJExeT0OZQ0H8/baG7//TQfsvKZMRJlBkplIhFLmZEXd3U8qiK1OdA+F8+NmoEKOGmhL - DhFxd6tJ8BCb42XPe/W6nYPRAbPRhBJzUnM/NUibyRdnkNEy1rvmGS+2bd16i824WtnigJQHum99 - 5c4bZ+UotTpaUTWvZS/bieJjsZse5hB9mHbWIxeVp9cjNe4e46d2lI0P82chZbNMHfff6u/68Bf/ - als2SzOmDOhqqwI1f335ESsDYBB2gbn7SIfzed950Ys36GC2xsbCYpTZmRYZ92e+ifiksDpuV5vL - 3NXd4a5OAGrTd7fTXZ5BO0oYMJzV66vuGA1q0EjvfixseVaQE7sBZvUEcaUT71CQO5l/W5qgZ52d - LcmHPFYtsXotfPKReWIKBDAzkzjZQOMWHb3t2A0fu/6v8yF6cGs+mQgBBh3KOAzmftLVBz/ylYg/ - 8u6oTaPSa2Yh4WJgEwy8hsNXvPC11jWiDTmEnciJnMBEf//f2kPYg7W1NYBVXdXd6cMf+/g/+2dv - +vpd99UxRnLK5kZEs9kMAPPpPAjNjIhKKWbWdd3GxsbqeRGpXVLcfTqdrkY8CSGEEEIIIYQQQggh - hBBCCCGEEEIIIYQQQgghhBBCCCGEEPbG3esYx6pab2ETESJavVoH1gDQ9/1q2OXHjpgBuIMIqvjt - 3/6dP37Xn04ma6d1JUIIIYQQQgghhBBCCCGEEEIIIYQQwhknImZWB0auv9efj/qh3Y2ivOqdsrGx - 9v73/807/uCdcB760jZTpjTb7u65595f+ZVf6XsFwd1BxCJ1eVY/dyulNI5jSmk+n3NNHlomlBPR - OI4A3L1pGnffQ/+ZEMLZLHIOQgghhCezVbf4cBZbxsfW/N1FGOEi509EACs6gHU0kLCT7Lcm3Cqi - 28mM3diLaH0Yq7H7Io1ssdGcxZkUpG4GBcMZxUtKzWiecuuZOpkPa9sP8B3v/Ov/8Lk7P7TZ3OPr - PpKqF7AblBgGkEPckpfkZRFACziSgxeplg/J7WbHIvcXYIc4Gkc2FDckdsoYaKNsrG8d+okr3vQ9 - T3vtIXs6ddPM0+Jl7LeFtQZjO+CLBDR2Midz0uXDHOxEDnGiMxrNSICrNTUsignORQ0t97kfNrb/ - 6gsfkA0yKCuLsQssoxA7mAGBAXXXFFAZeptONkrBuG2XXfCSizeeP9VpdiKAiIicTMnIHWAGiZOC - RsDqJmAnduOHhsw9qbFD+2Gtmc76MlefHpjYdvm+y37w4PYFos1IUBksdwoAcBO3XZcPzFBdhuN6 - EmI2kIOAkmdX33nV3cPd2iDJVJzZXKBcM3Od4QLP9bHMD66RzGr1KAWf0ehEIxupR1algQRmsJEb - PpDK+lo58JKLXvryi191qBzOPTPIM/qaguzISGzJjZzgvErmZnhiS6ssZAaSgRwOKMEAMmRDdmNS - 9WLLzUHszOOYNr+KG/7oM79/2+aX6aAiw8ZBxzLJjXlhATPMinqhRC7clXEZo2hGbqRGi0DrZbZi - fdQtbnbmo2p3hWAMZ68HQzbPhgwXchazZJ7MxWs+txU2I1MejXqImg/MoMS9DkPqu7XjN9z7+f/8 - 6XfcQ7eNB7Z6LwdT4m1MlbgHj3D3DsMMw2QCzCQdn/zkq3/q0nMvWx8ONLNpS4fm5j5hJ+NFoVEj - 2HmRTEm6eGBZuhLttvw0spHVG3IjcRElVpKmGQpaWzvoF/z0q//b88eLWz1EEGM4Q9S5MNVkyswF - mHeWpFnGxvOqnAdAQDaIw8iUuGa8s0EcqkUEDjY2WRve+/F33WNf7daOF9LMua5p5qyDZmlV3UiN - B+PeZDRispxKTip8NkX52mL1xVCLEaFVvjjZMkF7EcBa3+qFGmnUTQlpbTKSzwalSTNyN7Sbd2x+ - 6YOf/bNZc8TXx75AZFE7c82EdjiRAiOR0iJdlACiAi7GMEIpyDkRURnBmMJzvenUHcnW2tnBN7zm - nz/v3Mub+UZjUgvdvmgiIScbTBjExWgwUifjxeoowwxiyH6G22/1wAaIHUQjY6xlNbM4kRYn4oSJ - O5Mgebq4fd6Ln/ZKVina5ZwF4u5OUMYoi4LRkeDMtT1A5sv0bjr5gUWNb8uSygAkoC89r3Ufv+a9 - x/m+Mu22x3nKrTs52MhcVL0AttE0ubgYFhttFUi/PJGXDxAMWJaWZ7JIJGDHTJcNvUUCrtnJmbjL - SFysjlV2qwcewHAhElfAveajG3GvpUN/rDn6B1f+/j3Tu4bJvBgSMZs0hbMCvpwouLYIhd3hc1UV - jIopr03nT3nFc16fhoMypgwHtKNhYBPJSVNcBD665flogC3PTDbAeeRsieBF68sp87inaG0jEAqh - LKbvyb1hyX0Bt1KglHUYZ4CJ5NOxTk9aBjiZAQ6BszgYMEqFGQxAhZJAtOuE1Bof+dGyop+UCEbu - 5E5QghLG+lg2yxeJ5idaHeQgJTZhgMVABiUiZp5vDW27XkA61Xv8rj/+/P/1wIHbZ3R8bYKxVwI0 - qeXCGeQQA/mibKzVQb1mB8C+vDavtclDrp13Pi+OLM1sbi4w5aZsfO93/ej57SVsG24sDvFSMFca - EjVe0lnVnglno0dNsicYk9eftYcHkZhhNFcnA5PkP/8v7/3X/91btueFGA6oYSijA+66aPNYWVxl - 1IfjxOOR5bwo7du23fkMM7s7ADNzd19WOjsDwuu3u6c3MjyEEEIIIYQQQgghhBBCCCGEEEIIIYQQ - QgghhBBCCCGEEMI+VG9YE5HVyMW8CNv2Ukr9ZfVkKeWUG9xSSnU84oe7qc4Ac7UkCYA7zFEUv/E/ - /c8f+djHbTkkVHFTaPFiXnIjJ90GWJfQmeJm0hBCCCGEEEIIIYQQwv6zcyAyRLpECCGEEEII4eyw - SrbGsgMJEa0uXlJKq1eJ6KRxmx9DvJQv87lA2NyaOfA7v/u7H/vEJwxQdxJxorf8/C8cPbblwGKe - 5lYUDtURsPrzUea1urba+UsppWmacRzr2OynvKEOHE1Lu95kIYSzW3RNCyGEEEI4GzAWSYerv42x - A6pKROPYE3u938H3FB33hLZK5CZn8lWiLgNsxKvQbF7mR+qgZhChlJiZAMApcx77kijN+62S+r45 - ds/4td9/73+48f5r+ub4kLeVyzJA1xZZib4KpzRyo523jJABOOkZX0WMnsgqJgc7zOAMFjB5cmnK - tJ0dfO3zfuDyi15z/uRC78VGq2FpQklqlNrDeMg3C86LxxmWMsaxdwMZJxIAc+2Gtrvu65+7d35n - h0FBvlhmJ4ITG5iwWg8DwQhN0/b9KEDj7eWXvWKdzksm4jh1FU6s1I71PenJfcXITYilnThx3883 - 2vULN559/uQZYpkZzjXOEEZg3vutRzsOOgYAhxqU5kf1vmtv//x2OU4NhmHIkpYRrYusa8NiDzot - nqeT9hGf0UtOByuRUs0a93qekoOdMSTd5J943c9cdOiySVnPms3ANaXboTb6IqeNll+DYVkIo2Z4 - Y3kKU81PxaJAYKurbfXJ5doaYCq9Hpjd1d/yh3/1f989u33OsyImkoVSy0mHsfSDCIvIMAxFfbq2 - gUXOK7MTu7ALQHA+Eensy9LmbPT/s3en0ZZc1Z3g/3vvcyLuvW/IQSMgkAwCzGgmM9gGG2MKlzF2 - G9pDtbGbrmq3vaqql8sLT726V6/VtVb3h3IV7ZEql+02ZTBeNoPNjJiEQExmFkZMAgwIKUkph5fv - 3SHinL13f4h7X2YCkniJUjw592/Fkm6+vBk37omIc07Ei3P+Qzbm6tykulseqyzGIb7RlzsFECF3 - y9IIt4tFX2r1SV2MTn7yax/5q7f86c2zmyaX5Z7NCX1f2zxueMQsfe2k4eqL8TjXHYy7zac89Acf - dvljDsslPocurJHEuVlUNfqmt0S/2Z3ZvdefjiFUG1jtNQBGcJAXoqk8YP3q5//4L/G0pT6NEkwh - OYHdDKqwYjmntY2maIUzPJEx+VBBrgYQLvf1cBomch4ipplBhOpGgmk5sVWPvPE9r5632yqdWlGo - sy4Wi83NzfnOdNS0qyaSjOBUQQow3yNNxl7sdnt0yIMerA725Q9W8asMMAkXU2GQ+9aJU3DZOHjg - 5Pykrpfb7ZZXvu2l8/ZUyf1cOyL4N2kuls32Mrf7rORpOGF9PW9vVVesr2/2tVerTdNYp2Os83bz - zCf91CPu/0Saj72XROzaLVe1CnhmB1CB6qsRoatT2HYD2s+71V5mt9VyxtHuDBc4A8bGbT950nc/ - dZMOTXhdSy1WAEtpd8tX6yQjXyat2hm/GriDeunscmef4eStsy/9/WffdcqPHbzs4KxbMEQoERGE - Sbjv++1Tp+h0fPIyI9yB02Nrjc/+uP3ZGVjmlwO22/yRg4i6rgM7ZZ7XWVfnIpRalPHOG9736q/M - P7udjxWqBPQLy2iWgd/AalVDCjjcQQmeUB0NZZ+nRzzgMRe1l4kJsMw7X8aK31NdxHu7rz+Mlv2Z - 4Zw192Wkq5ntOSn1m58dDBCREGCmRDCgWCGi4YPCnfMzrrDIhyaEiZzc3cEQ1+quBrML79h3wioQ - e/jyy4vloc+ye5m8W5Gzk0DcUbQv1gGVibR6w6Oc29li2mHWt6fe/MG/O2pfmY9OKfdYVfvLegZn - tqEG2G57amRnb9gZL844Nc6o1a1t86lT08lmhmJC64fzZY+/+vvb7qBoBsAwhg/R4wDzhRbMHu5u - IlxrAWBmu9WviCzm/WQyAeg//acXvfDXf1sr1HA3XszmnEspw3QkXdeNRiMApRQAqioiw8YMbwgh - hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBDuYUSUUhoG33VdNwR7iwgzD4PghqmKh4Tv - Ox8NN0SAD/+waZrpdP7vfu2FN9xwg6rm3DIzkaSUmqaZzWb30NcLIYQQQgghhBBCCCGEEEIIIYQQ - Qgh3q6Zpaq1mllJKKZ1bntowS/PwT3OWxbz+H//7/zndmU935kzpP/7Oiz72sRuYiQh7zgsAMGQS - 8ZCVIznnIXe8bdu+74e/VdVa62KxOJe1hxDuhS68LIsQQgghhH2JdqOpV0l7RKKqlKgrPYDMF2Ju - NwFiEGNxFkuiLWsrOhJtRVvRzJbEWBziJl4Z1qSGKTmqW2eqXtR7piqNZBFCLnMcu7X7/B++4ne+ - yjefyKeq6J42iKiuMs/ABtYhVJEdyZGMljnK5GDAgIWhEkDQeVmzvKmHHnrxE57xhOddvH4FGVed - UltYlIjYGysJLuetOM+BQayrHdOIrMmWMxEam8vOBz/zruOLWw2ukCpaU4EiLQNQDauc0jOuN1xL - zeBJ2nzY1Q9PznCutpfCvyARUdF+OPe1V4Yc2jx05X2vYk3JAMOQSA2AmZ3ONfqRDGRDJN6QUc2G - nHNvpz76yfds27Ed22ombaemBF0e5GakzsW4N1KgOmEZSOzMzuJMZ4a/nhfsaOAtuSSl5CrowJ1x - hUvLByd06U8+/fkbfumGH2wtaYEReoayO1WGibEosWGZeMjVyBTiyI7kOCun9i4ZVeduR48e9y/+ - 6Wv/4NZ6c12nznwxL6w0ltzmBiB155QZogXsiYbF2tXSDAmshEqoBM1m2ZAtie2vIDcHG1pDcqrg - xbColMrohXumXqAEwMSRNWVN6LRFszhhqa5P2oPING+2PnnkA69970uP9l8YH5TpvOtmGKlC7AgA - ACAASURBVI1TYSzQbeucx8lbm9dpk0GLsl7Wr1x7yA8/6jn3X38olZY5Seu9zaz0ieU8H2+rxEmy - 3dxKAASM8qilUdmuD7j4quc+82dke3TIL6EFOip9o8gYCXmFLqpb7Up1YkdiIHlNZuJDpjQPH8AO - OjtztyooAYyeOk2Vxv6Rz33ofR+7nkZWZN7TVFNtNydHjn3t4KEN7XrRzDpma+HsvFCZVqnKezue - zzeGEXVEc3AH7kAFVJ0AMJwNbEP7v2xsYYAmnpe+TTkrHcDGBOOdnWl7MB/zr77+A6+46eRnpjIz - hhvazG5wQAm2zJI3UAX1hMpu4st06mVTbkyOxaKMJyDIfD5XKqMN2t6Zb7YHRtujJz7wB7/vkc9a - y/cpnGWca+0T76fS3DsCyNNDr3j4Qy97rMzWmKiy9uTmSIZkIEusDRvIh9Zhb8cPORhJFTrqu8n0 - rR9580kcPzG/ncgzQappr92iIOVmMuZEuU3KVbk6V1udYk6mZAY42/LYAJMTe+J7PJd6iGnHMld7 - mY099N53OztOrqxFvDArMTsY5t6lFpjI1OaYGE98Pj3VjPT6j7/hfTe+qeYth2LoRBKbDkVtTsNO - MiIjWn5AKciZqKKp40266CmPekpGBtRJ4UwmWVmMQaZsOOcuwYWNnN29mil86H2Zgu+e890w7F5e - dt7cUYoyc0R33zXnZUQ02XAO8rIdIQDuDqJe6/Ba7t3V8znxNNQijuzeurewFtaytWIiJo2iUWu0 - tlobrVKFNRmSEiA9N5pZkqey8HY8mum2rc/f+bE3fPjT7zIhVdrrr5BW3aRh4dWNDgA8NPBsQ28H - bAygp+IttC8THvEWP+1Rz7jP+AGtrREAUsAMBM+GvAwJD+HOOZ9evkHfF3esr68T0Wg0qrV2XTdM - /9F13W/81m+++L/8MYC2zU3T3I31cykl57w7HUmtdajBzvxj13Vd1w3PatxdnxtCCCGEEEIIIYQQ - QgghhBBCCCGEEEIIIYQQQgghhBBCCCF8i4apkIfZivu+J6JhyNsw2m4YFq2qIjKEc39Tw+i54f2q - 2vd9SunkyVMvfOGvHzlyZHt7u2mavu9L0Vprzvkb1+Bk5z5/TgghhBBCCCGEEEIIIYQQQgghhBBC - OM/6vnf3vu+ZeTwe11p3p1w+BykNj6ugFG3bfOTI0V/4hV/IOb/pTW96yUv+AoDquce17WaKm1kp - ZXjddZ27d10HQERSSqPR6AKMhAvhwhTR3SGEEEII+8VuCtXuCyNwor52AIjIjc75UvPeLA0RiewQ - hyxDJSkZJRMxJmd2AOasQAWRu0OdnVvOIxm3qUlJ5nXa552ydvJjX3nPH7/692aTE1+efknXqvId - jga5E+xDiiMDaTe+8cw3+Cqgj5KAEnqsS8o77UMOPfwXf+yXDuNyTHM3q8YqjRtUVQWZPJ3v6Nk9 - cUL1ykwiCZp0oURk4+6m2278/NEbF7KNRGBoUheYgZx2E23JIQZaRtJCrbQ5pzq+6pIHHxgd1GLL - mx/hTrEs7+AM92tqXxPywx/0iEYbLpJsmY8+3Oo5t48ggN0AMzJdZqNCCDCltty6/cV/+PIH63jW - U6duTmTEBgDmXEHVuQxJq6uV0fCvh/T6884FLmJJnLOZeAUVJ03tqJs5dkb327j6p5/x87IzPoAD - qQdYXMgFSCAimMNoCAfGkO/L1ckNMLAR7+2SmVBR08R1sjhavvJnr33xV+Y3TdMJG2lnVZ0AHsaj - iYhQsq6Sg53YiY2Xy5BPOyQKwxkgXy4M7LcGQMmVl+mMjAoYQUHmQGU2EiUGBGAxJEPDDSPnnEl8 - ge0dPvaZr334r9/2ki8cu3Ht0ragM8PamszntTisoUKYlo5bsKDMcJAPbfYXPfMxz7ly4yGjsl7n - xsySxWDmNbPcM9/aTv/flnm+vWbkbCOeNY994BN+5PHP3v5SOZwvqYoe6AvgMhIiA8jGk2Eng5Z7 - eWj0h5enOwCn2wKCO4xgAuWKNS+ps1G59kPXfOprH+9G29qass276cb6ZDbbISJ2YROyZbyxsxYp - VXSfjU50RmUURg8qwLB5ZoTh1FsVDxvBCcqobpKTddo4T1Jjqj0vtuXkdZ9827tufOvo0rTguSYw - JSbi5R5iJwYAqoRKMIINZ/XyzDImT+TMxqVDYjCpWmnGOHmyv99Fhxe31Ydf+vgfe8Lz1vSwd8KU - TKBw5vQdLb1vm3PynMro+777h9f7ww23JHBGMZAjKcQSuwyVoNG55L7XvjZjWXDtR2Wn3X7t9a/c - oWM0KcRKTo2M2LnW6kzGNOu7IZDVyH21KA0h1rYbAAtgSO8mp2+ax3l38dUnDl/8W+maOamR+TKO - FgYYV6PKDBZaLBZ5lOd1XnjRXOpv/9jr3/LRv+3Gx6ydK2GUQIpGGnc3ggN+RoEPaxyuAFx9kls/ - xY+/+kkPvPzBvnAnU6kA2FMykaHfRbafupP7FDvj7AxgHkKgwU5WrQIgonOPbT1z3QRenknGABRM - IMe383vEC8fp/g85D7+2XJ3+RDT8tpQFtfbM5HohHvtGZss+PA99Y4cAAheytEzOBsRd3MVBJq4J - gAgzA1bNjAjVu2k9lS72j3zhfW9+z2tkg+bdTk7tnjeI4HRWPbbM7XYATKsdutvV6YBmDd7Dt/3q - ww976sOe7idTW0diDDIjc4IhwRMA7K/OTLj3GZ7kOHLkCBHN5/PJZDI82NF13a/8yq+85jVvGKr9 - rit933/TKT/O2TBlyTAdSa11uB9SSlksFgDcvW3btm0BiNxD1zUhhBBCCCGEEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhBDCwMxSSkNWt4iYmZkNY+KGAXGqOrxTVZumuaP1DIHfAHaTuYkoZ/nHf7z5 - V375X3eLsr09XVvbYOYkd7iSEEIIIYQQQgghhBBCCCGEEEIIIYSwbw2PjqgqM8/n85TSbkL2OVA1 - d4xGDTBM3Y/PfOYzv/qrv/qbv/mbACaTkQgBOIfIgKZphpTxpmmGZ2OGx2Pc3czath1emJmqRmRA - CBeISMsLIYQQQtgXyHmZtnXmD9ndVbX3lQvtUs3BiqzISmRkoCJexEsyTYqkLEZiy2hPJSuinU8d - yt6mOubCqGZmM5vWzcWJ0a2v+chfvPTaP9pqbz9Sj8omOsz3FmXqyzRRA4zYCEYGMoKRG7stAxvB - ylwpVU4Q1oI1WR93Fz1o81Ev+LFfWZ8fXNPNRlPDKUlj4EWpphDi5Ptu/zpZajKZC8Q1UaJj9avv - vvGak347xuZZnWslNwEMZA4yp1Xmqg+RbGzEYE+ceN5+z4OfmGtLFUQS6d13yYGcBQBDmtSgshf6 - rvs96KLRpbzIjDxUCWRQXyYo72n9Zx5wynWIHYVzBvdz5aboaPquG948zSdP6RYaNiwDRZ0AGKDL - hdwAX0YGMjuvzoXziofscjFKymxMAFCNrO/79fFBn+dNu+jh93vcsx73bL2d13nTFBUOJmIGbFmv - gsnAttpg7p2LExx7juatirxGJxbzvpkd1c/+57/9v744+9hi41QdY6Y269XBAvHeUHXSNLx7ypMa - q3ExUicbIhgNZCAn3n8VAwAY1ZrmVTonGISsFRMxEJRgAMwb95F5A2dQD1R42/Uqa9jhr3UbRz96 - 87V/8prfn7UnmsPpVD21NbN2AsC0Yn0t971ykwpjXiCMDcl+Yvysx/70E654Wj7VNn2TkVS9r0TS - ZElau/P/lVcBxqvYZ8DYoaUmzy3G69gcdZvPesJPPvEBT8PxpkmSRyBGLWBqskAL4EboiXoA8DRk - u5KDYN+QIcsAk0MaqEMdyKjSH+uP+boex9FXve8vjtCXO+5qtUlqxExEKkiJjSCOZMTWwnNNXZ/m - RvV8F9EeOcEITm5ntcW+DO0+u1NkCm9Ho9L1MOv6qec+HdBrP/Kmt3z0jbjIj+txjN3FFbXrlAiO - 5EgOdjJygECroFBysDNbYk/szM7kaSzsFcaex1DD+ohmR/sHX/SoZz/p+fcdPdS3fb1pyHU+W+Rm - UirOa3T0+UZOYsLz/PBLH/PoK57EJZMDDCKIga0hJ1pV434O0d0EYlDSzjAjnbfTj3357z/6j++u - o5MLnfW1CDXjds20dP0MTAb3IbIaABnInMzJnaBsyuZkIFu1L8zO571eHD7xrPxlBpafvorIXS5O - MK5OBs/wBmQmXRGt7A6u87pOI5rVLKlr5u/47OvfcOPfHM+34HDdLuZAclCFqQ5f3PiMAt/dBY7x - KPczpNJc2t7/ad/zI2k+Eku2LCsmFzEmJyNTtnNIW7+g0Or8ZXxdzSvu7slmZUrCBCECn0NpOtiX - 1w2rHxlg7ro8dp0JBEV1i+7wXXBepUDX3XqAHENHjoicyYBFmRL7Kh/6QkIGUlAx6o3MyI1gxA5W - YiVxiCPB2YgcMDDL2IjJi6CyivaspVfpaQNbcvuNX3v/3737ZbSpJxbHRxuT6nvsPAyh3bs9XAfZ - qt5e7rjT2A0EatD3GPNo0h949pP/u0N6yYYf4IWwkw0VIAB8fRx4CN8S569bJDVq2Ng8SJxGo8l8 - 3lXDkaO3P/e5z3v729/V5ARHSixCRDSEbd8tmHmYbUREiIiZVXV4LGN4ymSYvgTA1tbW3fWhIYQQ - QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQwrfojsbBAdid8Gr4ec657/s7Wo+ZEVFKpyds - KaWUoinhU5+66YUv/HVT1GLuNO+L7Y7ZHwxj/Fcj/UMIIYQQQgghhBBCCCGEEEIIIYQQwv7UdR0z - z2az4YGT3QmW94oZ7nDH8DjK8LiKGa655i07OzMAs9lC1QEMAd57MkwxTUTDAy211lqrmQ0/XG0A - M7OInNv2hxDudSIbJIQQQghhX2AH+1nRbgBANC3T6tV9CIu+4KK7bRXZaORDnK2fHU5MzsAq04vM - yYhNxJnZzNXgoqWZzscnvqqf+//e+Htv/eTr7ZL+JE70XCYHJueyTT6kdQ4xjWa8jG4VNzFkg9gy - drQylNh6pD6n2eZVm498wXP+9WRxcMM3faeIM0PM2ZyJk+SGiNTKftvB1WCgWoowmlG2pnzh9k99 - 6qsf6VNnjavN3YfbFBiOzd2oNhrCm4cCIzCjzssGH/7u+z061zZ5qrUasLfo9AtPXxbSiDCXUszA - nKjSet68+vKH5NImz2xgJyKYKZ1TVuGwm4AhLtScQM5WPSf03ms7PzL/6ns/ca0coMq9E3bzdAkO - MkIl1NVZyY60TDMFGEZ7jBLfI8OQyLuMUyX4atuIvPrGaHNxsqzVze97xA895aE/KNsjqckVpuTu - xqjsTiASeANrlvGdZM7Vyc4hIJAF05mPJnyym+razna+9b++4UUf+PI7T7a366bKZoMkqkqOBDct - IB0W4woaPtSMTAlKy4RCW4Ybw4D9FUZJviorX4XpJkDYaUi3XcU2ElavCnmXdJuP1cPb1974d696 - 95/ToXmXp5p9UXy8BnXMZj5qkCFUAZgIyIAFmu7Ao6548jMf/5PtYnOsrRQjRyOJILWamTLzeT7e - 7oinlKwa98x9e1Au3dDDP/0jz3/QxY+wrYQFxnkN4FLNwbVC61AiBgwB7ctgV/Kv33jeDU6uIAIx - iqKSpTG2dcc36henn/mb617etzsmvaM0Oc/nXW4bG1pFh1gSbckyYM4VtK+OICIXuMDJaXnm2plJ - n45hh7Iv2/1EqetKuz7qae7rizLZevcnr7nuE2+d0hYmvlAzMtXiBE5Qh9Nu2QIEWiXoDsVgYFud - X0YAjDkxkypcQT3G/dpFdP///un/44MueoTvyJpMdF5YXYiEm6L37gBRBqzHumyOyuYPPOqHDsql - 3BEbiLFbkQ69Gaxqnr0ePZTQFaQmGdNWv5MO+TUfeNUnvvoB3yze6qLryLlNLZyaPErNaPmvsEzZ - HJKVnRyAkxmd3gByvsfuZ36r39oZnmgZKH5mFD25YpRHIqCm+mT23k+//eXXveREe7Ss9zMYJ+SE - +QxtFnZzV8Cclhnz7ABYAQOKAZ4m1NQTeNqjn3H5+ArMKCMDMHJyYmOyxM5O7kM+b7hTtCzhJV72 - XcndKfn24hQABiXi4Vdoe135bn9pYASQDUnhDLg6ERnQdXOwR9T6XWIMjcKwL5Zdi6E9dXJFP13M - nInMYfusuTv/lg0l+TLdHO5kSruX0q5sxsMfYYwq5gSYs1JSyZzQio7rdHTb5099/KVv/pNZu3Wq - bo02RotuB2dffd+lZZOxm9491NjOcB56tr77t3T6VEEPTMdPffQzHnzxI5v5eIIxKS3nWXA+e/3x - +6zwbem6ru/7vu+7rpiZiHzuc5973vOe96UvfQmAqgKo1cx8mE/k7mJmKSUiUlURMTMzq7UOU5kM - WzI0NwcOHDiHdieEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEL4Ng0zIA8zKQ8j4AC4 - +zDoDgAzD+Pjhr/6poZBczlnrKY/TimllFQB4Prrr/+t3/rtlDJTqsVE8nJEtscA0hBCCCGEEEII - IYQQQgghhBBCCCGEe422bQHknIc8bAB38jzJHWGGGVJiAGZgRkrctpkI7hCh3VUyY5mMtRfunlJy - 92ELhwdasHoeBkOwkXsp5e6djzqEsJ/Fk2ohhBBCCN9hqyhc+saMMSNsT08WKsMfh2u2e3jzvtOq - c+e8MOmUVQnKqJwqc5VlcrayDxFl7CwOMZCbo7OsPkmLNb3Fv3jDiev/8+v/n0+e+MB2c2oqs7yO - 8RpOHJ2inMMm8RCjq6tENCcAJo5kSMriDDIjq2JG2JTJph566MVPeP6P/dvR/OJ1P5QKxAxqpqgm - hiy5JXIbMtr3FWdCIiNUEKu3i6Pzm9//6XfPZUeTGkzNeMhO9mWo5irtmGm1O5bB9O5U+cH3e/gB - vjhpm9G4u+2zJOJ9h0xhquru7FyLCQl5oj498srHTGxdTMxg5hBUAER7jX5k202jxzKNnirIxJCS - FMeCi2/Wd9/wjhPl1gW2dkOah3hLcjAMq4xSozOy+PaY83dOjFFABTAHDAme4Zld2pRrWaB2LYlO - 9XC+/FlPeO4j7/ukAzg0toYrXB0MCJS9gtxbeMvWiC9jBI2rUd3bV3AQOCfpOjuwieL9PO1sj7/2 - F+/6w7d9/pWfWXx0PppXgZllYiHUMgcV486oOKmSKZuyDamrTq7LxZS4ECvdc2m13xInMRZN7Fge - A87wDGvJMjkzlKgwCsPgbMxd7vqN+anN2/7qPf/1bz/80u7QiS0/NiszdyJiYnFCbuEVixOLg23L - brbAQckH/ZIHTB71c8/6Zd9qcmlaSbAeVkWEOaOSu7Oc9288HPxnFgHIQObuWuq4WWswKtuQWXso - Xf68p//cgzYfTidb7iEiBZUazhnjBuJgwNmUTSkZ0ipienfNp08fNmhBQyCCGoiRR+yEHd+er3Wf - vO2jr33PXy2aY9N+2vXW5LGqOhfnCjAss4lYEktivL+ypp3hLbx1tPAMkC0PbwMZwxjGDl6dkuwQ - l9L1aNFNutvSze/78lte96GXn/CvTA6Pt7ena5OxFXNzEXCL4rtnrwGr3O5VELVjFSBK5lSdelAF - zKoTgRUXpY21+eFf+vFff9CBx9Wpkltm0XmXDWu5LUouzf46H/fKOXmiKtTzAy65+nsf/IMbdpFU - iEEpKZGTgnrQsqHea+PiQ+66gCy50oED6yfnx47jyJs+9Ldf2L7RD/bWlL52iTKrqGrf9wCTEzvR - 2YuTDzG0p7fhHhl263RmAvddVL/kKdeJaAvqXKZGCpekbVPb5E3TNMent0/z8Ws/9ZpXvedP60Xz - U7I9VVOFiDAxDAKhRE5qPKwQ7IA3jqTUVErE0s/rRA889LJHPukhT+Ht1PrEK7Pvs1P7Xmm1o52F - krub6NZsy6BmYE7+bZUwrw5dA8wd7BAiVQfYoTuLaUSt36VV43u6oMhBDncHkaEstJ8tpoDd5dn6 - TxXD2Jfp3c7VSZ1LlVJFK9eSai/aJ+2S9aJzLExUvJWaMnFuuE/9Ubvlg0euffl1/2U22TrW3YbW - JXnfa6JzL8+h8WU/vVOMMISI7y7k4AXWffOqgw/7occ+B9t5TOu1LywGwIkBZoBRCfXuKazwTwyd - vhq9KwzwaDQRyW073tg4MJ1317/3/T/7sz/3ta8dL8WJTj97IZJSSnfvlg4zjAyPj/R9T0RDkvfw - w+HnwwMcIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQwj2slNI0TSllGF5XSiFaDpMm - orZtRURV27YdRsbdkWGO4/l8TkTMTETDBM3uSIlrxTXXvPVFL3oREY1Go1LKMOAUiPTuEEIIIYQQ - QgghhBBCCCGEEEIIIYR7DXcfArCZ2d2Hp0T2upKUGgC1mogwszvVal1X3IeZnNkMANq2NTsdvP2t - Gx5cyTmLiIiUUvq+d3ciEpHFYgHAzHLORDTMIB1C+CcvHlMLIYQQQtgXyHE6tJMMMCNzsu3Flnrv - 7gCDzKEXWrQbeyFUggHkxIqkhMpWWYsU5eJUAZCLWBbNwgzqF7SY5p2T7bGb5p++5pOv+bM3/cGR - 8sWyNpMJetWuw2KGzfXU5PSNiel3xYDTaY6OswMTybBMG+Wk3JactsdPuuoHfuYZv3gIl6/zYZ0B - irZpUmpEMgCDm9dee1XNOe+3LOtEDSMLc7XFKf/aF4/f+OHPfIDWyMjhTgZhbgFxIiLjs1KzhlA9 - AAC72iiNH3HVY3jeSsmJc0ppiKcKd8SApmn62rtR27bDzSahxL1cddnVY18nS2YYbhu5A3s/ms+w - TO8GATBmLgulBBOc7Le27PgHP319n3eM++HNQ3q32JDhDcYyudkIvkypvAeiuwEYqCqrMoxYKcET - WXK1xDDvmpYTGizyJc39n/uDP3+RX75pBxptxAgMTzBGIR/GcdEqLXU1emyv28/wVHofJdQKAyph - 3s5mk+Ovfv9L3/mp133u5Mfm7Umf1N4XBX1uMwA4L+sQ8mWm8PAHGnaGOLGfDpHdR/U/OyfNWUWM - yGFkRubAbmomwcgNpEZqbEW6aTp2jL/8397yp9d9+u1lc3F7P9cGeS1V1cxNKVp6uCMljNtUpl1W - bDi10wMb5X4v+Il/287X19K6q5VSKElKqfZF+64dNU3T9l09z/G1w/c6KyjXyYyMCKPJeD6fa2/r - zaZ1aQ0H7zO+8l/88L+6avyQtBhlZ3I4QSv6DgDIYcvcaNvd7KFBMbLhv8MPCUgMVyRHk0CGkydt - NGEwqvR9nr73M297+w1v0EPdPM+oITMTN3HDGXHLYpI07bMKl+HZvYU3hrTK7cYyrtshDhkakdUA - S4emsdzW3VYPzm+47cOvuP5lJ+lWX++3F9ttM/YKV6QEYpQFiJZRjrvJ31hVkEZsYCUoQdmMbYg1 - L7UyyYRTmqXJ9PDzn/W/XJoekBeTzI0k7hfdofWDUgmFzCvJfjobzwWP89p8u2vyKGnz1Ec942K+ - Yk0naThQyY3rcHif8wekBCuA1UQ8n8/btbzg7kunbnrVu156c//5fjItvHAigJmoYRGDWKJl0nxi - T0MTQ07DOTKcLwY478eyJ0tiMhzAYswOcjJC4f6kHysXTV//4Ve9+j1/WTZmfZ4uvOYsOY12trX2 - NFmbzBa9ek2Zseo+kfPQqivBiXNus438VPOjT/yJQ3zxGOMmjdgFEHZmX20FGKBvqztwYfjG+nA4 - qgji7lV0p2ybmbsLsbvzXor069+8bNjBq54aEQ2/6nNgURaKOxudHs5kdPaVlzMApVp0tujnBse3 - 2R2+N3ImF7IMCDutju6h01iBamRG7mRDS6hsigUniIg6FZQFT2/Tm7+w/Q9//Y6X3IavbtlxWSel - ftbN25bd91agu71acgC824jbsodjTthdAIjyuKytdYee+qh/dlDu0/KmO7GQixkvT42hPtyN/L57 - yi1cqPq+T6lZLPr5fH7NNde84AX/86lTs+G2hDtEllXMMA/I3Xi/gohSSmZmZovFQkTcfXhcY3iD - qjLz8J64TxJCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCOEelnMupQxzHHddNx6PAfR9 - D6DW2nWdqopI13VN05jd4XjPvu+HlQwTNAPYnZe5VmtyY4bf//0Xv/SlLzNz4Qzn3VlKQgghhBBC - CCGEEEIIIYQQQgghhBDCvUIpZfeZkCHDW3XP8+33fT+Edqvq8KjJmRHgQ4ZX0zRd1zHzOURru3vb - tqUUVa21uvvuYy211tFoBEBEhjzvc4gGDyHcG8WTaiGEEMI/TcOT67txL7vXFWEfGsYi7KbuLcNZ - yYrW1MixU8c8GYiGvXmhRfgwkA3JkC0JNUStcaqgDkVzLbJQ6YirV5XStHaQdY3Ve1/Utbo1PvHu - m9/0Z9f9v9d89jWLzWlpe3Wnao1ibM0ITa1VfY9Rr4TikASRIVuRGdmQlFLnpskLmZmNpM092jmv - zyc/+siffPZjf+aK8ZU0JS7eNE1Rq87VyHzIFi2GnsVZshr2WRedTYmIVYum2Xx82xs++Ip0oJ0X - EwDqmRnFkoGrgaUSOS2T4pxO53YDICMq/MD7XJ1r21Dj1VS12J5vbVxozCozM3Mtw60ignpGs04H - rrzkQbrQnCXn5NVSwh2PbLpzZ49iIoBgrpyYmJ1JxrlP3fU3vPX2xc2F5hCICDmTkZhAkVzgu3UT - G8HJd2MC745iuEPD4aZcq9QipgRA2AUKIne2zjoioiq527iYr/iFH/3ly9OV475twK4wQg+YuPIC - 1LFbchEF27mM6xpiZZnZtSFlMrBACSVVOzB/7+ff8op3vfiTt72rm0xnad5xV9nUEtOYXMycfUip - pcQNNJO1ZGNYC08gc/SOfu9p4ueROLd11NZJ0oad3bVSUSmeHFBXE2JiqHWVu5K6vnZRtgAAIABJ - REFUbrR1S73xL9/x4o9+6b227nOCZnBG0Z5Y1bqmSVhm5nHR2mRaxyjvjA+XK3/px1942C/nLpFX - adUSG6VqQwZeVZuVaizNbjzkeUJO5ETOvExmNcABU7a+9pIThGu1No1rZ62uX73+6J/+/hdcJvfF - DhqX0lUwuGmIyRwsyA2Iq1qvcDDvJlkOeclGxs5wTg6uIIVUwDBpUXtjgJUk06l07B2feeM1n379 - qbVjXZq5Vl/4mMeALcqOj1xE6o5lHZGl81o+e8SOBG/gstpxy/6Pl37UNFCqnSZKzMlcFNTpvKZF - 3Zxd9+m3veL6lx7xL9Mhm3nHvPynQskdrhBCBtgNqOQ29K/IAYeBqzoS967KJpnqkHGewIzkrZ/I - l/GDfurJ//LRl//AOtaz+5BBnzL3i058JJbIK6gD1e9oAX67SinNeLTQzowu5vs876n/gk+2TZ2o - W+FCmXpDAZCoVsgeV04OK0gM9kq+SCx9cbTcN/1NWze86l1/dtS/6Id0RztQSk5USpaGjKiSmCRP - rMwq7Lvp3Q4sY1/PQ2F8E0NnZsiJPZP7MnRZREhgUPUKGIupaqrjsW02BdksJbG27ky2vuSffdl7 - Xvy6T/zVYnNRRzrv+rVWWMV7Gjdjorzoe2pgjGKmilayV4iBWIHaaw+B9z62tSc86Psffp/HjmzM - Kqqq8CHsHHCQKi97X7TPepP7lPMyB3gZNWwAalfbtp3qztbihKIS0V5zi89gw37wM44iIsAARU5Z - hOZlvijbzsUvuLjpvSFncj7jqs2H/eUEhcuIj548gkRVlfkCvPXB8Bbewlp4w57ImR3sxmYJEHfU - YqrCLMxknsnVFibaZ5225TY++r4vv/HP3vCiU3Kyz2a5GikxBDCyc4iWX+Z2O9ix2hkGqpSxKCAB - GERgABWNr6Wt9Wc++icec+WTRr7JlotWb7zQQrkaGTnYWQxiQ8tuiPTu8C1Y1d5MJMN/a61E5CSz - RdeMxi/63d/7jd/434Y3795nUz2rNr6TqUPuxJDAPbzefeHuQ+00JHYDIKIz17+b4X2h3fQLIYQQ - QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYSwT+xOQNy27fCiaRoAw+TIRKSqTdMMed677/+6 - NwPYnSjZV7AceIqu9CAQ4d//+//7uuuuU1VVTSlVN85JVYfk73Mb3xdCCCGEEEIIIYQQQgghhBBC - CCGEEO4B7t40zZC0bWZDKvbwV8MEyyKyO1387tzL35SqDg+KDGsws90XAEopw5Mqd/kwCa3gjHmh - RaTrut1t3v0gItp9D4CmaS68ye1DuHDFLPAhhBBCCPsCOch5SK90csCbJnW1W9jUUgX56jrzwhpa - QA4giSUorJj2BVWzyFrbsGoimFWFNuMREmZlXqV2eY4D9Qsnb/zra//8b6778y/ObqTDdZbnVYAh - KVQhQ5DYOWXkNQ1UUTpDhVgSCDObuDew7MgkIv1W385H9+UrnvO4n3r6Q3/sMnmAHnN0yCJEZGBw - 8iErlCqgWKa0Yh/2z4mo1Jom0NHsbR993c07N9VUwAQksWV+bTKILTNssUy0XVp+H2eh5pKDl63x - xsgnMCHH7m2LcOeGAM4zwgqZXSZYu8/B+yXOAFyH+zv4NoqTbfVZw/9Ehr0jffWu9Jbrlt32zg+/ - 2UadSTddbAPI3Ki6UGJOX/fJNtRj5z9gdTjYnNxJT0e6Og9nt7I5mRPIJGnb9uvftfHdP/7kn96w - i9u6LopuhslkVK06F5fC5O5OznSu9QNgTgwIvAEAX0aoQrSmnZvnn/uT1/7uq9/9F+XATl1bdLlY - YnM4OEmTUgOFdeY9xES0YUviLA5yY1KmPUcnnl/Oqu4KAETEiVmI4W69q05GI6hVK9qaThYn05GP - 3/K+l7z+D2685UPadJ6smhPBmauhaMmNLGY1C7VZdnbMCPDkJ+Uiu+/P/7N/dcgvW6trG2ls3s/L - ojAXYicGGUgJZgSjc8hb3xt2nA6B3i0GcidTHrLqV3eEnVNteSs9/oon/9wP/+LFuM8mXbRGa6M8 - me70Rd0I2mE+hRqahiWn4sO3wCrAe9kuDOnd5CzKbBDFkF4pxgnUl0U+1BzF0dd+6K+v/fQbZ6Pj - mPSjSbuYzdXK+oFJKV1XFocPHibfp/XtkGjrZEM3iBzrk7XZ9pxZRqOxAkoobjWpbFBdm77n029/ - 3fteeZveKgdpWgsYoMpQkA59JPJlKQ2ZvMMxsbtn4NyM2umib8eNZJ4uVARC8IJWJjZLl4++6zlP - /tnvud+TZadZz+u1HwaFMps4BCCQE3qiwvfyLhkl6WtHAjZuutEDNx7y9Ef9qG3lkYwdWoo2LZOg - K35gY1L7PdaKDjImY8aQiGwAHKxc52nrU0c/8rK3/MkR/0pZ722kVTtJWHQzYk8pkcMVCUkoW/Xd - ToWTD8H2Rrbb5bjnNU0jQrXWxWJWa2VBykyC6n1KwsreexJxqVv42u38lRtuf9+fvvkP33vTO8f3 - abSt075rR6idsoEN5HDA2YwxnKOTJm9vlSzCDLgCtrmR67ynLh2Wy579fc9NO2PuuOWGmYUzuwBE - Q+w9uYEBsNNwTRHuAH9d+eyezInFzFxsVneUjRnqlZlt7zUoLWues9om1WVvzcwMLpm2ZicK+nug - y/RPgxPOLCsi5kRd3Z7XKTIZgYig+6y/dP452IcjzYkcYhCHOFpK3hVR3xxvbow2dKE691Ee1TJn - KVt2omz2t+IrL7/uJa//wCvpUFfy3Lnurta+vVqEl8c/ABt66bOZHb6kWRQAsAoqMqIJtvMTH/i0 - J1z5fQf4kvlWccrctIvaKatzARVe3ipJ5EwwXl07h3DnUkq11pxz13VDWnZKiTk1TWtmv/Zrv/ZH - f/THWF1E13p3HlTDkyIiknOuta6vrwMQkVKKu5dSdFVNRUp3CCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGE/Y+Zu64zMyLq+56ImJmZSym7ExynlIa/uqOViIhIxjCyD+yOf/Nv/tePf/yG - 0Wg8n3VJmq4rObXj8Xj4oHvu64UQQgghhBBCCCGEEEIIIYQQQgghhL3YfbTDzJh5iME+M3JbVYeE - bCLS8z9vfErJ3XPOwzbUWodw7t1A8dFopKpD0HjMCx3CBS6qgBBCCCGEfcRpmWFpZCTcez1+6piL - GZm7O5l9x5IKvzMcqWqu3jA1Lac14bF7WvR+quM5xlgbpw0zntX5lHbm4635+qmb7at/8+6X//Fr - fucjX77W1ubWlGk/H9ZFQ5CkM6gH9QDD0167xOakiuQ8ojaboJrXslCtDeZA514LDvAl95Xveu7j - fv6ZD/rJ++UHT/oDbZVxEnKvqkpizEYOKkPo7BBXOqSa7bd0diNQqzM5ccvspms/8qZ0yGdlBwBZ - giesggnJ2dmMQEMOJQ2RycvvQk7kcsVlD2h4nLiBmhOInM41HvmCs8rcHcqLLKXaXnX5VczJzMxs - iMk+l5FHBGC5p2iV+wfAydVVqyfKAJN4SbOPf/HvP/2Vj05xotmknW6b24Ypm9LZ0armZENQ6z2y - d5ODncy4GhdQAZRgANkyZ5l3E+WTNuv10MMvedzPPfN/GvcX5cXaoUkz217kDGGAfBlDDcB5+BJ7 - PELZiA1QVmWDJzE0ikbhC7Tjdqtu06V+/Rdf/x/+8rf/4baP7sipOc+UvbjN+1ILNTIZ5/WGmuQ5 - GZJBzMQtuydQwv4aWmZkaF0brWzFTdWomqjmqut5ND+1A7CKl/X+KN/yuhv+6s/f8QdH6pcxrrkl - XfQ2BysITAJqxIVz5uTJOm0SRpNUF35R+q6feMr/8LDLH30obUo1NjUzbrMRjIb6xVbLPWP3gGCA - ADYa8raHTMq6yo+34R1jHtM2X735Pf/yn/+75uSm7Iy5RztiJ8qpaXIeCRoC3Dsr9exPsmV6txkZ - Ow8LeVpGVzqT81hy6XTW9bQht8stb/7EK97w8Vcez0dmvMPjlBJ302mCJ5ZTO6d03+VcGlBBPag4 - 94Dv7sfpdL62tlZKmde+tzq3Tg6kebO4zW957ftf8eb3v/J4vRkTn3XaF7StgMykd+6dK8CwJLux - 9wCWlduyxXdCV0s7FjOrfWUHKRpQtlRO5cPp/s/53p/93gd8/ybWNvKom5WUmiEoHTZ25CrFpGOo - 7Lfi3DMzq8zwRKra6OiS5j4/9MhnX33oET5Fyw1ZAsQMoxFOnZw1Sfa0dnYWbcTS8s9UQXWoUWUk - O3TyS93n/vi1v3ur3TRvTxSZFV00a6lS6ercyZlZVV1t3IzImXyojc8I7D7/x/OQt3y6FVlZLGYA - UtuktnH26tprKXXhXlhsPEnKZbvM+/V6JH/+LZ/7m5e99w8/P/+EbWBRdTotbkgsAmTvBQVUwL2y - GS2/W+19fb1l5m5hQhiPsH2sHGgmB/nQs578nPuuX9nqWvLWzIpWMAHLfteqIjKO0O5vmQ/L0GsF - ADCLatFcj+3cblSJyMz2/Bs0usOrC3cwk4ENrlBPduTYLZaq77sqep8hc2DZv6ShZQQAOIOp8+nx - 2W1gGJxo99egFxAjNVKQEpxh4hDjpAkdJrKWrZkdX8xP9C2tjXi9zmxzY32mJ/1w/96vvvMP3vgf - PnT0+m69O9FtE6q4iSXSBIfTctmb0+X//7N350GTXeWd53/Pc86592a+W63aEduwyoCFALEYIcDY - YLCNPYb2wpjpcXfbje2Yjgl3x/RMR88f45iO+aene6Z7HNgRZsZDeG2wjbFssxiDsDYkQAsgqUpb - aa1SLe+Sy733nPM888fJzPetkoRVQiVK0vMJhaLqrffNvJn33nPPzTfzfpnmpy5l0G4GOHGiH9bI - HZZ4SBPf5NUXrLz0Ry/9yfPqF4dUD6qBEtoU4csaTrP/lKEB6gEFpce9W/N8N9/MFmLMdT1IKTVN - Q+RSEiLX9/2hQ4c+9qu//hefvUoBURCzKOqmUTxtr7VVVQUg5xxjrOt6NBoBSCmV4cl775wrb9F4 - mu7QGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMOVNSSsxc1zXm11ZW1dm1bohyzt77 - uq5TSlVVfZfk9vwqzOy9zyqh8imlX/3VXz1w4EBJgy8vL4+mkz5JF6NautsYY4wxxhhjjDHGGGOM - McYYY4wx5ixW3kBSohIA+r5PKQFYWlpafE/TNKWofaYXJqUUQuj7noiWl5fL4qWUyvtbAEyn05xz - WeCynMaY5y2ruRhjjDHGfD/tzHxiluqcfbFPyQV+6Oj9iTrVDAjRU0rzPstxFUAcJWbpSKV2PHCu - cfXuld1bW+NJ39EQbb2V94+O1ff+9a1/9J///N9fe++Xt8Kjsd6MvOWD1BVzaUuXAiOV3PQs4316 - aV5FziAguCpwIFWWzKQeoAxEuMQDWbtg+JL/9v0fe+PFV+zO53dHEzpqqkHlfMk1ee+TZOWklAEB - GOqAkrc8u07RhSShm/K4HW5+9ppPx2YqIZMvhWMHdYCcknNjZYBLyxbzLZsUnNw5ey/kHJz4pKoM - Pu0Q4vPO4gkiAMrzJDZIiXpcsO8iVqhuFwrpMYXRJ4VQYoik4HIPiqTIBBEJzgfnu9RpnVs//tz1 - n43N1kY+EVbD5mQkjqqqSbF8PkoXvbRnqpnIMourAgAjgRJIlLPOU4EAAw7g8gymTdT96iUXXv5z - P/LfreFc3qpXmas8q0HKrN9ZHoMn5dM9ZWYFSJSScq8kALOABYPKTyZdteqPdYe36vXDeOD3/uq3 - P3v9H5/Q+9vmOK1mt0yR8qSP0y7GlJgyoSfqiDrSBIDEQQPOpiCrknTU9z4ll4QzoOzgmZznyXSd - G+nDGLvizYeu+/if/YevHPz8en20H/TZpywdU15uuCKKMSVBzDnG7OD7cXTiBjyYrKe1+rwrX/O+ - d7zmffF4HlIlMeWcmTxTAABSzFbWztV0ZgN4QpCTjxk7ErkiVP4vQrMdyoOCVGGy8rI9r/1nP/Uv - huNdK7o60AFFjr3mnqGVIyeiqgiBsWMXVlrUu2fDKVAC3tsPeTqdLi01zBxz3+wKx+TwV+78q7++ - 5U+3hic2cTy5jj2x01BRZsnIZ1kaVkAZFIG0c/Rg5aqqxuNxvVxTpdL0WImPTB4YhWN/ed2nvnzL - X59IDw12+4zeOawsVdNRnt3ePC/K+ngH97KmlAGI5BBc6qNmLIdQS0OTiraa/eGFH3jLh1538ZuG - aYWmFCe9916VSD3ghFgImXPmhBJvP5v2x6cg5d7XnkQ1w6uXqTtv6cU/8qafXNFdQ1mmnkkq76ht - UTnMu8anoXSvCwJIhSEAkmStsa5H7h1/++N/+u/vG3+nG4zdGh2fHpUQ/bIXn5NEZiaivu0ee8vf - 3yyvc041z2bmDHLwlasGXjj3mDwaD0+GW/3eydcfufaPrv5/rrrpTw5394VdKbk47icrK3Vd02iU - HZXjUwJtN5sJZZ6pzoUuxlCjDhgfw7lLA9oMb3/lO992yZWjh6c1DxxCilmFkooQ5oe87R389Ca3 - z18MkNJJRw7NkjUh5CMbj4BVKCvr91RXnQ0+87tklF/REVEmzZwfOf4QQj7Tx6/ninL4k3m8mXPO - USJV+tDRQ4myAAqhZ/fYfNqEBNQr9ygzYQDzk4LArvwaeLgyaFYacdJxJ1Vc74+c0MOf/Nzv/NGX - fvcw7h03m6kWrgGC0/KzDDB0dtaMp/ASxPYoJLP/CCKoPboxVvzQ980K9gz6tfe/7adeuOulrg1p - mqoQwJRVmDwRgZRRRjkoGCC28c08aeUdG+PxmJnLGyacc48cefRjH/u1v/u7r+Q825KccwDatn0a - 77rvewDeewBd1xFROWMfj8cAFpcdWbynxBhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhj - jDlree9LortccLl8Ys57Xy4IUC5n3HVd+TTfd/9cdvnXlKRpmr5PIjh27MQv//I/H48n3oetzfHK - ylpVVTHm8uk/Y4wxxhhjjDHGGGOMMcYYY4wxxhhzFooxlms+A3DOxRirqvLeq+p4PK6qCgAzlys/ - xxifgUUqV352zo1GIyIaDAblnS0ARCTnXJYK82tHG2Oet2wIMMYYY4z5/hMC6Sw5qSSkAkjSxJ6O - nDiSXSrJbiUQAPp+RwufQUIC9Ooyk4giSkqZKDshHq1vDHatjLC5yZsjf/iaG794zS1fGGMUnbTa - kUt1Q8rStVkzyAUQCUR8QmlJKhiAltjqk8esnp2HoMsdJHpPgV1QiWMd8LCOa6+58LIPvvXn9+Rz - 1uT8NNKq8upERLIgC6q6Aru2m7AXkEAZ6qAeAChxyZeeNTVQpRxdbOuNW+6//paHbkrL2rYtExxD - VTIBPNsmBQCElVk8IMqSZ/1jBsDKDtWe5X2cMQtNMwmJSHbEZ8/jPcvNtlUCwJT83tV9zE4Z5VUg - fgrjwnauUsq2DRVhUUIm+Ao5qqQYyLexnwbwMN974s4v3HzVD7/xg+1kQtR4DpkQ6irhlFe75m3F - M6zkjUmdE1DZqZFlNpaW/DZKElg4sXLj6rbVoGuvOf+tv/DD9MmrfmeS1TdtL1mgSiACsUCJhVVI - 3WnU1EgBMGNWWAcJFJAGQDfpQ80isVry462YMA5r/m+//Se3Hrz2za+54tJL3r5veBHzsutdJY6J - JE+JomrpL5Zod61gcDx76p5CiKTkhJAopQxF5qw+a1+thVi1J+IDn/3in91w11fi8nQy3MqMfpqC - 5xrCBCLRDBBCcG0vdQjaZw+/K+yabMY12vXuy378h1723v6YrjRLkpMQiLzjetp2LjCQyjavWkre - OPPPzDzrDgHKUZsAsJY7ZqVZ13veldQoqQrNkg6nW9NX7X39r33oX/7OX/wfU7TLK1XHfRYVyRBm - Vg9BEjcbDGcPpOyJpMLKsuPR6eLBekoSvaOUZTqJ1YDX9cjnv/OnxzaPfvhdH/XpXIyogW/bcTVY - A1jT2VUHJCQlBUkpFgMMdQJmsGv8qN/UJo/cSAfxeHzoT/78k4eOfSc3Y19jmkZQ8t7lHrQ9MJY+ - NABkLsnz+T3pvO9OApJB5dq214y1ptGWZUvXmr1L1d73Xv7hN7zozSt5RaZp4JucVVIkV0EDAOUo - 1CsLKTt18+PfsxkrqSBr4ysV1QhMB6+9+E3vee2Pffa6P1ld2z3uNjUQk1YhdF3EaX64VUpBVktn - F4zZqNgnkFOEPuPYw133iav+wwfe8uGXn3/Jnv37p+PxpJ1UOhhUQ1ZIgups8otT6rHKeEZS9LN7 - 3HGA9ZWLUVLqfXDESJL6PgN5UIeOJnlN79u6+3PXXnXz3Te0WE91uzSour5PCewBcsShcr1IeUKg - jFKlpTI1VQZz23UJqCqkDruqSo/Vr7vwje953Y/juF9rVjSpgMChqkIbe7Do/EjHOtvsZ8dD892c - dEIlJAAcIELMPE3TE+NjPOQoOXjOvZzu/k4K3jEElUC7EDxDRAVEjjJloXhidFg5iuWI/wECsIJB - olAAUr7CTiC+zoePHsrIYBIRZmQ8j86XAQFlkOCksyoWAnsWiVOZxi6hBhoI8hRbN9z6t9fc/ncP - x3tH9Ti6hKDjaVxuHHKGgpEEDPWAKKWnlu2enQguJk4ElCNxwmpVcevdtBmk1Z9+z8++9qI3pGN5 - rRpOYz+ZTJrBknMhtuNQOZJZiVxIwAICK+H51mY3T5WIVFW1f/+56+vrw+EwpXTjjTf++n//L44c - Pl6+oWmatm1jjIPBYDqdPr337pzLOZdod845peScW1paApBSKsuGeTjcGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDHGGGOMOcs558pn5QCISOl2lysIMbOIlCx3+fMT3Iaozj7c17Z9VVUxRlG9 - ++57P/rRj37qU5/y3o9Go+GwWVpayjk/2y/nYIwxxhhjjDHGGGOMMcYYY4wxxhjzXBVC6Pu+qqqU - kvfeez+dTpumIaKcs3OuvEUEwCKe/QwsD4CUEoCyPABUVUQWlfEYY13XZ3RJjDFnP0t3G2OMMcac - LUhniTElUVKBHN86MWm3sJKVVBVMmKX+njdYEWNyrpzHiooKZXLgSrN0G9XGg5v3XfONv/v6wavH - fNytdNM4Tg6+qkmp7WLu4BjeM5RkOx6GTCCAZ1nc06OqgPTooBpqIGuaZhfDHren6daufP373nnp - j9Bmvcz725HUIbiKutQBADtWpCTORedKWZGhVCpmPMuy0lnVsVYSHuYj4wf/8to/05U86abOwRNE - s3AGhAisyAyokJZnlYUAgpZkHs16xh5hGJYYjhTkSEgAUdVnffr0zGMlFl7kqEvb0ZGrQ8PBs2cR - AEoEfcqRQipr0APMGdn1SVENoFnTNA2aSrzrOU8xDcv8hRv/4gUXv/IV+y8dYjW24lREMjEAKWFF - qCNk0GzbPpNmI6ITLgl5ACBRACQCT2VvUmaIUirx46Ff2mjHnpZfvf+yj7xX//hznzi6/lBYDpH7 - hAyCIzCUkAAi3VH/fRLLQ+jnEWIIAcRl0XwVMqdpJ6FKa7t3tZPpo/0jy/uHJ7r7//Ibf3jj3Tdc - /tp3XvrSt64MdvdTv+QGjMQKojJssYJVFTjrPlrmGQpCJgF5x1y5RNLTdJ0e/vod133l5s89Gh9q - l8dTmlDF41FaHg48k8bU9coKYgSCCgaBgyOQNqGeHOt3hXOufOMH3vKqd6/GPV3XVcN63I2Da4CQ - EypXQXugVKhZCKUuySXleSbNUsRly9ZS7y4bWEnIMyCLbjcAUc1ZYts3dZNG9KLll330vf/0z776 - x/eO76ZGXC2JpI0pMAdyXZe9K3npMg3Ycb8QBi8e3XyblNDUo1EXAgZNNe36lIWqLobua4e+cuIz - Jz7yw//s/OULNjbjvtXzJuOeKZxFRxeUirMShBVCDCVWJvUAlClKLyH2fpSHoxtuv+av/v4zE17v - ltc19CkrCbyvu7YTwXAlpBihcLMpFIQE5RAzzyHvGIuEIF2P4DFowsYj7b56dx1WwnTp5z74j1+5 - 9/W85YOvOkVOXNf1aDQaeM6UAAglJS0HbiHmZ38U1nsfY++V63rQ5xTqJY25apd+6JXvfOTwAzce - ut4vhZRTXfuu65nLc/tkb3y+FsDKrEw623ozIQNLS4PReOp8DsvpgYcP/sHffuItl7zryte/e9nt - XlpZcQmpS5rUc9WEppMOOzLLDND3dabU9z1IfHBgFWQh4cAImNajw5MHb7jphusP/P3h9r44mMJF - Jozbvmk4VDzt0ng0qYJ3wWnMSpAyWSpFW5215xWAY+8wadH0CLJ0wdLLf/LNP79HL8xjGi4ttVtT - co3jkKM45wQpE2i2B8Ep9Gw7VJytFlFhJVcmV2UbI88PPfpgJy05hYBIicpofzq3Pr9xIWaUeP1s - L0hJlRXMCijlSTfeHB0f0K6n6WE9tzEUQpEVgAPAzMzYmpw4sXk0DzI5liw+gPMZnhCcTcq2NY+/ - z6bfSlCSNrdcU3J95Kk2eaM/ccu3v379bdc+snVPHraT5oRbcX2O04kuD32KabshTMKQMtPS7Rs/ - DUolrn7SemDAE8kEoa982/zYFR+87MVvqdphRU3uoyOqqkqzqKRAHDIpvBDKaAnNDAjK1PM5cBA2 - Z1wIoW3bjY2tvXv3jsfjr371q7/xG7+xvjlWIWYvkhbv23h6u93e+5xzzmUHKi8fwXsPQETKu0a8 - 9yklIiKi8sYRY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wx5qxVLrVcPivXdV1d16Xe - 7b1X1ZzzKRnvJ7odZm7bNoQAcIyp/MiwGdx22+2/8iu/8vGPf3w4WO77FkAI7jQ/3W2MMcYYY4wx - xhhjjDHGGGOMMcYYY545RATAOTcajZaXlweDQfl6efcIMy8uv7y4XPOZE2P3zEpbAAAgAElEQVQs - d1cuAR1jrKpKREIIqlr64kRU17WqliU3xjxvWbrbGGOMMeb7TEm2a1gkQupK8pj5zgMHMrIgE3EW - MD3vPlVAykuuzjmnJJlVAnrXT3lzSht3PPTNG7/z9wcf+naqe6lih06ikieF9n2nCmb4Bs5BVXLu - oQA8pAEASkopl0aqntaHNURUnMsZSg6oEMfwfXVu/eK9/IKfevfPvXTvq7vDsntpb991CKl3EmUC - 5oDGU0VASn1WDd7nWYxZAAElACQeKJHxs4VwOjF+5Cs3ffGB0f15dTJYrtquHw6Go25CoRcC66zP - DYAULExKDE6ziPLsuSUFi1dlIgKTKolkYvX2isQ/hE8OlCopAFJmJhFdvPoD0cUHmU7LIvBZsusk - LjNIQL7vImoHBVilcr73ElW2sLV7LfyXv/7DX/u5FzNWAjCoqm5zVDeuVOe3w4HKBNEzWe8WglKC - Mql3AoIopeyyULnf5LSaPUJCSchCZNyO15ZWRnEksvoD577Zv6/5oy98Yp0OZxYlKcOxErmyJ57W - JkpwZTxRQDlTlYHsMygBkjosDxyJbq2vK4M8Jq5tMdlzzvDh/vZPXXvHN+/84uWvvuIHXvD6UF0g - 47qSJdaaiIkgFOF6VXHK/H1t1u7ECkQhcopa3QBeJzw+0t738PTuL9z0Z/eu3zGlTRkkYSJwGsla - M5x2k57BBAQE5sAOSdouhwqSpiyQ5NfC/stf/u4rX/7jy90u3Ur7du1eH22CgrhGsyLK8rCOsVOS - zBBA4QA4cUBZX2dqCBWS2Q6IxZ7DpCgb+TxOybNcMSAg9oMowlV2nr04dMuvOfdt4crlP/zq/3vP - +PZN2tABEoEkN27JkyYRJQhSeSAlk1zWt+x4XIvddtJ2gyXnBLHtAwGesyo3Llfdwa1b/uOn/9cP - XfmLl7/4yhNHRqu8KhFwZ9EsgsoTpywgVgYYYKgD0MVeK3FLujE9ctUXP33T3V9Ny9PObSZGVnhH - SC62MdSOPaZd9AyncFJi6iJOEkMJTsuItHOXEQBQ1B6bx+KF+/amE353c84v/qNfPofPrzfdEMtb - o64aLOVIW1tx18rudjJGyIpy1HckngFFkmf/lExEPHsfgSRgFnYuI41prd7/oXf/wqFP3bsejk4F - o/HmsOGUhU9nPFRCZrCCs7h55z4TlOBqrG9NBw0oY6sdL52/dPzE4S/f+blDD97/zte959JXvEHI - 9+O+4SXPvk95ewUql61/vlOc2VXwRKlaVfXeZUiMEay+8qq6KetXf/sL37zv+vuP3tXRqPdTpR5M - ba91gyQivXhGU4ckue0lBEc56yxDO9u7nYABeN/mjmuHlIdhdxjv/aWf+R/26QvlaNgzXJtMNpWh - CoLP/dQPKVMSAuca4KAAJANiYdvTpDRb40RErHfdc5AciWYAKSl9r5/s5u3wPZOoMrNoVkBZuzR+ - 8PD955z3ku/5QTz3KQjEBJmdYigrkRDufeCeNk5kCGXK8vz7bcdsZhigDHVS/ko5c+q41ybGevzw - 6NCN37jm63dce3T8CC9Jf27bI5MiT3PThOGSH02mVeVzOdUt+wISARCvxEB68vMrpfnsW+dj0fyv - mlH5yrsBp+on3/XTb375D+l6qLgZNH5jYys0K4Nmabw1oZyaupIcSQMYkUU4AVBlnxnwgOBsOmU2 - Z6fyDom9e1fbtv30pz/9b//t/xKCFwGgTARwVVWqGmPcMbN4GqSUSpy767pyeRFVVdXyHpEQQrko - iXPO3p9hjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHmWaGqKgA5ZxGp63pra2tlZUVV - vfc556qq+r4vf04pPdGNeO/LdZB3fLKPvedpOwXwpS9d/Zu/+Zv/5t/8z3Vd55zbtmvC8+5Dw8YY - Y4wxxhhjjDHGGGOMMcYYY4wxzwoppcXFlpeXlwGoakl0l2sv930/HA5TSsxc3ltyphdJVUsyvCxG - ucfRaAQghAAgxlgC3mWxz/TyGGPOWva+NGOMMcaY01PqOjqrxqIEfkrgCqdXVJ33gUgwS0uyk1Ju - puxjDPHOB26TkDNUkDWDlVW+p56QkJxU8lOAICTJT9t6tIXjve98qlmZlEvMl4UBJqXSKAV4cQsl - xCgk88rRqQ+fZ4/rpGWWeWdr9t07n7QdCa55zzwmN4mcslfUmGB836MHvn7g+jseuHU9PaT1pNs1 - icjkkAmS4QhQEIMAIogiJwBwBC03P0+e6kmZpMe0mmj25Jwc6mNA2ME5oQQS+C7QJOx3F772gje/ - /00/s6rnNO3qgDiNk/NV0mlGrwxPlJOk1Nfsq6qCpJQzKMw7fokxb5o9tWoUyayafPLyztPgYJ3V - ZHdGTE9qhCsvNuzSj1cSJYlhcvPBG244cDXvwhSRhOrajUaTailExHJ/8zIyWEEqDBXIItJJEJAw - XEp9Sn1GTpRJPTKCEpzPKjIv3c43hlM2pPlylgU+eS97tsYpSWT7IfNJ/TndUUdVZBZWBxIGVDEv - P0pmaXOLxJwdJAlBmJBVvqf+lyhRWa7K02SqdQ32yJq6LGAip7SMjdFxyMpn//ZTH37HP26aPePx - dHlpkHIv29ufMJSUQY9fvl6sscUmiln8+NTh4sksM6j8IM3Tv7pjJBEqT/P8fjNJqH3q+oEf+OQ0 - Dl6+9gMffd+v/O5V/zfAwpuRO1WoU3EgmT3fj9nEeJ50nee9FUogQLmE6iHw5TlQEmFhxWDAfZ9Z - MaghDkLciVQr2EwTz2jW3L2jWw99+Y7r1l7+6osuu+Ky94cUgy4F1AwHKGmGqHvGut1lVHncLOJi - GUgUWXwWRz336/HYwcPf+tqBq2++74a8NJJmEh2UkLM65Koa9G3XDDhmIQYc9wldH73DoCYkDUSc - 6qW8712XfuCdP/CBpemq60Jg6SZTgmcOZVWy19i3ANFJBxfBbPh/5iiYMNth5zXhxTBbMrAoR2wX - HKB9n3xo0kRetOvVH/2xf/pfrv7kNx66DiGLT5JzSklFwQwIA6KY3SLNN+LylM9qmmUB4CuIZE2A - ggiSRAjweZTS8jBttEf+v7/+7ftecf97Lnu/d+K5crkubWw5ec3yY8bV+def3HOx2Foef/s8qZy9 - fePb9+RBLCAlwOXMSXybq/E3Dlzz+Zv+4uHpXf3yeCST7MAOOcITvPeqUVPOBOcAhYCdspIISYmG - CkAAzUcBAeYHRAwqdGPsHixvHe4vOf81P/WOf3RRfXE1GQxliExNM0wC531gP9qaNLWPiMICdShD - jQIEfcLH+6zR9/2elbW2H3Wd8HAw7acebqleaZOD0Efe/08+/un/NNW0e2Xv5vTYYIBUtpodzfLH - n1SUBDIBZQ3P9szZ9EYJRDSoVSI8Qx2ObY2Ha1U73bp78zuPfuWR2+75xtsvfefLz3lVGnexjcN6 - qEmdsipAsnPsfeLN86RDyfa0c3t9Pe6Kkx0H0DK2KysAIeXtKQqJsGbOidsYWmqw3m3eesctX7vz - +oPT20f+OFxHPir3maCqVQPJUEUdWFVjis5zU1Vt1+9YCiYV0tldiCbNQskPseK65Y9+4Jf34gXN - ZGVYD9vJ1A18zDHmVFdVQIipRTjpUTzhs/LctVhvumM+/EQWs/vMKiSAsoJVSaFEIOlC1/r2vofu - gZOcMxh9j8GAczq953YxZWPIjmEVRMSsxIAiCZRkTFsPHr/vdRekJx5LT/pr2QvmJ6Enbe3lqIRT - JvlPa5L2qTv5cRGgJGUn23nW9UTzxkysBFIlTWE2GkimFJE7nt71yF0tt0AmItVyKvJU5wTzcebU - nyaBInM/adZHw2NVWmKpyqkulUlAOX1WJqXFiEGL1fHYCdX82aCT/1ru65TTtFOX5eRnTAFWlnJW - TiIsmXPmmPx0Qhvfuffmm+687t5jB0Z4NFZTWen7gOyQgZDgPcU2qsZQuZQzESkDOpsAMJDnm1z5 - ymJTPvlUej752f4zz5acREhAUAIpBt63x+Iet//9b/+v3/jStwzjau1WfKZ23C4vD6PweDTy7ENo - VLIKES0eu5S9SsmTnnrv5nmDHzvufRdExOxHo9F//q3f+k//528J0KfkHEQ558zM5Z0TRKT6NJ9D - MHPpdpc3iFRVVSrd5f91XZcvAlj8wRhjnue2j+00f2kF5dyTgdnZPZdDwNM+ahtjjDHGGGOMMcYY - Y4wxxhhjjDHGGGOMMcYYY4wxxph/iIiIiPfeORdjXFlZKV8sFztedLtVNYQQY3zcGynXYk4pERGz - K1dJTkk8uyw5BPd7v/f7F1988Uc+8hFVWVpayn38/n9E2hhjjDHGGGOMMcYYY55Z+gRX8zbGGGOM - McaYs4r3XlWrqlJVIooxhhC8n/Vwy3tIUkrOuZzzE72Z5Gm0uNB0eUNLeY/K4iKm5c8l4G3dbmOM - pbuNMcaY5yyiWRhGVfUZzVk+15RfVmViAE5Kh0oyQQiZRUgZQllYuYRbhUqDtnQmtj8DUD5voErM - DGVVUgKIIQmkYKeZggRm3yF1fvrA5K47j31z4sfqQUBdQabEqMgnedyc6hPYmbZS2g5ukYKJSLSn - joej//in/+7CfRefv/yCS8553Vq1e3VprXIDzU4je6k9N0HrnIgSFOzJM4hUkyb1aRbn0kXnlsu2 - J7OSLcrZMgBAlLTE2wQlt6FExFxqhSqSVJVIiUFEfd2OmuNH45EHDz9w56EDd99/4MjocPJTbqR3 - k+x6JVlUTJln/Y6dUd3S+6I8i0iCygczBAoohMDiBQyI8knp7pzBDkRgJkcuZ9WkROgFjrFCnqaN - Gy29ZM+rr7j0Ry9/xVtl5H3yIpGZGQzNjipkYfIACI4ZgiySS7Vp3hAVYFbpm0faTu9zIwQpSWcA - rBDiWddkVn1TAAJhKCixgrKHsrCQIyXJUBIi1eCqruvqYd1rHsf1Zq3aajfu3zr4qRs+OVk5nqjz - hAzVnEPNOUciuJMWo7RzhaUnQDMnRiYBCytAUV06eN/B153/jqwaEodcLVc86rb8wPWac86iTCBm - z8qqiyS4zp4TktL3OykxXjbpWfV5/mzMLJJzZ9fncKREaCgqQdRjEROVBJKym7B6UhBSpqREwgJp - AyrOnBUUfPZpROvH+6OcvYzYN5UGnfQxBMeipE/6IeuOX8YrMqd5r1S014FDTmCHXhM5dipZ0BJW - drmNw0e+c+i662+94O2vetfqcNd0MiVyzjkwco6kDDjAaVR24hwRuZSSZiEi9k4IorRzEwUE6gVc - nosnufiss7Uvi3GAoESsbr73y3wAFFbOJMIQ5KDqkjTiZES7/f562Pz6T/zL3//iJ+44futgdxjr - VsxwAVkQGKWynhU5o67ZcdVNukChxDjLNqc0rxyqsCITgFSGQK+imQkiIkwAIUvJD0oAygNQRqeZ - 6uxCvifefv+B+7/07S+85iVv+MGXXX7x3petht2NLmvvJEnlXFm9RMTztVdeB1RVgeKU+LtmmlOd - fdvOHwHAjPINs+2w7HZlVJm/mLi4ERERzeUFR/E61olbpWPtA9fd/uUb77z68OT+FHrZqxlZyZcx - 1UGEEHVKAdrPHq8oEkMDQNCsQ3V+PNidL3r/2z78tle9BxveRa29JgHAgSAQzX1Zq3kW7QPUbSeZ - ZznhM7i/s7KUkRbzNirw2BjnfAQGAA8FSLMkUqo4QyAIffPCwcs/8rZf2XfdeTfedV3XbPX1qHcT - CSCFA3tf9X2vBF+FmGOpd4Nmg5oTLKqimSAAO7BCFURwBBWtAvocNUxpma6+56q7j996+Wvf8tZX - Xjlc3+smTYKyZ3IcJbPCEZfPNJaxSFnBJFBVJfKqhCw7twEAqhnzxz7PlQtIiVREGCA4AiSDiJzz - AJJkEVEGOwJRlIiUg7ra16qcQeRdh7ajEZru4a27rr7h8zfe+eVJ2PC7MaZJJ/ABmuEJIpqk5TJY - lgUnFkLvoASQzHO5ILiUxRFV3mtOOWcFCMx5WGXGaHj5f3X5j1/+wZesvgLH4GKlxNkBWZigGkXh - KhchisCiZaqwnQV+lne7AdShmk6nqBhAlC54ZvEpUhXWunF+2e43fvTdv/bJv/rt8dbRuuKcxTtu - e/EDiKKN8AQGBa5zzjoPzQpvF9/dopdO862lVL2TAnCzf0NdI8deQ8p7Yhc3rzl8+M7P3fqGl77t - h1595Qt2vXi6sTXgZe3h4JzzgtznNrOGqtKkSsQ7+rjzYQqYp4uFREmVwCBkUJlggMDzQQ9QIlUV - VYXMBktVQa5q33eJhGr2LoechCFcu6St+Bib8YOju79+8w1fv+uGw1sPayWpysp53s2dzWKSgAlw - 6FUIgENS0dR7B2JO5TaJ+w6eEKrQt11OslQvT060u+s9H77yI5fsv7R6NAzdIOVWKxEBswNRlCkR - mDxyOXSCdbs+zs+dMz9ezExmFeT5cZ/nNXcnHoBSEmzPh+c/ewoRQjlM9xCCBhWvyWcGODF3XjZ5 - 68Gte+49ch+vkoi44HOfkMqc98keYuaxwTJHKMPk7GdjFjhAM5FUhKySVttr7rr6Pa/96QFWvCNV - iinWdZ0FXddxqBx7ItKcVcBErFBV8HwOTACEFTsb8+XOlATgeT36NJb/Kdh5L0LC8y/OJnkkIOXy - VIgHwEgCLRstlzMyZaFTNt3ZAmfiSAqgRheQQxaAI2kKcepGx/TI9Qe/JgPAJY29Iy/QxcnRkzT7 - VpLFmpIdJyJMUM2q6KrJJ67+v86tX/DKc3/wgrUXnn/uBWtLuzg76dVJFTRwDgEBGkhUE0NAxGBk - zkS5bJMkpKqkCjDNBzGd772kpKzkZsOmCqnm8p1QLufUjkgARjmPy0ogR85JQuxdl6tuPR+//dC3 - bz90y7fu/WYfppHbVHWZO2URBilcgtP5CnIEEkFWhs7PDRbnYaQJ4NnsU5kBoTLvPXUKRJg1v0lZ - lYgI5JJoIpADMdBBere/uvDH3/Az73jle7EeuA9Ly4NpOyHfxASoBCZAk0QC4Gl7j5btZvOZ3pjN - 999i05rNtead+5P/uvhOJk4psvcAUsre+zybEfjNra1//a//p7/6m885zzlJXYeum12nQ2R2L99L - AJaZyynV4qXX8kaQ2amZCBF571NK5S0ji7nHItdt3W5jjJnR+auDjPkpAOf51xRSzi8dBFReIgYv - XjM2xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxZ1i5onH5c7mKMQAi6vu+fHSufEq/hL2/ - y+2UD/epas7b35YEzJwF7Oh/+3f/+67dez/0oQ+NtjYGte+6fmVlZTqdAqjrum3bct3nM/QwjTHG - GGOMMcYYY4wx5pmxuLAwTm4HgHDK5ZVJQdaWMMYYY4wxxpyVFtdbxo73k2BHG3tHpwwAmLm8dYSZ - iSjnvOhtf+9UtVwLutyLiOy85UVTHIB1u40xlu42xhhjjPmHLfoRBSmIUGqaOv+FVqlVlZ6cznNf - O5NxszgQUflPoCVr7V3w7GKXHRGTJu1lmMe8/qVvXrWhD2slXQQzPCOwI3IJ6Xt8LLOGdoZzYGYN - Oombk63Rw5MHGhn+7Ym/WQt79qzt2bfn3HP3nH/O3gvO23PhrsE+7ci7qqobB5eUSaC5pLJFAYID - gZiJHDMApnIiKqqaQVAoEQOqlHZkVpGhSpqRyUmUTkiqxlHAxtbx+x984L6jd33n0VtOxGMbk/U2 - t+qTLOVEKaPjgEXgjXT2/OPkXzfOcmvbK0/m/T9gVhbf+ZvIeTybAGAwdNNpJoVmbWNiQlUFR7x3 - QDLV/oQ7p7ngHW963+WvuGJvdZ6ccBUaNwvVyTwzxgA/9neb8y/sSI5tF0BPu0OmBFLa+TBJT/6d - K6hUT0rsyoc655wkaQZcKb0yKyE7zZhOp9WKY6/r8egI679/1e+29WYfxtvP4DyW9rh9FAWUSz7Y - kzLm61o4Kcdb7vzaj77lg8q0RLtXB8sbm6O6ribTlipXhwZKEpGjCITAzCc9Bpnfu3vs80nzhaFZ - uXheEj8LT3aYlYVK0rE8JhYQlyAfqYJAEAUvflMuAmXPIcdcuabyvN5uSOh4d7r5hq/FNBkM6zG2 - Ysqh9jnnx9ngvrsd3y4kiy2Qdm6mBEBI4RRJsdXmtVXdOHH4b278tA965aXvDa5BS6pAhhNWJUCJ - masQc5tVWOGYyTkiyllTyuzdKXdPT6mDy7O9/tS9acdtbf9T2cdJF19kVva5bjqcV7/oF374n3zm - 2j/4xn3XL60uTd142sLXyAlNxUkEjKpxk3GOsd27OohtBwXAmJW0MVutinl7cf7MzRqI20skJFDQ - doUeIGQGgOSk91OXuzRor7/v8zfffcOFqy961Qt/8FUX/8B5ey5eGqyORpO6qp1zSEhKpETKyFKa - jouycoaqZlVdfPht3unG4mNvO16mFFXNOZcesyMQMRFBZiMrSMDoU9trxx5+icmhT/04j+46fOCb - 19902303TfkoVrpuZdQDKLukeFY/eyooaUlFOpKomhGztIqmQUVeJlKltXq08gs/+cuXXHTZ5OF+ - 93CFOBHRoqbH22ttsdHMNvb5M/tMRBz5SW+lZRgq22cmlu2KPPsc4obsay780BW/uFqtXXvwS5sJ - o+lk9ZxB104VMpq2g4GTiOk07tq1vLk1Yg+cPOry9pYGzGuWi6+LghhCscNoGsejY8dOfP3w7Qe/ - 9dNv/siu+tymXhL1Grn0NaEIHLQMP0RJJWcBkXdesxDBB1dethYRzSrIjlnnx5rZPkAKIJX0OznH - gDIrSvk7ioTguaqSpj51WcRVbrA0pF5TSuLyVNteWrdGR8cP3HTbNdfc8oURHZsOT2idelZRAEg9 - KppPYHj+FCsv8qKzg68yQ+YTM1ZSduhS2/VoApowyFOSURik1fdc9r4feeP7B+3yxgPT3W5X5ZtO - 8ynbm+6odD+TW9ozhYXmDVTiWTlWMd3qdu/d/9DhQ6+58LKfuOJn/uKaP9DUJTfd3Iq7z6k3Nzty - WBm4rs2eXd+3zrlSUFYCC0Cz4/JiYiaPmZg9Hum0hUcz7I7F9MXbPnPbnV970yve/uZL3rbGe5tq - iaLLEc6FejDMOU/atq5rlC6mqkIhZZZZ4sVEYLCWpqaoiIp3DMkEJpQ4PcpWLSAiELFjBmsZCUl5 - OuqrqiJPXepAXVhyEd04bfAqbrvvmzceuOauo3cckyNTt5VWIjlQcnzykRQ75mYnzU4BBWIWduVt - cDocOE257TvneIBGR/SCwUved/lPXXr+5bxZL1drsY0SdHawU965fe4cl56zb6ebHbuLWcmv9KFZ - Z2OR0GwaT7NtYXvPlUUAnGbnaEJohk03HbN6B5/7Hh48cD1v6dr06i99QQaTab8lldQcyLNkhTu9 - RZ5vBPL4E2bNBFKBCCZutKnHbn/4tted86auZ+mxOlidbLUry2uuqZLkJDFrAsCOiYOIapbZNGIx - /9neNmZ/nU+NBItj8Zm1OAkCylmH0o6jU7E4DS3raBabL+tLwDvPy4DF90II9aDpphMiZuG+E/LE - Q468iV2Tq6/9/IiOtzyKaRqakHuQOuC7far/iZ36LBERVEEl0Ctt7h7pD41k4+6bD3JfB1S7lnad - v++iC8+5+Jzd561Ua+fsOqfGIHDlNZA4UnZwRBRTR0QMR0ROHQAQM5CzijIAwqy8rlCQ9jkSZYJT - ByKnyAAylFgFWVXKUEOsSgKWST+axMnhYw/e+cAddz1y4Mj0oSm2YtXmpT5xnzkpyWx6pgDghAEu - KfXFLHo+ZZyvqsV8a54z33lMnA1kNJ/uEsrrIOVbBqEaT6aJUrXk2HNsBR2tyNpq2vez7/xvXn/R - W2mjGvIqV2Fzc5ODp5NOmQWPGc1Ycco2Zp5n+DF/AObz3q7rmqbOqjHG4WC5bVtX1X3fj0dbH/vY - r153/U3MiFmI0PWRvZOUn5Zlcs7tjHYDqOu667q+78u1RRbvxijXAdn5HhFjjDFPbPaqBgAFz3Ld - 85e2lRavphpjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxpjvs8Un7IhIRKqq6vv+qd3O7JJc - QFVV/+pf/Y/nnXfB29/+tm6yMRgMRqPR8vJy13WTyWQwGOT89HxI0BhjjDHGGGOMMcYYY85asn0t - RmOMMcYYY4x5llHVuq5jjCUSoaohhFLpds6JSAlsA3gau90AnHOl271oeOecSyP86boLY8xzxllY - szPGGGOMObuUxpuSLKpUALOCFaogEafOiXMCJZ8JCiiV0OSs5D2/HQURkZZ/VVWQELnYutGk27dn - f87dZnfC786H2wMHT9x68z1fjdWIKkEEMVSh6JnnpdinZOdJoSpEVEkIrsSERPJEtga7l07kh05M - Hzh4CLiXHFyAcxouOPcFq83a3t3n7lvbv3dl79rKnuXVteWw5iZ1kKr0MiFRMrIowAwC4Ihn4S8S - EcpQx06QiUhZ1eXEKaLreXJicnS9O/7QsQcPPXL3g0cfWB8fS9qTQ2nKJk2o4GuCc4RMSqrK86gY - 6SLoJXm74rkj0f2YdYqSHyMAiRSkzKVHTlIC3NNxbhqnUXLWteVhEpnGttK6W68HafWyl73xitf/ - yAtWXxbaAdpBjZqFaBbx3VHLw84s95mhfpZ4nkW1ZRYKVggY84I4KRgiJBt956rg6wHAklSzOgme - mDItNS6GbqM9llbiJG/+3p//7qP9kVydXio+03aVj5UXzcLsptFtfebLv/fBK39h0ISN9X5pZRey - H3CVU8pdBtQ5V3kHQAg55/I0liKyEi9CbrObVwbtiIBux5tpHpZ7Kk/nmUYKpwzmsv0uNhRyBDhR - UjDxLIlHcMOqacd9zLy2vHtra2s6XR/uD8fzI0fWD3394N93vJl9D81QeKQz/aoPKVYbPx6nKbeD - fcvHNx656tt/PuLxFa9+92q9r5pSlQdea2YW5F76iER1EBESYpBjZlDOGYIAACAASURBVIUQkSuF - 2MWqAivTLMJ9BpefFS7P7iU56V1afHmguy5sws9e8Uu7bth/ze1fopUqNOM29S6TTogcg6SLOQTU - DSb91DNEweKhFQAgMSUsNrzZH2aB8CdcIJ3tnoIEQBkCMEFYUh65IUHivd3mvbfc9qVvDc/be8FF - e17yppe9fc/w/KVqFd5pR57qQDVl9uoIDgpoFhGGECkx6fxpVVWoqCqRIyLJGQCREhEzg0idCjw7 - LYlGVUfwogQmsGafU52l6vsw3YiP3nf44LcO3HzPgwc22qM9RQyVG+4JkwgQ6hqSAE6Qsp9uh2xV - PCETyWCAitBNkNu0m87dIy/+pY/884uWXiib2L97d9+27Kppzu50W6lnEy0hUjppMyiJ+uBqdtJO - Ru97xwf2Xrjrs3//GRfC8UeO1Gv1qO+Ga9T2WRyWl+qt9ZFH8OoBVRLhJCQgaEl3z+vIZQ+aNZiB - UhoVCDO72mXosdGRrcn4wJ8efNul73jLq67YxfvrbmmZdlWoc5t9HfrUJcrO+8pVIpAITVk0sUPe - MXdw5JiJRITKUWb7sQnBVy6piGhUgSipMpwQee9yziklsHrnPLsc86QbV66K1LW0xbtjh6NX3/KF - r976dyfiox1PqQE5dEnQwjOtclDVDFWSzMi0OLQKKZwmJ3DzMV9ofpTPsa59dikxKg9VpC5VcWUf - XfTT7/351110uRznQMura4Nu2rbSB34Wb29PgQIAA3nWOQaADNJB48cbG3tX90zjxmtf8jp1/V9+ - 9dPHR4+s7A0nxpMmIE6gWVbqZmPS1kMkSaRwAmgF5cwiJRN7Om/2ImCZ0LdQilSPUHUPTtY/c8fd - Xzz455e86AcveenrX3XRa4eyK42C63PjhlWzkqSFRhAzOQewY1aGsuqs6w6BqIgIgYCYtVdkgiMi - Ik9gIhBR5UL5zY2KQpTgACLlmhrNGmna+zGGeZM37370jjse+taN37luhM0Ok1TF7EVItCShOWGe - iF5kywFebKwEKQMCl/2FkSLq4NouO5erhpNI44OuY3+44Cfe8DM/eNFbVuSc2g+3JpNQ1/Q9zP+f - zWY17if8ZwKRKHEmFmKAt7c6ovKzSiBVJQCsBFJRkunWBoNFB0lrV7kc2olbn1abN9zxpRvv+Jys - dZp6cv8/e3cWZMl13/n99/+fk5l3qaqu3rA0AAIEuO+iSAJcRZFaONqlkeSxZsIO2RMOKSxyHvzi - cPhl9KInK+bB8tOEPWFtoxElipbEIbWQIimuEAlABAERJEBi7b27lrtk5jn//98PJ+/taiwUQXZz - w/8TiGKxqvrevPdmnjxZdTO/yNIRMWBXalpZ/gg4dLcJRFDFUhd/dc9f3vxztx7aPB72Y7fUab2d - ZsIVh5ozZeNOgyqRGkM5MAdlYL3pGgAjEZKy8l+WkA/CxgBd9UOSyx4nDR9WA82lGR6pgZXYwJfN - kS7742V5tofdi5F2+7sMFhtlG1HDEtt52Fk0e5974KOf+eIHpN5F1QImktRQUcxXaHsxK3+3NWYO - gc00Z9uVnWaj0USW9Xx+7NHTD1Sna5aKNFSops3m4c0jRw8fO7p9fHvr8Obm5jRsXTs5UWkzRK6V - bDiSDaGObAzAjMgAsJmRIXAEoBAKJJwVktD31vMIFlJGWqT93dn506dOnjp76uL83IW9s/vd7qJb - JO41pDzqNGSrVHHp6gPl1xe0KnOvjrCGJ4rWQ9bq2I0PfEUuf3HWB9q0elcv24EbIV0ulxvbo/3c - zpOMIxpU4/b4DfULfuXH//ubN28LexUlhGlsU+rYtqbTvu34u/LAzX0nXRqynmFzpuE6HHVdUwja - 5elkc29vNplMlm06der0r/7q//Dww49VVUhJADTNqO3a9cU7vnUyHE8RMwOIMXZdt36rx7rVzcxd - 19V1faXu1znnvp/RMHcJ5TCKwEDAam5IUDARw3g9q3HOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPfKTnncnnlckpducjywQ73N6hcMZmIUkp939d1/Wu/9mu/93v/7+tf+8rlcl7X9Xw+BzAa - jUQkpRSjXzrVOeecc84555xzzjnnnHPOOeecc+67ERHlnKuqAmBmKaWUUrlWc0ophLBuaZc3nFyp - ereIhBDKVaPLe1pU1bvdzrmn5e8/c84555z7Rl1qBBuMwAYok3EwBF01pA92x4wvOw6z0pcmMzXL - CiMCDFWcjo9s7i9nGpa62c+rnZM7D77v7/4g1XtopNfMFSIH6UUAMn0WIcSvq66DqqqaWjJjphgj - E9E871JtARSII7MYixopfenCmUhNPF1Hq5CJEZjrEabXj583jltbGxsb062NjY3RaFLXdeRY1zUz - VxxKykhVRFRVF4tFm/r5cr6/2N+f71xc7OwsLszS3l53MVdZYi+hy6GXJiFYIOYuVlUVA8SkzX3u - szEogHldFAOv8qUghMvjeqUQvP7CU8PAq9L00IdWAkxBqGukVqpAgeJ8ZzFqpofra/ode/7WS370 - 9T/x4ptfFbumWm5t8CaUkIyIQQBlQC9bAtKrmcrjoc8NtuGxKqAEBhBKhBw8PEQLRlyPq96kTwlq - kWLFUQ1dTsxIspzLbjxqF/OZ//Tn//Hx5cMy7SWkb3xplNZ5+wxiAlCCstCeUh/2733s07c8/LxX - 3fDGY4eff+7M+WncihmRqKpqMxUR0QTAiJ5cUDXGKoJrX/cpXXW7Sz3z2xgp/IYxoMPgwAwu660Z - 2aXxY3gSCdwveyiNx9OL+3uobOP4xkV5DNvpIx/+4OnFIzZOy9xRDTaYIBL0qqZrDMt5noziss8z - mTWHm5M7j/z13X95ce/iT7/hF7abozFQapNJCCFwZZFC0mxGTCCwClSVmauqyjkPDxRlJSlVb6zy - w1cFAUFhBBn+UwyjB+eZ1M3mkVD9/Jv+zfXX3PjBO//0wuyJpkYzHu3s77HE0XjUyVKTxdVKXm6H - Sm67RIOe1ZN/KYiIIfZqAKHEETkimXW2GI0WsQnLdHF3/+Sj+1/+zBc/cXR03bXHT9x8w63Pv/G2 - a4/c2NDEemKJldWBIquZGBuIKBCLGCMQhbI5MhETEREEBjUztbJTUTMz0mXujZQ5IgRqItiySU99 - 4vaJCw9/6bF7Hzz1pVN7j+ynC70tJHS0IaHiPmvbJTDG49qC5j6DQQblvA4skYENfZ9H43Fni36G - qsaWYJOvvb5+0S/+6L+9tr6l6ceROC1aMIfYpJQp4Hs65VhKsUp6+VrNQZHmeXO0vbt7/gdf8MZj - R697/9+8l/qwuzi9sVGnrmcAhvm8G48qkkBiMFIwawRlJZWy4lh5Yoe1aGhtqlLFYqqCznLFqMa1 - KZZ5ZiP9y39472fu+eTtL3nLm1/0tmpKedkoWy0NMTFBRTQbUaDARBy5MWSzYQVhY4NBAGJedT2V - Vo1PIAtMoaZkYAQmIgYTUt9TQKgIgJKpZopgym1cprA4PfvaP3zi45/98sfPpSewof1mRkSfTRUh - cBUiZYXkwCzQdZZ7RddV5HXIPBiXiqhRNs19AhGqim1JE9m++dALf+Gtv3JidGszmyDXkZouW6JQ - N7Wm9D29vj17rEPufZWZJCVDDCJmUaGzvLGx/dpb79A+fOjO959ZPhID0PPWuO77fjZrx1NkAw1F - WCZlQ4CSEQz5GVubT78oIMG0oQxb5pwshwkY3UL2P3N6786HP3u0vu4lN7z6Vbe+/nnHbzPbXLQW - g1XEjGAqWdmkNOyJKPAQ8IYRMXMgIg5EqpZV1cxgWoK1yhDt1cwgREaBicxMhSRG7q3b6c9/9eRX - vvjY3V8+dd+Z7lQf59JkqTojSwpkECEyqEy81glbgwJsrGAe6tMK6MGJazZMp83OxW40QqjQLnTa - jLvzeuPoeT91xy/+4E1vnqaj1FW9adXELH0geo6tn8/kwHplbKTCJVMd8eTI97AOAKXbPQzFRgBp - FbmuxtaPugQETbE7n06e6R780Kff14aLqplrKCCCAOUA02Ge/S0ighnMSrebQoCZ5dA/tPelP/+H - P33X635iRIevm25iYVWIUKS+DxU4BI2QbCISjSJXkEvPw3BIORz12PqRrp4QVQJbeJqluZIObu/8 - 5M3fhjk8hla0Pt2fJFYzhtXrdek7pHUVqjiyNG4TOCLV6byePjt78K/ufP8+nbOYE6yueb7UhgHK - z25K9nWtXi8lohDKRo5Z6kKNZsJkmvq2TSAKgQIk7Ou5M7sPh90AcHlJgjSjbmPM08lkOp1Op5PN - 6XQ6HU2rqjIjphBDiKGuqirGWIXAVOdWRKTPKUnf5X6ZF8u06PLy9MWTbdrfb3fbfpGoNRIOKixd - 6FrpUKMeBYqUNKvCytA0TBVKgJ7LMZRyftJ8m1bHVmwMMNnlLyg9eTynMnG9VPi+fOtjzBatMDZH - CP1IL9Qvv/71v/S2/+7m0QtsnwJxaGjRtcbWTCfLtg1X6hcc7jni8hUyixGj67q+7zc2thaLxf3/ - 9MCv//qvnzlzgQil201EbduCiZlV5Rlu99kJIZRct4g8qdtdrgwCoOs6Zm6a5orco3POPRccnFCs - 3knHUMF6Jl9+k+9HRs4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc99p64T2fD4vF1MG8Gy7 - 3QCYOedMRCEEVe373sze8573/MHv/t/b21uHDx9W1XJt5XKPV+qSzc4555xzzjnnnHPOOeecc845 - 55xz7spS1RijmZWWdml4FzHGnHOpa69/5krdLxGtb83MzIyZ11eKds65gzzd7Zxzzjn3z1AaGm8A - VplVXccyWUNJdwNWQrBB1z/GT2rsGcwgpXMVVrneLMvelhhLF2Yy3bvnkU++/+N/eBGnMNLWpEto - RpyzEFDVSOlAGvzZeGrTopztwMzluDFrZoGSckNKlsyyaqeAIoCYAk1ILfWaoUZkDCaiGddn20eZ - 67BkOhfMTMVUh7odEUXiId1tWQUZOQQ2MyMQETMbaVYVztXhKmnK2lkERQoUk/R9n0e1qfampoQY - UTGXx6NqJUJW+tDlGSfFOkxX6rxsLMRCjKHwoQAIyhiqvcGGH85czv/goEymmrSKAKwJPKmP9Pvh - usMvuOONP/SGW98U+/FWvx1slObZOGzWk9ZahtiBZt5qKb4N6WgysELW98SGS9VqC+vQoIJhaqIV - o44xW2YzsEjIEpOGzBOzkD771c+89+/+cB4vLsZzqVpY/sYXpcSMwWUrKD1XhrGCm0nYmc8qm/3Z - x3+/vyPd/tJmfOxaE0FPKYkmJbZQhWH1MB3OlLmUpB0en1IGlWeY+VLAWw9GXGC8etT6Xdi5VDAP - C8olK6nQUNY+A8BU+tuBQJZhVVN12oZNnuXduS12+dQH/+59d331U/M4o0pUwAYmBEVJNz7r05ie - DQL6lJmRFNp1zbRe5v1P3P+3TzzxyNtf82Ove8HtzWiS5zbiCaNaLtpRVQPECBUTGavBRKAaKOsQ - muShy/5tMaxIJbzNCgCqQeNWvbWYtdPpkRFP3vTCH9uaHvrgnX/yyOwrO2lvcngLPaflYhxrs9TP - ta6RCEZQziCUXUAQBiCs30Da82DSUg98HCJFWnrgDarAopqyCCOQGF8U21nS2dO7D9xz7iP6WUzi - xvXHbjxx7MYTR286ND56bPvaw5vHx2FKFiUDiWqN0UJ5es1MVU0Iq1PgzKyUYMteIKEPG5TRLbRf - 5NnF/TMnzz/6yJkHz+6efOzsIxqzcErImRJqUOAYsJ8SC6hCVcEMvfYqpIoQQASmoajEiqCAxTge - JU2aMGaM2lG13Hr1TW971x3/8qbJbf2FPGpiSj3HKtaTnb1ua/NQ7pfPqv77Xeip6wMbM0IQayzU - VXXm3Knnb7/k3/70b3zg0+//zMMfbrt99BiPI4LOUtuHVDVJEoIgaA1jWK3IEjMw7L8AkDHbpfvK - WcEAwxQZ2cgIZIAsutFovKenPvSFP/37f/rIi0+87I6XvunlN76626untllJIxkIoMo6LLuuq60u - w3gk5sBkrApVZTqwwR4YY4NSIAWhNDjNRFWz5dGk6aVvpQcJR0KtYrrk/S+c/Id7HvrsQ4/ft8BO - qpc26TNbb7CeknBA4CqIJVUJjFhrGnLICAZaNbtBw65HGGQIwkFj0KBkUmUxBEVDTTOfxuXk1be8 - /qfe/HPXjm6yvarGOMbxctmFqpmOJvP5flM9JTf7fW21wrBBASMyQNlUUqqZ87KbVtPUp9zxW1/y - 4xD+k8/+x3qT29xlpmpca257AYCoDETYqshLCMpKEfTs6t0GbjvNADdoIglbr7Bgy36nirVQt/fY - 2c89+HebcfOW626+7fqXvuzEaya0NYqjECKUKYQKkVGRQU1JqUx0BYARZY4W2Cou5WA2IRMkZRFK - FpUrRU1Ju73FzoWdCxcX5+5/7J7HLz52Zvdsh2Wqcsdd2uyosl56kDGhAiJTRRFKIr1GWNmvGWBg - MBmYlKBMlx4kMOzyasrz3W6yATA0o0ZFu+Mbmxt+/vZffu3Nd2zIMVmgjmOB5pQ5PLla/31PVxv5 - +hBm9b+6OsIaNlhhY1PGkCh+Cl6lrEuLWIcBEVgsFlUTE9uc9nmre+iJ+973kf90Lj0Rt+Num0nA - DGYwwQxXsCy8qncbEQ2noMe8CBf+6q4/5SA/e8cvnT71yFG+lq0axQZqIUPBnEIwMuUKdeSYJQ1P - C5Vj1UvTCSMiBVChHBSUb9nVnWjZk58fPnjkXA7WDFDSMo0PzzA2WKlEl+nxOuBtALBcLuu6yrUt - eEab7VdP3f++D/8/Z7pH43acd6nrESKqCgxkARhXapMph96qVk7kL9ObUQ1VpFbZlAixIgLYzDgr - soKTrSbzANW0aM4TAgBbmM0JZ8vxGsUYYQyz8mjLfAwAERmk/GWXKRKbalbVGIMhKWVMlBkhwExA - CraGyy1JEqiCGVWAyJM3Cjt4xFQe4KUCN6/+74FfYpAqEKyMb6qr34oMt0DQ1U+yAYgl085NhmJC - lZzjiV3742/42R95xc9s9MfSBUyqDQqWtSeuiBU5w4z9T1Tun3FpraPLvwgwEYnY1tY2gD7rpz5z - 53ve8+/295cAzDCZTFJKKUkpzqtesb2piJS3YmxsbMxms7L9llG9ruvynox1tLu8TeRK3bVzzn3f - ossOIBmrXwArl1/3U/n94VOmns4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+7bT0Ryzk3T - TKdTEVmfZPdslUs2ry/NDCCl9PDDj7773f/uj/7oD/f350Q0GjXL5XKxaKfTaUrdk2/i23I+tXPO - Oeecc84555xzzjnnnHPOOeec+/pyznVdE9H6DSGlklPKaOVnmqbpuqe8/eNbY2YhBCLq+95WvNvt - nHta3kVwzjnnnPtGXUrHXaoCMw3dCFVCDlnJgga2EqMFHYhJGIGNSr0bDGYCmaALG3WbZ7nuFvHi - hz/7Fx+7+0NpMpeR9SZCiBUY1HaYNIiRu07DFTpTIGcbinQlex1Qwp9JdIjbEohAEWbRQKLKJAhK - PJy0QArBUsYAQEA5zqWamGIgKse9Q8HIACYygE1JdVU+IwpkMCUzm4vEGEMdAEk5m4EjJpOgJkJQ - BREEIJgqVFHxcL/Di7Fu/67at6WPqHSpCkwGENh01RoDGw7mTi8xDmDqEDVyNzoyOnHHG97+upe+ - 5Uh1TbWYjMJU5gKxQ/UhTTrb2WtGlUFBCugqnodVPPsqntqxepjD50EZBLKhYmXrp4RESQ0MMAtU - LaHrrbVo1JiGnND1WH7xwbvvfeiu+x75R2ymlnZTtexVG37aDuLXwQY1BhnYAFNFBHhv1h7arC33 - bZr/18++7/6vfOVH3/Avbzz0/Ekc1QgUmSjAgoBM2QSBYylzr/rc5TwZVRYj0FAjPvCt9XOCK5lX - vNKGYKTSKolHWrYlMQMQEMgwbE9GQlptxN3FrhCS9vURnO8e+6+f/pPPP/jJZbPfU2+K2MTU5QhU - AX1/1Y/wRpNmf7+rKmw0dd/3atliyuP00OxLj3/kkc/e//dve+07XnbTK5GzLeN0ayOipkyaNOcc - EJlZTUU61EAJNEIBJoMOIcCr2801wnpAWFUJlaAmOq7H1hFZw23zqptuP3Htde/72H+56+RdedZK - TlC1gBApKlIGhQhWJiUd6tRk5fa+weUfgqPDv1+H6onLhqOWVdD2CiBWiBVMsd/j0FG0XdvmNjaI - 0zBLiwf3Ljyy92V6IARpApqGJ9Nma2vj8KGt7Y1m85rNayqummoU66qp6lDFyJEC97NOYZolSerb - btEuu2W71OXZvTM7y4sX98/ud7vCC6uSxla4k3GvBGUowbTU8yAZ4ynaBOnAjDqCOYoiMwy51JTJ - EG14igzIOZvadrOBGSbd4Xf+4M+887U/N07b/dn+8NbhtJwxR0LVLmVrut0u2hi+p1PKrODSiQd0 - HX4lAwMN13mZUPHR5tp+0cZQ/9wdv3zjjTd+4ON/ttSZ5H5ncXZ0iJV13mIUoYxgGRZLlNtWMVo6 - sAtYP1ciCAwilN27kjBzYG4opm5OVayno0W+8NnHPnrvo3cfjcff8sq3P//YbTcdu7XGuG+zCaqm - rpsR9UYSTDTD2JQohBCYw/r32k9iKQNQiEA5gCI0qlC/q3uZe4yMG7R58ejJR+697wv/9PgXzuij - MlnMdU/YpiNOal2PZhKAug5B1VREIVxBGZ1d2uUREIY9HUrJy1ZbtxCDYWSAJkEgbMdjthcP6dF3 - vfFn3/qad9As0G4ch6n0OaHd3NyYL9P+7mJr61Dq59/L69s3ydZ70lUrNzBS321sbc4W8wrjzTDp - 9vs3veRHmqn+2Ud/n2yeQ3/+4t7W0VhF2ttJoSpjOEpUDQAhBoM9Xbr+66AQmKgiCLTvrTeAESNi - Q5r7femXshOYZxLOPf7gPz5655/t/+n26Jpjh48dP3LNsUPHjmwfPbxxZDraGNcbjMAcmFdJewGU - gjKDQGqkyTRb38qyt25/tnthdu7U+ZPnLpy5OD83X+637WJhM91s5zZLMVkEVRFBkmhOiBGBKRJD - DYIsiYzNgLjqdg+HBsDl7TktOyCLsMCwPuXJGMbY2cFGVY/l8PWjm3/5h//Ny675Ab0YOTZlC2ia - Oi/7KkS159zKWYLBz/CduPpMjbKQBmUyKq/4wY/DOmlmBDZVApkagch4QntyljdD4p2PfP4DH737 - z2d0ljews2ybcZVFiMhUOEDksin3t2J1wrmpgsiIDIAio6bqyOij93zoiUce/W/e/q9HI4ybjTaP - A2rVYMJmFhACV1DOvYBhfOmIQ8FGuuraMsBsPByHml1+eHJVPc290GoyX2a8yhnQoMxl70iXHYut - StAGDK/XkOwNxjXt5bO0wX3YXb9eNpZ5l2JdRUpdp6OGU9YreOi1OmIdutrDF1dzv0AgLk84RHIv - qKtoZmYZw15JiQKgyYwIB682UD5vdXiKANAgAGpB1azcEQxU7lQhgVWVFGZgwDLMIAaqoAYIADQB - FJEFeYkYLzuOK8/nut69/hYbr0atcvB16YcBYLVqgcCX3xrrpVdQiWEwBhlbptpGNBu96Ngr/sXr - fu5l1/1gNZ9wHzcn065Ly0XLFddNlXMLlclolFL2qyG4Z+nSPLCqmpzzYtGa2R//yZ/8+3//m+XS - HMxQxWKxABBCxcwppbqu+769IksQYySilFLpdg+LpVq25LquRYSZiaht29FodEXu1Dnnvu+V8VSA - QCBdHWERQDC6dMB1cCbjnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnviNCCCGEcnllAOXC - x99EvTuEsP68ruu+70MIRHbXPfe9+93v/p3f+R0Ay+WyqqoQwmKxqKrwzDfmnHPOOeecc84555xz - zjnnnHPOOee+Y8p7P6qqquu61LvL11W1qqoS8+66rnxCq67ZlVJus3xSVdVisZhMJlfw9p1z3x88 - 3e2cc84598/iVartUo3MCCCFlRSZKply38fWCEgjGJcGFwMwVhpa10YGQAAKZmRqqdNFwkK2uvu+ - ds9ff/ovn1g8nDbSfp7FZiQJMTIRsSmZMHOfjOhZVyXpsg7WpX9dzlxQFbNVdbsUxQzBQAQmBpXU - NquamSkRMYGEVm0vAiLDCGaAQQUGMyQDiFFu2QAGDAgEISSGrpJgREIEpvXyZEVmQx2HG5RehMAR - MQIKE6giAFVg0wPPBOkQ7yTOFoGgQ8FDAQXlgFKEBmiVlMYQzBUewmMMBoZ+MFusdRra0XX1jbe/ - 6i13vPwtR8bH+mXmnkcatWsrrqsYtF8E5nozJumMspKtWu0M0LerQGYguVQTtKF0awQYCUFZcpwL - i4GDVtN+CgtcKU1iO5md6U8+eOafvnbmK/d95e552r2wc2a0FZL0qFAzakZ+discA0xD0W5VojPA - aGPz0KydIePwZjh/9qxe/MLv//Wp46Prb3/J62/YvvG6a24ch43FwvolGpqOm01LpGAuLxyUIABK - ndEIoECrUiuBywqAYf1mgEuhkOxS0v27hFIGIZRhoVT2WIzUzBhBDQHBlAWiQKLUpfO0kamWvfbs - pz79d5+692NzPp+aHmPknpjrLIGJ1ZKqEV2RrOTXM5t1ozqwRp1JZTURCXUaNVe9hv6+9q6HPvrA - 87Zue/XzX/uKG1+N6U15ZzmJWyFEgUClZiJCDkYsRgarYIEAhhIygKv6ehkwDF4WWMvYOASplfou - yWg0VtWaJrwcHcPzf+WH/ufnf/ljf3/fh0/OvjQ6PGp5vpssMGIdNGsUsMXVMqtwNrq8U7uqcz7D - 42JAh/hQ+XHjYFzGjaoam5mIZOlLsygymGW2MDOEABCJmQWAckRrBIrLgEDE5414D9gDAFVWcAAr - gdQExsZaIqZsAYTAASRQy5KQYxPFsgbBRAODSEFZLJddjymMbm6LswAAIABJREFUAUZ5FiPQtxhF - DhVLUlsYSEssFLHkI4eskpWRyXLq8/bkmJzLN01v+/kf+9cvv/4H5VwduN4axW65K7Aqjoka6rWb - t6OmUktXfj34NjoYHifo+gtmLFmYY24zSz1pmrbrmmbjbdf+xEt++jV//PHf/eK5z28d2z7X7YBx - +BD6fZS8vVEvzAYeXglkIyienCmtazJAYarIBiIEqJAu+zyuQCp7s46MR6NpH2aPpb3f/fz9k7h5 - zaHrX3DiJS+58eXPO3zrJm9L6oONYqg4EMAqqpIEgYjpyY8SANgwbmoAAhZLGlKOXU/dgvZ5bE/s - PP7lh770wKP3PXb24f12T1l0lNs4yySTQ3UEXdzrKsLmZNx1KeeOmImI2GJVISBL6jqMY3kmL+1g - yUCGYDCUqDmMNHFWVjY0Nq7SpDsbX3nD63/pbb9yYnpL93B3eOOIIpOZhZSkkzZFHm3UNfr83bW3 - uPrYVIchcZi1lpmUqo4mTd92mrRp6pxIJVRcvfHEO4792NH3fuz3vjr/yvFj2+fbHQCjjVr7noeq - cVktldA/24VRwrxPMVLZPzQxTKuQc+5a5WBVoMjBoplZstRbv69Lrvcu4uwjiegU82MMJVIm43Gz - UVFV101d13VsOIZAEchkWa0XkV5yn9Ky79q+63NHkRWiBLCBDbVZLYIkoQsVBWJVFUksqAlNJBLk - zpJKCKhjxVWlqqZkZcoLAOuBvXR3h12DAWXqXKbNoxHnTnPCLUevn5/U2w6/4n/82Xcfysd5b1Jb - M591k8lE0nI2Xxw5tL2/P6f43IzT2SrwXGbpALTsZwAYwcgkJACkxKhL3e/gR0AP5IpLzJqFs1V5 - aXt5vLz/sbv/6jN/8fj8ET6kXbskiyljjHFAhoqISICsdulX4PGYrQrNVrLQAMzQLXKoxJrFgzt3 - /x9/fP/bf+CHb3/ZD23U18R2c8JHatqgHNSECMRdbz3HgEsHqKTEwloChqQUNZKGoDUZjHsrO/Gr - We8uK/mBrjOXRRn668OQrUYmnAAwEazGZa/U0PYunw5zfABgCVmrPMeebgyv12Ozh/mQdt1SjbqE - pplUnER7yVkFocbVKN0zM1YBb+2NmUBUCttKqDjEipOIwcoLYUOrWwioATYQyMzWh94AmhjMzKx8 - 3cwU0AxIGHbtoRyhG4iDEbcpE8UA4sBGw3NUQVmIYKaklrUFSGvmOrBlK6+7Dr+LKN16PPNfossP - Y8i9r2a2tjqmIyAolxZ7ICWDkZZ6t7AKqxGi1CM5PGkPvfll73zHq3/qGK6P+3UTmhzyXrrAdZhM - J5otLdo6xrqK3WJBsX5Ojm/uGXzd36I8eSgz7O3Ntra3q4Z/+7d/+z/8h/+zfJkZoihvv2BmERGR - 8qaNK7WYOedhEczWt1/ellEuMlKuFZJSGo1GOef1e0Scc849IwNonedezRQJIAjBhokljKBgJn1u - HiA555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc859lxCRclYdMxNRCKHrum+i3i0i689Lt7t8 - pYr467/92P/6v/3vv/VbvxVi6FKqI08mk5TKdUiu4qnTzjnnnHPOOeecc84555xzzjnnnHPumxNj - LG8gUVURiTGW6/Lv7OwcPnw4hEBEOef1W0SuiHL16XIN+dLtBuDdbufc0/LrxTvnnHPOfX1cQqc4 - EIQwgpIqGUMwlOS0j11Xz4VzDi1rLDFLNh4SZEPBS83EKFtQYkmpn8vO5+/71Oe//Okndh+2cb/P - +xZovDW9uLvf1GNkM0sWUEfAaNlp00TVfEUe2JCMA4jAjHLmg5mNQlAdit5EauAAE5YS0QSgB1Lf - IIisbwEhDrluBUhBBA6Xbrn8Q8bwwwCgq5sqBT4DBFIWqRTgVl1wEUBBJXGnMBiMhyB6uaVSn4Sa - cemHgZRMCRp0CDIOse7y86uMohCX1mNQkHFQYqtZRtdOb7n9DW99/Qvfsh2OYkac6s3AOSU2NFVt - CkktGYtqVnDFCrHhZpmNVl1VXNWTPdhUSYd+9XCPuoqrsRCELccuV7MUu8wIUuWwR4Zz7dkv3f/F - ex+759GdBy/msx0vErcIqT5Gfe6rMUSQE8KzPFwgQzA2YsKl2BtMjcJy2cXYxFp3522ziZb39vb3 - 9uTc1z52/5Q3D20evu7oDSeuueWGa24+tnXdRr0V6ipoCMpkzFAycIkvGsNqpiGQSQfu2siAgPIi - G4OuzJZyBa27zquVVnLoc+gkpExCRNEqGJlRQs5ImdtHzzz0+LmvfuVrX3z8/Ff7sJtHXYuZVJSE - ONYxNrPZbHMygaDr+9GIklzVcA3XgVkDKzHXDIhloUwNJe2X2gdgwbPlhdkjZx761N0fPzq+/jUv - vOOG4zcfP3qsCrUmaxEim2oGZTImGbM2QRjgYAAMpFe1eS8MNsBK74dWGWpNmsabTZ+6DFRc5aWM - 6EjTbLzjxe963vEbPn7vhz7/tU92NY82KUHaLDUBBBpK2yysQt9M2HP9apEBUF4VirTtAQSiSDUA - UzExwEIERRCgYqpGFDhwJqUqmKmomAlxGYpKGB5GYAQ1I8DADAITgxRmojYMIESAkS4URBSJCRA1 - UoOakUVisBEMhFx2AQwCYqCczNQqVJGDWlbLVaBOFQwtKV8r0W+w8uF6uz0jd9z21p+8/RdPVLfW - s41xmEjK4CxGcdSIULvspvW0prBs5xQvpc2/R/GBKClZCWeCiMrJh+Nm3KW+n2vTTHSZI0Y31uNf - /alf/9iXPvTBu95/KHQ8ynsX0qgqEw8YwaAKEBiAYthXymqKUrKpImVzQgiIRICV7mwdkASBMdqI - ptTmfRHwiMKU99Jif3H+kQce+vt7PjzRrRObzztx6MYTx286tHH4mqPXbG5u1k1jZmQMUEC4/CGW - R4eZGqC99ot2dn73/Nndk0+cf/zs/MwjZ77a8rKleQqd1qKjrJQyiTDA2G37oGhGERnzRc8Bdc1i - aqYGSELqwczjpqEkDFXKAISGhneJ1ZIhDPv01bRLa5pPtvnE29/2rre+/J0b7WHer49PjuU2ERui - RGKKSgBZgpEqg59jJ2eSMlRRYvARUAWYFISsZqZVVUEARY2xdF1I2y+/5vXhHdWff/Z99577x82N - rY7alPrAsNUgVnq5w+znwKTrG1FVQ6TWFNKJdFIxJhWbmSTrNIcAYxKYElDDYivSmoENoUEkhgQo - LXSHiFkCliAiGJsZSBW9oZyFCyUQBxuv7puNiLKpWXkSwAw2pGQAYkBFUAUZmM0MVRySvK0k6UEB - dV2hL9uFgjKgut6VGZdpiQ27CVXSYJqz1lRthI38BP/wS3/0R1/304f6o1U/qTHJKY/HY4MwczWq - F7N5ZLpifzv6XlCGO1oNnbADYw5ZORIACGAjZM7GIqRP91vuIVe8/lgCxhLSmd2T933trru/8snT - 8691o/2uWbatcUNm2D50aDabM6GqAjMRWEXCFZqbqCIElHQ3EVano9N0MtrdXRzeHPe0kKr/6/v+ - 6uNf+ORLbnr1a1/81uu3bj66cSKkWlMOzCGQmRiEzFZHGkGJhaCkDI0SGeNg46GFbQpSXOVudwl1 - l3tki6tXkIEMlNQ6gdhIhbOxKClbxIG5MS5/pVYNaQVgnE7vn7r/4bvv+vInyuuVxsu2V2qIrZ5W - Td/3qe+m03HX5xiGQvYVeWjMZaMth8xWDp/NwFVAycKzgpnMMswkEbGSkQ3jYFkIU5Q//jLIyGg4 - hmUiy1kVYJgRMZERBSIiJTLwahMwMjM1hSFUscyscjm2Nik3O+IqJzHVGGNVBzMTVctGzKXazgZl - gLC+1gGtjsFXwXVdvQrD/1UePr80WQWM2IiFhikND0e+AEASAxigmKe3bb/iXe/4mZcdf02Yjce6 - iYy2bUfTGpFFctvNgnIdAxlSSszxObb3dVfY1vb26dNnfvM3f/Mv/uKDIUAEIVCZDJc3XqhqVTU5 - 577viegKDQ9gZlUto42q1nWtqiUTHkIoyXBVLcVu73Y759w36MkHkTR8tNUvQIgum0A655xzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc86575QQgqqWayuXs+2apvnmLqlcVVXOeX3J5nJp5pwB4L3v - ff8NN9zwnve8J+ccuRbpn20a3DnnnHPOOeecc84555xzzjnnnHPOfduUN5MAKJVuAKXkvbGx0bZt - VVXrsPcVvNPS7S7XiA4hAMg5+3WhnXNPy4cG55xz7vuW2ZCHYWbTzMxXKlHznGPEZHrg2VOCkYWK - +7YDmNg0aBp1X939cr0NNWEowKv/yo0gqWRp23Yxb/cv7J47e/b0qTOnLszOSLXoqy5vdDn0GiBk - qV02TU2K0pWFZCaIWKyiaAl2PqtjyKf/4XW3GygNjOERJiEggIBSbSXV4QeG/9Z5WjMGlEqZ7MDz - sz7HwcrXV9+jUkNU8Oo8i5J1XAXDGJfduGKVc6MDkWZWprIcHMygWrrcIECBbLDQAgiGSKiMWMwU - UDAPyy8MDdBAycwyxjrSXjhwHcY2k7qbvPz6V7/uxW9+1a1viHlMueY+EEdWQs8VIkiyZRA4AhAA - RsiUyrNsxLBybwwLBDN6xpfgCiDly5omB1a5KmTJPXqru106M8vnv3by8ZMXHn/45Jf35udmi/0e - vbEZqZFVhGCVSjCVSJr7rAyLyM8+RhwUStG4R3n5CASFSeTAaqqIMQqyoMMm9u0cqvGuLU7qqQdO - 3x/OULRQI1ZWnbjmxuloa3tybGN8dDo6tDne2to4vFlvber08Pj4/MJysxmZGCGoLEej2K/O3rHh - SRhCtt9tUrLJZNIv21CTVflCe7oLuxe7s3vthbZfLJfLxWI2W87O7589vXtmbz4jqQhqYS7NwkKb - ApSQyWDBQCmlpmmy9GQaIlK2qxqwYUPQoaWslDOpcVaGqYFQBRDBOHW8zJqWsv/44qG77/xow/XG - eHr00LXHtq8/unV0e2N7Y7yxNZ5u1kc26utq2WYaIwfJKdI6/3dVlLSkEoIJl60HALERLFgrrQUl - sJpWFEkbtBFd9wNHfuD6O244cfSFn/jKh0/Nv0bNHgjGaBNqlhg455YIoYp9n5kQAmHVTmYGQCLG - QxL4SeVPLv3RVXRZS/N+9Q9RxjojEBiEUMq66+HEeBgdjQCjkrMlMhAABisplb6pCZUGKgEGU+hw - syhbyvCcGwIijNWUDCUqCgZB1dhMlagUz4dIJ2CiTVVFrZBMRJk4IqScIsFAQtGMyJiNSI1TvcnH - f/aHfvKNL37ntlzDe6HWyNQjaFLjMEmZAcQaooveEAPke/yMOTIYgQ2K0pcuXxYx5cAGS2LMXINV - jMBg05aOhut/5uX/7Qu2X/w3d//lvWc+tzHa15gWvTCjCtAMNuUIgYoAjFDKmgqzobIZSgCehn2U - rVKdZggGJbSWjaE1AAjMshDAQZXbNE17upzJuS+f+8d4hknBCIGrSTMZj8eT0bSum6YahRBKfVxV - U0p932dN+/PdZb9cdm1KnbJSICMViDUirMLZSLRMLUqoXgFFIAZHFQYBtQqyriLFZAiGgBracDds - HAQSVgQBwWyYSETOpUkaEEwqM2q6rVcef9OPvPYnb732hVjU0cZV3SyXqebABiQyJqYsZEIZbFd3 - 9PkuREpmRij1bhgBoQxKFiBmzASjPJSsNVowGXc79tKjb568+bqNO//o7sc+QRtdbtAbAFQAA7mD - McKIc9bVeHbgPld/HXnq4rCVDnMZY8AMEBSAKAAQQmCASSIT2MggZkMre8i1D9VwBgMQNQHKtJVX - gx0DzRAzLl8YytAKVdDwG9JSjOaMKJE0GmnJ9jJlpWErEzIr/zgiRCghiwSObDBkgyqhTI9hRBiB - qE89YM2IDEkyjFDRyGbNFl33I6//qbe97EdGuo2OI0fVxDWgGQAZq2aEMpkk2BXKR39v4FV5uszt - ODGMlMtqJBpC1Bj6vG8TObn7sDa9PaVvbgQzS5JSSl1q5/P52QvnTp8+fXH33IXZOQnLVO/l8byP - OQdQGS7N+m45qlBS9ISQc2SqgP6KzOeZYSX+XJbQDACb5X4xHaPPnXJMTG1IC93/9KlP3fnoZzbr - jaMbx685fOLY9okjW9duTA83dT1lZuRQStkICs4MI42KCSZxObluelNI0nd5Mq261ALxKZOQK+pJ - aXBjEIyUDFTC3UQ5pxxzCv3Z/UdQZ+H1RjrsvMrhtkhKKfW5m8/n58+fO3369IW9c+d3z6S4TPVe - Hg2vF1avl2oK0FBD0pIpwqJkEF2Z1+uy4+X18MVQyDBU0TBGlY8GLWPIekLOBiJY4AywsdLwESXg - zWysw6ysDC9mbFSpkWA1QzMjKJlBoKI8tO3LgikgwNKEAshg1icDDSPh0z4kAKBLk8/yVV3dIBmb - GcSGuSIzgkFa1PUEbF1qk+XQgAgpoSJEQ5TY0JhTleZ2w/HnveE1P/ymF75r3G01s6qSSJrBiE1M - kssmHMiIjVWMoRSfU/te960oVWxTVFXVtm1JZcdYnTp1+jd+4z133vk5ZoggRk5ZiQjDYMsAUkrl - Rp52EvLPKlcPKf+2XO+DiA5ePaSqqrKE5QeAS7+M/VYftnPOPceUcTMAKL/CuvyQUhlMBqJsWn+P - /77IOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPu+8D6NLp1TjuEYGbMXC6yvL7O8jrv/bTK - aYDrH1ifwRcj56y/8zv/17XXXvdLv/SLFIIKTDOApqn6vufhpOOr9Picc84555xzzjnnnHPOOeec - c84559yzs34byVM/Wb+xRFXXX3y2yjWicfnbUYYL/pc+EBFW14h2zrmn8tHBOeecc+6fQShB5hI9 - ZQVKky+nFmQhspnuL3fvefBz/9/n/stFPR2iKmc2HmJcJdtJYIZYNhNlYQaCmKlM+lz1ylkYQkMS - lVUJGqBkyrhUsMYqKHj1rergNES71/cOK98YwuRDaxZP1wt+uuVkuyy6EZTXobJVnmNdWStJ8NUt - D4VvHm6GYKrGQAAz2SruzQCVRqlCM7JaZMQwtA7NIIAYRJHEOPKk2Qh7VOcp53hscvxVr3zt6150 - +y1bL2r6CRYxaGUEXd0pLBiZogcZG4SGZSt1c7v0wEqsu5zf8W0IiShIYQyi9UIoYTmf1xsTMO79 - yl1/8/n//PjiocSQSZLRckn7OkYkYopQImNmhtjwMIeP30zurry+wSDGZTMBwKSEninS8DLACMIQ - hkEJC9NAw9oOJtRKDL5w9iRbjBiT1ZDaJEaqxzI90h75tX/1nmu2n2ed5myTqlIOuU8cVJ4aqSMD - 9LsqdTkajxfLJRmHSHvdzns/8AcP7N67R+fCBoR6mBApgvScO+pTjQltkgE8N1YcSCajdAMBoPSe - VcooZVetE3+AshhlYV0/tatNEIBK6IRTH5cg5bEuBXuG0zuPhAsTlhisGiFSsipNx/m6/+lf/S/X - TzfrOErdYjSdLPt0VZe8LCFIYQAxwDBWKgM7jPJqNGM2kPGUJ/MLe8c2bvgXr/6F511368fv+9AD - p++Z0/lMqWkgsD73FkEEzZkCCJSziYAIIQx3+nWSbcNGUTLGNAybvFrQYYM26KqIyesk80BBeinG - eTCcacPNKg3bcwlIs60/X31cf5dApgeHbl3fJumBIa78QwYQIndd6nJqAlchqgmgHDib1jFaMk2I - VlEXN0aHbrnmBT//1l+8trllmo/pHlc5xqpiSlrGdovlKSBkkKwePl3d1OjVNURnrVTiV6+jEhgs - tMoGg9nAVvYoOq6afi+lXX7Fkdtv+bEXffLBD//t3R88vf/o5jQlars+MRAYlJSAiqGKss0EgBgE - MBFyacHyajkurRil92mX72tLIRtQoz7HS+NMNIJSWbJdYyyYF0TEpHTwd9mlZZhJwohS6K02bRCJ - jal8l4iMsC6DrkUFDIYIY6OSeFWU4DOtW85ltVQYcyCFgiJBtKzNpGSoGyBBezShCX3Vz+2Ga295 - 0w+84003vfNovD4vkDtQxaqqEI7REoEiKUBEbCA1MkAU4TlX7wZgYbV+MkrGG8ykAgMNPeyySlVh - FFBdPHnx+u2bf/XHf+2Dn7vmA5//4zwNVHWdCiKSINQAYbbUpnlyyRdAOb326y/UwQmlrUZFJf7/ - 2XvXWFmu677zv9beVdXd53HffJOiSJqi+BBFvShLsmRbVuxJYiEzyGsCOOMvNhIYiYMZYYBxgMAY - ZL4YyHzOYBzbCZABkgwwiBGMEcUZ2ElkjzWWLSuW9bBkxYqsyHqQvPee011de+/1nw+7qrvPvZeP - S/FQl+L6QSr27ceuqv1Ye+0+XfXT3WgJHbu1jFFxHE0cVcGU60TCqCXsxJPNjq5p9N0CxTavG6bE - pg4QbhM/JQRmNoiICDc5pxEAS+6DNvNuBilpWEKwaBBKCMvD+8498t+8/688fOHNfEZnYWagpTKl - j1PGCzUt2Bp2X0dQNmet4JiaprSeNbPYNCnlxNLM45e+/pVf+uX/7Yp+I8fV9YVIAMlMEyFUSMs0 - 4xAWWnRd4ioHFK0zIEDUxVcVx0+zsFAg1Bv06VeO2vNrcCZILTkUiEmbejzzzOorX1x9OvznDqWV - 0sHQQqJZoCkVbIpoVgCWl/359uJDZx/7y3/mr59vbg+ivR0hCi3ccGn2yp7EWFncjq9cUtBYZ4DY - NkmXf/CHn/pX/+GfX5av57je/fCojo4y+XENKgDNLGPQPbmmvcDqn76uvUywEWOfDrIZ/jfaRe20 - wbZvHo+NWgTAuKUCUNTHsj3+ug28dsmvkw5cd7zgIFQAoOi4Lx213DatnTcHvT3y2tWvDymmIMfU - MAZIgAG5IGcs2nkesgXO2s5CGUoqRNuBPSK7Js/LVb24uPv73vOD73js6QO5LRyfadM8mglMx7WJ - 1katuSvryoWB4x+n7BVRrTvf3QzDMJ/PU0rr9Xp/f3+5XIYQPvP5z/303/7vP//5P4pRMSWlL3z/ - jpul67r1ehuvamZbbxTiOI7jvOJI/XKDwJThBAJGLUJFkhIDRdE1gbl8Zw/VcRzHcRzHcRzHcRzH - cRzHcRzHcRzHcRzHcRzHcRzHcRzHcRzHuSEkSyn1Vsv1cr/NHZNvlpwNQCn4e3/vZ2+//fYPfOAD - OedZG0kul8u2bYehb9u2Xvf3KtzgyXEcx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3kZ1B+TlFJIxhhj - jDnnb7MoADFGM/P7RTuOc1O4uttxHMdxHOelwF01l4kSpk0UKzRDQLff6Zx9c3Udj0tIFBMqARll - b6BAVYhCloIy+rLECjICJpFV/YgJTACpsk/sOAXHY7i5Vd81QuwXPMnRszb6wut57xyCEIAqdFLo - QagC0xctfsedfMKhJGaAylYQO8q9JkN5IDgZu2V6MKrdZNQx1Qs1OGnMco/ZLMSoJZRULBeEMGq0 - zRA0dqEthTJkSWgk7OfFW+5721sefPqecw8chNsW3JcrcRjyLKiiiClolFgQimhRKLtpb6aoS3Dd - kTiK1PqRV8kYLZhUu1PNVjNrN59Lo4X5yvDs147/+Dh80xbtStYlJSo0SgmhgJYzyRCCbAVuNp4S - p3/cDNWpSWuLGpgn7y8gucrkCBQoaCQgCKRIHpudENNMVap2kYbBEpDQrACsSVvtdUXavbBOa+vL - XncYNaYkxYqO5x+uO5hbi2JrIjXtYp1X7X67kqXNU+jkWJZUq6I+Ki0I26YJuhpWSoOO1wjJaNxV - IQQDJl07x/8r5BTt3SaAZgBFc/Wv16GqRLD6QA1KsaK5KnbaEFCMlMxQCoEiJQ+QeRs0ts9969mD - i3scJKdEllW/hIbTUzUrMbqupZigBhcwEAqKQEEDsiDX4CsAwfls3wawxzvPvu/J73/7b3/hN371 - d375K8vPLdvL67Bmg9hKKmRGVAglBmmi1Cu7cjYIt+ruE01T5a46xs+Nr1Gwe8GZ7chrwShbS3eG - GE6aI7cdnmMk2kgcFRsLsu48Y6MdeZI3BxpgnMJImY5qY4uUyfUYzUywXmM2b+K+5JxXeahnmjOb - BleP0yJgP5yRo9ml+Rve/cSH3v3Iew/LQdvPMDSKELtoxFCKCUSCwAJ1VNHDTKpP/FVQjZ4mUuXC - 40kodbJ3G6CKUmcKG2eNDDGz0jSh0wOsdMHw7tt+5LE/++5f+cQvf+LLH/um/cnsbEP0UqyTkEsp - IkWhk96zqoJpDKMVfuwtChiMspXBCxCom9as/QGwjbS7dq1kFGVQCAQwUI2kiQQBdONElCiqCMre - BigkQBSEUWBW85/xrbsXO9a4oVSD1Z6msHqcmxygmlkVWWBUJLMsAKEqQRWiBVRlGqBFY2ntanep - u+udb33v04+9556DB+KVua4aFmtiiEFSSUUtoahSTYAGoDKTkpXY2JpfdxAwiCqt2rsBNQCwacox - hZnoyvpSyqWL53NZX/7T1Yef/G8fvffJf/Zv/8mfHH1xsVgeDVdDJ6WQgoNDufocZ82NdvY8Ks3a - N8rYQ8bwuBn+rKNmk4oL1BAINT2poYcRU242fnD6hClUpsSsTppTh9QdRzjGAhVFM7by5roZddq1 - q9SUdZpZYCh1N+MZAXXkxGhdU2w4Kol7TacI6WqS9f73PfrhD73jwwdyYMfhYLa3PlrNYrOYb/yg - NEgNvzUZN+irkVbeMmyUxDV1HJ8Ua2dN6tdgBJBzjlHiXricnlmdeW7dHl9fjpmJACqoAUmIoCJc - WxqrV6Z5lhBaqHp4Aep0qAYkiN58RnwTmCihm/WOwIRGNYpZg0ICpswalgEhWBQJQ6EAbaFQyK6I - 5gChzfbj8epbz9k34jkdjlMITZ+WXdOKtK9CVlynFRuTDppY08VAQUHOuSjDXiOdPZO+vj68cuP2 - wrgCIQsFqlDVEGWZ19e2FzbebuhmfhGDnHp7YTJzXzMsZmmnAAAgAElEQVSp7bKJNnXCKWo86fne - vfj/mpYxwRBOTEmbwmVK2HZfKgoLY1rOTV6HbfJ28sA2T+q0uzERJVAMohCiFLAAgApilDysVEAi - p2QFEEQDB51zP67aOxZveO/7PvCuh99/Xi+Vy6EpsyjtuG6ouS0lFgW0qBVFDkYxUIUaSgAUklzd - 7bwozaw77lc525kzZ46Wy242/+QnP/k3/8ZPfe1r3yKQ8tiFCCWvWU59W9R5WUSaphmGYXOjEMdx - HOeVZMqNahpTvz0rMv6hRMev2kEIVivLyVJ5XS2OHMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdx - HMdxHMdxHMdxXkOICIDVarW3t1dKUdVSysu44XJsmpQSAFVJ2f723/npf/JL//jpp991dHxFVefz - eUqpaRoAwzB0XWcvRw7uOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jnDqqCiCEYGbr9TrGqKohhPrj - kJtCREjOZrO+70mamd8y2nGcm8LV3Y7jOI7jOC/KVoys1bFNUNQsKSWlBIO1NqC/as/14Soa7Ki5 - tiKJlNaqGhqpVmQTkNz4hUAFYlVUQrbSuGoW3JEynzICZQZG6ddkT6v71ukttnE6C3Sr/pKXaEUa - ZeKbk6nebsr2SeXkSkStmY2ZdPO8UQW1AjmKSKvGYzbrLOfVOqmiaUVVicKC3KPTrsGC6yA9LszP - 3X/vQw/c+cDbH3pylvcXOLcfLmma2yAkm1ZZehMLJ5bYilFHZwFCqMFGx9yOD7UennIjjTttD9lm - R1s/MICUUpE1O2MspV3rPHOhJaUQhYQgEDCzAqqAYiVsxaXfhr7UIBmMQACDmpoOo7x2VwIPgBEw - qXrNjYKOIAWUApqZoUAhSgSIEEKTwPUgjZU0dLN5I83qaKVqbdtmW0NsGqYiVD310fIyMDPr5l0b - m+Pj58ghy9Dz6pXyLOdV7hfFSLOcc5JSCnRSl1bvMgFlBKBTv7JpUNiroSq3orYROQMb1eUUxKi7 - uh0D1qsihAaqZJGIVgMJ2HJ5HELbLg6Ph2NdLy21Fw7289CXUz4FqccsoNioKrSohDKCABsIIGaS - S4BQSZS+dNqcac6kI5TMt1783kc+/Oi//+yv/Obn/5+vpz/JYfjWM6s4x/7h/vHVIysWpH7xV0iI - QBSqYnbjE9OT8WESTwI7E48BkKp2Hk2xo4B8e0oQAjIFAo7uRkx9Y7vlOD1RtDpJMc0vFMhu4J3K - pkzOb0yRdowSGoize91xv1r10AYasCZIdC1swLnFrFzmAgfve8sPv+Ph91/oHji083KUGon1TEmu - rRAhNAElKwHZXGj3KghGX1WEWmt+dEaPKuIqpzXuxF4zMwMtNBrmehBlb6Hnf+wHfvIdf/r0r//B - v/70f/7tVXkGYcgsTdfmoSBU32v9PESmTg4onzdpEKparC+OnUrG+XfUeBMm0GbUgYMEoSgQRSDM - KEV2gmyBgYgRlHFGIkGrWcJYLHDCNio7QUNRdYu2K47ell4HLACgaSSEQHIYSs6IIk1c2Er245mZ - HTx0zyM/+LYPPXzbm+U4lK/FDm2MbWyQS+mXKwnatMGYIWEcJxBDBE1or0dpKHXHLr+xd5PQTYQR - IQCTAhSjNG1YLo9gdthc4JL3dU/8jT//P/7yx/6PT37l4+jatawKhhhLf5ln57rON1GlW7U8drMp - YIxvRhh2IqQpwnjp7NhrNjmkTQVWTMbZ03Yj56YGtih2dLaGbGEaQazpMarAftodwNq7x72HALKO - lVp1EIEKkMA+a8GCHY67spKH7nrsvU986Ok3/nB6Luwv9g356tXj8/uHDeKV5y7PuxlFWYV1YhTl - KB1//XXREQEVMgUnslhqxWbzvbReDnlVQgp7yG2fm/6Gn1dVqkBYzIqxzn0SxyCM7byGzVKnMvYi - yaedUVJQoBQNBoiFGpHMSpUo12QPMIFZMSkAcicKWGFdVibVrKawNJQse70si6RVHs60e02Y26Sa - PlVqBdYtAaNAIMKcUqdt13XHsh7KKocU9mTo+hxv0F4mEKnLNytmqQ4ng4Rr092a+dTJ5cRkd/rt - BYydRHHjebZGLaViXFPXK/2ftw2uKYMCUjdBTIAiENYsYvv+rc+bUG6LV05LualybpQP6BQ2t95u - AF0XzIxGEHXeDQgqULWgAoacQGrArGEXS3f/pQff/d7ve/iOR/fK+X07H1YLXYUuNqZrSF0mC6hq - GqgArK7dBakOS9MAvT7/dJwbUkrpum6xaIZhKJn/6qP/98/8zM9cvdrHJpihlBJCqG8DEEKoD759 - 6k86zGwYhqZplsslgM3tPBzHcZxXDJk2xOab9vEPJgQSmYd2FtF2XdAQwZu7U5PjOI7jOI7jOI7j - OI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7zKpFSCiF0XbderzfX4t2stxvTpXxmVkppmuboav9T - P/VTP//zP//EE4+nvE4pkWxirHdwFpkuHXccx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3FuMURkvV53 - XWdmMUaSIi/n1uT11tMk1+s1gPp4c3tqx3Gcl4Krux3HcRzHcV6YUSm9Y9sSAEK1wTSEYAEBIQSN - oo2FOVMZKCfkx3XB13WgGAkrIGFVZiyT9po6erZk0kALyqTArPsWXqf2esmMuuzneW734gOZ5NnX - 6MVG5Zuc0FFTtkrvG+xhW+joOAQQJvXsxnZWa5g7ttqNXUwmU+zGhj69ZILRhhuCiAirqBYqLIC1 - rcQm5FL6VUFGp+FCPC/Lbp4P33D+TU899a43v+HJs91FSRaXCKo05JyzLUOIjbTMBQgUyUoTglmJ - QATGXF27VXg5WhtPdo76rx0H7Y3r5BWCo3ptdJcLDGICmy9mS1trEySgoF+zFK5NGYw00LKIBCJA - qKJQ0ihWhZ1lalMlBPbSTd5WRajMNvqzJZhCrQqADdV+XptZg6nQ1PKmz1eNeHXIiRrBccjYJLC3 - 5SofZ6ybABUZ+h5m83mTywpiMjk4ZUdld6up4ArNUiqDtE1TuhCiZe1neziyXgixEhhaWKOIDBmW - x44NHX01o2kPMg4FBSYp2amr4ikoik18CwYZ7YA6vjrFh2AwIBJtDAKSZmRhJqywkGmxH4ajK7Pm - ogR0XRdyzGWwkqDd6R2/AFWjWIQGo4Aw0WyGYPUcIgQmBZqpmaIBs2CaAUsZwLxbLMKiT4sPPvgX - 3/nAB/7fz/7qb37+1+fxmZWtnvvGcWzbtg1CK6UUMxHEqBDmPEWK3d7IbUvZFCR2TIv1kdo2eCok - m2ZM14uNdtixVwAcB6xVvyxuNF9szbh2/avU7a43sbc26UYPaVCKglpEldavVo0ixMZECxEBckAv - C3ZxPX/b/e9435t/6P6zj+/zUuwXssK83Re1bKsC0xA0tiWzmImYokg14LIhABGb2uu1S525amgS - 7vrIx6gIgVXBJyBAXuXZbB5msc9pyZVADcirHNPs0cXbH3n6kc/d96lf+9S//uMrn1/Nnnuuf2Y2 - 2yNgyJmpIAGjvRubkAuciAmsgs8I6hgwxYoCyNiZdoOpWKwj2qSQApKkcYzWQXV0aUm1ysIgJLVA - qiaZUxeCqqqZ6TY+1wdak5ykpjCTUdodDKZQG1WjGI22I1Ekr7lCDhExogsS86LtD9r+8MFLj7/v - XT/02H1v6VKH59jFrt1vJFfRb6FZIKJGVe37IUYWaM1JFAA01PnpdaVGpnKcN2XyyBtEpxy0PrBx - wqaZIAhFqRosh2LQIZ7RC/ty8GMf+FtPP/sH//Jj/+wPn/mP+/ttn472JeSjHBotehNVWjadUGxM - PwDsNMwYIatXHoCCNsY6ww1i2niiAtsk1bXAbZJg1Qy3NQGPb1CoGm2Td+vGJr6TBtfOWXXPAsQA - ZJhBVEXGqU+ALgI92tRg2Zxrbn/67R98xxPvPxfvml0+3EfHlMS4OLO3zun4aLk/2xcTEDkUilEU - sDpmJ0346wmOOY6w+qALqDmvZrOZDDL0awQJjZa+P1pfyXulCHeXNOOMDzIXcpQTqyIooMhTpFJA - qELTqQ/s9jdsZs5TvlibYiYQQaCBGghAhSjZIowqAVSRIBDAVAYgi0kdC0hFNKkJbD4LSp3vLYJ2 - Il3JEdKVtA4v6w+QN3MCNbYniO5WlAhzSamk2CiUWUpflstybLi2vcZk0kga6two4/+gMI7S7k17 - oU4328RmyqZ2njkttjPs9gDKTgXXjkTWHMDqdP/SG0Bss76r2GZHtpPgbSZHJWLZff/2UwBQI1F9 - +Dx73DyfU8kJSjShWYSOppZpHEKXSyqa4yLvtfnwwuz2x97wtkff+MT9lx7UoZsNeyhtWhlU5vuz - wsFsDWRlY4zRGmE9DNalRJGqGpdQhx5htFttyebcUtQ+HELT933b6DDkf/Ev/s+f+7mfW/YDgZTG - 0SAi9c4dMcaXcQuP5927WS0TwOXLl+sD93Y7juOcFuNXXQAQpieSDU2ciTQYDFf6slrZ867/HMdx - HMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdxnO8w9RK8ek/knPPmurzq2L4JJKRUQgiq - rJ995plnPvKRj/ziL/7C2XOHXQzDMJQipZS9vb3VahXUL/1zHMdxHMdxHMdxHMdxHMdxHMdxHMdx - HMe5Rem6DkCMsf6qpOu6qt++KUopVU+mqlXafQpH6jjOdzmu7nYcx3Ecx3lRDGLV0zwpsBRg182j - qGRkSyWllNapLHMZqpl4V4A66qgTqpJNABUEhSogIecCqrAKkk0nn2v1KFJH1yZgVZVpN+WmuHnP - HDcWx2uLej5f4GgWfMFSR81YrY3qKh13t7V3v8jHq9F51AYLIKw6twABtaRshgCoRilBcgh9kMKZ - hYP52QuL2++7+MCb7nrs4bsfPxMuyFGjQzsri1ZiSoMGy1LAIk0IGqVwGHJoFFVHOrnSpiMV5cYi - OGqtdZKl1YPUyXY51tvp2WcngzjqUUApJdBMC1nW63XWdS6DCLTBABIgIWP9MUio51bMICaTIn2y - Wqqgej1fsipyVGMaLAMqVFBhGA2cJ512VVdPCEhWoV3V3AIAzIAAkVEkWtu66dq9s4sScs5DKqVl - bGJUlbxKod0tXxXlpRvHX01mbVdKSetcpKyHVea6YJ2bLAIYCrMmQkiCMDOE8aQU3KzdbCuG37GN - 6qtirdFJnTi1YB0mY8+vXUUnsbcSxYoITFBISIEKNYNYW1H0AwYqU5/m7aL06wA51W+2xlAMBYyI - HDt2gRikyowFjKMdCBm1H0JDCCIslswoRWHNhb2722HvR9/+19795Pt/7VMf/a1Pf0zLVW31yvE3 - tEOMMTAUS6W8oE1IcJ1MUYndoK06xX/A6iHVd++cy2QgHuNAja41NGUIeMNZQG7wTwImIBCed+yM - Ysja3Ka5EZCAGUqI0kTGkPfa3NxzeO8H3/uhJ+995zydjcOBrhotXCz2jq9eaVq1UNvZhFqV0EGr - n3VrdyZe+55aGSeCUZBMnXTDAMy2IVc3IuGDgzN93x8PR4gijRZktbBo5ppFKUyzt93xnofuffhT - f/KJX/uDj6YrnzEzYl0CECU0wdSMTAVRobZj+pTRiCnc2tBZY7XYOHh3rMYGVYEJcjIGqIpKFBFM - 0aaUQhJAQQYgAgpUwFLd4SICiG4D2bU+0RETo1gRE46ubgFq7wgcjcgmAGycI4wxShdUKRxCGJo9 - XDyjt/25H/qLb7rjybPhEq9qqzNVpFU/hJJzIktsNMYYoQSLZYpRqqYaMgW0wCnHeOXa/zVA1clL - DRdVO1sd6soaWASgafUd02Js+r5HiG23YEEZCgaxpAfh0r3tQ3/zL/ydj3/x3330N//lSrpmHo7z - UY/lTQ3hmm/JZooBME0oStiUQ2Kjda+HOymZrytu7K67yUDNIWvgHaW/HC28yprN1jdU4a6OwXCs - ohOBc1vqlIaRUkABVBWAFdBAajqW/XDY9O0j9z75I+/5r+84eCBfjmcWF0vP/b3Z5dUytsGyDct0 - dv8MsppNpycyzu/czrCvG3SUtp9YIYgJRKRpwrAqVoyqBUW7ON9vV6TxZHOTAJq2MTOzTEJ0bEWz - sYcoo3Cc9eSEIBlj4OI0j59udLBASHVPC0xtWv1hHltUATkpxsJsxiJkK8I6BYMgWeq/ICWldUnZ - BmtLk3OJXYhxwfJqhDeph01gXBtaLik2QYmcM5WhDXER2nlY0chrapUAmqYxM7KMxQEASk0QCLFY - n66W700eRQCi43q5VuipnievzaNuuJLdHsNLSNF1tyrGDNUAZc0Wxi8hbuy3NiBQlVpnzN1Dul7a - vRMG7USBAhAqMm9DZCNFyzEslVZnXXfQP3tlrrM7Du9++MHHHn3DU/ede/BcvNTaHnuVLFUr33ah - 0NbDkXGIDcdlINRk3CnVigKUYHV9o4Fu3XRugmEY2raNIf6Df/C//sN/+L+TIBBjVNVhGABsdN01 - a32lEJF6c5A6rQBIKcUYX9m9OI7jOCcyrKruJlQwoJTABoYMXFnD4n7TmfQqJ5J/x3Ecx3Ecx3Ec - x3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ec5xYhpdQ0Tb0KT1XNTERu2tsNxBhzzvUOy/WuL8Ng - n/3sFz7ykY/80j/+hWFIi8WCKCSXy2Xbtua3YnYcx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx3Ecx7kl6ft+ - NpuVUlRVRMys7/uXcZPn6u0GUP3fmH6p8sofseM43724uttxHMdxHOdFMDFIgYwmsElnaP2y70Ls - bN41wZo0a+dt1yyJIDLa5gDIVtqsQSio5k5ScrG0pllpmggxZd4sCkk1AU0pZjCdjJu1MN1acm+a - 62Vm1wjACBQZTxCwKnneNWGMXjHWwtS4NRoCL2YmYzVwn5As7h7ArmRRx12ckHaPWxn3JQqtqs1S - pKAL7TzuyarRITaYnd+/4w13P/TQ3Y/cd+mBs7NL87CnWXlcxBhVmxlLee5oKCHOFFFVFTGnPJR1 - CGG+6FJKoAYA1a4qRrFqlhYgcLRLjvVKgGoy1vDom0Q2UUwe4FPCEKeGlUl7WwDLw7qJoZsv2tgB - YgWmIjHAipCiGJWVJEHj+GGp9U9TVgEeKDdhiydQFAQCshoUUQgwUqzothGVUFgwA1BUTMhNZe70 - t1H+V1WEIgBskMuXL6+G1TxKE0K0aCmv+3WIUv1zylvabKlAGoamadpZu2JKCm3AlleHnDsIUIAi - JQgoMgQF0JaqMY4Y9XsZmoFR3lyrF6jd0iZh82khRKhuegBQmdy62Bmh9TiVAGECU0EAlRkgBYFV - 0RwS9uaNLEEyZxNhoESN5OiPPR20iAIwtpMBvUAGBaAJ1oAtEEBRi9UbSwpEM3OxbLCmCUEEufT9 - Mkory+YCmh999K998M1/9j9+4RO/+ru/wvn6Srmccx9jhKAUaEDbhpyvu8ZrI1PcqrWV0G3/hwFZ - J0WlnIi9oMDGOs/TCN2xI1Orfxc0wbb856kWGx3wshvhAWiBbuItUKN93o3zCWBBG2QWZv1zJa7a - t97/zvc+/gNvvv2xvXLYHs05iBmapmGDZ9ffXBzOVMSyWs42rEioxtjNchIbA5gFAGLBxj7Plzvf - 3QpsDMHVXgkIuJkltM6e1aVd2/fZq0fdvO1mi5wHK6UNIUpgSlEbY5aA1aqUVfvUPe9/5K63felb - n/3oJ/6vb66/+uzym8uUKRQVlVHHbALdhEMZh6dgZyIesxTb1rAANYZLFgLQqTsaCDOamRhItG0s - MJJBql8YdU6hYNQuC8hCkgQJ1RtMI7wuAbDRQK9TdU0HCYNkAhZhRi419N2BXXjwtjd/7+Pvf+qh - d8oq6tBIKkHFcg/VpmvN0M06YwHMkEvJmTmEMJt1OVOogApMaRvJ+tgurxsMNf8EULAdaKowG6XF - qlTUWM6AFIN1GrSkYZ3WsW3iXDDk9XF/1+Hdq/7Kn3ngR5+4+63/5hO/8ttf/I1VuaJnGiC/xIMR - omZcJ2cx3Y5/1hQMm+QEk6/3GkvvJlruqHAV12ZjZpusEqDUqWc7l9WsO3Djj8c10twpWd2m+TAF - KVqAYkYQrc7nciD9/J7Dh9733h98/K63zoe9veOD/dn+6srx/ry7cvXrB/sHw5CF8dzB2eVxb5Zi - bJQaLARaAE0zpQBlJ4C8LrCpyZRq0CpwB6yUPAyDYjbf27tq69VqtZSrq2ElM9GpeqZgpABSPwBQ - BRQCAWEkCQ0Qqhh0crTXGadqhscnLApVTSFmdWV0OgSDEBArCgpMkQVKqGGZeiEClCpQsViXhxqg - gdaiKECoAkSBoKwxK6UxzLWZh5nktgzH1CJyuuuRk0iVQ1PQr9eHs8WsbVNhjlZKXqXlaljKPjbt - NU0GCmC9HkQgAlURKEkYMYYIDQSoMn3IYJyWKkoDo1CFCpiOTXZa6PjFwBQfpn1tQ9N0RoAKp7ms - /vtklKv/uSaBV8nVpV1Xl1Pp2NnLNhpQQMlFrl1lY3eenaKH3EhuvkkSLDGKqoRgLSgxtOf2z1+c - 3/GWJ99178X77zh/b6dzSU2wFkdhtS6LNs7adrU6Tiz780W/Xqe8Ojw4u+4NiEJQkGIixsEVTIWx - yaIkxEwylUmgfH3FN+flEUJYr9d/63/46Y9+9FeNEJEYQs65fmcFkRCC5UIypRRCqDfj+PYhWb3d - ZlZKaZqmaZqcc4z+p1XHcZzTQSaNd4IIJAoRr9pwkIgc//kHfuSty+UAtILg6m7HcRzHcRzHcRzH - cRzHcRzHcRzHcRzHcRzHcRzHcRzHcRzHcZxbj92r8OpdklNK8/k855d684FKTgnQ2MScUr1mUAQE - Pvaxj//kT/7kL/78P+r7HmIxxtls1vd9DO2pnI/jOI7jOI7jOI7jOI7jOI7jOI7jOI7jOI7z7TGb - zQBU2XY1dqeUSN6svZtk0zQppbZtj4+PzaxpGjNT9ZucO47zUvH7yzuO4ziO47wICqBK46gUAwpg - Qi5me2K0wsHKclhlS1oaEUgQg1TTsBp3HdVmhBkFIiGgCQEIIAp4rfOaiBxFXXnHrXUD1daLcL3E - gi8s2FYwEio0igkyeEKuaWMJO2ZNbnVlz1v4zmFQThRY2Ui7dXywWddqmeRkQlSXtlCVqhakhGBB - TbVoo83h/rlziwv3v/Gh+29/4J7b37iIh01axLJoy0JWAVWjLRAthes89NQSZ41lkigGsARtmtgZ - 82q1GlVMrHbV2vSs3u6d494o0ez6E59MtKdPrf/q1yRMAigxxoSUytD3Pdh2sV0jM+VqgBMIyWIE - YIrN1xEbb7pw1L6+fOGVQMw21jpQKaN6c3xQ5XYqtb/ZpA0e9d4CQlDtiiIqSpPI2MX5/nw/Wlxe - PlowdF1kVkXDjR9U7KSz7lb6ioQaoTkRsSSkjLxOgyJ0ATRAoQ0kihkMtFGEK1VWyWoVPakhJCKo - kHHAnvbhT0L3HVOpjIbXE4Oa2LRmoQjVJJvBJAtRgJDRKkqPdZ/Isn/QDs/1EZINFk63vXYcxVXu - yO13cUKqYXQHmrCqXkNiEkWIESUPJUcxlSCqgEqWTmLHxX44fP/3nH3H4+/8d3/8a5/88u/80Ze/ - UNY5zCVLP1ifU6FsY6Nwa6M1vSZKT0Nmpxvv1ogwVuejwFRs43QEMZloNx/faZeT0ek6v/s0s+yY - bq0GedGdljWIcRMDBaGgSbMZFnlZGpu99Y1Pvf+pDz14/tFwtDdfHnRlxgGAxVZSSQmpXTQpD6nA - jE2ITRNLKalkSwMQiSBk1aqeor39OwQFu1J2YmPiPNHhu3lbQKasKo1EFNKKEGY5WwHRNbNGZnlp - AfNHzu298S+88TNf+eQnP/M7X/wvn39u/a1S+tJk4QAdI7dNszS2HW/qIbiBFp3YCryVUyc0EEVE - QwghCICcs+xIrs0AgqQKyFLqp3RUegvAl+DTokzhZcouRn14HRQWhdrlRT6yM3rhsQff9vSb3vPg - bW/es0O7rJGx1ZaZZta0LU1KSSE0fVrHGIU0KwjaamuGYRiCNOOJTTuvhlci3CBr+q5lV/sqQq29 - oppWlXYyh1EAzGhiRy1AadvWUIbcAxJj7J9bts2cSS829/zl7//v3vW2937yP33813/nV1NcEbmE - gVKKZhPbJIHXJH7Adu7YhrIbHLBhcjjzOmn3iXfvRPL6xK4u10QDjZMZ9xotdyVMZSs2h3TN7saO - qoBBRQJoYgLTkBFKd9BcONPe8cEf+vMPXnr0fHN7WHV71oWk6+Nh1s369dF80Q2rPoQuhLi8stIm - hCaa0WAiqlRImU7WrpWPf7ej46YuBMzUBFRqE2YsVkopJRv6btYcypnADnZ1Z9FFACQBhDGvMCEK - CUAEUcUote1kd4dVBX3tgeiJxc7pnS9BYhQwAyYQQdMKSjWX0wAji4gItBAkWTtlAaEGATrFotsn - uRqWZX31bHMJ1hRoPbXTOvhp8FIUFAoppiBMu25fKMf9sZHSNaIlMMzbRbIVt3XNzbZpIkmymJGk - CALQqubtHLqtsBtFgJq93PClV/KMp1WwKswAoVKnELo5yCn+ECqbBO8GRQFTnjBtd9LV5/9ILV8I - qpWpK9+wlcUigCkeqhLBTvwtuirqhaFBG0tzZn7+3jvvu+/O+++6eO/FMxfPNJe61WHMC+mjmIBB - qEHifK4lDX0amqYBeXx8tWnC3ny2Wi6D7Nd1MmAUK1JjrFJUKMEgNaFUJDUKjKe8AHBe8yiAo6vL - H//xH//0p/+gKrlFJOc6skxDsFJKzkGDqqaUzF6xIMBpdlHV+ti93Y7jOKeNQTeLn2JJtGm0RSgY - Vuc1dCGqJr25OzU5juM4juM4juM4juM4juM4juM4juM4juM4juM4juM4juM4juM4rxIkN97uelvk - GOMwDDd7i2RRpSGn1LRtGnoAJEIEC379137jf0mgPwcAACAASURBVP5f/v7f/bv/E4oNOXUiMcbX - 080iHMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdxXmOUUgCIiKqWUpqm4UuxmJwkxphSijGuVisA9eco - N+v/dhzndY7fYt5xHMdxvpupahkRiAj5IsZm5/momqsqAYMYMCgIIA8WQ5sCV2HNA119dbA+Lvb2 - ByRqrrVN2Wr2SFChAkp1XG6kXAREdpyBFFGSUqb9BkFVzbK+Kjdj7+M16jLRE6auGxRFShFW81qo - 0s5rShgPm5N3EbIjFLSplN2PbbRkWzkiJ2F5ddpKgQhURFkttPVk685DZCMGKY3m2HLesZvL/vm9 - 2+44e/ed5++66/xdl87fcTg/DNJKFqHqOmKtwQIY1QiYigIwGAyQRhFhBoMijGY1EUMxKwBCjOPR - b63kCrFd7yOrf3Xrttwq8YiqwAzjB08PMUgBTKkQqVpf2JyCbCgxMZTF/jyvI9az+eyYRBi/NVCZ - Gq0quk/o1VVl6jeUG3aS5zkcmIzVUN311dkGCnS0CAtGydy2vhQCCdjYqet+CROtnyJhYlAVCTJE - HZqUhnk3a0z6477TqEGzpaoWVpiJ2qjtvNVQShQhZ1znga2KtjHPu3XStq8VYsIqu20ACAgxIVDG - CC4yxRYA4zAUFKBq5cOphvlJP7zjxhQKOfn3MHapqkFVBTWESCAYVUpVUQYiCHiMvXimiZ1oXuXL - bbOXuScFgJ2mbdG2w3U8D1G2ADhKa6vPuACTSB4l1pGeEaCh+jsBIYECqNLMIJy1iIqDH7nvr77v - 3j/3n77ni7/7hd/+zFc/9a3ha9bFFJYDc4hQwDKCoQmtZB2GIbRgBMlUKGIhCEIxowiMIDEFrwCg - TuiAicgmxJJioIjWN4zDTIQiErSYmSAIRIBp0jkx+UydhkQBqGIGM6oyBAjEzIolCSpSzaUsNvpE - O5ufvXrnpXD3ww888rYn3nH3hfuwivpstx/PwsRANAqRrCwKkVAKlEEVKkpgyBmAagBRzZc6HRwB - ex639GsJ6hRddSsGlrJ5Xbk9u/FVjmLtaeKVqcdBNBBAMQARQolNfzDrF+/av+Op937/M6uv/e6X - Pv5bn/v3/+XKH3d7ubdjC8miAduJWhEUStYua9Rx1BqgCk5TugIiEgyAjkehtWtxk7hQICICAU0A - ECCISXwPiIyzfi1Wds3lNr6BilwgCCIidbyJmeXq6w2iSi2lSBHVqBrb1f49+sjbH3nPU48+dfHM - bRgUqyhsOzYwsCgAlVAya6JklpugYAFMJYKGUk3LQYmTcUb4KszXtxy2GXGgbs3QMtbDif5Z3dWB - QKEZIBDqJjegaqMFDIizclCu5IfCW+5705s+8Kb/6jd//z/87qd/87n+T/N8uZKjFddsYAoSYhBK - AxEi0EiwUROa0QDSRFCXMGZUlelvHpGs76GYQSCwUVi7mZrqP4UQ1JykhsvCIDKWozZ2AhGKEVBI - Da2YOvs25E4lUASqUqyurUAzUgiQKmLVGKypbcpswTP3nXvwnY9931MPf6+s2ibP47oLFsUUCnQy - SEZsi0FiYzAyx0YAMzMBFKCWKZ8Ca8b2euqfOjndi9SemgAINJjO4kE/rDTqmst2gYRix9INi7V1 - CT2mIIpNXB0JOGlOVtS6pWyXZKSE3fxUavPK+Je807Onj2sKBIopMeXSEEqp2TRsNB3XeMm6cGKe - ThY1vzJp8nzow/pAeCDL9dG8PZQhWBKRfKo2ayUALYAFA3L1Qyu1YVdSDlEl5iWuAmWBPTnq9Ewr - WO8so6aqGPXqYfNXzppvyTSuZRrqFApCrbm699peCAU3v16+WShjEoZpDT9lwptsGduZr76+/eyJ - krbv2Kq7hTVzqHMrNx8efeG1u9Q6UarRioytO06nMs77JTOIKKOIKOs3QhrYNCnG0ig1SrfX7t12 - 5vbbz91z/uDi/Xe+8Ux39mB2NlqLrAFR+yDHQdGCunOuFJZSCpQEMgGJIUYjrUBD3I4qmBCRWj8q - NAVKbXax2k+Mt+aqzXkFub59DZMAW1XNjGSdo0nScoxxnYZZt+j7vmk6gX75y1/+sR/7688+ezll - AFDV6uaWuhrLY4JdSqk/v3jhn1xsfkhRb/+RcwZQH9TD2Ji/ryknhFDf+e1Uh+M4jvN8bP52NaZx - EQDmMi/jXzcyworlckeN5WSq5TiO4ziO4ziO4ziO4ziO4ziO4ziO4ziO4ziO4ziO4ziO4ziO49wy - bC7iqxflbZ6c7n62vQVzffx8lwRyutYvDcN0raKVDAAx6D/6hX963xve+Ff/yl+SEDW2y6OjeduZ - WS7DYrEopZCsVzKeKH+8pnUs7RU8a8dxHMdxHMdxHMdxHMdxnJfO5i7EN0TrrWHrLYH9TjuO4ziO - 4ziO43y3EELIOdffk+xub4r6a5Cc82w2W6/Xr/xROo7zOsDvMu84juM4jvPSYDQxwCBUZKHO2s4Y - yAwlxRrt9vXM0TKzHVQToFWIubsNO9vrX91sw7fx6q2zFQk3fj4aANh47YSaigQhpFq0AWFVzodQ - r7aQOO8WZw/Pnz08f+Hg0oXD287NLx60hxf2bpvJXlNapKAlNmh5VVhKE7vnacKdSybGSyluch1+ - nVTshf52+eoZyGzaKsRABQI4KttLKZa0zQf9KkekvYZlraC+9HZ8RfrbC/T5Fyg/XLdt+m4/ngu5 - aSVaygVpPp/D0PfrGCNGKyHrx02ulY/eCkSJx/0RNIdOcmYs3Xx92IZmla6msJ7c9ioUUGXbi148 - bnxHti9yVJRpydlSU9XMC9DkpuVeWO7JUWhLVLMQpD8a5nGOU1c1j+VPvzzYjtPJk2nXv//6N598 - tdqVQzt06ThdmB2cu3Tbw7c/+vX11774zc/87h/9f5/9yu/LohyvrhSmLqoKU58UYTabHa2XSoQQ - mgDACFimWXXZQwSCAGopVp122oyXqFX9bN2ESS+nqiICatVwmzEIwkbOO7mZQYxfQso2iolIIIUx - BrVoZjbkbJaDQBXBIGiDBRYEi02c7c33z80vfuitH37w/MMXztyGLHZZWp1H6WzFKAGAqQG0qUqF - wMZEjWuCpF3zW5DXtrR7w8lzfDkFXFegbl/QaAshQlpekPihx+94zxMf+MOv/v6nvvTbv/+lT67k - KMt60LVpoZJiZMkoIYQYg4hmmpEEgogVikBFUNWhJiyWWTSOnmMRqoTNzG4m1XoMAFCRKRrI2Klo - U3+rqs6dM6gnQIKmAZEUWqEVCrT+MIiIoCXrMN8Pi9KzkflD93/PUw+95y3nnt7n+SAhXzE1jdoo - 1AzhxGxeRY52bfVNbXGDufn1awy9Pi96XogT4fEazeqk0tVQNJSmTfNOSxPmH37LX/r+N//AZ7/y - qd/5wm/90Tc+dxXPGsrVstTI0EQhYKzqbFE5XheNCEGDVmdt1cVTBTSWQhIipipBmxiQ87DZfc0X - AYhIzgWjTR5WfduAiYUQDFSQLFAVoRCiVBEzK2XUc6pCFRo0ZULMxEQkiBhphpxZVfcAGg0htKDC - jAmtdTG1Zxe3PfbgW97+8Pfef9v3tMP+8E0sdF9Lu/HWAlY0b+pw6qTXzE3Art/39dhFTagUM1FK - rj5jIYTaHw9dt4idHq2v9GlZNIfcHIQLq/5qZj7drOb0ty+aFe88A4pBjELAhBCGprR74YytY9jr - SmZssBquhvWsi92r83tLE1BAMaEFU2HMgzXNDKEkDmZZyJhnc57p+6XZadXVK7V++U7l1WFs37FW - FZv5d7z7AOusPlq6RURC0LJJnUxoolShRG1QAJMQwqJbHB4enj08uzc/vLS47czi3Pkzl87tn9uL - B5FtyFFzw0FmmDfrlllgCAgiIZuJXn/Pg+2MQFwfpnbni82bgJOnBuwkNs7rj6ZpAJhZXchUezeA - +Xy+XC7rryi6bl4KP/l7v/cTP/ETy2W/Xqfp01MkfEFF9w2pv6to23YYBgBV1113h+nXG5vDMDP1 - Tuo4jnP6EJjyBwUVYtMKVIUIAKVG/iRISoTvim+MHMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdxHMdx - HMdxHMdxHMdxXlcMwzCfz3POG293veLvZspQAKrI2QD87M/+/YsXL/7wD39oPeSDM4erK0f7+/sp - 63K57Lou59x1Xd2R4ziO4ziO4ziO4ziO4zjOaxq5/gbFjuM4juM4juM4rymqcjvGWEoJIaSUSikx - xpTSi3/4uqLqNqXUti1Ga4/jOM5LxdXdjuM4juM4L8pGB1GFkwZEEKlPAjRNNDarY4lDd2Dn+qN+ - sThL+c6rv77j2+dTdwdRVY0Sm6Ztm1k3m7XtLGq76BaNtl0335/vLRb7e4uDxWxvFmYXZucjVREE - gRShRIlBYjmialAEFoiENjTSBEa+vq6aoAJKGd13AABTqgm6oMK4XqIZZhe7O6+UeHQUmoAGC70Z - dfcttW1Sh2M9em51zx23aWzXV7OVPkjoujZZAmz378h66/1JWWCRqRMDtZV5Or56Hhef7Z85Pg6H - e/tFMwUEZJR2i3LUfH7Ha/7lbgEGACaFYpAMQIhg0VbS2d657lJYtnvt3kwXa+QIFp70+b3WmHVd - yYkD53pw/+zwnjvf+I7b3nc5Pfd7X/zEF776mS9983MrvVJmic1xtnWW3M0bmJAUEmxARhGJgblU - S64QAANUY6QywQxlEtruireBKkiuemwYCRg6dLBaoQYdNcwMzDkDRoEIi2zM5Mq+FBQLZGRsIAEG - iKn12qRuNuwd4Pxd+/e+6Z7Hn3jwqfvuvL8/Xi5m+4rQrwYpKohBgml15kJJEyjNYDI+8yo2xnc7 - JrbWo9hGmDR5rsc4mJ2/cOnOJ868a3jb8ee++unf+8OPf+Ebn7+KZ0vXp7getJeWqZQ+FRJBEAKE - ACVSQBgUgJHVAtoqDZy0iDAUErSMqb9N2ZEBEDEhJDUqAgmAjf5FhYhkK0QhKcKsAsCMLNZJEAgU - FFKrmYtaQocFeznApYfvfPzJ/5+9e42xJD3vw/5/nvetOud091x2Z2/kklzeL1LIgJFiUQ4ERAgS - BPAHfQoQOJYTMHISMxRjkbEQSJGdAAIci5bi71QEOSYMw/GXGPlAR04sBBECCImhKySLd4nkem/c - 2Znp7nOq6n3++fBW1TndM7OzMzuz2z3z/+Ggtud0nbo+9dZbvafq/0N/7gPPfPSx9opH23iDQASy - wVMyGIMocxa9nCE57EJZpmIHvv/EO9/1qef+3ZePX/i9b/5/v/uN//d7h18/ztc2mxsb3xSPcBtS - NrO9dkmWiIgSjFpXSIDBzMwbA2BhJEvfDR18kQPkTQ1iSmk3yJMAzWAoPhQQBQTMxukDYMAM3m5z - 5YcCRuRFKqWUApBmdIcnJLeUGguL3tAx6GYpoV3GpR969sc+9uzHP/iej1xcXOIa9nKTbW+Vluhr - Nm2EkQjYgLHVjUcyk/suGOFA2ekV0JDbJiKOjtYFcXBwcERuXh546Bf4xKrsn4Gez1vWv7IwAKRF - /dHoKZzHvoe9x3jFD+2C7xnMMDRIPeKBnoHtpv5bGBIBoJRgILXLvUW+0V/tbnAxXLi0LsNw4Qxs - ybM4jDGCe5RORnfXjOEm5aZp27ZtmqbxRTvstda27XK12t9brpbLvVW7bLy9sL+/aFcHexdWi72c - G5IcWAoZ7p6zNSmSb9yKezGEJUtOxICIcCMziAILqrGSNyVu+a6ZRQTJORu7Vvi164er1SoiSiE5 - /LN/9n/+tc9/YbMZuL3wMbIAxD1dNg7D0DRN13Vmtr+/f+PGDZK7j/Zw94g4Ojrquq5+8UJERERE - RERERERERERERERERERERERERERERETepPq0ZTOrD4Zy93rH3+0fwbx7f6LP70TAHREg8YUvfOGx - x371R37kR179/muXD/aPj4/NzJDMbG9v7+rVqwcHB4/Wc6hEREREREREREREREREREREzp6cM8nN - ZrNcLkspTdNcvHjxHnK766QiAsBqtRqGQbndInK3FN0tIiIi8rosQNIwZQI7rKZ3+2qxKAMj4IH9 - du+HP/Kpj37wfe1BHvpbRxNJ1aTWYoz1JA3MgDmShYHucMBBN0vZcsum7XMuTlqg1GwnAGFovY6M - wXqSpRRyKKXk9AilK4X5lPsYgfApjdKBoQvLuLS89MMf/XPv/8D7msvo7Lhd5DhiivN6FZAiLWL/ - sdUTr71yyM2wSHv7+/vdejMwYMFtmmzaSQj226WFvS0MQ5us7zZDKZdXj/8H//5/1F5ssYjD7qge - EACshoTWVbByppb/7jmAGPdOXRE3+oXFhc21nsd2obnINdbd4SrvuVk559HOXfSefJn3DAld9KUs - fHU5XXn3v/be4d84eql/8av/6g9//1v/4hvP/8lrm5f7TW/GgWFmizaZ2cC+lEApyRGAsaZ0YwAs - gISIMYnbDG6ofwccA7nrqAZGwOGG5MZiTgsQsCg12jYK2La57pEC1rkAAHy1WFpgYNSoZcBSySkW - F5rH3/vMB37wPZ/88NM/8MzqnYtyIW2a8lLZ21scv3Zc+s0y77XNAuHDEAjzbYp4zCmjb88ueZhF - bizKJqNt8qqs4/japs3LZy4+fq27+qn3PPPJD37q5c3zX3/hj//ln/3hN57/kxevf2+d1u0C+01D - YxnWZRgA1LaGjoQoEYSBMCQLcihhMIfZ+MJUfgDmAEUCPlaigR6M6bcc75nMqXafiDDW3FEkGNfF - kcySM4HeoGl9bxGrDz/3gx959w9+5OkfOPAri+HiPi76ujk+Ph6aAamklAwpChCl8SYv8jCc60by - YeVekg1w89aQPN6Z95/5yLP/9sd//E9f/fqfvvzVP/rO737zpW9e3bzWpcGaRI+hOyIDQHIzy1Zr - xTkMJciBQG36HJYtm/WlgA6Ym2PK+wQQJeC08LBS44QLCJIO1nRhAww1751T7mcE5ltuHYCjLyUM - nmCEWbJwL8mL25BSaa14a6vLB1fe887nPvyBDz/35Eee6J+7gCsJmdeLFbTWpJKGYciegKARCEPU - mahBfH21FxTmQBgBcOxFEGQkT4nNULrD68d9Gn7gQz/433zuF/p0RHt07pp2MNX+AK1WF4ww2n67 - x7X11/tL/lg5Kk1B48kw3GF694Mh6k3uc33TkJvsSEP0ZbD+qKBpf/gTP/rxj39i8Edqf921ggLA - OTZu87D+r2UWkKz/YxhAimYVBx4Nw+ZPORJgCYkke8S6Hkbu7u45NblsWApZwgGDJ/f6pIOw+scP - wBEAjAWRDFB6t9xv9W87Zlaju+c/9SyXy74vi8WCLL/6q7/6y3/nV7oydj7dnIa5+O9ZPaBSSjdu - 3DCz5XK5Xq/btt1sNjXDu86ibdtSSkrpTc5ORERERERERERERERERERERERERERERERERERE+r5v - miYi6gOU671+9/YI5giYgUDXlb/6V//LL3/5y5/85L9++Nq1lCyl1LZtt+m7rrtw4cJ6vc75vD5k - SUREREREREREREREREREROThUDO2l8slyfpI6s1mcw/Tcff6EGkAbdv2fa/nSIvI3dL3yURERETu - gFaTgWyKH3XQQVy/dtjk3LSLJRbdGm1eHPiVfJys+Djmo428VS4hvRQCnmBmbkhmBrgREXBizAWN - MTIs6F3BwDAkcweMbjXWuOs6JJiZOWABM1pYMkQ8YtFiaUzIM8AGEDUgObuXsP6w5MXySnoW/XDY - HfohV1j5ed4+0Q/Xjg5TNMu9fUTu+77v+8WiCUQY67rX0GsjAEx53mdEdN3QttltiejKJi75E1zb - javHl/YuzSNZDeoDgAAC9jCk0hIAnFPyX3e1X/nBhb2DzeEmM1mCg/1wjHyOi7N4lGzrMnj0mZ6Z - EuCRHGl9dd0sLjybF09ceeqHnvrzax6/9NqL37v6nT/8zr946eiFq1dfXt84LBhSTk1jucWNzZFl - IMMcBhSgBEC02ZwWU1VzAq9ZtGDAgCCMKM7BNzA3M9h43xoAGA77zZjEXN8wc3enb7qhiYUPbdOl - A7v0jsvPfvi5j73nife995kPLbHf2jJhaRtn2ICwnNDhIF+2xhBGjvG5NW9yqttwbuP1lFZ7HyVi - UawUN4ZbadpmkZs+yrWj42Z50G3WWONKft+T73zu33z23zne3Dgs1/7gu3/wjX/19a//2Z9cPXyh - WSzSfnR2bb0ZisMSUmKTABKButNydgCl7jeOZ3Vy7OPMjWsBEAijpz44JowmHxPbSZoVQyIZYSWi - /kHckfdym0tOsdjzS09fePYD7/jYR9/9iXc9/l5bN8t80HIZ6+j7YW2bRcP9y8sSXSklIgye3Q1G - chhqsu/ojLX5jy7C+yZFRLKSHInBvkfPvc2lj61++IPv/cSPvu8vHMZrLx4+//Xn/+RffuMPvv3y - V7vWiq3Dwmg0hkdEV4xN9uIkWRxBFMMQROHKk8GBIIMGBgmQTCkFmBxh5kSNoQ0gBqD2lQzbGPqg - 093dkWAgLSKcKIwNBmS4u5dsfUp92w6LJlarYe+5J9//0fd+/APPfPjKwVMr30tom27lm5WFI+g1 - Xjx5guUmlVJoMc0yAIAG5eC+Ac6aHLx9Jwwpedf3pZTF3mrZLq8fx+Za15TFKu+/bQv61mO92HQA - 3F6rAsD61c3BcrXn5JoNfNG0CPZ9hyY/uOtTqynPRDndAodZKiWGPppVmxcNhtwfbxL39vKFh6N/ - ++Dc8lI6CgAkG9U3jT7+/YFeL6FJjtfMQ7g1bmaW3AA6gwgM695h2VP21t0BL6UURmMZxnBy7M6R - wSDMXA2W3Lvdg/3kua9Wco2ir2nZtSBTajab/pf/zq986dd+rRS4o15ORD3Zn/ws7/IKo2marusA - 1C9Y5JyPj4+x80WNevSR7LpusVjc0zqLiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjICU3TACA5 - DEPTNKUUd08pvbH07u29ijnnYRhIwBCB9Xrz2c/+9N/7e7/+Ax/+0CuvvJJze3x8eOHCha7rNpvN - Nrdbd/eLiIiIiIiIiIiIiIiIiIiIvE1yzvNz1yMipUSybdv6sOg3LiLcPSLMrOu6+s0Q5XaLyF1R - dLeIiIjInYWNiaM0NyKABOzv74MEYUAT2frWHdEzo3V6DVHV8NQwALOGcwwfPSJq9GzjPoWQBQAY - jEBKNYaTJGEEAYIREalt6pgkYU7C4PaIhabXUEOjz4FYNAbgNCMac2PDHqSXo7KKtk3Ze6QxIv3t - r4e7rh9joKchpwXCORTCa0jnTiDYmHtdSyF4xpJcsw9BuBmaxlIQHPyCLbBOqHHjNsbQOuecs7d/ - y7+JITA2oUZz0OvuaAkLG44tY0EOTZO6fmOp7qpzfBR7zjm5lfAwFkYYCliwygdRig9tstVekyzh - yf33vGf/wz/6iR/7/ubFV6++9NLVl15+9fnvvvhnz7/y3ddeeeXS6mCIrkcXGJjoZnC6WfTc3bIG - AMUAC4OZOc3momEEiwM0AohEwOlGS/S9vDC6h7EABQgzM2c+WFx69pl3f+TdH33umfc/uXpyPy60 - Za8ty6WtUJqxtTbQDAmADR0zs5mVUiIKUkpmOXtEwMKnHD0nxmWca0LuA49uaCwHfCgDSzC5pSY1 - 6WizSalZWGMDohva4L5dfrwpl5979498ZFOwee34pe+8/M1vfe+Pv/PiN79fXuix7mMzDH1hMMws - mVlYsJTw8OnP2FNxwbFtVwuZAVgkWITRYEHAUMxrmGgYBk8pN2hBd1pKaZmXy7x491PPPvPYO977 - jg88+/j7LzZPNv0+1ot01KZYcA2DLRd5tfI+1l13vN4cZm9q7Hdyd8t0lsKISHaOG42HVdgYLjxE - 6UvfGHLyFIthzTjKltpV3l80ly/tP/2+D3/wxz/2410+/NNXv/Hy9Re+8/x3nn/xe99/7dX18WHx - IVJwiB59b51ZhMMSGgAGG+BzBve2JiP6gFkYzcZTEcwSmJIDSDCSUWPAmZ0WA4GGcKcbPJllb9yx - YmBDL5598fje48++87n3Pf3+d1x81zsfe1cb+8vYa8qqKcvUNV6ctJxakpboYLDvuiNYuLslm5bN - aHB61C6u3J0AHIhS+pyyuzPAPhKbVGzJbOvGz23/9u6HAAB61Kskw9y/amzVREMOYCS3QjJoTXpL - Ki6sLp0F6LQI+NF6fbA8yDkPjPXhuiCWe3swYFPO7/XIWzA0czIAq8N5v59M7EZhIEjbPivAkbyO - 5gZ6vepjWDAYBpTa4VsuGrIgGOyjODAnhY8TIhkEADNPKSHUZskDMed2A/UywgAnbX28+cIXvvAb - v/F/wA1gBJrc9MPpR2/UvyPd7Uz7vjczdy+l1Cd6tG0bEcMwPPbYY88///w8pnK7RURERERERERE - RERERERERERERERERERERERE7pdhGOrjks1ss9ns7+9vNpt6j+HdTgfActmu113b5s1m+LM//e5P - //Tn/udf//WDvWUp5fLlx1999dWDg73E1A8bdz2PQkREREREREREREREREREROTtVEqpX+Ews5q0 - nXO+29xuAMvlcr1eY3qsursfHx+vVqv7vbwi8jBTdLeIiIjIG+TANhiQxr4MYImB7p4ab7DItiDJ - Mo8fGp4aphozO6e3WjGHAWQEAqhphmMgd6oBoDlxDKbbxjIZQdKZEDTApl+ZGf3u45vOMyfCAiAQ - cTL02JGcZLEIoLBJy+TJgZrufRbq4e7rJ8xAs2SplIiB7vBsY4g7gLMdh0m4N6lEMCwiPOVSShQ2 - zQLz3USE1fR6BOhTFt+Z2P73MrQAkMIBJ2ybCOgWZdgMfW6QHD2H4kPOmeUcZzsbvb9+nJqcUiJI - Q2QgO4BhqFmJOaEpXWFEtvax9onu5c0yXXxm8cGPvpN8F4dUNrHexPG3vvu16+tXX7n+0ivXXrp6 - 9Mr1o1cPD2+s+0NbIdCTtVGMMcHRrQwDeFGoPAAAIABJREFUgILCKdbRzMLDjUOEhXlJHqmNNrFp - o41jXGguPn7hylOXn3768aefeuzpxy9f2V9eunxwpQyJ/WC0tG4by4kZYesSzg3g7slhBGIIhu23 - +13XD2XIObmnKNGhT8lqcmetY9/uUN3Pdl/R3VclAmZpsYjEvnQl1oQt9tq+7/suGvNVWnoy9mXd - rQ/2Lw2bgNlFf+YdT3z0k1f+PXhhju+98t1r6++/eO35F1974ZXrL7x2dPXw+NpxuR7NMWMgWWrY - Zw0MdSt9qW+StBpObGbuQ/TJzJG8JC/u0Sxi2XLx2N4T+83FK3tXrlx88snLTz15+cnHLj1+sDpo - IlvkoJcjK4MVNgkNUhvuqTGWOOoOfRNNm/ZyC7Qk4Eay9DHExpByblNOw3DXf1WXBy8iaNncvQxW - wJTdkFOiYWHmQfbDYF0kv5DyPpon95p34ynzZy2sHPeHV2+88tLVF68dvfri9RevH7/6yrWXrt54 - 5ej4qI8NjUw9mr5Yh/HsP9Yh3QJBliBrpKeZ0WDGst44kJCAxHBjbn2RfYHURJ/KAIuUvd1bHVy4 - cOlye+lDj33w8eWlxy9fuXz58f3lfvac2CBs4YsIi8FQkiNZcriDHOxoiJ6M5PDklsOM7uxLATAm - mcMA+Hhmv+u7iAUAnHBYsSjD0EfOjYVHRCpN/fXb3/N56/pXkegAWKuLAEBLpSNhZhkRgIVF2zTs - H2y91e63AyRi25WL5d6ysHSbgaS7rdr9ZLhx48YqL42P0v66y6FZMgRpduJ9MFh7enWbu9Vw7wgb - aFFTjAmA9WVGmpknd5suL8CwcqPcMI+ck1kyOugkASOtVhRJB0h3c4OBwwOtH3mEWAD1nIh6jg5D - AVNqPOdSymazWR/3f+Wv/Oe/8zu/EwQKDeae+6GYZ5KGqIfAPTyMY1bzwmt6N4D6/QySR0dHNa67 - TpyknschIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJyX+ScSyn14cv1uckpJd7TM6LMbL3uUkql - EEQQv/97f/T5z3/+137t1/q+v3Z4o1kujjbrbL5YrPq+v2kCutNfRERERERERERERERERERE5K1T - vzFSvzrS933TNMMwpJTqM6LfuJrbXeWc1+v1arWqj5u+z0ssIg8vRXeLiIiI3JF7TZOGGwA6LYIw - Z3Z3D3cz864vUQwwd58Cy1zDm4bw7Q0MUbeTmdVfhpkF65DuDvPkQwkYa0YtAIcBgDmHAtAsZZuS - n1jzGse04EeEIZzblNywKd4tGDAjzFL2lFjgHiBY3u4aeFPDbItSSmG452aRSumB6EqX8lhg25ty - 6GetEsIwDHDPlqyUki3TDBgQYcR4b8+4zPPQ3/Zt/qaG4/5w1MDSOctxKDl5s7dYr4/avfZofdi2 - uSvFkc7v37Sc2GtWAIYhGDQzyynIoY+UkruTHKIkt5QaB0pfWu65pzD2ZSgWbbZFKoP1jz/zzOCb - wTrmoPd9bDbd+qjcuLp+eV2Oq6P14WazGYYhIgYOpZQhhlKKmaWUmqbxbDU3t03tarF/cXHx0t7l - i+2lVV5dOXiqiSZFk4bkxVPJybJ7E9cas6aWnxNEGY8jAwwkEaQBRDJH8mHTOSylTAMQns1oYTG2 - QgaMpew1wPvcxrKfRTQMoDe5RHTdhhaeLWULoOvW2T21GQX9sLHw1vPecv9os86DGxqzpVkiPApj - iA9dfMdwcd29Y83cReoG7zbDjU0cvvDad7tYr9frud76fhMRXRkiorBGdzOlVCs8N75YLFaLvf1m - f5X3LiwvPrb3xIXFpf18kEubS5NKkyIbU4rkN5roaZZoBq9h8yAZhcBQUBy2yAlmCA4MABFIKZmZ - uydvzIzEZrNJyd7uvSGnOWHuUQrBnFqibEowOveEWDsdcDfLvjRb2VBKbwdIQ0cy4FykixeWTz37 - zAcG69Ey0A02FKw3w+Zoc+PG0fXD7vq17qV1HNX6PFwfdl1XSomIIfqIGGKIiIioed4J1qy8SblN - 2b3J1rTt3v7iYNEsD/YuLxcHF/cv7u9dXC0OFrlNKS3K3t6N/ba0qHHxR6w54AAGmpnVyG6gpn7S - jGEDGhg8EIUFQA24NzOjw9w5hpXaGLH8tu6h84nGQAzRG7xJbWBAhBdYpPlC4+3v+bwVQ+x2FG26 - pAKcDDejZQCFlhovPTYDzOAP/Bzs01LVhSQt+ugtLDepaZqu2/Rdl6xZ5aXxkdpfdz2sQdq779QL - 4V1hMDMkcyBogPupZsUAMwKFZITX9seMjrRIYV6CiEKEwc1TghnAMAPdk5lFBEsEqf/jLA+ImWF6 - pkZEHB0dXXvtxk/+5H/y7W9/OwJNbvqhd/cSxc3L+AcPApifxOGOu43wNrP68fp1CncfhqG+s7e3 - V3+Yv2YxPwRERERERERERERERERERERERERERERERERERERE3oyIqLfs1YcAmFkp5d6em+zuZjYM - Q/253jD4m7/5Wz/7sz/7S7/0S6U4gNWq7Y7XXdfdfJeuiIiIiIiIiIiIiIiIiIiIiLyVdtO1c84R - kXOu3/24KzXtO+dcShmGYblc1iDw+728IvIwU3S3iIjIQ8tqmNNkjJuTu0W3GmIKRI3Zm8KAiwcR - 5iwoYCC5MwOgFeBsBQafJWEo4zacM9s4Bhga3GrcI50BECjIyLtRczHXsdWubNRETwAYk8seoY1v - iBqFHoBv85/NDZEMLOYORImAIRg0nrU067sV4QkJBhAcSo0TTimNocA848lyDktBgPCchmGARUqE - ddzNtT7hXO+vqAesc4pytILxJxAoQ+TsXT+k3JYIWHPes52tBOANPOAgOMBgOSUCwYEWSOwsYAGE - e26GFcPMmD1lIxl5QGMJQ9AWtAWAsLGqaVGWpAUujEc9MJ6Sars3NY8EQIMRTcCmk3+NyXS6ETjM - zvFX0/vAkJ0Z4XMyqCF5TeqeZhRTAxIII2sUbQC17a2J36ynyzFIEjXdNsapnOt6PlvCIuqOSvBU - c9JhJRKQDF4CIAzMIGKNwYjGCQLYkFYchMNgRBpghiYWGJJzBYTh8eLxrv0P0YCL4+zmWU/1xp13 - YETiGA1rNNANALP3bp0B7rW0CMIKMSAxJ8CNMEYKJkwnMaD2DGgIc8LqmiaD04MAjGDNVkzJ1ME9 - gwxIhYAHnAFagoWlKFaQgmOdeERyZmMGYNZnK2N0bCCFAQtagx60FcYmLmDgAcJKeBc+nlNqDUxV - GvM7O+2hWbROq2Xr9Do9m4sWAOAbYFO7E43Fkkg1C9kIA8aM+DocKxwGA0iASJxOdtM5bwHMmaS1 - q1anoJbwrtlNHbw+SoIBCQU0PFL9fyCwbYELgKl/ZQaATjjocBsKzJspBfoBbiKaT0s0XjFPyzeY - OcmuGwA0GVF6G88jZ7zTfraM29NufrN2vnKMLdvtTZ9lxDCduAF3AAZyak8tnCALWLO/oZOsvEkk - m6Y5Pj5OKa1Wq81mUx+uEeYF1vdlsVgwrAzxta9+49Of/vTVq4cAAO+HAngJEiiM3QnOP79ObneN - 6K7Dpmn6vq9fqiBZH8NR34yI2JnKqQdwKLdbRERERERERERERERERERERERERERERERERETkvqh3 - 8M13+dXHKGN6pPL825rqHa9zAyFQSpl/jgjAI8KAf/yP/9f3v/8DP/VT/2nO+fDwcLlcllKcMUd9 - p5TqrJfL5T08/VlERERERERERERERERERERE7oG7T8FSqF8OubdvbtQvjdQHTdd36vdPRETeOLUa - IiIiIndQQ7AC7hZBH6NODTAECBsA+DarrAYHPlLpcXfBEUA4A/CwcHoATosa8AqAjvlnGMAUgAXo - sCDcp3gm1gTHKa22JsDNEYyPkoDRacXgnFMr3eEBuM85u+N/4zynr02JdGNsJixgYacPNwO9Fgxw - 5gIyjU7DuMwWzqhpi4bYqVwPm3JHpzo/p8aIXwDj4T8ygjWF13z85xSKeq5FTUCcmrC6U+uKB6JG - ETsxt3jFh/FzAFAzFC0xAWmcDGuQdm3mHJiyuudZGuPUm9PPBrTFLZy2eyCMjQBr1W3Tbcfg2nHf - 1H9wJ6h2Jw+yZnJyJ86ZFjV1ue5x0sfR4AF3gjZ//BzX8xkUFs6xSTGGg5j2NmsO9tSYuIGYwl6N - hkgo05+UHawZxk0N8jSC5oRHvb8R8yexrbfdMO8a1RzT4Wy7dYS55HbHp3mBY6p1IsAwhHNa7GmW - YT4W6CN3fj/fjADdx+qMOcrXw8d+3a1TZgmYc87GbnZ/51MAdtzUD8SJmuRO1myEudMsWqBGdxNT - j9QQTsDmwyWmj+TeGuJEi76tQAtY3FyPRgedBh/7tIapAZ0mG85pOV8/ZPfRRpt7+/ViIbhNqkZY - +NhATOdH1P33SJ1fai+RAJy7/SunlbmnYQDhxjnb+wGiIeq1GCJs6ikYAuEW4zVazZqu3YNHa389 - OAG487a53eMV984QdGcbUwNnNNv2BuYmdp44ahU98PWQh1d9OsZqter7/vDwMKWUc1OfrFGfbZG8 - 6Ur3la985fOf/8J9fMwFyf39/cPDw/md+qWK+ggPAH3f55z7vi+l1Idu3Ld5i4iIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIyEnzfXwR4e7ujimre7FYbDYbTI9mxs7NgG+Yu8ETfumXfuXKlSs/8RM/ - 0eRF0zTr9Xp/uZif9RwRKaXVanX16tXlcnlf109ERERERERERERERERERERERETOOkV3i4iIiNwJ - M1CDKmMMC5wSrYgxWDcwjLFWUN7P6xpz0BMATsmLMcZtexgcCKuRbzX4MEoaHAQtjDQDuA0BteBN - 0d25pNtFlz2MAkYgYHN4odNg0xaYg+RPZrie7+0TBudNiZm06eAbM4NrqOaZSnp1IhBGrzm0hhij - QC3GkEU6zUB3emDOaT7H0Ya1FH3MFca8QxxjanQKp/lUljXI/Byvb/Go935Nq0xMycQpLCwBNYnW - AaOV8M2QNzWi22iAez3jzKmzY1hpAQArKdIUezmVNsf2dJtTu83FRADwnXzlnZjMqNHp07+m7T8A - UUNnx9Z1bEzGFO+Yjq86fpqy56eJ3ALHT22nJffFmL45FVs9dmrwMB1z6LtHBhy0MAQIr1Hfg9ON - 4/jOCBuDOWkpppBvJ7ZB2lNy97bedprXsd4sgPk8vo2or7b5ujsfotVU0QgrYIAxlZEDHkiEe6QY - o9+37QNv0duKeS611s5U+/+oIbyYwwAbaOFgIFJ4IDkzmDBF/AKszUhBDp74K6VjCqIHgIBFEDQG - AZixre1rIsas7p1w59hWSG3TgjZgp3KC9VcoBmOCAUjOeWYgnLYb2j0nDcecZD81gAF4DgMcU540 - pzWYliQAh20PE3l9u8f43Gc4NcIYgX6r5uBh5/X61FkT6A0gxtKKYD1Bg3CMGd7hb2WDyNqjmHaM - sZ41Ylz0+mboOHgdJ/aXBe7QOY7XyUGnMWC7Q8BzacarRQvQYXHinLlttaYjUSdUeRPatj0+Pm6a - JqVkSCmlrkTXdW55uVxuug4oX/7yl7/4xS/Wntx0oX+izbg1e73idPeaFF5K6fu+bdtSCslSStu2 - fd+nlK5fv46dB3mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjIg0OyhmeXUlJKXde5+5zeXQO2 - I4I3P1Potrb3IRZGfbjS3/zv/7unnnn6U5/6kYjIOR9t1gAWuck5Azg+Pk4pNU1z39dORERERERE - REREREREREREREREzjiFZIiIiIi8Pg9DGFBTL23wKeoSAG33xd3UqzGMWsObhkSur0AuVoce5mFB - i53hEDaEDTSGRXihBVC2WYxTRFmdrNGNeJRCu2fbOLcx7HnaCBxTn0krU6jbiY12Hoc0jPHtFnMS - 4bTXvUYgTyGvZ5GDicVAY3hNxq0RvHCnA2Z0IIPJaXN03lnY8vc2HO0cmD7tr3H16UYzen3d5eY8 - u+qRNsVdG8ZYbk9RX1Z/cMIQNUHZT200ALX1G18DbIAVQ4EVR+y8hnqG2n0lxs4hM56nxmWzOWh5 - ntO05a3ACCuwMsbGz1G1tTWZTnnFxtDlnTV2wI0OugFjMXOO+lbq4/3nQKqHD2BMKZIz+3Q02TbO - Nqasd6uRyYDXmqw7aM5oL+bTKxdzWBgGWDiGWmm15G5Zb4mRiBRudCeM7vTpMN++DBh7VQjHkDg4 - BkOpi1F8ehmKjQs9Lfwds0vljDLSEIkwWopsTMZszM5cI2Nrf88YPp0jHKf+Xjm2RTQCQYv6w1xX - AIy7L08BJ1LAp5+NAZTpFZzTcOmsQd3Y1j+RAZ+nVs/HY0kDTjvVXDujnt8Th8QhBRORGDYuw+ng - ZFXyG7DttYI+dZZuMULYduOehZ7PW9W/sqlJz4AD5vSxPzl1sYxhYGLxB3wKJlD7KsB4NnH6yUj1 - qUdh2316D2v9iAxP9wbrtgLm4c0cvOULRkPAyu7QEYlMjMSSgnW427G8zUxE7hFJd3f3iBiGoT5N - 4+LFi4vFwswi+Iu/+It/62/9D5t1KQPu4mEa1Z0St+vjORaLRdd1pZSIADAMA8n1er1cLuvi3duq - iYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIyBvU972ZpZQApJT6vm/btsZpk9xsNmZWSiG5WCzq - aHfFzEohgPVx/5nPfObrX//G+rgjeXBwcbVaATg6Okop5Zy7rlN0t4iIiIiIiIiIiIiIiIiIiIiI - yCMov90LICIiInLWEWbgFFoaNDo94Kw5QQRssN0oNaKm/Tmg4akhrcZLG7apTAGMMcw13pUGWNBi - jPsNcCenbM6Bs2jGjQ2fMvucO+nUj4wTQVOEz/m8sLgpqm9M9XvbK+He68cCBmcE4AwAzhoaPB6V - 48obzmTy3JjQPP8TmKKVt5F8blPK8bQ+53V/nSrNuspxYtUAC6dvAzgJ3imA7cwyoJmKLpBRg64B - wEvNsma4nThl+NAA4w1dNAABdBiTZMc07BqwXU9CZr3favuMbeVJATo86PUYuXnkGKNw5+VnXSpj - XRt3jqNH/YgBFsVAizCQsEDQHAC2IZ3jYsOdczp40OoZ8wwelecZjXBDgM204wiLBNRk4gBpfVjQ - wpibkiwyve4iFAt6AhKAqGdXOm1K9bYSGNzKuD/HIXDb6FD34vWXNBjrGbkuZq03AEgEEAR283Zp - tfpTINWPwwA4xoMigGEnnBe7Tf129rvnu9p/MNXb28bm9FlmmFuMVUc46GEBRPGAlbCBXoxoB6Tp - DFn3XG1Uatu4+05Y7YUPr1+TAThit3bt9AnGsa2i09ViO3G5thsaTa+lNRazAUhGGsPGI4WwMrai - Njehdf3rsXCbY0h2zEf3FM3uNcB7+m0AvtvTM8LPc3/prvtXdbOMP+SdpPN5m8T2dI8HnN0N0MLG - a+Pt8TI2Aha15us/A1aX3PkI7a+7Gt6O1+02/9t26/9077Bu7QTgFg0ODZ3ZLapi96y6nSRvnoLI - XRiGoW1bQ+qHjVkCvO/K+rhbrfZeeeX7X/ivf/Y3f/P/Gtt3N3ePoex8+t77chHRtm3XdSmlzWbT - NE3f9+4+P7mjxnhHREqJpNm5vQoVERERERERERERERERERERERERERERERERERE585qm6bqubdth - GHLOOefj4+PlcmlmJOuNfgcHBzdu3NhsNgBOPkXgdvcbbm/lN8+MHgSJ4+Pu05/+9D/8B//g/R94 - 740bNwAcrJZ939e88L7vSym6r1BERERERERERERERERERERERORRo+huERERkTuj1USsAWMkWTg8 - mMbITGQgpmC57aeMGp4ezlu0vjPmek7BY0YAZiSm/Gmjj5t8/Jxj/jcdNZBsGydmoIeBj0xaJ+GB - mH7YzTgP1Ai9cVM7DU4bN+AZqIR7rh8CsAjEmCU7RiB7wH1Onz2Lod2z2GYbAuOdQjuReLvZh9hZ - 8bOw/e/1eB/XdMxYR92D2w1SWwCnB/CwRO367g/TaoOGqOGaRgdIM2ZsN1cAMIBGmyLMa45j2LZi - bruBDE4Pi3k4b804uVVtDE6Gc5spW5PBx+WcWuA4fTSNabUEEzGVqc/Zj/XftVlOAG3K6RyX/+HY - uWdHzT8tnM6VnOJQAcAQY8g6py0/hJn7gG0evAewzbwmOAV8GsIQtCm1fTvcOl1vNBrAse5O5Xaf - UuNmpxnX81ctdafFHI2M8eiYJnUyoDl0I+QZxjmse/xnbW3GU0DtmoQFMNBYT3r0EmPjNOURj+d0 - YLd7g+ScGs2pTpxjnvfucJ55naDfKn32llU0n4h3+/YnPjw3nrbTPzFwzJvf3QYBzM34GLg8LYma - xNuaO/M2dlynpok+1g8ARJg7t4V2Fno+b9mQVjAFctc3x+MLc/s5h3kTJxOf7z8LgDTYzu4A3epF - 9E4zvu3xcr7W0/D0ENNG3LYRdNjrn/Ruv393t/92eiw3jzj2Ik6P+nqzFXkD3L2UUoahaRqzFBHL - 5aLv++9973s/8zM/8zu/+/uLRbPZ9ABARrm5Nu99vl3X1aBuAH3f1/c3m03N6q4j5JwBDMPQNM39 - mrWIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjcrKZlp5Ru3LhxcHCwWq0ARER9v6Z3A2iahuQw - 1A+90bvyoxRYahovpUTECy+88tnPfvZLX/rSs+96x+HhYSllb29vvV7X2XF6ZIGIiIiIiIiIiIiI - iIiIiIiIiIg8OhTdLSIiInIHYQ4UB8a81QCIgDk8AEcGBsCNNseXPjrR0fcgbZPkpjCwut04d01v - mRC2E142Rr3F+O7Jrf2o3RvBOahvHNYU5JrRN1dk2g32Pr/1GQYadlPJTybMOWBG1gqpqcO3jOp8 - 21gQrGGTUx0D8DnQuuYs+jZqF7R03jPzwubl92m9plxJKwCcAaB4gA6c4/Ul0I/LPh6Gc2irTanz - BGtllvGQ7AEzAnAngLxNPR8LPKwmHFuAfnOA7M7sI+jEztACFrBhGsExHTA72bLe7MYnTzurTNWI - nWp0eo1SdSYjaF5sG3xru7nKdFjEGEB+Xhucs84CtqnR8KQXeMDGPcj5jOBGACkFwmJwAqVmuBrh - rFXnczw2a7VYzPuMvG2K4c31Fh6c6m2O2vVbnJVro+fbucy/oMe0/NvUZNsZbT71z5/dZidvC9BV - dmdA8aHGb3LbrtV9OVapIQA3hjHB2HtMRbE9RfrJnWuxDf/e7cwEUJPmaQg4a4dhSoCukcaJDvrU - ZMXOdHemM8ZFj/+KeoRMzfctml/63OUY0BCYZgtnmMfcE3MADMDDAO50AeQ2tpuIPp0it2HtQM2v - jvkcdF67DvfGAhjTbcPcaVHb1drw7p55jVO6eTzQ/lXdNY40dgZqvP22zh2I2oPwbf9d7fRtBedL - g5PXttMhcHLbOcxPtbPFTo4w/0SEja/pnZg+GFOXYHvurmM9atfXcp/VaxCLnPPR0drMsuevfe1r - n/3c57721W/Vx1sslnvDMJRhyG0apoxt4E0VX0QAIJlSAlBKWSwW6/W6/qoGirdtW8dRbreIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiMgDNQxD0zSbzWaxWBwcHAAgWUrJOQPoui6lVNO7+/FOw/oc - qrt5egTZD9u7FP/wj77687/w3/7d//GXn3766auvvJxScnd3J1mH93X9RERERERERERERERERERE - RERE5Kx7tJJNRERERO7JnKHroE0xV3OYn4Nu23y5OL+5yG+VwJxAywBiJ43WfQzsNMDqcPrUbkD1 - FuvLYn69JatwpviYBAkY6/ba2Qi0MfyQvo2IPs8Msc0ART0efSe4kQBsDJ87i+aoPBrGSr8pTH0c - 56Eo5piiGetOG/Mct1sAQIQhLOJExOO5VKPl52OuqvGIGIcjwgmfRmY4aCg+T2E+Wh2spxifgpA9 - DHHTsI5cP7g7DIsw1hRu1CRvxLaJoO+GbXs9l+2UI602sCfWyAkjjG6EnbgTbTc0elr9bQBkKKTz - fqNxzAmGRVjQyCnSeDf11ulAdmaaFT+dGWxTZqfXhgdhpKM4GPCwW7xuWW9hznEZas2MJ+WwmFNC - 51qdVmAueMxvOuvUsS2wcRVGp8rIbnU7pErtjKCx5nbPzd1cGzvnOHc6aISH1eZx25aGIcxPBgBP - 7Qx9t7HaDnFyWLuc9N1I+Lmiblk/OPm7MADkeDo7UVy7BxrhYU4YbcoON+y2ubvnuNebrwDA2AJs - Y9RPdoq2nYlHmY3J5TsHwk6Pa2xaT4Q0P0jbtv02PbpTlyFqp+/dzdtualEcYydz2/SdaAaBgLMO - bU5Yr2f2sZc+zuKRP7zkPiKJ5CT7KCml3C5/+7d/+y//5f/4a1/9ljvq4y0263UpBcCJ3O47T/rO - 2d4ppVJKfXzGer2uP0TEMAw10rvvezOrOd8iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLygOSc - SbZtW+/1q/f31dzuiGjbtt7rV3/r7vf2lJKU8/hxwgz//J//3z//c7/w2tXrq9W+WUop1XsM3f2O - kxIREREREREREREREREREREREZGHTH67F0BEREQeIDMzMzJAmtkdU23kVmL6rr2BDcGaFsyT3+8f - k64eiqjdB41wWIyxYTV0dBwGa6y3nRjuiJ3hqYk+yndEBKYoSgCYQlgJDwSm3D5ut9s5rlInAlP0 - pkU97mr9zEefY7sRzlxUOd0RQAIQcCcCcEbY7mLX24dSHe2817ZvQzfhxDgEnB7AvDWm4fleX985 - zOZDcjcHsZ5A5tF2olvn0OLp+N02facO2BinXMfcHeIWQ6cDsbtVT3QE7HRIXZ3vXI1Rq5FpXkQa - Ao6dRPbtmhqiztfG4e68/Dzv2bPKiAasf9Wx2nDYdjvvNIlzPURtguYA48C22CLG8nDYeGDO49ze - tt6cEXBjnGp4/XTn85YTvEX17nZWIWuiAAAgAElEQVQAYi5d3uEOyHE09cfOAKfHtLtvbu5wsjbq - yMZ0arRTRTjlZ28/9QYXhjvN3dTDvEWRnJzgyRF4Uy3vjFMPtKl9nn/2eS5BTNnkqs87O9Hfm1qD - E3vtTk3BQ44OA5h8/icw9rWAQMx9ralL+YD7V2P/djpMrHYhtueXWx488jpu2UAB4368eV/uZNtP - Z/xaCSeHU+8uMsEI4+5F0+jUztKuk1u5dXsSETnniGEYhqZpUkrDMAAYODTu3mQaujL871/5pz/3 - cz9/dLhB7X0CY2z29hx6d8yMZEqJZJ2Uu89R3DnnUkoN546I+iiN+iCPqmkajI/wEBERERERERER - ERERERERERERERERERERERERkQfIbPsUiXp/X1VvDGyaZr4TkGS95zDnXG9X3L2R8Cb1IScAUIYA - EAzYeB/tV77yG+9859/963/9C56aUoY6o77vk/vr3IJ94m5fG++kvtf1FhERERERERERERERERER - ERERkTNB0d0iIiIid3T6C/Sc35R7Q98NZtoZjuF8u8OTtNlf34ntw9OpWg/D1tsJKZ9TyWfnYQWn - xa7/qatzOh/3YbxdZ2dNd4cP25reOZzyNpmLJ92xkm+b1X3T8A7ltF3eW6fY4uaFvf0qxjaSVsG0 - b4Gb9uxt9vRuuvbtxhqD2G835ds4XW/3elDfvnrnJbr7icpZ8Majtd/wyPfetuzeHHurHubNH7ir - Yr5NOPHJvooq+Y27eXveNsz40XSr+vRtbPy0Ad+yLuXpGcUbOsrkPpmOlxNn0p16iJ1rjennU1cf - Im/a3t7e9evX9/aW7t51XUSUUtrVci8trh/eaJpmc7j+J//kf/sbf+NvRgHv3xmRpJmVUjA9gKM+ - fcPdSymbzaZt281mc3x8vFqt5md2iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIyNlR7wqsP6/X - 6+VyCeDChQvXr1+vkd7zb9+QnXufS4kvfel/euaZZ/7iX/wPL+zvbTbHpG42FBERERERERERERER - EREREREReRQpultERERERERERERERERE7koAuHHj2mq1PDo6ArC/v0+ylNJ1HckmLxj823/7i3// - 7/9DACDcEfcpO97MOCWBm1lKqe97AKWUmuS92Ww2m81qtQKg6G4RERERERERERERERERERERERER - EREREREREZEzhaSZAUgp1XcWi0VEpJSuX7++WCw2m019v23bruvudvrJU4nyxS9+8d3vfvbH/q0/ - 3zSJjJwzI2DTvY50ALTb3PpI3ZkoIiIiIiIiIiIiIiIiIiIiIiLyMNC3wURERERERERERERERETk - ri2Xy2EYmqbZ29s7PDy8evVqfS7G3t7B9euHP/VT/9k/+kf/CwAzNE26X7ndAEimlHLOAPq+r4nd - AMxsGAaSEbFYLGqed/2ViIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInBFmVu8BLKUAGIahvh8R - ZlZzu+vtgfeQ212n0zbtet197nN/7Xd/5/fc82KxqvMSERERERERERERERERERERERGRR4qiu0VE - RERERERERERERETkdcRNLwBYr9c1Nrvv++VyeeXKFZIR+NpXv/GX/tJP/tZv/T99V0A0Ofd9MbP7 - uECllGEYFotF/SfJ+ibJGt0NoGmaUsrx8fF9nK+IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi8 - SRHRNE1EpJQ2m01N6TazYRjqHYLuXkpJKd3VVOf7HwHv+g7Aet199r/63De//e0IrNcdge3Lghav - MzkRERERERERERERERERERERERF5CCi6W0RERERERERERERERETuWkppuVxeu3YNQERcv37d3b/z - ne/8F5/5zB//0Z9EQQQAdN2Qc76P0d11UjnnzWZTf6gLYGYkzSznXEpZr9cppdVqdb/mKyIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIvfXYrHouq6UEhH/P3t3/2xZdtaH/Vlr7X3OuX17XrCdqsT5 - If9Dyn9FYpLYOMWLwEKWZAlJSAjJwsgSBowrNjYhqExEbBw7sh3AiimbYBkZmbJDucACIUC8SJYB - IYMAvc5M35dz9sta+WF132nNTM9M99zpl5nPp3ad2meffdba505X3TN3r+f59oLB1lqttbW2rmuv - GbzdMVNKvdvqdjt+/vOPfcM3/Pk//IM/evjhRy//6gEAAAAAAAAAALi/ie4GAAAAAAAAbi3VJ7en - vJLS9spxGsa5tmG7+/lf+MWv+rP/8yc+/lu1RmsRETnnUsZlqRH5xvZCtdZKKcuyDMNQSomIxx57 - rOd5p5TWdV2WpceKn5+fv/DpAAAAAAAAAAAAAAAAAIBLlHM+PT3NOUfEsiybzaaUknPuid0RUWuN - iO12m3NeluWWA7Ubj+3LDtdWI2Iow+Ew5xyf+9wXXvWa1z5xclJT1JtLJp+y3SS1nJp+rQAAAAAA - AAAAAA88S8EAAAAAAACA21ZKOTk52W630zTlnD/wgQ+89rWv/dKXTiJiGIZ+TmtpXdeUUm+WcVlq - rSmlZVlqrfv9/urVqxfHSyl99tba0dFRb88BAAAAAAAAAAAAAAAAANw/jo+Pe+Fhf1zXNSJyziml - aZpSSrXWw+HQ473vQMllWZfNuKk15nn9zd/8xBvf+CYtWAEAAAAAAAAAAF5urBsDgJemlFJrkXPO - ObfW7njpOQAAAADwMtezt3sG9jiOcSMh+3yarzz08HRYhrL5h//gfW9/2zvOTpf+lmWpETki32ic - sba2RtSI2wvS7tNFxHa7vflI/7Nnv5LW2kUu+M1/CE0pPeUIAAAAAAAAAAAAAAAAAHCf6GWAvWyw - lHJxfLPZ9J3W2s3nxNOKDSMi2lNGrRG1RV3qHBHTPF288O/+3b9/57e/q5SxRp6WWsZxP03b7baX - TwIAAAAA3Cv9D6EXHdX6UwAAAAAui1b1AAAAAAAAwC31ThabzWZd15OTk1LKZrM5HA673W5d17Oz - s+/+7u/+63/9e6eptRbDcGnzjuM4z/MwDBHRp4uIeZ4jYl3XUkpvhzFc4pQAAAAAAAAAAAAAAAAA - wL2z3++XZVnXtYfTTNO03W57aeFutzscDhExDMM8z0+mdz9v73//P/+hH/q769q22+00LSmlWmvO - +rICAAAAAAAAAAC8ZFkiBgAAAAAAANzS4XA4OzvLaTjaHW82m1rrtNbtleN5Wr/0xcff8Zf/8v/1 - j36kjBE5NttxXp7y7hpRbz12fpb7lb1xxrIsPZx7WZbeaOPmp4fD4XA4pJTWdb2MzwoAAAAAAAAA - AAAAAAAA3Buttd1uNwxDKSUiDodDa63HdaeUlmWJiGEYlmUZx7HneT/baDdt14+k+Nvf9wMf+Fcf - PN9PEXF8/NDJ2dlSv6wKMrWcmk6tAAAAAAAAAAAALxEWhAEAAAAAAAC3dHx8fHR09MQTT0zT1Htb - pJSeeOKJz372s29605t+6qc+FBF1jVbjcJhLKTfdgnyW0O7npTfO6N00lmXJOfeD+/0+Ilpr2+12 - u91GRG/DAQAAAAAAAAAAAAAAAAA8oFJK67rWWmutvYSw70REzvmi2DBulB/erpxiXeMd7/i2j370 - V2qN/X6/3R71KkUAAAAAAAAAAABekkR3AwAAAAAAALd07YnTcdjudruISKmM43aZ6+c/98Wv+Zqv - /bmf+8VoES1qjaOjo0ixru3G+549tzs/553KnHNKKaVUSkkp5ZzXdW2t1Vo3m03c6K8REY8//vgL - /IwAAAAAAAAAAAAAAAAAwD1XSsk553y9AjGlFBGttXVde9VhLznsVYcpcrpervgcFYstokXUGtFi - ntqb3/yWT/6n317WFhHTtHzZqalGevYCSQAAAAAAAAAAAB4YorsBAAAAAACAW8o5D8Mwz3NrbZ7n - ZVk+8pGPfOVXfuUf/uEfRUQpqZ92fn4eLQ/jGBHPldv9vNRah2FIKa3rWkqptdZal2XpzTVqrf1g - RDzyyCN9BwAAAAAAAAAAAAAAAAB4cPVKxtZaD+2utbbWlmXpNYallHVdU0rDMNxBXeEwDBGRc/7C - 5x9/3ete/4UvfGldr08EAAAAAAAAAADAS5LobgAAAAAAAOCWjo6OvvSlL129+nBKZRg2H/zgT7/u - da8/PZ2WNVrEurZxHFMeInLKwzLPzzBEinjmzhXPcbNynueIyDlHxDRNKaWe5N0P9uPLsrywzwcA - AAAAAAAAAAAAAAAA3HvzPKeUxnHssdz7/b6Ukm6YpilulBzOTxYz5nS9ijGn5ypaXJY6jtta6ziW - 3//9P3rta1+73+/vIAIcAAAAAAAAAACAB4XobgAAAAAAAOCW9vvp+OFHHn/8Wkvlfe9735ve/LaT - k33OkXNEREppnudWay6lXe9P8bQuFS2iPePYz9bPIqU0DEOttdba+2u01koppZR+wrquOed+Tu+1 - AQCXoqXrv7lyi4hYcro2bk/GbaRyi99oAAAAAAAAAAAAAAAAvFDjOLbWpmnqhYS73W5Zll5a2B97 - 0natdRiGlFJEPHuh4lPknHvm9zyvm3H4xCd+69V/4bXr2qLlaF9epZhqpOc9cj/5+Z8PAAAAAAAA - AADA3aKFPQC8NLXWIqKH1qSU+lMAAAAAgIh8Y4uIm1pCpJpyq23JJXKJFmvOubU0r622PGy273zX - u77rr/2Noyu7FhGp9Jzu1lIfqq7r7XS4qDdt0RO4+wsXO6213jijN9SIiJRSrU9OcZHhLbcbgMuS - 4svaJKUWNeph3Hzm+OFPb44jl4iIFuvq1hsAAAAAAAAAAAAAAMDlSyltNpuLpz2iu9cb9lrC3lGt - R3q3qClHi9p3ckl9hFsNXtsSqd44Ibcav/Irv/aOv/Tth7kNmys5D8tSW8SyrpvNZl3nZwrkfqby - zGjXN+ndAAAAAAAAAAAA9xld7AEAAAAAAODl6qY2EDmneZ5yzsuyTNMUEbXWYbu5cuX4s3/0+Te8 - 8Zv/6Y/9s0hxdrYfx3Fd10u8ilrrsiyllHEcl2W5evVqRJRS5nlurc3zfDGdlG4AXjRP/oqpkeuN - Hk2llGvzdHbl+Gs++FORhzrPkaKUW7ZwAgAAAAAAAAAAAAAA4MXQWiulrOt6UWmYUiqlRMQwDLXW - dV17zvetRsilXOzP8xwR07T89E//m/e+94euXTvJedhut8MwlFIOh/PtdvsifyAAAAAAAAAAAABe - dFrbAwAAAAAAwMtQfcrzeV52u6MUZTPuxmFb10ipnJ6cf+Yzn/nGb/zGD33oZ4ahtBbb7Xae53Ec - bxrnqUPdrs1mExHrus7zvN1uT05OImJZlt4go/e5yDnX+kInAoBn19L1x4sWTW1dr169en44xGaI - FJFzi1j9RgIAAAAAAAAAAAAAALhbUkoR0Vqb5zmlNE1Tznkcx36klNJP6Odc7D9dXdeIGIahJ3zv - druc43CYf/AH3/vjP/7j+/3+cDj0/O9pmdd2o4Ak1UjPVEzSNHQFAAAAAAAAAAC431npBQAAAAAA - AERKqdZ6OByWZam1juM25/ypT33qz/65r/rEJz6Rc8zzGhGHwyEi5nm+xKmnaYqIYRj6+L3nRUSc - np7GjZ4aEZFzXtf1EucFgJv13O765d2ZWsTJ2VnkFMscbc1DWSMiP5ntDQAAAAAAAAAAAAAAwIuq - tbYsS865lNIf13XtdY7DMNy8X0rp9YnPKOXcR+tP9/t9RAxDrjW+8zu/+2d/9mfHcbsstdZ6fOWh - 51VHeT29O13fhHkDAAAAAAAAAADcZ6zrAgAAAAAAgJeVGlG/7EDL0fIy1932ypWHHl4jrZFaKh/6 - mX/7dV/3dZ/97OdqjVojIoZh2G63z2vM29Q7ZfSU7nVdl2VprR0fH0fEsiw927uf9kJmAYBbeXpP - ppqipcg511o3x0exGSPFGrHUKrcbAAAAAAAAAAAAAADgrkkpDcMQEa21eZ7XdW2t9QTuixzu7Xa7 - LMu6rs8yTo4ULXoBYz9SayxL7endr3/DGz/267/ea0lqrSmesZ7xmSo0AQAAAAAAAAAAuF9Z4wUA - AAAAAADE1atXP//5z5+enrbWNuPu/e9//zd90zddu3a2LrHdjv2cZVkOh0PO+RIjtIdhSClddMpo - rfUmGimlWus8zznnzWbTW2bU+oICwgHgdtVarz780DTPcXItSmkRKVtsAwAAAAAAAAAAAAAAcFet - 67quayllHMdSSi9L7CWHPdX7cDj0nZTSswxyUR05DMNms8kp55TX9Xrp4ite8YpPf/r3drsr87zm - 519CIr0bAAAAAAAAAADgfmWBFwAAAAAAALycpPrk1nK0XFOuKe/n5fjhRzbjruTx7//9//Ov/JV3 - zXP0pOzDYY6IUkrOOaWUc17X9ZmGrhG3Ha29LEvOebvdRkRvk9Fau4joHsdxnq/P3i/gTj82ADyb - W/VkqhFnZ2fTusRDD0WrS13u6mUBAAAAAAAAAAAAAAC87J2dnfUaw/Pz89ZaRKzr2gseH3vssYgo - pQzDsCxLKaWf8Cx6oeKyLNM0ra2urbYWx8dHyxJnZ4fXv+ENn/7936sp6i0TwJ9JywK8AQAAAAAA - AAAA7kOWdgEAAAAAAABRa61rTNP0V//qd37P9/yNfjClKKX0/XVda62ttWW55NTSnPPhcKi1ppSm - aerp4BGRUoqI7XbbD0bENE2XOzUAXEgtIiK3SC1SRG4REUPJNdp2u43DPnIe8lAian2OFk4AAAAA - AAAAAAAAAABclitXrkTEfr8/OjrqdYgXlY9Xr17d7/e11mVZUkq11mcZJ6XUKyWHYehFi72YMSJO - T88jotb4j//xd771rW8/PztESOMGAAAAAAAAAAB44FkHBgAvZX1dOAAAAADAzWqt47BtNa2RDsta - 8ljyOA7bk5PTN7/5Lf/kn/xYpJiXKMNQW6zreimTXuRwR0QpZRiGvhMR0zTN89xai4hxHCOi71/8 - hXOz2TxlBwBeDKlFapHbjQDvFrXWcRynaYrdLiJSRIoYs5twAAAAAAAAAAAAAAAAd9Vut+s7vdIw - pbQsS0TknHshZEqpFyfGjerFXrHYaxVvfnVZlr7fk77LMLSIi3KRj3zko9/6rW9rNaWU1qUNZTNP - a0op51xrfVpvt3zTBgAAAAAAAAAAwP3F0i4AAAAAAAB4GVnXdRzHk5OTlFJK6dFHH53neZqm3/3d - T7/iFa/40If+bUS0FsOQl2XpbSkuRW9jcTgcdrvduq7Lsmw2m3Vd+/FhGHrTin7y01pXAMDdkyJS - +7Ijrf9eate7KPktBQAAAAAAAAAAAAAAcM8NwxARrbWU0unpaa316Oiov9TDvLvW2vHx8UVu99Pk - 6xHgqaQUw5DXNT784Q//tb/2Pa2lUkprrddaLstSSrkohAQAAAAAAAAAAOD+J7obAAAAAAAAXkZy - GkoeN5vdOG5TlC998fGcy+/8zqe+/hte+Wu//ond0bZF5JxTKpFiXua4nlJ6CXa7XUTs9/uIyDlP - 07Qsy7Is0zRFxLquPb371v0vAODek9sNAAAAAAAAAAAAAABwn1jXNaVUa71y5UpEnJ+fR0TOuZSy - 2WzmeY6IUsrp6WnOT6mUvLl2MkfE2mpt0Uscr53sf/RHf/Tv/t0fLmWc53Wz2S1LzXkYhuFpJZD1 - pg0AAAAAAAAAAID7i+huAAAAAAAAeBnJOR8Oh2VZTk5OUkqbzebnfu7nvvqrv/r3fu8zKcX5+SEi - UkrzPOdScimXOPV+v0/peuBprXVd11LKMAy9/0UpJSJKKX32S5wXAF6gi45KqT9pz3IuAAAAAAAA - AAAAAAAAd0OttdckRsQ8z621nHPOuRcwTtO03W4j4mlh288gpdJPW9dWShrHMs3t+/72//av/tUH - Uyqnp6e73a7Wen5+vtlsXuzPBQAAAAAAAAAAwGUR3Q0AAAAAAAAvI4fDvN0eXb169aGHHpqm6Sd+ - 4v/9pm964xNPnEVEa7HZbMZxXOuaStR1ret64335hd9bHIaht644OjrqLTCWZWmtnZ+fD8MQEcuy - RMQ0TeM4vsC5AOC2tIiWnnYwRU1R+/GnvQoAAAAAAAAAAAAAAMA9lHNurfVyxV6WOE1TSinnHBHj - OB4Oh1JKrXWz2dRan2Wo1lpE7m9c15bz0I9/61vf9ou/8JFx3B4Oh2EYUkrzPEeqT90AAAAAAAAA - AAC4L4nuBgAAAAAAgJeRK1euHA6Hk5OTxx+/9kM/9H+8/e3fdnp6vt2O4zjmnKdpmuc5UvQuFblc - Zk7psiwppYh47LHHIuL09LQ3qjg6OkopnZyclFIiop8DAPeJFs+U3t3uzcUAAAAAAAAAAAAAAABw - s5RSa21d14jIOe/3+358nueIWNc1pTRNU4/lvtUIfaeUse9M0xQRUfJhWt/4xm/+nd/+VCljrfXh - hx++/hIAAAAAAAAAAAAPAtHdAAAAAAAA8DKyLEvOudb4m3/zb/6dv/ODrcVuuzsc5nmec84ppXEc - o8V0mDfbsa5PCSbNd3yHsXe1SCmt67rZbCLi+Pi4tbYsS2stIq5evZpSOhwO4zguy/ICPyYAPH8t - RU1RU7T0tJdunNBzuwV2AwAAAAAAAAAAAAAA3Cd6iWLcSO/uO8MwrOu63W4jopRSSukv1VpvPU7q - tZPLskQqkUqLlnNelppTPPbY46985Ss/97nPHQ6HL33pSw8//PAzDJFqpFuODwAAAAAAAAAAwL0i - uhsAAAAAAABeJnJELmX87Oe/+K1vfds/+if/dF0jpTgcDv3l3qJinudhLBExHeaUc8TldIuotS7L - 0oPDe5+LbhiGlNI8zxHRWttsNq21YRguZVIAeLE8LeQbAAAAAAAAAAAAAACAuymlNI5jRNRah2Ho - NZK11tbafr+PiNbauq6llOcaqabUrod8t5Zz7u9NkWrklvLv/+Hn3vBNb1zXdnR0fH5+Hi1f3wAA - AAAAAAAAALi/WekFAC9NKaX+2HcAAAAAgJeqmp7cItWLba3zMOac87IstUbOQ60xDJvf/c+/9+rX - vO6nfvpnckktIuVS48kk7R6qvUxrtIgWbe253fXLt+fWO1NsNpuL/dZaKeXiT5f9tIud3h0j3XBp - Px0AeB5Si9wit0jtaS/1rUW0Lz8EAAAAAAAAAAAAAADA/aGXMQ7D0PdTSuu6ttZqrb1o8eb07n5a - Sq1vvXCytXVd575f1zlatNZqpLXVXoP50V/92Jve/Jaz/ZzK9jC3stmmVNalDWUzHZbNMD69LAUA - AAAA4LbowwYAALzELMvSH9d17Uf8Lw9wT4juBgAAAAAAgJegYRhaa/v9frs9GsdtvzP4Cx/5pVe+ - 8lW/9mu/kXLOeYiI1tqlr1cYx7HWGhHTNOWca62t6TkBwH3tej730+T25GNE1Lt3RQAAAAAAAAAA - AAAAANy5nt7dWusNoMdxHIYhpdS7QrfWxnFsrfUk71tJeWit7Xa7tca/+//+/bvf/e6cy3a7Pezn - dV2Pjo7Pz88fffTRa9eu9bJKAAAAAAAAAAC6vjajlFJKWZYlpaRPNXBPiO4GAAAAAACAB1huT243 - W+a6zPXo6DgilmXZ7/cf/aVffs1rXvOpT306Imqt8zxHRF+vcInp3Sml3mCi97CQ2w0AAAAAAAAA - AAAAAAAA3DXLspRScs6ttZxzRAzDMM/zsiy94PHq1asppWmaxnHsSd7PqAxDr748HA4R0Vr8+I// - i+///u+PiCtXrkzTdHJycuXKldPT01zGoytX79LHAwAAAAAAAAC47/Um1RcdqodhaK2N43ivrwt4 - ORLdDQAAAAAAAC9B4ziO43g4HJalRsQHf+pff83Xfv1jj50M47jdbiMi57zZbNZ1jYhSymXN21pb - 17W3qxjHsbV2fn5+WYMDAAAAAAAAAAAAAAAAADyLYRgiorWWUtrv9ymlns+dUjo6OkopnZyc9LLK - nsx9K+tNEeARsdkMrcV73/veH/mRH7127drx8UNXr17d76d1XR966KGTk5O78uEAAAAAAAAAAB4A - OeeL3td9hcYwDPM83+vrAl6ORHcDAAAAAADAAyxFvdiuH2o5Wp7Wero/jNuj/TS95+/84Fvf/o7I - kXLM8zxNUz/xotlE37kswzDUWiPiiSeeiIijo6PelgIAAAAAAAAAAAAAAAAA4C5Y17Wnd9dac84R - 0Vo7Pz/vwd69rHKapnEcn2WQuq4Xud3TtETEssR3fdd3/fzP//x+mqZ1SSmN4/bk5GwYNnfjUwEA - AAAAAAAAPAhaa6WUiKi19iUcy7L0ZRsAd5nobgAAAAAAAHgJyjmPw3ZZlne/+zve857/vbaIlGqN - iy4StdYesN1XMFzivMuy9JYW2+12XdeIEN0NAAAAAAAAAAAAAAAAANwFvXZyHMeU0s1B3cMwbDab - eZ57WWUpJaU0z/OtxhnH8SL/e5qWlPrBsiztTW/65o9//OPztO52V3pf6WePAAcAAAAAAAAAeFlJ - KfV1FznnlFJEjOPYl3AA3GWiuwEAAAAAAOClouVoOSJH5LrG+fn51//5P/8vfuInU46IWNe2u3I0 - z3Nfr3DRBmJZlktM7661zvPcE7vXdS2l7Pf7nN2XBAAAAAAAAAAAAAAAAABedDnnnt59enrajxwO - h9basizTNEXEuq79nNbas4yzLFNE3W63vX90zqVFTPPaWpydTW94wxs/+9nPnp6fD5vd2tJ+nl78 - TwYAAAAAAAAA8CBJKbXWzs/PSynzPPc1GAB3mRb5AAAAAAAA8NKTv/CFL3zVV/25X/jwR9a11Rql - lDyU/fn5xRl9pUIP8O5J25ei1joMQ8/q7k0rLjLCAQAAAAAAAAAAAAAAAABebD2W+/j4eFmW1tpu - t2ut9ZrHXgLZs70j4llaQvfzD4dDrXW73a7rmlKkFDlHRHzmM599zWte+8QT15ZlGYYhRdHiFQAA - AAAAAACgq7WWUpZlSSkdHYoeu6YAACAASURBVB2t65pz7osxAO4y67oA4KWptZZSlFL6SvFnWRcO - AAAAADwQeieIWmtKKaWUc+5H1nUdhqG1lPOwLDWlstT4zU984k//6a/89Kd/73rviBRrXevSIkof - rTeVaK3N8/ycU6cbImIYhovjpZS40ZYipVRK6Zd3cUI/uZ8GAAAAAAAAAAAAAAAPhIu2gPdnf8Bl - WZ6y31q7yJ4EACAihmG4KIq8qIKMiNbasiy9FrK19vxbQh8Oh4hoLVqLtUbKERG/9Vu//c3f/M3T - NNfaaq3Lsmw2m7hRwrmu6ziO67q+WB8SAAAAAAAAAOB+lXOOL+9NfX+uywVeDkR3AwAAAAAAwAOg - 1ppzHoah520vy9JaSyntdrv9fl9rLcMwjuOy1A9/+MNf8zVfe3JyNk3XY7lTvnFb8PZXJ/QZx3Hs - KxuWZUkpDcMwDMO6rqWU1lp/PDk5uTm3GwAAAAAAAAAAAAAAHiA9VfEix/HiyP1mGIb9fr+u67Is - wzBExLIsOesnBgDwHKZpihttoMdxjIietH27co5aYxhyrfEf/sNH3vnOd6aUcs7jOJ6dnbXWhmHY - 7XYRsSxLnwgAAAAAAAAAAIB7wlJ7AAAAAAAAeADUWiPiIhs755xzTimdnR/KsNlsj05PzyPyP/+J - n3jVq/7Cycn+MC21XT8ztYgWEfUOOnH1xhDTNKWUrl69GhGttWVZlmWJG23IenT3brdrtx8NDgAA - AAAAAAAAAAAA94Oeft0fW2sXK+TbfWZZlt1uV0rpud3Xrl2TBwkA8Hz0r08RsSxLj/E+HA53ME6t - sdvt5qXWFpHiX/zEB77nr/8vm802pdSnSCl98YtfvHLlSq31zqYAAAAAAAAAAADgUgz3+gIAAAAA - AACA51ZK6TnZvXdDzrm1tq7rbreLyMuypFT+1vd939/7ez+8LJFzrPX6G3tnrr5/kfx9W/q7Sikn - Jycppd1ut9/vW2ubzeaiOUVvHrHdbi/jswIAAAAAAAAAAAAAwN2WUmqtpZTmee5h2BfJi/f60r7M - MAy1Xq8ZyDk/9NBDEbHf73e73T29LgCA+13OudZaa+1f84ZhWJblBY6ZUpSS3/e+9/2J/+KPven1 - f3EYNqenpznnhx9++PT0dBzH3W7Xi0MBAAAAAAAAAAC4+/K9vgAAAAAAAADguZVSIqJ3AWutzfO8 - LEvOeVrr+WE+zOu7vuM73vveH16W2G7HWiPn3LuDXeR2R8RFc67nbxzHns/dO1AMw3B+ft7HnKap - lNJaOz8/3263vTEZAAAAAAAAAAAAAAA8oPpq+XEca619Ff19m7OYc845L8vSr1NuNwDAc2qtLcvS - c7v7fkT0SszbklLa7/d9v9aIyMtSv/9//YGf/MmfPD093W63EXF+fn50dPTCo8EBAAAAAAAAAAB4 - IUR3AwAAAAAAwAOgd/u6OY17GIbtdjtP67Vr1171qle9//3/PCKGIR8O82bc1FovQrtTSk+P8X6e - 5nlOKfXg8FLKPM+bzWYYhlLKNE3LsszzfHR01Fq7g8EBAAAAAAAAAAAAAOC+Mk1TRJRSrly5knMe - hiHdf8ZxzDmnlLbb7TAMp6entdZ7/ZMDALjfpZR6bndE1FqnadpsNncwzsUgpZRSSs/nnuf1L/3l - b/v4xz++LMu6rj23u9Y6z/NlXT8AAAAAAAAAAAC3S3Q3AAAAAAAAPABqrb3BVmst57zZbFpr165d - ++IXv/i1r/i6n//wL7UUw1jmpeZSDvOUIqfIKSJFpBapRd+/A621WmvOuceH98Tu8/PzcRwjYhzH - m+O9AQAAAAAAAAAAAADgQTRNU1+uP8/zMAzzPLfW+sr5+0oppdbaYyb7dR4fH+esnxgAwHNorbXW - IqKXTI7j+MQTT6R025WXPY17HLetpXVtw7iNyONYzs7W17zmNZ/85Cd73WVEbDabi5xvAAAAAAAA - AAAA7j5L7QEAAAAAAOABkHMupbTWlqVG5JyHs7P9f/7MH/yPf+Z/+uQnP5VzbMZhntdS0rqupZQU - qW8R0aK1aP357broOtFbUfQmEb372EWXiovOEf0pAAAAAAAAAAAAAAA8cDabzbqutdZxHJdlSSnl - nHvm4n3ocDgMwzBNUw8Xr7Xe6ysCALjfpZR6nWbOuX992m63h8PhdscppURE/+pYhmGZ55TzPK/R - 4vNfOn/Tm978R3/0uVpjXdeUku9pAAAAAAAAAAAA95DobgB4yWotnrJAHAAAAAB4IOSc+5/1Wqwp - t9ZaSmlpteV0WNY8bJYa+2n59d/8j//9f/c/fP5zj0eLusY0LRGxri16x4foW2sRfast6q2TtXtE - d3/sfbt684g+e2utlFJrXde1tdb/5JhSuvldN+8AAAAAAAAAAAAAAMADp5SSc75YSH9/9utY1zUi - UkrLsvQjvbvIPb0oAIAHRi+EvPj6NAxDa60f78WV3bN8v+rfx2pdIuq6TBG11SUiIkVr8Tu/+wev - fNVr9tNSNtuzw5SGcV3X3W53/ctbTTlK1JSa728AAAAAAAAAAAAvOku1AAAAAAAA4D4yDMM8z+u6 - XiRnl1J6F62zs/12c5Rzzrn8P//PP/vqr35Fa5cWld1aOz4+7g0muotmXr3pWL+kWuvJyYmWXgAA - AAAAAAAAAAAAAADAS0C7YZ7no6OjYRgiotZ6++PEMAy1xSf/02+/5S3fsi5ts9nUWtd1PRwOR0dH - tdaeFH49xhsAAAAAAAAAAIAXmZb6AAAAAAAAcB+Zpqk3XxjHsa7Ramo5HZZ5ntdHH310mqbD4fCe - 97znne/8joio66XNm3M+PT3teeHzPG82m1JK7jnhpfQE8d4M4urVq/M8X9rEAAAAAAAAAAAAAAAA - AAD3SGutP+acz8/P7zhXO+e8LMsw5GWpP/Nvf/avvPtdwzCcn58fHx8vy/LEE08cHx+fnZ/My+Gh - h49Tbpf6IQAAAAAAAAAAAHgGw72+AAAAAAAAAOBJPSp7Xdd5nntg9rjZtNaWpZ6dndVav/3b3/mT - P/mBiDjaHZ3vzy939t5gYrvdHg6Hi4OllFLK2dlZRBwOh+12O47j5c4LAAAAAAAAAAAAAAAAAHD3 - 5Zwj4nA4tNZSSr3QcrPZTNN0W+P0N9ban8SP/diP/8n/8r9629vfeu2xxx955KFSyuFwGMexlLLf - 71trkdKlfxYAAAAAAAAAAABulu/1BQAAAAAAAABPSimVUpZliYjNZrMsy+np+X4/bTdHj33piW/8 - xlf9y3/5gVqj5HK2P7TLu99Xa91sNrXWi+4PEZFzzjkvyzJN02aziYjtdtubRwAAAAAAAAAAAAAA - AAAAPOhqrfM8b7fbWmtrrVdT3m5ud0S0llJKtdZxLP3ID/zAD/7jf/yPjx9+6InTs4g4OzvbbLcp - 58M0ye0GAAAAAAAAAAC4C0R3AwAAAAAAwH1knudaa0ppHMeUUmttHMejo6Pf+I3f+Pqv/4aPfOSj - PTh7rWspJedLu9+Xc56mKaW0rmu/jH58XddlWdKNHhByuwEAAAAAAAAAAAAAAACAl4ycc2utl08u - y9IPXrly5XbHSSm1lnIech4iIlq0Ft/x7u/86X/9b8ZxbC3tdrvz8/Na63a7TaK7AQAAAAAAAAAA - XnyiuwEAAAAAAOA+MgxDRKzRDsu8n5dxdzRP6y/+wi+9+tWv+eQnf7tFrDUipXGzW9f1EoO0a60R - 0VorpZRSImK73fYY75xzDxHvGd4XHSgAAAAAAAAAAAAAAAAAAB5orbXNZpNSqrWWUh577LFSytnZ - 2R2MExG11sPhEBFHR9uUYp7jW77lW371V391e+UoypBSKqWs69qLOgEAAAAAAAAAAHhRie4GAAAA - AACA+0vPzx6GoZQyz/OHPvShV73qVX/wB59rLWqNUkpraZqmiEgpXe7UveNDbw+x3+/7Ts55XdeU - Uo8V70nelzsvAAAAAAAAAAAAAAAAAMDd10smW2s551rr0dHRsiy9oPL2x8kRMY7biDg/P6QUKcXJ - yeH1r3vDf/rkb6/rutnspmnabDaX/ikAAAAAAAAAAAB4OtHdAPASdJGak1KSoAMAAAAA96eeil1r - ba2N43gRj11TTOsyDJtlqSnK+//pP/uWb3nr6enUIlpERKzrejFIrfV25+1/Myyl5Hz9XuHNO33w - nHO/vP7Yz7/zjwoAAAAAAAAAAAAAAAAAcB/r1ZcXFZfzPPcjF53ccs4Xrz6j1lpE7e/tR2qNXqb5 - +OOPv/rVrz49Pa0pogxzXWuKlNKyLL1ZXGvtIkH8RfqAAAAAAAAAAAA3a631hQo3LXW47X7Xt9I7 - XffVERfTPfvSi2fUO2P3Jt79SErpEq+T53Tx72Rd1/5f08+fB47obgAAAAAAALgHhmEYx7GUklI6 - OTnZ7/e73a7WOgzDdnu03+9ba+95z3ve9a7vnOc2DJd2X6+3b1jXtc8VEf0a+qqFfmSapn4L/A6W - MgAAAAAAAAAAAAAAAAAAPOjWdS2ltNZ6D+haa62179+W7XY8HOqnP/2fX/0XXnvtidOI6M1ga61H - R0ellHVde1PpOxgcAAAAAAAAAOAOTNM0z3NKaZ7ncRwPh0NE9ITmS3Q4HIZhmKapB2/fQeRzf8s4 - jvM8D8PQr1Pf7Lupr2xZ1zXnPAzDsix+/jxw/JMFAAAAAACAe2C6odb6yCOPHB0dnZ+fl1JOT88P - +3kom+9493e+5z3vbS1axLxcLCnIL/AeX0rpYgFESqmUMs9za633jFiW5SLbOyL6QgQAAAAAAAAA - AAAAAAAAgJeV1tqyLH1/GIaUUkT06stbqDdtEREtokVM89xSrC1+5WMf+0vf9o5cylprzkOt9XA4 - 7Pf7lNLR0VEv87z0FtgAAAAAAAAAAE+32Ww2m02tdRiG/nRd11LKZY1fSlnXdbPZRMQwDPM8Hx8f - 30Hkc2ttHMe+oCKltN1u9/v9ZV0kz2mapmEY9vt9KSWltCzLMAx3EMEO99Zwry8AAAAAAAAAXo62 - 2+3Z2dmjjz56fn7+2GOP7Xa7iIjIf+wr/sSnPvWpN77xjR/96K9FREoxjptpmi5r3tbaxU3ueZ4j - YhiGZVlSSj26u582jmO/yMuaFwAAAAAAAAAAAAAAAADgQbGua845pdRa6/uttZzz7XYf7qenSK21 - D37wZ/7W3/q+t7/97fN8eOjKlf1+3ztfHw6HdV2HYdDdGAAAAAAAAAC4O87Pz4+OjjabTe9TfQeL - Ip7dxYB9RcTp6ekdDLLZPNmde57nnt59OBwu8Tp5dj15ve/3DPU7iGCHe8s/WQAAAAAAALg3cs7X - rl2LiEceeaSU0g9+7GMf+7qve8Uv//KvRUTO0VpM05TzEJFvurtXI2qkGulOljKs67osy0Usd7/b - 3UO7e0+Hw+GQUrp4CQAAAAAAAAAAAAAAAADgZWUcx2VZaq29i3Stdbvd3kGL6t6teF3busZmk9/7 - 3h/+B//gH+52V87PD4fDYbPZpJSmadputymldV0v/5MAAAAAAAAAADzNMAyttYvc7hdjipxzznlZ - lt7++qIn9vN3ERodEcMwlFIuN1+cZ5dSuviB11rHcfTz50E03OsLAAAAAAAAgJejs7Ozr/iKr3j8 - 8ccPh8M0TeM4juP4y7/8y3/x9W/44hcfi4ijo+35+WEzbg7zcol3o1NKrbVhGA6HQ9xYHlFrTSkt - y9Kju/sKhmmaNpvNZc0LAAAAAAAAAAAAAAAAAPCgmOd5HMeI6FWZ67r2LtK3q799WZbtZjwc5jLE - 937v9/7xP/7H/sxX/umIzeFwSCmN41hKmaYppXTZnwMAAAAAAAAA4JldLFToHbD7AolLGbmUcvNC - i4ceeujatWu9J/Ztaa1tNptpmnoE+MVxSyzujpxz/yfRu5f35TR9/15fGtwG/14BAAAAAADgHhiG - 4eTkZLPZ9BTt1tqHPvSht771bWf7FhE55fPzQy7jvNa4kbf95JtfwKqA1lpftdBDuyPiscceu8jz - vojrrrXK7QYAAAAAAAAAAAAAAAAAXp7GcWytpZTmeT47O7t69eo8z8/xnhTRbn6eI6K16C2MD4c5 - IlLkaarvfve7/5v/+k/+qT/1317Eda/r2lrb7XbTNL1InwgAAAAAAAAAoFvXdRzHnqWdc04pjeO4 - 3+8va/xlWXa73TzPKaVlWa5duzaO43MvvXia7XbbL7LWWkrpF3lnQ3EH1nXdbre9e3lEjON4fn5+ - dHR0r68Lbk++1xcAAAAAAAAAL0ebzWZZ6uEwL0tNqfyj//tH3vKWbz3bt5SilFRbTZFqrbXWS5+6 - 1trXK9Ra9/v91atXIyKl1HO7L3o6vBhTAwAAAAAAAAAAAAAAAAA8ENZ1XZZlHMfNZnN2drbdbp/j - De2ZDw/DJiJKKSnFstRocXp6eO3rX/cbv/HxlMowbP5/9u7+yZLlrvP755uZVae7Z66uBKxWbNj+ - gR/5wWH/CfwBjgAvICxbQoAuEgI9sBJIBjaQgAVMBGAMNpbCSDYYCK/Fwwa7wgJE7HqlZYHgQQJi - hTArrbToASTduXf64VRVZn79Q55Tc6bn4U6P+pzTM/f9ir5161SfqczKejjV3ZX5MbM2uvTZ2dll - bwQAAAAAAAAAAAAAAMB5MUZJXdellGqtpZTlctnimS9FSmm5XLZHL1pZLcb7ousZhqHrOjMLIZRS - 2ujZ5HbvjJkNw2BmtVZ3L6UcHh663+MRGeCqIrobAIDH0HxX2n7MaC8f4kcOAAAAAAAAAA/E6n2+ - 8jQs+jQOZ10KIYRaq1k0i0MusVuE1Oeqn/gff/oHf+hHl6Nkqq5c3KUqdy9Sleo8s/py3fq6t67r - 2sw8GERb4u7tYYVaa4yxlNK+2/f9PA0hhMAfEwEAAAAAAAAAAAAAAAAAAAAAAAAAwPORu6eU5v6Y - kpbLpdZDurXlIYQY4737Y666hU7TIKmUMg9c7FVf+PzJd7z+jZ/+7N9VBUvd6TB6MEvR3VuSdwhB - CrWqLdj+FgMAAAAAAAAAAAAAgOcRdw8h5Jy1fhyizV+KzVXNI2A/XOTzNE3u3h7eaFPszLzLQgjt - gZb2ZMt+awVcFKPtAwAAAAAAAAAAAFu0WPRnZ6dHR0ellNPT0zZMQ601xd7Mci6vf/0b/peffUfJ - cumhnhy4u67rpmlKKUkahuHg4EDSNE0hhL7vc87uPgxDi/Gen10AAAAAAAAAAAAAAAAAAAAAAAAA - AADAPMpwrTWE0Dpsuru7d13XOmbWWkspDzcqtJk+9rFPvPGN3/n5z3/BFA8PD8/Ohhijmbn7NE1t - 4OkYYwiJgacBAAAAAAAAAAAAAAAA4CEQ3Q0AAAAAAAAAAAB8cTzc+rpDKbXvFznnEMKTTz45juM4 - jm3chE9/+tOveOU3/tbv/HatOjjoL7dS0zR1XZdzboNB5JzbIBG11mEY2pAQ8wgOMcbLLR0AAAAA - AAAAAAAAAAAAAAAAAAAAAOCR5u4tt7v1yhzHMefccrVDCCEEMwshSDo4OLj4yiXpj/7oQ9/1Xd91 - fHxsZgcHB6W4B1NcrVyrBPFKdDcAAAAAAAAAAAAAAAAAPASiuwEAAAAAAAAAAIAtMrPlchlCOD09 - PT097bqu67pSyic+8YmnnnrqD//wT3JWjLZcjpde9DRNknLObdpGf3B3SSGEWmtKqQ0bcelFAwAA - AAAAAAAAAAAAAAAAAAAAAAAAPLqmaTKzGKOkGOM0TX3fp5QkLZfL1jdz7qS5XC4vuv6Dg75WdV38 - 1//6Az/4gz80LKcYulprrWp54SklMyuluHurBgAAAAAAAAAAAAAAAADgQojuBgAAAAAAAAAAAC6P - h3NfFtLi4MgVnnjBC2PshmFSSB/6s7/4mq/5rz/ykb+Wy6RS/AUvuH65FQkhmFkbFcLMQghtdAZJ - pZR5amZax3sDAAAAAAAAAAAAAAAAAAAAAAAAAABAUtd14zhq3QczpXR2dtayuheLhaRaa0vybi8v - 6mw5pi5OUylF73nPr7773e8ex7F1Di3Fp2lydzN3L+4lRrvUjQMAAAAAAAAAAAAAAACA5wWiuwEA - AAAAAAAAAIAtKqVM03R2dnZ8fNp13dHR0Xvf+96Xv/zlx8fHkswUY5D07LPHktoYDZeijfhgZqWU - GGOttdaac845xxjHcey6rqV3z2NDAAAAAAAAAAAAAAAAAAAAAAAAAAAAoDEzSTHG4+NjMzs8PGzd - Nmut7t46b0oahuHh1l+Kd6mTVKt+/Md/8r3v/U15WPSHKSUptJWHECS1DqEAAAAAAAAAAAAAAAAA - gAshuhsAAAAAAAAAAAC4CKuy+mBvDVJwt74/+LIve3FK/elyfPf/8Quvfe0bxrHkLEkhWBu4QdKT - Tz6Z29JLMk2T1oMyjONoZmaWUjo9Pe37XlKM0d27rrvccgEAAAAAAAAAAAAAAAAAAAAAAAAAAB5p - Oeeu64ZhMLPr169Lcve2MIQwTdMwDLXWGKOkNr2QlHpJuRaXYgq56o1vfPMf/MEf3Lx5093ToldM - 2asHM7MW4w0AAAAAAAAAAAAAAAAAuBCiuwEAAAAAAAAAAIAtijFOU3n66Wdyzj/2Yz/2trf9EzO5 - KwSZqRTPubR07WeeeSaldFnltpTuWmutdblctpTuGGOt9ejoyN0lTdPUxmu4xHIBAAAAAAAAAAAA - AAAAAAAAAAAAAAAedSkld+/7frNLZuuP6e5d15mZu5dSJLXpheSca1Wtte/7nGswxWhPPfWtf/M3 - nzo9WcYYzayU0jqHto6oAAAAAAAAAAAAAAAAAIAL4dErAAAeWyFofsy6Pdu93/oAAAAAAAAAzwch - pJT6WlWruq7LObtFhVhc3/mmN7/rXb/Q98FdZqpV7Zd27qq1tn+ec36oQsOcvb0Zwt3W5u5mFkIw - s/ZmSW2+67p5CQAAAAAAAAAAAAAAAAAAAAAAAAAAAGa2pnWXzHl5rTWEUGttI7y198QYN//5uZf3 - EMZxlFSrcvGTs/FlL3vZNE0nJye11sPDw1LKchpDl55zRQAAAAAAAAAAAAAAAACAcxiFHwAAAAAA - AAAAALgcMcZpmoZhiDEeHBycnp4eHl4zC5/73Ode8YpX/M7v/I6knGvL7b5Etdacc4yxhYVfv35d - kq+VUlo0+MPlggMAAAAAAAAAAAAAAAAAAAAAAAAAAGBTCKGU0nK7l8tlmymlpJQkHR4etje0l8+5 - sluzri984cbXf/1Lz06HruuGYTKzGGNbPwAAAAAAAAAAAAAAAADgQojuBgAAAAAAAAAAAC7Oquxc - /naQQoyx73spnJ0Ni8XhycnJX/3VX730G172J3/64Vw8RCtVstAvFi5d1jAJfd9LKqVM07RYLI6P - j9vLltgdY2wjOzzY+A4AAAAAAAAAAAAAAAAAAAAAAAAAAAC4uzlFO8YYY5S0WCxqrWbW4rolnZ2d - tT6eOed7r6lufN1Sqv76Y5943ete9+yzz4YQFovDWutyudzaBgEAAAAAAAAAAAAAAADAY4vobgAA - AAAAAAAAAOASxBjPzs5yzjlnd3f3lNK/+8uPfuM3ftPHP/6JUrxWleIhhFrrMAyXWPQ4jloncw/D - YGZt3IcQVn8NnEd2uO8QDwAAAAAAAAAAAAAAAAAAAAAAAAAAALgfM5umSVJL6Z57btZaW4B3y/M2 - s4ODg7mn50XWL5N+//f/8K1v+Z5gaRiGGLvFYnGpGwEAAAAAAAAAAAAAAAAAzwtEdwMAAAAAAAAA - AACX4Pj4+EUvetHBwVEp3vf9YrH49V//9Ze+9KWf+czftjccHBxI6rpunl6iGGMpxcwklVJyziGE - UsrJyYnWqd611jYDAAAAAAAAAAAAAAAAAAAAAAAAAACAh1Br7bqu1hpjHIah9dw0M3dv3y2lxBjd - fblcto6fd2fS3b7pLkml6Dd/87d/8id/svUeHce8na0BAAAAAAAAAAAAAAAAgMcZ0d0AAAAAAAAA - AADAJbh27dqzzz57dnbWdd0zzzzzy7/8y294w5vd3WRdt5C0XC5TSsMwdF03TdNllZtSMrNSiq+Z - WRvowd2vXbtWax3HUVLOjMsAAAAAAAAAAAAAAAAAAAAAAAAAAABwORaLxTiOpZRa65zeLamUslgs - QgillIuus+97d3VddNc73vHOX3nPrw3LabFYXHbdAQAAAAAAAAAAAAAAAODxR3Q3AAAAAAAAAAAA - cAnc/eDgoO/7WutP/dRPfe/3vk2Smbl8mqYQUt8flOJSqFWX+He6nHMIoY25UGttNam15pxTStM0 - lVL6vpfU9/1DDPEAAAAAAAAAAAAAAAAAAAAAAAAAAACAJoRwcnISQpCUc+77PsYYQsg5S3L3EEKM - cRiGGONzr84ku23BOI4HBwfjVFJv0+Rve9sPfOADH2grBwAAAAAAAAAAAAAAAABcCNHdAAAAAAAA - AAAAwF2Fjelzq7VOUzk+Pn7Na1/7cz/38xblpmnyEGP77jiO7i6plGJmz7W+i1Q0hGEYaq1mNo6j - mYUQUkrDMHRd13VdG5Eh5/xAozwAALBltjGV5FKVpHD+0zFkt1pNrsAjLgAAAAAAAAAAAAAAAAAA - AAAAALgirl271nqMzv1GJaWU2pJSSiml67q2/KJijMvlMkabxrZyvf71b/jwh/6c/jUAAAAAAAAA - AAAAAAAAcFE8dwUAwOPJzGpdPcMNAAAAAAAA4H6srr5WWjhoML/jSzKpi2kahhBCjLGUEmOcqheZ - Qrrx7LPf8tS3/vb7/5WbcpEF89WAC/Xcl/uFR1toad+LxUJSjLH99q9FcY/jOE1TG9+h6zqtx3po - b9Z6uAd+YQgAuDrMgzzIJVORSnuCZR3ibasXZzWNNXQ19K7zud4AAAAAAAAAAAAAAAAAAAAAAADA - vrRen61TZ+vsqXXvTkl1bX7nXWZ842tDC/x2txBC+2Yu/spv/ua//th/sNRNtYQuFZWxjLKay3ir - n+y6q2zrFbvVzQcAlLc9DgAAIABJREFUAAAAAAAAAAAAAACARwKPUgEAAAAAAAAAAADnhPXf0W77 - a1pwSTo9PX3yySdPT0+HYVgsFmdnZymlrus+/vGPf/VXf80f/OGfyFVdKYVSPfXdZdWpjdcwDMPB - wUEpJefc930ppS1PKYUQ2iAO2hi+AQCAq2geTsjkUpGkam25SVIprlqkomlw91L3VE8AAAAAAAAA - AAAAAAAAAAAAAADggdVazSznXGtdLpfXr1+X5O5m1nVdS/gOITxIJ9A5+VtSznV5Nr7mNa/57Gc/ - G2OXcy7Fr1+/Xko5PDzc6hYBAAAAAAAAAAAAAAAAwCON6G4AAAAAAAAAAABA8vkPZ/WOL0mS1WqS - tFgshmk6Orx+sDgKIXXdomT/t7/3B1/7tV/3qU99+uCgl3R0dJRzlZSn6RLreHBwIGm5XEoKIYzj - mHPOOY/jKKmU0tK7W5g3AABXn5uKZO35FZekWl2mGE1uGvSiKR5ZSDHuuaIAAAAAAAAAAAAAAAAA - AAAAAADAfdVaY4w555RSS+m+ceNGS+l292maWhS3u6eU7rOeO4O93X2ayr//95/89m//9hbpfXh4 - eHJyVmstpdy5BrfqVu9cDgAAAAAAAAAAAAAAAADPN0R3AwAAAAAAAAAAAPdlVRsjFFhI01jacAZP - P/10COH973//q171qps3j0vRcjma6fT0VFLqOt0xPsIXY7lczgMutArEGFNKfd9P09TGcYgxmtl0 - qZHhAABsSZGKFKQ5mjtEq7W6u0rR6fRiD+XmsXldJXsDAAAAAAAAAAAAAAAAAAAAAAAAV1IIQVJK - aRiGNiOp1mpmKaWUUq2173t3H8ex7/t7rcf9Vj8aM9tM8v6TP/6L17/ujX1/cHx8GkL40i/5e61D - KwAAAAAAAAAAAAAAAADgrojuBgAAAAAAAAAAAM6pUnWrfltod5WqpOVyef36C2pVrXrRl/69//3n - /8/Xve4NJydjKQpBKaUQohQODo7yWMKtKNJLkFJqAy4cHh66ewgh5+zuZ2dnbQSHnLOkcRy7rrvE - cgEA2ApTS+Q2ybTK5s7TZCGYuap/8L/6mvh3z3zp4bVaR3uOdQEAAAAAAAAAAAAAAAAAAAAAAAB7 - VkrJOS8Wi/ay9Qmtteacc85m1pK8JU3T9CArbGvYzO/+rd/63be/7QcPFkchpM89fePg6Lo8yNej - y7aOsbd1jwUAAAAAAAAAAAAAAACA5y+iuwEAAAAAAAAAAIDntBqhwKW+P7hx40bOte8P3vKWt/zA - D/xIKeo6SynUugrPTiktl8s2hsIlVqKNyyDpxo0bkk5OTlJKZnZ4eGhmx8fHMUZJtwZgAADgamu5 - 3UGr3G5Jqe9KnVxVOS+W+UtDP549G+ZvAwAAAAAAAAAAAAAAAAAAAAAAAFeSu8cYU0qSpmkqpaSU - Wva2pK7rJOWc3X1z+V3ZRli3u7t76zwao9z18z//i7/4i780jcUUY+xWQ8s6A8wCAAAAAAAAAAAA - AAAAwHlp3xUAAAAAAAAAAAAA9uq+gxG43Z697eq6RXGbpvwPv+7rP/zhD5sppThNxeWSjo6OTk9P - tYWE0RBCrdXMcs4hBEnXrl1z91JKjNHMrl+/LmkYhsVikXNugzsAAHCVRUnSahghk6T2YVelGE3D - cCAzCxbMy7S3WgIAAAAAAAAAAAAAAAAAAAAAAADPxczcvUVum1mMcZqmltI9jmPf920+hDBNU3vz - g6+8vTlnxWil+Nvf/oN//++/+Ku+6qumaWq9coJLHnSuVywAAAAAAAAAAAAAAAAAPL/dL4cAAAAA - AAAAAAAAwDlnw/DJT/7Hl7/85R/60IeGobgr59K+1XXd6enp/M4WsH1Zaq0555bbvTkcQ0rJzKZp - kuTufd+7O7ndAIArbRXWrdjSu3290BRCMFWXy/2g672O7rVWcrsBAAAAAAAAAAAAAAAAAAAAAABw - pbXc7lJKKaV184wxtiTvruvcPedcSpmmabFY3D+3293nN4QQ2kpiiJJqkaRa9Z3f+Y/++I//RB7k - QQoMMAsAAAAAAAAAAAAAAAAAd+LJKgAAHmftSWsAAAAAAAAADyHn3HVdsFSy16IYujzVGLu/+qv/ - 7+Uv/8Y/+qMPleKSUgoWYhv/YJqmzdENSikPXXqL/e77fp5393mMhvlXf/NM13XtZfPQ5QIAsCMm - SeaydW53kbIkV7AgScFLnYIkq3urJAAAAAAAAAAAAAAAAAAAAAAAAPBgVgHbMcYY25LWP1RSzlmS - u4cQQgjDMLTl7Z1zF1Hdbey4WmuL8c7VZVa1yvQ+O5u+4zu+46Mf/WhbyXIaPVgra+6OCgAAAAAA - AOBRxK/3AAAAAAAALhHR3QAAAAAAAAAAAMBddF138+bNcRwPDw9jjDnng4ODD/7b33vVq171N3/z - 6ZRCrS4p5/rFRHTfq+haq6RxHEMI86gKAAA8bnz9JRVpzuiuWmV7t8+/SlciAAAAAAAAAAAAAAAA - AAAAAAAAPJrMLKVUa621DsPQkrbbdLODqrtfu3bt/v1J3dfp4CF2Xfz855/5tm977cc+9vEYukV/ - 2HWLPNVr167VWsdx3PJmAQAAAAAAAAAAAAAAAMAjgOhuAAAAAAAAAAAA4C6mWp544ZOWuqn6VH0s - 9f/57d/5pld+y6c//XcWwpRrdaWua0MgmM2ZovWOr4sxs5bbnVJq8+R2AwAeP/5AH5F1Hd4NAAAA - AAAAAAAAAAAAAAAAAAAAPKqmaZIUQpA0jqOZmVkIIcbY9337bozx5OSkveeu1l1ZQwgh1zJOpevi - Jz7xqTe96U2np6ctF9yD5apnj48Pr13bzaYBAAAAAAAAAAAAAAAAwFVGdDcAAAAAAAAAAABwF/MY - B8Mw1KJf+9V/9ppXv34cPXWxjW4QY2wZ2zHGjejuL5a7l1K6rss5d13n7mdnZ5e1cgAArpIqSSZZ - aE+wrJ5icQW1cO/VAp5uAQAAAAAAAAAAAAAAAAAAAAAAwCOq1ppSMrNSSuuaWmvNOddaSynjOC4W - C0nufv/1tLRvSdNUUkqS5CFG+/CH//ybvumbY0xeTQru/iVf8mXL5XL7WwYAAAAAAAAAAAAAAAAA - Vx2DGwMAAAAAAAAAAAC3qaZqMosp9Sn2KfY/9EP/5Hu/921VCtGmqZRSWlZ3KUWSu7cM78uSUmor - fPbZZyUdHh4+54ALAAA8clxSkGz1Mt6aDXE9W80kGR+DAAAAAAAAAAAAAAAAAAAAAAAAeDSFEMzM - zGKMLX67lOLuLYe767phGFqkd9/39+mvWms285SCVNtwsmOecvFa9Wd/9mdvfvObY4yHh4enp6en - p6cxdrvbQgAAAAAAAAAAAAAAAAC4qojuBgAAAAAAAAAAAO6ilDJN040bN77lW171S7/0f5mp71Ip - nlKKMbp7y+1eLBaXm9sdQsg5t2EXFovFnA5+iUUAAHBFFKloFdkdpegt0FsmyTeeaeFjEAAAAAAA - AAAAAAAAAAAAAAAAAI+scRwl5ZzbtIV2N9M0SSqlmNk4jpvfOsfMSild161XFbquay+HIf/Gb/zG - z/zM/zwO+eDgqFbdZz0AAAAAAAAAAAAAAAAA8PzBo1QAAAAAAAAAAADAXXRdd+PGjW/4hm/4wAf+ - TXWlrh/HLCmXXLzKFFIMIbThEhaLxWWVW2udpqkldpdSYozL5ZIhEgAAjxmTqpSl3IK5XapSbd8M - 0jwPAAAAAAAAAAAAAAAAAAAAAAAAPMKmaer7fpqmlFJ7aWaSSimtd2qMMcbo7pJqvWenmpbSfXZ2 - FkJo3U6nqUzTZDJ35ayf/umf+dVf/dVa6/Xr13Omcw4AAAAAAAAAAAAAAAAAEN0NAMDj6cGflg7c - DwAAAAAAAAB3CFL4iz//d1/3tS/9y7/86zbKwTiOIaT2+7Q2JoKkWmsIIaU0DMNllV1rTSm1QRPa - OAttMAUAAB4vISiYZJvLbn8dpJbrDQAAAAAAAAAAAAAAAAAAAAAAADyiuq6bpqn1FR2G4fDwUNI4 - ju6+XC4luXspJcZ4//WM49jCv2utrf+pJLMoyWSSatX3fM/3/b//6oPDcgqWGGIOAAAAAAAAAAAA - AAAAANK+KwAAALbA5HKZQoqS3N2CubusSquAg2q3Hqeu9uBR33gQPKoOPKLqxhQAADw2uD9/nIVt - R/ht+eflR/3Wk7MLV1m97xEaQqi1llJCCDHG4Cp16mJajkOwZGYhJFP4vd/7vW/91lfnXOv6amMW - a139hs1LlVRzkVRKec4qzVHf7p5Syjm3lzHGUoqZubuZhRCmaZrfLKmNofCcoy0AAPAoMqlTlWTz - Z/cqurtKQQrmNaga4d0AAAAAAAAAAAAAAAAAAGC3SikppWmaJLVn/vddo9u0zghzDSXVWul6AAAA - cJW13G5Ji8WizfR932bcve/71vW13em15a076mYHVUlzB1X3sp6Rt46o7rXWWvSd/+jN73rXu/7L - /+I/jyGcnZ0cHR3lnKdpSCmlLtyK/fbWo2ezT+6j3gMeAAAAAAAAAAAAAAAAAM4jWQMAgMddezDa - 7/Ghf6/lAAAAAACAn5qBx46ZlVKmaYoxHhwctJzsaZoODg5OTk4ODg4ODg5i7IZh+hf/4r1PPfWt - 01RynmO5g5lpI1T7waWU3L3runlYBDNLKaWU2jAK7t6mx8fH9lBFAADwiFpFdWv+n6Tq0vxAC7nd - AAAAAAAAAAAAAAAAAABgl2qtkloqtpm1p/3tiimlLBaLaZpSSmaWc2713HfjAQAA4MJKKaWUmzdv - ppRCCC23e77NkzR3UE0p3Wslrb9qu5WV9MwzN5966tWf/I+fqsUPD68dHx/3fX/t2rUYY611Dv8G - AAAAAAAAAAAAAAAAgOeDez56BQAAHmGtM929In6sSgouSdU0z+Py1H1XAMAXg3RGAAAeM3y446FV - abvp3RydwPbc6/zyWrsQ3d1z8eAqNVlIXXrmxs0XvOCFJycnZnmxWLznPe/5x9//Q+f+rZm7F8ll - 69+/PbCcc9d14zia2bVr146Pj919HtqgDaMQY5zHUDDSuwEAAAAAAAAAAAAAAAAAAAAA2B93DyHU - Wlse9lVLxT44OFgul1p3WEgpjePY9/2+6wUAAIALa3Hai8VimiYza3ehcxfU69evn5ycjOPYdd00 - Tfdayfz+J5544ubNm5Ju3Ljx6le/+l3vfMd/+p/9J9euXTs7O3MvXdfVqmDp9o6ybcw0+r4DAAAA - AAAAAAAAAAAAeDwR3Q0AwOOtZfzc/3locqYBAAAAALhT4Edm4PHj7l3XlVKmaQohtOEMcs5PPPHE - ycnZYnFYin/3d7/1V97zz1IKpdTNkbVWw2w9bKZ2S+OOMR4fH5tZGyTL3fu+H8dR0jAMwzBIWiwW - X+x2AgAAAAAAAAAAAAAAAAAAAACAi3P3UookM6u1at0dIISrFWS4XC5jjKWUGOM0TaUUcrsBAAAe - Re3mM8YoaRxHdzez1vu1dUQ9Pj5OKeWc233pffR9X2u9efNmSqnd1n7kIx9961vf+o53/q9mfv36 - 9bOzs7baYRhuj+4GAAAAAAAAAAAAAAAAgMcZ0d0AADy2zGWuILnLTPLbIsfcqqQwPzttpJEBeN7z - 1l/6avWaBgAAwH3Y1nsEb/fm0B82APiK2H77Aw/P7/HLrhhjzrnWGkJIKUly95yzFI6Orn/uc597 - 61v++996/780k+fVGkwmW+d26yHHIui6ruVz55wlpZTOzs7at8ZxbLU6Ozs7PDxsA3sBAAAAAAAA - AAAAAAAAAAAAAIDdM7Ou6yS5e8vGLqXMMd5XR4tvlBRCaL0kJM0zAAAAeFS00G5JtdaWvd3SuyWd - nZ11XTdNU7vxG8exvbzrehaLxTAMkkIIOee22r5PH/w3v/+mN73pne985+npsaS+Pzw7OwshrIda - AgAAAAAAAAAAAAAAAIDHH89LAQDwfBCeI4+W3G4AEBdDAAAAAHj8mVnO2cza+AXTNHVd98QTT+Zc - P/2pz7zsv/lvf/d3/6WZrOV1S5Jcfiu3WzKzhxjHapomM2sjHcQYp2nq+z6lFGMcxzHnPE3T4eGh - +21lAQAAAAAAAAAAAAAAAAAAAACAXWoR3S2uu00lXcFH/XPOLWI8hNDCHVt3iX3XCwAAAA/D3Vvf - 1XbnGUJIKfV9P03T3DXVzO6V2y1pGIY5BbytJ6U0jjn14X3v+923v/3ti8VhSv00TSGkUsr2twkA - AAAAAAAAAAAAAAAAroq07woAAIDLd9++dEHayKYlpxYAzuPCCAAA8OjY9mAyfuF0XuD5a9u/Zbqk - 87GNWdBGH1gPWBBu3rz5Hz75qVe84pU3btzILpNVd8liSLm20QdWW2dm0kOma7t7rTWE0EY0GMex - TdtQWV3XtRzxzZERAAAAAAAAAAAAAAAAAAAAAADALrXExBjjMAyt+0DrC7Dvet3FMAyLxUJSzjnn - nFKqtZLeDQAA8Mhp93WllBhjrTXGWEqZ7+tKKSGEWuv9O7e2f9X3feu+WmuttcZo41i7zv63d/3C - S/7BP3jta1/7hac/d+3g0Kxfr22zdzDDLgEAAAAAAAAAAAAAAAB4PF3FLgEAAODyBLmtZ8I64ogb - AAAAAAAAHoDVVRrx9qZ6xKfbbh+mj9Z0qx7ifLzraszMzN2naQohXLv2xOnp6Z/+6Ye/7ute+rd/ - +7lxzJJcnmIyWVnldt/6t5Lc9RDJ3fMQCW3ErpSSJHfvus59lQXeFmqdLw4AAAAAAAAAAAAAAAAA - AAAAAPZlsVi0B/6vZm53rXWxWLQEx5QSud0AAACPKHdfLBaSYoySuq6TVEqZe5623O725vvc77W0 - 73EczaytKqVUiksqxc30Iz/yP/zKr/zqweLI3eYVMh4dAAAAAAAAAAAAAAAAgOeDtO8KAACAy+dS - 3/fTOK562XWp1hpi9FJ9lf1j7qukIrPNp6i3Ux936VZxbcmViiAKIZhZKeW53/pFaB0d50bQuh32 - 1ftxs9x5H2n76VAtH2uzxFbovtphX4diCGHzANhsit1UYDMzzN1bd4vdmw+G1hQ7uy6187Gd+5vV - 2EXa3KVqJ1SbvyLXk7ky2v7xHGN093Odi/bYDrr9XJ43f9v1adcTbTT4bq7n97J5WO7Lflvg3Gfc - jp37nN3Z7mjH4dU8H7WPg+FcifsamGOzGvOxsYPP/Ttv9rTv4+FCWlXbXjt3r7LvqmmXZ/e540f7 - vrqeq0zj7tpydVpTV68mj3E+KqpM0mVMJVmVP/fUZDL3Wh/w/Zc7raXKqila8FYTuctctV5OO9xj - asEktyBvxcllbqa65XLvMzVb1cS1uzpUr/JqFuXV1nUwUylFXiXf8dS9xmAWJKnWWms2i8F2cX1w - 91YHe+59cVdBUq2qVV23GIYhpXR2Nvzzf/7e7/u+fzzmW++RlEu9c3seZBvbdaNNu66bpinG2IZI - 6Pu+DXlQ1+Z/cq8ZAAAAAAAAAAAAAAAAAAAAAACwL1f58f7WA26z9+LVjBgHAADA/d31nrMNClFK - OTc6xP07us5jI7Sx1HLOkkKQu9xVit7ylre85CUv+cqv/MquS4vUjeNSUtd10zTEGC14KcW0n3Go - AAAAAAAAAAAAAAAAAGB7iO4GAODxNI6jSQcHByGEacw555hCnzq5zFRV5FZrkYJ7jrGTqhS2NA0h - SdXdai3udm759sq917TFJ83zteZSXKop9Vstt7Wzu7nXjXbw3bdAm9aqzXaYp2Zx+6WXVspcltlm - m+x0uq/jsG21FNyLFNrZ4V66brGb0lu5bS+sk7L30A6t9PkYWKe+lm3vl3PXpdYaZh5CeLTSu+/M - 1NyLc1HrO0uba+VulrKb6PcH1Cqj7Uecto5Sc6FbLesB7aUadw2Md/fdDDSwmZu+92jbvSQ+tm57 - 96rJ7p27PuysTeb9Ph94rcS7ts9uzJvc6tYqtu36pJTuPAV2FiR/p4vu9xbX3VppMyp7X4f03G53 - XuK2Wu7mwbNp29Hv9zLvi/MbvuXDKsbo7q3YWvPcDiG0gssXM3WTVOR3mVq7KbXq7qvlVuRy1bu+ - f9vTrk9SdPdavXqWy71ISiFKJvmWpqVMLapeknu756kbJ2Pd8dS9uMtsdRhIMnMz23a5KQUpSHIP - 7rVWrzVr1et+i+1/r6lZuzKsk65D2M0Fsp2PtVbJ2r5ox+H6Y/e2dnOT/O73ge5+eHh48+bNF77w - S46Pj9/xjnf87M/+7Jjv+t6H4e7Xrl07OTmZl7TPlBjjOI4tzLu9LeecEn+nAwAAAAAAAAAAAAAA - AAAAAAAAAAAAwG1ap9rWwb/WGkJ4uJEi2jA8IcjMTk/Hp5566td+7de+4iu+4pmnv/Dkk09K9eTk - 5Mu+7Es+//nPW/Cjo6Np3Nv4GAAAAAAAAAAAAAAAAACwJUQCAADwGIoxllJcculsnI6ODhaLRSll - GEcLCiGEEEOI1aI8uEqtphZotK2pyUwKbi4FCyGGLkTlqcpMHnY8zVMN0WJIFtw8BEteTVZz9m3n - W7lcbjKZQoghWApRJbusysOOpzW7mZuihaBqt5Zv+XgIFt3kq1JMZl7V2mTLx+Hdp630nSedraam - YNGCJQvezsc81S2XaDKTm2vV8jHEEKMX7eU4nKZqYXVdCIqy2s7HWrTV/W6KLslX16WQYrAUk03T - IO0njfKi7gztNrN9RcOGEDertMtc1hakug7wk1xmJtvbTmzbPkc5Si3KcbtJhiF296rGVsu9l1pu - RSbvMqk3xuTurjZZLXTXrpLcbf2/9Ybv9FTYrEdrc5Ok1hwud992KqTL5/PR3auvXtZd7YBz5vNi - lem+UdEtu3UkrA5+l7vb/q5LWmfJN2YyM7vjunG5qszlm3t/Mzh897xe7PNxrud8NZeZzOqerquz - ufj20eJbTsottZpZCMFC2Ewuz/ny4m0vwkLQ3YLYt31UDWMOqxZoFVAMwcwupR3axtS77sn1ySvT - fD0xsy3fVtzTMN06j8xCWDdKzdu9/7SQbh1+G+fgvu5zLGxUZh0nv4P78JLrXNbqvIzSOhB691oP - f99oAV9dM7f7uZ/X8dpmFkI0szCfKXcwSRbOn18eJHV9d3Jysji89uzxydvf/gO//Mv/d1x/ULu0 - Dv++79XF7ndTEUI4OTlpv52bpqnv+1LKXMm2ZBiGUkpKaZqmrtvu5zIAAAAAAAAAAAAAAAAAAAAA - AAAAAAAeLWbWuvQul8snnnhiGIaUkrtfuH+xWYv97rrgpTx94/hlL/vv3vOef/rlX/6SkNLTn/+7 - J5988umnn+4XqfWQ7buD7WwQAAAAAAAAAAAAAAAAAOwN0d0AADyGSikhhFrr+973vo9/7GNnZ2dd - iLXmrluEoBijpRBjCmFOfAtbDSiu1UOwuZQQLKUupRhj2ktgckpdCBZjijGsY55M8hDibupgphBi - jCHGZKZ9tcPh4VGrydwCbR9tux1yLq3clGLX9V2XWjuUUvfSDi984Yv2Uq675iOhHZNmwb1u+3ho - 5bay2nw7F/p+sZd26Lp+rsOdR+P2ym0tMM+3vRBjiMn2fAW/AJuj8twVQnBXCPv5Ea+Fs5qFc2ma - O4nsNclaIKykEELrLLT9cu+i1rpOUzSphfWadJeQ0UsvtxXXyprjLd33E5lst1sFJ28/X7OU1g6S - bB0nuosozWZuf90K/d36Jt9Vznne9s344W2fj+vPl80k09CyXbda7r2sr0urqN1zy3fA/dZVMYS9 - RZjXWkNoZ4TW0d27OC/WR8JGxqyZ9hYxW+MFo8rb9Vyaj+p2HdO5w2lnNusjtV25i/rEGDeKq3MA - fIz7iaKvtX2wnr+6bvsTJqV2fxXci7u5l5yrVFPqpSqFL3JaLdhzvccstnkzd7dLKfei065btBaQ - qrutP1hKVNx26e6txFXpZtHMd98Cq/1VJRWz9lNzWNeqhJC2Wm47Blo7tDq05dsu9z7Tzb3gvpqa - bff6sFik9mnmXjbPynvUU9Xq+WuGS9JyuYwxnZ6eveY1r/ngB38/RpUiM9ULXU/uckG6vSh3SYvF - YhiGeWFK6eTkpO/7cRxbpDe53QAAAAAAAAAAAAAAAAAAAAAAAAAAADin1trGagghPPvss9euXcs5 - P8R6Wtq3mU1T6bpumqZPf/qzr3vdG9797p/ruvSCF7xgmoa+72V1mqajo6M87Wd8DAAAAAAAAAAA - AAAAAADYHqK7AQB4HJlCMi/6zGf/9jOf+VtJXUyymvOtR6LNpHUwru8kQa8F+M3zIWhPCYararQc - vs2Xu6/PZgX25c48zW23Q0qr0Oi24fvd/Cui7YWdNcjt0aoKQSFoT0nHt10WtHFS7P7AmJvlUbFZ - 1VZ5M12/frSXyrTA7Bhjm5mTqtdRl9vS+gXNxYUQUkoppX1FivZ9P7fDXDGzrUf2bkYjtwq0Omy7 - /e/l+vXrOh8ivotTq7VD63IW1zaPkC3ZjCffzCw/OtrP+TgnJbdzYT4ltx2hfa/zcV9plG3vz6fD - XLGdXR/aXpivCfu6LqW1+QDYwUmhjTD7tu2tAptx8jv2xBNPyC5wKW7n9PxyTh/fVwR7KW62Oqpb - BXdV8q3izNQ+3LSrq/qd5nI3j2FvSdbbVM1qrV7dgoWQQohezVVyXQf3fpHTe6/H5XKzYHKTmSm4 - qjzItPvpOGQLbooWzBRlq1r5aj5sderV3FwKIcZgKUSV7LLWGjudTkMO0YJCiEEeJJOHyzwe7jEN - Mpe8rl5ZsGDJguepbrXce0291BAthCSrtczHatz21WHIxd3lQeYmWQjy4ObB0l32V4vvPsdMUs75 - xo1nXvnKV37kIx/V+tcgd1zbHv6aX2tt4dwxxmEY2igGIYRa6zRNkkopfd9LCiHknPd13w4AAAAA - AAAAAAAAAAAAAAAAAAAAAICr6Vwv1Gma+r4vpVx83IMQYkwxjuNymqYnn3zy2Wee+eM//tPv/u7v - /omf+PFaq5kAsFc8AAAgAElEQVTlnF0lpVhKWY8zAAAAAAAAAAAAAAAAAACPD/IAAAB4DMUU8rSK - 4W2pZrlkSSZTy/+SqkvrpN5tPyhtMpmbgqzO4cS17i0it9VHbr6ROreXysyp4Xsx74tz277t+mxG - yG+WuLfjYa89BdpW7zgF8lxGeK17yK2/07la7XK/3OtceIS0ypvpmWdO91uTELQZ6rn7Q6sFi9a6 - r0jRVR3mA3g3B9Wdl/GWrnrn9XbHNk/k3Z9f89FYyn6Oh/1+vs91mD9kd78LYrQQwrS+Kd2L1gJz - yq+77/i6NJ+PpeznfJyvSyHMGfMy2/X1eT4Ur8Itx4NIafUDSxOjuq5rSfB7qc9isZhj4M+lVm+1 - 3NbJdjOCfTMGfveeeOKJNrPLRpAUQmhhxSnFvl+kFM2CezULO4tKbmW16fXrT+whqFkuWQiWUpdS - jDGZqdWnVt/Bts9LYgwxphCs7xd7aYeUurkOm3ULIW613HYJtxacHlNKsdVkL0eC5AcHhzGGlDoz - 1ertjDBrnzvbLd1M7YrYWiCE2Opwj/ffySTduPHs93//93/yk39jpmCx1CIpdV3L1b6U68Y4jmZW - SpE0r9bdh2FYLBYt0ju104ncbgAAAAAAAAAAAAAAAAAAAAAAAAAAANxuHMe+73PO7t73vaRhGB6i - v39MqeQ8lnJ4eG25PH3mmWeihRD1vve9/4d/+Id/7Ed/9Pj4RkpJJjMbxzHFxRa2BgAAAAAAAAAA - AAAAAAD2iUgAAAAeQyVXmUKIkmouZuZSjNFbcqJJqqYgVSlI1d3m+W1MXbYRFxmkaha9Ld9muc9V - nzZdLTfzy2uH57aZNlf3Fxfcjg2/Pc5q29VJKbl7rVVz/tjeGkB7LH0Vbyw/Fz1oO8l6vXO/XwVt - 29u07jzbczNw+hG1x7rPx21ZZeadX74lIQRfa0vcVfeU06xb57V2nB0+t0N7uW6E/R/MOz4mz7VD - qWqNsIPr6ualYy5uX5/vMca5Harv7mLfevdt7oJcXGVvud2rT5NVC+zuujSbb3Kuwvl4/tq4/erM - x+Gtm739X5MuYMq3vaxZpU4x1mla7qlGJ3sp1ez8lbylsO83gn1XJ/EtvhEEbFrNbz+e+O5TC/K6 - r7Dmu0/nNtnS9M7tDaYQVfJ+tneuz2akuAXVsvV23tdR95zH5P33156ndzmlJanv0zhmSV3X51xd - Ica4Cti22975cObfeMQYJZVSFovFcrl098Vi0d7QZtr8Q4ySAAAAAAAAAAAAAAAAAAAAAAAAAAAA - gMdYi+uOMZpZ645aa+37fhzHC62n5NUACmdnZ5KFEEvNJatL4Rd/8Z++5MUv/rZve6rv++VwGkI8 - Ojoah70NFQIAAAAAAAAAAAAAAAAAW0J0NwAAj6FVmpdUS5EUY8w5l1JMLVJRd8syCtubhhDW6W6r - Je67KPc+U7MoSYq+Su+W+yXW57mz7OZUy53lR96/Go2Ztcf0t1pozrdlIc4t8EhHJj+EjZBdv+vy - 3bhS7b9O99xFTe7c8PUMkWkPYzO2ePW/nVxPyiqJ1lqB2veRfNd2aB2ftlpurT43wuaxva/WMLO9 - FF2rWjvo9nN8NxeVee7Ow2DHNs6LndZhfZjfOh+111NyvtVa/W+t7CpN3CzM54K77/GW767R8tve - NXden3dQ6H2YXazotsvmTxZ3r1W17q1/aYt03ceF3cxu23Hu58O8d28vFbDVf7dy6M3WOcq7nXpd - h5fvfOob7TBP3bfeDm17Ldwqq/r6Q2fn7T/XZ5VRvV7uZevlrq6qt++RvbTA3A6+eUz6rbNjN+Ve - 4F9t/gJsbRxz18VpKtM0tV8HlVJSSrnc9vuK+3mAa1GMsZTSruEtt7vdirSfEXLOZtaGS3jQQgEA - wC5USau/F7ns9r/4VJMU5PwSGQAAAAAAAAAAAAAAAAAAAAAAANuVc04pmdk0TV3XtYXDMFy0a6qF - 4LW2brrzMDgxxjaEwv/0Mz/z4i9/8T/86q+pCiXLtzxIDgAAAAAAAAAAAAAAAADsBdHdAAA8hlpq - VM2rbLk5KdkfIFJ6G7YdWfoQ9h44N7sKecmzveS8XqkWeB563rb/vTf8yl2vHlG7j/a8mseyu3aW - E6x9NPu9qrF3V6EOz2dXsP33clHaLPGKtMleqnEVtv0h6rCXG+N72dfPU1enBfbuzpbYY9s834pe - 5UNfmYNxvzW5mu2wy1o9TFmbMd4bpqlodaFbfS/nC19szczdY4zu3q7V84AFLaVbUq21XU5rrTHG - GGP7tymleSUX3yoAALBFbioWJDMPQdVMMpnk7qnvlIsmmUtWXcXU7bu+AAAAAAAAAAAAAAAAAAAA - AAAAeAzNfVHn3O7WTdXd596pc1/X+4xvs0rjXnd3bQtLKSEEVx0nfe/3/f/s3c2vpEueH/RfRDxP - Zp6q6ra7242NGAmBRgj+BGCBzIYV8n/A2IwHzQILRozFyPYIWR68YDFLBEKA7ZFG2CNZljdmA9Iw - C9YzIGEWvbFh2vL0NH3vraqTmc8TESziVN5z6+VUnXvrVp6Xz0el6Ccj82T/TtznJfM5zxPf/+LP - /Ol/6d/8t/+t3qNF5NTW9VimtNvtPv/886dPnx4Oh5zzV990PByt+ZoAAAAA4CNIKY0J61qNV3Pc - nbsmAAAAgAckv/8lAAAAAAAAwCtjaoNaa2ttTH9QSkmvjJ7e++FwiFezIQAA90WLOF1Ok3pEROvx - +fOXMW1imqNHqzVFMrUQAAAAAAAAAAAAAAAAn1LvvfceEZvN5tTzNd6ntbbb7VKKuvb/9Ff+sz/4 - /f8zUqm955ynaSql/PEf//Ept/sUFg4AAAAAAAAAAHAfie4GAAAAAACAW0gpneYySCmVUpZlGfMd - pJRevny5LEtEbLfbsQAA3CM5IkekiHg1c1HO+Xt/6odxuIzTZEZfa1YjAAAAAAAAAAAAAAAA+NpG - inbv/Xg89t63221rbZqmD/vpFtFO77Pf7+d501r89Kc/++Vf/uUf//jH0zQty7Kua6vxwz/1p1+8 - eBERu93OrbIAAAAAAAAAAMC9JrobAAAAAAAAbqH3XkoZcxksy1JrPc1rsK7rPM8ppdZaRMzzfDwe - z1krAHAbqUfqcZqHKCKWFmkq/+9P/igunkRKUXsupYvuBgAAAAAAAAAAAAAA4NOqtUZEa21d1ydP - nhwOh1PnrYy7Yo/H43a7jYif/vSnv/iLv/jjH/94t9vlnFtrX3zxxXe/8yd775eXl/M8f+zfAwAA - AAAAAAAA4NMR3Q0AAAAAAAC3U2td13VMSRARI7+z9z6SvEspKaXx1GazOVuVAMBtpB65R+6RrndG - 9Ign3/9eTHOkiJQiImXX2wAAAAAAAAAAAAAAAPBJlVIiIudcSvnss89Gz+i8UYto1x8vyzIWXmWB - x49+9KNf+7Vf++KLF8fjutnsaq3Pnz9/cvGs1ppP99H0HN09NQAAAAAAAAAAwD3jsicAAAAAAAC4 - hRHLPU3T4XAYCxHRWmutzfNcShlJ3vv9vrV281sBAHdLahH9+mxE85T3x8NP94coKY6HyNFai8gu - uQEAAAAAAAAAAAAAAOBTqrWu6zoWpmnqvffeR89tbTabnPO6rvM8p5Rq7b/3e//7r/2Vv/Ld7373 - cDh897t/cp63n3/++cXuqVtlAQAAAAAAAACAe808wgAAAAAAAHALvfdSyrqu0zSVUiLiZz/7WUop - 57wsy7IsEZFS2u12OftjHADcJ6lHusrt7ldNb2ukerGLMsV2ihQp59pNOQQAAAAAAAAAAAAAAMAn - VUqZpmks1FojYgRvv/snWsRb7oIppRyPx9baxcXFsiy994iYp/IP/+E/+ut/479skQ/rcqzrvNuu - 69pbip6j5w95ZwAAAAAAAAAAgLtGWgAAAAAAAADcTmstpbSua2ttv98/e/ZsdM7zPKY5qLW21kbn - uYsFAG6rn5aWGrvvPP3F//kfRUkxlaunU+rv+EkAAAAAAAAAAAAAAAD49qzrGhG999778+fPb4zu - frtaa845pXR5eRkROeeIWJYaEb/1W7/127/928fDupl3EbnWPoK9AQAAAAAAAAAA7inR3QAAAAAA - APB28zyPhe12e72n915KiYjW2lgY8xScfrCUMh5e7wQA7qyevvx3vafkeHFcYpqjTJEiUqvRI249 - pREAAAAAAAAAAAAAAAB8c9M0jTalNE1TrTVe3c16at97c2tr7ZTJPd5h2O/rb/zG3/zd3/3d4/EY - EdsnF/tlPT3be08plVJSSq21j/yLAQAAAAAAAABw96SUlmW5/vB02ckj9NZLbrj7RAUAAAAAAADA - W8zzvCzLmMLgcDjsdruIWJZls9lExPF47L3XWsffjEeANwBwv51yuyNa5JYiImqOmKaYyrjK5vFe - HQYAAAAAAAAAAAAAAMDd03svpUzT1FrLObfWWmvzPN/2fVKkiKg1fvmX/+N//I//797S559//oMf - /KC1NmLCI+JwOIwbbMdDAAAAAAAAAAAett77PM/jipSIOB6PI737UYmI/X4f1xK7c87rup7xvwu3 - 4lInAAAAAAAAeItlWU7p3eu6rus6/h485hTovbfWRmJ3rVV0NwDcXz1Fixyp5YhIEb1F5P5ljHeO - MkWZIrWIFpFihHgDAAAAAAAAAAAAAADAubXWLi8vp2kqpdRaR4b3siy3eY8c0Vr0nKP3aBF//j/8 - C3/v7/3df/Vf+Zf/6I/+6Ic//MEf/uEf/oln39lutymlUsq46zZnt9gAAAAAAAAAADxkY3r2iOi9 - l1J675vNpveeUnrvzz4w2+02IqZpiogxAtM0jVRv7j7R3QAAAAAAAPB2Y2KC8YfhdV3HnAUjtPs0 - ocAI7b7eAwA8JC1F5FJzLtEiIkU8uqvDAAAAAAAAAAAAAAAAuKvG/a2993meI+LrhmrneS7Lsmy3 - 28Ph8NlnX/zCL/z5f/D3//6f+Rf/hX/2z/75z/3cz/1/P/nj3vvFxcXz5893u90to8EBAAAAAAAA - ALh/1nW9uLiIVzOxp5SeP3/+7NmzxxZZnVJKKdVac87LsoyrdEb/eQvjA8kPAAAAAAAAgLfIOY+/ - hpZSUko555Hb3XsfcxaklA6Hw7qu48+l564XAPgIUv9yYVz91FNEyss41Pdees7SuwEAAAAAAAAA - AAAAALgbWmtj4RSnXUo5TRD8Pvk0M21tkUo+HA7TlFuLH//4n//SL/3ST37yk+9///ufffZFKSXn - vN/vxwTE0zR9/N8EAAAAAAAAAIC7ZJqmy8vL1tq6rhGxruvI7U6PzIgqH5PVbzab8fB0rQ53n0ud - AAAAAAAA4C1aa/M811prrdM0res6/jw8TdPhcNhutxEx2oiotZZSzlovAPA1pR45UrweyJ2i50it - RUTOVzMY9be8DgAAAAAAAAAAAAAAAM4l5zymAx7TBD958uTy8jKlW98A02rNpeSS1rWOn/6DP/i/ - /vKv/uf/zX/7Xx+Px6e77fh/2e12x+PxlBcOAAAAAAAAAMBDNRK7c84554iYpqnWOpYflZTS8Xi8 - uLhorY307sPhUEqptZ67ND7Io1tlAQAAAAAA4AMtyxIR48/Ax+MxpZRSWpZlJHaPZ4/HY0TI7QaA - h6qnHCmdoruv/gEAAAAAAAAAAAAAAMAd0HsfN8BGRK31s88+e/r06YjZvlF+bU7alHOrtcxTpOg9 - Uoqe4nd/7/d+/dd//eLiIqV0eXm53W5fvHhxcXHxAe8PAAAAAAAAAMC9t9vtUko553GByjRN8zyn - x+fZs2cjt7uUcjgcIkJu9z0iuhsAAAAAAADeIqU0TVNrrbW23+9LKb33Uso8z/v9PiLmee69bzab - 4/FoigEAuOe+cihPEblH7hE9Uo/ovURE5Ih0nuoAAAAAAAAAAAAAAADgbVJKrbWIWJZl3Ab74sWL - aZpu+z69tc1uPh4OKed5nluNaYp1jb/7P/2D/+G//1uHtT797ndevnxZNvPLly9LKd/CrwIAAAAA - D1rPEe1tnRE9Xy1ERDKpHQAAAHdFKWW/3282mzEH+zzP8Sgjq0sp48qciFjXNSI2m825i+IWbn0p - FQDw7Wmt5ZxPD1NK8n6AB+/6vi7n3Fqz9wOAj6KUUmud57nWOu6xHIfac9cFAHfUOHTmnHvv42tp - KaW1tq5r732cuBtfWsfrd7vdWBg9/kQKAPddihb9K8HcKXpPrY2eeti2J5Fy5By9y+8GAAAAAAAA - AAAAAADgjui9jztk53lurbXWeu+nW2IvLi4uLy8jYrPZHI/HaZrG9MFviQiKOO4PEdFrW2qLiHWJ - iChT/o2/+V997wff/3N/7t+v0aO33XZe1zVHfvMdPr2U0vhluyk1bjSmHBljdVo9+HCnm9BPqxw3 - eHMqOYN23Zur0+gxSjcbB7uIuL52mbTwva5Pcmu4PtwYt/7Kqd92erPTPi2ujdVrY8ibrFcf6PRR - 1kr1Ia7vx+LaIcD6drPr43P9M5sV7mb5jX3+aK9/Dnnc3n6+IqU0TuOklEop9m8fqN/33dgpmfuN - FaO3lHNZlnWsErYgAAAA7o6R0n08HsfDZVnOWs7ZjHG4nll+GhPuBdHdAHCH5JyXZRmZQBHRe3/6 - 9OmLFy/OXRfAt2VcEzDP87Is13O7XcoDAN/c+Fpx/cz1uADr+slcAGA45XaPA+i4in3MO7Df7yOi - 915rLaWcuVAA4Nv2lVvYWkREz7mn6Gvua6RNpBHw3eJuTC0EAAAAAAAAAAAAAADAI3ear6m1lnM+ - JbSVUnrvl5eXY2q74/FYSnmV2/1eX947s64t5/irf/Wvfe973/uzf/bfidReXr6YpqmcaZ6o6zG3 - 1wM1JzcC32iarqYgvj5o5vt6r9P4pGvi1bwuvMubgXNWtute24+91s+7XD+EpZRyzmOTtD3ebF3X - 67uv07J5qG62LMtprE4rW3w1jIE3naZTHtOKxqt9nQlb+IbG6jRmrD31CDS92WkGwtf2Zo6bNzsd - N8fD0+it9v83qq29tqZFRErp0WY4veHtadxjuE57s9NXA+ndN7v3o/PO/765tbbZ5N1uV2td1/V4 - PKb07pcDAAAAcEuiuwHgDlnXdZ7nEQJUa52m6cWLF6ckb4CHp/d+cXFxeXk50tHG3m+z2RyPx3OX - BgAPwTzPy7KUUkopy7K46B8A3uW1o2St9XSr4bgN4Po9YO4KBgAAAAAAAAAAAAAAAADg7ui9n2Zw - KqUcj8ec8+k+2VN64tcLf8o59972+/VXfuVX/s7f+Vv/+r/xr+U8TdOmHT8wBfwjO/0Wr0Upm1Xj - Zqekw1Oc2mn1OHdp98BplE7TQoqK/BqsaifXY0evZ0M22+ON5s1mHO/GEe2UoGn+h5tN8zwWrkbv - 1X5MlPLNyvTl1P1tjF1rIqjfK+f82p4tjXXP/o1vYJqmsRWePs3Gq1Xr3KXdaZvtdhwxT4fOVms4 - br7Pa8fNMXrhuPk+uZSIGCcdTquc4+Y1bx+HtbaR3h2vVrmISCmVIkLoJvc+ryG9/XzCPG9fvny5 - 3x9HoPs8b1NKjnUAAAAAH5HzbgBwh5xSuse1zuu6juuez10XwLdls9lcXl6mlE57v3mex10f5y4N - AB6Ckdtdax1fK6ZpGteAnrsuALhzpmla1zWltN1uD4fDbrfb7/en83Lruk7TNKYqWJZlfnV/BQAA - AAAAAAAAAAAAAAAAnNe4+3UEYo2bYTebzXhq3B57mmhixN3dNuLu9OOfffbyL/2l/+Rv/+3/8ed/ - /udfvPximzcRLSJ/4rb3dH259xaRe6+lzGep5760OU+n0TuNW0RLqZy9trvc5jz1Xk+jlNKXY3j2 - 2u5ym1I5jdVdqOeuta3Fq22wX2vvRG13uT0e17jaa/Wxjp3Wt7PXdpfbdW1xdRTo41iQUkmpj/67 - UOGdbVPqox3jNj5ptBZ3oba73dbxSePatnk1hnegNu29bMdxc3yuSKmcPsdqb26XpcbVPj+NPf9p - PM9e211u17WlND5pRM7Tq2XHzfe0tfbea3x59LwaPd8IIvIN51tyzimlsZzSlwnxtbZbnbd5fNK5 - C/iG3npeLi/Lstvt5nk+HA5jevZSSs5hdQAAAAD4WER3A8AdcgqvjYje+zzP67qetySAb9X4M/C4 - kWPEpI2bQJZlOXdpAHDvpZSmaeq9j4NsRPh+AQDvsq7r+DZaa33y5MnLly/HN9bx7DRNh8Nhu922 - 1uZ5bq2dngIAAAAAAAAAAAAAAAAAgDOa5/l4PG42m3Vdp2mapuny8nK32+33+4uLi977SO8et8ee - Zrr7cDlPm8203+/nqfyTf/Ljv/gX/6Pf+Z3f+d73/kQ71m/ht/kQKaU84q9yLhGt95RSWltEiuja - t7cpUqQUkSNFRI6UUpRIrfccKYX2He1Se48evaccKVIuJXruUXtLkSJ61r61TZH7iKNO0VuKlFKc - v6q70/aWIuXoOeXUe45ovUXrreT57LXd6TbnlPvYd0XPPWqr0SPSHdjH3uU25SlSa1frXkSKaD1S - y3kaI6l9a9tbalF7ix4tekRq0XvKPafp/NvCHW6nMvee2tp79GjXPoH4nKb9Bm3JJXJK17bQVqNH - L/ZjN7Zt7SmniBK595Z6r622HnUqm7N/xr7LbWs9oqWeem+9Nfv/D2ynadP62mq0qL2llCL1lFI0 - +/9+09mNUqba2jhRk1JKKY05ulMqn+Lkyr11yjt/WNJa6/64L8clpbS9eLKua+Ry+9N4AAAAALyT - 6G4AuFtaa7331lqtdcQFzfN87qIAvl3LspRSSik559aa3G4A+Fhey+o+XY0HALyp1jq+lh4Oh9Za - Sul4PE7T1HuvtW6323MXCAAAAAAAAAAAAAAAAAAAbzFSi0opz58/f/bs2cXFRURcXFzs9/vdbldr - TSmNLKhSSq23i9xure33x4hYlhoR//Sf/j+/+qt/+Td/8zefPXmSY6RJffo2pZTGcu+p994i5Zyv - 5VJr32gjIkqP3luK6NFTpB499T5ioLRvb6dpai231nqLSL3V1HvtveecoueI0L61TTlFL5EiokTq - Y2tNcep57G3K8WrbjEjRe26t9p4+f/558G5jIoje+5gFYl3XWuvoPHdpd91pktt1Xdd1HR8JTEV1 - szEDyfU1bYyYcbvZsiy11usjNrZQ43azzz777Nwl3GmnUNuIOB0FxhxB5y7tTss5x1cPAWPcmhjY - G5VS3hw0O7H3GoO2rus4EJxGbKyHj97pw2qOaNfb/f5Y67Kurfea85RS7z21tvaeXnul9nr7xRcv - zl7DN2vjqwHvV8b+J+e8LEvvfbPZ/OhHP/r06ysAAADAAya6GwDukBHUPdqc87qucruBh23c0TH2 - dcuyTJNvKADwMR0Oh+9///v7/X5cenU4HMb9AOeuCwDunHFSLiJKKcfjsbVWStlsNuPZUsq6rtM0 - 5ZxfvHjx9OnTsxYLAAAAAAAAAAAAAAAAAABXxoR1h8Nhu90+e/YsIkaaXc55t9tFxOXlZe89pfQ1 - crsjYp7ndV17zxFtt9vt9/v/9X/5337hP/gLz55efPxf5gPknK/ntl6Pbu3CW9/tegTpGLQxjKLU - blZfOU3YMkLpzlvV3ff55y8iQsThe6VklG4tpThFdY/RM4Y3u55sbqy+Idvse5USvYfjJB/Xax9X - e7/aEpMP/ze6vr+6vvsybjezn/96cv5y2xzGZzZHhCvvWK9yzr23N9e6lNJXo521X2kfwnZ6/Xd6 - 7ZkUvUfOV5vPPJdlufWpPAAAAADeSjAeANwhpZSImOe51lpKmabpeDyeIoIAHp5SyunP3aWU1tq4 - uN+l/ADwzfXet9vtz372s3mexx1fjrAA8C7jy2lKaUxDEBGnL6fjTN14Qe9dbjcAAAAAAAAAAAAA - AAAAAHfHNE29981mM/K5l2WZ53l0jp6Li6uM7a+R2x0R4w1rra3F4XAYk0T9/u//H2dPfHs9ujXu - QoDUPWtTjt7uRCV3ti05td6N0m3bZHv8sHb8b6SrLdG4fciI9Rb9DlRyj9q37sHs/7/2Gnj2Gu54 - W9cvl1NEylfjZn3TfpO21a+sV6O1Xt2qvT5Wxu1D2vTVT2WOm+9tr2+npzXNZ7ar9t3GHKEppYgY - J3CGV2dvuvYd7f33jt9m5HbH1TzttZQktxsAAADgIxLdDQB3yClIb2R4R4TcbuDBS6/ufhgXB4RU - UQD4SMaBdZ7ncHgFgPc5fTk9nZd77UzdOKSeXgYAAAAAAAAAAAAAAAAAAHfE9Xtgx12xp87W2gjb - PqVA9d4jYpqmdV0jopTSex95UdeMh1f32y7LMhZ6T72P/nb2uKT+ZgV3IUDqXrW9nb+GO97W+mo9 - O3cl96vtd6CG+9L2dyxr397GHajhQbT2/9pP0PaIfj1r8tz1aB9G20/LcSfq0T7Utn+1p4tR1n6T - 9so7pwN9dXIj9T6W+w0v5v5rNzx3OtM1Eru/PCMBAAAAwMfgvBsAAAAAAAAAAAAAAAAAAAAAAAAA - AMCDknOutY647v1+Pxa+853vrOs6z3Mppdb6Rm73B73xx64UAAAA4OFxCoUTKwMAAADA2Tg1AwAA - AAAAAAAAAAAAAAAAAAAAAAAA8ECMlO6IKKWUUiJiu9221lJKX3zxxXa7XZal1hoRm83mHe/RIt6a - 6v2ufgAAAADgXfK1fwAAAAB8Ok7HAAAAAAAAAAAAAAAAAAAAAAAAAAAAPBAppWVZImLkc6/rOvpH - evfhcIiIaZoi4ng8nq9MAAAAAAAAAACAb9F07gIAAAAAAAAAAAAAAAAAAAAAAAAAAAD4OFpr8zy3 - 1koph8Nhu91GREppXdeR3p1zrrWWUka29w3v9GkKBgAAgDsonbuAO66fu4A7z3mVj+n+b4/5Vq+2 - fQEAAAB8LLc7LwMAAAAAAAAAAAAAAAAAAAAAAAAAAMC9sN1uj8djrbW1Nk1TRPTeW2u991prSml0 - AgAAAHXAA9IAACAASURBVAAAAAAAPDAujQIAAAAAAAAAAAAAAAAAAAAAAAAAAHggcs4vXrx4+vRp - RKzrutlsRn9rLaWUUmqt5Zy32+26ruu6RuTx/If+H6Rvo+pvoJ+7AAAAAAAAAAAA4O7J5y4AAAAA - AAAAAAAAAAAAAAAAAAAAAACAj+bp06e994gYba01InLOKaXj8TjSuw+HQ84mpwUAAAAAAAAAAB6m - 6dwFAAAAAAAAAAAAAAAAAAAAAAAAAAAA8DGllCJinueIKKWMzt776Bmdy7JExDxfLWy328PhMH5q - 9Lxd/3YrBwAAgLvA11+4O+7/9tjOXQAAAAB8HSml3vtoz10LfE353AUAAAAAAAAAAAAAAAAAAAAA - AAAAAADwrWutpZTWdW2t7ff7Z8+eRcRI6d7tdiO3e5qmZVlOCd8AAAAAAAAAADw28zz33kspp55x - nQncL9O5CwAAAAAAAAAAAAAAAAAAAAAAAAAAAODb1VorpazrOk1TRKzr+rOf/WzMszzyvCNimqZ1 - Xed5HnneAAAAAAAAAAA8QsuyPHny5OXLlznn3nvO+fnz59M01VrPXRrcguhuAAAAAAAAAAAAAAAA - AAAAAAAAAACABy7nHBHTNB0Oh+12OyZTbq2llHLOI7p7tHK7AQAAAAAAAAAeuZcvX0ZEay3n3For - pYwLS+AeyecuAAAAAAAAAAAAAAAAAAAAAAAAAAAAgG9drXVd1+12Ox723kdba805p5RKKSmlEeZ9 - 1koBAAAAAAAAADibaZpOy733aZrGdSZwv0zvfwkAAAAAAAAAAAAAAAAAAAAAAAAAAAD3We+9lDKW - l2XJOY9ZlWutrbXxcF3XnHMpZVmW81YLAAAAAAAAAMB59d5ba621cVXJ9TxvuBfyuQsAAAAAAAAA - AAAAAAAAAAAAAAAAAADg25VS6r2flk/53CmllNLxeIyInHNEyO0GAAAAAAAAAHjM1nXdbDbLsuSc - p2lalkVuN/eR6G4AAAAAAAAAAAAAAAAAAAAAAAAAAIAHrveeUqq11lrHZMqllJHh3Xsvpez3+9Za - a22appTSuesFAAAAAAAAAOBs1nWd57nWGhHzPC/Lcu6K4NZEdwMAAAAAAAAAAAAAAAAAAAAAAAAA - ADxwI427lFJKGT0553gV6d1aG/0ppd577/2MpQIAAMC9ML5Kn75oA5zLZrM5Lffe13U9YzEAAAA8 - GK21uHb6a5qms5YDX4e1FgAAAAAAAAAAAAAAAAAAAAAAAAAA4JE6hXav6zpivGut5y4KAAAA7qhS - yrquh8Nhu90uyzLPc0TUWgV4A2fUWuu9x6vdUc75eDxez/MGAAAAeJxEdwMAAAAAAAAAAAAAAAAA - AAAAAAAAADxSOeeImKZppPv03ltroxMAAAB4Te+91rrdbuPVd+qIkNsNnNGbZ/PkdgMAAAAMLoEC - AAAAAAAAAAAAAAAAAAAAAAAAAAB47FJKrbWIyDmPBQAAAOA1KaXe+1gupYxv0Ou6nrUo4FEbud3H - 4zEiSinLssjtBgAAABimcxcAAAAAAAAAAAAAAAAAAAAAAAAAAADAeaSUjsfjZrNZlmWe5957Sinn - fMohAwAAAE5679N0lfay3+93u934Wn3eqoDHbIR2bzabsTua5zkiaq2llHOXBgAAAHBmorsBAAAA - AAAAAAAAAAAAAAAAAAAAAAAeqZHo03sfWT4ppYhoreWcz10aAAAA3Dm11pzzfr+f53m320VEKaX3 - Pr5QA3x6m82mtTYW9vv9brcbZ/zOXRcAAADA+YnuBgAAAAAAAAAAAAAAAAAAAAAAAAAAeKRGik9K - KaV0PB7neR7LvfdzlwYAAAB3zjRNETFCu9d1zTmXUs5dFPCoretaSlmWZZ7nsXfabDbj4blLAwAA - ADgz0d0AAAAAAAAAAAAAAAAAAAAAAAAAAACPV621lNJ7HzHeEdFaEzwGAAAAb6q1ppRyzrXWaZp6 - 7733lNK56wIer5RSSmme59baWI4Iud0AAAAAIbobAAAAAAAAAAAAAAAAAAAAAAAAAADgMRsp3ddj - xuR2AwAAwFudvjK/+W0a4CxO+6Wc83krAQAAALhrnC4BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAADggRDdDQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwAMhuhsAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAIAHQnQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD4TobgAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4I0d0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAA8EKK7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeCBEdwMAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAPBAiO4GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADggRDdDQAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwAMhuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAIAHQnQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD4TobgAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAB4I0d0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8ENO5CwAA - AAAAAAAA4BHpES0iR6TxYEhv/G/qb/woAAAAAAAAAAAAAAAAAAAAAAAAAAAAwPvlcxcAAAAAAAAA - AMAj0iLWiCVehXj3dvVEioga0aJHxPSqBwAAAAAAAAAAAAAAAAAAAAAAAAAAAOB2RHcDAAAAAAAA - AHAWOSIitUitpqhXnT16RM8R0VN06d0AAAAAAAAAAAAAAAAAAAAAAAAAAADALU3nLgAAAAAAAAAA - gEekXEV2R6SIEtEj4iq3u0REu/rXI2qKFJEjUj9XsQAAAAAAAAAAAAAAAAAAAAAAAAAAAMD9I7ob - AAAAAAAAAIBPakRx9xQRkVLukVvEePTqJa2lt/8sAAAAAAAAAAAAAAAAAAAAAAAAAAAAwM3yuQsA - AAAAAAAAAOAx6e97Ko1LWlL0yD1Sd30LAAAAAAAAAAAAAAAAAAAAAAAAAAAAcAvTuQsAAAAAAAAA - AOAxSVcR3SkiIkePSJFHWnfkSC1yRG7Rc+r5ppxvAAAAAAAAAAAAAAAAAAAAAAAAAAAAgLfJ5y4A - AAAAAAAAAIDHpad2FeDdIiJSxBwxxVWk9zFalBoRuY94bwAAAAAAAAAAAAAAAAAAAAAAAAAAAIBb - EN0NAAAAAAAAAMCnMwK7e7ToV1nd0SO96o2IHDVizRFJcjcAAAAAAAAAAAAAAAAAAAAAAAAAAABw - e6K7AQAAAAAAAAA4k9eyuY/H6H1qxzjsd2U+Rk3TpkY7T20AAAAAAAAAAAAAAAAAAAAAAAAAAADA - /SS6GwAAAAAAAACATydFKyOzO137Nx5uN/FyH0uPmupxmWOOukzZ9S0AAAAAAAAAAAAAAAAAAAAA - AAAAAADALZjaGAAAAAAAAACAT6tGtOgpaooeERE9RU/R6xqbTbw8/Hf/7r+Xl2WJFjkfWjtztQAA - AAAAAAAAAAAAAAAAAAAAAAAAAMC9IrobAAAAAAAAAIBPqEdEix4tor7qaBERLc1TvHgZzw8/XNMm - 8rTb1li3Lm8BAAAAAAAAAAAAAAAAAAAAAAAAAAAAbsPcxgAAAAAAAAAAfHIp+qvQ7hSRo0VEay22 - u+jp4vllqW2/f9la7+ncpQIAAAAAAAAAAAAAAAAAAAAAAAAAAAD3iuhuAAAAAAAAAAA+oRSRI9KX - i2MhRUspRW+xP363lKfbzTRNtcdaz1otAAAAAAAAAAAAAAAAAAAAAAAAAAAAcN9M5y4AAAAAAAAA - AIDHpaeIiBJR+ojwjugtUm+9ltRjSsfnz9th39d1WyJN0Q/nrBYAAAAAAAAAAAAAAAAAAAAAAAAA - AAC4X/K5CwAAAAAAAAAA4BHpES0iIlKP1E+9OXoquUREpNhebFK0bSprjSa3GwAAAAAAAAAAAAAA - AAAAAAAAAAAAALiN6dwFAAAAAAAAAADwiKTIOSKNBzlGeHfKOXq+ekWJfektavQ6RUSK6G99JwAA - AAAAAAAAAAAAAAAAAAAAAAAAAIC3yO9/CQAAAAAAAAAAfDzp2nKLaNG+Es6dck3R06suud0AAAAA - AAAAAAAAAAAAAAAAAAAAAADAbYjuBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4IEQ3Q0A - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA/P/s3cFyG0l2htE/swoEabknvPGE/UT2K/hlvfLC - T+LdTLinoy2BqMrrRUlsTUujaWo4LLJ4TjCEQlJI3CCwzKgPAA5CuhsAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAICDkO4GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgIKS7AQAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAjpbgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AA5CuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAICDkO4GAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAADgIKS7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAjpbgAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAA5CuhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAICD - kO4GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgIKS7AQAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAOAjpbgAAAAAAAAAA3rhfHaEZ1TLap2fVk56Weu6pAAAAAAAAAAAAAAAAAAAAAAAA - AAAAgO8h3Q0AAAAAAAAAwFvWk/b5KZpqWXsq6ZVpJJVUr2QkSUs5bwMAAAAAAAAAAAAAAAAAAAAA - AAAAwGvVWtsu5nmuqs9XnvAtHvb87s0/3+HJJ+SvOp/P1+t1+4Ysy+Ij4DVyK2EAAAAAAAAAAGjV - Up8fAHu4bj0tHxPen68DAAAAAAAAAAAAAAAAAAAAAAAAAMBrc7lckrTWtirz7e3tdvFUeu9VVVXn - 8znJd2++vfB8Pm+79S7C+3zmeb5cLqfTaYyxPU2yruvec8HjzHsPAAAAAAAAAAAAO6tk7UkyjT9b - Hy1pY7SR9JaMj4FvB/UAAAAAAAAAAAAAAAAAAAAAAAAAAHiVzufzuq5jjGVZ5nne/n3at6iq1lpr - bZqmdV1771sB+rfbXjJN0+Vy2dLdrbWnHZK/avvUqmpd13mep2naeyJ4HPcRBgAAAAAAAACAjC3U - nSRplVZJG0nSP1a946gNAAAAAAAAAAAAAAAAAAAAAAAAAACv3LquW1F7i2HP83y9Xp/2LVpr2/7r - uuZTAfpRtpc8bKLb/cy2D673Pk1Ta22e5w8fPuw9FDzavPcAAAAAAAAAAACws9Gq2naRaaS3pNIq - 22Il2brdNRzUAwAAAAAAAAAAAAAAAAAAAAAAAADglRpj9N6TTNOUpKqq6nQ6VdWT7N9a295i23+7 - WJblsftvueh1Xatq22rb9qnm5Numafr555/v7u4evjC3t7fLssyzFDKvie8rAAAAAAAAAABv2Uh6 - r7RKtlD3pzZ3fRbp3g7ltZH0kfTodwMAAAAAAAAAAAAAAAAAAAAAAAAA8NqMMeZ5vl6vY4zz+TzG - mKbp/fv3d3d3T/5GW8Y7yXf0tqtqXdetG/1Qj07SmruCPocxxrt375JsufTW2v39/c3Nzd5zweNI - dwMAAAAAAAAA8Na1jFNlVKplbb9kvMenw3gjmbaH2q4AAAAAAAAAAAAAAAAAAAAAAAAAAOCVmed5 - jHE6ndZ1rappmu7v7+/u7r6jrv1VrbWqqqotuf23b7h1u7c9810VcL7DQ3a9975d39zcbA3vvUeD - R5DuBgAAAAAAAACAtEpvWZOR9K3eXb1/OoxXDw+V9I9hbwAAAAAAAAAAAAAAAAAAAAAAAAAAeEW2 - EvayLPM8J7lcLufzeV3XaZqeZP8tB57ker2eTqfr9frdAe/thdsmp9Npmibp6Oe09de3v3nv/Qm/ - JPBs+t4DAAAAAAAAAADAniqpliStMo1M9XGxVa1rpaqnj2Qk6S1j3XVYAAAAAAAAAAAAAAAAAAAA - AAAAAAD4Tr33JFu3O8n5fE7yhEnmh7T2PM/LsvztGz5Uxj/fnGewfVUe/ua63bxG0t0AAAAAAAAA - ALxd1TJaRvul3t0rIxkt03zT05IpyagxkrTkNMUhPQAAAAAAAAAAAAAAAAAAAAAAAAAAAHjBpLsB - AAAAAAAAAHi7RsvSs/SMfKx3f9Ivy2U6zWm5r3FqfR2jpqxtle4GAAAAAAAAAAAAAAAAAAAAAAAA - AACAl0y6GwAAAAAAAACAN61aaut218eVXj3V+s3t0nquy02bx1Kn3pdU9am+uRsAAAAAAAAAAAAA - AAAAAAAAAAAAAACwL+luAAAAAAAAAADetFZp1VulbVHu6tNo1fJTX/80j5xP67rcTm2qVNqHuu48 - LgAAAAAAAAAAAAAAAAAAAAAAAAAAAPBN894DAAAAAAAAAADAbnplSkuqV6plpG+LS+8/nvv/rO/T - +nmac016Rltu+nnvkQEAAAAAAAAAAAAAAAAAAAAAAAAAAIBv6XsPAAAAAAAAAAAAu2mVaWQaaZXR - svQsvY2Wy5Q//m76j//+z7SRJUlSue03qXXniQEAAAAAAAAAAAAAAAAAAAAAAAAAAIBvmvceAAAA - AAAAAAAA9tRSX6zV0vNja2lTpjktSbKOajlNp/bcAwIAAAAAAAAAAAAAAAAAAAAAAAAAAACPIN0N - AAAAAAAAAMAbN7aHXpkrSfWMZFrrXfrv0qa0Sqv0tN6/rHwDAAAAAAAAAAAAAAAAAAAAAAAAAAAA - L4p0NwAAAAAAAAAAJNny3EkbSUbrqSm5SXq1tLYmqfSRTDuPCQAAAAAAAAAAAAAAAAAAAAAAAAAA - AHxL33sAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeBrS3QAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAByEdDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHId0NAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAQUh3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - cBDS3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAByEdDcAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAHId0NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAQUh3AwAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAcBDS3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAByE - dDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHId0NAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADAQUh3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcBDS3QAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAByEdDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHId0N - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAQUh3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAcBDS3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAByEdDcAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAHId0NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAQUh3AwAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcBDS3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAByEdDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHId0NAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAADAQUh3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcBDS3QAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAByEdDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAHId0NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAQUh3AwAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAcBDS3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAByEdDcAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAC9Fay3JGKO1VlV7jwO8PtLdAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAHIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAch3Q0AAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBBSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABw - ENLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAch3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBBSHcDAAAAAAAAAAAA - AAAAAAAAAADw1q3rWlVJlmXZVranL8q6rkkul8v2dBt1jLHnTAAAAAAAAAAAAAAA8PLMew8AAAAA - AAAAAAAAAAAAAAAAAAAAO5umKUlVzfNcVWOM3vtLq3dP03R/f38+n7cJ53ne5tx7LgAAAAAAAAAA - AAAAeFmkuwEAAAAAAAAAAAAAAAAAAAAAAHjTtgD2sixbt7t9svdcv/b+/fu7u7t1XadpWpZljHE6 - nbax9x4NAAAAAAAAAAAAAABeEOfsAQAAAAAAAAAAAAAAAAAAAAAAeNN670m2APbnxe6q2m2mr7m7 - u9sq40nO53OSqtqeAgAAAAAAAAAAAAAAD6S7AQAAAAAAAAAAAAAAAAAAAAAAeNOqaozRWuu9b23s - 3ntVfZ7xfgmu1+vpdEqyrmuSaZrGGNM07T0XAAAAAAAAAAAAAAC8LH3vAQAAAAAAAAAAAAAAAAAA - AAAAAGBPrbVpmnrv67pu0e5tce+5fu10Oi3LkqSqpmla11W3GwAAAAAAAAAAAAAAvjTvPQAAAAAA - AAAAAAAAAAAAAAAAAADsbMtgT9O0Fbt772OMvYf6itvb2w8fPkzTNMYYY1wul/P5vPdQAAAAAAAA - AAAAAADwsvS9BwAAAAAAAAAAAAAAAAAAAAAAAICdTdOUpKp670leZre7tfbhw4ftoqqS6HYDAAAA - AAAAAAAAAMCXpLsBAAAAAAAAAAAAAAAAAAAAAADgoy2J/TJts7XWlmX5fAUAAAAAAAAAAAAAAPic - dDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHId0NAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADAQUh3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcBDS3QAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAByEdDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHId0N - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAQUh3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAcBDS3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAByEdDcAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAHId0NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAQUh3AwAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcBDS3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAByEdDcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHId0NAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAADAQUh3AwAAAAAAAADwrCp5OLXSt6uWtFSSqlwvP1wvvUa1/UYEAAAAAAAA - AAAAAAAAAAAAAAAAAAAAXi3pbgAAAAAAAAAAnk8lI72StKSy5bmrZW15X0vGmv/76fc//fiu1dLS - pq3zDQAAAAAAAAAAAAAAAAAAAAAAAAAAAPBbSXcDAAAAAAAAAPDcRvIxyv2p3p1kbj33H/7r3/79 - X0+ndr1WxeEWAAAAAAAAAAAAAAAAAAAAAAAAAAAA4LHc3RgAAAAAAAAAgOfTkv5wZqW+XGzrh/v7 - n9+vS6aWZR29tb+wEwAAAAAAAAAAAAAAAAAAAAAAAAAAAMBXSHcDAAAAAAAAAPDcWn7pdqeSSkvW - sWSaf/cP727m0w+30xiZW4t0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAPAY0t0AAAAAAAAAADyrr7e4 - K9NIKn/63x/Xy31aT5LexxjPOhwAAAAAAAAAAAAAAAAAAAAAAAAAAADwykl3AwAAAAAAAADw7Oor - a/M8p/Iv//z7S1VdrqeWZV2/3vkGAAAAAAAAAAAAAAAAAAAAAAAAAAAA+AukuwEAAAAAAAAA2NVD - nbuSdfzxD3/4p5tzmz4ea2nd+RYAAAAAAAAAAAAAAAAAAAAAAAAAAADgEdzaGAAAAAAAAACAZ9c+ - XkLQrgAAIABJREFU/fx6vf3w7h/f31+W60gytzbGeP7pAAAAAAAAAAAAAAAAAAAAAAAAAAAAgNdL - uhsAAAAAAAAAgGdVSW0XLdVS+azh3dr9/f15Pv2y8PzzAQAAAAAAAAAAAAAAAAAAAAAAAAAAAK/Z - vPcAAAAAAAAAAAC8RdUyPl33pGl0AwAAAAAAAAAAAAAAAAAAAAAAAAAAAE+h7z0AAAAAAAAAAABv - 0dbtrqS++FX7tFQtJekNAAAAAAAAAAAAAAAAAAAAAAAAAAAAPIZ0NwAAAAAAAAAAz2qLcX/l2Eol - lf5lyhsAAAAAAAAAAAAAAAAAAAAAAAAAAADgN5PuBgAAAAAAAADgubW9BwAAAAAAAAAAAAAAAAAA - AAAAAAAAAACOSrobAAAAAAAAAIDnVUmlVXrSPv1si0lapZe2NwAAAAAAAAAAAAAAAAAAAAAAAAAA - APCdpLsBAAAAAAAAAHhG9cvlr/vclVT6n/8fAAAAAAAAAAAAAAAAAAAAAAAAAAAAgEeZ9x4AAAAA - AAAAAIC3q391tal3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAN/p63c/BgAAAAAAAACAv4v2zV+1rC2j - fSx3t0rT8AYAAAAAAAAAAAAAAAAAAAAAAAAAAAAeQ7obAAAAAAAAAIB9qHIDAAAAAAAAAAAAAAAA - AAAAAAAAAAAAT066GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgIOQ7gYAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAOAgpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4COlu - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADkK6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAgIOQ7gYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAgpLsBAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAA4COluAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADkK6GwAA - AAAAAAAAAAAAAAAAAAAAAOD/2bufWFuW/T7ov19Vd6+9z3k3zy9GkYFAYBKi2CEmBClzxjBBAsE8 - MrGCkGGeASOYIWdohYAsFDlxYEgchz8hZgKE5yRCSQYkUeI/wbGI37v3nrNWd1cVg957n33+3n/n - nrPPvZ+Ptmr36tWrVnWt6lqTVf0FAAAAAAAAAAAAAOAbQnQ3AAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAA3xCiuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPiGEN0NAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAADAN4TobgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAL4h - pvfdAAAAAAAAAAAAvpUyMiKi3z28/dsj2/HcyBij5N0xt0ZGROR4Q+3l3vaLL39dbSOj5+3Bo0aU - iB4371KPY0p+1nkBAAAAAAAAAAAAAAAAAAAAAAAAAAAA71X57EMAAAAAAAAAAOAtyh65R+wRW7QW - rUdEi2hRovTIrUTPiJHRsras41mqd4w8Araj325H3I/9jogYUcZzu8o4/u6Ov/VCbT2jldhLtJhH - LDWnaCOijdHWKOcoMSI/IwccHq5t28YYvfeIOMovYd/3F7bv6gQAAAAAAAAAAPjQtdaOstYaEUf5 - 0GTmy3uOlgMAAAAAAAAAAAAAAHdEdwMAAAAAAAAA8G6NElFGRERGZkSJESOiRUSWdhukHRExpogp - joztiBE3MdvPVXb71MhoGa3cHHCzM8aIIyy8Pzv+fuz3TXueJX/niIjSo+5RotSIVkr048kRsUaM - r7V34GtxuVzmec7MUsq+75fLJSK2bfui9UzTdD6fW2v7vk/TFBH7vpfid2gAAAAAAAAAAMA3Qa21 - 9z5N077vx/b7btErjDHmeR5jTNOUmeu6ttbG8OtGAAAAAAAAAAAAAAB4zvS+GwAAAAAAAAAAwLfJ - iOgRpaxZRsRcokT0u9tFjrnFqcWnZfQcUaO2yB5zRBv5LLI7+11OcI+InmMcgd8RkX26vVHmEQGe - I8o4ArljZLTbl97tL5ExSkYvJcpN4vceMZ6WjJrRWkQrY5Q+xcioX38XwdfgdDqt67osy3FX2Wma - WmvHzVu/UD2ttaurq7uHH3/88UcfffS2GwsAAAAAAAAAAPAeHD+yKqVs21Zrba1FxBHj/b6b9pxa - 67Ztmbnv+7Isy7K01qbJ/cQAAAAAAAAAAAAAAOA5fmoPAAAAAAAAAMC7NSJGjIwe0SIibtK7S95s - H4HcERHRSmR/VR3luf+9R4wYrUQZMfKlo49U74ieEfdyintGGeV4/5uG5c3hvfRPr67i6ipOy7ad - y/xoqi3WPerkJzd8iMYYy7JERCll3/fM7L3XWjNfvmDeZJqm3m8uylLKkdt9Pp/v53kDAAAAAAAA - AAB8iI4M7DHGPM+ttWVZxhjbtr3vdr3oCOoeY/Te13U99hwNft9NAwAAAAAAAAAAAACAB8R9hAEA - AAAAAAAAeLdKRMaRmL3ETWp2vUnXvkzjMo/oGaPEiPMYUW5it8u9Kvpt2vCIuDmgZS8jIqNHZEQZ - UW4r73mTyR0R9baentEyWykRpYxSR/QYLXuJqNEvU/wHv/SX4nQVbSvX1yNiiz4v2dtesjyLDocP - xLZtd3dlnaYpIvZ9/9K1lVLuapimSW43AAAAAAAAAADwDdBay8xaa+89Io5U7Ifp+PnWsizruq7r - KrQbAAAAAAAAAAAAAABeJrobAAAAAAAAAID3oN7873cx2BkRI3KUiBgRI+PYiBE54iaFO2LkzTG3 - eh5VHAnfI+ImBTxyRIybem6MEs9SvO9qOzZLRB9RW46MvmWJ01Us1zGd9m2tc82IdfS51oAP0LIs - 27Zl5jRNR4z31dXV+Xz+ElWVUsYYY4xSSmvt008/vb6+PsK8AQAAAAAAAAAAPlyZefwUqpRy/FAq - MzOztfa+m/acaZr2fZ+maV3XUsqR23209n03DQAAAAAAAAAAAAAAHhDR3QAAAAAAAAAAvEs9Ro+I - kuXmTpbZY5SbtO0+Rz/1MW2xR0QZU2kZud29eGT0jDKeVZdRIiJHLxFlRM+b9O64jeXOEfcihXve - 1nPzyjGyR4nI22jw2+My6nWMEq2cImPUkb1H9MgSxb0t+eCMMeZ53rYtIjLzdDp9udzuWmtr7XQ6 - XS6XIwX88ePHb7uxAAAAAAAAAAAA78ER1x0Rmdl7j4jj4UOz7/s8z0cLM3Pf98ystb7vdgEAAAAA - AAAAAAAAwMMiuhsAAAAAAAAAgHcoI6JERD+Cs6PnTZh2HOndZZQYdUTLkWWUyBYRoxyH3tTRS3+W - 3n27kSMioo4Y+WznuBeynSNG3uw5qsqIMnpGP5pURuTII/a7lYhS2hx1RIw6ekQtJUuLfi8IHD4Y - vfda65HePc/z5XKJiFLKcfPWL+rI7V7XdZ7no/JSXBkAAAAAAAAAAMAH7/ip1RhjjHH8MmqMkZmf - /cp3a9/3WuvRsKOd+75Pk1uKAQAAAAAAAAAAAADAM35nDwAAAAAAAADAuzOi9BojokVEREapEZE9 - MqLtMVofa8kx9VFy9L6WGj1jlNj3mOeIFtFjKtn28WJQ8G0EeI46btLBX7CvYzy6vl7PTzOj9zgt - uV9GzWfH1ogxIiJaGVHHllEyMqLfNriEfGI+SLXWiBhjHGHbEZGZXyK3u7V2vHbf92PPGENuNwAA - AAAAAAAA8M1w/NTqiMQ+fhn1AHO7I+J+SvfRTrndAAAAAAAAAAAAAADwAj+1BwAAAAAAAADgnWoR - IyIjSkSNiOgtWomeJWJ7ksu0tq1G5Ih5ybaOPWKPmJfy6d6zlpjy43P73o88fvr06cjIERFRRkRE - HhWPOUaOjIjoz26YOa6mJUd/2vYRsbX47qNlfbLOGceROSIjyoieMTJGZETL20DwuG3tQ7wBJwAA - AAAAAAAAAAAAAAAAAAAAAAAAAHCP6G4AAAAAAAAAAN6pEhER04joEdGjbi32FjGNVq6mfZrGvIx9 - r6U+XdfrnGucLhEjp/3UPy3xZBrxu69//fykfO97R3R3GTH1yIja+927HBnbI2Jk7xkl+tx6fnJ5 - tPbf8+jxoxifPHnyndPct+2I9y4R2SOjxiit9ogSfTuNHqNFRM2MKNEiIqIWCd4AAAAAAAAAAAAA - AAAAAAAAAAAAAADwYInuBgAAAAAAAADgXcuIGMdmi+j1eFSuYu5/d576d787x+h7O1092vc+9tqy - fjr2f/A7//gfxr5GPP2dj/eIHp/0I3I7okbk7XaJZ8naLeKICK8RVxHXEX/sn/9nf2Pby/ly/b3f - PdfslyePyiij117KKNnnlmWv/XeuriIixnbT3Cwx+tHKGCG6GwAAAAAAAAAAAAAAAAAAAAAAAAAA - AB4s0d0AAAAAAAAAALxLPWOLiFFqRsTo0aPmFFn3mMrV8u//6vdju0RdIjO2FtMUmRERY/zxf+Z3 - R8R2m8b9rMaIiBgRI6JEnCJKxB6x3T6Vt/HeU8Sf/fXf/Dv/6O/F6Sp6j/P6c//2v/W9T37weNsi - Ikdd9jKybCX/0aOrf3PJKD1GiYwWUTKyyO0GAAAAAAAAAAAAAAAAAAAAAAAAAACAh050NwAAAAAA - AAAA705GlIge0aPUiIgREdFLlKll9DmW66v4zinm09qzlNojYr/MU40ofzePGjJGXs+ny3Y5QrRH - RDtqLxE9lugRsUUZcZvafRP03WuJMSJ+7++NfURvMeKP/8qvxJNPY98iS4wSe8YoUSNOS0xLjBJR - IrJFaRHLEd0NAAAAAAAAAAAAAAAAAAAAAAAAAAAAPGCiuwEAAAAAAAAAeJdKxOlI7x4RGSVyj4yW - ce4xl4hTWXJExFzqdunLqUSdIsYYY5rrvrURYzldPblcIkreVppHBnjvEbHeBXpHxLgJ286IiHKq - 82W7jG1kncboudSoGWWJEVEjMqKV6CUiokbMGZHRS5QyMkbEnlEzMgAAAAAAAAAAAAAAAAAAAAAA - AAAAAICHS3Q3AAAAAAAAAADvQRk3edqR5dhzKrGPiJzWWOcoGbEsR/B2jq3lPO1rO16yrpd6Wtpl - HRERJaPflsfhEXmTDX48dfeml22bypTTMnrPeWkRUbNeTxGxl+gRc0SO29jv0u+al7f7juqkdwMA - AAAAAAAAAAAAAAAAAAAAAAAAAMCDJbobAAAAAAAAAIB3Ku//yziitUvEHDFnlCgRV3nkbR/HjJJ1 - vnkwIkrG6G0931U4okdE3JQRN9nbt0ne94zIta8RkbWMMUpm3gaH14h6vMezXO7bNmTM8SwDXG43 - AAAAAAAAAAAAAAAAAAAAAAAAAAAAfH1676WU3ntrbZ7niBhjHGUp5e6w1lqt9ZU1lFfuBQAAAAAA - AACAdymfhWeXfOE3LRnjXlx2jrtw7sPzud1x++xzB9z8jewjnoVvPwsRz6O415qM+wflbbC33G4A - AAAAAAAAAAAAAAAAAAAAAAAAAAD4WpVSnj59WkqZ5/lyuRw7M2/uEHw+n1trEVFrvXv2xRreTUMB - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgM11dXUVEa+10OrXWeu8RUUq5e2rbtog4nU6v - fLnobgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB6E1lpmns/nWuu2bbXWWuvx1Pl8joha - 6zzP5/P5iPR+mehuAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHoQjqPvq6qq1Ns9z7/18 - Po8xIuKjjz6qte77vu/71dVVKeXY/wLR3QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwI - 5/M5IlprR4Z3Zl5dXc3znJn7vpdSWmvTNO37fjz7cg2iuwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAHgQrq6utm2rtfbez+fzsiyZeSR5X19f7/t+Op0iovf+uhqmd9haAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAeK3e+zzPmRkRV1dX+77f7X/69OkYIyK2bVuWZYxxHPaC8i6bCwAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwLdH7z0ixhgvbNzXWouIbdsiotZ6F8h9Pp/vjjlC - uw/zPL/hHUV3AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8LUopYwx9n0vpdzfExGttdba - tm211oi4urq6C+3+Su/41asAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAV1rXdZ7niNi2 - LTO3bRtj9N5rrbXWZVky8wjtFt0NAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAw9VaO51O - EbFt2xHgva5rKaWUcpfYPc9zKaX3LrobAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAh+tI - 4+69R8QYIyIeP358hHY/evTo7rDjgLcS3T199SoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AADgZZnZWqu1llLiNpz72N62LSKmaTo2TqfT5XL56u8ouhsAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAICvRd6KiHmeSylHmHdE9N4zc9/346m3ktsdorsBAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAD4mmTmPM8RUWvdtu3+U6WU3vuxcQR4Z+YY4yu+o+huAAAAAAAAAAAAAAAAAODBGfls - O9+4mvI48s3HPHz3z/e+l8/rm3G+X7f7/XO/b58fV/3eK8rX3qaH5cVz/zxXGW/Xm2e5143hL1fz - y7V9/jn23fs8s9xzfTIyIl7VSePFI+Oza+bl+eHzf0N9Hl90PH/on9fnOd8P/RwBAAAAAAAAAAAA - AAAAXtZ7L6WMMY7w3Vpra+19Nwr4SsYYY4wjWnuaptZaKeXYn5nbti3Lcv/4bduOoO5930+n093O - iHh5Qjhyu+9vvDm3+4VnM1+9pPPbdh8BAAAAAAAAAAAAAAAAAACAb7L+WQeICgYAAAAAAAAAAAAA - AAAAvibbtpVSjmjeI9n3dam6wAdh3/ejPK7oUkrvvdYaEZl5PDxyu58+fXq8ZIwxz/OR6v3RRx/1 - 3u8yud+l6d2/JQAAAAAAAAAAAAAAAADAm33+WNlvRgDtt+18343x0hL+53uvvLumPDA5vr3n/nC8 - +Vp+efR+IeW2/pffJcdN5XcbD83nmeWeP+ZNLzBnflHH/HB/bNzvw/v77wbSF6z/KzTuA/S63vu2 - 9QMAAAAAAAAAAAAAAADw7bGu67Is27bN8xwRtdbW2pH7C3ygpmk6n89XV1djjLvQ7jHGkcz96aef - Xl9fR0Tv/fr6el3XiPjoo4+OjVLK+XyOiMwc410vsLSuHgAAAAAAAAAAAAAAAAAA4NtCZjAAAAAA - AAAAAAAAAAAA8DUppRzlvu/LshzZvY8ePXrf7QK+vNba1dVVRGRmrfW4rjPzuN4fP35cSlnX9Si/ - +93vPnr06DhmmqYj6ruU8u5zuyNievdvCQAAAAAAAAAAAAAAAADwZpJlXyt7RIwo77sdD9rIF/cY - UV/U/T7Ue2/Xy+Pzzcd80f4/jr//qnJvu79U8zfp831d336TzvHduN9jL4/GzzOGP0/N3wbmUgAA - AAAAAAAAAAAAAODbZpqmbdvmeY6IdV2vr68vl8uTJ0/ed7uAL6/WGhHbtvXeT6fTsizrui7LMsb4 - 5JNPPvroo4hYlqWUkpm994iY53nbtiOuu7V25HwfT71LorsBAAAAAAAAAAAAAAAAAAC++fpXSFwG - AAAAAAAAAAAAAAAAAPg85nk+8npLKU+fPs20vhE+bGOMdV1Pp9PxsLW2LEtEZOZHH310/xo/rv3j - qcxsrT169OhyubTW7p56l0R3AwAAAAAAAAAAAAAAAAAPURnPpcyO16zIzvGmZz8U+dIi0+fPqEfE - B36K783LfXv05fM9/B5W+b5XL4+mN/XAh359fVju93aPKF+8hpfH/AuJ3eOND9+7N8/q98+ujIiI - XvpranrWeUdt34zvi6/fsw46eizv3Qfhq/fkt7n/X/V9FPHt7hMAAAAAAAAAAAAAAADgG2nf92ma - IqL3npmllIhorb3vdgFf3ul06r2XUsYYx6V9BHjf5XlP07Tve0SUUmqt67pGRK31yZMnxyRQSun9 - deuCvy5fYrE2AAAAAAAAAAAAAAAAAMDX7uXc7pHP/d09dffsB1q+sPHCGfF1uN+3496eb0k54tnf - F+ox5Vu86j+nr7L6/MXP/fn582GWd019ZcvjC3bgZ9asfHX5fAe+dQ/iHB/k9Q4AAAAAAAAAAAAA - AADwzVBrjYjMjIhSSmtNbjd80DLziOWOiDHGPM+n02lZllLK5XKJiFLK/QO2bYuIWmtr7Uj7nqbp - 3ed2h+huAAAAAAAAAAAAAAAAAODBaq0tV6ceY923Ok894v7feD6J9tjzIZYjIyJH5sgccfuX0SOi - 5MgYWXpkqTUye+9jfP7A5W+pcS/c/b7Weim1ztPlciml1Glaty1qee9j4B2XLUZOdZRsMUbEtu+l - TpHldf02MqKUKNnGaKPfbT+Ec/kQyx6jx5iWuUw1M7dtm+f5bqn5MQV8FW30NnqUnE9LlDym0DLV - 8SHMluNVs/rxF6WMjK3tZarzadnaHrXso2cfZcTdxLht28iSdXpFzfdmV+Vry4ieEZlZSmb2GHvv - dZ6/0qC8Z2SMzDLVYw7JWre2v/+z/jqv9zZ6nad137KWHuO4u8Tb6k8AAAAAAAAAAAAAAACAB+69 - hPUCn6m1NsY4rtCjbK1FxLqud8ccadzHMt5pmkop67ouy1Jr3ff9fhr3/Sv9btnvUeFxh4S7YO+3 - aN/3432Pd3zlfRgs6QQAAAAAAAAAAAAAAAAAHpyRUUqJ0f/pD35nPp2uHj3qGXtvOdWIKCMiokVE - PMu4HXmz54Mrc0SJiCMtNWLcZCdnxGgZo4/MXOb5k09++J1Hj0spMUazRP1Lub6+/sHHPzydTt/5 - 6KPL5TJGn69OI6NnRoz3PhLeWZml9JK9jyhZl2WO0yeffBKtn66WeMnI2Hvf921ZljpP27ZFjFJL - reVYLP0QzujDKkupvfcf/OAHp9PpajmdTqdjWXspx0zwVdV5mqbpyZMnn17Op9MpSzk9fnQ+n8tU - 7455CP3w2vH50qx+zI37vk3TtFxdrft+/uTjq6urMtU6xlRi3/e97dM01WkqU40oW2vx4vdC3gbT - 58hv0fX+hft/ntq6togaJfu4Op22bTufz3N9Nn6+tJGxtTYyynQaGdvoV9My5WmM0Y57OrzXc/86 - yr33mmVkHjnoxxf6xx9/fHV19bpeAgAAAAAAAAAAAAAAAAD4Wp3P52OpY2Ye5RHF3VpblmXf9zHG - PM/HnlrrcczV1VVr7VhjfrzkfbX/Lk08Inrvd2fx8pGiuwEAAAAAAAAAAAAAAACAB6fU6a//6veX - ZWmj772v65q1vJBre8S7lohvQIx1GSWei+6+Oae27cuybJfz7/sX/sUf+7Efa723bc/MtxXx+22S - EXHZ1kePHu2tPV0vkeXv//1/8HS9PH36aX0bkbQfipHR2qi19r1lZkRfpul8Pv+hH/+JHhkRJUfc - Xl85YmT8w1/7td/67d+epqnWuq5rZtZa71ZZ80UtUz2fz3/kJ/+1aZrWfWutTaVO03T0+XjFkvAv - YGRse/vr3//VZVm2tvfexxin6+tPP/20zs+Wlt/FYz9A92f1+71Ra71cLjni6uoqxyiRfdt/8g// - q+dtvV5OdZm3bbtctij5W7/927/2G79epvmFisuInt+Ab4yv1xhZa621nj998uN/8A+MMUrmPM/R - j5lhxO3n8uVG0f/7T/7Jr//mb07TNMZorc3z/Haj6x+aq+vTk48/mabpj/6Rfz16v2yXaZquHz8a - zVAEAAAAAAAAAAAAAAAAAN6PI4S7lNJ7P+K6p2na9/1Ivz7Wga7ruizLkeHde6+1ns/nY4H5UR4Z - 3u/Fvu+992VZjjXvx7mI7gYAAAAAAAAAAAAAAAAAPgAj47ytP/Of/id/62//7R5xZINONS/tuZjQ - I+TzWD35gFNoP5f7Z3E/zHOKyIgS8cf+jT/6C7/wC3Odrk5L2/b30MQP38gYY0Rmmad93zLip/+j - P/n9v/Gr2/jW5aeOiBFRIqYsbfSMqBE//VM/9af+1J96ZRbvH/+pn/ob//ffaj1KRhuRcbPBl5AR - GTFF/G9/7X/9l3/fv1RrnUvJEWM816FHaPqXizKu8/Tv/Hv/7u988kmNKLWsrY+IjLi/9v0hhyS/ - bj6MiGUufXu27yd+/+//y3/plx5N877va9uzlO/8yHefPHnyC3/hz/9n//l/MV76avhmfF+8Gxmx - ZP3lX/of/tCP/8Rcp21dM/OtJL7/xz/zM//Lr/y1NmKu2do3f/7NiDnjJ3/yD//3v/gXH18/muf5 - yZMnV1dX77tdAAAAAAAAAAAAAAAAAMC315F1HRGllCP6utZaSiml7Pu+7/vV1dWyLJl59+wR1N17 - P8rj5e/LNE0RsW3bPM9xGyV+9/C5I9994wAAAAAAAAAAAAAAAAAA3qzO0w+fPD3ia9tN0mqOeC7j - 84gQ/WZFsZaIfv8cS8neR4n4lf/j//x7f+/v/8Qf/PEe2fqYar63Nn4I8makPFvuOzIiopRyPp/r - cmr7+Dv/z9/+33/1/4qIzNzHN2UEfT4lY4zoET3jOPU94nf9yI+84tDsEeXqO4/WHiOeXWk1s33L - Ou1tyYg5Yo94/Ls+KlPtrZdSMjMzR+txG9r9Vey9n9dtrtOl7b31jIiXotbbq1/6ILwuursZ8Lls - AAAgAElEQVREtH7T8hpRIj55cp5Py77tj5errPPTy/mTTz5po29j9Jdiv+8YuG9WMmqtNctl27LO - 58u2132pU4wxMp5P735xpv1MPeI7v+ujbURErPcmkXz95/Khf14ZUTMu63r1+FHv47QsrzhmRMRX - vfABAAAAAAAAAAAAAAAAAD6nWusYIzPvx10fadxjjMePH/fb2x6MMeI26rv3vixL733btiPJ+30Z - Y5zP56urq4jY932apnVdl1et4hTdDQAAAAAAAAAAAAAAAAA8MCP3HnWaRkSLmKa69ba2XmpGfxYd - 2p8P+xy3Dz/AMu9Fn9aIfneO+xhzLad5OZ/P/+XP/uyf+bmfO5/PyzyP0Z/PT+Vz2Vs7Pbre23j0 - 0Xd+9k//6R4x17q2NvK9j4F3WvYRpUQfsfUeESUiIy7b2iNKRh/PJfH2iLXt+ws19DGOCPD3fS4f - XJkjWsRS4uOPP/7RH/3RU536GKO1Wutdnx/p3V8uw7tHRqmnq6t/+sMfjIjTaXlyWWNEnUprL4ZZ - v/feeLmMiLu57dh4Fhddcm09M+ZS+nEutbSRV9N82beIqPMUpbT753b0ScYLXTlyvPczfbBlH7Hv - rUTLiCfnp/PVqebYtn3OLxDR/Xr56WVtEZlxF9xdSrQe+frR/t775KuM5xix9hgZ58tlqrWdny6l - rus6V7d6AAAAAAAAAAAAAAAAAADejyO3e9/3eZ7XdT3Su1tr0zRdXV313qdp2vc9Iu4yvHvv8zxf - LpeIyMwj5/t9BXhn5vX1dUR88sknjx8/Pva88kjrOQEAAAAAAAAAAAAAAACAh6VnlKn2LFuMEdGj - 9NFHjnaT43ov2HXce9mRA/pBliPGiCgR/eZMIkb04wTX1ls714i//Ff+x3/4a7/xz/3Y78nMZ13A - Z7mf2DtN0xjjyeX8g9/+rV/+5V/OiLW1EdnHeN9j4J2WEVHqPEZrey8RI6JGHKujXynLFBm1Tnvb - M8sYN8HdvX+7+u2tlMe1m/e01vImQ/3FBeH5pa70dV3rcuoRI+KytVJra21/Kbc74v33xivK587/ - aOVNp/XIkSMi28gRMSL2EZkZY7TWSinTPLXMEmVvo48Yt1PqGHGb4303zT6AM32o5TzP27ZFiRKZ - pczzvK/n3nvUZ1PEMTK/RLT8yBgZI2Kel3Vdb6urI9qLn/7zI+Eh9MyXG8/LnG0bOdVpmZc67Zc1 - M0+nU9/fz60oAAAAAAAAAAAAAAAAAAAOY4wxxrIsT58+/dEf/dHz+RwRR7nve621tZaZY4yI2yWo - ERExTdPd9ntxNC8zv/Od70TE5XI5nU77vk/Ti1HdorsBAAAAAAAAAAAAAAAAgAen9z7GyCwjYtu3 - qKVk6a1FPp8Ums8HiH6OMo+DP/fx76iMjBgRefvw5oksGX2UjNbHD598+ud/8S/89H/4J66W8nJe - ao4vk6L6bTJG5Hm9XD26Xq5Ov/Bn/vwPnnyaGWNkj5GZD3BUHGP1deVXG29ja3v0ERFTLXvrI2Lv - LSJ6RL0t72RmROxtj4isJdqIGDFGlPzs9/pQrsF31vIxphKXNh4/ftxaayMzc1mWfd/jLZmX5Yef - fLwsp6frZfQ2TVNkZCnj5Wzk15/pC3tG+eJn+sb63zSSX2P0Ps1z2/a9t2Wa+76PjCi5r/tUa5nq - tu+X1soyl1LGs2Dpe/PqyIga2d98vh/o+Hxb5bZvWUvGaG0sy7JtW9v3R9fXfX07N1CY5zky1m29 - GZa9t94i48Xv9xe8cRR9xoh6G2WO50bI53yvHGPbRkSMMUopl3W9mufLZZ1KqW8e7gAAAAAAAAAA - AAAAAAAAX5sxRmbO8xwRx8a2bcei8lJK772U0lpblmVd11pr7/044Hjhtm3HzlesX34njojubdvm - eT7Sx8cYL+d2h+huAAAAAAAAAAAAAAAAAOABqrWOMfropU699dH7zZLNMaKUGCOONZyZETHGiKhH - Ju7N6zOPWOKbiNYX1nu+n+Wfb9ZeuXeMkZFbHyUis/5Xf/a/+ek/8Sd7lNG3mmOZ5vP5PJV5nue2 - blHyiKo9yiPU/Llo82+NkSXueiB6HJ95lszoEXtr//V/+/MtIkf2yMwyRnuQo+J2rL66LM8OO84j - c/R+u+f2YeZxXWTksf6595613B3Ze4+IjKj1flr3c0p59l7j3qV3/4p77t2jRCnRn7v6jpXYx3s+ - 0N4+vHCxjPvP5LHI/CZmeIyIErVG3/NuLnpFPPZtjeNI8L055Ijy3ff9WBBeSok+yoh+7/r9clfu - PM/rvmdmydJGH2PEiOPTyVIibraffYhlit4jbj++ESVLH/25Sse9znkrH9+IiChZetzvtBIRkc93 - 4+0Yjoi+t2MUlVK2GOu+H2eUmb33HjHPc89sfcuIyOj9risjSokS0VtkjTGOSeGmB57TnzvZ+6f/ - AXm+8Xf3QRgxpnnet3sh3PmKThhjHDWUUkop/dnF+zaalvnctBC3H/HdW9QSrUdGZIneY5TldFov - l4jIHMfF0u9PLy+XX7WJL1d1b76NHuMmEP7olsyste77nsdMOMZdd42IqUZrtzPt888CAAAAAAAA - AAAAAAAAALwVrbVSyrHk9m5t+LG8tLV2xFpv2zZN010+d2ZO03Qsgdz3/a6qY89Rrut6VH5X4V15 - t/PduFur/mydacRd9PgbXlje8BwAAAAAAAAAAAAAAAAAwHtxuVxOp1ON0ttesizzErehs9F7jHGT - NtpvUjxjjBgZkWWea52O3O7yfBRx3sZxPsAY67u2vRw7OmJkZI9oo/32P/3/fvG/+4ullDpP02lZ - 13We5ylL3/ZjDW3KA71NO34WIn0T4B0R/fr6+un5yV/5n/+nf/Qbv56RPcYREv9+Gvp6L4zV15V3 - 5mWJMY6g7mmeS603D0dEHzEiRowx9n0fvZdSRrsJJz7y7o/rpG173K49fmEF8rZtETHVKTJH6zFG - 9BFjTHXKUmJEjDFaj4iSpU7TXW53ZomIUuvNsucHfA2+2dHyEaO3FnGT2luXpS5LtHb09tEzGZml - 1FJLrTedfJNXfhMgnRGtx9VUfvCDH0xZTqfTXOu6rkf/3/flcrsj4uOPP/7e9763b2sf/XRMnkd2 - e6k37TymxyMs+S5k/Zhgx5HDniXi7u8temEM9N6jj1Jr3t4CIKdyzPBZyl2qdEaWLDGi975Mc0Zc - 1ktEPL6+Pr4s9n0/bhZwd4OAZS7HadWp5HT73XHcAmCMqDXueiCiZFnKVO418uiKeGHjwzRN07P7 - IIzYt+328r/5Gr0NMo+pTvOyHPNGayMjPv300+O1T58+fSuNyRGXp+eIKJER0fcWI6ZSI6JO081B - 7Xb+vr1vwpHbvSzL7f5xvOQz58bP1aTP8arjgHKzncdsEGMcQ+6YXSOOmaDf5XlnZsloLUrEsizr - upbMfd+vT6fy4c2CAAAAAAAAAAAAAAAAAMDDdURx39x74TZ4+9hTa52mKW6DruN2FWRE7Pv+QtT3 - +2n9693Fco8x7paafqF2iu4GAAAAAAAAAAAAAAAAAB6WMmIqta2XiJ4Rc81tXXPEVLIcKytH5Bh5 - m7hZIkspMXqM0det7WtEj+gx2hFaHDcvevb3Yblb7Pr08vTP/bk/t7V92/YYpfeodY6I3vvdolNe - bZSI0kav0/TzP//z67qPGLXWdkT5fiCeH8P97m+7rMfeErmv25GGm5FTqXfXyO1GTKXWEiVvHo7b - mPPT6fS6980RMaLvLUccKb8lsmRp+z5aP2KhS2SMGL23bY/W4/ZhKaW3tm2XMdqIPqJ/iNfgoWSp - peaxnLuPtq5tPU/12JkZx2czoo/eWt9biczIHBF9HM9mRD26fYxlWWqt27YdyehH//fb63i8tPH5 - XV9fP/nkhxlxmuq+rb21EhFj9NZqydMy1ZJ9P0KsI3rPEhE9ep/qdKzAb72NyLsR9sxX/vDG83+Z - WUrpexutZyml5tj3iKMb+13wfIwRo081M6Lt21LL0Y37epmn6Ujvvr6+3i6X85MnteRpXtatP746 - ZUTfeu69lnrTglJjROztiPGepmme5z72ta/99nQ/0PH5nHuf1L7vGTHXaSp1meZjHM7TfFzLNUst - tWaJEW3ft8taS2TEUkvNnGqNiGVZ7u7FcFN9fvlo+evlNJV6zEXHvDRajxFHen2pdZrnLCUiS62R - OZ+mYySu6/m4k8I0Ta1/PVP3/Rzve9vH3HWMkMzMUrKUEbHv+xHaPU1TrfX+F/EYI8YYI0pERvRt - r1ni3h0iAAAAAAAAAAAAAAAAAADelmMB4+VyOR7ehVtn5rEWsvd+uVyWZcnMeZ6naTqSsGutR3r3 - w1wCWevNGuHMXNd1nufe+xe6RcD09TQMAAAAAAAAAAAAAAAAAODLyjGVzIgpco+x761E1JJta5GR - xyrREfVIfo0YvdUsmdFHRPSpTkcgbuv9ze/zYRjRR8/IEaNEfv/73/+bf/Nv/sQf+Fcul0ud6hhj - 761m1lq31qI8xAWx71iOGBnl9uGRhXykzF4ul1/7x//4r/7VvzrPdd1uVuRm5vjAo3qPJcej9dF7 - Rkx1yiN9ebRyF92dpY8+YvR9i4iMmDOvr6+fPnlyhPzu61YicjzrukOJWOo0Z+mj521HTbW21uZ5 - aa213mKMiFhKjYjee5Taez+O7b0fLZym6W6x94M27mX3Pq+PHiNqqa23jDwtp3Vd276XuOm0kuWI - vu43scnj6LH6fD1Lya2NudS+t5p5fbraYl3XtZSbvv8qud0Ro7dtybpHtr1lxPU09d4zc22t9LG3 - PSIyopbMzH3vOY4PMHrvxzr8fL4LvqbrY5qmfd/HGMcA7q290Pe1RI0cY9zkvbdxVevWWmv96PMp - S82Y5rqua9ayLEuUctnWzJwizufLlFFK2Vvv0WqWKPn/s3fncZZddb33f7817L3PqaruTneSTggZ - CBoTCCggowTB4V5FEAcQAeVecULxed3rvXDVl4+KXsEJB0RRCSEQQhBlUh6Hl3oFFJUHrz56iRMq - IFMIhiTdXVXnnL3XWr/nj1VVXT2mq9Pddbr7837t16HOqbN3r732WuucDv3b35xy0476vhcz51zO - +WAadEpn+UpwNCbB1fUhZzErufVBRNIwROetykVEvIh33jk3pMGJ1E7umjb3g3r1oqdqIOSUpGQR - 8SZefbZcL7rzIeVkKWfJa/PHipgNs77u6Jyr68mQhlPSkpOT1+d3zeo2s1JKzUeXtbxvrTenMDMV - cyJeZJj1bdNILs7rZDJpQtRjLTQAAAAAAAAAAAAAAAAAAAAAAAAAAABblFIKIXRdJyJ93zdNY2Z9 - 37dtWyt527atGd6j0WgymdS9YozDMIhIDcPeeDo/Ukpt2w7DUCuCh2Houm4jz/tEuPt+CwAAAAAA - AAAAAAAAAAAAAAAAAAAAwJlluQQxEQsii030IlosOIkqUcWLeBEnMgpu5F0QUStqxUvxIiWnoZ+J - leC8bg6C1U3bWUJFQwi2KTB10k9uvfXWdjTKVpxzKSVVNafm1M72AOrTxJyYE5EiEpr2tl9/86Tv - hyGHEHLOIYTtbt99sE3bITYN5pJzydmJ1XlRciol1196kSAiUsyyitW50zmvIma2uroqIlFkqetK - Skf7452ITJZXWuf8+tGCiOXkxPLQS8lRJNR06pK15CAmZXCSnZRRE1WKSlHV2Wx25Byc0+m4ua9V - RNf6P4YoImoW1KlYP5s6syAaRWonqBUpWa0EkVbXeiwesaViI+9qZHVKaXV1tZTStu3aH36/crvF - iTQhqpQi1gUfRHJKpZScsxdRE6/SBG2cSDZLxYtoKVFdVLcW/e68C76IHX3g3U+bxkAq2TnnvZdi - JeeacLyxBREtUsPE69OoYjl7kcUmBhET8U4sZycaYyylrK6uppScczvGC0ujUedUTSyXekC1IjlH - lWE2UcsqRaSomkgRkVSyyRGfEaf+/M8sExGxkq1kEeticCI5p5xTUC0lmxW3vizUKVzSENefdi5I - STG46HxOSUT08N5wW71fgTOxXHZ0Iydils2yE/FWvIjmtHahRaJK610Q8yJd00YfVMRKWftBxIdg - hy6PJz1c72OvTePB1kdd8OqdlJxzSiVnMdP1vnB1wbAiVlQsqNYOapumn0yjDyUlNRktLBzSBj3J - +Q4AAAAAAAAAAAAAAAAAAAAAAAAAACAim6vmY4w5Z1Wtud0hBFUVEVUNIdTcblUdjUY1zLvu2zTN - vOV2i4j3fjablVLMbDwei8h0Ot3SfRW4CwMAAAAAAAAAAAAAAADmnZmp6sa/8jnpf/FS962712Oe - ylYCc29j2NcJdT+nUj0gUwkAAABHdf//HudF3nHbbU+54Ybofc7ZbU7brMFjfA8FAOA8UEw++vGP - jcfjAyvLQ86q6oI3s5xz0zQiMp1OVw4s33vvvXd88pOf+vSn//UjH/3Hf/zHD33oQ4OVtSOsh3HW - 52uZrBvmrLyyNs02P5G1l7z3JWenTqzUX+7ZsfP9f/Fnuy/Y5YtIKdGHknL9Ala/KdXH+t3p/PwG - dVgP1FTUIrLa94974hP+7VOfLiLqXCklhCaltD5M5sUJDdVN6cJ+0zMn4kWvvOKKBz3oQdddd91l - l112xQMv37Vr18LCwng8js4nK+qdc672zzDMvOry8vI1n/O5NT3a1emy3nvFyWfuvvvue/c755xz - ZpZSGoahftX/zGc+88lPfvKzn/3s/v37P/nJT95+++0f+vC/mEjU2NtQREKIszSYSAgh5U3p4Hbw - JOZsOq474jI40fWYYmtdFJFUhj27Lrj+IQ+98MILL7/88ssvv/zCCy9cWlpq2zaEsLi4WDvt0L8Z - leB05cDytddeO2rb1A/OuZJyTfKWQ2fxWkO20kGmkop97BMfb5qmhoLvO3Ag55xzvueee/bt2/fx - T37iIx/5yMc+9rE77rjjrrvumvSDri2YGmJMKSUrIuK8zzkf7IdTdZH0sGcqZm79N06kcX40aq+4 - 4orrr7/+2muvfeADH7h79+4di0td18UYRURKERHn3MLCwurq6oOuvEpzlmKxbWKM036WzVRdEfvY - Jz7xr//6r7f//T/cfvvtf/M3f/Phj36kiKjIxlnltVGo4tTKoYvAnA7KLdOaH79+NZ3Iddde9/kP - f/g111xzzTXXdF23tLC4sLDQtm0dpaWUlFLbtk3THLh334OvvsqZeOdCCJYPfpye9GeKqdxx52fu - ObBcV5vpdJpSWl5evvvuuz/16Tvuvffef/u3f/v7v//7f/ynf5oOvYg454ZSiogXrdcrhtin03bf - h2Odlx3y+424chUJwXt1qvqIRzzi4osvvvrKq6688sqLLrpoYWGhaRrvZPcFu3YsLHrvL7l47+ry - 8mg06tru7s9+dmE0Pnj48+wzGgCA0y2YJivmXPL6dc/6hj/+8/cVO+QvUCeOWzMBAAAAAAAAAAAA - AAAAAAAAwMnhPqLAmVfzrfu+H41GsunWo865EELf9xvvbJpm4+ni4uLKyoqZxRjnMLrbOVdKadt2 - NpvVp977zedyn8J9vwUAAAAAAAAAAAAAAAAAAAAAAAAAAODMKjldc/XVZrY63RGaxntvKjnnZMU5 - p8VqjWiMUU2GYchm4txdd931vve9721ve9uf/tn7Vme9iiQRtxHLXPNhtSZ1zVdU8yFq7fl65Xgp - RdYKy0VFVfWz+/e9+c1v/q7vfKFXp+LMRJwrOXvv7ZzJmz111iJRTc3Ju37ndz/2qU+LSIy+H3KM - cRjS8XefL5tDlDdFyTbe55yb4B7zmMc84+lf/aQnPemBD7gshJBzbts2ODcMQx6S9z6EoKqT2dTH - WO9V4JxrQkwpedFiWQ4Niq69d8HOXbt373bOiYj3vpTS9733PqX00Os+rxY810PV6N8P/u3tH/jL - v3z7b73zb/7P3w5pCCrJpJSzqqvlKJF+JmYiQXXHwtJTnvzkpz31qx7yedc++MEPjjGKlnrupRRV - 9d7X/tF1GzeVcKLeuxqXXt8sIiGEUo6yKJVNIcEnSE1KGj7n6qtTSjnnEIKIeO+XV1dqmX1Kycza - 8UhV77jjjo9+5N/e//4PvPe9733/B/7f1X5mIkElixyS233/HJ79vemYwbuSsxTpnN+ze/eTn/TF - z3jGM2644YtiE2pQt6Vch5ZfD5/26sxsOp22beu9H2Yzpy42MZeyurpqZqbivfMhXPGAyx505ZVf - +pSn9H2fitx1113vfve7f//3f/89f/LePg1JxIsUlWIm5egr51xHyx/XRh87kSCSc772cx78/Oc/ - /+lPf/qll16a+kFEalR8HWB1fKqJqhaxUor3/oGX7M390DSxlJJzXnvnpstXf95StLyaPPDSB1xy - SVHV2dDXeyXUNSTGuLq6mkoZjUYppdtvv/3tb3/77/7+733sU3fMUgpOvWrKZTgst/vIIXpyF+wE - hnp9S6OazUTkkr0XPvqRj3ryk5/8uMc97qqrroo+OOfq+Kzq3Hdis8m06zqvumvXrv337nNFdiwu - rU0xAAAAAAAAAAAAAAAAAAAAAAAAAACA+y2lVAuWR6OR934j8F5ESinDMGzEeKtq3/e1FN3MlpeX - ZT3MO4SQ0nyVhNcS1NlsVgPIh2EYhqGe7Ake4WCZNwAAAAAAAAAAAAAAADCfNm5rLmvBJCf5L17q - vhv/eKgeEDh/bAz7wzJCtmpj343UgVPZSgAAAJwT7v/f47zIO2677Sk33BC9zzm7TQlaNRLM+B4K - AMB5wImaWd/3C0uLOedpP4sxplJqeLDUbx01alRVRExdtmK5hCZ67//uH/7h5pvf8MY33ToZ+iJi - IkXEDsnAna/o7qMExNZIVFEzUxEVVbH6iohdffkVf/Ledy+NxlKsDKltmlzLZVXk0DjV8/Mb1GGB - sqZSRLPK05/xNR/467+a1YzktS+rNRJ4OOaxtsPmy3XkqNj8koo4kSjyzc95zgte8IKHPvShImI5 - e++dc1LWwqSDulpHXXLOpYh3NWbbzLSYiDRNM5lMQvRytCDeYhK7dpjOZmkYt514N0xnLgbJRYPX - YsmKF9XgJZdhGGJsZ7PZ0q6dH/jLv/zBl/7wn7z/L0Lw03RoFLQd9YTml4p4keDc9734Jd/1whcu - NJ2VEkJwtY9VvGhRcSZZrP4see31LOZM6s8iYlJExHuvqqkfzGzUdn3fr69msvFYo7u3FI1cjyxO - Z5OpOI0xqupkMhktLKS+N1WvWtaTuZ1zzrlhSN1o4e599/7BH/3hrW9605+9/y9mKYmTXA6/Xifd - dQcPoCJ68GhORYtcdfnlL/rOFz7n2d944QW7J6vLMYacc80yr70kpaRSgnN1PI9GI1U9cODAqO1U - NeccnB9yUtV6X4Bia6fW51RHppnWv6sWs3v277vl1je+/g1v+OgnP2F1fbAjkpvPtvF5mM3R3V7k - +773e7/ne75naWlpMplE78fj8fLyslv7v4zUHXqGzrksJrmoqlM1s5xzjNFylvsd3S0ioq7eysHF - ENQNJed+iF1rKZtT7/1aUnjwTnQ29L/+1re9+ld+5Z/+5Z9l7aNcTFScrqXdn4Ho7k2Dofbnlz35 - i5/73OfecMMNey7YXc8lhFD7Z+0zd9P3E+9dHoYQwnQ6HXcjM8tDMrManb72J5xnn9EAAJxuwTRZ - MeeS16971jf88Z+/75Dve1v5tsCtmQAAAAAAAAAAAAAAAAAAAADg5HAfUeDM23yv0RjjMAw1irtt - 2xp9XctynXNrRZoi9Q0bM2sOKyk2LyAbyeJbaifR3QAAAAAAAAAAAAAAAJh3/JM74JQguhsAAABn - DNHdAADglDgsCnRzlu1R35OtqPdOZMi5Rr0eWFm5+957X/jdL/rAX/5lL1bzPtU7y3WP+YruPhGH - RbG+9jW/+vSv/Ko2RhmylRKczzmrPxj9ez5Hd2dLMUbLYmbOh5TSIOVDH/7XJz75KXk9/LWaz2je - Y0W515e8EzOpX7Qb7x71iEfe9Gu/umfnzoWFhRqy69Wt5xmvxdVvTBa1Ohj0sB64zz4wXdv3Ph9F - xPuQUvIhTPqZBP+233rH9/3AD96zf38WidGbWUpl4z9Wz2exc9OEvk8iEoMzs5wtOn384x7z0z/x - k9df+5BhNuuadpjOmhht7T+X24n3z5GOnKebf95yNPL6XocdcHNLNhv61C2MV1dXfQzjHUt//v6/ - eMXP/9zv/N4fFBHnNWcTEe+1lJO8VsFrzlYP5b3mYt5pzuZUxm38nz/y0m/8hmePm3bcjfrJVPUo - 3XSs3jvyXDZ+s3bWhwSFr5kO/dLOHffs2/err/m1V776l/YfWEkmomtva7tmNu3FJMaYhkHOqvXB - OSfFTMyJNLHph15Ffv6nfvIbn/nMxcXFvu9jjP101nVd3/dHrg8bh9scbal2yJ92CqK7N+1+5BzZ - UHPri2gSnQ39y172sl997Y0mUkSKiNbAdZH7H929dpsGFR9CTkmds7rCrq1mWrI5t/at4VnP+Jrv - f8mLL9178a5du1JKw6wPIfi1/3Yhcl+9cazfnm+f0QAAnG5EdwMAAAAAAAAAAAAAAAAAAADAtuM+ - osCJ25ggqjoMQ4xR1gd8fZpSCiEctkudDqWUmsl95pt9Jm2EdlellBM/ZXd6mgQAAAAAAAAAAAAA - AAAAAAAAAAAAAHC/uE0l2Bsp1Ju3jV+piVfnRMzMiTiR6P3ieOGiPXt++52/9bIf//FR07Uh6sZx - zvLS0yKSRW55062mUkrJOXvvm6YhVGxDCCHnXCuN+743p13X3XzzzeXQ3O6z0XjclSJq0sXgRZ73 - nOf+3u+86+LduxdG4+C8mniTOgsOy+XdmDVrj+vbCTpk3+M+ikg/nXl1/Wy20I3U7Bu+7plv/823 - PuiKK7xIGnJKxXutBeHzeZeEEFzN7R6P2pSKZeti+JInP+V1N772IddeN8xmwXlLWdWIkvIAACAA - SURBVMyC83lIIral/jndDrvWGy/KMdbSpaWlfjLdtbSjCfHOOz792Ec/5qbX3Pi61/7a7qUlyaYi - bRNyNjMJwXm/5euVs8XoawR4zqYm9QYAj3jYQ//4D/7wO77t20dt18Zmed9+75we0byj9t6xXj+8 - K9YfN287F5eW9x/wqt/7X/7r777r/3n4wx7q6jtNvNPZpK9rxDAMKuqd3+r5bhfnXCmlpmCLSD/0 - IvKfn/dNz3n2N164e08/nQXnnajlbDm3Mda9jhwScnhu9+ly1Dmy0Ya6fjmxrglNiD/yQz98842v - VREv4kScO2XrRr1TQ9O2OSVRtVLErImNqqhIydZ454o88vM//52/8Zuv/uVXXX7ZAxZGY0nZFYve - e1VJWXNRE1eOPnoBAAAAAAAAAAAAAAAAAAAAAAAAAACOKqWkqn3f15rrGKOZpZRq/WNN7N7I7c45 - y6ao71JKCME55/1ZUw+7VfXcU0r1HGOMXddtjvG+T0R3AwAAAAAAAAAAAAAAAAAAAAAAAACAeVQO - zeU8Mg7zkABvNbWiJk7UckkpedFxN4pev+PbvvX1r72xda6LjZUSnJrlM38695+tbyJSRN793vf9 - 8798eDIb2vGolDKdTkMIR8Yxm4rNXTLyaVdSdqKlFBExMx/Dpz9z19ve/s6DIarz3Sd2ZL641Vxh - maxOG+9EJA3pec9+1k+//GWuyKjtvGpJSUqp88KJenXHio9VsyO248XNHmv2HWtrmtiMRykN09mk - jU0ehod83jXvfPtbdy0tjdumjtEar67ezeH4TKmoSgxuMpk5ES/y2Ec98rZb3vDASx4gKQfnRm2T - SzIrZqXmdm9pO9KR8/RURf+eyHGWD+wXtf0H9s1m0z07d/arq970a5/21bfd8oZLL9wdRcqQvBOn - klKpCdxb4rz2Q1aRJvqujU7EW/mKL3vK77zzt6/73M+bHDgQVft+5ry241Epx1yfj3MuR/TekSPc - 1NYW0ZXlA4vj0bjronfXfe7nvevt7/yGr32GM1lom5KtbUIIbi1R3mk6dnvmwqYlf63NIqo1dVrG - 7eg7vv3bx123cuCAlBKck1La2Hh1w6w/kfWh9lgdn5tH6f35ZDmR2bH5qfWpceqt/IcvecrrXnOj - F3UqJzEOj6Prun46E5PgvFPnVIa+F5M2eC/isv3wD3z/O9/6m0/8osd3TbtjccmrDrPecvHqpJgU - q+utO9q2uXs39+SRvQoAAAAAAAAAAAAAAAAAAAAAAAAAAM43IYRhGNq2NbPl5WURUdUQQoyxlKKq - KaWa2D0Mg3NuGAZVzTnHGL33Zlartrf7PE6XGm3edV3O2Xs/DMPdd98dYzzxIxDdDQAAAAAAAAAA - AAAAAAAAAAAAAAAAznpmZmYi4pxT1ZJyTkly6aez1Pf/4cu+/LY3vamk7EWt5CZsoRRzDpmIcyIi - t956awghpSSqs6F3jrrRNSmlGKOqppJ9E0XkXe96170H9kuNxD6bc1KdSMrFizz02mt+9mde0TXt - MJlaznlINbDce6+qpZQ6I7aFmd3z7/++a9eu6EM/mS4tLLYxPuDiS276tdf0s96J5Gwppe1q3olo - mphS8SIqsvfCi17/upud6IF77pViarZyYDk4Px6PU0re++1u7P0VQlhcXBqNRl7Vq7pipR+8yZOe - 8EWvf93NbYhmIkVERE9q7tSUZe81D3mYDV2Mj3v0Y15/401diJbzrh078zAE58bj8V133rnV/jyJ - 5OMYYx6S5Xxg337L+YKdu179S7/8gud/02zWN96lPuVUzGxLRfvzoN5/QUSKFRNzoldfedV1112X - +kFERqNRSmk2mdZPST25a3nGqcl0Mgnq2hCXFhaf8fSv/uZv+iYziW79BO73Oue9n06nIhJCKKVY - KVakDd6JpJSv+5zPfddv//Z3v/C7LrnwoqhuurwyzGZ5SFIj0k0sl3oQESlnR6cCAAAAAAAAAAAA - AAAAAAAAAAAAAIA5UqvCc86Li4tmNplMNurEa/VovY1ArSJvmsZ7H2NMKTnn2rYdhmE7W3+ahRCc - c9PptFbQi0jXdVs6ArdgAAAAAAAAAAAAAAAAAAAAAAAAAAAAc6emsW5hMy1FzExVg/PBea/qVEZN - G5zvmvDEJzz+B17yYi+mIjkNZ3G8poqo5CKm8ubfeMtn77l7Mu1jbNu2zTkfbYeyFrp7PqkJrC6s - ZQAvLy+/8U23muhaR5gTcfMd4O0OVgHrwazxUsQ7VRGv8qqff2VUJynvXFxyol7d+sh3UsxyqYGy - crTZdCLUDm5bnY9FbLy4MJvNSinBu+X9+/K0XxqNn/YV//Frn/ZVKtLFEIPzXq0U2b6I8WNpujib - DSJiIuPYvOTFL965sOiK7VpcXGhbJ2pmIbhS0mS2Gtuw1f45EZv7f6u2+ucWyZPJcklDScPy/gPR - hz07d+bZrMyGJzzmsS/9oR+uEebOadOcTJq1cyLrAd5BZO+eC9/0hjfuWlxaaNvS95OVlTY2Tiz1 - s8XF8XHWq+OcVLmvDO/Nv1UTVc1D2rVjR+Ncns3yrP+Zn/ipb3v+N3srKhJUvJNhGIoVcXO9Umxm - YocFn1911VWllNFo5NXlIalJ27YiMp1OQwj3cbRjjJyTyEo/zpFPZJTu2rFzmM6C95ZyP1398R/7 - 0asvvyKXTRPDNjYntuX7J+RsITRONKcUfRCRLoaUciP6ZU/64j/4vd/9osc+xpsc2LffF1kaL0ix - eksLVS1i4tRUUslrq98R2+aT2uo6AAAAAAAAAAAAAAAAAAAAAAAAAAAAzm3DMDRNIyK19jOlNBqN - VFVEnHPOuZrYnVJaWFhQVe99TbAWkVLKbDar79nGUzitUkob51sjzFW17/sTPwLR3QAAAAAAAAAA - AAAAAAAAAAAAAAAA4KznnKsFqKWUjdpLKVZy7ifTycpqE8ILv+M7r7r8CidyzhSe3rt//1ve8pYd - O3aklM7hetqTEGNcXV11zoUQcs4f/OAH/8/tt5uYyCFJ2Ged6LQUcyLP+vqvf/SjHlVS1mL79+3b - SHc2s5xzzbCvtcfbopRSW1LLv8fdaDwarR5Yvuezd//ky3+iUdcPKaWSi4W2DW07b1eknw1NF9WJ - iiwtLX3z876pa9rFbjRZWZ2uTqIP4240DMMwDDHGlNJ2t/f+qtMk5zwej3cu7bA+TfYvd6EJ3kdx - 3/L8//TlX/KlbYyWrZ8NelIXKwbnRKJzbYiv/Plf2LmwuHzPvmHWj7tR6+PGiFXVYRi2dGTTY2d9 - H0MpJQ8phJD6IQ8pOLdjtOBNfuonfvKrvvKpjbpiouvnqSd3wtukiImIU6ciJta2bUl5Zf+BYRjq - fIwxikhdJba7sSdETaYrq9H56erEzEZt17bti170IifiTtFa7r1PKZlY8KEf+saHNCQn8oJv+ZY3 - v+m2cdfNJtPF0diblJwnq6v1PhellNqN3nvnXM65Hu1EwsgBAAAAAAAAAAAAAAAAAAAAAAAAAACq - GGOtyxaRnHOMse/7Wr9cS7ZFRFVHo9F0OvXe11ecc+PxWES6rttc53ju2TjljV5KKdWw8xNEdDcA - AAAAAAAAAAAAAAAAAAAAAAAAAJg7Jx57ufYepy54Va1pmrUMtZQSQlhaWjKzYRi6rvnmb36enm1R - rAcdljltGmN4wy233HPPPTVFWD11o2tsnarOZrNbb7utiJmIiRM7i3spF2tCUJH/60XfU1IuQ+ra - ZnE8LsW0SCmWczETVeecXx8xaodtazOrrG8nEi6rW9nEBT/kPgQnUkrKw6zvp7PFhYUdC4sX7dnz - RU94QhuCqoTo02yWZrPT33Nb1s+GUkREvvHZz1LLzmR5/4EuNtH53A9939fS93bUTfva/q110XHU - 61S5k4pXPjI8+PhbFULIKa3sPyBmo9FIUk6T2dD3XRt/7KU/MgxDDF5ETmL5LEVSKiIS1H31077q - S578JMll954LvbrZZKrFLJe+74dhmA19Nx6dRONPvGdExAd1XqwksTxqu9QPOSVn0vrwip/+mcc/ - 7nEqkrPF6EOMVraaDL6dNm49oKIicuedd3rvm6ZZHC92TSdFpqvTkkrXjVTd2vqgh21r64NI3USO - /Ym8eaxuoZFHLkqiduz54r1rmuiciyGkWa9m3/jsZ1+4Z8/9766q5KwiKppyis57703kJ1/28pe/ - /OUxRsul8SENQxNiMFlaWjKTXCwXKyZ1M1F1/ljndazeI94bAAAAAAAAAAAAAAAAAAAAAAAAAACI - iKo650op3nsRaZomhDAMw3g8ds6patd1Ncx7I6K7lLK6uqqqOeeUUt3xnFRPuZ5gjFFqTfRWosrP - 4psLAAAAAAAAAAAAAAAAAAAAAAAAAAAAVDlnNXHOOefEqXPOe++9n06nOaXGB68uev+4xzxW1sNN - z2reexPrh/Thj3/sT9//574LohsJqnZonKo7D+tJc85t25rZbBhWZtO3/OZvFBHbiEw2kbNzCKhI - n9LDHnLdQ6691lLeuWNpNpvNZjPvvQZfS69rbbaI1ALsbVFSXhiNc85mpt6patM0k8nEzKTYFz3+ - CSklNUl9Fu9PJgv6DFCJwanIQx/60DY2OaVa017rukMIbdv2fT+bzdp2dPpaUc5I36SU6nwZUhqP - xz6E2XSqqm3blpSDus+5+urv/o7vTCmH4E4iydo5EZE2hJTTT7zsZWoSQ1i+9x7JZdS0OWfnXIwx - hLCwsDCZTLZ8/C2uccUs59x1naqurq6O2i7n7J3L/XDRnj2vetWrLrt4rxMZhlzKtk2ik+NERbVm - SQcXPnHHp8Spqp/NZn3fhxC89+LUez+bTY9xjPmaj6bW930dQ33fd13nRLumfeLjn3C0hh6MGz9x - 3nmRYmJtiLnkvp/98i+88ltf8AKXTdLQ+GClqGop2Xu/vH+/malqCCHG6JxLKZVS6qq71gg9+AgA - AAAAAAAAAAAAAAAAAAAAAAAAAHAcG7c+qOndtUK8xnXPZrP6+nQ6rT+IyOaEbzMbhiHGuKUo67OL - bipFTynV0s4tRZWfd7daAAAAAAAAAAAAAAAAAAAAAAAAAAAA86+GTx8aQX28dzrRUkopRURUtYhl - S0Vy9L6UHLyTkodheNjDHuZOIthzTtjBLedsNaRU5Zdf8+rl6aRZGA0lmZrIIf1mKnb2B4jWs9i8 - HWnzmCk5hxCKSFJ7w5veODMxJ0VsPdi1SH1WD762//o2B1SKHjZOVUTERLzIox7xSBFRJymlEIJ6 - KVKy5SLF1Eyt/uyCq8Pl8M5bL9/eHHl8ZA8f2tu2lU2cc32fVL2qNzNxmi1770TNrHzhI79ARZyI - dyLzWQduEn0sqQTRz3/Yw3POIpYta/B9Tua0iKVUvI9eg+Wyts9Wuuh4f/gJjPbj2zwXTmTzGvJQ - iqj3sbecrLigpiVZ9t6lPEgp3/3C7+yCK+lk8oitSFBJKX3bC75l964L+ulEVZqmMadJknhXxMy0 - iA6zFH2z1fYf+XlxrD7ceIPzvu97EYmNH9IsOFdKLpallIv27P65n/2Z6MWLODMxCSHUyeJ9rLsf - pZ5fz9wacsgY2jSsVLTmoJtIspLEPvqJj+9fXUlWXPB1ZciWVaWUHNuweecj+vCQ9eH4vb1Vx1pp - jjVZwqhdna10XetVc0paLKh73GMfu3G4jW4/VvdvvKUJ0avT9RN0LjgXchmcOieS0hBFXvkzr/j6 - r/naqOKtOBMVcU5LyeI0WQmNVxWxYiVbySoWvHMqYmXjRNymRz20b09tTwIAAAAAAAAAAAAAAAAA - AAAAAAAAgHOD6lqVaIyxhlVvVLPGeHh9aw3qrqWyIjIMw5lu7hlkZjWbPMZoZs65EMJG3vmJILob - AAAAAAAAAAAAAAAAAAAAAAAAAACc9Y4VVq2qapJzVlWvLsa4Z/ee7WrkKbRWWKtSTP7qr//645/+ - 1F33fFZVayi1MxERNTEVMS1zkkd9BrVtu7y87L1X1VvffFtNh9aNUbFpO5jbPU9qAbDK0Rt26aWX - OlERKaWUklTnrPXHDY83s0v3XnJIi83uK8z6TFPVNAwq4lQv2rOn1nKXUo7M0j7HYnfrqVmNjlcx - M1Px6rz3F1xwwQ033OBUTnq4tdE/6+ufWUpeWlpK/ZBzFi2b/9DtpSajthuGYfeuXU964g3f9i0v - UBHL4lRSSiKiqqWUtm1FpA6JbW7xEepdBkopNb3bVIrYO37rnT6ElFK9DUHbts65IffDMNTPi+1u - 9X0zLc65lZWVlFLXtHVuXnzxxbLFBbyJzZCGYiX4IOsXtJQkUoO3xYu8/Mdf9k3Pfd5i1/Yrk/Ug - 8E056XpC3aV28BEAAAAAAAAAAAAAAAAAAAAAAAAAAOA+mZn3vqZ311dqIHfXdbPZTERCCMMwzGF9 - 6xmgqs65UkqMcaPs98R3J7obAAAAAAAAAAAAAAAAAAAAAAAAAACcy5xzNbrbORdCuPzyy7c7IvYU - yDmLiJmoyvLK9MYbb1xYXDQVEXd49aiazFsw8umxOVO5mI4WFiez6Z/86Z9+5KMfFxEVb8fpBtu0 - zTGnYiLXXHNNLSc2MzPbKMA+W1x++eVh3ntaRMSr7tix44ILLlDVOcxHP2PMrJSysLDwjGc8w0yO - N4+OQVXE5OEPf/gjH/lI7/0wDHPUpebE1mbQ6urquOuW9+9vu+ZHf+Sl1197jYoE1VHbea/OiVke - hsF7L7KW573pOHMxpp1zG2tCztnMbrrpprv33avOmUq2Mp1Oh2EIzo/arkZT1/j5jVj6bc9QP4pi - IhJjjDGurKyEEJqmaZrmxA9QL06fBhOpXbEeW17a2DQ+qEhw7rtf+F3f8p/+cxebyWQyHo/rvrV/ - AAAAAAAAAAAAAAAAAAAAAAAAAAAAToeNsvFagdv3vXOuRnSrai1oDSGklGKMNc/7vOKcG4ahlFIr - Z51zfd9v7QinqWUAAAAAAAAAAAAAAAAAAAAAAAAAAADbrpZfmpmq1sfLL7/83EjhrFW4zql6vfXW - W5eXVzaiZIuKiJiuBY6eb9WkptL3fa1Afs1rbozeq0oqebvbdco8+MEPrtntImInEaS8feoc3LFj - x+7du0WklO1u0DHUXs1ml112mfe+1rHXwObzinNOTcwspWRmT3jCE+rrWw13diYq8tSnPjXn7L2f - TaaqOoeR8yGEtRsW5NKEeNONr10atVZsOptuzLha2B9CmMOpt5GGvtFaEfng7X/3i7/0qmkeikgI - wQVf33YW3Zqhfo7HGOuqHkKYTqef+cxnDr8Aeh/h6WbmvS+lpJS893VG90MvJQeRZz/zWf/jJS/Z - tbRj/759Qd0cXl8AAAAAAAAAAAAAAAAAAAAAAAAAAHDuqZW8zjnvfX3MOdc6UOdcvYFAfTyLikNP - oVKKmXVdNxqNUko556ZptlQHOnclzQAAAAAAAAAAAAAAAAAAAAAAAAAAAKdKjQquSaWllFLKxRdf - vN2NOgW892bWNE3OlrNN+/zGN90amnZeo5DPHFMREVWd9v0n7/j0e//0T4rYWqCtOyRx2OQ+cl7n - UDERkb1799b66jkMPz6+UkotF3/AAx5QL4bO6yl450Xk0ksvrZXbteJ9uxt1eqmJ2toMqty64FxJ - 6fLLHnjh7t261eBuERMpIl/6lC/xqiWltm3NbHNJvOnan34mmTg7tNa+RpWP2rakbDk9+OoHvern - X7k2UFVzzjHG+s6Uk6isbYcedBuXFTMrpch61rWqhhCKyC/80qv+91//9cpk4tt2GIYYo6ovyVxx - rhzSA3O6Kpp556bTad/3Szt3DCkNKf3t3/7tpjccvBD3md698XNKqWka73w2e9ITb/ilV/7izvHi - vv33LC0tLCwsTFZXjxyTps70HF8HAAAAAAAAAAAAAAAAAAAAAAAAAADAmVQLQkXEzIZhyDnbupxz - rRj13td7Jpzz9c5HappGRKbT6WQyObkjnHddBgAAAAAAAAAAAAAAAAAAAAAAAAAAzh81p9M5V38w - swsuuGC7G3XqNTHcdNNNa+eoh4Tvnp/Uu/F4/OY3v3k25JRLLiJOxeYxlHarvOji4uLmTHo7e86r - NnsYhr179855o83MiVxwwQXOuWEYNtaQ84HpWv5xzrlGQYcQSikxxuuvv36rAds13jo4d80119QA - bDOLMa6tVzJH61XOWVX76awJQYpZyl/9tKc951nPdCI1tLuOhPrm+U2d915EVNXMUkrBhyLy3/7H - izWGz95zTzsaZSsppa7rDtvRRIpKmb9PkBBCSklVsxUzKypt277nve89/H1HJqkfoZRSb1GRc66v - WMmP/oJHvPENt+R+KDl7dbkf9u/bt7CwcBpOBQAAAAAAAAAAAAAAAAAAAAAAAAAA4HA555yz9z7G - WFO6c84ppVJKKcV7X2tga8Hvdjf2TOv7vtbUi0jtCtliff2clgQDAAAAAAAAAAAAAAAAAAAAAAAA - AACcErXwsgZ2eu8XFxfnLJb0ZNSa0mEYRKRtYz+kD3/sE3/4v/7IdC12dd6yV+8ntcO34zCVInrH - nXfe9utvFpVaf7xRkXvEoQ/+7+Ztbo3H4xjj5tM55qnNnxon7JzbsWOHiKiKzWt9eLEiIjt27DAz - M/Pen8Ol7EedUyZSSjGzWswvIimlx37ho0/i+N67B1/1oNFoNAyDqtYYZtWjzOP7nN2niDtqlX1w - vp6pqo7adtyNSko/9IP/9wMve8DQ900TRETkiGEwN0vG5qVgY7gOOanKP/7Lh3/kR1+684JdqZSc - StM0k8lkm5q5deZKlrZtnXOrk4mP4QN/9b8/9slPHPoeETvR9G7vVUVicEPfX3XlFTe/9qZRDDE4 - LTk6b6UsLCzkIa0fFAAAAAAAAAAAAAAAAAAAAAAAAAAA4HRZXV313nvvJ5NJvTFCztk5V6tx+74X - EeecrN9b4DxkZk3TiEgNOK8V0Ce+O9HdAAAAAAAAAAAAAAAAAAAAAAAAAADgnKWqNcG0hu9675um - cWdP1PFxxBhrTelsNogTFbnxpteKSFGxOQmS3UZO3/3e99752bvrsxi9lSLHTu8+i/qr6zrvfa2v - rqnS292iLajzsWmaWh3t3Px2vIoWkcXFxZRSCGG7m7M96ppZl1BVLSlfe+21J3HNci7XX399P53F - GJ1zXddNJpM5jJx3zk1WVsfjsRRbXV7JwxC9v+Tii17+4y8LwQ19EhHvvYjEEOcwdX7jdgz1qfc+ - xigig0lRed3rb/mjP/5fKaVm1E0mk9FodHBHFREpc3dB1pQhhRCGnEwltE0q5abX32xHCVG/D6pa - 53L9DlBSufCCXTff9LrLL7vUi2ox51zJOYSQh1Tm7/oCAAAAAAAAAAAAAAAAAAAAAAAAAIBzz3g8 - FpHpdDoajWpWd61m9d7XOyRMp9NSSiklhDCH9bmnWy0O7ft+I7/cOVe76AQR3Q0AAAAAAAAAAAAA - AAAAAAAAAAAAAM5ZqmpmquqcSynNZrNLL700n3Da8eaizVrVqao1dXh7qUgahvqziZQiReSP3vMn - //Lhj077Wdu2xSSl1DRNzvkcLsF1zpVSap1tjWXNOdcXf+XXfjWoyyYiUjNYVVXEHbW6toZ318cm - NiKiUvPQ587evXuHYdgIpK/nfrao9eE55507d6pIznOaO+6cMzEnsmvXLhGppewbicjnD1Wty0hK - KTjvnLv4ootO5jgiV115pXPOiW6M3jlcl3LOXdf105mINE2jJk5Uij3tK5/6DV//TL+28Oa2jcMw - yJwO3jVmlnMehkFq7LpJtvLfX/J9fUqzofcxiEjOOedcSjGzELzq2t0Ktrvtx5RKSSXf+e93/cbb - 3q7uaLdUWL8oRx1bzklKSUXykJ1I4/3PveJnH/X5X2C5uDoczeoVFzHnjnIMNdH5vu4AAAAAAAAA - AAAAAAAAAAAAAAAAAOBs1HVd/aHeyqAWPtbHWp1tZimljfdv1NdvKcT6bJRScs6paimlbdv6ypaO - ML+lswAAAAAAAAAAAAAAAAAAAAAAAAAAAPefmYmIqtYA7xDCcQJjN1JLayFrjZgNIahqztl7b2Z9 - 328c8PQ3/4SYSBERkRtveu3C0s7p0KeUuq5bWVmJMc5PO0+5jdxuERmGYRiGpmmmff+PH/rQX/1/ - f9Nb6drG1i+r1QTvI9na5TYxEemHvr48n/0WQnDObY7XtROOop8HZqaq4/F4Hjt3XVkfKqPRaKOW - ez7Hw2lVs+HrLCulOJFLLt57EtXpTmTH4pKamZlXN/+R84fFMw/TyStf8XOX7t07bhsn0s8GX5t/ - lsw8E/MxDMU+/NGP/vQrfnY2DFZk2s+aURfaJsYoIqvTSUlpcXFxDiPqfRNzzkNOo4WFIu6m170u - WxlKXuv+E74KOVvbBCeiItG5l/7QD3/t0796Nll1Z8l1BAAAAAAAAAAAAAAAAAAAAAAAAAAA5xvn - XM3tFpHpdGpmtdi81j6bWa0MPYfroGuWuZl572ezWYwxhLClI8x1VTMAAAAAAAAAAAAAAAAAAAAA - AAAAAMD9V2tNa9h2jNGdQOnp5jjklJKZbY6bXUt6npPI5PWzMZHffOtb//3f/z3n3LZtztk5Z7lY - PkZk9dmvRiynkn0MpiJOs5WmaV73utcVEROZ9klEshUx51w85nGsOJGFbhSdFxEVdeqKFT3Yu3NB - RZqm2YiNP+uKqGsFuIjs3LnTROa8+SaysLBQe7vOpu1u0ZlWFz3LxYlqMVW99NJLT+KimchFF10k - IjUFXIo557Z1/Tw4s02Pvq0rTmRpYaEM/Y2/8mobehUJKqWI20jvtrMgw7vediEE/4uv/uUP/t3t - sWtDbKb9bHU6mQ299348HptZKSWltN2NPVxKSb1rutHKZHLHnZ/+tdfeqN4f0uUndgma6FOfonOt - 889/7nO+49u/NQ99cE5F1KxuRx7r0PEAAAAAAAAAAAAAAAAAAAAAAAAAAABwo3d8SgAAIABJREFU - JmyU4nrvvfci0rbt5lLQGOPGD2dd1fmJ6/u+lOK931zuvaU65fOuRBwAAAAAAAAAAAAAAAAAAAAA - AAAAAJyHzKxWnDZNc5y31WTZjaLNw8J6ayFrDZ2dr9xuXcsa3bd84C1veUtsuqKSi9WC25pvfU6q - Fyil5Nb1fX/33fe85Tff2ratOp+tuOBLznLE1dxMRS/Ze8kll1yy9nRei5NV12qn57aF96nOnaWl - pYPzZy7PRUVrdHd9Wko5e/v8pNXoblWtp68mS+OFpYXFrRaoq8ilF++VYroe2r0R4n42KLPJdGE0 - evxjH/c93/0iJ6ImTsTOnmVVnRMzH8IsZRH5L//1v9117z19Tj6GdtTFGIdhqFe5n0zHbadzdmVS - Sk3TrKysdF33i6/+5QOrq0NO9R4Tsh7DrnIf6d0qkoesIlrs0V/4hT/9kz/V+pCmsybEsyB6HQAA - AAAAAAAAAAAAAAAAAAAAAAAAnGdUdRgGEck5y/qNDkTEzOorfd+HEJxzwzCcw/cTaNt2NBrVU64d - snHjiBNEdDcAAAAAAAAAAAAAAAAAAAAAAAAAADj32boQQjluZOzmWO5aw/noRz9aREIItYxzo3J1 - Izp0ThQRVf/6W94wmUym02nbtkPK3vtzIHJYTY6VJuu9rxXFIlJK6bru7e98x8psujqb5ZJFRMWL - OI0hDcOxjm9iz3ve83bt2pVLdiJixcycHq0OV9fj0reDmcQY6/g8e5KPD6qBzWbWdZ2ImM1pbres - x7c3TVNKqV19Dsyjk+OcK6XUlSSldOGFF57EQfbs2SPrg3ZuorsPn8ymBzeRIlJERE0aH6xPpR9+ - 8Pu+/+EPuU5E2iYe45huDuv3LYv3TUrJO59F/v6fP/TTr/iZ0LXTNMyGITSxiPXTWRsbpyrbf10O - 13XdyspK07Uf/Lvbb37D6zU4kXqN3KZtM2eHvqLrjypy9YOuvO3WN3oTKTZuO8lFDr30h22HHOfY - n0QAAAAAAAAAAAAAAAAAAAAAAAAAAACnUCklxliLfGezWQhBNtU710LdlNK5cTOB45jNZpPJRNcd - dsOHEzF3pb8AAAAAAAAAAAAAAAAAAAAAAAAAAACnymHVpzW6+/jJmzVaeCOWO8b4NV/zNW3bppQ2 - juacc87VYO95oSIi2fJHPvJv73vf+5qmK6U45/q+n7eI8VOoXpQQQkrJOScipZRbbrklOl9EVFS9 - yymJ95bScSK326Z97nOfW2t0a2K3ic1hlbKtR8jLpujuOWzncdTWHjIm5y8qWA5t59xETZ8uzkTk - 6JnEdT0UEadqZsMwXLBr19YOLiIii4uL6wXxslEVv6WWbKPZdDLqOmcSnP+5V/xs47Tvhy6Gs2bi - qeaUQgjZShGJMbzxTbf+8XvePVpc6NNwYGWlbVtV9d5774dh2O7mHoWpeO9/7Mf/5yzllEvTtSVn - EdFN2d0qIsceOfUNi93o7W992+5du7SYDSkNg9gWbs0AAAAAAAAAAAAAAAAAAAAAAAAAAABw5rVt - 2/d9zrmUYmYpJVkvNh+GoWma7W7gaVRrYM2s67pSSj13orsBAAAAAAAAAAAAAAAAAAAAAAAAAACO - LoRw/DfUQNlaqqqqKaXrr7/+y7/8y+tv5ze+15zV3FvVm295Q0qphnZvqe50zqkdnumrqrXAuIap - i8h73vOev//Qh4aSnboQgpUiG+HWB6OC3WE1tk/9iq940JVXDcNQc7urXOYpmn3dfQ7geVav1OZX - 5jx3vIZNi0it6N7u5myPlFKMMecsIiGErutO4iC15n8jAX1+OtPquqJiB0diESn1lfriqO1S33dt - G51/+PXXv+S/vziI9EOSGhc9/8xCjCmlunr0Q9q/On3pj/3op++8c9ee3WZWPyZSSpZLG+fu7gzT - ftZ13a233voHf/THzok66fv+mO8+9shqm+Yd73jH3r17V1ZWnHMhhFHbllTX+XLYdbdDL+2Rnz4A - AAAAAAAAAAAAAAAAAAAAAAAAAACnj3OuVkSKSEqpaRrvvXOuRlnXyt+cs5nNZrPtbuxptFHmPJlM - vPcxxslksqWKe6K7AQAAAAAAAAAAAAAAAAAAAAAAgP+fvTsPsywr63z/e9dae+9zIiOzKAaVYtLm - QR9lUB8ZvFe7baVxRhSZZagCqii0lb4gKOJwGwdArEYKpKAZqooCLERaWkAFxLbbe71eW5RJbO3L - DLYTRWVlRJyz915rvfePHRFEZmVkZSZZGRHl9/PsJ55z4uyzzrv3Pmftv971AwDcxk2Jm1MKbwjh - 1GGrTdNImqJMu66Te5A96Yce31gIMpNCCNVr9apbGOn8qymmwfO73vPuz/zdZy3FXMb5fJ5z3uvC - zpkT4lTbti2l5GFMKRX3sZRff8tvFKlKZjbm0WQWgo85hKi6mbzqkqva1hOTnnzxk8owNjGZWfVa - pSY1vrXz+Vd3+WiTYozbedIHT/W4VfzU5LzPD2SaMYIrhDDNCbdJ04/Bb3Yppm9aKSWllEux6rOu - OYvxTbLg07Wu8u0A79OvZG+VUsZxNNNyuWxi+rEf+7Gv/7qvj9vf4T2u7rQEM0nTUbSz1qX3f/gj - V7zkJcu+b2ddP44hxSDzXKzuu4Tq0Db/63Ofe+GvvNilsjk5uoVTr5Ow+Ws1yaQgmfSyl/zqN3zd - 13opqyuHaq3udet7eJv9aQMAAAAAAAAAAAAAAAAAAAAAAAAAgIPr0KFDUy/k9Hc7rntK7x6GIYQw - jqO77/OW7S/GMAxTf32McVo2YT6f79aqfFJEdwMAAAAAAAAAAAAAAAAAAAAAAAAAgAPP7eRpr9vN - qClElWrus7bbbMTc2t92Zq+ahnHYft4vl1HmY/433/wv73uvr4xek22meis2Upg229y+MMitzSWf - Pse3NlVJQ8nNrB3kr776tVlepzPgxVzb2+YI9oVts+qb7bOfmGQnrTkPY5uaGOOQ8z/ddPQ33/n2 - LBWp1CJJ7qrVpFrK9JYQo6yamcmi1Aa7772+8l8+6H+L8ui11jKdgCGPMvnmJd7B9yjNe+tr1aRk - 7rXWEEKV789I6d2+V0Fmrpzz6urqVPT2ddlXTPJaJM3n85zzFF8dtn7YO7+Hu808B8vJrlcwDxZD - 8ZraZhgGC4oprK+vXXB49YwGr1KVDt/uyDgOIZqkWmvcPJkn/r7O1/ncnje3rqHv/KKGnZsUQlQ3 - a8axjynEGFz1qle8fN41WzeAYFK0EG2fdu6bNA7DdKerruVyqJJLr/yPr/nv73vfkEs7my0XfQgx - xlBK1sm+5Cf9tp/d/WK3O1FIcUp2jzF6qUHWxDTkcan6wl99ycf/7u+zlFLwohhMdfpm1bq5TYIU - ZNVikJSiBSlKUfqZn3jOI77/YVZqF1IZhxjM3XMtFrV9K5fCbvfB29LvHQAAAAAAAAAAAAAAAAAA - AAAAAAAAHBRTJnfTNJJijNt/JbVtu/3S1G8+7Tz9X1JKaXuc6bFtOY9H8MWaGr0llVJ2HtHp26cN - wAAAAAAAAAAAAAAAAAAAAAAAAAAAAF+84ApnF7Rsm9GyJgVXkl3+1EtNct9qUt0ROXyzeOfzx46P - Ho8pLJbDoUMrr3/jG/phmXOuNaeUzv487BvbgalTXusk57yyspJz7vu+m8+vvvaavlRF25mvfcKl - qaWkpnH31IQgqfpTn/KUoBpUzXfsvNcdxydergN++XaKMrP9mnK8ZZoADlzz+bnl7u7HffNCCGfX - 025mCpsnc/uUnkXq855w9zHnEIJUSynJwlfc48t//t8/P07zhNcYYvVavLZtG8I+/2pvziVVUrDL - Lv/hKq+1mlnxGmM8u+t7TgzDUErZ/HoEc/f19fUjt7vwvf/1v131mte4lJqYc53Pu1LcfbdJukry - Ws1Uq0cpSI/9wR/8kaddbq7gmpLad+4MAAAAAAAAAAAAAAAAAAAAAAAAAABw0E19wSsrK8MwTP/J - OZtZSsnMcs7TPs3UaL93/aRnaooqn1qe3X2xWGw/PU37vfUXAAAAAAAAAAAAAAAAAAAAAAAAAABg - z5nZ933f937JHe4YzXLONjWjnklL5/mRc5W0vr5xbG3xxje9aX5oJVcPsammelsMIM45hxBCiHJb - X19/85vfXKtqPe663DyadUqHHcdi0h1vf7sf/IHvP28FQwckD9vlJoUQvpAzve9rPudqPfHXE2Ns - muYshjrhoh+sk1lqrbXGGEMI5l5zmbXt4x/3Q9/8v39TuyODvm3bcRxvftL2Dz/+Qan+iU996kUv - elFq22qqtVaz5ThKMt91O7d2jhwtHF49vOiXQx4txFxratuPfvxjz3nOc0wKQeNYJC0Wfdc101Gc - sE3zfdu00/MmhCp9w9d9/RVXXDGbzc5x6QAAAAAAAAAAAAAAAAAAAAAAAAAAAPvGYrFw9xtuuEFb - HcEhBHfPOU9B16urq2Y2DEPTNFOS94GQc57Su6e+767rpsenPwLR3QAAAAAAAAAAAAAAAAAAAAAA - AAAAAKdWzezIkSOPfOQji7tJqlUuaTN9djupdT+k0aaUipRCuO66N6yvr5vZOI57XdQ5tt0f2zRN - Pw4hRcXwB3/wB5/97Gd1ykR1C0FmXmtKKUhVeuyjH3P48OHzUTQk37o2U4zz/o9v3g6c9lN8q27r - ppPg7u5uZimlMx7h+BD0A8fMYoy1Vi9VUjRbrG/M2vbKX33pfDYzqU3JZMMwuHs88/NzfvjNnwa5 - dNWrXvlHf/RHTdOkplEwi3u2/kApZej7Q4cO1VotxWY+G70+/xd+4dOf+WzTpFoV4+aXqO9PdVMb - hyEGBamU+i/udvdff9ObUgiqHv75/ogBAAAAAAAAAAAAAAAAAAAAAAAAAMBt3Hw+d/eu68ZxnFYY - qLVKMrP5fG5ma2trUwb2wer5TSmVUqZWX0lmVmudHp8morsBAAAAAAAAAAAAAAAAAAAAAAAAAABu - WSnlkiddHKXDK4e8VFm1LwSB1qod7Z3nKx/Ub/5RwXLOMdpY61/99V//8Z/8vyGl7dRhN/nxjbTm - soOWZrqzOTY2qZRS3XMt17z+uuImKZzYPlu309VdRSpyjePYpGjSE5/4xGEYzlPp2HIgOrptR3S3 - DkjNt5Kd+eXhZj+wW3778SHoBy4NPaRoMQxDloK5mpi61NR+/Bf3+PIrXvwrQRqG3sxCCLEJpey7 - +cRVffsOZVubNC1K0I/luc/7aTctx+GmY8fattXWreGk23Ej3+yeclr17HjXzpFDiKXUccgKcWO5 - KF7f/ru/c/1/+k+zrh2GLCmE4K62TTIp2BeOZUcNJgXJqrqUbndo9bprrv6yO94hyJIFHbfjJLDe - AgAAAAAAAAAAAAAAAAAAAAAAAAAAuA0opUw9vCmlcRynjuCu69x9sViklCTlnCUNw9A0zd5We/py - zmZWSpl6k909hHBG/c60kgIAAAAAAAAAAAAAAAAAAAAAAAAAANyCWrOke93rXt/1Hd+5vrFuUpMa - r/UW37gHTKW6ScV19dVXt22rYGeRrnogDMOQ2saDfeITn/jjP/7j4q5TRyy7W9gMcM25fOu/+uYv - v/s9VmazA5dfjvPAJTPzLXtdzh446U/pLCLMt8/d9mk8WDno0xfAzLZXJUgxphj75fIHvu9h3/+9 - Dw1S9Vpr3e/fEzvucYimoCp96CN/+YsveEFs0gW3v3BtfX2vqpvNZiGE5XI5n89zrX/zsY/+6P/x - jJhsox+6rmmaOI5F0jBkmWn3Uz2ld4fqr3vta+9773uvH1tb6WZ93x+k7xwAAAAAAAAAAAAAAAAA - AAAAAAAAAMCZiDG6e60155xznrKu+75PKbVtO45jjHHazczGcdzrek/XlD4eQpgOber+PqMRiO4G - AAAAAAAAAAAAAAAAAAAAAAAAAAC4Be5uprFfXP60S4OUTO4ul6zKqkvbmx2fjnprOeXHxBgUrUrv - fPe7P/yRj1SZpO30bje5yVwn5FVP/9+ftgubyjbfrLZ4VQhV/qbrr1+Mw3RAuySqV6lKmpqKk6kL - 8SmXPLmMYwr0255vUxT2Po851lbUtN9iJPxt1M5D3n78RaZT7/dw65OZ1iZo27bWGmRe6tgPXmob - rGvTi17wwjteePsgxWi1lNilva53Fzf7/pbiTdO4VKSXv+Kq93/wwzdtLFYvOHILw+y4d5zdXcOt - un1hmg6u4JK0vr4eYlw5vHrDjZ+fH1p9ytMuP7YcltmbJvb9WEoxk0wWw6nnjiAl6d//3M9+04Me - 6KXe/nYXHjt2bD6bbd2ld1ayf+96AAAAAAAAAAAAAAAAAAAAAAAAAAAAZ6SUEkKIMaaUJNVa3T3n - PAzD9qvTP/e60jPQ932MsdZqZiklMyulnNEILCUAAAAAAAAAAAAAAAAAAAAAAAAAAABwC6ZOzlrr - gx7wwK+/7/3cVXKeelb3lSleN+daiktK0a697rqQ4m01nbTpurHk5TBcfe01UxKsyU7RLWwh5GFs - m1hdd77oS7/jId/eNc2wXJ63gqEpt/vgdHQfrGrPuXN4+Af0TE6Tpwczs42NDTNbWVmJsigLMs/l - jhfe/j9ccUWQavFu1pY+73XJpytGW/ZjapOkvuRn/vizqnx9seE3T/k+L7quWy6XCjY/vPpjz3jG - h/7yw1WSaRjLoUPzWjXFx3utkuIu998gmXTJE55w6VOecvjQqudy4+c/f8GRI33fn8dDAQAAAAAA - AAAAAAAAAAAAAAAAAAAAOK9KKdN6CGY2DIO7hxAkTe29KaUpt3vaeVqU4KCYsrqnmsdxPNNFHoju - BgAAAAAAAAAAAAAAAAAAAAAAAAAAuAUhhBRCHoY2pSdfckmQ2phKzpqCaE17FHW6U5CC1yqzGKOk - EGwsfu11r1/b2CjuFsOQx9iknLO7p5ROGqPrpn2b8z21B9dcNluFQxhLGXJ55++964a1dZcsRLdw - iv5ZL1XSOBaTnnzxJW2KZRxTiOHgBQofSGY29XtPX9HpX3ta0am4FGOstaaUpsr3uqLzrda6+aOr - depm335wRnxHA/92k/8BaukPIbh7KWU+n9dayzAGk+QhBK81mD/kwd/2uEc9Okr9YpiOavsb3rbt - 3hW+ZZc7VJVJGobsUpXe/+EPvea1r61SrbXKi1c3xRhDCMFl9VzOktMva7lcNk2TvRYphOjuiqEf - y9vf8TvXXf/rY/WYwnSb2lhfSNr5Eyw5yyzEKFeTGts6SpO+5Ru/8d//3P8ZLdQxhxAOr64ePXpj - 153kQpjLmPwBAAAAAAAAAAAAAAAAAAAAAAAAAMDBt7XCQJDUtu3OTt5SyjAM03+m3OuTrjOwb00H - NdlueT6Dt5/7igAAAAAAAAAAAAAAAAAAAAAAAAAAAG5DTFoul8vl8vChQ+b+sId+75fc/g6l5L2u - a1ellKlZtkobi/76668PIeScZ7NZKaVpmpTScrk8QNG5ZlZKGccxxjibzaKFPIw556ZpQoqves2r - p+jZUrdSvU8xlNQEm3XpMY9+1PqxtcOHVsu4fy8lgL01RTuHk60/sFgs5vP5OI6ztv355z//oi/7 - sriVkV1rnRY4mBYymAKwz2PVp8VrlalpU5Wm43v+L/7C//zoxyxFi6Hp2hDC+mKjlKIdYeRfvFJK - KSXGePjw4WlWjzGOJffD0Lbtxz72scsuu6xpkkvFa0i736jcay4hhDyOISiZgvQ19/rKV131ygtW - D5dhDCH0y2Up5ciRI8MwnKv6AQAAAAAAAAAAAAAAAAAAAAAAAAAADopaq5mZ2WKxkDSOo44Pw97n - zGzq210sFu6eUiqlnFH9B+ZQAQAAAAAAAAAAAAAAAAAAAAAAAAAA9srKytzMc86llNvf7naPffSj - gnTOgkzPpSgPU3hsrnKpyK++9ppcS5XcLNdaa3V3HaiW2uIlxhBj9FI9b+ZzN03Tj+OH/vLDf/q+ - 97lJkiuYpLqZsus7tuNGq/7wh33/Xe980aH5fOgXKaXzfDgADpSwsyu/2uaUsrq6evTo0UOzeZDN - 2ualV/zKShOTmUnTHDuZlgCotZ73srfcfBLcEmIchmyyKlXZUMozn/Ws9X7pZhvLZZXP5/Mqb1bm - 68vFuSqnTU0ZczefHzt2TMGK15vWjq0cOpS62Y1Hjz3hSU/0YMsxhxDMYi27lC7J1TRNihYkVTUx - He5mr3jZyy+66KLlYmM+6+S1bZtayzAMB+h+BwAAAAAAAAAAAAAAAAAAAAAAAAAAcK6EEMys7/um - adzdzHR8J+w+5+5N05RS5vO5mZ1F5bSYAgAAAAAAAAAAAAAAAAAAAAAAAAAA3IJSSrRQa5217bGj - N1166aVtTCe2dZq0FfFqe1CjJMldkoWgYFVepSp95G/+55/86X+3GBaLxdSYWkpp23Yvo2TPkLvH - JkUL4zjmnM3MzEopIYSrr746xVBdvtU267ul1EpNEyXNZ80Tfujxy+UyyOqYgw7MeQCwT1RTKcXd - 8ziq1Fnbfs93ffcPPuwHVN1kZrY9weac97bUU6ilmFmVz7pZkccQ/+R9f3bdG9/Qj8Ohw6tjKcVr - 27Y33XT00KFD5+pDp2UdlhsbXddJshjucMc7/uMNn2va9un/9kf+5mMfLaVIqrWay3a/nZpZkJWx - TPfckvMrr7rqQQ/4hsXaeozR3Tc2Nrqua5qG6G4AAAAAAAAAAAAAAAAAAAAAAAAAAPDP09To2nVd - ztndp6cHKLo7pTSOoyR3r7Wa2dRGevoj0GIKAAAAAAAAAAAAAAAAAAAAAAAAAABwC6a46BRsHMd5 - 2939rnf9Nw9+cNzrqnbhblF1M+506jp91ateJal4DSGklKbo6/0cKHuCGOOUOB5jTCmFEGqtwzDc - cOPn3/b23/Zg0z6SpBBs1/7ZUoqZ7v01X/OABzzAXPLSxDTFxALASZnLtvr33eS2Ob8uFhuHD6+2 - bVO9WK7jYnnFi375Xve4h8l3BkVPzf9bE9Te8R2bJCnsKGnZLyWNtTRN+qUXvuBjn/zEsY312CQ3 - 68dhNpsNeTxXhZiUYhzHfjZri9ch52PLjcMX3u6XXvyid77nXS7LW8sl1KoQ0q5H4T6Mw8p85lKU - fvkXX/CdD37IYn3jyJEjZjYMw3w+H4ZhGIa2bc9V8QAAAAAAAAAAAAAAAAAAAAAAAAAAAAeImdVa - pwdmFkJw973vez1tOeeUkrtPxWtzxQA7/RGI7gYAAAAAAAAAAAAAAAAAAAAAAAAAALgFXde5e85Z - tcQQNtbWf/RH/m3dftmkM+juvPWVIlOMUWaSzOxd7373pz71qZWVlUW/DCGY2TiOTdPsdaGny8zy - MEpq23YK7W6a5vAFR9761rfetLYYxxJCmBK4g4XqdbcW2lrlrksuuWRlZa5a3T2EYC7bDrMFgFsy - BXh385m733TTTUG2Mp/nZT9LzcuvvHI+60opU2L31PkfY5wmqH2l1mohTNPg9J/VQ6vDmI+tL37m - Z3+21lrkVT5bWenH4Rx+7rSgQ9M0x9bXQkohxeL++3/w3l+54ooqWQgxREnzbubu7qeanIO0WCy7 - EB/7iEc95eJLVuZzlbq+dmxaQqJt21JKKSXGeOpxAAAAAAAAAAAAAAAAAAAAAAAAAAAAbpNijFMn - 6Xa75ZThvdd1na6maXLOktx9ateNMU6dpKeJ6G4AAAAAAAAAAAAAAAAAAAAAAAAAAIBbkMtQ6hjM - ZrOZu7dt+8AHPvB+97nPXtc1Ccd1jE4hrGbboafFPUuvf+MbzKyUUmudXooxmssOTp5pSsmq53GM - MsluuunYNddc0zbBJYubR32Lg9ztbnd5xCMecfTo0ZTSMAwWD0xfMYB9pe/7vu9ns1mMcRj6edel - EL7xgQ+6+IlPijFO+0yLF+xtbrft2Da55FJ1L7Vpmlpr085cYW19o0mNBf3+H/63N//Gb9Razezz - n/9827ZN05yrevq+DyHknFNKZpZS+vgnP/GUS5+6LLlpUqllrEXSsl+alEJ0BT/ZqgghyKQgfe39 - 7vdrV75s6Pu+77uua5pmZWUm1WPHjsYYV1ZWqjzXk1yCg3UHBAAAAAAAAAAAAAAAAAAAAAAAAAAA - OAvjOPZ9L6mUUkrpum4Kwz4QxnE0s5yzmYUQpvUTpjDy00R0NwAAAAAAAAAAAAAAAAAAAAAAAAAA - wC3waim2IYSbbjwWpC41Y7/44addfvPY57oH1R0npiRXiqnWKinE5FLbxFf9x1cfW99o21ZStDAl - p0py0/TX93GG9RR/W2sdx9FC6FYPrW9s/MUHPvDXH/3YcqwhxZKzpGBWPcdw8jjuKbn2CY95XO3H - WWrdfXV1dVgOFsN+PnYA+800YbZtO5/P3X0YhppLjLGUUobx5376Z+55j7t3bTJJ1aclALbDvPeP - EELTNOM4ShqGIaUkqc9jrkpNeO7znvepT3+6mo4cOVJKGYbhXH3ubH5o2fdtO7PYLPrlDTcevfRp - l91w05qZlmOe7lkmkxQsjHk86SAmqSqa3eMud33zr79pHJZdik2wPI7uvrGxMcWNm9k4jrXWfXj+ - AQAAAAAAAAAAAAAAAAAAAAAAAAAAbm0556Zpuq5bLpcppZTSFON9UJiZu6eUptzxqXX0jEYguhsA - AAAAAAAAAAAAAAAAAAAAAAAAAOAWhBBqlTzMZjN3L8MwS+mRD/+BL7n9BTGYTHLJTAqu4Ip+axfk - km8/rK6qra0MS6nmcbNjdiy5SmtjWRvG69/ym5LJLcY09kMTk1t1qyXUarVuxXjbrV79GfOSQ1Cp - Y+paxbCxsdHMV179uquL5KZaikyyWmsOql5LlJmCmSmYgixKUpBa6cmPf/yh0IRc580sjzXLaoj7 - OrccwD5jLnNNod1mFmMMKQ55tBhCtNb0ule+snWPUgoyKcZYapHCjk22td3afMe2U611x9oENefB - VV3yEBZjrSH+6DOeYSEeW18PIZhZiFLNIQR3zznHGFNsvG6ekJvLtTTQFRPRAAAgAElEQVRdK8nd - Q1Ct2b1IMrNcvZiNpYTUPOOZz/rgX/6VS9nl0liqFKrMFYpX3zpL0YJJTUzT0yAls1mbrrv2mjvd - 4fZdk0xeSw7BJIWQSvHpPLv7dL1OcmaMuR8AAAAAAAAAAAAAAAAAAAAAAAAA9q8psnd6nHM2M0ml - lD0tCti/fIuk7R7SlFKtVVLbttOPyMxCODB51tvV5pxTSu7eNM10RKfpwBwqAAAAAAAAAAAAAAAA - AAAAAAAAAADAnnBJsu2Az7D5XEH+lIsvqcVVFdootxCjFOqJAann180+3CVLsUjXvemNQ66Scs4r - Kyt93+/+pv2llGLBJS2HRTub1WCf/tvPvuN3f2ezt/747FVTdbkkd5e7pBDCFPX68Ic+9MLDR6Ks - URiGoZSa2ra6KumtAM6RQ11376/6qh//d88MUq3etqmUIjPpxMlqf7b6V7lL68v+T/70z6699trV - 1dVpLYNa67S0QUqpbdtxHPu+TyntNk7btqUUdy+l5Jzbtp3NZu4+5tLO5rkWi/GXXviit73zHVWq - u9+GZt1MUvWaYsolB6mNwSR3f91rXnu/+9x7Y219GJZSnc870xkstQAAAAAAAAAAAAAAAAAAAAAA - AAAA2M+mjF53Xy6XKaWcs6QY417XBexHU7z9MAxTPvf025l+NZMYY9M0ktz9jKKv91atdaq26zpJ - ZubuZxQ9vj/7eQEAAAAAAAAAAAAAAAAAAAAAAAAAAA6Axz3ucYdXOrk8F8lqKU2zH/u9ay4m+4sP - fODP3/8XG/1SUimlbdvtHWxH06nvvxxrd5/NVy2EUkrf97XWt771rf04nuItJjMzmclVcpXk0pOe - 9KTDK4fcpBhKKbXWKY/2fB0HgNu+Y8eOra6uPv3pT//6+96nkalUkzYjrnfEU7v2a8q0u6QLLjic - 3Z/7vJ/66Mc/fujw4XEca1FxWy6Xfd+bWQhB5jLXLncNL3W5sYgxzufzENIw5PX1xZDH1LUby0VK - 6Xff9XsvedmVQQo7325VdtyJWfRLl2KIueSVbiaplBqk5//sz3znt3/HOI4ppdXV1aZpNtbXb8XT - AgAAAAAAAAAAAAAAAAAAAAAAAAA476Z24NlslnN2d0k7o4gBbEspjePYdZ27r62tSTKzlJJvaZpm - HEdJU4D3AdJ1Xa11ewaYpoXTfzvR3QAAAAAAAAAAAAAAAAAAAAAAAAAAAGfDXHe/690e8pCHmORV - UpEUwn5s3tyu6lWvetWhQ4dkVuVDHvdhSvdJhRDGvs85z1YOuaRg1173+i+caD9JIK7LJZlZiCZX - G/XV97rnA+9//1rr1IsbQjCzqUc3uADgi2euruvGfph33Utf8qtt25ZSu7bLw7jXpZ02M5luPHpM - klf7yZ/8yRtvPOqm1DZd13VdNy3TMK3XMAzD7sNYjNHdx3GstcYYm6Y5fPjw2sZ603Xv/+AHL7vs - spRSkbIrNfEUFaWUSi0mW/ZLkxrZDz36MZdf9rRhuYwWuqbpF8tSitkBuaUBAAAAAAAAAAAAAAAA - AAAAAAAAAE5DCKHWOnVJT01q7p5S2uu6gH1qapwvpayurrr7YrGYGkJjjPP5fBzH6dd0RrnXey7G - 2Pd9CGHqaU0ppZTOaEmH/bj6AwAAAAAAAAAAAAAAAAAAAAAAAAAAwL5Vd2SD1lyedtllTbAmRblS - CkPfB+3H9FCXu/Tu97zn05/9zGKxaJpGkhSkIA/yfd1zGmNcLBZNNzOzsZb3vPe9n/zsZ8ru+zep - keTuXqqqm1SKLnvqpSsr8zEPkrvXqSk357w/09YBHFBtarwUL/Vr73vf5zz72Ukahz6FKFWpyqps - c0UDl3xvaz0p96ZtJVVprOXd/+W/vON33qkQl0O/6Jduarq2lDKOYwrxFPe7cRxns5mZ9X0/5XzX - WheLRdd1R4/d9LgnPHF9zEPO0845F0my407K1tA1JHPJ5SbNU/O197nvr7zgRdHVhdSm5KW6W841 - NY1I7wYAAAAAAAAAAAAAAAAAAAAAAACA24pa68rKSs5ZW5nEZraxsbHXdQH70TiObdtKmuLtc87z - +dzMJE3NntMDMyvlFG36+852tV3XTU/HcTyjQ2ApAQAAAAAAAAAAAAAAAAAAAAAAAAAAgFOZgkBt - R8Kqb2WDuvs3PfAb73ef++axmKTqkvZhFHStVVIMNgzDr1111eoFR4Y8trNur+s6A918FkJYXyxS - 27ziFa+IshSPP88ubUbjbrbg2tRM7GqCHVmZPfzhD885m1kIodbq7mZGdDeAc2u5XAaZSq25/MjT - f/hBD3hgGxuvZfO+sR/DuncwyWzMOYQQLFR3k378Oc/5u3/4+3Y2c9PGcjGOo6RpCo0x7jaSu9da - Synz+TyltFgs3D2k1I/jY3/ocX//T//oUkpRQU2b3LVLCHiVNPR90zTT84vufOc3XPv6WddZ9RjC - xtq6u3ddN5vNFouFEd0NAAAAAAAAAAAAAAAAAAAAAAAAALcVU1B3SmkcxxBC3/ellJWVlb2uC9iP - mqZx96nLvpTSNM0wDDnnnHPTNF3XpZS2e/D3utgz0LbtdlB33/cxxqZpTtHfenMsJQAAAAAAAAAA - AAAAAAAAAAAAAAAAAHCWUjB5feqTL45Sl5pSi8lrzXtd14lSSpJK9Wp6y1t/8+ixm8ZShmGYXg1S - kMyPiyffV4pLClMs68c/+cn3f/BDWRpK3W3/6lnmQRYsBJe5HvHwH7zDhbdX9c2g7mA5jweqrRjA - wRBkKaXZbGZmNQ+/9rIro7yNMWyHU2/PtLZbXvVeCiGo1lpr9VqlIt20sfGsZz97fbE4dOSC+Xxe - vDZNk1IqYy7jrve7rutqreOYQ4i5VFlYWT28WCx/4qee9//82Z8PpYQU+lxK1TBkO36FBFM11Sm3 - O4RgQeM4tjFcuHr4ql97xV3vcmeVnGIMspXZPMjW19fNLKRY93s0OgAAAAAAAAAAAAAAAAAAAAAA - AADgzNRap5jeruvMbBzHva4I2KfMLISw/ZNp2zal1HXdOI593+ecNbVtHqge+2EYQgju7u5d1y2X - S0nbYd6ng+huAAAAAAAAAAAAAAAAAAAAAAAAAACAsxGkFOJibf0xj3r0ne90h5zHILnc9190aK3V - pZia4v65G4++9bd+q+k6hQPTZ+rupZRuPo9N87prrl1bLqo8hrjr/lIIoXqV1+n9P3z50/vFwszc - vdY6dR2bWYyx1l0jwAHgTKWU8jDWUnI/dE17z6/4il/4+Z8vpZgU9mNU9/FctZTUNDLJzE1VMtnv - vfe973rPez5/4+dDasZxLF4luXts0m4jjeOYUkopVfdaazPr/umGz73p+l+/5o1vsGhVGnMN0STJ - Nqfqm7NpURUL04OXXXnlAx7wgJyzSTUXSX3fT0Hpa2trKysrZ7TUAgAAAAAAAAAAAAAAAAAAAAAA - AABgP3N3SSGE6fE4jiGEKZMYwAmm34umLvtap6BuM9tO8pY0m81KKe5+gNK7m6aptZZSpoUCZrOZ - tqaF03RgllQAAAAAAAAAAAAAAAAAAAAAAAAAAADYK8FlLrfNbVs0ixZSiE+++JLN/4QYbN/1b26n - U7tUpNdee3U/jgrBzWqtKURVd/cYY3XVfZc8rq7rhpwXfd+P43VveEOVXJZr2UzBdZ2Qlh5C2A5w - nafm277lX93jbndLIdhWi36tNaRYfBpp/x0wgAPLLORcQgjTpCrp8Y977Ld/27dKSjFoK717esnO - ZGmA8yaPoyR3r64qDfIiPeOZz1qMQz8OxWVmqW3Gkqd1HPxk6zOYhXHMMiulKIbl0H/oLz/8kz/9 - PJdy2Vz+oRTfDjOPIcq1vfpDDHE6NSaVXIP0Uz/53O/5ru9UzVEWQgjBPOcuNeM41lrbtu37/oyW - WgAAAAAAAAAAAAAAAAAAAAAAAAAAHAghBDNrmsbd6SMDTsrMcs5TVncIIaW0nc+93Xe/XC4luft2 - zvduQ00kpZR2vtQ0jbSjG3TrwbTbzjeeK+M47vzVT8smnLr+EzBlAAAAAAAAAAAAAAAAAAAAAAAA - AAAAnA1zLTcWqysry42NJz7+CUfmK1FSrdXrXpd2oqkfdcyjhWimP//ghz/yP/5qY7mcGtSnuNOp - HXc7a3Zf2djYmM/nTdO85a2/ubbYcMli2K1r1yUFk2llviKpz+OTnvDEldlM9eTXheRuAOfQOI5N - 0wRZk5LnsljfOHzo0At+8ZcuutOXlFKjlFKwaZkDl+8yL+0LJkkuVcmlz68d+/Fn/8TGcnn4giOx - aY4dO9a2bYzxpLndkmKMLpmZB3PTZ/72b5948cWjq97sIyalFDOb1kro2m56EKQmWJAe/rCH/ejT - f7jm7KWmlHLOt9ZRAwAAAAAAAAAAAAAAAAAAAAAAAAAAHDQ555RSrXVaNEBSjPEsUrRTSu7eNM3U - 6TnFgaeUYoxt247jKKmUMg1eSpkywqdP3H7jCYHfX4wY43RowzDsXBvh9EcguhsAAAAAAAAAAAAA - AAAAAAAAAAAAAOAsVKmurKyUMc9S+tI73fF7vvs7Jbn8TDo9z5NaZRZdqrVml0svf8Wvzefz7W7Y - JiZV1eohhKmNdl8xBcnG4q+/7o1jrXWKg5XLgzyYtL1NpddSJG0sNtoY73bnL/uOhzzE3M01bW7a - zpoltxvAuWVmIYScc601xXBoPlu76dg9v+IrnvPsZzUmSU2I055dN5fvvxuG79iOT+9+y9t+6//6 - 4/97yPmGG2648MIL3X1jY2OaV49nkuVSlstlM+sU7Iabjj3+SRf/w403TqMeN2VvbU1Mco8W2tSM - Qy+vXdsFC6r+dff+6pf/6kuaGFZm8yirpcQYJVVT3bFkhHmwfXg+AQAAAAAAAAAAAAAAAAAAAAAA - AAAAbmUppVJKCGFK2jazWutZrBuQc26aZhgGM1tdXZXk7jnnUsoU4y2p67pSyhTUnXOePmV1ddXM - hmGY/nmujmuKCc85t20bQhiGoeu6MxqB1lMAAAAAAAAAAAAAAAAAAAAAAAAAAICzlIcxD2MKUdUv - edLFK7N2ryva1dSMWuUurayu/ObbfvvTn/2MpDLmNjXuXkoxsyalc9gKe660bTsMwwc/+MH3v//9 - JnOpavc+4SnJ1UxSKeXyy542a7t+sQz7MFMdwG1OjLHWGmOMFhaLRZB1qVH1y5566UMe/OBGNgxj - G5NJQ99PKxTsa1vp3Qpy6cef/ROf/V9/e/iCC9Y2Nty0srJy0je51DRNO58dPXZTSOnHnvGMD//1 - /3BJadd5OJfcpCaXPOZRUrDQD717vftFd37T698wS00Zx7qF+RwAAAAAAAAAAAAAAAAAAAAAAAAA - AGCbu8cYp8dmZmZTtPZZDDV1v8YY19bWzGw+n5vZ1D9ba5U0BXub2TiO2zusra1NBZzb5tlpzLS1 - BkLbtrXWUsrpj0BLKgAAAAAAAAAAAAAAAAAAAAAAAAAAwC3Y2R7qVqU6/dPLmJqg6imEB97//vf5 - mntLqnWPqjylvu/dJJNFHVvbcOl1r3vdfL6Sc3FX7kdtdeHudaUnkXOOMV73xjcMXos8pFiL5Nt9 - siGc0DMbgtxXVmZNiI9+5CNzP0QLqm6art3mFQSAc87Mcs611qZpUkrL5bJpUwi2fuzYy6982QUX - XDDvui+sCOC+p8WehB1/y9v8l1SqqvR3//gPP/+Lv1DcFYKkIY83n1GnQ1pbbIQU25VDz/vZn3v3 - e3/fpRo05ro5um/td/wnBmmlmwUL1WuKYXVl5RVXvuzuF90lhXj40OpifS2m0LbNcrlw0/a2OY7L - 9t3pBAAAAAAAAAAAAAAAAAAAAAAAAAAAuNWZWSkl52w7jON4puM0TTMMg6QpKjultFgs3L3WOo6j - u0+P3X1lZUWSuy8Wi5TS9luGYTi7yPCTcve+76dKpk/XVp73aSK6GwAAAAAAAAAAAAAAAAAAAAAA - AAAA4LRsZ4K6beaMppS61NQxD8u+aZqLL75YUoz7Lv16CuSe/k5BsU2y63/jzTfedLRt26lDdeqA - nUKy97LWk6m1Hj169Ld/+7dNcqm6S66weZ6322V9xxtkttxYPuqRj/ySO97JpK7rrG6+TrYrgFtP - zrlpmpzzMAzzlRUzG/thY23NXHe84x2vfOlL+743qQlRkt0sJnvPBSmcLL07xujSWOtv/ee3v+e9 - vz+WMqV3n1Q1tbMu1/r2t7/9yqteMZZi0UpV06Xd3pJiGvO4emh12S+r12Ahl/riF77oW7/lX1up - 0bVYW5/P523b3njjjbPZ7JwdMAAAAAAAAAAAAAAAAAAAAAAAAAAAwG3CFKHt7jHG7XTtMzKOo5lN - Cw7EGMdxbNs2pVRrnf5u77a+vj59Ytu24zhuv+XsIsN3U2udukqnVHJ3n9ZMOH1EdwMAAAAAAAAA - AAAAAAAAAAAAAAAAAJylvu8l5ZxXV1cXi8VjHvOYu97ly0rZp9HQ222osQl99r//x8+97W1vCyFo - qwnWzHLOe1rjyaWU3vrW3zq6dmzz+VZP7ymElCRdeumlwzBMXbgn7OD7LjAXwG3BtAZB17U5j8v1 - DTPruu7IkSMrK/Obbvz8Q7/nu3/g+x7m8lJLDNG1T+8XxwtSKLVMiyb04/jc5z53fX0959y27W7v - SSm9/4MfeNrll3dNU6RSPaQ4DlnS9kHbjozwWnKysLa+NuumMevzfuq5j3nMY/qNxdj3XdP0y4Wq - lzG3s85NOzcAAAAAAAAAAAAAAAAAAAAAAAAAAIB/zoZhmFYMkJRSmsKzNzY2zmIod6+1hhBKKdPI - Oeda65QIPi2wkFLKOYcQcs7DMEgqpYQQpt3O4XFNo+Wcp4MKIZjZ9ImniehuAAAAAAAAAAAAAAAA - AAAAAAAAAACAWzC1h27ng9pW2mrbtsMwzOfzcRzNNSz7ix//hLQji3SfmJpsp+ZYSSXXGM2lq6+9 - 9thio3itNnXDWggW9ln1bioWrnrtq1OIVUopSVII2koir1LV8fm3pjqMD7r//b/6q7/KzNq27fve - g2nHpTHfHBwAzqGma9fX10sps9nM3ZuYcs6L9Y2x72935ILlcvkfrnjxPS66i0lBdR8GT08z6ibf - +utq2raUElJw6f/71Kdf8OIXlRg2ht7tC0sWTEHa01F98tOfftrTL1+WvBzHGM19c3GEU4SVm7mk - ZT90TXjo9373ZZc+xWtuUmzbdrFY3OHC29daSykppVrrrqMAAAAAAAAAAAAAAAAAAAAAAAAAAAD8 - M9O2rZmFELS1qsBmV/4Zsu0W/lpDCNMg7u7uZlZr7bpuGj/GmHOeukdTSlNu9wmDfPG2xx+GoWka - SaWUtm1PfwSiuwEAAAAAAAAAAAAAAAAAAAAAAAAAAG7ZjtzuIAUpuEJVqArZawjBvLbSZU+8uJOm - 9O6p+TOmVhalEEKSgn1h23LrB7dWz7KqHbGppXiV/uJDH3rfhz6Ug6opNnEYlvIiL7d2PeY2Hbab - 3Kpb3YyL9dKkIC+mGkIopVgMo9ff+69/8Def/kRvtUruRS6VrJIVXFbdqiWTyWIwM7kaV5KefulT - Y7BxHBRkMdRa5UEebHO7tY8SwD9PHptY5cXrtMqAuVJK0cKwXM679tDK/Jdf9IIkqZYolxQtmUUp - THcN3WxJAtux3erVb21feK4q1bEfJJUqC7FIr37969/9h39YYyPJi1JqXJare4xD9b76xZdd9onP - fLZIFmKpmg5THkyad7PpWFwhpnb6uCrV6tPBfuU97/mKl17ZmRrzWmuVWwz9OGyemerm2rltVroP - g9ABAAAAAAAAAAAAAAAAAAAAAAAAAADOqVJKKWXKyZ5StKd8a0khhBDCFLOdcz6LCG13n0aIMYYQ - tsO5Y4zT+NuPtdUPW2vNOddazczd27ad3mJm0w7bSdunjtzefrXrOm2t1bB9CNuvbn/6aSK6GwAA - AAAAAAAAAAAAAAAAAAAAAAAA4BbUXZpSLQY3KVgpJYXYxHR4vvK4Rz3apGQhj6OkkrPkMaWp/VVT - 7vd5K313VcrSNddeG1LyZOuLja7r2jZNDbq3tpMmZ4cQxnG06jHGvu+7+awfxzTr3vAb12f3Ut2l - WjyYogVJqm4hWEp1q6nY3VM0d93tS+/0rf/6W1TqbDYbx3Ecx6k7N/iJn+626/UFgDN10gBpc43j - 2HVdHkaV+j3f/V1PfPzjpr1mTVu9unvXdeM4nvd6z8BsNqu15lq62axUPfM5P7EYelMIIWxsbDRN - k1I6tr6+snro3z3rme//4AeWYw4xWgzbKz5IChaW/VJSkxpJOefN/weZyaS7X/Slb7n+zW2wIyvz - vOz35EgBAAAAAAAAAAAAAAAAAAAAAAAAAAD2pxjjlKs9PR7HcTske4rQnl7Sjkjv0zc1k0oqpeSc - T2flgVLK9EHu3jTNMAxTuvaU5N113TAMU4XDMOw2yPRqSklS3/fTcW0fyBdjPyzsAAAAAAAAAAAA - AAAAAAAAAAAAAAAAcCBNTaRmNrWwmlnbtpdcconteMlC0M6gaKmqVtW9qvkE73jHOz71mU9PmbKl - lPL/s3fvYbJsZZ3n33etFRGZVbXPPgjeBgcQEVpguLStPQg2ggjMKIgo98vhflNBu7G1dRQQFVGw - FVHkrhyPHm76NPaFbkV4RNGe55kRW8eZsUcuj9heGuScvasqM2Jd3vljVcbOXbezq3btXVVnfz9P - PrlzR0bEWhGZGRGZtdb6ZTuSLqyXSE2Wo8xrn9uiUuuQc26a5hOf+MQHP/jB8XkRUbkQBGuljI/r - szmbE3nGM55xhzvcoXboNbO2bWsn4TGle2e2LgBcOaurq8MwOOe897feeuurX/3qe93j7ioyxGE6 - 6YLToZ/VOZuuNTFRkfF4tXQ7RvP5vA55MJ/PVfUzn/nMa17zmiGndjJp2/aW8+faSTdZmf7sz/3c - e9/73iFuZXJvyyOvh3enbkhRpDgnqto0jZkUk7VJ+7a3vPVOd7pT27albB3VAQAAAAAAAAAAAAAA - AAAAAAAAAAAAICLz+VwWadmllFJK0zSqGkJo21ZEvPfj0AeHW79zrvYnlUW30H0it2OMIYRan7Zt - t/UqbZqmTgkhOOf2GcegDtSQUuq6rq6wjuFwiE3YhuhuAAAAAAAAAAAAAAAAAAAAAAAAAACAQyql - 1HDoel/7lN7//vf/2q/+JyLWhUbMvHOimlPafRXHFEs65r9uDP3NN9/cTqbZLFtJOXvvj6dOImZb - weGllK7rZrNZO+ne/e73zOeD904W4dzFiomp6lZVcxbnnPeWi4g4ka7xT33KU2brG1JMzbw6ry7n - fKEgArwBXF0bGxtd00opJeWza2e8c69/3etEpG3CbD7LJXvnZWkMgpOmHm9TSiLStm09/b39ne/4 - g4/90eZs1nbd2traLefO/dEf/dErX/2jRcw3XlXqgbcOplCXTTkFH8SpiDRNU0oxsxSjmqx03U/9 - 5GsfcL8HdKGxUkpMjQ/HutEAAAAAAAAAAAAAAAAAAAAAAAAAAAAnyGQyERHvfR3fwDlXp6SUhmFo - mqZ27azjHjRNc4givPcppRBCWoyQUEPBd1VL9N4Pw9D3fd/3y8HbMUbnXNd1Mcac8z5R3KWUYRic - c33fp5Rq9Pg+Ud+XjuhuAAAAAAAAAAAAAAAAAAAAAAAAAACAw1uO7jazOuWFL3yhLHKmUxpEsojo - xV1Dbbe1XSm2X3k33njj5ubmMAxN0znn8t5dXq80M0spibmYSrbim+azn/3cu9/7nuA1p2Jmy91x - a39dURUR71xJWUQmXaMij3zEN935S770zJkztb+xqs7n8zGSnNxuAFefExWRkvKkbWM/rE1XvvoB - D3z597wsxjRpWhGpZxAzE7ML5wtduh2rekYTkTp6QgjBzIaYfviVr5jneG62kVX+7r9/9pk3PFtV - i0mMuZjIIre7lKJSvIqJmMo45oKIeHVdaFTkJS980ZOf+KSVycRy8eK7pj2ZKeYAAAAAAAAAAAAA - AAAAAAAAAAAAAADHpe97EUkp1e7zfd/XDvXT6bSGbYcQRKRtD9NP0zlX87ZjjN772WyWUtoncrvG - bNfics5joWa2trZWZ6gVFpGxv/+u5YpILaj2Sy2l1L63l4nobgAAAAAAAAAAAAAAAAAAAAAAAAAA - gENyi3TVmtvtnPPep6H/Xx71yLv+D3eOcXA6xq+qHV8k9q5qnLcT+W9//9//zW994MzZ67JZKnnM - Z736vPelFO997c27dt2ZD334w5/+q78uWUSkaZpxThWtvW1rz+GtXriisY9O5AXPe74UyzFN2jYN - 0czqOpfLIrcbwNXUdV1JOTg/zHuvGvuha9rvfPFLHnCf+8YYvWix4tXVMRGO8Ti8l5SSqjZNU4c5 - SCm1bSsif/b//t8/87M/q96fWz//lKc+9Zbz54acSz2/OKn53HWjRKRY8d7nnEVEVXPOwXmvmlL8 - 9sd+6w98//dZzsO8D85ZLhvr65OuO8ZNBgAAAAAAAAAAAAAAAAAAAAAAAAAAOFHMrOs6EXHO5ZxV - tQ5xYGaz2awGZteOnIfLva7r39zcFJEY43Q6DSHs0++1Pptz7vt+jBKvRa+vr8tSn9mxh+muxqEA - atdU730IgehuAAAAAAAAAAAAAAAAAAAAAAAAAACA47SVPBpCKUVVa0dQ59zKZPKMpz9VRBqvKiIm - zovocUd329JtwTnnRN761rdubm6mlFIRcf7YKlg0+NZUxKk4f+7c+Zt+/eYiUsRk0T1Ype7RrW2o - od1mRUR8UBF5wP3u++AHPajxfraxIYu42bZtd+3KW0SO+1UBcE2I8z6lFEJo29arcyJSyh3OXPe6 - n/5pEfMqQcWkNI0XkbLr0AMqcqyJ3s65GGMp5cyZMyIyDIPzITj/M294w//x8T953ote/F8/8ZdZ - RL2aiPde1Y9jIjjnnDoRyTmbioiE4FSkWC4lP+A+9339616nJoVfmAIAACAASURBVF5dG/zG+fWV - 6842TbPPEAwAAAAAAAAAAAAAAAAAAAAAAAAAAADXmtq5PufsnOu6rg50EGMcA7bX19fNrG3bOvGg - 63fO9X1f08FrFHcppRa6T328913X1cdd15lZSql2Mm2axnu/f263LMYEqHHddWQAMyO6GwAAAAAA - AAAAAAAAAAAAAAAAAAAA4DjVXqO1C6iqmtkwDE3TbGxsvOgFL5yGJqWtHqSWi+ixZq7upojEUpLI - x//kTz/+X/5UvWsnXSrFjqmmwzCEtqnRsE3XfuJTn/qdj3zYORURH0JKSRZdfEXEbUXfFhFxIipS - UgmqT3rCE9UkxniHO9xhc3Oz6zrv/Xw+P5KuuQBwOCGESdPOZrMcU845OO9EcxwecL/7/9C//H4z - c6JqkmJW0RN4vqhjItQxGs6fP980jYiknOYlJ5Fvf+ITPvTh3x1yLiIpm4jknHPOqnphXAYrouqc - q0M/pJhURU2+7Iu/5Fd++ZevW1tVMyfm1U3abuPzn299MDPlyA0AAAAAAAAAAAAAAAAAAAAAAAAA - ACAii7723vs6uEFNvBaRGpVd+4GqaoxRVWv3/AOpmdk1rnvszj928N+plp5zTik550opY/fSWqVh - GOo6ZTE+wK6apjGz2pV1GIY68z6R4ZeO6G4AAI5GPUOLSN/3IhJjPNx66qWGLMYMqlcAR1RHAAAA - AAAAAAAAAAAAAAAAAAAAHDEza9u27/va3dTMmqZJKa1OV6Zd99SnPMmJOBHnxHsvxUSKyBF0ED0o - FdknBrZrmiz29ne+Q5zv+16vRmSsiWyLY3UiTr2LMTrnxfmY7V2/elMRKcVEJC86Bqe89aB2wOm6 - RkxKkaDiRNamK097ylPNLDjfz+Zd04pIjLFpGlU1lW2p5I7etgCuiiyWrDRN45xzopaLmnjvncnL - XvrSe9/zXq0P3qmItG0Q2y2weueB8yqqXR3HMRpqJ8p6VjOR85ubQy5lRwWX+0jaogelV1ERM1GT - adu+5c1vusuX3VnNvLpahHOu9aFkEeMIDQAAAAAAAAAAAAAAAAAAAAAAAAAArkU193r8b03Cro9r - PHYpZdvIALUfqC3sv/4xkHt5JWPG9hizXePA91KX9d7X2ZxzdbU1hHt5hbIYH6Bt2/F+LGhM/0wp - NU1Tp+wT9X3p6KoKAMARqEMs1UuNrutyzk3TlFLsgFJKquqcq6uaz+eqOl6UAAAAAAAAAAAAAAAA - AAAAAAAA4FRQkzxEJ/qsZ94gIsE7K5JSOoH9RLq2E3XzGIvIB37r3/3N3/2tC41dheTuPdS+uN1k - MgxD3/c3/uqvikjed5F+PogsUmBFbnjmM1cmE3eM8bYAcMnUpG28E33n298xpFiKtd4NfXTHdxw+ - hCJSROTSgsVVJafSdY0TUZGfed1PPeTrHhz7Xk2ciZroxWs5xlMSAAAAAAAAAAAAAAAAAAAAAAAA - AADAsYgxqmrbtjlnEZnP5yGEmpO9vr4+mUyccyEEMzt0vnXOeRwAIYRwJDnZo7rmGGPOecwgb9tW - VYdh6LquTqkB5LKIAK+poDHGyWRSa3j5NSG6GwCAI1BP5yGEvu9jjN77ehWiB1TP7imlevExmUyO - 5HwPAAAAAAAAAAAAAAAAAAAAAACAq6xtQnB633vf+0Ff808aH0Rk7DwiInZp+aZHTkWWIlCdiJsP - fbFSn5oN/c033+yCt6tbuW1BrSmlc+fOddPJv/mtD9y6uWHqrNbWXK1zna0uEYITk+C1dgQO6p72 - lCfv2ivYdHv+q+6WEQsAl2n5wLLzyLNTHqIr+Z73uPsrfvAHnUjJRUSaprlopuM6bVwCW7pd4gKT - JqQ+Tpz/59/1nU98/HekYWhDcGK6tI6iUvTisxYAAAAAAAAAAAAAAAAAAAAAAAAAAMC1oWkaM4sx - 1r7zNdfSzMzs+uuvF5Gcc029zDnX3OuDUlUzm0wmdbWlFDvSgQZyzs45730N5x4DvJum6fs+hFDn - 6bquPuu9DyHUeWKMssj/vkxEdwMAcAScc23bllK6rmuapl431PsD6bouxlivAzY2Nkoph7uOAQAA - AAAAAAAAAAAAAAAAAAAAwPHq+96rU5Pvesl3DsPgRNSOpmvokTMRUVdE2rZ9y1vfPp/P7fi6tKhq - EZuuraZS3vq2t5lIEdsnuXXsputFReSfff3Xf8WXf7mUg3UJVhN3UjNxAdz+mTXOD/P+e1/2sq/9 - x18tIpMmDH08sVndl0NFnEiKqRF9yIMf9Iof/hGvmmNsQ6h553rxVt9m8DkAAAAAAAAAAAAAAAAA - AAAAAAAAAMDtkqo2TVMDtkXEOaeqNck7pSQiXdfVB4eI3Pbe18DNvu9FpOaC55yPqvKlFO99HWBB - VVW1hnuqao3lrtmdqtr3fd2oGkY+nU6bpjnCmhDdDQDAEahD/IznbOdcvTQ5qJRSTf7OOa+urtb1 - HPfGAQAAAAAAAAAAAAAAAAAAAAAA4MCcaEk5OH3oQx9697veTUVM7BBdXo+0SssPt/4XQiviihUR - 6Yfhbz/32d/53Q8dYUfWg6q9bUXkj//4jz/+p/+liKjfr39NKXUpKcWcyA03PCOEcIldctS2bgBw - hHYeWEz3vInIdDqdbW5et7qWhviLb/z5aRtyTFojq21xq2sWp6d/fIDWeyfyVf/onu98+zs219en - Xdd4b7mIFJVS51nePwAAAAAAAAAAAAAAAAAAAAAAAAAAANegnHMppQZlylI+t251Q5W+70MINR77 - ECuv66mJmUc+EoJzrkZx930/FmRmNWs8hJBzHp+qOd8i0rbtbDaLMTZNIyI1Vvxya3L5qwAAAONo - RPW0XU/z40XJpaujAjnn6iWOmR3J+R4AAAAAAAAAAAAAAAAAAAAAAABXk5p0oRGRGOPKpHv+C55r - Ik7d2C326turQ2nt2uqdN9Ei0nj/xje+Ub07toou0rvf9rZ3FJHpZHopO01VTOTud7vLNz7s4SJS - YiKQG8Bpcevnb7nDnb7w3K23Oufufve7//iP/3ip+d/HXbErJOd8x+uuf+fb33FmdXVlMplvbjrR - NMRt22u6nFoOAAAAAAAAAAAAAAAAAAAAAAAAAABwDTEz733N1VbVvu/rAxFJKXnva7h1SmkM4T4Q - Va1x3ePiMcbDpYDvKufsvQ8hdF1Xp9Sg7lpujLHWoW5F13U1D3QYhlqHGGPbtuOyl4PobgAAjkDT - NGaWUnLO1RO2HkoIoV7ThBBExMyO5HwPAAAAAAAAAAAAAAAAAAAAAACAq2yI8za44LyqPuYxj7n+ - 7JpoOXiP1ytP1TlnZiYmIkPO//v/+fE/+7M/u0qFm2zL2FZ1Ku7zn7/1Ax/4QOOb2Xwmpjv6w7px - ils8oyJPe9rTzpw5I8VuM+17uVy3ow4AcLRM97yJyPXXX7/+2c+2bduFxok86QlPfMRDv8E7FbmQ - 3q0iOw+FJ4Ybb7qo6njbadK0N95445ff9a45ppJSG5rp6lRKcibuwtF4sXcAAAAAAAAAAAAAAAAA - AAAAAAAAAACuPao6n89rMreIdF2nqvW+aZqccw3elqUQ7gMxsxqb3bbtfD4vpTRNc5v99C9dTeAe - hkFEalB313UxxlJKKWWsf926vu9FpNZnjBKvy16+k9o/FwCAUyXGqKrOuZRS27YiUkpx7sDnWTOr - Z/p6WeO9P3QKOE6go3/nAQAAAAAAAAAAAAAAAAAAAACAk6r2dxWRHNMXf+EXfetjHytFxHbPMb0K - ishe3WRLKdlK13Z1hqYJv/Tmt4roVUtNHZOzTSXlrMG/69dumqVhyNF5L7IU0F1nExm7DpciKuKK - TEPzzKc+vZ/NnMmk6y699EKfDwDH6vwtt6ydPevVzWaznHMbmje84Q0rk2k98C0foo5stIMrzy4+ - Vo9J3l7kVa985YMf9E/VZNK0bdvGGG/53Oema6tFtw7IZHYDAAAAAAAAAAAAAAAAAAAAAAAAAABM - JpMQgve+ply3bdv3vZnVcQxSSs65+uwhchJDCDHGEMJsNnPO1eTNI8xbNLNhGNq2HTPC5/N50zS1 - oBrsHWNMKYlInVgTvlXVzLz3R5UjTnQ3AABHoJ7OnXMhhHHK4c7Wdal64h//i9uHY04Ox9ERkZRS - /XjWbyM4dbYdXetRl0PuqVO//1fDMNQHfCpPnfqSbY1KmTOv4Ok1vnbjz3PLH1KcFqWUbS8cr+Np - ZGb1wma8ZOV13FX9/b3eAwAAAAAAAAAAAAAAAFdCztl7n1IKznmTlzz/xV4kiHrZ6q4aQhCnF+JM - rzC7KEi1XLhZrmmw86E3ERPtY373b7zv7//hc+pDLiaibduWlJ2aqokU0/G2tXI9eCPQbCbOqWpK - SVXb0MTYm7PsLGr55V9/VxIxJ6ZFpHgnKkXHOmsRLSJOVJxoEHUij3vMY7/0C79opems5Jxvo2mQ - 6fYbAByVnUcVtT1vUvsn5mxW2ibEfgjOfcHZ6173k6/RxVgAwauJ+BBMip7A4QG2jslFpFw40dTa - q4TWe69OxIt0It/5/Bc871k3pGHwKsVyzlm9m0xX+yGZOBO32HUXjtDHum0AAAAAAAAAAAAAAAAA - AAAAAAAAAADHYAwDKqXU9OthGGpW5pi2NgbN7J/6pItA7uUHKaW2bWOMqlqTs+VIo7tVtW3b5XVO - JpNaVedcrbCqxhjHmJWu6+oMqnqEKYEnsG8uAAAAcHKpqve+aZqVlZX6bWG8xylSv4/1fS8iMcam - aY77nYXDqF/Xh2EopbRta2Z93+vRfXXH1VFfMu99KcV7r6rnz58/7krhwOqImfUXK1UdX9DjrhcO - JufsnKtH15zzMAwi4r03nCr18+icq4nU8/m8fiqP+e11ksznc1mMiSwiIQQ7ip/aAQAAAAAAAAAA - AAAAgG1MJYSwPttcmUxFJA3xXl95z4c95BuCuNrgtQ1Nzlm2OpTKyUkm3QpKNX3nr7zLN8Gcimpt - qVt7wNZQ1aJSdGv+Q+R2y1ITNe+9M5nNZrVpt2+b3/7Q73zyU58ylVKkZFPnctyK4lZZijlXEXMm - 1jatF/nuF79kmM03NzaC81IOVieiuwEcI3M6j0Md4GB1Ms0x3eHs2cc99lsf983foiKtdzmbiKSU - RGVxGjnZVETEeydOUsw529rqihN51Dd+06t++H/zZfsYBxdiuneLPAcAAAAAAAAAAAAAAAAAAAAA - AAAAALjW1CiNUkopZTqdppSapqlZJAeli3DuGsCnqmbWdV3NplHVnHNKqcZ5XGljxFgpxTlXw8jr - xvZ9X9Pl6pSc85HkkYXLXwUAAABw7ajjgjVNU8cdqxfr3vvDfRvBcalfqOpXwVJKfSnHFxSnRQhh - GIaaMjubzabTadd1YwwnTothGEIIdYix+t8zZ86klOpvIjgt6utVf0erw2jWYyzH1dOlHj/rB9B7 - X/9bT5HHXTUcQM65HlfrB3MymXByXJZSmkwmpZS6T+rO4U0OAAAAAAAAAAAAAACAKyTnPJ1O+753 - bfBeusY/59k3fPj3P6LialK3mYmKuttc0/G4+eabX/L8F569bk2KmZnzrpjlnF04miZJZsXMxMQ5 - p95bHJqmm8XBN+6mm26KyZwXK2ImjQ9DHnZbRxERL9o4f49/dO/73Oc+qZ+vrKxo7YR8cuLQAWBf - zjnvfc55Npupd6XkjY2NlZWVn3rta//gD/7g72/5vKqE0KSUXPD5VHRiMhGVnEp97FQ2Njbv91X3 - fv3rX++9l0IgNwAAAAAAAAAAAAAAAAAAAAAAAAAAwH6cczHGpmnats05i0iM8XA5ayGEGKMsgsDr - xL7vzazv+67raoRHCOHq5NSYWc0NqaEhNYasFl0f10rWhLIxzPvQyMECAAAADsB73zTNfD6v3w2c - czXG+7jrhYOpeZYioqr129c4BadIztk5N5lM5vN50zT1d4GmaYZh11H5cHJNp9ONjQ1ZBAbX3zuI - fD5d6oF0jMKtv2SVUmqAN06L+pI1TbM8ZQxix2nRdV396VxENjY2ptMpudTLxh/6c871UrDv+7Zt - 2UsAAAAAAAAAAAAAAAC4EmLJq93qxuatbXDTtvv8rbc+/OEPv8eX3/2/fvKTTiSmKCJOpZiIiTqx - fNw1FpGxHaDIp//6M//xd377yU/8jn4275qgJqUcZaPr2v5QbdGxtgmp5FLKp/7yLz/84Q97JzGL - 85qz1Y7EriZ1y1Yi7IUKi232my996UtFymQyEZH5fH75/W8B4KpJJbvgQ9sMw9CG7szq6sZsPt/c - vNMX3PFnXv/6Zz73OcXEcjGz09TS3kSciEltpPmFd7zjW9785jvf+c5l6EVOz1YAAAAAAAAAAAAA - AAAAAAAAAAAAAAAch5xzDR8xs5qzdrjc7rZta6RXfRBCqCuvMd5d1409WGtBR70d29VQlVqQ9z7G - WAcH2NzcrNVQVVWtcTlHMm4A0d0AAADAAeSc65hf0+m0Xqb3fV+/kxx31XAAKaWmaVJKpRQRcc6l - lNq2Jb37dKkv33w+d86Nn0Fyu0+j+iJ678eQ4FIKEaqni5nVM6OI1N/pxuRgnCLOOVsQEe89od2n - Ub3OqUfU1dXV467OiVNKqRd+9byTUuq6jvMOAAAAAAAAAAAAAAAArhDv/XxjY3V1dT70UeJ0OnWh - ffaznvVDr/iR4N2Qi3NOpEgRUTlRYaxmpqIicuONNz7+cY+tLTxzTGYaQlski4izy21j5pxTVStF - RIYUnXPDMLTTybvf8971eWyn3TDrczYR2bPFvomKeJEvvuOdHvO/frOZmViMQ00BpxUcgNMihDCf - z9u27brOiqUhNs6vttPZ0D/6kd/0uG95zAd/+z+t972IlJybNsT+5PZ/qS0yt85pZWuiV3njG994 - t7vdLec89P20aXXvs57p7o8BAAAAAAAAAAAAAAAAAAAAAAAAAACuNTVNo96PGd4HWkOMsa6hZnPU - mLYxJLvOczX75jdNMwxDDYwLIYQQZrPZZDJZWVkRkZq3UqO76wyXXyLR3QAAAMABOOdCCCmlmk7a - dV3f93aixkjDpanfr0RkbW1tNpuJSIyRl/J0aZpGVYdhqF+SRWTMfj7uquFg6kuWc66xweMPPcdd - LxzMbDZTVe+9iOScp9NpPbridGnbdjabee/NrO/7rutyzvVlxWlRf852zsUY60s5DEPXdcddr5PC - Ode2bX17q2oIIcZ4JD+1AwAAAAAAAAAAAAAAADt571NKZtb4MO/7tbPXbWzOv+Px3/7an/qpWzbW - nYiKpkWsqZywtpMmVkQ+9p//8M/+/P/5n+7zVTmVC51vL67qPvGr+3PO5ZylWGgbjaWIhbY9t7H+ - 7ne/W0Rms945KWVrzprwvasi8vSnP73tgg1pyEPXdTUE95DVAoCrzjfB4lDEgrphGMzM+xCHoXHe - cnrDv/7Z+z3wAX0cNPiUcxxObm73NpNJOwyDFSkmH/nIRx7xDQ8Tka7rpJywcx4AAAAAAAAAAAAA - AAAAAAAAAAAAAMAJ472vYUA5567rahfUg+Z2i4iZ1dy9lJKqNk1Tw7zNrJRSSzGzmpM9hnlfUbUU - 7/36+vra2tp0OpVFfFW9jzGO2375uTlEkgAAAAAHUEoZhkFE2raNMfZ9P36LwClSv1CJSNu26+vr - deIYGIzTYvzo1VezfkMuew/JhxOrfiRVtZSiqvXnD17K06VGBY8/z9Xc7vqz2nFXDQfgnBuGoW3b - +jrWn03r/XFXDQdQr2fqD9z1Abndy+rP/cux9E3THHelAAAAAAAAAAAAAAAAcLuVk3XtdGO2ubKy - 4mKaz+c+6Bd90Z2e+IQnvO2X35lFctnqFuu8lnziGt2paBb7lRvf9RM/9mpxPjhfcjIzEXdhnsuo - dW3p5Jzb6utbsgvhP3zwP376r/+bqojVBlFWS9mrHCcyDc1Tn/wUr861bU5qZn3fe+9pxwjgVDCV - +TD4pqmtHJumCc6LaN/3LogzWVuZvOXNb3rC056eh2Qi4mTPY+KJUfvnzOdD24aaNf6Wt7zlcd/y - mAfe/wGT4PffgHpmMb1wfznnGgAAAAAAAAAAAAAAAAAAAAAAAAAAgNNIVZ1zqqqq8/nce3+4RCdV - rT1YazhUjLGmgNds7Pl8PplMSik1vCPGeKVTPGpl+r7vum5tbU0WmUchBFnEkIUQYoze+8vP7Zbl - 8REAAAAA3Kb6PUREzKwO4xVjJO/51BkTSYdhGL/m1RHfcIrUb8Xjd+Occ/1SfVzvKxyOc65+JMfs - 5/ozzXHXCwczJgTXc2LN7a6vKU6RUkrNMxYRVa0P6j1OkfGT2HVd/Znbe69YcM6trKx470MIdc8Q - Tg8AAAAAAAAAAAAAAIArp5SSUvLe55y7rpNcgvOx75/3nOe2PqiIEwleRaSUk5hKWsRE3I2/+qsb - G7Ox+e7RCiE452KMphJzns/nN998szpJJqHxOVttjlis6FYO7FLcq21NevSjHnW3u92l7/u+70MI - Y0O4I68tAFwhZhbappRiZmoyn89TPzTOl5TbpnGiD3voNzz3mTfU9tmnKMc6BDcMKQSnItnsRS9+ - 8Ww2o+kmAAAAAAAAAAAAAAAAAAAAAAAAAADApahDFtTHOefDdfk3s67rYow14avmQ9XpKaXJZCIi - 3vua530V8r9CCGbWtq0thQCGEGr+kS4iAmu8d53nMhGhBAAAABxAHQRHRGKM48QjuTTH1Ve/Uo4v - JVHBp86YKbv8GVz+bOJUGD96YzwwL+IpNaZ31/+aGZHPp1Hf9/WBmdVXk+uc06h+HrnI2VXN6h7f - 2CGE5d/9Z7NZfTAMgywuFwEAAAAAAAAAAAAAAIBDc8EXMedcKSWX5LymFL1397znPR7y4Ac5ERXJ - 2ZwTEZUTFjVtIkXEVAYrN/36r/km9H1fY8iPsJScs3POzLwLReSTn/6rD//eR1MREYkxi15oAWVi - 9ZGqOufEpOuaug9f+PznDfP5datrKhZj9N7TbgrA6eKcq4evekhsffDqRCSoc6JaTEr5sVe/6m53 - uXOjootBB+pQCM6duOECxgrVFpspldpw89Of+as3vPHni5jzvohlK74JMUYz65rWy8k6DwIAAAAA - AAAAAAAAAAAAAAAAAAAAAFwdYzpGKWU5wboaIzZus7O/LohICGGcXjM4ljul1tmW52nbVq/WoAfL - 9RxDQ2r16sR633XdkVTpxPXFBQAAAAAAAADgShj/olBHQ65/flDVUoqZTafTnLOZtW2bc17+IwEA - AAAAAAAAAAAAAABwJJyImnjVZz3rWSKiIl6kFJFiV60X66Vruy5aceJ++Z3vWj+/6dsmFxs7vh6J - EIKZ+SbM47C2tvbWt761TjeRvSJczayU4pwMfZy0/t73vMf973//aTfZWD9fSmnb9gSm2ALAbVLb - ZUrTNOfPnxcRVe2a9mdf/zNNcM5EzJqmmc/nIYQ6/sLRHpwvx/IhOGcTkbYNpuKcFpF//fNv+L3f - //0sZirtpOv7fmVt1Xs/9H0d9AEAAAAAAAAAAAAAAAAAAAAAAAAAAOCaknOufeRLKc4555yqeu8P - up7aeb9pmhrMkVIaw7nNLISgqmZW879vMwX89oQBCAAAAAAAAAAA1wpVrbnd9S8NbduGELz3deDj - +qeC+uCYKwoAAAAAAAAAAAAAAIDTz1Rs0Q5lOZM15/SoR37Tvb7i7iGoiYTgRMRKOY467qfvo4jz - TfjkZz710Y/9gXPOez+b92q7RMweQhFT71LZ6kj895/97Pt/8zdEddcd4UR0keatqk5VRIYhP/fZ - zzl7Zq2GfbehEZGcs6mIowkQgNNBTdyOPv+mZmrz+WxlZRq8m7RtSfEbvv7rX/y854tI0/gYoywN - i1BbP55Azkk/JDOJxUzEOffyf/l9f/N3f9tOJkNKprK5uSlOk5XJ6spxVxYAAAAAAAAAAAAAAAAA - AAAAAAAAAOBqq3Hdsug32vd913Xl4OMPpJSaphmGQVXX1tZExMzqROdcSqnv+zGqo5Z4jbiGNhUA - AAAAAAAAcC1rmsbM6t8bcs71zwYpJREZ/zxQ/0LgnDuxo1gCAAAAAAAAAAAAAADglKqJ104kx7TS - TZ7xjGfEZCKiIm3bHnftdlARldA08zgUkTe96U1DzENOR1vV2pgn5hza5gMf+MAt58/l2m5nKXdb - F11hl/oAW84WVL7g7JnHP/7x6+vrlnPbts65YRhqb+FDdEUGgONSTxD1tqzrurZtNzY2cozB+RLT - 97385fe/z1flmL33ta2jqopIbQx57HTHlFLEezWR0Pgi0k0nn/jMX//cL7xxfXNDnLoQQtuYmXo3 - DMMx1BgAAAAAAAAAAAAAAAAAAAAAAAAAAOBY1YDt2WzWNI2IrKys9H1fO5AeVF3Ke7++vq6q0+lU - VXPOpRQzG7vhH3r9pxTR3QAAAAAAAACAa0KMsQ4cHEIQkZRS/VPBdDotpahq/TtBKaX+95irCwAA - AAAAAAAAAAAAgNsRlSKLm1dNQ3zKk558/dpKF0JKZRgGdSexv2eyYuJM5A//8x/9xV/8RYyx5meL - 7BIxe1DOuVKKd0FE57Phxpt+TdSbyF5rTTmJiHciJk7Ee/22b/u2689e14amNv7JOZeUvfchhBoK - DgCnxfJBtejWbchpc3PzuuuuCyGUlCyXO6xd99OveW0TfD3uqapzrrZ4PKHtHlXUO1GJKauTWzc2 - uy780tve/pGP/t5mP3fBF5EhxclkMqR43HUFAAAAAAAAAAAAAAAAAAAAAAAAAAC42pxzIYTpdJpz - bpqmlFLvD7qepmmGYRCRlJKIhBBms5mZlVK6rhORnHON6qj/vXacxKEcAAAAAAAAAAC4EsxMRHLO - a2trZlb/VDCbzSaTiYjUYYWdc845hu4FAAAAAAAAAAAAAADA0aqRqmpbzVTudKc7PfnJTx5Sqpnd - JzRyNeda2yLytre97ezZs33fH9W6ay/f2lzn4x//+Mf/pecXFQAAIABJREFU5OPZsuie/V6diIrm - vNXHOCV7znOenVLy3lsulov3vmkaMyspuxMZhQ4AuxpDu7dp27aUsrGxkYY4mUzOrK7Gvv+nX/M1 - L3vZy+qgCbW5o5mFEGoLyWO07TRmdVLQlHI9gvsmqJfNPonIy7/v+4ZhWJ9tFjH1ftbPV1ZWjqPW - AAAAAAAAAAAAAAAAAAAAAAAAAAAAx6nmbYtICCGlFEI4XFhGjFFV6/gA3vsYY9u2Nat7Pp+XUlTV - zOrK5/P50W3BScfQAwAAAAAAAACAa0IIof55wMzW19dFRFVDCKpa/zAwnU7rCMh5MeIwAAAAAAAA - AAAAAAAAcIRqrKmZmdl8c/M5z3r2pG23srFLOd667eS6VsQVMxExsfe85z2f+cxnatfcI1F79pZS - cs433XRT3X7nnIjbtferU2diZuKcqMjXP+RB9/mqe7c+pGFulp1zjfPOuVJKzsUp7X8AnCbu4txt - UzGVzflsurrSNI33Psd0yz98vmvakvJLv+u7H/SgB3VdN468cAJPIluyiRMx8V0TY7Ii7cSrk7/6 - m7/7gX/1r86ePTufz733zrlraogHAAAAAAAAAAAAAAAAAAAAAAAAAACAqm1bWeormlIqpUwmk0Os - qvbfd87VLqjDMKSUzCzG6Jxzzo3Z3odb/ylFdDcAAAAAAAAA4JqQUlLVGGPN5w4hmFlKSUTqlNls - VucktxsAAAAAAAAAAAAAAABXjuXinGua5h73uMfXPfh/zjGLiJrd5oJXWekHkeKcKyImspGH9/3G - +5MVExURta1bZXrh8SXy3pu6WPLmfPbe33i/ijhxKae95k9Wtkoo4kRe9PwXxD6mITZN17ZtKWWz - n+ecawRsWcTZAsCpUHSXiU3TDMPgnBuGQVXPnj0735y1Ply3uvYTP/oqV7KKqIj3vpRyzE0fTeoh - uohclCLuVYqISu6jiDRt6Oc5FRGRd7//N9/9nvd0K1NxGmOcTCa2Yycsn2gAAAAAAAAAAAAAAAAA - AAAAAAAAAABur7z3IYTaXdQ5N5/PD7qGGrohIjW9O4QgImZmZk3T1DDvUkrOOV9jnfHDcVcAAABc - JIRQM8O8b3LOqmpmomX3ufXisSiWB2kan9p15CZVEdlauZmY23P929Z5RceBqnU+eBH1Us+WFtw5 - ZfmpOl2X9l59XMou+3mcf69y9yroUsq9lAUPYdum7bpd+y5usm/d9OI33s49Xyfu8yrssdq64IEq - u31xVambu1zH21zn/h+X21p269O0137e5y20bSWLOtzGnt+2w6/Qu+jk2m0cItx+HPTtfND3wzX2 - cbnWcHjADntc4uL24GDXt1ceb7bjZAd/PyxfQtcv4ONE733O2TlXr7TH7zUppfpHhZSSc845XnQA - AAAAAAAAAAAAAABckpo2usgcXTQ7UfFeUylFbD7E733pS3/vox8tydSJ5QuzLdpGbm8hc/WaxNpW - 6blEEUlOXJGf+8U3Pff5LwzqnRUpRVVFrIj4xqWURNy2gNWdIazLT6ViPrSx5Lf/yrv6HNOFFkFl - UYELijgTES1q6sS+7Eu+9NEPf4QX9SFYLllEnDrna48I2dHnBgBOsr2OlrUpYyklhGBmMcbQNrmk - vNl/7f3u/0P/4uU/+pM/aSIp56ZpYopbJxG9cBStK77i5w7b9u/S9GTLT8Q+iYg4yUVU5Ede+cqH - Pezh024yaZqYU8kxhOA1xBjr0BIp5aZrc05XegsAAAAAAAAAAAAAAAAAAAAAAAAAAACuqJxz7T4Z - YwwhjMl3NSMjhFBKKaXU6YfIpzOzmoO5LVOv5m6MoeBHuUmnxLW4zQAAnGRjbFhlZvVKZXdbwduL - m4ioXghArjdVUVXnnPcXBRSbWSmXlGk83naduPO2Y3HnvTqnzm179sLE5TpfWPSC/aPRaqDazine - +7rgzlzkMQK5qteae618/3K3zTDWdme52wodF9Q97FXuTrXQ5R21vGnLsy3v0v23a9dSdm5C3a5t - da6L77qefbbr4tf/Aue233a+0cbFx83d9snY365zLhc3Frpbudv38067vr7Lb5Jt+3Pveu7yVr/t - zQMAALhdOWm53bhdqX+oqNfkk8mkPjh//nwIIcaYcw4hXJt/SAAAAAAAAAAAAAAAAMARUpMxkfTM - 2toDH/jAu931LisTb/m4a7aDUxERNROVIpJEPnfuln//wf+QxUT9cnubsTuMO0gj95RKUclWbvq1 - X0siJlJE2q4RuRDyumhhv2i3Y642/3/RC17YNa2lXNIu7crURGluD+B2Sk2mXetS+t7vfumDvvqr - VWTShBjjVsenA3RKuyrs4puIiJQioWlV5a/+5u9e+j0vW7vuzOZ8pt5PVqbjkBDz+dw5t7Ky0s/m - x7oBAAAAAAAAAAAAAAAAAAAAAAAAAAAAR2DM7W6aRlVrh8oxyS6lVEoZEzEOl09XO/7XgkopZjYM - w5FtwKkVjrsCAADggkXEto8xqpqqmWkpRfa4+PH+QlLyVvCwmYiMOWJmYsVEpD7pvdpSQPKFgOA9 - hiMyuyj1+cJF2KVfjJmISMkXho+ql3f1vpS0PH1MLK4pyMuXfPtf/m1LjB6n5Bx3nXOMPx4rs5j/ - YMNcjYuPSdWyR/50LaKUvG1KtS2v/RDGQseilzdt3K5tu3SviOi99vZeed77X53vLGXvcndfz16h - 2ON6dr4B9qrqocu9eBa3/DaWCy/9Xp+jXSeP03V5RJzF/ty+acszbKv5CUvvvvJRmidqc3HseD8A - V8xBP14HHd7tqnx8CXjGVcOb7fbAOVdKWf4C1fe99z6ldObMmb7vu66r04dhaNv2+GoKAAAAAAAA - AAAAAACA2wPnnJTiREspq9OVF7/ghf/i+3/QiSz3arAT0zZJ9ULD+H6IN95447d982NyzCGEUkrO - uaiIM++9ZSmLNoV2KY0LVVNKH/vYx/6/T36iDWFISUT6PoqI6FZzw1pyqXvDqZo4k+tXr3vSdzwh - 5+ycU1oVA7j25JiyFVP3C7/wC4945KM+e+7WxodUsulJOXfsrwnNEAcn0jj3b//9B9/3vvd96zd/ - c855c33Wtq2arK6tmVnf96WUEPxx1xcAAAAAAAAAAAAAAAAAAAAAAAAAAOBopJSaphGREELO2Xsf - QnDODcPgva9Taur2Qde8srKyubnZNE2MUUTMLOdMvoaIuNueBQAAXC31GmUYBjNLKdWM3jGfe6ec - rd5KuZDGrSqlbN2WJzony3PKIu/ZrEZZ72Jb3fYIPr6gRmAvRwvvnFLXXEqp+dz1WVUZJ5ZSnHPO - XVh2vN9nv22rcP1vXY9zblx219nqQFE5520l7tyKbffj4ttWq6q7lVuWt2Isd5/c7r3K3X/PLMWT - bxWx1/68lNd9v/2+2JDlGPJFtdUtAuT3KmWvcnWHbdO3vXzL75xdN2Hn/Ns455xzO0vcpz67lrv/ - jtq5qr2e3b/OZckllnt18f0CAAAAp1IppW1bM/Pei0j9Q4WIOOfMrOs6M6tf3/i7AgAAAAAAAAAA - AAAAAC5fDb02s9QP6+fPP+VJTz67Ogknsjm2LvUsqB0FPvaHf/h//fmfp5J92ww5Fa3dKFwp5ZLi - upd479tJ9+a3vEVE+pSySNOGrVJ3Vaz2Vnjc4x77BXe8fhiGtgm32fEBAG5/Sild06rq3e5ylx/4 - gR9wIiUnMZOT1tNoDzHFrQelOOe+559/72f/4fPzoV9ZW6vtOWebm23bhhDqMBPHW1sAAAAAAAAA - AAAAAAAAAAAAAAAAAICjMp1O64PaiVJVU0rDMDRNk3MWkZyzmY2pGZduc3NTVWsgo4jsk5B4rTmR - YzkAAHCtqlc5dSwJ730ppW1DSknrUEc7bt6J04umiImKOBWn4t3WDGIiJla2po/PjssuT99rnuU5 - 96qPitVY46X6mJio2Fif5duiwlbXPK6/lFJqoLiIiKkTVRMVVVG3573IYmymxX1dTyllEQp90f3O - NdQSx/txzm3Tx/tta3NenNtazx7lbm3F/jW5zXJ31nN5DyzXfHk/XLxdZrLHnrx4xKqdydD7x0vL - Uqx1zcNeXmqxrNvnZqbbbtueGv/rfeN941xQ9ePE5cfjlHrbq8RFzv1FK9+nSmPU9657Zq/ocdmR - w71t8eX95heWF9/2eK8I8BNgv9eX28FvwO3Jlf28GLfbuMmBbgd1pdfPwRPAleacG4bBOZdz7rou - xigipZQQQv2SXlO96x8qAAAAAAAAAAAAAAAAgAOxre4WF5RSgvNSSuPDmZXVrm2fc8OzrCwSq7WI - lou7i2y3x+QrwsxURIqYqXd+Hoe3vuPtoWuzFVVtmqa2sa8dd+vGXmKG92zoP/XpT3/0ox+tbeOd - k2FIIYStp3dspIqUnBvnnnXDDSmlEMJy+/xLLxcATrtJ24lI453l/MynP+0bH/rPGrdoR31xc+3D - tt++shYNy9U7TaWcO7/x0u952dp1Z0spMcbJZBJjjDF670MIpZTjri8AAAAAAAAAAAAAAAAAAAAA - AAAAAMDlqoEX8/m8hnO3bTsm4k2n09phv3a3b9u2pmYcSNM0yzF5NW5jZ17eNSjc9iwAAOBqWb7K - yTm3bfvoRz86peHcrZ8XMRHddm8mpeSUcs4p51JKrtNVnVkpxXJO47NmRdUtL2tWci6llPl8vmt9 - Njc3S5HxkunQ105bEdy7LV6zos0umsc7KeOYIEvPiiymXnzvnJhtZVcvp2XvOkVVtgbr0MWzi/WM - 6de1SuMzzu+5aaVcWI+NY4DoLve2XOeLn12uwz5rGO9DI2Y1bXo5sXvPrXa+RqhvPXvhBZXd9qds - pXfv9XrVWVUveh/KVph0HY/LVJ2q5XxhVJSLr7y39qtIWb5X9SLFTLdNr/dmF83pXP0KsX1Os7zX - lLr+nWse51E11TpFx6WWy3UuLLbrNmp4Kfd71aeUS1m/r3t75/Zyf3n3Jw1DCwHA4TgOoQAOp47/ - 2/d927b1bxX1jxP1246I1L8r5JwvjA4MAAAAAAAAAAAAAAAAHFxtguJEnYhzfr658dxnPfsNv/hL - x12v7WpXgFLGjgamweeS3/+bv/Gjr3jl2qRzTrOV2vHD+717X+ymiKysrb79p985i0MRabom5Syl - pJT2iSV3Iv/4/g+4733vqzk1zg/DUAO8L2czAeDUyTnPZrPp6oqqrk4mr33tax/xTY/63PlzZbnL - 2Ammqt77nFIqurYy3dycffB3PvQrN77rmU996qzvQwjT6XQYBs3ZOZdzVn8Ce/0AAAAAAAAAAAAA - AAAAAAAAAAAAAAAcgHNORGpit4jEGGvGtojMZjMRWVtb29jYkO2pf5cqxljDNcbFc84HHQfgdol8 - EQAATpCt6GNVEXFO7nWvr3zVq15x9uzZkge3d9zsGHWsavXeTOvj+uwY7utc2BZXbKallL0ix7z3 - pZRSSr2EUt176CMRETl37pwsXa6N2yIipZS8CBKvK7TFqEw1Cy3GmFKqqcWqOhY6LlsX36dc2XGl - aGallJTScrl1u+pq08JycWOdbWF9fX3XcvNC2QoDl7qeMcutblfNe5NFxlut1fLeKKUsFzrenz9/ - ftdy+77fVm5dZ9M0yysft8s5V6ePlUwp5Vxy3nX1W1HodnF691ac+W5x32M6+biMWTGR4HW8/K7b - Vy7K0Czb7sdidz6rFyLCi4iIZbGlci9+tk5R2Yq5NxGzxVIiItvvt6LirYiJ2dZ7TBflbi0rRUSs - pK017/bsXvfeqYlYWaq/FNVFKv2OWqmYLuq/eNW27wG1UrdNb6v029+9XfFSAOD26hobquw2rlsB - 3I6YHPAQt/tVXylldXW1/hGiaZphGOr0+n2qfrEqpfR9H0IgtxsAAAAAAAAAAAAAAACXyaurXQly - znE+X52urP6Pd3nkNz78337od0V3a+JS28Vd9ShWq90BtroOmIjEGIPz52eb73n/+2542lOdupyz - qmqW0LQ5Z1s04dPlLgkmImI7WvedO3/+ve9/n4kUkaFPpZbknZXtO8FEVMR7bcTd8Mxnqm3tQ+ec - 2kU7ZmcpAHD7o6qq2k6nw/lz883Zl9/1bq/5iZ948Xd/lywaSl44MB7TGWR/Jpat1JPD+mzWNSHG - 9KM/9uqHfN2Dv/IrvuL8rbdOu05VQwgppf+fvXuPt2Wr6gM/xpizqtbe+1wuSFTUYGL7af0IGtOa - RmNs0KCN3fYDtQUV5f0QiaY10TQiptM+UESkO0YQrgKXgNo+UFHxAcHYinY+ovIR0bSimA62GgTv - uWfvtarmnGP0H2PV3LXXY5+z9z3n7Mf5fT/3s+46tWrNR73W2quq5s8Mh3YAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAC48DynUkT6vu+67sqVKz4xhOBZhx6Y2LbtMAx+l+VJyxcRTzD0zMQQQs4Z - ERt3ev8BAADOIf+io0rDMNx9991FU1i+sjloVoSIZIx8LjWBegwD9tRtI2JV/wrlQdGFmYksBF5J - vF5pSc3t9njpbTMT0d133+1PVubxr3pWY5yJRMRHmBKRGoTmUd8ikschlmqlnlS97avbSu51VSOr - fbpX6l8K17vjjVwprSa0baw3xugNmzZ1aqW/tV5X47Sn5U+ju7dlpTdN4/XWJebTfdyubf2qjfRY - 8VJK0zQby7927VqNGPcqvExfZTUKfaVYIvKo8ppWvtISVR0D2jdvQgcHB16jHt0AvDGlFC/cN8tp - x2tQ+rRh09h4L3Y+n2+s18v0pPP6xhp57vUOw1BX9HRlraSkb+tXXZjTvWk9370u51p4jbRfWZVE - NL5atkWwX1q3eqydczYUEcDlondcejQA3FImxHouHuFSYOb9/f3pt24/OeHfxv3MhKd3p5RCCMcW - BgAAAAAAAAAAAAAAAAAAAABwHSGEkouRxRClYb/K/3nP/aqfe+u/OW9XiIcgOS/vfTi8+4PoX73i - 5U9+8pNJWITYqKRMk9zuG8M///M//74//wsRCsy5GBER03pud2XF7rpr74lPfCJrEWMy65p2Pp+H - BrfKAsAdZ29v7+oHP9h0bQyxmH7h47/gzW9+80/+3M/6Vft6vm+RCTGW8VY7U12kHJn+4q8++I3f - 9IIfvOcHdq9cIdWUUkOWc+66Lut5+3gEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOJma1dh1 - nWfVeT63B/P5c2ZOKXno4SnK90y7GKOqemIjcrsJ0d0AAADnhhCR2ZHRhULkXAYRJtMxq3uDaV7w - NFb46ERbf3V7BvcRW3Kxr9OS9enM0waomYeO6ySnmYhMVWs0r9X3CkWRbQth0tUj09XU38t1AlHR - wjJp7KQufz4tjdmnba43l4GIJBw2dmUGPiy8mPmcyz7Vfvmrk/dM37h5gabcT/pl9e3H94smy1MC - SQjb+nXlrt2N028aQ27r7bC/v78tCn0So67TwHK3MZK8Bor721V1WxR6DTuvWfL+Fk833BiF7o9e - 7DSCfRpFf+oI9mlQem2YmYUQvFifoZQyDENKaVu0/Hw+r8uzFutvrMtzmhxfG+Dp7Dlnf8njHuts - qjoMQ0pl2+ByMVIpN3S45vGIQJPjrdnqe5lvqLT1ere90evy9td6t4+Vt6G1UxLYyLQQMZERMTER - C/kUYTIi0+WrEsjGw6cIjRvb8RX7WH6H5ddajFanTOtlITI2MtPDucjGQzHTtM3n89HbSbba02Me - WZbP15fYtMyNy3M6ZVnj0nU2Dt7+tWOapXpLTQ+JUyvHpWljNja7Dk95g+XTpl6vvOtGlsC2ek9n - vWs3Xv4xPV2fc+MirVPqwfwE/brh7xvTurYt55XlcMzWeHwJKz0iIr3uEXOc/6Tr1Ku4wfJvotrU - Y3bn9ZlPSsS/+LJ/utLkkYmJhIiNmNZerfMc8yoZMYX1kslIOBoVIll5NYRg5tOLGRMpkZzikXlZ - jj/36WbFn6+XLBJ9en3XyntrCdetd9v8zObPH2C/6vObUs7KUjKy+vmycqAWEf/CdiPblW+N/phS - IqLpG+vX13o48orqaYacs4j4xgkAAAAAAAAAAAAAAAAAAAAAcF1+JXOg5YVebBQl/IO///c/6RGP - +J13v7tpmpQSMcWmySkdXsvrV2bdjgsqD3lud72Kj4myqRD9v3/2vl98yy99zmc9ZqfrIksekpkR - s1/xU7EREZVSmqZJJYcQjCnnPJvNSinff8+r2qYd0iBBmNXMPMyViIhZRPTo9T9C9LSnPpVNQwh5 - Mcy6tu/7pml0eWPFkZqJ6HwH1wIAnJ7fsRJjtKLMhYmspJe99Lt/4zfe/pcf+KAfE5cXVZKxiJXb - fV3x8fxQP/1wUSMmevMvveXHfuLHn/xlT8q5iEgIwT8xz7q9AAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAHCr1IHl/Z6pUornHMFJlVKYWUR8Ga4kR5xJe9ZX5SlSKjzviYg8h5jOtFO3QV1uNVrrrFsE - p+fBFimlpml8zdZ1yswhBI+Kq/tFHu++vG7JNZhjNpstFgsi8tJovAXV4zPMLMZY8zXuZIjuBgAA - OK/u9G8pcFZu/Tgs7FWcJq4Pjzf+eNeD9jw8cj0AciXi0adPH1eCJz2ykdn8Xf4YQrOpXvIfsNaj - DT03kYhqcLX/bVYH0JmGLE6zsX2rmWZmb9ys/G/Lmttd6/Wq/UeTWrXXXivy597spmmIiEyIdfrI - FIyKKfsji5EJiwVp6vQ6J4v5PB7wqYW8HAlEJmrZ38sUiLVkK5piaFdq9NDZlFIpWrtWO+VjD/lf - zt5y790YZbrsjs+wspCn5dx///0bl2dKyZPUa+ZrXZg2CXevDfM/sH011VYdkxfr9U4DMl0ppZiW - CX81xugBtB7BnlJa/rpnR1arz+DzbKz32rVrtkwNP/L7grd82qm6lW7s1zSzs+bTq+p8PhAtP8HH - eF4ionD0L2+zMd2cNz9KIPPMbNs6T31kXtbFspxS31Wn1HqJtpbj809rXM5vW9tzmAJOm6bTZKjD - Y0c+jDGqZl8mGwOPVzYVkSNHnpueTHzMz0/T6OhxrzLVlTzm5TGzTIY2Yz4MM99WvohM5lmWX5sz - rZdZiFR1dR5/dWWB+DzHZyd7vdN56gif9Zf0Sb01H3c5xdfF+nq48R+7zYzZ9zhd6W+dYWXKSt83 - 9WJl5M9pf49UcfzCWT9SjQ3eGvF+I9NXelSP0tXKj6HXXZgb+3W99X6CdbSNHyc3vrTSwW3566dL - 766fTr6WmQIRMUc1T4Y2NmEi5khEzEFVbbk9+Acl1+cbH5mZKU7mPCzfNzwiYWLm6PvGGPCs4/FO - RITZf5X2r0ChlkPk56g21Dudbstjrk2eL+dkHtuzXBBWp4sIkdd7eNg5pqdrdY29Y/Z2+vL0dUjk - P+IHVTW7Tpnbyz+ynFeWzPGP02VSS2aWEA6/26xsY6rq3xKJaDmW8WlNT+zVcw/333//XXfdlVIS - EQ/wBgAAAAAAAAAAAAAAAAAAAAA4KTYyPnz+rKc/4x/903+SU9rb29vf389+0csDvtzrpjPiPud7 - X/e6z//8z7eUPnj1rz/kQQ8ectrW0K7rhmEgphDCvF90Xbe/v/9H733vO9/5ziFnCcFHAajzs4iI - lJwlBM1FhNq2TYthFpsvfeITuqaZ7x+0IiGEQXuMvwAAd6DpXQ9EFJibEHdns+980Xc88znPYeZs - ZkZKJjHo5AB7Ptl4/XcI4Z/802/47Md81t133fXgBz1oMfS7V/b6+UIiDvUAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAl41ndeecPTDIk4xq5jScVL3lNoRQg3vPtkmeB8TMMcaUUtu2wzCcohDfKkTE - I5AXi8VsNrsVDT4PPHOh7hfOO36GrYJT2N/f39vbozEjZnpTvG/V5QHc/mljLptnZxBRKaXes+/x - GeuxZXcyBIoAAACcueP/OLFx6Ilb6tanNZ+E4C/fM8e3fpMwDzPG4616ZC3MSiTGRmbExmRUn7MQ - C5mQp1sSaSnEakU9NJrFeBlEzUTLRyIhITJeBvyOpR0+EllObBa5ppCSh76Wkj23MxAZGTMzmZCR - qanRJNJXPOG7FGK2mmZKJD6o0Ja/4srQe7akx8uSEZn5f0xGpswshwG0XEr2zFJmJlNhFuFGotm4 - 8fuT8TGEYEYU2IxJPPJciLSURKRMwqRjcKxaKVpMhJSV2diYTJmJ1cyUrRAZq3lDJUiUsDza88oj - NbOZx0hPY2LNzH8PmgbK1lfXU7rX56nv3fYDmf8WOQnoPRLBPs3F9OXpf2avV70tU7lGjE8n6tpf - 6bVM/xFtmv7u/2wmv5bWhqnqxn5Nm7ItOHbaqfWFOX3vykrxxdU0jU1yvj37fD2ntqatb/v54777 - 7qOj664ut5UQ8ema9TZMq15pvJl5GvrBwcHGeodh0NHKSzUJvkaq+3ZYF8U0Kr7+7HJ0afPVq1e9 - H9PgbSIdhjwmxWczJlLmQOT5u0okOQ/DkHMezJjZctbpPKq5FCsl+fT18u+/f3/jdDP2d+U8lHL9 - MS2bJhxZaeP6CeLdJLMazK1jru/hYlBdvke2/BhlhxG8xGP5RNREObJOjPxINZ1nUs+yPTTGb5vR - eHjcUu8Y0n50Q6cQ2Mi0ELFniZOpHmbGE5HHhDOR6XLKJtvqZTksn4yMjpR/mCDMxEQs9TkTmymp - H+cPM+O9hbZ819KGQ9DKil47Wq68ZNPijh5Ft/V3NUKbju6JK4eUcdXysUHbh0nb2yPDj0TFbwzJ - ri/xYdT05sZPg72PPyl13eN8XbCnO7e1rb/MR5q33IhtuvyV6gr16Wtr5JiuqW3eeI5sA16+ERGF - EMzKdJlPl8x6PSeNfo8xridTm1H9PlCX88qavcGfv+vn5koVR6cfLlIRqQfB+qF5TPvX+ziugpP9 - 0bHx+4PZ6mCS9eN7NpvN53OP6/ZTcUR0itMP/vnSMz1cAAAgAElEQVQ73XH6vvfTjXfddVff913X - +fRhGNq2PVHhAAAAAAAAAAAAAAAAAAAAAHBHsRu4oufxj3/8t3zHt7///R842N8XYq0Xy23gV/jc - 4ov/vfbJZTvLC0OFVe0X3/bW33v3uz/uY/72zmxXVb3BGy+V9MtvRGR5n3+MTde9+rWv7XP2Av0K - H6LlxdRWVNXIyHO7zahfDIHocz/nH37iIx6xf/+1vZ1ZHtKw6GMjy6voiWi8ThQA4NJTMhERZlW1 - oiYchUWaL3z849/0pjf9xM/8jF9PGUPIJYcYS8pn3eSjpheEjpeUK9FQShvCc5/3VW/6qZ/uFwvN - WYi3XoUPAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABdZjNEzmD3tpcagIGX2dDwKfbFYNE3jwb0e - 5XtWy9OjAbwxKSVmHobhFHkBNb2oaZqmaVR1Npt5Z29Nw89YjNFTkIjIdxDkIFxEqrq3t+dBWjFG - 3/g99iKE0LatmTVNM42gOqkaLhJjDCGo6sHBgUdAtW3rFZ3tQeBcuZzHCwAAgMvCMGoQnIHbkNtd - a8HjLXtUy2REnifNHhhbyMgsM7FRJqNpxmTbtkTiYcRmno9qzLKMaaRCRryp/COP5BnZGxJD/Q+/ - aeqzZ/2KyCRv8vBxPXp5Y1jjtPxpEiRNkpVX8kenE6cz1+YR0TiA3eFjSj0zE5WV6SEEouBN8OVm - pszcNELLQOhl5WZl0nY1I7NMNC7VZcmrjx6RTCTMJhKYzSOHSzmMKPYQcX9UJbNCJP7o8zCbSPRo - 7DrFn5vVD5ojjykVL8Hfyx73vmx28cjkWi+z5wKrmc8jtZ0xxm2Ryf7eaTsDaVnGz/vweWJUSIVY - RQKLMQmxMocaMJ9Trj1iDt7OEFh1Q7+YlENzWPJYC7GSMYutTCFWLUas3obpq8KRWJmExZiCt0oC - EZEEEomxkVZbo7J8lQ7b7LWXbPXVo/UKsX70R380sZpyfZwuE1Oetv+wDWNpPs96vV7aMfUKx2n5 - 9V3e32m9XoIp19q9Xi1kVII0KyWbHvf7i//kVyPDpymw9VAwjWyvO+80s1xVT/qTqB83arx6jTTe - FkWcR3UGf2ONVK+h7H4kmc5Wg9WPiWy/du0abTrK1Xet5LX7wlHVnHNKKaWUc66nEOqRttY7jmh5 - NBab7OBgbuZ7t5pRXbTDkJS0FC0lq3pqL0+CktkXXim5FDXTkgqRHyuslkZkV6/ev1KjP2aPXC/q - +0MtP4ToTU5pSCnnnFSNyETCtN7J4lSfPkaVe1/sYL/fuJxronldO+P2cCSW2wup849W473Xbfyc - MrNazjEzTH+oXJvLjnm7t7/+lGrLGPnl9NqjsXfLfq3XVQtfr2VrBPvR5Vmt70ciy/zjlZn9n9eL - ll+ffjh0YN15iQ6Dq1cOF9PpY8FHvjas9WtztvdK1PQkCn0cMXZZ5/JzsC4Gn37MEnYiMu47NTze - 95cjQyXWWqbHk+n86+v0eOvHJS9tZT3Weo8Gk9sN1jI1Wf4bjg/bytt2fF7JDq+PBwcHTdOklNq2 - rQHeKwvzRvh5hXoaz8ukMdK76zr/WhtjxPkqAAAAAAAAAAAAAAAAAAAAAHjA7Mre3pc84Yn/6vte - LkREFliKqcSgJ7wF/Vbz4Q9SKa/8gXv+j5e8hIfsV/Vx2HwLjN/Zq2RZS2zbawf78/n8x37ix0MT - NGsN9iai6UU+MUrOysyqxkSB+bnP+cprV+/XnJrdXRIdhqEZryS/kWR0AIBL5vDiyWLELEFSP7zk - xS9+5+++6z1/+l41KzkTUTn59ZO325jeHZowpPKrv/4b3/+qV37lM59VRHIpezu7fb/5+nAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAuLhKKbPZjIiYOYTg+cST/CA4Gb9X1xepRz77Hb5n1Z5hGIgo - hOC53Z4ffIq8AI8D8CjrlJLH3FzW3G4al5tHJPjarDHMZ900OKW+77uu8+crQdq+MZdSThf84QdP - D1QiorpfpJR8l/HNpv7zTnZpDxkAAAAXk4yJsABnx5AWf6zbE21+M6ynU69MnD5Of5VYeUt9XhNh - aXvUpYRAy8hqq3P6rx7TON7KB1lbaepKCbUB2yqlMcpxpcG19ulLNZp3+tJKpPeGfols7PWWqEsq - pUxLW+naNMJ8W49qz8akWC1lGUdtVkJoiDyZdZkj7knBIUQinka0EhmRmpWxKPUflMbYb1rPKR8j - t8kDtsd3UQ3zJtIa+21mzBZjMyaX+/Is/i5V2hjdPQZ467RVRBolEhExEZGRmamZmRYWYl32hYyX - 8eRFReLYTR2jf+vy2cA0T8PC66MvTyOlsUaf3oTmsFOk5EvblCyzt7ko8bJVTFpKriHiRCJszMxk - paTluivqwedCTGTLWGpefdRxfiJlEjIlMiYzM2EjYWZhDkR8GOVuSkRMY/50CMyyjH5nI9Pl9hiY - SJbb3Vq9ZoVJiYWIWJjIyGvVTKTCgZlYmFmImIhTKb5emI3IhC0EIRKzybLyRxaPuN6ymWc2EzKR - ejQg8v1rDPOOy16PEbzmQc2eYE3GbHLiL1ElLY97TCTMHpzMfBjhvKJrOtWmDg1Zd+QY4zQCvM4/ - 7W8dEO245TCZc8rnnx406nFbRFZ+UKtHmJUyJ/Wubv++Tfre6ns9c9BJQPtKhLxHuR+Gso+PYn58 - EGYzY7Pij03TrR8BvPwaFb8ePF9D4llMOLJYybYeY1+D5FdKGD8yNqxKD1+vUejrC8rT0P1HyemK - 83n8VZ9h4xrcFsGeUpomuK/MMI1g9xnG4/AyBn4tgn1Dv0opK9Hyqioifhbh+Gh5f8mbQXTkm4C7 - evXqtnqnnarLys9VrHTKzJqmmS7V2qptZ0euXt3fOJ2ZDtfeZA3x+CqRmS5z5mmyKfCYv157uLH8 - bR/QQY5GlY9V13p5edgkPyIJ1xnpRkaFDUKqpDZtm9H6pjwOsbha79hjOfqG637dkJV+EdEkTp6X - nxFka/WulL89gv3w+bRM1cM+Th9vZPjcadp90Q09ZKYYg2omolKSmYlQKacZd1JEhmEQkVJK13U+ - 8qPvpyEE37Y91RsnqwAAAAAAAAAAAAAAAAAAAADgxk2vKOLxEhghWvTDs5729Fe+/BUc4yIl9asx - Vy+SERqvubm9JtdAshoTM73xjW/8lhe+sDW++8oVTZkC0dgjnjQxeMA2k191w8w/+aaf/uD919K4 - KPyqSL+cTETINEZJqcTApRgT7e3tPPxhH/lpn/ZplHM7mx0cHDRRdmftfOjZrygFALijCCuZH2kD - i5mZmlqZdS3fdde3f+u3PPXpT9tPWYRi053D3Gv/GLSVSUZDKl0by5Bf+M+/+b/+nM99+Ed9FDEf - LOaBcZwHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuGxqpqyqdl3nWcWe3n3WTbuQPCLK0y5ms5mq - tm1bp9x+bdvSGETdNI0/OZ3ZbObbRg3wbprmsm4ndbn5E+8sohAuHBHxdec5F74nen6QJ9mLiGfH - nCLP3nlMhkeVdF23WCyIyMwWi4WHvnuldVu6wyG6GwAA4Fy6nF/p4WLZHLV7Mx4vOA8NPfdq5ORK - 9mTNmp1OmT5ZCbr2yM/6xmkhG+udRoHWEmj8qWta3XT+6cSa6Lneftue3l0bP821XWnzxgasTFmJ - cV2ZZ9oAL7lG6k7n9Gjw9aqnc640Y2tE6OGvHsHMxtHuQs4DMxN5QLgyM5ExS0p9bQOzjpm+YcwV - XT7ycsQiqcnfK4+qebIKPJ7WHz0B2vOhiTl4TcPQ02Sx13rH5bn5kflIq4jY++U9YqaxnbGUQrTs - rwgx+1+ytRfLJWO27J3ndK7XKCK179PHo8vtsP0p9bVT3h5mZo6qOqnxsPyuW0aG+wag6tnkFGM0 - k3EtjCnatLWdY+Tw4ZKZ7J0+ZbmOVJOZtW3r5auqWakbqv/06bUw+64xDblfXy+uMHs+OpnlMUJY - zNSMVFMN6J3NZmPUsZkdbjMhBN8mvbUeE8xct6VVR7d/pjHMtW2bsS6PZqcaj+qVTpfLMbbtXzEG - mhxzavr2tmht1SOHvrqLDMPisPUjGvedowclEuFxv1tV51k5UNTlJnIki7ptYz00jdvb8l3TEsbl - Y7Yc47OsP04OvP6ksJFJISPy/T1Q3ebVMtmyVR6gTmNKPR1uaYdHm1LSxnoz5bpwmM23a1tGoQuR - Bxhny8a8jEsnI6KyfCSSQESiWpjYxunmcfVkEsLGUUl3u84XVz1QT9cajVHWdRnWUwh1aXva9LZf - pVc+Rqsa8b5Sr++w0wZMy6nqe/00wDr/RbX+JFrfPh4HjuRq0+q2etiw9fL9Xdv66yOo1vKnzV7v - kX8+Tl/V0bZ+bcMUpjHq68V6kLkni6/tU8uAdlXdNgrh/fffP10C0+7Ukqf1jt8HyHPMS8k5F9Wi - atODoZkSsZkeHMynB/n62PfDmIRelmnfLP6qmU5LLkXNVCR4yT4l5+TzTN81fbx2bX9jvV6C5797 - 7XUX9h3C6/VXvbRpCbXXw5A2ln/t2pzGw+b0cev63XJcD4FUl6ntR7LGmXh5/DxSspmVYiEsi5vN - ZgcHCzot3wb6vm/b1ve1GnXvG4l/PJVSzurUIwAAAAAAAAAAAAAAAAAAAABcDjtt9zc/8qM+73GP - +5mffzMTtSEOJavqubvNRERLYaK/vv/+N/zwD/3j5z6vXDtomiZtuWXAzIyJgxRVNW13Zj/46ler - X2YkQrq8ks1nVtUYOKVCywvVshnt78+f/ZxnBmYJQYhJOC0W0nUxxsM33p6+AwCcA8tLc41ERMZ7 - hcxscTDv9nYf/Zmf+YVf+IU//GM/PpSS+qFpmpTSWTd5A15L7w4S+iE3TIusX/M//+Mf/9Ef67p2 - vn+w02BUCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIDLxsyGYei6zv9ZSkG+7AMxzYyosRpnOHi+ - t6dtWxEZhiHG6EHCpwgqXiwWvm2sbDOXkqqKiPe3lOKp59g1LpwaAbOyJ3retquv+vOTEhEPOGma - Zj6fl1I8dWtnZ4eIrl27tre3RzcQ7XSHQI4IAADAuXcRQoJvLnxNO2O2Idf2pj5eZL4/2gXoSJTN - EZtERGMu7DLJ0oiIgowRtj7mjY1xu7oaqUvLJOHNC0F4LIePpA3Xv+7GCo5EX5MdTvFGHUaEjkeE - 5atb+uTtZOaaB+nPbVLyaBnN60GcNKYyj+05Nklyu5Ws8ZWI02laal340z9Kt0UFF10dGsmjT5t2 - Gjm8TEwloqaN0xxiMzKdLOd12w+4zMsoSp6k/4698MKXD0TUtu2R/ONxQ2K6oWjbuijaphkLMdPD - kOkmxjqdasCyr+a1vNvj+jtZEdPGdG07DbW1cbuv7Vm+1SNYj0bY2rglMfPQ99M03CCykge87GyN - uN7SziN76OQtpZRavjCzCMdIRDU61Gsco8dJVZejGW5a/sdbriMi9nEVJ7nscZnMTT50l/dQxMf7 - YmZOKR3Zwg+X+eavNNPl4G/ydwz9fLo8mTlE8fHFjHwj81psLH3z/suyub8p97VTLCzLxPStUd9m - OjbPdBK/HeLKEl4GYPuBZXyLri6MNSJh3EjqIWI8Io8b77Rt08hnFg7Lxc/TOWu0NzPRlsjwyRax - Em88zm9Htsno2/8yqnacbjVrty5tO35Da5dRx/XovTzIl2G5HwVmYuJxuzr8HDm6EKPIkQ8HHnui - m39VTIvD46r4pkXMxDnl5cZAFP0I6Nv52B4at8PIFIJs793y8DdtJzNrGrx1gYjHlUVEpagvbrIj - e/00i9p3eWEyYSqbTyF4d8OyP4flD/3icP9l9t3BlycTky1XdwxCwRPTN20nTLal3lKWEey1T+NG - aGR2+LyG05tNN90gbCwUZFuk/TGErAkcJTI3dUFN65pWLSIru88NRoavrMdpj6YTfbkxByIlEiI1 - YyIViStT/NGnrD82TaeaVcmsTKfXklemEwmzrde7Mo9Z8enTOdfLr+9lNn/0dVhLrrVP++Xle5tj - bDeWr0rTOUtJquT56Rvnv3r12sbpKZUxdT3XfhUzDoGIPJE9pZRznq76nPOv/dqv3XvvvQfzBTEJ - 08bN/Biqure3t7+/T0R+Xmq5EYr49iAingQfY0RuNwAAAAAAAAAAAAAAAAAAAAAcw7ZcezadHkTm - +/PnPucrf+EXfqE3DYFpekHcmV7KfiRgdXlxGgXme+655xlf8ZQma4zRr7jnyZV9YqRMqioxLC+5 - CfL2t7/9Xe/+AyIKIRiJ2vLqPhFhIzUtxYgoMmnKbMRED/2Qh3zxF39xSimYBZauaUviYRh2dnYG - yupXdl2cC/4BAB4oYyWWyQFXSC3wXbt3ffC+v57t7H77t37b//3vfvMP3/vH2Syfy9zuNUJEZXId - +K/9+m/cc889z3jaU+++++7hYH52DQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAW6XrOg8q9hAB - D1NASvHphBByzn3f+1KtkcArOUq3TY0a8fH8iaht2zra/40LIdSQiJxz13WXO8raF9c0IMmX2yXu - 8qVU04BqRHff97PZjJk9vZuZZ7PZfD4not3d3YODg5NW4dFRTdOklGoQTM45hMDMV65cISI/IOSc - EaVxp/cfAADgHPDcsDowkCyjJ8ehl9g2vQkAzoTJcjCvi2AlK7o+r3GeNd7Yf3uavteTDmkSqrpe - 7DH1Tuepf8lPE0lrgdN6pwG9NaJ11Zas1PrGmql5JCh0UvI0gHalLzWGdmP5xyzPlU7RWtJnLWG6 - VGktW3TddB1N56lRzYeBrHIYuToNbd3UxxtKbq6V1rfwmOE5Ld9f8vZMOzsWsbXk9bYRUTm6PdR6 - 6/bg/6wJrLopovUY61m7XCOuJ02qVa/vF3R0Y1hpZ4yx9r22x8bw2ukSW7Z/S3aor82VioiomUSb - 69qyqvWurI7p8xvZf6dvqWyivuS/0NVurqymTcVv3vCm665WR5M48BqyW9f+ypo60YZdNUej2adJ - yce/cWVfWF+PG7eQlSnrVrbhlQPFSmkrB6Vp46dvrBue2bbRPg+PGxvbT5MD3cpxZmOP1vu+bavz - DWa6Rfn0MGbDr3eNNm1dK8vt8NUtn9chrr9dVc2D4ccwcjUzj7WOjYy7gHpVzMzC26KmD3s9qceI - WCYZ6aZGpGrj50I9StQSLIblmSEzJeLaxWO2n8mRX1WXb4iNTOZZTlW1MI5/qms71MYeHRM7v8xs - X/ZbVc3PbDGzEbHw+F4johDEjLx9/qm7LP9ku6+/cTnu6+EkMl+Y45Iks7pGynTXEPHPza2R4ZPl - cGSYWZ9/bRskZl/O/mlSfB8l8qxrouW4ucvFT0QiNP4BeOQxpb42wHc7nz62U33zGKsbPw0115LH - lvtzG0fKraUdTjn6eNiq8ahY6gKbtt/L9BrNlj1l9uzseLS/R/ruPWKOZhajn+mJ207R3X333Run - N01TSimlTDd4IirGJBxYlIzUlCywkLAVNSYh/vRP/7Q8DK97/Rs0bx37+BjMvL+/Pz3A+pmM6ek9 - P32VUjqr844AAAAAAAAAAAAAAAAAAAAAcGmkxfzK3t6jPvXvffx//nF/8If/zzAkIeIYc86bLrW6 - rRe3H7mSTHX5IPTHf/of/q9f+9XHffZj+yGJrLZSmcjv+C25mMZZl7S86p4fECFSKqUQF79GtJRi - qkbExEomQsXIr8gRoid8wRftNl3D0oVYSrl27dqDHnxXv1j0fW+yvKRMcAMOwAV0ikv7wLhexLu8 - J0JVhTRwOLi233VdjHE2m730pS/9777g8TttNx/6s23wddTLWml5dXA2EqJM9K3f8e2f9Q8/++P+ - s4+NjLssAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4bZs451zSfpmmapqmpK3BSHgbsz31EfX88 - wyZNs7p9zXrM8IkK8VwhDwaqscSXezvpuq7vezMLIfgthMjtvqBU1VciEXluNxGVUnzXmM/ns9ls - sVicIre7RjillKa7uUd0p5SapjGztm3NDLndhOhuAACA88LDBe0wWo+NAosws9LW7NNL6s7q7Tl0 - GHWpt+wRbrkjUanr8b3HzuMzbEyQvX69VIiXA+WY18MeCFnqUHFGk/2cV94+/k9oi+NaMkk2XSvS - jsxwdDZbe9d6yZtyYbfPs3H6ShLzjSxV01rXYWPNSHj8U24sY5KvudJN3vCPGz7O8nSxeBCtrS5G - I2IKh/+4YRuW5PqaGzNR60Sz1RZsTDjeSNeW+epa2LaDXE9t1cputbEo27SlHt9ON40237isVl6a - Tr/R7qws6vHNx726qT1bqtu6Y0+PDx4i7BPVllmxxMFr0OU/lzPTdP4TfoHQMWp8Qweup+4LRrTe - r9Xj6VHHrooNm8R0765T7MiUI41f6cgylPmYKm8gqnys92QR6cdvdduColfeuB7UfUwLj5ayeXtb - K4CXB7ojh5Yjh9xxaR+ZuD2KflnCsVXzYYmT1TSdzZbNCKud27JQjx6fJ0duXZ3L/6flsAlHqti6 - xV5nvU+rDhJqUSvLyus9/NTY3qPTWQ+M3/gZcd1D4sYZjn1XzZhfzru9hV7U5rNTN9jG7QfgI1Wf - 5HNsdf6Nx8T6IVnD0Y875K+28MgHuAjVyPAb59HmY2q71baNod1KTF4wW1ElISpmWrRt4gue/8+K - pje87kdVl2M7Nk1IydPHWZX8NBsRrbdqjDM3IvLTePXEg5/P8DOOzOzTh2HwE1d933ddR+NpiRN1 - FgAAAAAAbqnpT7U5Z794yC8oPNN2AQAAAAAAAAAAAMDldyOZo8LEWoTta/7RVz3na76GiJrYLHIi - ErJ67wnReG3WLb//4mhed70ijFlMjYn8Wp1X/uCr/6vPfEzXdVRyIKLAOeeU0t7e3jAMgSXnHGLQ - TH0/3D8/+Lk3/0KvVC+lMjMthQ+rsdDEkrOwZNVIJETPfeYzWhI288t42lm3WAxEwiLLK0/XrhS+ - NUsEAB4QZl5ee89UzKb3CxzO45ehItL7WEY6XphqzBqFiMTMRISIWS0w/71P/dSv/srn/stXvFyI - 9JwdFjcds5efcX5K14iV7KCUZz3veb/y1rfxkEhLSumuBz1o/2C/bdvFYtF1XTGlG/uEBQAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAIBzyGOY/QkRdV13uSOZbxsfOf9sc7uJqOZ2VyfN7aZJIoJvG2fe - qdug7z2a4XLeaSkiHsdOfpu5qu/+HvSgqiLbE44uFA+q8DFvmdkT2f2lumssFovrluNj5/r270uP - mXXMsfIAb3+pjq/rARmXdRM6nUuyVQEAAFw0coOfwrIldBDg1kNuNwAAAAAAnH8qpuuPpaQ2SNME - Klk1/2///Jsf+9jPkEBNICJKqTRN8FMvTdOc4hSsiAzD0HWdn37ous7PWHhu93w+n+Z2n+IUIAAA - AAAA3Dpm1jSNmS0Wixij/0WA3G4AAAAAAAAAAAAAOCdEJJc0a9rHPvaxD33wQ7qmSTmdl9+xJ3fm - WlEiiiE2TaNEb/vlX3nvf3zffLHwe91TSiKyu7tbSjEzJWNmZo4xxhhf85p7+5zUbxLmw4JlUlHJ - WZpGyYioYfnsz3z0wx/2kZbyejgrwn0BLgrfWdmO3FyEe/5Pxw+GsiWyummag4MDEZm13dd+7dc+ - 8hMeOetmt7mFpyYiNoa7G9GQyh/80Xu+8yXfVcjatt3Z2Zkv5j6uxO7ubt/3bMjtBgAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAALjAPH223mvW9/2lSe0FgBUe6MDMHmXt/CVV9aAHEbkc4Q4ehFGDyem0 - xzcfO9fMRMQTu0MItRzP7fbFOAzDeRmX4FzCRwsAAAAAAAAAAAAAXCoemO2nWK5cuZJSetWrXvXY - xz46ZyKmrmtSKn3fE9GpT72EEPq+DyGUUvq+95EiaUwBJKKcc9M0wzD4PwEAAAAA4PwopajqbDbz - a4+IyAO8AQAAAAAAAAAAAADOnN9gXEp52MMe9qQnPckvbjEzIiXW67795rfnSGD3Ib9rN5c8pIGI - hjK8+tWv7rqOxlumfaAEz/BmZiVTskI27/vXv+EN3hPP+d5GUwqBiSibPv3pT++6zm+3BoDLYTqe - AjxwOi5LETlYzNudWVYtpl3XhRAW/eJMW3cCfgK3bh7MPJ/PX3nPq373996lRKmUnItfI3pwcHDX - gx981u0FAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgNNLKYUQZrMZETVNgztJAS43H/21lOK3mTOz - iIQQVNVvKpzP5x7xcAnSu/12e08iZ2Y/vvnEE8k5eyKGqnpit6rW+/RrRkbNPodtEN0NAAAAAAAA - AAAAAJdK3/e7u7s555TSfD7vum4Yhu/6ru/6nM95DDP1fRIhGocJPkW0tp+ZEBE/veHndfyMjqp6 - sf6IUxQAAAAAAOeNf5P3i41CCE3TmJl/gQcAAAAAAAAAAAAAOHNmJiLDMBwcHDzlKU/pui6GaCe/ - BfeWsMOnpRQRYWIlMiKR+LrX/+v9xZyYSykhBDPzu4j9OTOratby9t/49T/+0/cSUdO29U5gW6nE - KMRIRCVpZPqYj/5bj370o3PO/vM+AFwmSO++uZTJ47qZ2cxyzi/45he++w9+X48eac8z/2io12eG - EIjorz7wwee/4IUHQ5/J2q5bDP3Ozk7TNNfuu4+N/D8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - uHB8QMi+72ezWUppGIamaU4RbQsA518d+tXvHCQivwndY609i3pnZ2ca9HChxRiHYSCi2WzmAwjQ - pO83ri4cIvInftN913VmVkph5r7vcSf+dWEBAQAAAAAAAAAAAMCl0rbt/v6+iIQQQgg+bu+HfuiH - vvjFL/47f+eRxBQixyg55xA454FIiU5wIv7+IMEAACAASURBVLZt25SSqvoYkX5eh4h8rGGfXkox - MzPDKV4AAAAAgHNFVXd3d3PONA77zswHBwdn3S4AAAAAAAAAAAAAACIiZs45hyg7O7OPfNiHP+5z - H1tKXr5m43+Tf92mVtVntUphVTUyIoohZs1X59fe8MM/FGPsU1LVGKMQRwmqqqoSorEU41e/5l4l - Kn5j8CSx145evlNSjiEykRA/5clffmV3FmPs+/42dBYAbhE+GtTtp+rg1GpkNRuRCZkoCZkQUSpl - MfTtrHvVPfe85t57U07CF2k8ARGpm0fOOcaoRG//zX/3nd/9kmZntiipa2eLRZ9S7ppOxu2opncb - kyERHgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCCaNtWRBaLBRExc02oBYBLxgMjaMyf3tvb81gH - 3/FjjJ7+4I/TO1IvqGEYViItYoynOMQNw9A0jYjUOAwv7b777jMzzzjvus4H2vVH2Ogi3WoLAAAA - AAAAAAAAAHBdfs7AT7cQUdM0qrp/9f677777Na95zSd90iekZH5SwQO2T1r+MAwhBH/uT7yiGKOI - iEgpJYRgZvUkEAAAAAAAnBMe1O2XZIlI3/ellN3d3bNuFwAAAAAAAAAAAAAAkeeVFm2aJudsZs9+ - 5rOISKbh2WdkpQEhBOJlkLeqhhCN6J4f+IFFyl3X+e3QZmZmpRSJIWkxpv/4Z+9761vfakTEXLSE - GI+p0YoK0Ww2+6Iv+qJl/jeuwwG4yFaiux0CvG8uYyqmzNzOZm95y1u+6V/8r00TlaiYnnXTTsDM - /JpMvz7Tx4lQou975Sve8du/Zcz7i/lsZyfG6FuUGAm2IwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AIALSFVzzn4/Udd1ZsbMuJ8U4LLynOm2bUVkf39/+lLTNE3T+JPLcRBo27aUcuXKlTol5xyPvb9+ - IxGZ3mvv92CamR8zU0o+m5d8ivLvHJdhqwIAAAAAAAAAAAAAqMzMx2T0IYwPDg78dEvOeXc2u+eV - r/ykR368FhOmWdewDwl6kvJjjB7O7ecqiEhEPKvbzNq2pTHM28/xAAAAAADAeaOqPs5713XMXK80 - AgAAAAAAAAAAAAA4W6rql6YcXNvf6bpHPepRn/FfPorPNL174z2oJefYNG3bEnM2NWYles97/+Rt - v/zLEgIRq5rfAxxCYOZSSjH74R/5kXlORiwiZKKqZERj3urkKUUJarrTtp//ef/NR3z4w5oQc0kx - htvSYwC4JTy627OWPbEbud0PBBtxPX7y4X8Smz6lP/nT//C0pz9zd3dnkbKEC3bw9A0jhKCqyw2G - SJnuXwxf+/XfYCxN1/Y5lVI81XuNEl2kqHIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAO1bbtj7Y - e9u2fd/TmEp71u0CgJsvhDAMAxENwxBCaJqmlBJj9FyJnHO9C5WIttw/eJH4uAHDMMxmsxpGfrp+ - lVK6rvN0DB9Hl4j6vhcRZlbVnLPneZdSbmYfLhdEdwMAAAAAAAAAAADApeKj/apq27Y5552dHVXN - eWCxGOOHfdiHfe/3fu9HfMSHMlPfpxhP/Dt5ztmjwf0khIh4dX42ws9eiIiIeHb4LegiAAAAAACc - kn9F94uWzCylJCLhoo1WDwAAAAAAAAAAAACXld9gnHO+cuVKzpmNnviEJ0Sis03v3iinNAyD//Ce - cmqbdijlX//QG+4/2GcRZvbrZ0IIKaXQxGL62te+NgRRslKKhGBbhk5gIlUVojwMz3n2MzVnM22a - xm/GBoALio8ewpDefXN5brcSKVmf0pd86ZdenR9cm8+NqGjhcGHGE6inbs3MzPzThIjUyIR+653v - /J6XvWzI2cxms9n69mNMRKTn6vMSAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALYopXgYrd9DGkJg - xt1BAJeT30dPRDHGlFJKqes6j7L2Rw+i7vve5znb1j5wbdsSETMvFotSStM0p+uUJ270fR9CKKX0 - fe+Ly5eeR2Z4AjozY3zdY1yYW20BAADuQMyMPwUBAAAAAAAATkpV/cSAn4PxRG0RESMrKbA9/KM+ - 4rWvfc1DH/pgZspZiahpDk8kiEgIzfG/n+ec/bSEV0fjEKLMbGaq6nnefrqijhrsJ3uIKKV003sN - AAAAAAAn4pdkNU1jZj7aOwAAAAAAAAAAAADAmfNrTmh5RYrFJjzhCf/TQx5ydxyvbanpqxyE5Hbc - crIar22T/yYT+jQQ0S/80i/+2Z//f8qUS1EiVWMWYylmb/ypn/xPH/irbEpE7azTUpYdYeIgxIfJ - 5EwchYToU/7uf/FJj/xEvwm57/umu/C3WANcRCLiV8T5NXJ+eZ5fNXeKojyPOYQQQvBRAG52e+8U - vvR8daSUY2g4xFSKkjzlaU//wz9+DxF5sDUL2UnW19ne0ljGTwffxlTVn3AgNVKil/7vL3vXu39f - jVlEVUOIORffrvy0b865aZqzaj8AAAAAXA716yiNY8DVb6rniv+ZNv1nvXEJAAAAAAAAAAAAAAAA - AAAAAAAAAOBC8FuZPMHXp5RScN8ZwGU1DeqmSXCD80u4L+Idgp5E7s/9Mu9Sil+FXq/6TinVjp/U - tCi/m9Kr89vw/ZiJ0O7rwtDDAAAAAAAAAAAAAHBHaJpGVefzOTM/4hGPuPfeex/60LuJqG0l50Lj - CQZVPfWQOj60aIxRRGaz2bVr14iobVsims/nfuo3pdQ0DdK7AQAAAAAAAAAAAAAAAAAAAGCFiOSc - owQ2I7UypCbEpz3lqVooCkUmVfJoUjOjo/lMZ06J+pJfec89EkNomxhjMc2leArsD/2fP1KIihIx - DcNARCINM5uRqRKzhMBBiIjJVI2Jnv3MZ1zZ3R0Wi8A8m7WnvhsZAB6IlSg4v4HfTn78CSHUAVM8 - aPlsI6IvuhjjfD4PIWQtTdt+8Op9EkNomm/65hf+5jvekc1MKEQRITthzPopVu4tx2RKEtiIFil9 - 7dd93Xzor80Pmq4dSpYmShObppnP52w0m83m1/bPusUAAAAAcBmIyDAMMcacs/8ac94ws9+d5I/M - XMesBAAAAAAAAAAAAAAAAAAAAAAAAAC4EPxupp2dHU/w9Ys2cd8ZwB1IRPxGez8OeIz3RdE0TdM0 - pZTFYuFHMA+nuClijKUUZlZVM/MQjYsYcH7mzuNdAQAAAAAAAAAAAAAAN91icbC7O9vZ6VLqFwfX - HvkJH/+Dr77nIQ+5MgxqRiEwM4cQiEQ4hhBOWj4zl1J8UB4/b/HgBz/Yz/uamZ/DyDk3TTMMA05p - AAAAAAAAAAAAAAAAAAAAAMAKNjKzGKOqChEbBaanPPkrZm0s4/3Ft3PEAZv8dyMK0Q/96I/89dWr - wzAws4j4xTO/9/vvftu//VVjIqYQo4eO8ziGglMzG2+ibog//KF/47/9vM/jrEKkmj3l96Z3EABO - wcxOMeRBvSSPmWsJ2K9PLeV+78qOkjFzzvkhD3nIwWJ4ww//yGte+9qr8wMiUvVFTUREJ1zM5zC9 - W0LwjU6J/v0f/eF3ffdLJDQWojEZ08FibkwxxhBCCOEmDmkBAAAAAHcmEUkpqWrbtqoaY/Qv3mfd - rlWlFL87qWkaT+8mov39/TNtFAAAAAAAAAAAAAAAAAAAAAAAAADAybRtO5/PiSjGmFLquu5iRfYC - wAPkt5qqqqru7OyYmZlNb0I/5+ql5iIym82GYQgh3MTjWM55b2+vRl2EEEopHoQBJ3JhNikAAAAA - AAAAAAAAgAcihDCfz/3US9M0i8XiYz/2Y1/5qlc85CFXiIiZU0qqFEJQ01LKSX9C99Eqc85d19F4 - psRP7fhIPUTkjxgXEgAAAAAAAAAAAAAAAAAAAADWlVKiBBGxoszcNU1J+eEPf/j/+N//D57kTX6N - ChMx0zmLvDUiEvqr++7/6Z95U2jifLFo2zbn3HTta193L9EyO7aU4i0/clewGY13IDNxIfvyL3vS - 3s5uykMThI1SSoj4BThbZsbMHrx9ilv6RWQ9rvscRkRfFE3T9MMQQpj3i253p0/Db7/zd57/jd84 - zynGwBKIKKVySRawkZZCZkS0M9tZDMOr7rnnN3/7t/phMKLYNCGElJJ/6Ozv7+PzAgAAAAAeoJRS - 0zQiUkoRkb7vU0p+Q9C54mOuqaqPwnZwcEBEe3t7Z90uAAAAAAAAAAAAAAAAAAAAAAAAAIAT80ha - Zu77HvcHAdxR/FbTGCMz55zrraxn3a4bFWNMKdUGX7lyRVVvYhRFjHF/f5+IFosFEfk15CGEm1X+ - nQPR3QAAAAAAAAAAAABwRzDVWdf5Y0q9CH3I3Q/+lE/+uy95yUvaVnLWEJaDijaxOV0VIYQYY9/3 - /k8/v8vMIQQfCqeUYmZmpuNYwwAAAAAAAAAAAAAAAAAAAAAAzsxCCDlnEakjC+R+ePrTnipEShQj - LS87MaPbcMsxT/67AWpERN/3ipf7FTJ+0+/73//+N/7ET0kUf5WUmQIzLwtmZlne6MoiIQQja1ie - 8uVfIUaBxa+9EaFTRAUDwK1wuujuEIJfOFcPbhdr6ITzhkVyzqWU2Wx2sJj/2Z//+VOf+tT9xZyI - VKlooXE5CxNdgssVTWazPSKaL+ZGtD/0X//PvmE+9IOVpKWddVmLaibSwMLYrAAAAADggfGRH0sp - /stG13U+5RwKIYhISomIdnd3+77H/UoAAAAAAAAAAAAAAAAAAAAAAAAAcIEw8zAMRJRSyjmbWYzx - rBsFAHACpZSmafyeWVVNKbVt60e2myLnTERmJiJ+lfu5vb79nEN0NwAAAAAAAAAAAADcEWaz2Xw+ - jzGmlJqmyTlfvXo15/yYxzzmFa94eQhcivnJhpTTbDY7afnMXErxExhN0/gwykQUYxSRej7DzHx4 - 5ZveQQAAAAAAAAAAAAAAAAAAAAC40ETEzFJKIQQfbsCHGPiUT/mUT/7kTySapHWfy7xbM1Km3//D - 9/zKr/7q7u7uou93ruy98ad+6oNX78tZiZlCICJTZSPh5fUz5plSzETLfO7HPe5xf/tjPtpzp3wi - MzOyWAHOAR874BTR3X5t3kpWN6K7T22xWOxe2Wu6NqvmnJ/2jKf/+fv/UwjBiJIWGxf4pdHNZov5 - XESa2Phnxu/+/rtf+rLvabqumM77vmkaDsLMXdfh+kwAAAAAuCk8t1tHp/g76Fbzu5ZKKW3begv9 - DqazbhcAAAAAAAAAAAAAAAAAAAAAAAAAwI1SVSLqum5vb4+ImNkDvM+6XQBw+zAzEfm+H2M0MzPz - iReCX3k+DIOIeLxFHSLgpogxNk3jWeAhhFLK6e7zBVxqDwAAAAAAAAAAAAB3hL6fxyjDsNjZ6Rb9 - QdvFnZ1ud3c35/wZn/EZL/++72OilFLbtkS0WCxOWv70RI6ftGiaxgcqNTMv1k8DX7IxMQEAAAAA - AAAAAAAAAAAAAADgJmEiZmZVFWJVlUAxSozxKV/x5LaR5V20Z3KvMd9AvUxqFIS+/1WvnA+9qn7g - Ax94/etfHz2l26zGRxkZmwnLcgAFZiLzDO8mhmc/+9l5SFpKSTmOtxAjegrg/PAL4U5kNpuJyHTM - lAs0bsI5xEGI6OrVqxzkeV/91e/4nXfOmlkqJUjwGXxRM7MqHbOkL8pa6BeLGKOpejYhMzPzy773 - X77t3/6yxJi1SIxEVErp+37o+7NuLwAAAABcbPVPHv85wvl4audKjDGl5N/qzSyEEEI4xd9rAAAA - AAAAAAAAAAAAAAAAAAAAAABnpZQiIn3f7+/vhxD8rigM4Q5wR/Ed3y/bns/nfv/gBbou2pvati0z - p5T8jvib2P6cs2eB+4IKISwWi3N4ffv5d9PS1AEAAAAAAAAAAAAAzjMzm81mi8WilBJjVFVl7ft5 - bDst9Fmf9egXvejbnv/8F6TUM03GB71hntLtJ3d9dEgfNbiU4s+7rvPnOecQwkUZ8hIAAAAAAAAA - AAAAAAAAAAAAbo9SStu2UeIwDF3XRQmslq0Q25O+7Mu+48Uvft9fvt+YDm/VZaJTXOZyy7CQFcpK - b/k3b33fX/zlx/yth//OO97xO+96VyZqmjDkYqWQR64aFbLaeA7Bciaivd3Z3/wbH/4PHvXpYnrX - 3t4wXzCziJB4nDkAnCVmViIzsxNeYSdEbdtGlkxFiNTMh044LNnIcD3dSYjEg8Wwc9eV7/6el/3k - z/5siPEgLYwoaSFmMkspEVHTNMMwMIvZkY+Ow+dGTGynumbydgoh5Jzb2Phlmdk0MhnR//KNz3/r - L72la9phGNisbduSM8d4zrsDAAAAABeCqoqI//FiZv541o1atbw9SpWIzKzv+67rzrpRAAAAAAAA - AAAAAAAAAAAAAAAAAAA3ykd0FxEfy91vKfV7o4413m/K9cZTT7FVorUbb6f3U9mmibcIE5kQ681/ - vAT4UvTiTlFXlm6eaEpUyPToDCfmF0X7BdIe4/1ASrudRKReyB1CKKU0TXMDx7EbZWa+TOqUnZ2d - nHOMiKI+GSwvAACAcwrpXQAAAAAAAAA3l8TQp4GDFFMyYSLP8C5piLEtpXzJE77o4Np93/JtL24C - pUISuKgRUdO2qR+mw9ls5EPweDi3qzP7AD1+AjilVE9m+IkNH8GnlBJCuIX9BwAAAAAAAAAAAAAA - AAAAAIDzLQQpJRNRE6IPMUBKgQMpt23z9Cc99UXf85JsFCSUZVjUA7qH+fpOmEjFJkY629k9mB98 - /2tf88IXvuAH3/D6RKREORUisuJRUl6wxBhzziHEUhITk1k5WHzls54ZTMVMhxRDsGQkUoyIgxHx - uQvJArgkfOfy/OxpijYbMzERhxC1WCELTdOn4aTld02rudTr5VRVRUi4hnbXvfvOzPBe6X5dCH7l - YZSQUtqd7Vy7dm13d9eYSpCc0tve8pYXf/dLC9GQcwhcihETkS0HjjEahoGJTIlIQgiBKeUkRG0T - U8rf8PVfF6R50Xd+pxEpUWwaM8tZQ4wlH7uK19fRLT44aylMNOTDsSqyERH9+/f8ybe96Nu/7V98 - C6uxakkqJiJSyIxPsC3hwwUAAOD/Z+/e42TLqjrB/9bae58TkY9bt4pqeRUwQ/eoiKMCrWi3bcOg - dgsWzFRbKlgFFE8pQRBFSxDfiAgqykugXlKWDSgv8Y22Oj7mM2P7mFbbD4Mg7xakHvfezIw45+y9 - 1vyxI+Jm3VfdzMq8Gbfu7/s5n1ORkREnTpyIcxLu3mv9iGi72tSstoBcJHYvYW43gJyzbGtN0jTN - Ae4MEREREREREREREREREREREREREdEumFmdD1lrTrf/6oTyIJ81exeECHNIAASxQSlACwAxwAty - QQqY5ebqLEL7+BYNANRxwuxQEYjg9C3od0Jn0dQuSAGpRQoCdS+AotbU3aM14KoxpBBDikGOV+rt - 1bodj2t2sojUT2d3k2nrdNxFi34RSSnFptXY+J6md4tICCHGGGOs04D3ZLM1ViDGGEIIIdQDsidb - rurGU0ohhHqU6uGyPZq5vL6+fs83YlDTBCBaJ5bdPaQmtitxtKqwYbKxqsP73v62T3zo72AWFO7Y - 6f6fEHddY6qXNrVhOp2ORiMAfd83TVO/bG3bishit3ed2704CPWYNE3TdR3mU9y3Y273LvCQERER - EREREREREdEFLaWkKtPpEGN8xjOevrl57LWvfZMqSvHRyng6mQx9vxir2J06WFK7jo5GozvuuGNt - ba3rurZtc871t3Uwz8yWcyiIiIiIiIiIiIiIiIiIiIiIiA6EOMwsd/3VV131Mz/32qA6yT1EobpH - LQD22NZ04sBbb7rx2c/79nf/2vvKaR9oOecU22xDLcJWYH115due/GSB6zLmYRFd0OpJ6QK4b09Q - Pks1QE5rrw1ge3+KRXo3nczdV1ZWjh05urKy0vf96upqKWXadzmmj3zs48/99mu3hj4ENbNc/BSJ - 2gCgmLdpMNjqaNxNJ2XIl3/Df3jh81+Q++EDv/Nbf/3f/nYwy8PggIZU7trgZjn5vPPODdff9HWP - fdxjvuZrRilZLimGnPNpDgURERERERERERERERERERERERERERERERERLRd3r6HdNbe7pvbaqepn - pZanaZAQzDIgurL2c69//Rc/4itu2zTTVIZJDJpqzrS7hpAdAGrllTggrg4TuMxq3BbBzHsb+awh - ZjcxcfUo0dVRkD1HiSamrvdoDaDAVQLEBPUdiXmBb7/nnqyzFZlbfEy7OA41+lpEzKxuQVUlpCGX - PYnuPiFWfLHDexWwXcopjsNefUkA1ISCRSpB3bKIuIntxTvYk1110cGCiI9kCDB3N8cgySTmob/v - ReNPffCv3vCzr4I7AHfs4jVrgsMwDHWHayj1yWHVB25ra2tlZWU0Gk0mk9Fo1DRNTZcQkRrmXSPk - Szl9bf3dGYZBVRfXor7v92zvidHdRERERERERERERERbW1vj8UqM8dixY9dee23XdW94040AplsT - ADHGxTBtHcHd0cZFpI761CfGGA8fPjwMQ9u2dbCwjoLUBzO3m4iIiIiIiIiIiIiIiIiIiOgCd3KE - bUrR4Pe//32f+MQnvv29744hDpaXMLe7zrFx9zph5sorr+z7/szzbYbcoZYiiwbxK664Yn19Hf2O - U4GJaP+IiLljW6+Krut2upHRaCQie9iT4l7mhCu/zI9TEN3a2FTVnLME3ZxsjUajtUPrH//sP1/9 - 9KfdfuyoA6FJ/aQLQYrd9fAKALgbgFLQtu3QTSfTSQA+/6H/8o2vf0ObmsNrh179qp/6xsufVPqu - /lGxs+kK4ce3f7BC0L7Yy17+A7/9m7/lqW2bpi+lmGlUbDuMCwyJJyIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIloqpZRhGGo6ss/VX52yjMnNfBgQEyTY1sb73/vehz/i0SuX3tfblW5rEoKMU5xOJyEE - kVk6tGNebSQ233J0n9W7ZfcM9PMk7z15Uwp3LwIxscHVzMSlIAYPhhq/fQ/WghBmEd0FroABKtvi - t3GP1+nE47Dr2sDZJyvHP1kxwR4d53lkN2ZfHgBed3WvKhkVDoEstlffwV59T2ITF+njZrWOUyCC - sEep1XsS3Y0aLm7FBO4iIiEAUdzbFHK39caf/1nf2Egp5j47RIPuNLs6pTQMA+Yl6vN9972KYN8r - Kysr9a2Nx+N6Tw3qbpqmltjX39Zvo6raDlsB1JJ8MzOzlFIphTW5e4vR3URERERERERERER0oRuP - xzmXnPNoNBqG4bu/+7uPbmzdcsvb64hEzrkOg+0itxvzAcWcc9u2XdflnEMIqjqdTpumSSlh/ip1 - +zHyn+6JiIiIiIiIiIiIiIiIiIiI6LhhGKASUvPMZ17zrve+Z1pySLGUAluugttaYFzXfd///d// - /Vk+3spQvASR5z3veZubm6upqQ0CANhyVVUTXXBqd4PjaxUAk8lkp9tpmsZr3wgRNwOw06YDF6ba - nWF1bW3j2DGNYf2iQ3feeafk+Lxrr/3IR//RAQEmkw6AmZ+6FY3M2oz0XafwKOGi1ZVbb3nbStOq - Y+Po0Ud86Ze9+Lu+65Wv+kkDNMTi581H40B2A/DBD3/kR3/8x177mp8u3aAiqW1K2fFUTyIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiI6x7ZH/NZe7rWR++keH2Mspbgb8gBkaPzd3/qNb/+u79Xm0lzE - Yju4m4WsYxU1MxE9XqYqAFwdJqISXCEOl7usvZx4j+yqkFfcFFojpWvBl0QRkaHm+9b3fg/WJgIg - u9fbIlLfZvHTPssF7me1BjDMS8z2IDnYgVpZqLOsdHfXPaodnmWBVyrb79wTtdSuBkhvXw87jKY+ - nUUouDvctd4jLtjl926/SABMXDR7UFHRGCS4eyv+8Q9/6E9//wNhlPJkCsDdd5rbDaBGPyw+uGEY - VGte+NKpe1VKMTNV3b6fNYB8Eebd9/1ON55zringOedSyjAMXde1bbvH7+ECxvwPIiIiIiIiIiIi - IrowuJ76bvcazh2CumNtbe3o0aMve9nL3HHLL71dFWYQmcVvy3zgcEdCCCKyGO6tQ2sXXXTRdDqt - Wd2LwQ/mdhMRERERERERERERERERERHRyUopqdVHPvKRj3rUo/6vv/ivXmzZcrsBmFmtKAYQQsg5 - r66ubm5unvbxpQigcAMU+Ldf+VVf8L98fp6eoqWCAudHkCzRvU5tdmBmQRXzyW9bW1s73U7btsej - u+ebVdXdTMi7N/J5q5HaUWPRV8NyCSH0XdeM2m4YtqbTdnXluh942Qf+6A8NWFkZbW1NAajCbZbR - ffyIbuspI/C6zSbEG6+/4UEPfGAKUeHaNDYML/rOF/zu7/7un//VXw8liyrEcDYfyzn96AzzNzR7 - WQEcZkgqZn7zLb/0+P/4DV/z1V99aHV98+ixFMMJvUnqEd5+p+9RexciIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIi2p2aeuvu7i4iIYR5I/faT/7E0tKSswMQRQgoBZYxlF+8+ebnvvRVQ4EgAMgGjckd - EoOdsIEalCwYMkwQAFeowxUBMEAELhDcZb0Lvi0y3B1e46vrnu8JAeabrLfuttrLHHp2awBBdVan - tu39y66OxaLeTQQ1Xdsd5hDfg7phUcXs+4N9qVUUrRuvLzC7T0R1b1KlzWyWO77tm+YC36Pit71K - MfdsqgiaXEKGwOBeUPo2+k1veSOGSRk2xYsIINHd4TtO7661q2bm7imluvOyu+/cvqnB5DFGEak7 - ifmeAxiGoW3brutUte97VbUTL0B3rz4RQK3WjzGWUpYzxfx8tEfXXyIiIiIiIiIiIiKi81Mdh1BV - d+/7/siRI4cPH26ivuQlL7n88ifUMY9SPEYFkNKOo7VFpJSScwaQUqpdiQF0XVdHgt29bdtdjKAQ - ERERERERERERERERERER0YUgxtjE5YmdWgAAIABJREFUNN3cSiE865nPVMCXdapJrQQejUZ1hszm - 5maMdzPfJpesgADPf8G1Xddtn0Vj20qq9a6Rq0R0zpzQ3cDdJ5PJTjcyHo8XW6sdE/aq6cO9jMuJ - 1zp335pOivt4dWVahrf98q1vvuGm2MTUxM2taUqhaaIZHB40ABCcoh2Mu7cpCfD93/99/+7fflWb - GuRsuTQaoqr1w1t+4U1JRWszjvPlkxGEqIO5qhTge6/7vjuPHj22uRHb5qD3jIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIjuXq1FFZFhGMbjca1RPUNObQgiALwgD3CDClTe9ytvv+2zn2kFap4E6nDD - 0N+lUEscMi9TFUfbYNQgRURFUCjgBphLrWa963qxBD/btchs2S/1jQhUZ0t9ucWPJyzYyRqK4rPE - 8VnueH1N3/GyeKIZzFAKSoEZ4HDRvVjERbbfU9/wHm1coSohSAgSYl00RgmhOPZkqa9iqO9CDGIQ - s73ZeHHUE+SeLzXEwUQK1CASNISQAv75Ux/94/e/B8MUnkMQ91r/LjuNSHb36XQKoMZd1+vAEpag - ikiMse5hXYcQUkqqGkJomqbrOpxUk3v26qXPzGptft/3OOP1kHaK0d1EREREREREREREdEFr2zal - lHMOIZQyrK6Ojxy5w8zW1tZ+8pWv+NrHPXY+LGoA+j7vdPu1zejidiklpbS4Z3FDVesoCBERERER - ERERERERERERERGRC3xemVtKcffRaNRNpk+6/IkPvO/9kkpY1vLQGON0Oq2zYkIItW/CqR8ZVAVR - FMC/fPBDvvIrHi3u43nkqu1fSwIiOmsisifR3evr67VpgpmJiKpiKVsnHCC764+z3h4amqYdteNp - 329Opn/x13/9wu95iUbp+tznDEE/lK7PAokhupXT/WUQoB/6p1z5zd/+3Oc2MZV+GDVtEM390E2m - F62tP+B+9/uJV7yijRHuIZzV9Xd705lz7fjfRwMwmDvw4U988hU/+arBgXiKVhTixxciIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiWgY1oTbnnFK64447RGQ0GtXg3lMqxQEEhYgDFgTIAyZbv/7Ot6fc - j71Es1A8GCKAjGiIhuiIPr9tiAbvB+87DIOWPlhJyI1YqxYsB+vv8TqrwwrcgJrnXQPC5S41Wfdk - sVK8mBfz4jDAIA4F6u2TF93hEoEgiDpbguxy0W3b2X6/71E0dTZkw/wYwOX4ek+WocyW+nKGPY7E - dpFTva6cLoJ9p8su0tZPuYjAHdkwFC+1+t0Npb/+Ta9DngBFBV4cAELc3aWg5l7XBIcQQimlVqEu - lVoSKyIpJXdvmsbMhmGoMd5936eUAJRSVldXzezutneiUspoNAIwmUzMLKW0i43QGSzdV4qIiIiI - iIiIiIiI6FwqpUwmk5TS5ubmRRddVEoRkRAC4CmlV7/61Y985JeoovYQlp33mBQRdw8hqGrtRFyb - KS9+Wx8AoGmaMwwJExEREREREREREREREREREdGFKcYoIt102jRNSunqq64yc7GDSEs9ozoHJues - qu6uqmeeDJNLBmBuUeTbnvKth9bWo+owDHfZ5rK9SaILzPbobp874Tw9G+PxuF4Z6oVCVWUXs/Hu - pU53oTOBqh45ckRiiE3zsU98/KnXPD01scs++wMgkAAABl+0YNDZb4BFRrVDgX/9iEe8+qd+atyO - Sj+kGIdpJ+ZtTG1quuk0iD71qqu/7H/9knGTrCzvpfeE3G13hKghiAEO3PLLt/7+H/3htO986f5C - EhEREREREREREREREREREREREREREREREdGJarlZDfBu29bdp9NpCOHMz5KaJAyU3KcUYPkdb3tr - d+y2pBmlg+coGI2PJ12LA2LA8XUIIWpQhULcixeraxFXyAlrEQniQUT0rNYiIm7Bi1pRK8EtuKuZ - mgXfm6UN2qgkQYTPX2Ivty9u4gY7cfFSdrrATNwVLvPNKlwUInuz1IzqWq3oDrNZmsCeCGG21FeZ - f2lPPjC7XLZvcJ5aMMvJXp5F3L2UumMhaohwR791bPOO2/7o198LdXiuZ6wqYBaaZqfHuV4H2rat - 537NdLiHn93+EZFSSghhe6729h0Wkc3NzV2U0IYQptOpmdXGAiKyhPnl5zUeTSIioqVT/+eOO2KM - ixwvIiIiIiIiItonIhJjLKW0bdt1nbvHGM2yeVaV0ai9/vq3fvEXPwxAirO+jykdHwKpY7pnGL2o - /+++lLIYRKk36uvWG2ZmZovRoMUjSym1fzH/iYCIiIiIiIiIiIiIiIiIiIjoAlDbAGxngOV+CCGo - Cqx4GZ71jGsa0fq4Ov8EZzGJ5Vyqs1+2lxyfThARoI3p6U992tB1QSSIigOAy/E4W3EIp8/cW9RY - dwClFAGWNr5Z5idjCMHdz+b7fG+Vc04p5Zzrdcbdu67b6UYOHTpUSqn9AupGzGyZuyecY4uI9HqC - 9H2vKQJwQWqaad9tTSfPfNZzPnf7ka0uH/9D4fD5F9PcDIghYv7tVQEccAjwoAc84JabbmxTzP00 - hpBCFJE8DN10OmpHuR+iaJvCL7zpDQJLKgBquxKpjWyA0cp40apmuwP+qyPIxcy9pndn9+/53pds - bG32JUtQqBS3EELO+eQvG/+yEBERERERERERERERERERERERERERERERER2sRe1ebeFeO7HXe2JK - qHnegANNExfPKsUxL3XKwwBkHPvcu951q4Yhl0kaaefDNMMiiqAITM3EXczFTc0EBShQk2ASXKNr - rLdPtxTsYHGIwFVQF4HDTWZVs3uzwG2xzfoS52ZZvKmzX07YW4HXT1n3aKllYuKzH4Mg6t5v/ISX - 2Ktl+wb3ap+3L3uyk7X4MQiGoZihSSjDsNKEN7/+teg2kDsBzAGgGACUvj/DKb+oi6+FxqfMt95F - 6PWe8zkAwzDUO+uO1dpYVa1vob6jmiVRH1mfdeZciRMKHreHU9TQirre+zd2YVuKLgxERERERERE - RERERMsmxtj1k5TSxRdffPPNNz/84Z+fM2KEKoahxDhrdJxzxtk1Gj6Bu+ec6+DKaDQqpYhIKcXd - 6xBLbRZZG78uw0ARERERERERERERERERERERER2UMuQ6W0UhF62vP+XJT64FuznnRaFvXS9JevfZ - aFJTrAC48puuWFtZSSHCZk0WnJNlLgAi4sAZa88PkmO2Z7U8/oKdwbXoDrAIlt7a2tpFvf94PBaR - E3oNsHFAJY5hGFIIXdeN25HG0LZtKaUb+mIWmpTa9nnfce1f/d3fGhBTmH81F88/vqlcMgCHq2hK - UYAY5NDqyttuvOEhD3owzKKGvu/7vq8TF9u2nWxurqyshBC6rnvIgx78mle+ysyTzvo+qCjcY4zT - yUSW7++LhgARM+TiADTq//inz1z30h8YrYyzlWxFVfs81CmadbYnERERERERERERERERERERERER - ERERERERES0JVa1VZjUK18yapnF3Uc3DUIueAMQY+z6fvsivIOBX/vPbhulmStL3Uwc0ItssLNoE - JuKCImL1R+iOFpedLft/5GRfF9/PZf8PDu0lcSullGKHDgUrpZ96FDt622f+8P3vhg3wXL/uZ1Ms - GkKouQyYV8SnlPZ153enFu/3fV/ralNKNVECwGQyUdW1tbVFtewu6hZjjKUUVR2Px4sfAbj7dDqt - N+oD9vBNERjdTUREREREREREREQXDN223D0b+pW2yf1UYSHKTTff8AVf8NCcIUAMEJHaNbRt210P - 7dQn1oHhOh5cx1pSSjXDuz7s5I6lRERERERERERERERERERERHThCFHMcxCFOazEGJ7+1KsTREUB - qKqILEK7z6OA4SH3ABrRp151dRNTVAGgEBerNdoM8L5XWsyGOl40vtyTo8zsPDqt9sNi9lq9ceTI - kV0Ebh86dGgR/l1vXOBH9QQpRMtlbWV1Y2NjOp0afCh5dW2twLemk1e9+jXv/63facetn+64CRxw - wICYmiaouNmQA4DiP/OqVz/qEY/sNrfEPQUNQVOKZjbkXMwKPFuedhMFguDJ3/KtT/i6rzUrAl9p - R+azTzvE6KeKWj+X6et+0i0rJYRw/D4JBrzzV3/1vb/2a9O+lxBCiqltJt207/vRaFQfWVu8EBER - EREREREREREREREREREREREREREREdHByjnHGAH0fQ9AVY8ePZpScjOIlFJijG3b5pxT0+B0kdgO - eJ5+6hO/8Z73jFOTQowaa1XcrPrJFa4GrTccWiO9z36pVa9nuQAwCfuZfh0cuqNlp1HlRfZ3ASBu - XM6LBcBolLa2tqZTrIxCUqwmufFNP4fJEaDItrMQULjB7XR1h6WUEELTNPVkN7O+75cwfyHGOAxD - 27buvrGxAUBE6pWqhm1vbm6qqrvXO3eqpn2b2WQyAVBTumuvgLZtAYQQjhdOLt/xOX8xupuIiIiI - iIiIiIiI6NSm02lKyczW19cvvfTSG2644cEPvr8ZSkHOBcBoNOq6bhiGxRjG2VPVnLO7hxDquIiq - xhhFJOccQqjB3jnnUgq7lBIRERERERERERERERERERFdsGSuRh1bLl/2JV/yFV/+KLiFEMyslt0u - YnEPeHfPmjuaFB/xyC/90i/9UnfzYgpZzJNhbve9WP2W7q4i/dzLOS/OwYPel4O0yNu+/fbbd/H0 - tbW17VcqO1UI9AUuxnjs2LGU0ur6usFzKV0eUtt84A/+y0+85tWqMpl0TRP7IZ/6+QIAMaV+6HOx - lKI7IvDS73nJlVf8p25zK0DGbTvdmtSPYLCiKRb4eHWl5tOvr672067R8OM//CP/4qLDTUhdN1Ug - hlhyLqd73YO2aEuB2qknRAde9OLvmuQ+pPi522/PZqltUtuUUg56Z4mIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIjouFo223VdTfOdTCZt2w7DIKq1qDbn3HWdqvZ9f/qqNEPfAXbrTTdMjhxBLjFIP/Um - QB3YFmFd7SIre7a3flZrA+Aoog7dnzUc2NHadrg49nGh807X5bW1NQWGbvBh+k+f+Mffe9c7JCk8 - 1yxkA7bFIp+2erTGMUynUwAiUlMYllOthy2l1NrYyWTi7u5eizHrnrt7zrlexHZEVUejUS2KDCHU - 0O7F9a0Ge9dyyGEYLvDS5r3F6G4iIiIiIiIiIiIiugDpqf+FXGyxhCiHLlorZTh27EjSMN3cuvTS - S2+66aYHPODzUhJ3qKIO8MQmFdtxS8faNNndSyl1uKUOkGDenBRA13Uxxl3kghMRERERERERERER - ERERERHRvcasvteGoIgaFEgpXnPNNdt/W+tycb4F4rr71d921bhtg4jlAjHzRTqsmdgiwFtYjn8v - Ur+0y1xUXwkEwDAMB70jB6lmlvuciNx22227qE5fX1+vn3tVN8WWAQuWi+VyaG09xvi5z352NBo1 - q2NT+fO/+uunPfNZbdsUuAN9nwGEGE/dqkRmX9cUwzDkCDzp8ie+4Duer+aro/GobcqQSykhhD4P - BoeKwbMVF8QYSykro1Huu4c/7Iteet33lzIIRCDuHjQASDEtXgiyFH1SRBXzL6equnsuWYDbjx57 - wXd+Z5eHtYsvyjmXUmKMeefzPImIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIho/9QSs7Zt64/j8Ri1 - AtGLWzazGotbK2dV9ZQ50AIoDLm7/SMf/pM/+P1QSjBo8SQIjjDP7RZHsNnt4AhuO1orrL7QWaxh - Apd9W2PH6yVM13ZRLqdbzsknsDOqSAHquVW75fo3ot/06UYABDDAoRCF6BlyuzGPo27bthYDDsNQ - 0xnO1Zs4W8MwNE0DIMYIIOc8Ho9rIX+9WA3DUDO8F9X9OyIi0+nU3WuWed1CrbqtL9p1XQjBzFJK - S3h8zl/LeGoRERERERERERERER24zc3NyWQiIhdffHHf9zU/+6EPfehb3vKW2jR20Tl01/1D63bq - 0EuMcTH6CyDnDKBt23qDQyNEREREREREREREREREREREF7Jau7uYQzLdmjzhCU94yIMfVKuUVbVW - KZ9fObgiuPjiiy+//PKu66IGAAGiDgF82/twYW73vZCILH90d1WzkGvs9Pbw6QtKvbzU9e23376L - LRw+fHhx+x7Ou7v3EUcbkw3Zhjzdmlx08eGjGxtm9k+f/cxVV189Ho+3ur4YVlZWRAQiZcgyi88+ - eVvw+YTDhz/si37yJ1650rRRkLuum0y9WJsaACGEpmkm3dQFtbNDznnoepinEDeOHH3WNc944jdc - LnCFuJUQQgihzmZcQvW7tPgj6AAEv/k7H/jV97xbVCXoaGVl0k35lSMiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiJaKrVsbfFj13W1iMndY4w1vHZRFlSbt59MAUURDMDwSzff0MRQhry6ol0H8eMFqoIT - KrJ039YAZq+7L2vseL1UC52F5YoYHo3isWObOfu4bT73T5/6wHt/FWICq5+mAxBdfLZn/ohHo1HX - dWbm7vWMPt15fYBSSu5eS/hLKSmlvu9zzqPRqF6RQggnXLt2pJSiqm3b1pfAvDrSzEopfd/XgHDa - c0v3VSMiIiIiIiIiIiIiWgaHD12kkGLD5taxGFXE21Hquu7hD3/4LbfccvHFF5XiIqKqQ99j5y0d - U0rDMMQY60hwjHHR3bV2KS2l5JxjjHUQZc/fIBEREREREREREREREREREREtJxcABtjxe9yj6CK9 - 290vWj909dVXhxAAiEitzj2/cklFcOU3XXHpJfeBOcyTBphznsyFoNaQxxiBXUy8OnfqCZVzdvcL - NrT7ZEeOHLG7f9SJLrnkkhCCu9eLmIjUPgJ7vnvnqTwMcAcwGo2mky42DVSf8YxnfPIz/7QxmQBQ - xWQycXh9mEL1lF0CBADc/ZL1Q2/5hTf/i0vuY0OGexNiE3XctCLSdZ2qukBCkBBCSiEEVa3h3DFI - imEymbzmNa+5z+H71K32Q3+6s8BrZ419dopXccDhxeovRAQucBGEEFNxSMB11133yU9+spRy9OjR - GGO95pxge/MdIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjqXarHhMAz1x7Zta0v2Ws1USmmaxl1C - bCAhZ5vldM8WAHCg3tsEA/pP/v3f/Mmf/qFZrxGDFVe4wsXmC2rgcJFZydLZL3bWa/hd9nJ/FtvX - Jfj+LpgXUXM55eICF12epetK27ZBZbJ57Jd+8UZ0myhDPB6EXHO7FfP/nK5uOIQwnU4xz8YehqGU - spx1pjV+wsxqIX/TNDVOooaOL3K7Y4y7K+0PIXRdV0s766ZyzrXMs2mamluhqpubm6y730M8lERE - REREREREREREp7C5uRnnhmEIIZRSQpTpdPKIRzzihuvfEqPCzcwEkJ03Zh2GIaVUxz/atl0MFwHY - 2NgYjUaqWvO8OS5CREREREREREREREREREREdIHwU9Xn1v4CmmaZo0F0ZTQ6duTI055y1aGV1QBY - KfVX59E8EwGS4NpnP3drY6ONqVYUDyXPfusAoD67fcrDQuc1EQkhLGM9/UnK/PzCPMz73up0159a - 9i8iJnD3ja0tADv97NbX11MIdWu1ZwGA5WypcCDiPD+7uIUmmdkLXvid//df/KUIDBCFGRwOkTPF - 3QtgiEEUcv1b3/zwh32BlaFJwXIBkHPu+97M6uzEjY2Ntm29lH46FZE6T3KRp96kdPii9Z94xY8B - ljQq4GZL+/03szr3EoCqDnkQYCg4trV13Utfqim241E3DAbnXxMiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiKi5VETcFNKtaxpEVhrZrUGre/7EGMpBe5xXkN0MgNyAdQR/fq3vC6F3E+6UaMGmBjEZwsM - 80o6k50t9SlnuQYgbvu5QOD7t65vQPZpTctkkRd+wt2yLXVBRJoUS7dl3ebv/PLbYL2inFTQfvfl - oqUUVVXVYRgA1KTqJSyN93nkRL0W1evSojC2ZnjX28Mw+M7zKQCUUlJKpZRSyiIFHPOK5nqPu6+u - ru7NWyIAjO4mIiJaQmZWu1io6u7+dxURERERERERnYqdtJzEdbGEFLOV2ie0dpwUK+oYtwlWHv6w - L7z5phtGKQgQFHUkERIA1ZBEAqB1nOMM6uAQgK7rFvfUJph1AGkxZDJ7A/NGpXU0BdvGb4iIiIiI - iIiIiIiIiIiIiIjofOfidRqKOMRVXAEF1KEhNkM20dl0lDLkcWzue/iSKx//jQ0wu1cgGutTAJXZ - MncOytnl+H/nr66AioQ6rwbQOg+nTU0AnvQfn3Dfiy9pQxA4VApcYzMU9/pIr8vi4Oz//tOemjWy - 2MZltmgMriIiCiztBCgHspsAk8mkVtSXUvx414wTl/Pd4q1tO9dMYCilaVIpOUQFANVPfvp/nKGD - wqJLgohARYJCEFQue8ADcj8E0SBqZmbm7qpavxL3Pqf7VmgM2co8D97cC2AuVuCDWxYUc6i8+a1v - vfUd7yxAdjhgBgjggDlMAA0SAKSYBIAjaIADhgg0Kj/yQy//mn/31bnvg7uXoioFDo2uApVSyjAM - q+OV3PcKSSF6MS9Wm1yYC4AAD27/x+Xf+M1X/CezXP+WuDsQtncnEECAGA7+I+z73mEOyzY4UIBR - OxoKfuN3PvCOd/5KNtcYDIBKtqIxaAzT6TSEMH8TRERERERERERERERERERERERERERERERERHQA - atnpIhN3cc8wDKoqIiX38JJSKLk/ZYd5B4qgACgZefKJ//pn//0v/2ykZsMQI/JgqqrwMvQKCQEl - A4vy3X1Y13IlF93fBbLva+zPWhSLWmgup1r2/aRzEzcABvh8MUAE7i5uKhCYl+wlKzwE7adbh1p5 - 82tfhbIJ6xReExgc2zbj5vMfTsfM6gle4xXmtZbLRUQW+1kzI2qVcQ2JqEnei8CIM2uapt5o2xZA - Sqn+uMgvX7xcPSAhhMXD6g7QHmJ0NxERERERERERERHR2bOcc99PR6PRVz36K37mZ3963KrPR3Zi - jBCxUgC0bZtz3sXAhrvnnFVVVUejUSlFRGqGdx1DyjmHEEIINVZ8T98dERERERERERERERERERER - EZ0fBN6oPP2qq2sVfwoRwDD0iwTSc1OeffJuyfzVF2azXGKot0dNm4c+Qa761icfWlmFeVXchpJj - k879XtM5VgvIx+Px8gde+7x+3i6MiVrbU7Rn57JqKaXmbQMopXz2n//5zH0Ttm1u9sDV1dW939fz - U9/3IYSmaWoDBXevLRX6PDSj1txd5QO///uveOVPGLZdSU/6+g1eDBjyEDQAsFKColEB8MQnfOOL - X/jCKArLIt6ujnLpa0T6CSnpZ4ict5JXRm0Z8stf9tIH3u/+5gBQO91ABLXPhM46pJSydKdyiu1W - 18cQg4Qf/KEf+eSnPwUNfR7c3QXT6dTdV1ZW6sF3X7r9JyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IrqQqWqt+qnrGnNbC5pOyV0hQQDkHtbd8tZfaBVN1G4yAFqyBZFR03RdVwrSooxV9m19Luh+r132 - aU1Lzd3FoSohzqoI+65bbeNnPvXx33v/e5C7tkkAhozj1aRu8NlPZ6jWq8kLi7huMwshLGF69zAM - i70SERFZW1s7y6zu7USk7/sYI4Cu60II9TomIjnnpmncveu6xavs7bugkzG6m4iIiIiIiIiIiIho - B0IIo9Gojtc+7nGP+/Ef//HFcG0euhgkBFFF1012/RIpJcx7mNaxk/pyKaWa4V0fJiJsGUlERERE - RERERERERERERER0wco5f9VXPfrRj/ryKJpLnt27HKW5BsOi5lrEzXSe/Nr1HYCHfeEXPuYxj8k5 - 12DvmgNbU1QPbq/pHKkTog4dOjTvRLEUX9rTyXl2cl2Yde+qmnOujU5cYPCPfexjehZHwt3hs3Do - Sy65ZL/3c9mcnJNdKSRqcPecs7uoxhBSSm2Madr1CPrRj3/s2c99zlAyzvyVEwkaYojFigCjdqQO - M3/MV/+bN7zu9ceOHWtiyjmnlO687bamaXa6/6q6sbFx+PDhhzzoQT/2oz8aReo0SfcML7PIcTOI - 7LjbxDkxlJxiyiUXL7fdeceLXvQiEVENqtq2bf0+q4a+HxQShP0WiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiJaImeWczSzGWEtQR6PRmSN+Y4wiAAyK//eP/+Bv/9tfWh5GTRqPFcC0zxqDqorAjQGu - RACggMyX7RziqEWSamaNehPkxre+GZsbOhrVtOkYAODkAsMzlJ+amZnV4GrMKyhDCHv3hvZGSqnm - RADY2toCsLGx0bbtTrcjIk3T5Jzbto0xllJEZFFKv7GxAaBt23pMWF9/DvDKT0RERERERERERES0 - A2Y2nU5r50lV/aZv+qbrrntJ2waVWZfSUkodwY0x7mKoo27E3UMIpRRVVdU6PJxzDiHUAZv6Qhdm - N1giIiIiIiIiIiIiIiIiIiIiAmBmm5ubz3/+87ObAioKP56CbNsLns/hHBM/6XatmjYzAE1MtZD7 - 6quvTil1XRdCqOnddZ7MmVsn0L2Gma2trdXvxtJOgqpn0zAMqEHUS7yr50Ct/I8xfvrTn77bR55w - z6WXXrpv+3WeqVe8Ov1PVetRdXdzd5U7jx751qc8ZWs6GQwxhlJOOwHR3bOVXHIMEUDfTcXxrx7y - P73hda+3XNbGK3WWo6qurKzUy++OiEjUcOzOI2b2pCc96Yon/e9NatwMd90hd5dz+QfmrLk7VGJq - VIMBf/gnf/q+970PwFBKKSU2yd3rqV0b9xz0/hIRERERERERERERERERERERERERERERERHRcSIS - Y6zp3e5eSplOp6eP+FWI5pxDUAFgBiu33HxjRBG4F2gMxbwYYoxBgJ3XWxFdCNxnBXc1gqG4FTe4 - qQ2f/uiHfu/X34cgNt0CoIrd4DiFAAAgAElEQVS+LMoN54HIYndbqlfP4rru+75meC+hvu/rjdXV - 1Rq8XQPLd8TM+r5X1a7rcs61pLRW04tISqle3Opr7aIOlHaK0d1ERERERERERERERDtQOwUD1jQx - pdT3/TXXXPPiF79YBGYWwmxgKATZXR/hOhJcx0vq6FQIobaGXDSI7Louxnj6cWIiIiIiIiIiIiIi - IiIiIiIiuvdrmqbrum/4+v/wkAdeFiUoBDC3RZXyXcK7z6UTsmZLzhARdcCGYQgaVsfjK6+8Muec - UhKRnHPNsq31xgeyz3SOmVnTNOsrq8v/edd8X1zAud2qamb13Mw5HzlyxE4XKH1Kjs/7vM/bp307 - 79SZgSLSNE09sDnnPg+uEtrmmmc/6x8++tGuuAFD3jb/0LdfWA0wiKU2OpBLTipNCAK8+Y1vuux+ - 9794/dBkc6tN6dDaRZNJVzPnd7qflstoNGpHzdB1loeffOUrPu/S+yQVhQQNQcMisdtw2nzxAySq - fd/3Q+/udf7lC7/rRf/4sY9pjN2Qh2FwAYCmaQDsbqonERERERERERERERERERERERERERERERER - Ee2TRW/22oY9pVTvPO0TVFGfAogPEPvzD/zmpz/2Ycv95uZEBLFps8HgZbCUlr+ukWgfuajL7CzQ - bQvMFQ5VFy0OdxFoCGHchFtvvh5bx5B7wJumMYMDtih8lLM6p1JKpZRhGGpYdY3EPtN5fUDcvWma - WgoaQui6rt7e6XZqWW7N5K71pDWBot7o+75ufzQaYR5nTvuKl34iIiIiIiIiIiIioh0QkfF4DGBz - c7PGeJdSnvfc5zz72c9URSk+GtVmju7uu2slXIeBY4x1vRhWAZBzBtC2bb1Rf0VERERERERERERE - REREREREF6Cc8/r6urs/5znPKV7EXXFi3e+y1CsvJtK4mZWnfttVhw+ti1sIoWamppRqsTGju+/1 - 6pyrevuSSy452J05s7qfi+juC029etTPy+eXls985jPZzpRzfEL3gdo04bIHPFCW5WJ0wESkTiwU - kcVswKZpQtu87OU/8Ad//CexjbVjhUY9wzGLKQ19r6oCMfNSyi9ef+Oj//WXd5NptzUZt23uh1JK - TQrfxTzDGON0a5JCXB2Pc99fcvjin3n1a8xc4FaKlSIiyxxmX794oprdsjtENqbd933/ddO+H6+u - FPf6xa5/g5awtQcRERERERERERERERERERERERERERERERHRhUxVVbWUsrm5CaAWop6pTsodglqR - FQBYh37rlhvfstrGcdvk7FARQCSUMrCKleiuTBziBhiAWjgoIqqqAQr7509//Lff9Q7kCcRUZej7 - AkioZaN6lrndmJfrhhCapum6DkCNst6fN7V7tQ40hFATKACo6i72c1EyLyJmFkKoUeW18LNpmvrb - yWSyhztPZ8CLPxERERERERERERHR6bnC7/Jv6WZ5Ot1S1ZSSiLuXtk3T6fRlL3vZU578LaM2dNNe - AJ01pdxxy8uU0jAMMcbal7OOowBw98OHDwMopeScY4ylFLYqJiIiIiIiIiIiIiIiIiIiIrpg5Txo - kK3NY0/+lm++z6GL3E1VFQIYYL49t9sPNMRbHWIwDyEAGDXNs5/1rMnmprvnnGuKrbunlHYXMUvn - l0VuMczuc5/7AID50oTMn0L9lh70XhwMB0xQOwIMpbjI//cPH7rbU3SWmlyDnd0FuOyyy/Z/Z88P - 9XInomY+5BJi0hDvPHrs5rfd8ro3vyWlNOmyCtbWVnK2pokAcNeA7PpTHgYNYmajJirwfd/9PU/8 - xsdPJ5sXra4J4MVCCMMwjMdjjUFj2Ol+1u4PZra5ubkyGlvOj33MY55x9VObEOqcRTebRXdvmy65 - PEQd6mYmkNSm7G7Af/k///jWW28dhiGEqBJqe4vZ5YiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - lkztA495aHetUT01d8x/GxRwQ8Qf/9q7PvLBv1tfCe5eHAVQRQghZ9ax0gXNtmUniJvAZRbaLe5u - VguBRRVmXrqtX775emwdgQ1AsTIAEEEpOy68nW/fptNp27Y552EYljZnIYRQq2XrVWgXcs4iUmMm - mqYppdTKTQCqWkMoAMQY92qf6cyW9KtGRERERERERERERLScYoyqamYxxslkEmPsui6lMJls/fAP - //AVV1wBQATuUMUuOgkPw5BSqkMmbdtOp1PMB2Y2NjZGo5Gq1oGWpR1PIiIiIiIiIiIiIiIiIiIi - IqJzIKXUdd3a2trhQ4eeePnlCrgVnBjzevBqKmopxUoR4N88+isve8D919bWai1xSsnMJpNJCIER - qhcCd1dIgLj7+vr6Qe/OmdRvI+Pks5UYo5mJyCc+8QkB/CxO08W5rKKXXHLJ/u7i+cPMZknwIqqK - oEc3N/7hIx9+yfdfV4DpMBiQHcc2thzo+3yGC7qZp6h9Pzzx8U944Qu+03JpY5psbkUNlos4Ukqb - m5sxxkUHh7Pn7vWJKUQUa2KC+Q/94A/e5/DFK22rgCzdn5q7qN1BQggG77rBgKZJBrz8h374Ix/9 - qAsQ1NxLKWfq10NEREREREREREREREREREREREREREREREREB0dEcs7uXju0zyqzTieX7FCBGwKA - foI8ff+735m3tqKKAqWgFI8xOkx2HDpMdC80y+12AC7wRVGtu4s7zG3o+snm+3/lPwMD1FHL2AXu - ENUTo5Dv7rRapFaPRqOa8tC27XLW8IYQzKzGQJhZKWUXAd4pJXcvpcQY+74HUOMt6hGuSRM1kwJA - KWXP3wWdgKkeREREy0tE2G+IiIiIiIiIaNnUDqTuxb2kFMxyHUFpmsbdfvAHX/74x3+dCEKAG4IC - QAgCYNFZ+G5bPQ7DUG90Xbe4ZzE2XJ/u7ot/N6gDKvVZdzN4TERERERERERERERERERERET3FtlK - 0zQ5D6r6Hc+7VgABwvZSFJkvB8YA82IpJgEUiMA1T39qE2MZcp2HU0pR1aZp+r6v83AOcHfpHBDH - 4nN/0GWXLXOds5kp8LnPfa5pmlLKosz+glLbmtS3LyIf/OAHCzDLnj7hoQII3F1VFQJzBQIEbv/q - f37oAez6gXI5dcC5mceYamg0gnZDf/udd3zb05466XsHDPDt7SkEAqk/p5QECKIqKoAKxGHZvviL - vuj1P/9zQTxpsFJiDChFVWtDh6ZphmHY9fdWISLisFJyo3JoZfymN75+6DqtH7VZCEFUsayzFouV - +fHDpB8c2Bz657/wRdO+d5lNthQBKziJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIls0wDDXct6bb - bq8/jTFiHu52Qr6bLUqdvMD633jHrXd89tONFPESBcCsAu4cvg+i84aIGMTMRo2WPASUVvJNb349 - No/AMkqGFwHc4cCZ68HrSQqgnm71PN0eoLA4DQ/2fFwEZi/2raY/1B/re9yeAbEji9iIugUR6ft+ - VoQ7PyyLRPC7ja6ge46XfiIiIiIiIiIiIiKiPbC1tdU0TdM0P/3TP/3v//2/q6MtdeTIzAG4e21j - WnuY7nT7i1EZd19bW1PVyWRS76kDKnWbIrK5ubkn74iIiIiIiIiIiIiIiIiIiIiIllmMcTKZjJom - 9/1lD7jf1z/2ca0md5sVji5NFmmMcTH15cEPvuzrv/brhq5Xhyxp2Cvtr7ZtFzHt97///R1w+C7m - U50DIuLAxsZGzrlWwi+K8C8ctb9AztndY4wf+tCHzvx4ETEzn8dP1xuXXnrpfu/n+aJt28l0qqqx - bbamEwOuftrTPvWZz9YeFXe5KAoAqGo9O4ZhUFFzMzeBuEEdl1588dtuvCnGuL66trW5OWpa3evr - qjjEoUC9aH/t//a4q57yZAGaEFS0lOLlTP01lkQ9KgaI4M/+/P+5+W23GKApQmQo2cC/RkRERERE - RERERERERERERERERERERERERETLJYSgqn3f1xjdUkrbtjFGEck5A6j93t09RoXPqpwcqLcEBs/Y - uP3d77h1nFTMipWmkWEY4OLLWNFIdE6dUOXtEANUJaU4nQ5BXG0o043ffucvwzNg83PrJG7wu/w2 - hFDLcgGYmaouAqqXTQhhUTicc95dfsQZ1BJREYkxllKm0ykjug8Qo7uJiIiIiIiIiIiIiE7FFX6W - /4pugK2tjPrpVj/daqK+7nU//9jHfrUIRCCY9X1smtg0zTAMgPquBmZjjABUtYZzj8djAGZWSun7 - vv7WzFZXV83Og26YRERERERERERERERERERERHRPiLiIl1KaGMexefYzr8k2LEnVqG/LoK1NEFIK - AnzrN3/L6nicgjYpHuDu0YGoGcA1ClpExPHgyx6kgJ5U4b88HLjzzjtra4AaSn3Qe3SuLd64qkLl - b/77351i6pvMcqYBLLoSOLzOnltpx/e/3/3O1f4ui/ptP+E+QLYmk/F4PFgZSh6vH3rO8679i7/5 - G9QLptzlSNbLaCmlNmJYWVkxNwDj0djhq00TgHfc+v+zd+9xll1lnfB/z7PW3vucqu50bhAuJqKE - OwYFucPIgIqjviCgyCUQAkFAUMQLF8VxBoIDog4CgjGJXBLCRWGc0fn4gjqjOCqCjqC+zOsrFxO8 - RRLS6a6qc/Zeaz3P+8c6dbq6+pLuorvqdPfv+9mfzqlTp87Z98qn1nqe3/X3uOjCJoT9+/cvLy8P - w3C4ddEt9BNwwXySY90WgSu8X11745VvuO/F9yqlRFUAbdsuYvL1xl9CAsjsq+xw4HWvf/3ffPb/ - aAyuApUT20qDiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiL5Kk8mk5v7WfwE0TdP3fc65liju2rVL - RIZhaJom51musAMFKPN38QJPH37fu/be/KWl6OKWEkajdp7US0SVQwCIYDrtVbE0blp1Lf3V73gr - 1vYBdnDh45xtWA58t9ZFtm0LQFXNbBiGeuUuGncXkel0WgO2a0XtCXx/M2uaxswmk4mIjEYj3n92 - 0II0YSAiIiIiIiIiIiIiOrWtra01TbO0tBRjdPe3vvWtD37wg9zRdQ2AGLXv0zAMXddtbXxIVXPO - tfdr0zS1HWrtiFqHoPq+P8GbREREREREREREREREREREREQLrJTSNA3MxZFz/rff8rivvdvXNBp2 - er0OI4iWVJbH4+de+pxh2rexmUwmO71StDNmc6jMROQu64nOvojZv3B3Afbt21dL7rEhl/rMUTfZ - BSGE22677eabbzn6Ptg4O05EFHL+Oefu3r1bF/EIbzcHRqNRgRe34v6Od77zI7/13wzweLR6/zpp - cG1trX45mU52L42HYXjLz7/5Gx7wwKiqkC42sxfLhh4YJ06NIRfHuO0U8gtv/vkuNmYmBx/xhbNx - 1QQOhBgNGEp+5atetTqZSNA+JT/jLmsiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKihTYej6fTqbvH - GAGklIZhACAi4/FYRFZWVkIIWK+AE0AAQAF1wAAFBAZP2P+V//obH0BJu5eaUsraNDVd3MltI1ow - NbfbRYsjNJ0Cq/v3aU7T/bf9zvvehQbA8ZURhhBKKdPpFICINE1zUtb7q1ZKqXHdXdcBCCHUL0/U - +9d7VL13xRhrregJfH86Xtz1RERERERERERERETHT2zT0nWNiJeSJpPVPbuXR2289tprvvEbH9D3 - CUAptrw8BtD3fYgRx9/C1czqmI2ZpZQAtG1rZrXxZc6567pSiqqmlDj0QkRERERERERERERERERE - RHTac/dSStOEvu9HXbc8Gj//sudlK4d98c4mk9bq4u/+d9951wsu2L28NEz7IJzfcoaq853qvxde - eCEA2eHT84gcriL79+8PIdTL7Qycl1XcavcBqHz2s591IB81aL1+U9cvcBW9xz3usdDpzieLAbbx - 67oLVqcTCVpEP/b7/+O1//E/hKAmSNlmr3Bs2rkCuFkTogAqGkNU0dW1yYuff8Vlz75UzVM/LI9H - GmR1daXpGhfMl6/Goe9T07vdyqhtHv3Ih7/wiue7W6OSU6p3+IVyYOsdcJ0t0JyzioYQPvGpT15z - zTU55/F4XDtfEBEREREREREREREREREREREREREREREREdGCmE6no9FIRGrtT61gijG6+2QyqXne - OWcAwzC0TdB5JqsoJDoUQACiFlj/4Q9cP6zclvohCDQ2fdqx7SJaNPPcbgPcESNUEeFtKO+79p0Y - VjBdhddiSd2wAIBsWNYpoKUUAF3Xqaq7p5TcvQZXL5S6egDMrGZAnNj3L6V0XRdjrDuklDIMwwn/ - FDp2Z1yJOBERERERERERERHRSZJSyjnv2rVrZWUl53z22We/4x3vuOc9v7brgghWVydd1wEoOWNL - rUhFxMxUNYRQH4/H4/qtOlRcx4+bpjkjW50SERERERERERERERERERERnVlEpPYdiFEBTCaTZz3r - WWfvPmun1+swUk4AfuBFVwxDP5lMRGTcdsIZLmektm1rbbm73+1ud1PA4Qub3g1g7969WM8aPwOj - u0XEBXXG2ic+8Yl4LBnNKrMJbO4A7ne/++WBvUwAwASxbVIpn/vc5170gy9xYCgGwR2eVrnktmnN - zczc/dGPeMRP/9RrWglRdKkb7d+/fzKZ7N69ezqdntT1F0dJua7DK17xivtdfK86ZXEx5ytuvqcI - IJCgxW0oJcb4+jdc+Zm//muojJaWvsqkcyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6gUajUX3Q - ti3WE3bX1tZijG3bppRqZVMtfEupbAgPFiBAFIACEQ4fVv7hpt//2P8dxAGMRiiGhcsQJto5s9xu - gQM5Iw1lz+5dt/7rv3z4uvdAHZ6AQwv27sBoNOr7vlYj1srcxazPrUHaIYRaJhljPLER433fp5Rq - dHfTNG3b5pxP4PvTcVnEU5CIiIiIiIiIiIiIaBGJHVgOEYO45bZtJ5PJ8vLy8vLydDo9//zzr7vu - unPPPbcOtaQ060Aam+a4P1yk5nObWW1c6+45Z1Xt+x5AKaWOvmB9sIeIiIiIiIiIiIiIiIiIiIiI - Tm+qKKWMum6Y9gLc7a53fdrTnnbg24sxhaQmiz/0mx9yySWXdF1XUh61cdqv7fR60c5Q1ToDSlXb - tr34nhcL4Fi46N86Bcvcb7311po1Xmdt7fR67QBVrdv+yU9+EoAI7mB6mnuNY3d48XK/+91ve9bz - lBBCuPW2rzz3ssv2rawYEKKawxyAzheZxUxDgCY2AGKItR2DuV100UVXX331qO366aRrGys5ajj7 - rD3DMIQQXDBfKnHIFk7bjW8BqENhEAshLI3GeUh7dp911VVXpZQB+AltRXFS1Y48AIacs+Mnf/In - b7nlFra6ICIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIlo07l5Kmdf+pJSapsk5D8MAoJRSaxVr0d+G - QFaF6Ly81gvaKEB517VXr63sV9X9+/N4vN3bQnQKcACIEaWU22677Tc+9AGUHmUADDC4zi4uOSj+ - eF4YubHqNIQwnU4BNE3j7jW7+sRGYp8QIlJjI4ZhGI1GqlpDH07gR9QblIjknOseqEkTtCMY3U1E - REREREREREREdAJMp9PRaJRzbpqm7yfDMGhACOGCC+58ww03XHThXQG4We38mNczvI+du9ch4Tp4 - UwdXalPU0WgEQERCCAs4+ERERERERERERERERERERES0gA6trjxMtqkf+VsLwN1ztqWlpaHPIWgI - YW1t5QWXP/9AefMCrLcAJduoic977mVRQz+ZLi0t9X0/z0+lM01KqR59VZ1O1+5zn/vs9BodXo3u - duD2ffvSUERE9MDsrI1ZyAdnHJ8ONoY9103OZqWUz3/+87nc4Q/P9puqOqDA3e96tyhnXD37LHt7 - /ktEYAIX7FtZedFLXvzFm250QBU5m6hCBDgw8c83LCknFbWS4dZp3LO09O5rrr77BXeJoqO2Kynn - Ianq2toa1k/ak8HW37hpmpWVlUZDELnPxff88Zf/SAeEg9tqLCgHHDmltm2tnqIhfPLTn77q2mtx - hFYXp9l1TURERERERERERERERERERERERERERERERHQKMbMQQoyxlOLubdtiPQc3xlhzu+srN5dV - uWG9XisILDl82H/j5//4D34veloex8mabSh4Mzm4FNfWF6LT0sZzWwCBi28ob8zYNWrzZP+Hrn83 - 8hRW2ths+FE7ltL1UoqqqmoNxlbVEMKJjcQ+IWqiRM55PB6bWb2l1JvMiVJzJUSk3rXS8YdT0Am0 - cKcgERERAaj/9yUiInJi/1eMiIiIiIiIiLbO9ShLiG3KJurFUogi4gEOG2D5oq+5+69dc/VdLjgv - CqwUgYU6lCvQGCAAFFCREEJTHx9lLWa9UHOuj929aZr6Z4T581UpBUAdieFfGIiIiIiIiIiIiIiI - iIiIiIgO49BwbjkQmioish5IuoBzL0SCakypAIiiXkpUufjir/+Ob328ADHMp6AE1SjYjqjspo0Q - xHZWht3GRoGlpus0Pv2pTws+mxbj7ozuPgPViOvaIyPn5G6jcXePr7vowKkqBy8byNG+eVKYm6g6 - MMnptn2313YC7rNYa90Qbl2fO/lrdHLVoyOzjTGBzXa1iKoW9y/f+pXP/f2NDgSN7rPb5PoPb5hK - JwGuAIrNUr4f+ID77cwmnVCzs/cYFgDiCB6QvGvakrKGmIqFJg5WfuZ1r/+TT3wyu0sQM7Sx8WJN - iAJErQHeJkEhEI2A1hB0AQIQza5+29se/g2XyLSHWyoZKhoD6mtE3F3WT1H56n5p1a3ZuO0Gdeik - ny4tLeU0SCnjEF79ilc8+P4PiIACtfcNgDY2AtRA8oOu1m28gA86Pw90yzE4hmFwIDZtX6wofuFt - b/uLT3/GDeKz3WjwuqRSNh5W4MTsWyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiK6Q/Mq1BCCrKdz - 1/bstTE7gNqeHUABZvVs9aEXAAaUWgrkBdZf96tvi2XSwCOKwoNA12ungkAEpRQ7UGE8SzgWt43B - xkSnAdNZta+YB88iSVEgUMBzjrn/4Luvwr5/gfdd16VcAD2oTG89/ts3fL1edTf7rpnVy7ZeqjUu - YafM12G+GvVOEmMchqHeQ+ozW8uLrDereU5E0zQ1p7zWU2PD3ax+Fu0URncTEREREREREREREZ0E - YhADoKpm+eKLL776ql9p2xiALjbmVsdLrJTYNADatnX3rY0emVnXdapah2HcvY7xzEdr6r+rq6sn - cPuIiIiIiIiIiIiIiIiIiIiITkOnTvyuH2FVFX755ZcrkLO1XQMEuLvBtyV8vM5+yTnXouKSkwAp - 9S976UuDIQ+paZqcc9M0ma0KzmDzM0REHvCA+5tBF7LcWUTqafqvt3zZTUopIqIOPQOCezduZt3w - j/7uxwA0MeSS76A7gEBEYogA7n6Xu55//vnwcqbFHbtZjDGn1DRNKUVjmA7DBz70wevff0OfMxRm - sx0iQE4JQNOGOuXPzbDhJp9Lrq1lXvHyH/q2xz3ehiHKDvyuqusTQphMJjHGIBo1BPc3Xnnlnm6k - wDAMS6OxACknAA6v58BCiTECEJE+DQaHhNV+eOVrXp2sFLecs8GbpqnX/mg02viLqp7CZ8LlT0RE - RERERERERERERERERERERERERERERLSYaht2rGfxqmpKSTQcSA52g8+aw/uBPG+D2C1/938+8Uf/ - U/O0DbMQXzeD1YbuNcc37MhGEW2z4rNU+1raW4vmBAZDhK/ddstvf/iD8LQ0HvV9f1DesWOe2z1/ - wrG5gl1VVXVjTnYIYQfTu2sgdwghhJBzBlBKcfdhGGZREWYhhBhj13VbeP9Symg0AlA/JaVkZjub - Vk6HtZC17EREREREREREREREpyjXgxaglBJCMLP73ve+H/jAB5aXRymnto3DMAQNQQPMUdtWLi1t - 4QNjjGbW9z2AeUNbVa0DM8Mw1F6TZra8vFwHgImIiIiIiIiIiIiIiIiIiIjoeNUy/gVXg0Uf//jH - X3zPe8QgwzTBXVUBk5MfSy4Kq7Xa7iIiIgAUGiCXXnopAFUNIbi7qtbaZjoD1Xr7OsEJxb7xkgcJ - NpXqH6ZS/9DS/W1Qz+Eg4Utf+tLsfF7PGLcdiE7eViazbRQRjVFEfvu3f1tEUi4Ajnb9mkGkdisR - 4H73vW/XNafE/XNrxDcvVYixmK1OJwYvcAnhE5/8s1e96lXTYWhiMIM5HBhyckBDADCdDjUlvU4B - jEFqg5hx27n7d337d/z71/70aDSSncjtnquXQG1wk1Jqmuaxj3vcS1/6UgEU0k8nAAQSNIQYU8kH - Xbl+hD4c26h2u5B1tQPIn//lX/7nX3qLQ0JsYmj27t3btu2obddWVhQHHVacAdc+ERERERERERER - ERERERERERERERERERER0cIys5yzmcUYa4nQaDTaHJErs+bwVYwiAEqCl3dfc1UU85KDClREVUOE - qLubzYqIZH1hyCudtgyogdYCFzUoIOpoA9pg7772V9LeW6BhbW0KoGna4357MzMTkVqLOqvVDeEE - b8Uxc/d5Yaa7l1KapgHQtq2I1LjuUkrOeTqdbq2Eczqd1kLa+s7YUI9Mi4OHhIiIiIiIiIiIiIjo - JGrbto7EqOp973vfd77znctL3TDkro2llDoYU9O119bW5mMqxy7n7O4hhHk3SXfPOddOx23b1lRv - IiIiIiIiIiIiIiIiIiIiItoCh8//XShyhDUSRxC94gUvKDVFG1aLhLch7dUNADQIADMTh0AM9sRv - //a73PkCDWjbNvWDqiYrquoMQD0jiQjMRaQW3l944YVn7Rrv9EodntksUfymm26qKb9W86jPGA4Y - PKU0nU4/85nPuLsBMcQ7iOJ2ADC3NsZLLrkk9f0Z2GKgwIeS95x3LlQMfuONN774B18yzQYguzmg - qqPRqL7Y3Zs4mzpYp4EKBbcAACAASURBVAK6WU4JDoX0Q/+gB37D1VdftW/fPncPoptbyWzndpUy - 70NRn9l3220ve9nLHvbghyi80djFxuHFCna0lcaR1BYbZlZnXaaUzCyo/Pxbfukv/urTg5cCP//O - d963b18pZTweH+lXLRERERERERERERERERERERERERERERERERFtPxGJMdb07tr4fTqd1jomAWaJ - xABmxXFwoBRXBeDo2hv/96c+/ak/7bS+TGu9Ywha+7qbubKeiM4AqggCKEw1Q8zVXcRhqd/75X/8 - yAevhwK5AGiaZkjTjVfWMapXZf13GIaa4b1TRKSGQQBomiaEkHOugQ6q2vd9fVBjHbbw/qPRqFbx - q+p0Oo0x7mARKB3FGVfqTERERERERERERER0cuiG5YDpdC1GDUHaNhbLD3/Ew375HW9fWmqHIbdt - BNC2Meeh9ttMaYsx26WUEELtiBpCiHHWHTXn3HVdDQ5PKZ2BLVCJiIiIiIiIiIiIiIiIiIiItuwO - 4mkXhgs2xgmXlJ/1/c/YvTQOCoV4yQDMj7sueosrU1xQ953XCN8XvehF7q6qpZRSSo1DXsBIVzp5 - XDBPaq/Rue6uQNQwHo0e9A2XhPkJPDtrjvA+R/vmiVfPVXO76aab3F1iqNXyp2V6tzjmKcUOrUud - C5et/NmnPnXL3tsNaJqQy6EtEuxA5wVxiImIAjnnhz70ofWIb9d2bIe6rzbusY3P1Cfd4SJDPxhk - ZW3tGc965s03f7mNsbZ6qenR0+m0Nncws2zF1xtAeLF6rrexEfjZu3df9973tjHGGM0LgBjjTm17 - 7WgDQESapimlxBj37Nr9c29803I7hlnJOUBG3SjnXKxAMFvqjjroq50x/+1TZ10CKHCIvOSHXjYd - huS2d+/tu3edVbIpdONhrfexjXczIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiItpO7m5mIYRaGdQ0 - DQ5bCywKqAMCmENV4YbJKpDfc9XbGh/ESzbLZtlQHFANAlVANneZd1EX9nWn00oARGDiBncEaBCI - CpYa//ANv4b9tyD1ABSyteLQWnuYUhqGAUDXdfPQhJ1Sgxv6fpYBsWm7apmnmZVStrCeNa4b61XJ - fd+ran1MC4W3ciIiIiIiIiIiIiKik8jdh2Fw98lkcu6555ZSHv3oR//cG/9T00gpJQQZhlyHkWqH - 4i18RNu2AHLOALqu29jqtI7WzEeRT5V20kRERERERERERERERERERER0vOZ5ok3TLI+Xnnvpc2CQ - 9aTjoCc9KlsUELjDHYJZK4L73+vej3z4IxoNXqwMaTQazWO8T/b60MKqs6TqXKZhGB7zmMeY73Ca - 76FEFesXzt///d/PJnedXhHUd8gFLrK0tPTu976nPlNKkaNOchMRmMNdBQAueeADxt3IUpYzaeaa - C6DSjUb711aL20te+oN/+/kvZKDPuekayKwjDIAa3Y31jgzuXqf/tbERoOTUhvC+9153zp6zYoxR - pY1NSmkHN61pmpSSqoYQcs5N04QQVldXH/KN3/QTP/pjDgsQh0/7KQS6vpkAILNrvLat2akLSVXr - TMsQgrvXCZaCMLXyhS/deOUbf1aCxrYpcDPb2V1NRERERERERERERERERERERERERERERERERJuo - ai1QXV1dBVArVc3scDVvCqgJDMjZAAMypPzN//qDv/2bT0eVNmoI6u6lmDu0FhC6AXZGVcPRmUYB - OGAwswJ3EReIILjtu+Wf/suHrof3ItZpdMgwDBqOuz69luaFENq2rWnZZraD+Qi1fjPn3HUd1tMc - 6s1kXuApIk3TbC2qvOu6lFLbtiIyT5rYWt4EnVQ8JEREREREREREREREJ5zO/wI/Go1UNQQpJU0m - qzFq30+e9KQnveENV1rxJmoMyCmpIMZoVkdw7bg+bBgGACGEpmn6vt+1axcAVa0jUqWUeafjrY36 - EBEREREREREREREREREREdEOlgQfC98wK8RLnk7WfuCK5++p00gAwOTktwrYuIdqUHgEnnfZZapw - zKavhBAACELJC70/6aSaxxXnnMX80Y961Owbx3ZS+LG+8ARwdwc+/4UvDCWbWThciwHZuRzik8oF - BrjIF2+86WMf+z0AqmKGGONsmzdvtgHmtj75zXH/e9/ngjvdScxl+47Y9hE/sBxqmlNRjHYt/8Rr - Xv2HH/9fNutlgb5P7hiGQYKGJkLF3CCQoBpD7cXQhJhzVmCp617zyld980Mesnt5OQ9JREopqrqD - kdKq6utqN4q+77uuK/3w4hde8ZiHP9Jh9SLpRq3ltGiXx7zbRb0FzeZYuhnQF1zz7vf86Sc+KSEU - t1JKbcOhDt1wiF3M5fhmeBIRERERERERERERERERERERERERERERERHRCaSqTdNgPZE3hMOVMAkA - mKNtY30iBEHuYf2H3veeNFlxR4xQnf1sLZgCIHCBic9qiI67XzzRwlOH+6x+dlYAWGDD5Ib3/Krf - /i9ADl6K5VYjALPjrmesCdZmNp1Ou67LOaeUdjDKWlVLKTHGnHPNazj77LPrGpZSRqNRfU1Kyd3r - veW49H3fNM0wDPPbSC1dpEXD6G4iIiIiIiIiIiIiopNoGIbaobK2cTSz8Xg8DNPvecqTrrzyZ/q+ - uCMEcUfOeWsfoaoxxlJKSinGuLKyAkBE6niPiIQQzDi8S0RERERERERERERERERERHT6U6CkfOfz - zr/gTnd++EMf0qg6XCC5bHFqynFwwKEKAdQhwPJ46dnPfAbMvFijQVXX1tZqKfcWSpfp9DCvPFeI - AuNudL/73S/u9Fodys0gMDeH/9M//dMwDH3fu7svUg7xybOe241s9ru//3vTnAzI7qIY0gA52l5Q - 1UbFgUc96hEhhH6YnmnXuwtCE6c5XX3tNe+67vrVoY8x1Al8DjRNgIqbmZmVAkBUa48Hd1dIKUXg - 47Z9zCMf9SMvf7nCS8pdbPKQ3D2EsIP7M6VUpyOmlJaWllZXV5eXl0tOKj6KzS/94n9uQ6wdNPrp - gMO1vNnZCyjnPBqNRCTnHGNU1RCCu4vCgWlKL3v5D6+srZZSxuPxdDrd0ZUlIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiosOo9UHzkF13OaT9ugCAKKD9kGdfewEMnv7wv//m3lv/dbq6YhniPv8ZMxO4 - OAAX+Dy9m+g0I4IAQCq4w1OyfvKR918HZLHZRWFmEDt6Pelh1UJyVR2NRmYWY+y6bmdTElTV3WtR - 4Wg0muc4xBhrIWEppW1bEUnpuKPKa+w3AHevH9R13TAMJ3wr6KvE6G4iIqLFJcf/P51ERERERERE - tEgU0KqUAqCUpAqzLOKq+r3f99RXvOJl7ijF21ZVDupKWf8yEEIAEOPRmtOa2Tz2e/6gDk2JSP1o - Vd04LjUfxalO4DYTERERERERERERERERERERLZpaTIv1yRIhhGEYjl5dWZNHRURl9sIFjPAUh/jm - ZwB0TbO2srpnedeLr/gBMRdA4HLy81JFFUCdolJnpFx++WUxRhFRlWIZ4k3TiEhxMyzclBV3F5E6 - x8bh9RxYQLJhVc2sPjglqpBq6LWIqKo4Qgh1dtOu8dIjHvZQXZ8rhfUHO3sIZP3Tm9ispeGv/uav - QxPris3Tuw+9Bk9h5m1sZrPONORU3KEaJMRf+MW3GBBjcIcZYhNw5ClnMUQzM3MF/u23PK6kNGq7 - 6dpkG7fkJDr0iNc2CmZWSoFKaGIq2UUQw+/+zz/4iZ96bT1b+lyA2V0vpVJ3oK/P6HObda+olzbc - BLjLne587TW/KiW3GkQkl7TeR8Z3sEXFrBUHEGPs+77rupTS7KKGXXTh3X/mp1+rDgXgQPH5j+n6 - 1b3jptNpPc9zzrMDB5gDCnPc+KWb/tMbfy4VD22TUlINQymhbYZhqDnfZrawvx2IiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiITnu1srJWDddG64ertzpMBZyVIl4Ehjy8++p37moFZYBbVAkB0yF13dH6 - wBOdPhzmBiAEnfa5VYwi3nP1O7H/NlhZL5+zDJu9+sjm6Qnzwnys15hvfB47XTIMYF6OXYsKAbj7 - PNMBwDAMd5jXMK/m3vigVh3Wny2liMgwDG3bnvhtOOPNA9HrbX/jzb/u//oLAgefhHMsDSUiIiIi - IiIiIiIi2l5iEANMRH74h3/4JS95IQAzc0eMm/9uX0dfNg7eHLsQQghh9+7dtcVkHZfKObt7beJZ - x29EZGvvT0RERERERERERERERERERHSquMNa2W14h+0xS5Y1m65NHvOoR93n3vcOItuQ2w3AzURV - gF3jkTsU+N6nPq2NUU6RXVfJKRGCDWBDXfcpxAW1rN3dLReFRNWo4QlPeIIApZRZNvYCnDNuFmJ0 - IOWkwI033jidTvuc/NTb68fEzPq+rw9CCKFtmq6dDsN7r7vu1r23OZBslsOccznKHSXn3LVRVQA8 - 9GHfHEKYTqfLy8vbsxU7pWmabjwqpaysre46e08q5c//4i9f8MIrVCU7JCqAEPVol6w7HAKIuwB7 - lpavv+49TYjiUIcetbfFIugn0xjjrvHS5Zdd9qhHPlKAGAQOEagK3K0UB4IG1UXJ8D5AII0iCARD - sV+5+lf/9M8+0adhedeuVHLbtjnnpmnMbDKZdF23g9HpRERERERERERERERERERERERERERERERE - RGeyUoqqqmoN7dZ1G15i8E3lP1qrs3R9gec//MiHJvu+Ejy3UafTaUq2Z0876Ytvrp0zXfTSLqLj - Zoa2VQDDkLqgnjLS2m/e8F54ntcy+jyy+8iXQAgh51wvwBqCULMPFpCIpJTcXURqIXP9dwvvU7c3 - hNA0jYjUguicc9/3tUp6Op22bcs6xJOhbdtaCq2q9dyr+RrDMNQdPj9Ah93/jO4mIiIiIiIiIiIi - IjohbMOykR72r/EBMmriMF37sVe8/PLLL80ZXRdytqBoos7/uD8fdtqC2uK27/umaVS1jhPEGGvT - 3pWVFXfvui6lFGPc2kcQERERERERERERERERERERnYr8mJOB5y8spZy89dmaWUo3AMAFLqhzV8ys - CTGqnLNnzwsuf567e02FPekrJG4GYG0yBfAtj330JZdcUhN89fBru3BEDiR3L0J69GHV9avreQql - d8/nVNVC9I3rLyLf/Z3fpYAAZiYidabTEQ+BYFvC6GeF2Qao6kc/+tF2NOq67jCrs+HcPnWFKCFK - CKFmePd9Px1ybLtrr712Mov0htQ979h03m08IALknIv5Ix72zXe74C4KxCDF0nZvz8m06Yi7e5+G - ST9turYdjW6//fZ/vvnmy55/eSqeDQBK9hBjznbgjPbDLE3TBA0OV+D1r3vd/e99n12jsdb75cLf - P7uuU9V9+/aN2u4db3/b2buWvLg4vMDswM4ys2RlAa8YT4bsGoIDBrz6Na/5x3++OTmWlpdv378v - W+nGI9RmHOaWF+7/B4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjOBDUWF0DTNO6eUjIzM/OD8oUN - vr4cQgB4QZr82lW/HD0Fz3vOGg/DkPOspPGQ9G6i048Ng3nB7nEzalTy5N1XvRUrX4GXWvvnQDmG - mOOaVN22LYAagjAMwwJWZ9cbRdM0tRCydgzYWt+AGGPdQDOrWeD1eRHpui6EUEoZjUYL2JTgNJBS - AlDPt1JKjNHM6hFp27Zmsc9u4+tl7JswupuIiIiIiIiIiIiIaAe4+2QyGY/HZvba1772mc/83r4v - TSNmyNnc3d1ronbtAHu8Yox12ABA13U1C9zM6ue6+65du+rgzQKOYxERERERERERERERERERERGd - QPPqyvqgTsw4+o/MXzBPvM45n7QVPMEUIo42Nnv37v2+7/u+s8/atT19AkQEDtW6DnjRC3+gpMGL - nSqFrPPTo+6u2TmwqNnYG8uGF3z+z6aY4RrOjY0huGZf//Vff9FFF3Vtt2m7aqX0DhCIao2iV1Ez - +/gf/VHXddOht0XNTv4q1Uj1nLMEHS0vaQwhhPfdcMP/8//9bQ0zdgAKDQIctmPJjCpgEOD5z3/+ - yspKPYhlSAuX1XyCpJRi2zRdqzGmUlLJxe0FL7zin2/+lz4NDm+7zuA5Z1VVPdrtMKfkVqLIpc94 - 5nOe/awgegrtt5xzSmk8GpnZueee+7NXvkEArY1sDHCIaozR4A5AF+/6EYGIldJ1nQP/7+c/96Y3 - /5yrfPkrt55/wQUaw9raWj18O3lfIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjrjjUajvu9rr/Va - 8nO4ui0DDqqC8/WvvX434qMffn+/sneY7M/T1ATtE0ITXRRM76bTnapG1ai6sm+qZsPq7b9+/bWI - BjcFDDgod/rIRY41qXo6nQIQkaZpTvaab00IQVVFpJRSbxdd123hfdq2rdnkbdvW0OgYYy3OrXck - ADUa3MyOXk9KW9A0zTAMGzO5VbWefljPYq//1pLeQ9+Bh4SIiIiIiIiIiIiI6EQQO7AcMi4L6Ka/ - yafc7z5rWcTNsni58nWve+ITn5CSA2jbWWL3bOhXsIWB2pxzbRgdQuj7vg4L1X/H43H9Vo0Gb9s2 - pbTVzSYiIiIiIiIiIiIiIiIiIiI6BWyM6/ZjyO6u36/VmwLBYkd3+ywcdTZfRdSHNPViXdOcs2fP - s5/5rG1aDbMQghm6trnw7nf9N495rEK8FMDETWCyeUbNwjmWc2PH+YasblnUZPGjmM2JKqaqOeda - na7Ak5/85H7oFQI7cARqjfQBW5pJtcX1NAMQQjC3Auxb2f/Zz352MpkceMGpt++PJucsIjFGM+v7 - vum6f73ly29+85sBBJ0FFbvD7IjXRz04ZgCwvNQ97t98i0IU7rnUiWqnpdg2dareMAxoAmK49HmX - ffLTnylwCJq27fteRGojBrMj3gObMNtF33D/B7z5TT/XxjhMpqOuBSCOeYB3jY1fwHMvBDUrpeTx - eNTG+LSnPPVbHvmIAIyaGBQAvFi2IkEhOMp+2BkOQYBJV7v2AA68/9c/9Osf+fDuc87eu/92AyRo - zsN43OWU9BS88RIRERERERERERERERERERERERERERERERGdBmrJYdd1quruKaUamruxA7wcqESc - 1zHVQO4NNa7DKtb2vv9dV+1qA2wYjVozqMIApnfTaU/EAXSNCNBKfv97r8H+WzCs1JPeAWA9GeGo - FddHvh4Xi6r2fV8f1HYB9cvjVaMcRMTMRKTWltbtdfdZUwKREEINmT6R20BAKaWmp5dSQpgVPo9G - oxBC3e3uXvPjm6bZWA8+x+huIiIiIiIiIiIiIqIdUAdObr/99hhjzjkEectb3vL4x/8bAMOQa6/S - UkoddBHd4t/zRaSOXdUxGzOrTUKbpqnPl1LmYwlEREREREREREREREREREREp706ieJ405kFspjR - 3YcGuAqAYl1sxDxqmK5NnvOc54y7Dic/8lhUSykhyDCkSy+9dPfu3bXSVRY8CnuDmq274OvrgJlt - jKLHwmd4b6yzP3ANFnN3hTQh5pyf8pSnAFBVh4uIiOhWJ02dQO6uogAEeP8HP3D++efv9BqdRPWC - VdXJZNI0zdVXX/2lf/lnQLKZA6oKn/VZkDs6Mo997GPPOefsrutyzjW1ehvWf0cMw6AxhKYJTez7 - /sqffcMf/+mfOFAMGsKQhvHykrvXJgtHuU5zyQFy1vLyVVddFYIM076JIaV06P3TUPu/nNTNOm71 - ao1ts//225sQmxCueuevjGJIKcMQa/q7u7uHGBewa42bNW3bT6YhBIFAtM/59T/7hpv+4UvZzAWx - baGSUprPySQiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKibRZCKKVMp1MAInL0zupHSu8WIARB7j94 - /XsnK/tGbWPFAOQy+wG/wwo6olPcMKScce7u0a03//NHrn8XQhHY+nkfIQpBvXyOXg44Go36vq9V - z7XMcBFKgw81Go3qis2Ll0ej0fG+ibvHGN299hzYeP+p7znvRVBDpk/ImtNc3clN08xzu2s1urt3 - XTdPT6+57OPx+DDvsI1rS0RERERERERERER0eju2tr2uAGCe+n5peaSqTdOYWdd1b37zmx/+8IcC - yDk3TTMfYfIttS6tQwV1CGHWGTPGGGMdsAkhmJmqLnjfXiIiIiIiIiIiIiIiIiIiIqITZZ4ZfLzR - 3QBOoajOOmNEVYdhiDFe/PX3/LZvf8I2fG7dvSGE5fH4Oc++1HJOKc0qmbfh408Ed58f6EWeVOPu - G7OQt3A+7yARqdOWzCzGWHNwo4Z73/veD3vow4oVAHDHepX++o9t92kkqgDqqgIw4Ld+67duv/32 - bV2JbRRCSClNp9O2bXfv3v3Hf/ynV1/zawAcXsPUzQ31opCj5UaLoG3is5/97Hpk3V3C6RB1LI5D - U7QBqGoIYf/+/aPlpfd/4ANvffs714ZiQDtqrBQAk7W1evbWFgCzdztkqef66173uq+96KJR29be - DV3TKkyxafbgtl8Mx6BPQ9d1k8mkbdt65z/vvPOuvPLKUYgCOErTzNK7ZxuzYFsQY0zDAMDMDG5u - AG76h3/4iVe9cnn3rhDC6upq27YrKysb2zoQERERERERERERERERERERERERERERERER0XYqpYQQ - aov1Wqs4DMPGEstaq6UHRbRuTu8GYHkACoa13/zIb+zfvz+l1HXIfowt5olObQZv25gG7N87/fCv - vx/9CmzQWdmf1nrIYylkDCFMp1MATdO4e0qplGJbylM4qUSkxkDUiPG6hnXNj/d9anhECKHW5Nb7 - z3yra+5DvU3NY7zpRKm3+vqviMQYAYQQYowrKyv1ENQDdKR3YHQ3EREREREREREREdGJcsztJF3N - bDQa55xLKe7F3ft+eu6557zjHW//pm96kAAppZrYraIqx/33fBHp+x7rbaNTSgDmQd0553lXWZxq - 3XuJiIiIiIiIiIiIiIiIiIiIjosdPKfjsPGrRyEiBixgqfAm8+1qmqbv+1JK17RR1HJ+7jOf3Z78 - clLLJUbNQ/6Of/ftF1544XQ6bWOEHRTyW1fySCG4O6iuT627nj1TQ3Z3dF7NkWYj2Ybq4kU2P/bz - PhfigIq7axNNEGN0977vY4yj2Hzv9zxZgS42ALxedPNd4OvLNq063L0mVeeSg4oBX7jpS3/w8Y+7 - iOGg7Gp1bOOafVWOFrmtMcRWgvYpr6xOXvvvf/r2tf1eu5KISFAAqgoROFCTuA+30SK48MK7P/Fb - v02AYmk0Gk3X1o5S6H6qqHetTfcuF0Alm7Wj0fXvu+GVr3611b2iGPpUz5+mbeevn53Ssvl0VqBR - /c5v+7ZnPf37l0bddG3iuZx11lkrKysmm3+FLaba5qZtW1XtYlNSbmO89FnPfvCDvnEcGzG4mUCw - qDeunHMN5J7FcosY0LbN73zs966+9ppJP4QmDkM+7y532b9//3ziJRERERERERERERERERERERER - ERERERERERFtpxqXWwt83F1VNxSv2fw/R64HPpDeLWro19737l8dBR+1cRhQy4YUEDeZvUpPifIu - ojmbXwIHV/JtKI00M1OVUeOp3/frN7wXaSJuUWsh8Iar545qAUspqqqq8wSEEMIC1t+JSEqpFg9+ - NSvp7l3X1YTynLO71/tPfU8zExFVdfeccw2WphNIVUspMcbaAaDu8Hos6pPuHmOsjQIOW8q6cKcm - ERERzTr7APX/ouZfEhEREREREdFCcz2wzNghywGiMWUL2tS/5gPWRliennfOWVe98+0PuO+9VBAg - ArjB64+qQAIk1Ga2TdOtd7XVDe1t11fncKMCdRxLRGKMdTSrdsyc//2hjm/5uhO9j4iIiIiIiIiI - iIiIiIiIiIhOok2hqvN4XTNLVtw9hFDL8sVcDltguSFMdVa6DxjcBWv99Fg+/bDxrifJPIVXHOKz - CSQOzQaXIDGkkq3kLoTHPerRD7zXfcJ6FLSqAlonoogEQOXAMt+e9eWINk9cEcCzNQEvffGL09Cb - lVmBq6va+rJdO2cLQgjis/kzUbSW5sqG6us73iUnycExvyJYnU6gGpqYzWDehNbywu3Tg8/PA8fd - zCRoKhkq9d8Yo5ccSnnG93zP2eNRzilGlQBzg9QrdcP5uW0bah411I1wFQdijFe+8WeLoLhDg2gY - hqEN0UqOogBcbH3BpmX7bboR1dWod8XiBhVVNbMmxDwkhYiGvhQPQdvuTb/485/6y/+tKnXamrtb - KQAsF5jDZ5PlRqOl2Wepzg9LgPzQy17WxsZzsZQFiLHNOW/z5h+XOzxe4kCxKBogAaIugIioOwwi - Ifz+H378ZT/yimnxDGgTZjPvzOFI/VB3l9dfOxqhEaIQjW1TX9iGcKc9e97ypjeNVCUlhTcx5Jw1 - Boc6dOPVVCcpLtpdVIIWN5jD3EpuVaWUVvWXf+ktoyYq4MXhriGguDbdTq/vYZRSIMglQ1BnT06G - JMDrX//6L37xizE2Ap3uXx11S24C2EJd70RERERERERERERERERERERERERERERERERniHlWd60C - rs3V3Ut90tfr3QzAgdy3zV3iHfBibbC1f/r873zk/Z2UnEsIEEDcAorCcLQIcKIFIm6zAt4NFckm - s2gD8ZpGb+J1gdTLZtj3G9dfjVv+EZbUAJuf8AlWUIus7+ij5wEHNdGglHKStvHYbSporSmQWF83 - Myul1BLyo5B1AOYh3CGEvu/rY3efR0TXl83jwGOMzO3eZGPsRS3kr2oC+sZXzg/N/PlZvb9IDdeY - P78pR6Oeiu4+axDB6G4iIiIiIiIiIiIiooWxOWwbYhAbhund7naXa3/tmntceHfHrKdy0AAA7lgf - HgCQUmmb0fF+6nyMAYCqDsNQRxHq+EQddRaRYRhEZMFbphIRERERERERERERERERERHdIReISNM0 - tSB2GIau6+aVZXkvyQAAIABJREFUsYdVZ1aY2by8U0SOK4xTdzRUtUbzFremaUREHMvd6IrnXV4n - orSxmW2X1njS2boeMpfluAUgCB70wAfe++J7ifme3WetrKyoHv5dFy13FoCZhRDqhJl6DsAPlOYu - SBir1zhgd5dZlXhd1XqgT13iULMLzjvvu574HaOoOZsbuqUlbCiNPnAmbcuWCgCbfVIIwYA+5899 - 4e9/87/9Vw/ap6EWz6eUuvF4GIZF68KhPrsRbToxXCQ0DYBSSghhOp2Omtbd+z613bhP5Q8+/odv - /+VfFpEQgh9+ZysAAfrpdHl5GUAIAYAqGpWvuftdn/KkJ+c0RA3Ly8vDMMz7DpzSutGo5OzupRRV - zTnHtjH3ENs/+cQnf+BFL+pzFg0AUj5qawl3uEEgMeaUmqbZNV4qpVz5H/7j19zlLjYMXqyNTdM0 - pZTD7reNieyLaR4br8C973nxj/7Ij8ynQVouMTY2pO25irdufccXYO/K2o/++I9ls6Fkc4yWxkNO - bNFAREREREREREREREREREREREREREREREREtDhqKaiqjkYjM4sxNm172OjWqmlHIYSSE6x84Lp3 - 5cn+LsBsU3WwoqYgyzZVNRJ9FezQs3S9QtE2VSoG0enK7Tas/ZcPvheeRl1s44Gflvm73dFpr6qq - Oo/rrmXaO5jeXSvEY4x1HVJK0+l0CynaNR+6aZp6D8k5bwqNHo1GtdTUzI5UR09zdQfW2AsAdcfO - y/nrk9PptL54YxI8gHo/V9VayHxYtTlAjLFWNKtq3/eHPS48VEREREREREREREREC0RVJ5PJeeed - 96EPfehO55/XxEbgxVKMMYYIL27Z3cfjMWApH62L9GHFGOf53CGEtm3dvY491AGJlZUVd++6LqW0 - hSElIiIiIiIiIiIiIiIiIiIiogWxsX6yRh1XTdNMp9Nw5CzmeZ1nfVwnVxw97RuAC+YfsbmIeduF - EGpVainFzEopT33qU+90zrlNbFJOACCiEACis94BBrNN2cN3UFZtm7KKHRDHFVdcsWvXLjNLKXVd - N3upHFhmL97pXXSoUkqMceOBFhEcuTXDDqqZvmZWz9Wj9I84hVguZvbjP/7jKZsIRNFPJge9YJtX - SMRldhEMqagogEnf/9RP/dRkMmmaZt/qSjvqpmkoOYcQABWfL9i0LIiaoOyl1FTyUsrynrOGkl2A - oAV+8803X3bZZSGE7D5NOUYFNgfX10u5G40cWF1dBZBTGrUdDMX8pS9+yd3vejdLuTZcqH0WFnwe - 2tGPV/1ydWWlmAHoxuNkJTRxsOJR//KvPvN93//0vasr89e36/e9+X6TjXdLd2iAw3MOGnJKk8nk - iU/41qc+9am1cUCdyFdKKaW0bXtSN3wb9MP0R3/0FQ++5BIB3AoAmafKnwq6tgHwiU/9+dvf/vY6 - 2XL/ymo9nxf/eiciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6Q8xrgafTadd1OecaFnuk16dhMDMA - onrLF//uf3z0v4+CS3EALmpQY8YrnYLUIZgtAETqIg74rMBUAYwaGTf6/uvfk2+9BSrT6TRlxAAA - NqssP6bz38xqpfM8hhnAUSKWT7aarj2dTmtla9M055xzTkrpeN8n59w0TY2a3rVrF4AaNV03FsBk - Mqn1+6pat52OIsZYew64+8rKCoAahY71c8bMRqNRfSAiKaVaZts0TW2YMI9jP6xay5xzbttWVYdh - mPc32IS3dSIiIiIiIiIiIiKiBaKqOQ8x6rnnnXPDDdefc84eh49H45JzHYCpwdspJVXdQtfdnHMd - KNq1a9d85Li+1WQycfddu3bVEYjTo6UvERERERERERERERERERERUS2zdPfQxBjjkNNk6Av8SEnA - s3p7kfqz9cn9+/dv0+p+1cxsHv5anxmG4Zxzznn605+ec9JacX30mSFbytUW4G4X3OnJ/9eT0jCo - 6tra2qjt6s7caGPG+aJR1RrdPS+fXky13tvdRURVF3xtj1GMcZj2X/d1X/fk7/6ucdt6Adxj0xzm - pduyrbVPx7zq2+AaVQS33HbbT7zqlQbs3nPWkFM76oaSQ3u49dxRtmEvbQwVzkMKoqUUd1/atevW - L39ZgkrQtuu+sve2ZzzrmcVskpMAMWrOdqS9PZ1O58HSbdOmoQfw4Ac96LmXPucrt9waNVjOlouo - ztKNT/EzdDwej8djALftvS22TXJzwd99/vOXv+D5q/0UEAOyFaz/Btlk49FAKRIjHFbKqGkBf93r - /n/27jxcsrOqF/93rffde1edc7o7BNSLXu8PH2VQBMEBvcg8K4RAQgZIgEAgiAoyI4PwEwEZAsGJ - yQCBQMIUUa+gIoIKAl5kliGJYTAJJJihu885VXvvd1j3j7equno66dNJnz7d+X6eepLqOlW79lS7 - hv2u9X2ZiIxGo6qqyqE7xjh79zmile4J55xzzqD23qkAoesP90wdKAPGfagqb8DZr3/dZ/7ts1L7 - atBAjoZNQ0RERERERERERERERERERERERERERERERHTUKE3XnXN1Xc+qRNd+iJmZwHKG4vy3vVlT - VyFDkIEsziBALgW5YhtU1Uh0I+g+9lIB5rIGTACFCtqVnXG8/L73vAtekAIAA/oEm01q139vQAnq - Lv/t+/7w5liXdO3BYGBmpUKzHA0OwiyGfGVlRUSGw2Ep6AaQc04pzQpsSxElra3shCmlpaWlWRzG - LPtcVUMIo9GohGXUde2cq6qq/KlpmrXz18vu570vu19d12Ub7X1PloYSERERERERERERER1Gusdv - 9dnicDh0Tvq+vd3tb/u2t/35LY7ZMm7HVeWqypW7ADnGfnbuZ12cc03TAFhZWSk9mssZoHLup5xX - KGd66rpe+2wEERERERERERERERERERER0RGhFGqWqGMz6/s+hLBmcjXK/TFXkLy6unogz1VyqQ9v - OnWpVi0LW0p/q6rquu5JT3qSAkuLSwCAnC2qc7Z794E9VotML/sw+VuG5PIvD5x4wgmDpml85UTr - um7bds95kz2vbB6qGlJs+y4DBsPc1t9s2radDfs5mjjnLOVnPeOZXddXAhhSiEAuzS6AvMHboxwH - Ss22mcWYs8EyLvrgh/7q//z1qG1Xx21VN66q2n7zpRFLzrrr1T2L7h7UTUrJOZdz3r79+m23OGaw - MOxj/MG11zz5rLO++Z+XLrdj5zQBvmr2N20DIDmkvqoqAIbkVGrIS174osVmMKzqyrkcU10P+j46 - 5zbhOLT9HaXnY87LpQghrqys+qbZsm1bl6Kvq+9d9YPHPeGJ37ri8pBzBgwox9vYh7qq9//EBoPF - HsiVuhD65z/zWbf7qduqTR4eQigdHJxzB90VYvNwzq2urt7pTnd68QtflFIGsLCwsOEv5QNRDjJz - prtHH2IGVrv+ac98xo7l5S70IadN+BZGREREREREREREREREREREREREREREREREdLNVVVVKqe/7 - vu8BNE3jnFujRNRXFaDZoM4hdldf+o3Pf+offRyJZQMyYKIAxG4g/5toMzDRScmbTDIP1KCYlM2Z - qIlOAugNABYq+eB73oFrr0bfAtY0jQomez4m0zkQ5XUXQpi97rz3h7E023s/S23IOQOYFdqvS1VV - ZYlmkQ2zqOmU0qzueBNWzm5OIYSyFUr4RYxxFoXe9733PqVUVdXCwkJd16W6Nk+7H+Scu64rN+5v - +mZWqnHL7lf2wH3en9HdRERERERERERERESbiIh0/XhlZeWYY7aOx6u3u/1tz3/3u255zJYQUvm5 - P4RUzi7MTgCsS0qp67pyasfMmqYpPXxjjH3flxNd5W5mVjqrEhERERERERERERERERERER25xJBS - 8t5772OMCVZV1fLqyg0/UATT0lzn3I4dOw75vN5EnHNl7EcpMI4xVlUlln/8x370hOMfsbq6AkBV - YZZT2u9U7AALq3epvTvjsY8LbVfKj5uqwnQ1Tia5iXO7TWAqq6urpY56YvPNZ7G8vJxzLtt30+aL - r1dZooWFhTv+9E//2v0fYAYPge3aWdZV8H/jlarsnHMJ8J7MJJCAAPudZz7j4ksvWdyy1Kc4Go+r - 9ZfubyS1XZfY9QpR1eFwuLS0tDoer47HXY4vePGL/vFf/llVDQg5QzAej2dNCvZWN42VLgNACiln - O/GEE+5zr3v3becglnKpjffeZzPZ/3SOFOJdszBcGa0Gy23fXbtj+2Mee/o3LvtPU2jlxCmAvu+H - w6H3vl8zyt17D4NCxHDLrdt+52lP71ZXIOacizGamXNu/sh55BJDzjmFGLrurLPO+oW73lWB8WhU - +yNkXKLAVy4DTVNn4D+/893ffsbT64VhsLwZ38aIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIbsZK - WVYpDOy6Lq1RPwvEkrkrLosCBo3vePMfNy4KDJhkGBdHfGkc3SwJILZbioEIzGAGsRTHy+8571xI - hEVY7rsulTprAFCIHuCOX7KrnXN1XZfs5FKTe6iW6obMXvWzQ8EN1nvuUwhhNgXnXEme9t4Ph0Mz - CyGUZWya5qgp8T6kqqoys1n4RUlGL+X8JdJ7dvQue1RZq6XkHMBgMFDVNQ7pOefBYAAgxigi81Xh - e+DxnIiIiIiIiIiIiIjoMMpzJ2EBQESqqlrasrC8vCxiIXR3utMd3/imPzvmmKUUc1Ord7AcBQCy - WdrfdPbHOaeqIpJzLjHeJb3bez87RVHa4B4d3T+JiIiIiIiIiIiIiIiIiIjo5kwMAEqNZQnhFqfi - 3MUXX7xGJO0ef1JVM7v66qv3OX3ZvajWZNflcCnzX0qCS3lwGQ3SjcdP/Y2zAHiBGGCAZPVrzeha - Nag2d0FW4AH3u//tb3u7Qd30bYecY4xlOMoeK2QzB57uWF5OZXn2cwebBUgfVsvLyyklVd0jWPqI - pqqqurx9h1f30t978bbFocAqnSzaxq92E0AFgmzZYOJUFKrIgKqsjrvTTn/c1ddek1X8sOlTNAh2 - v5Tjw/6OEod8/stKkzy7lOdsmiaEkFJaGa3GnMVpdvLks85670UfTIB5zUA2OOe999ny/ORkLjm9 - 7zqpNOesisb7rcOFF7/wBRb6pcGwHY/FoJAQgvc+peS9P+QLfKPtvaXm1U3ThR7eJcsZ+vgznvj5 - r37FBNkQYko5+boCMB6PU4xrPIv3GmOvkMo5s/TiF7ywEt2ysJhC7LquDOQrHQRyzuUwfkQTkeFw - OBgMckyv/IOXe5GBcymGTXrM2usQH0ICEHIyIAF/99GP/dkb3+zrKgs21eudiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiI6OYs55xzFpESBztfZru/R6hzUIeUYBH96LLPf/abX/q8swwgTzKMIZuiopTo - BsznE4hlsSzT20REBCJlb7ZsGbF73/nvwPb/hmQglbt57w4ihLrEJOec27ZtmibGGEJYo37/UCsJ - 0KVCs4RAxxgPrk6zLNcsMbpETV9//fUAqqoSkRLgLSIls5zWJiKlKL5sjhKFXg7XMlUSu0trAgA5 - 59FoVDbo2tuxPDDG6L0ve6CI7DOyndHdRERERERERERERESbSErJzGKMkNw0zXDYjEYrv/RLv3DO - Oa9fXGz6PqeEnAHg4PqZltM8ZlZO6pTzOuV8EoAYYzkbVO5sB3GujIiIiIiIiIiIiIiIiIiIiGiT - 8d7nnEtZpqqOu/ZLX/5yzPtLZ8YeQcgl+vq///u/j5T0zRijiDjncs4ppeFwWGLLFxcX7/jTP/ML - d/45hWTLzjmB5JRuquc988wz27YFUFVVqWsthc3zNvM6NLPRaDT752bOwx6Px6WLBI6iET5lbxk0 - TerDT9/+9k8644kC5Gy7FUJv4DbJKYmIm47RspxzRsoAELIls+9ecfkjTzzhup3bzWkS5M27v0yU - HaXEaYvIwsJCSHHUtSeceOI//OM/OqcQdH00oDQoiSnK2i0SUgaQM2KMrzv77B+/9Y/Wznfjdmlh - sdTMV1XVdZ0Jku33eHtEMMHO5Z0Li4u+rnYsLz/msaf/y2c+nacdWOqmAhBDKB0BVFSw37T58oId - 1HVK8UdueauTTjhxoalD3w8GAzMrYwLLiL4NW7pDKucsZu1o7FV/6Rd/8cwznpBSOrK6G/jKhZAy - UFe+i/H3X/nyr3z1a4d7poiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhoF1WdhewCKAGxac362dLq - 3dW1AGIZEs9905846wXQo6Rqk252xCDTSlzZo1A6Z7EsOSKFd537ZkhEaGG5rmsRhJDUuT2jjW+o - bLbUS6rqYDDIOXvvm6bJ+6/fP9Tmn7r0FvDer30c2KfZeit5DaXw08yaplHVklBeAry7rmua5qaa - /6PVrBC+pHfPErvrui6r2jk3S83o+34+4dvMytpeYzuW6Xvv+76vqgpASmkWAT7vyCpuJSIiuhkR - ga7d3ISIiIiIiIiIjkblBwEzc86F2GWLvlKzdJ/73uvss1+riqqa3DPF6BRALj8hiMgszHvtxsE5 - 53IioZyKAJBSKu2SvfeqGkIoLadn0ymNcW3qkCw5ERERERHR5jD70lQGdRXz149QszFq5bqqHtz3 - uzIcrTy2qqr5L4+bShk6ye+wREREREREREQ3Z2KQ6e9DpRrTe28ifYyj0eiTn/n0Go9NKZWxE7N/ - eu8vueSSEELMyVU+5lTGeJT7zD9XcXhTakWkJO+W0SAhhMlYkZQHdf2kM59gZgrknMxMIEA+0Fku - FdsqUAGgquWGpqrvcNvb3use93AiYqaApawbGbN8k1AZd61NrmrOWVSxz59AZUMzpPc2Ho/TVNM0 - JZb+cM7QTaFqmpQmvzk70ec861k/8eP/c7ZU3u9WZqUbsryWc9rrBIEBqkhAAi6+7FvHP/LEa6/b - rr4ygQExJYiUsnBf18lMREq5eAgh57xhP61nGFRSzlVdx4xxF6rhIOQUcvJ1lVX7nC+/8nsPP/4R - n/7sv4VsfcqT39QFBit7uOVcVrWIqKoInBMAKoDBEiqvCpz6qBMfdcIjc4gCOKep7y0m51zpSlCu - HOrlvTHmj+FqQMpl/F5ZhyYQp1L5NqaVUXvSKaf+62c/kwAISt5534fy2L7vAeRZTvme5ygyMDkJ - 1fWdE3n0Kadu27pkOZcjdllROeemaQ6iGcRhZgKT3a9mIDtRM/PeqUo3Hj3/ec/50R/+kdmKmZVP - TnewzfGuYbu2nXMuhGSAKNoQM2Aqjz3j8dt37hBVA0KMdV3nnE3ENsn8ExEREdFNp/w6ZJvbbA5x - Q8VERERERERERERERERERERERERERERHsVnhnk2brh/Io1LXaslzTd1XPvnxb138NYm9U6SY60oB - ICfHEZp0hJkUyInABCFEJ4DlSs2ncOE7z8Xy9UgBFoEc+t4MdkMvmVn8QakKnH+tzd8+f2XjqWpK - yU2rYkuhfflTubE00iw3rjH02qalweWfpXXnrE7We19NgyJutrndpbXprEdr13XlSkqprKjypzLQ - XURK64aUkpktLCzssf/M9r09bilFu5hmZGDaQqE8fO9Ijllc9/6K7hkISkRERERERERERER0BGjb - 0f3uf59zzjk7BHiPcu5pvge0mZXzN845W38y2aSRtEy6Kvd9XyYeYzSz2fmkvu/LCaebctmIiIiI - iIg2E1Ut33q89zHGlFLbtrOBWUcu733btmWJZgP+DiLKJYRQ13WJTwghlAFtm/B7YvluW0Y95pzb - tsUBj6ImIiIiIiIiIqKjj6pCpW3bUt75yX/9FADTvWJV5+wx+qJkJH/9m990zi0vLy8sLHRdJyIl - hfcQz/5NQwwWU+zD8cc9/FbHHiOYVF6v/TthWbY9V5QZzEpYqVOnQAz9k57wRFn3iJXNJYRw+eWX - D+sGQLY8GYSz/nE4GyADO3bscM4558o4nyNlP1zbpOh6OAxt59W99PdeAqDxXoEUs/fqvAfgqyof - vt97nZNUVraiD/E/vv61hz7i4ZdfcUVIFpCbhWHOWZ3r+35lZWUwGKSUyrCuwWDgnAshlArzQz2f - TdO0bVvXddd16t3WY7btXN7p66pZHPY5rY5HX/zylx/8aw/5yte+Hg3JZp0Y9pxOTgkiZR8zQ0oG - wAwqECDHfLvb/MRrX/0a5FzXdQpRN+MrZh2cc23om6ZZHY8AqHfjrhXnm8HC9uWdpz7m0Z/78pf6 - lERhQIrrft2VN5fKu2R2yiknlUD3I/3guYYYI7KJmUK2LC7eYtsxz3vOcx3gncd01GI52G5MpP16 - TcdV7norGI3aq35w9e++8MVJ0cUwHA6XV1biNMD7cM4rERERERERERERERERERERERERERERERER - 0c1VSklVVbUkvOrUWo+RXdVAUkrrcnjnuW8eulwrFDmEXBrZrb9dH9FhIIZpXTgAGJABEdS1H49b - r8j9eOjx3vPOhUVBln1UlJZHZlgGAFMDDHDOlTpZADlnVZ1lV282ZlbaTpaemSGEUrc434XSzBYX - F9fIcRCR2dFj9vDDGEm+2ZR2pgC89yGEEELTNDHGEIJzrqwo731Z5yIyGo1m0emLi4shhIPbhbz3 - JS+jbLsSzb6uPrHchEREREREREREREREh0WeP4kFlIbQ+7kAC81gWFcP+/WHvPbVLyuBaFXlYsxO - 1InWdV1ODxx088dZbJuIOOfqui7dML335czQysqKmTVNE0I4CiLriIiIiIiI1lBV1fLyMgDvvXNu - MBjEGO0IF2MsoSBl4FrXdVVVHUSUdV3XJa7bzACUlVPGsW0qKaW6rsuIujKfbdseRFQ5ERERERER - EREdHUoJaFVVIuLr6oL3vTfjgBKZZzGiZhZT/tCHPtTHeMyxx66urg6HQwD7G0exOUs3K+9q77Ys - Lp568ikA6tqj5KoCAGzviG7obosyq8A2CCSFKIDlJJBjt2171IknAhCbXPZn7b8eXvVg8N3L/6tP - cdcMbsrcbhUA+N73vodp5O0RFCG/hmyWzaqq6vteBU3lH3ncw8983ONijCqoax9jTimJauxTGVJ1 - aNleFwBASiYiBgyGixkQV1327e/e/0EPvPjSSzK0DykY+hR9VW3btm00GlVNo96bWc65hBN77zdg - e3VdWFhYavuQDOrdqB2r8/B+1PdtjOee986HPPRhV113fQIi4JsK2KvLwnTBnejshIIInBPvVQyN - w5ZB/UdveP3WpSVk69p2Viqfd5uUbsKD4v6ORavteNuxx/Z9PxwOxem46xa3bFkZrV5+1fcedcqp - n/6/nxNRUVc24GA43Oehc9ezALL70dXMnHMhptv+5E/e/va3b5qm7zvVTRdZvV57N+kot3ivIpMT - N7HvLcWTH3XCz9z+DjlN3npEZPZysE11yDXAYGYiIqJmu44EfYjv/8u/eOf571lc2rrSdr6pB8Nh - 3/ciYiK2+QLIiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI5uzrmS11tSXUMIpaBvf/cX7Kr7yoBN - SuDSv//dX1/9nYu7leXhwItqTNk5l8IRX79JNzcGAGoZfR/MsHXLQHOoJZ3/jjfj+muANH/Pubq+ - vFd0ggJIKZXYAgCqmnPu+35z1QNOee8HgwEAVZ11EFXVMv8hBADOudXV1TWiuEtdYbmeczazMqmN - WYTNzzlX+pH2fe+cq6qq9CMtJcZt26aUcs7OubIaFxYWREREzKzrujKRsi3WJcZYitBFZGlpCaX/ - w7RPwoHYdKXORERERERERERERES0t5RS27YxxlNPPfVFL3pezijtULPlbLnv+9npgXISa73TjzGW - ExVLS0uzc0Kqambj8djMlpaWyjPy/BARERERER3dyrjbLVu2AMhT3ns5wjnnysi/8rVORA7u+90k - eGD62DIwrkxwUylfaUtmUhniORgM+JWWiIiIiIiIiOhmq/wyJk5TSldeeeUnP/lJHFQo84Xve684 - 3bG8U5yDSgihBCfP32fT5lID6Pu+9tXKzuXfOOspC1Wd+ijAfseZyO6BxbtHkapqtlxpeaw95pRT - t21Z0k287AcipXTVVVeFlDJQ1fUsq3izKdXgl19+eYxxVrp8FPz+mVJqmibnHELw3otBsr3g+c+/ - y8/e0Qx9H0UAM8t5lg99uJRXzerqqqj2MfShv2b7jvs/+EF//rZztami5eHiQsjpuuuvr+t6Npor - xhhjFJG6rjdge4lISmkwGIjIzpUVqLpBvdKOv//fPzj50Y95ycv/oMvJgGZhYEDXhT2Dl+eU10JV - OVWYISXLMXuFZJz9mtfe7Rd/KceElBcWFg6iVH6zWVxcHK2urI5HGWYig4Xh8urKatee9OhT//3L - Xww5RsvJJo0n2vF4vdMXkdLx5ad+6qf6vu+6bmFhYQOi3A+jnLNCvCpydqLHHnOLZzz9aeVP3vsb - f+rqUJvNIQDnnHMuA3VdP/N5z/ns5z8n3ql3CZY35cwTERERERERERERERERERERERERERERERER - 3UwMBoOu60q3vVKGuUY071QGYLOkYstI7Qfe/Y6hxtz3AJLBOdm01aZE+2QQQA1IQD2oYt/3bY++ - 09Rd8Pa3whumNZL7L4rbLb3bOVciEgCIyGEv8t2fnHNKycxK8bX3PudcijpTSn3fN02DAwhZaJqm - pERj2o+0NCPdiGU4QpQmn3Vdq+rq6mpZOaVUdjAYOOdUdVItPt1hVLU8ajAYlFV6EM9bnsg5t7Ky - IiLD4XBd24XR3UREREREREREREREm5Du8Rt+yqGqXV377du3P+XJT376bz8lZ4jA+13nf0XEew9B - XuOE134458pJo5WVlVkiXZnmcDgsueCzcyFHQYtVIiIiIiKi/RkMBpjmZ6jqAQy6PTKUb3mqKiIh - BDM76FGPJQYGQF3Xe+cSbRKT6Iucy+yV7bg5Z5WIiIiIiIiIiA4pAUo6dc6573tX+fe///3jNkD3 - zKLe23xcoNMBAAAgAElEQVRaJ4AMXLN9xwf+4qISNdr3vfdeVcuwCsyFdm/a9G6vrm+7bVuWbn3r - W//ar/2aASp6AJGxe45jwTTKN+U0qCoPnPH4x6f1jCcR24xrKcZ42WWXKSCCEAIEfvo76qYqp04p - A7jyyisBpJTKr76He6ZuLBMkmFZ+3LaDwSCFmGOC2K1udctzzjmn8lJCo1UUhhyTHtZtEmMsP5Xn - nBe3LBkQc+pifPH//9ITTjxxZbS6Ou6crxcXFyHSdZ2ZlZFdzrkYY0phI04+mHhXjdquGS4MFhZc - 02xfWX3jW97683f75X/69L8mwNdNPRyujtqqHkAA2/MyOX4qBFCB5cnRoqocAGQ8/9nPOemRJwx8 - Bdhg2MQYTCwL8u4bZ3O+3vcnpAjg2B+6lTjXhb4N/dXXXnOPe93zq1/7WrQMUef9pEHAdIfcdQEw - vSp7HzcEENietx45q+agWM4AnBMgi0jl3MrOHY985CPv9LN3xNyJm9l4xc2mzNVsPlNK5e1v3Pfq - 3Eknn3zF966E+tG4897Lvl/Yefc2JURERERERERERERERERERERERERERERERER0EytVP03TqKqZ - lVZ7B1A/W+g0vTtDwt9+8N07r73aQhtjdJWPGQcXMUt0WJRaOBNkgQhU4ZyTGJeG1XvPOzdfexX6 - 0R7J3LMqctmtNHLXfW7c62vjiEgp/i3zibkOnACqquq6rvQcqOt6jfnvug5Aif3GdPHLjQSgtGkt - VwAsLi6WtVrW+Xg8LquuaZpSBR9jLGuy3L8Uxc/6Mxy4qqr6vp891ns/Ho/X1V/0KGksS0RERERE - RERERER0dHPOldMzS0sLy8s7nve8551xxulVpTFmTIPlMOsUuf5TVimlruvKiSUza5pmdiaj7/uq - qiYNl1O6MeluREREREREm1/OeXV11XtfvhmpalVVclSoqqpEd5eBj+Px+CDWj/c+xljGGvZ9LyLH - HHPM4V6yfWiapsQmYZYhlNJRE8RORERERERERETrlVJyztV1vbKycv755wNY79gKERHBoPYvf8Ur - Qk6u8jFnV/k+hvnfnTZzercYGj8Z8jFaWX7KbzwZgIisqyR1xntf0s9jCPe6xz1v87/+v7qub8rZ - 3XAZWNyy9NWvfy0BVeXLaim/Lm62OFkDFPjOd77jvS9bMOfsnDvc83VjVVXVtm1ZKDWoqkLGq6Of - u9Od3/CGN5TXbKnWNjM7fIHHVVWVoVyqCsHqygoErvJQZOBj//xPv3S3u13w3gtXxiM4bdt2cXHR - e18CvJumwUHVkx+cruu896N2HHP+0F/95X0f+IA/eNUru5QToM61oRuPO+fr0Pcw3V/Jedm1zJCS - AVBFCEmA004++ZlPe3rlvMVkKa2srOScj4IWJF3X+braubzc9p1W/gtf/OIDH/ygq6+5JgGimmGl - R0CMsWmag2ktYSYOAC771qXNoB4MBqPR6Og+f1F5r6opRIWklGKMXvW4444TkXKMLUMWN2Gfjnnl - FFu5LpAM9Cldv3PHY0477fod24dLi1lwcO+nRERERERERERERERERERERERERERERERERHQjlaK/ - tm0BiMiBdFAXYBJOLArxpTRIUo/xyvlve8sxWxadc6IIYYPqAYluKiaaAQNE0bZQkYVhM1recd7b - 3gLrRBIAw1plursKq0UhCuhgMOi6rsQzl4rITVgXKSJt205qw6ep27PSxZIYXcKk+75fY/5FxHuf - UiqTqqqqlJRuxDIcCWalxLMrZSU75/q+37ZtWzkCm9lgMDCzUkNa7qmqZa0eRF+CEIKIlKpn51wI - oa7rddU1b7pdloiIiIiIiIiIiIjoZkEyZI9ekzp32eMWpBAr58uJgS1btoxGoxe/+MXHH39801Q5 - o23b0pS29HXV9bfidc6V5pI55xLjXTLqvPflBIZzLuc834CSiIiIiIjoqKSqi4uLIYQyDKuu66Mj - 8qR8rStf8bz35fpBDFmLMZbBcIPBAEDTNGWY8mbT9733voSLl2F8R8FGJCIiIiIiIiKigzaLYb7w - wgu/ffkVuKGK4j2UwRJmGPXx+z+45nWve125vcTilr/qXqHdmzC9u+vHtdcc08LCwl3ucpefv+ud - U04HXBm9j2RfBRTyxCc+MaXk5IAmJLbrstl84xvf+P73v49pYjdELOeDGIezMb7whS90XVd++3XO - HQVDesRpzKluqvF4VFVVKX1fWFhYHa08+uSTn/fsZwiwOFyA2caURstelyKEkFISpyknda5uGqim - GFNGApqmvn5l+VnPfc5JJ5306U9/uq7rHTt2ADCzUtVfNtZGpBSbDQaDENKH/+ZvH3rcwx9/5pP+ - 89vfTibmBCopmVaNVD6lCJ3t5Lqv5U0AVCGCMlYNwL3vec9X/+EfVk4rp6HvFLJ1aUuyDBUTzC6b - 397HotIIAEBVVR/+yEce9vDjrrtuR8wwIMMMVjV1GaQX+7DPPQRrL7ggJ6trf/Gl37r4kkvavqtr - n+0o696SJz1rAAAiEkNQ1aqqnOjWpS0ppV/91V+dtVrYbIev3bap2eS6QeEEKlAREYhBEnDpty57 - ylOesrq6Wo4Mh3veiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiG6OUkrOudJYr1Tw9X0/q2DaH9n1 - fykltA4YDquPvP/Cy7/7bfWSEkSEdUN05FKFmS0v7/jg+y/AaCccLJd6Rt1/5fheE3GuNJysqqpU - y85HYm8eOefBYFB6TvZ9D2CWwF2Ct51zsxjpNea/ZD2Y2XwV5A0eT25WJmW2MZbDo6o657z3TdOY - WVm3dV2X3aYcn0v709lqLxtovcrEZ/nffd+XOTlAjO4mIiIiIiIiIiIiIjoCVFUTQloYDkMIIQQg - 19697GW//8AH3r+uvQAlOK3I6z+VW04zlFNBIiIipbdvaYsZYyxnI8qdeYqIiIiIiIiOYmU4V1VV - Jfu567rDPUc3jfJVruu6qqpCCCISQji4IWspJe9927aqWtaPHnC2z0Yq4/PKF966rksmChERERER - ERER3RzM57CWiO560Iy79sqrr3rN61+fgXUF/5Zi2vILmwFQnPPHf/Jvn//3wcIw5hxzEqe6+0iK - TRhKXdR1PR6PRcSJOtEzHv94L7B8AzmzE3ML5ZxLMXqnGbj1//gfD3nQgxcHw77vN9uSrzNCWC58 - 33tjsgzEZLNhMzmmw7hU+35qRQa+9B9fu37HDjOEFMW5GOOhS0remBjm0PWLw4XSDkO8yzk3VW0p - bV1cspSf9cxnnnziI9rxqPHOkLQEA8sNxSQfAmXHEBHnfU6p7zpMC8Wd96Oud04h8pnPf+7XT3jk - w0868XNf+vLyeNQsLppzfYgpm4kTcTdylc7v3vOXNL1cu2P7H73xT+9xn3s/6alP+dwXv5AApy5Z - zjnDTFRzCBaiVhWyqZuMPbO9LrM1bIYcogL3vvvdz3/H27csLlrKo5XVLVu3lpx1VV1XifumUtZe - VsC71a7tLb3m9a8/40lndQnmkAH1AjMAs9MN2bLsf/+zva4UJaW672Pl5b3vf39V1eJ8vtEvsP1N - YANj1G2fR6zS5CKE4FRjjG3bhhDM7FbH3hLTE0xltyn33LSy5bLFBZINGeacg2if0sc/8YlXvebV - 5rwb1NO1MJfkbnrg741HROA9ERERERERERERERERERERERERERERERER0WbjnAshlHolM1PV+Ybt - a5Fd8b0CeKAdrSCOP/rhv4rjseVc106cX2MCGfurBSXaUKU8TWBiuVTSJ4MImkorxHe/7a0Irb+h - IrZ9xlnnlFRVVUt9Zclp3oT9J0uic5nJ0oUyhFCyukuGtJmVGOm1p+OcS7unPMyqzqnw3mO6J8hU - Sqmu6xhj+WvpdFr2nFkExuxRB/Gks01Q8jLKs6wrL2PT7bJEREQ0ww9bREREREREREcz0736QOf9 - XyZnAvq+d6qC7JyEMK49/vAVLzvuuF8HEPp+OByamXc1TKEiTqG7dadd+8eGnPOkzfS033QJYxMR - 7305KyYiOWf+akFERER0JNpjQIkcaVRVph9E1zU4Zm97T/bIMpvnG78qaJ9UdbZiY4xlVe8xeO5I - lKfBIWU04eyr38FNqoQozKY5u7KplLmajcwro+uIiIiIiIiIiOjIsnb0ssz9eiwiTlQslUtOofw1 - GbK6cYpWVc990Quv3bEzTcNo5cDKK2e/pBmQgKjoDU/+zad+54orfF2rahlKYWZeIZbUwXmJsTdL - GSkjoRSRioqV8M+MveJUxTYi9jqEUNe19z72fSV6ygknH7O4baFqFHAyqVCFytzKmY5dKa0HbPcR - L9kUePxjT1+oq9iOK3dA6aTrjNPe72NvaArZJPcxZhX13kSSZTMTEYV49TnmylVioupSyhC9fsf2 - P3vTW0wcpj8LW8rTdgnZkHeLpd13Ru1NzABAd7sIAOQ8ef6zz3lDhFTDYRuTqfZ9Pxg2MfaqMEsi - Vtc+pTA/DMlkcgHy/F63v7U6yTMG8iHOlBWDV0mhV0hVVSUHOqWEbKnrfUYNeeMb/uh+9/zVHFM9 - mROFOLiqrBwRB6jXSqaJ3jdyfvcRYl1uNwOQY0oh7vHnGKMBfcq9WQAC8PHPfOZhpzzqgQ972Nl/ - 8if/ddVVMhigaWJCEsnJxKk4zbAME6dQSZahMn+ZbZeyF+66QEwU6rJqMAsiyTmrqpW++/A/fPSJ - v/kbP3mnn/3dl73sm9/5dgcrO0HISQBkganlye6d+wDknCbtEgBVV01Wn3cozw445yoVZHvwfe79 - 7re/fdtwMceoqnVdt+PxbHvoxkepT1mOKjZprSKASjkyT4+9ZRSelKN/eeOoKxdzSpaTZV9XwSyr - jkO4dseOR59++itee7arfAKkqg3I0SYbOu96U8iwvfeQ2bXdbtyVgC4l8Dsle/Ob3vpf3/t+ggtm - rvJ97NQL1HKO3qtZyjkCOaWQUxBkFYMlWFKxPV6/Gft+/c5e/Ot9/YpNXkY2u+z+hjW7TGQrM+YU - TlWAbJZyDjFCpLxhA7lpqpSCCErjm5zzgXbA2UB7v/anl1ze1g1ZoCmlbNk735v98ZvfdN757wqG - YKgGTTZTdTmbpTzwtSWIiAnKzlbejJyo2/2DyP62IxERERERERERERERERERERERERERERERERHd - oFmlUqkym7UQLMWzsntPdZuWzgEoRVuC7IAMNN4hdu9+8x9j9dqtFdo2yLQESizPLuWWUhCUZVfg - 8fxfiTZSnpTbJy9RLAvgHMyQx8t/+Z6399ddCetijBA3vftuIQiYVtLlXaWRpf41A3kWTDALLzgc - i3jDnHOzQ8H89RjjrP3mbFlmB4fyz1nXyhhjOYxswnjyQ6EkmpcGCKVVKcomn2sxWrqPljTuWe8F - 59xspZUbyx3KnfeeTpl4zvng9p/57qnOuflnOUA3i81JRERERERERERERHTEM9W5qG8BIBmSjzlm - 64te8Px73/vug4Efj8ciMjmpYzbrryoyCe0+iFC2GOPi4mIIIaVUTjLNh9gRERERERERERERERER - ERERbbxSxhljDCHMkrNLUWhVVXVdlyrZDOtjVO/g3Yte+nsf/vt/6OfGPBxEuayvXUpIgu/815Wn - P+6x115/vYnGGPsUxTtTGfedmalzKYeq8aXiVERSSn3fxxhFpKnqm3JdHDATOOfEu3Y0VtXYByf6 - W0/9zRA6hWTLaa8K1V3hx7sPFckpKaCqjfOnP+Yxy8vLS0uLKay7wPVGusGk8MFgICJlzaM0VsiW - cx6Pxwtbt45Goy70Oeeqrsd996rXvKaPKeY0Gxgzq7U+fPa1iwrUuZJB/K73vPtr3/j66rhrBgOt - fDMcjEejnHMIwTnXdd14PB4MBrs92nb9F4DOJe/usxXEBiTKr00MlfNi5jIWm8Gb/vRPf+UXfz4b - vEJUYYKcq7p23puZcy7lw1/tPwv6TUACTPQLX//aS17+B/e4z33v98AHverVr/36JZeqr0NOycxE - oJrMYik9V405x5yTWbmUngfJrBxksiALzCtUguU2Bl/Xq137zUsufuvbz33o8cfd7o4/fdoTnnjR - X/9NFKRyObCs+dmosJwSgGowmIw2MzgnKSRku8fd7vbWN77ph4+9JVI+7PvGHsr855hyzsiTBgrl - 8GtmrrygbdJBIKYcY+xDcM5VTQ2VnSsrrqmD5Y9+/GP3vN99PvGpT2vlxiFWdd12vav8jZq5uQNJ - mYfKV9kwCv1ZT3nqqA+LS1tXRqOFhQUz67quqqryQh4MBt77pmnqugYQYyxvebNeBvNu8m4uuw4O - glzGH8rkRjXo7jtAVTsRCSH0fZ9SKjNZpJSapjGzMr5xOBzmnK/6wdVlOOKsj8MRNyjRuUogfYoi - UlX1s3/3+RdceKHU/todO/sU+xCapsnZurad9YCo67ppmrJOyro63AtBRERERERERERERERERERE - REREREREREREdDRT1Rij976UL6lqCeud2FX5lUs9pwIhJlhCGP3NRRemdmVhWPXtJIvbRGcP2pXP - bTdcvke0QcRQivXEAKSA1I0bSRe9992IbeNQVdUNlvLtUVMOZFVV1Vnccs65VMkdkkU4BETEe18q - NMt/zazcgml1agl3mMV736yUgtDSeGEWzV42OqZp3GV11XVdCkiL0kUB0xD0DZjPMod1XZcKXKwz - RV5uhluXiIhoY6zZnad81ZpriFHuawpAxMxMBXe/+93edd555dPnoZtPIiIiIiIiIjoiSMntll2/ - EphkAE6rlGxl1J5xxhO++tWv5YRkk4bUs3uW0xulueu6n1fEzIbD4Wg0wvSsyeHuSkxEREREB6MM - Bpr9kx/qjlyzD/xlXBc35aFQVmxZt3t8w6Ijy/y24+uFiIiIaIPd+M/VDvjQBRfc9573rJxLKelc - xVuJCjN+viMiIrqZmY+JTSnNl4DOPmxUVbW6uqqq9aDpY4Cqq6rl1dU/fPVr3vb2t49CDycwjTlN - 6zjWOxOTR3lAgHv971956xvf9OM/9j9j33l1McbhcLiysrOP4dhjj11ZWSn1I05UVZ2oGlJK0bI4 - xfTzjBjEoIYsh/wTjqSolQ99ck2VDCHFa67bfpdf+Pk+5YAMQFSzlSuwPP3cNXn0rhhpQVZAgMc8 - 6qS3veXN7Whcq258NfLaycEmyDBM13+Jy5UMETGVruvUu+HCQhv6LvSf+Od/PuWxjy1By5OJT39O - PKw/Ec9Fd8+NGoLBicKyALf5sf/5kQ9/+NhjbuHEGudSiIuLi33fl+jfkhlf+2p+ovPhu8Bkx5vt - jdh9xdrcbnmoo5r3Nw/JMlSg2oW+GjTbd+w488wzP/6pT6uv+piGCwvj0WhWe7UJf9VXiMF0evxQ - wMHVlbvvve/1kz/xE3e+853vcIc73PrWt96yZYv3PudcjmzF/M/afczluBdCuPb66771rW9985vf - vOLKKz/zmc9889JLtu/cKYAACVCBqaRc1sP+Vsg+g+EFZs77bNFyBlBXtVP0be+AB9zn3he863yv - DimrqmymdiJiqNSllBLMpMR0S/laaimLiIOm8nYhEFURcV67rhORDIioOP3mJZe8/JWv+Mjff7SE - nWfAyh4Fgwjy+pZ3tzeZ+ehuiJkJoEDtqpzi6aee9qo/fMXSoLEUSxcYEck5KyTGiGylwYGIeNHy - pxCC9372rjH/3iG221dmk8lrQ9f5EhYTACY2PwUAmoG5o8euJ0pZVUumeDn4TN4UVMrMhxAWFhZy - zuOudU3zjBe+4Nzz3rnnk26+1+/+OFellAS58lWIoVKHnLzoK1/xirOe9OTa6+ryihetvO/7fjAY - xBxzzjkmAIrJOsHcW8xsex3qIy0REdHNhzeJlk01OjnhpJM//ulPZZv7YLae99wj5SMKERERERER - ERERERERERERERERERER7a0U8iwuLq6urs7dOncPUyC76c2q0mcHbRb/1+3efdHf5OE2bRZjqasC - gDyrACpJ3rPiz1KBVSK9y5+INsasBlAlIycAWVyG1g4+x/f9+RvedfbvKzpJIQGi3nI8iGcpdYLO - uSOuqWMp3S31mwD6vp9FUJeS3vKnGGOJoz7c87vRygrBdEWV/5ZmDm3bDgaDUi6aUlpaWur7fo/q - yI1UwsJL5nrJj1/XbGxEwDgRERERERERERERER0iq6urW7duXZDBeee947TTTv+P//imCMxM5xoi - z0K7VbHe/O5yJmk8Hs+amWJ6+uQmXhIiIiIiIiIiIiIiIiIiIiKiA1OSTUuRZ8651MGKSNt3C0uL - 464Vp6OVdmFp8QfXXPOCF73wor/8qwTUdTXuAySrqnoXQ1jv89aV7/u4MGxC25nhk5/57EOPf8T5 - 7zzvZ+5wh5Xx2Hu/PB5pVS8tDkdtD2jTNGaWY8o5AyaiIiK7FfTvCulUQ8ahTe/OAkupauqQYl01 - fQw/8iM/cvzxx7/vLy5ykFyqZA3iYBkQmGGfs6OiYjZw7rTTTuv73sxKTfLGFNkeYKxpifLNOcMM - KWWznDMgcBr7OFgY9iFct2N7PWgu/fa3futpv53n+iNgc2ezqWrK2Yma5e9eecVDH/awv7joop/8 - idtoSKa567ryuogxOufqut4jcvgAV+Akmnf6z0OdK7+Gqqr6vq+rSqTp+7B1y5Z3vfOdZz31qR/+ - 6Meaqm5HI0H2VVWK5CcDpWR9UXyHlHMuptLFQEQkW85IFuwjH/uYTmdTgVscs+2HfuiHtm7deotb - 3KJpmoWFhcFgAKDv+9Fo1HXduO23b99+9dVXX3fddaPQz6ZfVb4PEYB4zWY5WTZYstL3YH17stlg - OGzHY187iKaUQt8nYLGqT3jk8a977dkKqaqqC2MATjdXY4Wy6R0EqiZIKZXbS0ayQSzlXA5ylmFY - WV7dunXr6njknLvu+ute/4Y3vOfCC3aOxpjmdjvvS/W+r6qDeL/YzVxIZBnah2wG9CkI8IGLPth2 - oz99/euHw4EzK/cxM19VAGpflVe0maWcyvi9Mqhvb7Pcbsy/uchu/zwIuvvhsZjldk8ODjqJSy+z - OonxVun7friw0HedrysA465V1csuu+wDH/gAgLquQwiTkPXpcMcjwqQrBzTEMGgGbdcOKt+H+Lsv - fMFll132vOc8+xbbtqlon5KojttWnQAoKeyKySDM+XGYTOwmIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiusnFGFNKJbdbRAaDwXg8Ltm0wFyAtyAbSsWfcw45A3n1iu/+yyc+9qDjHtXHBHWl7kyhJpN8 - brFswkbttClo2SENEIVJhopZ3/Zq7YXvPBeWsmXvBMlsvSkFAADnXM65xFr3fe+c21+d4yaUUlJV - 732p6SuFjVVVlZLGWfzzhlXKbzYlt7uUpZdbzKxs8ZLbLSLe+6Zpyqa3Sc0+MM3PBuCnNbmHVHmu - 4XAYYwzrr/yVm+cGJiIi2gClEcZ+lG9Mc59By31NAZRGEyq4+93v9q7zzgPyvrpbEBEREREREdHN - i9jcGVjJAEwyAO99O+4Hiwt9H6+95vrHPe7xl1zyrapyfUiTdpiTjrQZc+cw1mV2Itl7fxCnIoiI - iIhokyjjXWb/XPNkFm1qpXM9pl37uSkPhbJiy7qdrXA6Es1vO75eiIiIiDbYjf9c7YAPXXDBfe95 - z8q5lJLOpUaWRKvDmB1IREREG0bm3vLn3/1LXDeAUuqpqimlEIIBCbawtDgajaqm+ft/+Oizn/3c - K676vk0LM/I0KNfXVQzhIMJ9B3XT9R2AhWbQde1SM4gxvvQlLznjjMcNm0Hf91uXlq6//jpVtzgc - jsfjyjknmnO2lCeRnfNZp4BmYBaTLIf2Q453EkJwvu5CX1dNl6OJ+8p/fPXBD3lID1PnQ4oAnJOU - TRS2n2EmAgyq+mdvf/t/+Lu/r72Grm+cm22UQ6d8DlSbRMbeILWS5ivOOVU1s5CTmfm6SjknRTL7 - 3Oe/cMaTzrzqBz9IgEDy5gl8BgQKwLB7AwgAIshW+yrFAMCL27p16a1v/LP73P0ex2zdsrKyUlWV - iMQYvTpVLaXOe+fCzu91NhfuKwa1XeHXh3q3nNljHua5yrdtK06b4bBkLe9YXnnGs5/zfz78NwZN - mFR4Tx6099we7q0qIgrJlgHUzpcMZgAqk6FZ8+nqTiXn3b5BORVVDXHXC1IgANKuJRbnXMyT7gCT - 44xl2L4WfX79zI9J8+KcS30PwKnLKSnggEFVP/Ppv/PcZz/TUq6qqhuNF4bDlJLZJqp3EwNSRhnq - JsiwDBOoqoa+L0cAVYWIqcScQkqlycLFF1/81nP//D0XXJgAB4koHVO0aZpRO57WAKKq69C365ul - 6RXb101OFABscjBT4Jd/7ude8YqX/+9f/pXV1dW6rlU1hSAiyAZAIeWdLqVUqauqqk8R01fN3q/f - 4sa8eGffu8sU8nRd6O6b3QQGASAwsUkctTgtO3ZKyTlXNfXy8vLili2Wkol873vfO+nRj/7KpZdi - bjTjxjRluAlVVVXGUpYrZTUPKh9jNMPP3v4OL33JS+75q3dfWlgod9OcS4p8zjnHZGZenXOu7LfA - nu9r/MWDiIjoxvMm0bKpRicnnHTyxz/9qWz7/JR2wzhwiIiIiIiIiIiIiIiIiIiIiIiIiIiI6IhW - ql93JXZjWkEpgJV2JrkUSnlBLHV5fgHmt97mdn/54X/c0VsebkvTB5ZKK9m9ws6EEd502ORpdDcA - E82AGZylIbr3veNN73zdyxFXYcl7jTEeRBegUkYXY0wplZhnHGmtHUvS83A4BCaFkCWoe7YIJcwb - QNd1TdMcznndcDFG733btoPBoOwhzrlyY0ppOBzOJ1OUA6lzbjAYrK6uzt94qOezRGzUdd33Paa7 - Zdu2B769eJgmIiIiIiIiIiIiIjqChRCaQbWysiJiP/TDtzz//Hfd9ra3CWHS0XKuGasCOOhTF957 - TD1d758AACAASURBVM+EpZQ24BQIERERERERERERERERERERkcmuCwCbXkTVee+8z2bjth23bYgR - IvXiMFheHo/+/UtfPOnRp5xy2ulXXPV9ESnR3eq8r6pSQxtDUOfWOz8KKbndKjrqWkCWu9YN6he8 - 9CX3ecADL3z/B7oUdo5W68FwsLDQxzhcXPR1DRWouMr7uoJKSNEEeZomvpHxnCJSxn7UvooxOlHJ - dpc7/9xd73pXAcQm81JW0f7KrktbgRD6J5155uLiYgrRQUpU8KGmc5G0M/M7yfzeAkBEqqoqhcHj - vutTdJWvh4M+hDaF1fH41a99zUOPf3jJ7fZe994WZY0d8gU7CII+BlGnzkdL1+/Y8ejTTn/R7734 - yu9/vx4MRm0bUlLvx13bhX5/6yfLbrnd2MRhsd24VQiy9W3r1Ynhh291y3Pf8uZnPe13HHIF1CLe - q4i4yh/umd0HEZmt2z7FkFM5AgRDn3Iw5NLfwwmchGwRyNPc5QSEbG1MGcgiBskiGUgCUVXnDMiw - SW63AIJsOds6Y+glI6WS2z0cDHNKC81AgMb5V73ilc955rMU0vjKYoJZjHEThheKiKqaSsnthqp4 - B6eD4dBXVTZrY+hTDCm2fb86Hp33rnfe5wH3u8f97vfe933AAO99gJXtkiyP2nFd181gUCYe+v7g - Z2yvGYUgWc6WnbrFxSUDBoPB//3yl497xPHPev5zr77umpBi23fNcDjuOvUOKhlmgvI+kgVt6LF7 - bjf28/qVG72h1phCOXqUI0mMESq+rlzlRQQqVVMPFxfMadv3x9zqlqvjURvDx//pEyefesrXL71U - BW76LiwiJbd7Y95KbhIhhMXFxXJFVUVVnbQhlr48F//npaec9pjfetpvf+ErX3ZNHVIy0ZhzSMlE - fF1VTV0+D+TpCiQiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKim1xJnE0pqar3vkTz7mJzBU0CA0pj - d+ccLMLSzu9862N/+1e3WBqI5TytdN5nc/Y9kryJNt6kTM0m1aUCc3H07re9CbkDEkRiOsg6vvI6 - cs7Vdd11HYCc8yasM92fkkJdcrv7vi9HA0wL6kMIKaVycPh/7N15mCxXeSb49/vOiYjMqrqLJCQW - G8RiDAjZMMYWmyQWY+OmjREY8DK0bcYMjWfs9jpenvmv7XaP+5k2jDdswIBBEosNmMUNApt222qD - 2YyN2S3EJhYhS7r3VlVmRJzzffPHyczKqrp1deveqrpVV+/viSefrKyozIgTJyKzMuI77+rq6t0t - txtAjNHMBoNBuV9qP6uqEpEYYymiLFndmMu5WFlZUdUS5V7ac7flnAF0XVeWsO/7uq63tb22nVpP - REREp+mUQ/OUT59z/y+VeV0BiLi7q+Bxj7viNa9+NdaGYyIiIiIiIiKiuy8pJ3HFgOmoqmIAVLXv - +2Y4bNteRHL2r33taz/6I8+77bY7+i47RCAiYm7rzwec7rcNVVWVs2KqamYxRhHpzmIoUiIiIiI6 - V9x9/gTWPs2ZoNMgMrngx903bFbaKaVhS9vOGpwOovltx/2FiIiIaI+d/efqALzl+uufdNVVVQg5 - Z53LOyvJYfs2VpCIiIh21LrM0Fm2pbuXwmAzK9WeZtbndOfyygc++MGXvuyP/ubGGwHEGFKyDAdQ - kllTSoA2g0E7HkMEnre1NEGiuEM1WwasqmszK4GjJdD6Afe/9Puf9m+f8f1P/7bLL6+C9n1fhSAi - MA+QUnfaW17LlnYoXHyPPuGIw92hEkLo+qRV7FKvMb7xz970sz/3c61lE5hDFZMLTTZ9iCurqcB9 - LrnnR//hHyz1g1h1o/GhpcWu63b7K7hgwFo3kFmg+2ZlFjMrxbc5Z1ENIfSW27677Ru3X/fG1//2 - i1+c3JJZye3u08kvpzmH3xJPio3mf5jTDAbtaBxjnGwtywE4urj4vOc970UvetHFF12Ucz5y6PBo - NCrbZT71fPZkjo2RsbN8dJ2utckeffYur1L2hfk9X8wlhhCCC8bjcajiJOU3ViGEd97wruf9xI/3 - jgy4TC+KkmnDzQ+csff1WaXdROAOIOikNwKoqirnPAnYLks7vVVRg8N89ohAoAJzL0MmzOYHIFIu - 6yovoSGU+xqCp7Xj21a9aJ5WlaU+xpC7rEAA7nPJPV/5Ry+/8vGPFfOV5WVVXVhYyH1S1ZQS9lnI - sriEEAyecrYg5X2h67oyXkAVmxOrKzfddNPf3Pi37/iLv/j7D30wmZU1KM0UQ0w5VXXT970LRELZ - UhoCABHJaXuXzJWjZelz8+0vqiKikJSSTF/acpJpweHisPnuJz35x37sxx5zxaMPHTo0Wlmp63oy - v3mMUUVSziJi66O7xaElvd0n+++GGbbZnmt/O/8MuraPwWXdMaTS4O695fKFgMFzzhpjVVWf+MQn - brzxxjf/+Vs+8OGPAFDB2CdVlDHGnHM5zE4688FRNXXfdRqClf06Vn3fLy4MVlfHAQgi2f1x33XF - L/1fv/CEx18VRFDO8GYDEEVlenAAfENEOr/xICIiOnvRJbm5agryrOc8971/d6P5+v+FThsvHCIi - IiIiIiIiIiIiIiIiIiIiIiIiIjq4Smrv/PgnIQTL2Wc1coJJKdj0kuOgkrNAKjSL3/yAh7zs+j/r - F+7ZapjNrtOsbplemuwQF50+0X4rv6PznUOA4NlEkmipT21s/M7rXvqH/+n/hnfwDChUqir07bbz - BUTEzErN5mAwSCnlnA9cxHXbtk3TdF1X17W755xnsQvzM5zbhTwnuq6LMapq27Z1XZcxHBYWFlZX - V0VEVUu9bVGaK6VUws5LgAWAEML8bLtkFpkxPyrv6f85B5YlIiLaLYzuJiIiIiIiIqIdtFV0N4Cq - qk6srAwGg77P5cTPl7741ec854dXV8Zt18+ewdcNJbyNbxvmh7qe3fL8AhEREdGBw+ju8waju/cA - o7vPG4zuJiIiIjqHGN1NREREO8KxMZp0UrI+TfcUkfF4/LnPfe5//u3ffugjH/mL97xnZTwGoFEt - mQFBQ7YsqiXF2d1LKmisqtT3263XCFCBuIi7G7LGSVpnie1UgRsUEOCSCy587GMec8UVVzzkIQ/+ - todffvHFFweRlJKISAi5FOQ7AAR33RBRvmtKdHeoYtu2GmJd1yvjUdXUyfCQyx5227E7Ywxtyg5I - gPvG5pmMJgAo8PM/8x9++Zd+cVDXlvJCMxitrsQYd/vb1FkruZQq8pOkSq9rSZ3mpLqvrKx88tOf - vuGGG/72f9744Y/+Y1k5VenNm6Yat70qSt+Qabrqrq7L6ThFdHfpwFVdlwr5qvw494dXPOo7nvKU - pzzpCU988IMfvLCwgGkt0+Z02PmNXLpimGTJ+2yevbFVdHeloeu6atAsLy8vHloq+37OWTWknLuc - vnTLl5/9wz/05a98pXOYrM/g2x/R3ZP/idzhc/8fzRq2fHc9eXAW5bv+8Y3PLPOPi6pPuy8AmOvs - EQB3Gd09G08kau4tABG48rGPe9XLXnHB0aNIfRVi0zRt25ag5b7vVXVj1PC5ZuYhBAc6z1DVGEaj - 0fHjxz/2sY995jOfed/7/v4fPvrRW2+9NcF92iAG1CH2JQbbTUXLwTnGmNK0PdfaeXv9Z6vo7glH - Gd5FIA4PGmBZBFbeFwTmuODQ4tVXX/207/s3D3/4wx/y4G+t6zp1nYgEUTMrx7fJ85fN5/Pp3We7 - /540ult8tm/KLLd7sueKlKsKJQQAt91228c+/s+f/vSn//kTH//whz/82ZtuLktbcucnby9z3xXM - Bms4w8Xdc5N3ium2nX6oKIPyeIyakwFoYuhTVuBeF1905eMe/wM/8AOPecxj7nHhRe4OM1WFuTog - vuHQx288iIiIzh6ju4mIiIiIiIiIiIiIiIiIiIiIiIiIiKjkduecQwizUVDcXaBYV/m1Vj4WAnIq - FWIDZIGE//KK11z2+KeNwrpM35LePV/RWNK7Gd1N58Cm6O4AH+bla65+ZH/HLcFaM3iIMANMBbb9 - ctvZdfUlpGD+zoFQDgJ931dV5e5939d1XX5VHizHChy09dpBXdeVgvTSVjnn2QEzxphSUlURKfnc - pegYk4LcVG73bFFLpXbZoNsaX5QDyxIREe2Y2YenQlW3fp/dMrpbRNxzeeDqqx/7qj/+Y0Z3ExER - ERERERGAk32fsPEbg7mf47989uZnP/u541GXLU9mR/C1kUR34NsGMyvnJ9z9IJ4qIyIiIrq7YXT3 - eYPR3XuA0d3nDUZ3ExEREZ1DjO4mIiKis+cCaLj29W8IIRw7dqweNCmlY8ePxxjHfXf77bd/6fNf - uPnmm7/61a+eWFkG4ECey0mVk0Rxbb6qYdvR3dgYxWrrXsnX8q3nX/XQwuJ97n3vb/7mb774kksW - FhaO3uNoVVUB0jTNoeEizJ7x9B+4+MKLfHqZxx4on6Zs2s4v/v9e8hv/z2+VBnRAgrrZJLZ2mjIs - Apmu4D995MP3vue9FAiiyBYmYeq7+22qO6qqOn78+JGjR2/4y/d88ctfMiC7iQSbXsEiQNu2KyeW - V0aro3b1juPHvvz5L3/+85//xje+0c417yy4d/7H/WZddPf8Qyc13TSbZ3zAN9/3fve736X3u9/h - w4cHg8HS0tLSwmKMESoi0vV9jNE8NU1z7I47n3j1Ex7+kIeqY2MEtux6wPxW0d1bEREX9DmFqrr1 - jn/9j7/+6695/RsB5GmjVVVViq6jViknh9V13XUdgHKN06xEfC9s3nbbbs/TvS5r+lJbRndXdezb - BKAk1gdFNqhCFJrhjl/8mZ/+pV/4xaXB0PukEJ1dcza3Yfbbf2TZ3FU+9JEPf/qmf/nYx//5H//5 - Y5/81GeOHTs+m2G21883/Om9U2D6p9uwPqf7TP5Kp4+UN5GLL7743ve618UXX7y4uBhjHAwGTdOE - GJumCU0YDAbR5R4XXvSDz7hmfv+dT93e3vJviu4uj1iypmm61ItIiPEf//ljH/yHj5xYWf7Sl265 - 4847v/KVr9xyyy233Xbb8mi1BHXPt9r8/f151N22Ux6TZ79XQGfHpRDufc+LH/rQhz7i27790ksv - veQeFz/x6qujah2ju4uj67q6rnl2koiI6OwxupuIiIiIiIiIiIiIiIiIiIiIiIiIiIhKBm3JlROR - UnUYQrDs2BzdLYAjVpI6ByAa3aQaLDzg8u94ybVvG+tCzjlUlQv63qMCloMKAIUZlNHddK5YRgwo - VeoWghlq79567cte9Z9+FbYSSw1+6apuJyurXDPLYFbV+QyCPVoT2glle4lIydtW1VK3iLkUiXJs - xNwIUTFGM5tt9P05Cutsqc6sZ8a7noWIiIjuSvnnKsZYPk/0fZ9zjjH2fX+uF42IiIiIiIiI7p7s - gQ98wGte8+of//HnLy+vAoghprwDcd3zQghl7NoNJ1qIiIiIiIiIiIiIiIiIiIiIdkQ2/MEfvvST - n/m0AwGS4QBK0K6tL1wPgAS1bHMh2jtvy6TnWZmywH1t2WLQ3swdd66uHLvpXz5507/M/lYjKg1d - lxUYVvFhD3vYRY++CLufjryBAubIKT372c/+rf/y/4pbckeQnE1j2FCzGkXMPQJP/7dPu+iCCxXw - bKKSzVT2IsXX3TWEI0ePHl9Zvv6Nb3jzW99aQpp9rfkn8aglLzavD5wWgaq4u+3wRTT7g8AdeVOS - rAKf+/KXvvCVL//d378/5VwaJwA2159D0JRNgGEVbr/99st+9ddKH57P7t2HQggrKytLhw/1Od3j - ggtf8uIXP/nJ3/2zv/QLx46vAKgHw9F4FDUASDmVPym53XVdp5TMDHNF2ueH09xWZdyEugoikroE - w0JdjbpeDEePHnr5H/7Rk656ggLqMJEDkbHsgno4WB2Pvv+aZ/SODEAnR+ONEdrrwxr30cqVkOxy - 3wFMducYNZvdubpy5xdWbvrC53GyZXaUYTVwyQUXPOuaa2b7b9l5y56+Uxf2xRjbts1uw4WFY8sn - XnvdtS9/9avLofgUKd2nHsvj/CSAr70f2fQ9qId98Stf++JXvvbu9/61Agt1df2111195eOze+q6 - uq6rqjrXi05ERERERERERERERERERERERERERERERER0nihjp8cYMU2oLcnEMl+j7Ovq0GYlqFXU - rkv9eOWmT3784//wgQd+2xVHjizddsdq1QyaRlOChuhuAofr/DN4qSnar7WZdP6JEXCklJqmcQdy - q9a+/rWvgqdtlRaWnPsS2l0ynmOMpTKXDhB3L4e+EIKImNmssLqu61JVXeIkZodETEuPVbXMcD4V - X8/oXc9CREREdyXG6O7j8bgEVlVVdcEFFzC3m4iIiIiIiIh2lK0f0BJw3TDp2oQqyKP+l0f+7kte - fHhxgMn4s1bFIJMztjtwgsDdh8NhGcTWzMpJtbN/WiIiIiIiIiIiIiIiIiIiIqKiGjSro5EDIYQO - 3gMeNZdIZgAKCQKdhDR3Jbdb1k/r2KbpzBhgEIMYMBccvemV2mzmMACiIuqirkAQE/QJoy5nwIBR - ny646KI9CEh2WYthns8IF8G3POiBz3rmM7I7ABFBqa01wBXTsQlKAxvw7/7X5x09fFjcdRJ+6+KA - 7X4JrsixY8dGXVs1dXYrydwJSEDpFQnoJ9tVQ1BXSKXSBI9whQlS9mxrad+zJT5JZ9mfTtbZgMlm - Kqsxv3YlTNchvfk45zSJLtYsmoHSRBlwlbITtSkPFxfWIuplT3O7xde65em8dLZ+MKxzzuPxOLdd - MDz93zztfX9z41OuvqoSdOORAuLulhU2aOq6rssf9n1fLnmqqmonS8dP3Y222nbbYIDJKafTOr45 - PEOAvs+pS1GkDqHr+sWo3//Up9z43//6ysc+Nqr0bdt2YxEAbjKZJk+wtx3jNN1x7M5QNX2J6w5S - Or+E9fv7/GJvuQqb3ynO5P1i83FmG2Rtd+6TZZssQTncuSg0qIpEnW343mCOZNkw2Tpnu40m73Eb - 193dq6oKIZjZ4uJi2/cGxBgn84kiBIQgqvPNflfvzgfWaWzgtaOxI2VP2TNg06T21a5fOnwoxliK - UkXE3XPOe7DsREREREREREREREREREREREREREREREREROe9+RLCcj+lVFXV9LH1JVTTktlSAJVz - DgJByqvHrnvlyxejdaujo4cWYLnvEQJymV8m5UJE50rqc862sNiIwFO/EPHWN147+vLngIxprTGm - ReGnkHMOIZRq3BLg3XXdeRnhfH5T1b7vc84iklIqyRExxrJl3V2mAKSUZr8qpaOqWn51/mF0NxER - 0Q5IKZnZYDBw9zIaUdu253qhiIiIiIiIiOhuTcTbdnTlVY/9vd//3bqenA7oUz83y1mdI6iqSlXb - tm2aZnbmLMZ4Ns9JRERERERERERERERERERENGOQEydODIdDAF3OQSVGnVyjIIAg21oSMxQa9qgK - 9C4rjH16K0ElqKhmt+SW3bIhm8c6QgBFCKgqBdDntLq6uttLvkFJSlYgajhx57EXvvCFKhDAzQCk - vgcAAVTcXRUC1IqHfsuDHnPFFZYyzLRU34qo6h6UXg+HwxijqroISii1yloirEoJiDUgw7pscHgy - 6/Nk2ASBxqAx7PZynhs+vRZofTiulwTfspFCcNXeLbkZ0GUrM3R91iAhSHaMRqNztw7b07atu7t7 - HathM6hCqGN1n0vuee2r/+QPfu/37neve1dAEAWgol077ruu/OGsYrxUm++ps9hLdirvOEYVQBVR - EEXEPed8weLCb/3mb77mVa++98WXNLEKohdccIEcqOEUDh86ujoeLQ0XHHB3K4OelIPawY2LFkhQ - jUFDgEhJgM5uyXJvnrKZwxwOlE4dY9yc2K07OppAGXqjqqrV1dW+72ej1dh02XLO2bK5lSWfNPtB - bPwdFSqFriWyT/rn9Lcr4xHKcBhtJyJzQ/8QERERERERERERERERERERERERERERERER0ZlT1Zxz - 3/cARGRWDwVgLbEbpRRzetcdIhDknCFWqUDso+99182f+UQeLwdPTV11XQ+BOVwUm2q6xFlRRXuq - qgKAcedt11fiPl5+7SteCnW4oZRjT+c8dccMIeScx+MxAFa6HWhVVYUQ3L3Ecs8Og33fhxBEJIQA - oCR2p5S6rquqKucMIOfs7ufl1md0NxER0Q4o4/6U+2YGwN3LpwoiIiIiIiIioj2xcYDNEIKIV1V4 - /OMf+5Lf/q/DOgBQOdl5gTMalrTvezMrJ9JijGVA23LqhYiIiIiIiIiIiIiIiIiIiGhHDAaDqmqm - te+akqVkUIEIRKAClXLRghlynpXFz01zZNO0XQ7zWSn+/EtsesXJwxmeYQaRIDoBkb5LANyRM3I2 - ACmlQ4cObX+JzpzAMJvMqhAf/rDLHv2dj4qqZpiUyUwTjt1LnDeS4fnPf/6hQ0uj0WoJgzazsglm - cci7Z3VlJYRQioElqAMp+/yGFFXVKBodAYAoEER1LcLacrac5ze/Q2fTbi//dm3qwut/MZl0LbR7 - s0lMrDvc3EqeLgQQCTECCFqV9iy7jwBNPVz7a1837bbNr+Jyqmlx6XDKnnNumqbv+9HKahqPve8v - WDr87Gue+d533/CiF/7vsBRF3K2KoambqqpExMxijAB2pXR8rned/ICzcy3pp5y2+oOcTARR1B3u - HgTPveaaj37owz/5Yz+h2T1bhOS+/9ev37p06FDXdZtbft8KISyPVoOKGTRIrCqkTe8LM7vdpXco - MtzNLOds2QVQkaBlcsB9+kKAGRzIyWc70Q7uv/PPICKj0cjd6+GgbobQACADopMFg07fOMws5+lq - nE4HPUjuYsPOrWOZLSeDQ1RDnJSillkEqKpqMBg0TQNAVetYjVdHu738RERERERERERERERERERE - RERERERERERERHcH7h5CKJG0JVeuFMM6zDdWgOmkYNNEJZYazGxI7vAe3l/3xy9drPXEnbeLYDis - +h4hwAAX9bOsIiM6O2ZWNdr2fd1Utea3vP613de/gH4FsGlu92nVUJfk5qZpVNXd+75397Lj0AFS - srfbti2Hu/F4HEIYDoeqWtf1cDgsh8QYY9d1qioiw+EwpQSg1F/XdX1e5k3su6EEiIiIDqI8HUVC - RMqANeVTxTldKCIiIiIiIiK6W1teOb6wOBiNV5qmespTnvyffvM36jqa79gprjJqrbsDMLMyXvPO - D2VLREREREREREREREREREREd1fqaNt2PB5HaKWxVPZOIqXd16Zpnfw5q2s/jZL6Upk8qU/2jZml - UTFeHe19HUpZbPFJgHFd1y94wQuSmSrMTFTnmxdADDi0OPzBZz6rXDFS6q5LTY2770F0d1mYlFLX - dSGEGNb/rrSvmZk5HFDPQO+WHKWyvDx891NGiJjn8JSSQGaDTWCa3u3T/rnHud1nYGVlZTgcAlhe - XlbIocWlOlaDWPddl0btRRdc+J9//Tfe8ba3P+a7rlCgT7nt2lmheEqpXOlUKsn3xm6kd58BVagj - Z1Pgqd/95L94+zte8bKXHV461I3Hg1gt1I1ng/nhw4fdbDIKyUEYNqRt27quMT1kWfJUxj7BpsTu - /Rkd7Vvcrs3g5ShXJlUtb4ghRlWoQqZvkbu6zw4GAwlaDrdt25qZQgDYdMHgLqplQnlfWL8wB6E3 - 7TxRRXnTTCmlyXFXAQeWl5dXTyyPRqO+7UIJ9xbdn0ddIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - ooOllEyWUspSfjUej31Tne9agLcEQC275ckvJvMG3HjDX3zxc589enipHa2GAHeYwIH1Y76bsjKI - 9pplS32fm2GtivHK8ete9bIQHZ6klPdJhCgAgZXo4lNU+Q0Gg1I56O5ll5mU9tPBUTI0m6YppYwL - CwsiUvK8VXU0GvV9r6opJVUt23o0Grn70tLSbNyAc7wOu4NdmYiIaAeEEMpnCwBlDJeUUvn8QURE - RERERES0M8QgG4K3dW7a8AgOLy2dOHZsOByOx+MQwjXXXPMrv/IrIQhgG0/mnpG+72OcDIpdvgap - qmovh7IlIiIiIiIiIiIiIiIiIiKi817J5TVYsuRwFY0xwlxDgMg0gdXFESCCk0eEynzN/Fmae6L5 - Z9YtXiXGqKqzX5V8a1GFQBR1FQGYIRmaphnUzY4s47aUBVbVtm2DyPd971MfcOmlpZx2VkpdCnFF - YIZnXfPMiy+5xyz2GOYiUJWU0h5Ed0cNQbWJVR1j36acIUCIihAwy4gFREQgAgnAbJrbXtOes+6q - m/1OTjKpbFh+15NOubdyRxCq2NTVIIYaUIebG9wVUkWt6wgghqCAOsRFXdRRpnOSI+tyqqkeNOOu - BbC0tOTu1icxz13vXTqydKiJ1Whl9arHP+6tf/7m1/7Jqx5x+eVN3QAIIcQYAZROuwfV4/P97GzS - u33TtPakJ5u2egUzOPCkJ171397xtutee+13PPKRfdt5ysOqWT1+zFIeNgMAZnbsxPGqqV1gJ9sW - +01T1+1oXGsoqeQxlhBrLw2xed/Zotm2nM7KaT1F2Uk33wZBmO3Cs/tuVi7es5TdUKKg4T7tbCIu - JcN7J5K81645XF1dBeDu7m6CEGOC2+T9rhKJcPWMMsGkrMj8pJuPXQfd/A45d39+fT1PN5KUFO/S - HKJAHatDi4cOLSzVscpd362ulmMUEREREREREREREREREREREREREREREREREZ0lVc05hxCqqso5 - t21b1zWwruBrvthpUiorcLdJSWqAKJBadOM3XPcahcNy7uGKufJE9R2qYyY6A4NB3XVdSlg+MXr3 - u97Zfv2r1o2n6Yl6+lWSIYTxeAygqip37/u+xN7v3pLTrirBmiKiquVO2ZqzVO9yxIsxljvLy8vu - Xtd1SaA4twu/G86v0lYiIqJzZP7TYcmsijGWJG8iIiIiIiIionNiNBovLCzknN1dFWbpR3/0QI4Y - swAAIABJREFUh37+5392+vu5AG8/k5MFIlKCukMI5buRlNLkrDMRERERERERERERERERERHRTuj7 - vpR6KjSGCLe+60IIljJsUtFeErsd7nsQJusbA3dnobwnvfwipc4sYZqiW9K7PRsMntF1aVbu3DTN - ysrKbi/+SQkA87qux+Px4uLiDz/32eKoouY+QRwwmAtMHXC86N+/MLWdZ6tC8GzuHkIIIWTPvvvZ - zrGuxuPxqGtVNcaogCpyb8gZZtNBDsw9O9yRZysokCgaJuHus+U0SAlStcmPB8zs+p+7vlWFqrrn - vu+7bpxSmq1vDNHhKZn1SYFyRRAAF9jctA+llEIIOee+70vReM45xlg6c991i4Nhu7LahPiUJz75 - v7/n3b/z2//12y97mKeElASAO9x3IJX5rtj6vrVnbSnTA5TOZdgH4PGP+s4/vf7aN173uiu+4zth - BvNKQ1NXyLawsBBFV1ZWSh3+YDA4WEMq5Jx7y4O6xnTH95Mvv+31/r7pvWOzsquVO/O37u5ejmZr - 90VcRRWC6cgIZRANVV17wbmudgbvjlstclVVMUZzjzHWdTRPDtRBU0pmvdvacUlERMorTx5RQGe/ - 3fYS7XsnabINR+nJYccMbjBHdi+/6HNaXl52QT0ciIjJHnygISIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIrpbCCG4e7nTNA0An9aZTqpSJzMaADcTVRGd1QqVaiAgSfQb3vbmmz/ziSOLA4W7Q3RWKFVK - glx8cu8uC8qITpPNTVtp235xcdgENGp/9HsvAZJ4ClvPv1X3zDmrqqr2fQ9AVUMIs7pFOkBK2XVV - VSWu28zcvZSjAmjbFkAJ8waQUnL3ktUtImV4h1m9+fmEXZmIiGgHqGoZbAhAGZrkjD83zD6dbLhP - RERERERERHd3rpsytm3rCSFUOZu4BlUzE/E64qf+/Qt+6qdeEAIgECnnRQKgVWwm40Cf1mC0Cujs - 7HJJB58so3uMsQzYerCGbSUiIiIiIiIiIiIiIiIiIqL9plSnZ+QYo8FSTlUMCrjl+cscSmj37DIF - wTYugpCzmCYLuangefM8Po2VnlUyi0CAANQhlNm6Lmk8RRH0LijXorjCVURgFkTc8vN//McPDWok - i4C6K1AJxFAJrn7MYx78wAcF0SqE3CcRF3H3nFIX49rFJLun7/vhwpIZqmbYdZ0BlYaS3A6sxabO - kmLLdnEgw5NbxizufbqBHAIrt2fTGfZg2sp0ye/i1s3c0obHC8splGJjB4AY1YCsyDIbK2Iy7bbN - ryJ+qimIerYYo7u7oLcsMSS33pIEURXLKap43w9DCNl+6JnP/Ot3v/tlv/s73/aQb62BahplrYAA - paR8EviscS72em0SCSFUIqFMgMp0AlQQ1nYrqEhw6OZJNOrJnl81lucModrwopP7KrMOIUElTB/x - ybVg5c5kR3AoUAUEmazmoTr+yDXX/I8b3vmut771e69+YuWIZpWjEnHLni3Ds0tvOVSx5KDDvDS1 - nmxb7Dd9SoPBQIC+6yrVnE0V8EmLTVvlzAc82fY+O/eS29nHbcPtSfdouGc3jSKAm0WBAyoQcQNc - YIIsMJ1MZ2SuE3qZIA4XT6mXqG3fptzDLAA52+yIunaE8Qz3+XUxlGRvO7Mm3VfT6azCdIvOcrvX - +sN8K0MlmVULA8SQYFZp3oc7GBEREREREREREREREREREREREREREREREdGBdZIYuLmKX5/dwgBz - S24JMPi0SLWUNFrC6Pifv+G11q6I9SEg2+RpAnLlXfAk05Iq2/SCRKdPpnXztq5Uca6Y3W1ugmgV - gKpbffv1r7TbbkFuS4cOk4LEUuu37hm2YmZlfyll4znnXVlD2pq7l3yHEoJZNkF5JOdc7pTs7TLz - hoSIWSA3gL7v58v/N8RGuPt8zma571O7tXpnZxZAfmbLyehuIiIiIiIiIiIiIqLz1fQsgNh0Sj// - Cz/zvH/3wyHAAVV190Ez6PsdO/uVcw4hbHhk355lISIiIiIiIiIiIiIiIiIion3MqypYn1JKjQYF - UsoCqK8F7u7zaas4z1o1CgTIOVeiAjRNs+GKi73UdV1VVQAqDUePHHnWNddMlr8EtToCII7/8NM/ - 3Y1bmG0OFXWBy13Wa58tB1JKZtaP28XFxQCklCftPA3H3dwxsPUGCnO3d8OpiSGURhMJ087Zt92G - LXtQnDRlfJYzrUATguT8nGdc89d/+Zdve9Obvv/7nroQq9nWzykF0aAhaCgXO8UYVRWAiMQYS0Z4 - KXHfXM4t02EIyvyFqqqqiIQQylMBMLNS3D6bYfb4/EAGs19Nn9ZgDkBKKnQ2N4M5HCEEEYG7AAqZ - HGSqoIBnwPHgB1z6W7/xHz/+j//0ype97BEPvSy4B0fYlMN9ioz2A5EjLDBMd3krh6nsdRXm87pL - 4+zN/hXmpt14fgEsW7k/SQd39H1f1rRsx7M5KG/VGcxMYyhXCcYYyzvXIMpBeV8+V9P8Z4DZI1r6 - pnkQGY1Gfd+7SEppdlggIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiot1QCvcGg8Gsjm9a5GvTaY0A - UZBTB+vf+bY3nbj91kEUZJTQ21n5nZ5VRRfRBjbrWybrwuBnwd4zCqwcO14hvfWN16IfiVipX8Ok - lm1jl95KqWydxXWbWQiB6d17poRnz2oMVbVsAkzzvEtBsbs3TQMg5ywis5rlGGPOmfWJpxDP9QIQ - EREREREREREREdHeqarq137t10bj7k//9M0557oejNvVqqr6Pp32c5zqHFsZanZ2tgaAu4scnEF8 - iYiIiIiIiIiIiIiIiIiIaH9QIKX8LQ96wMry8cXFxZKb26W+FJrOZpsvNtZ9li9rW1wxkdouxthU - dTduVXVQ1307Krm858Ti4uLqeCSqq6ur9XDw/Oc//wMf+ECX+gyISO76IHqfe9/riiu+64ILLujG - Y0yyhBXYi8TuGTermyqE5vjK8kVHDt//m76pS/1gYdjljde97LeesD8lAwAxF5GgUMjhw4cXh0MF - xKFeMqLXYpsPUIz3ZuLoxuPhYBBVV0ajx11xxROuuvKTn/zUe/7qr974Z2/6l5tuWm7H4hak6lNf - VjSnSYq5O1KajCwgIj4d1KDkc2OWrj2N7l7/EzBN4163PCIOK208aWKRkvMtIjlnswSUpF8BIC7u - DoeIi0BVfO2VstskCTgGKVeBeZ/v9033fur3fM8111zzyEc8YnFxsRuNl5eXF4dDS2sLc6C36Txx - VBrGqysPvvT+bd/Vg0Gb+6qqlkerOhkwBUBp7vPk+OASQgieMoAqas5ZIYeXlnTaodQB2fnY9RI5 - H0RcsLy8fPTo0fve514551jXO/xK5xeD+nRzlCF4xCGOOsYqhMXhQtKu7cYlyVuDrI2qQkRERERE - RERERERERERERERERERERERERES7oK7r8Xhc7uScS+TtfP3yjAPJ4UBdN92xY3/6uuv/t5/9FU9Y - WqraDgAMKmBcLu2WkxZFuky6nLgFtwsPL775ulfe/rmb4BZCQMqlKxu2Uas2C4Hu+77cARDmijRp - V8UYx+PxYDCYBXUDcPe+7+tpCaeIdF0XY1TVMkOMk0DqUuzszuLELQlbh4iIaEdsyKC6qzyq8rF1 - 7h+tMrurqpahVQR4whMe98pXvAKwUwdiERERERERERFtNv/dxPwQyV2y4XC4sjr+5V/+1Xe8/QYR - qIbNg8OepRCCmZlZ3/dVVbVt2zTNzr4EEREREW3XNs9n0f4lIrOLojZsVtoppWFL284anA6i+W3H - /YWIiIhoj5395+oAvOX665901VVVCCWEbParEnZ13oTMERER0VZc4KLZbTgcrqys9H0/HA77nN1d - Vednm9nxjNKztNUnlsNLh26//fZh07i7Qtx9WDej0Sjo7n7E8fmY4Lm2GrXjxcVFqGT3PicEHY1G - C4uLfc4iUoUojr4dW8rDpsk5B5lv/7XrUsR3d2QB1ZBSMrOlQ4dWxqNQxew+Ho9DFTfMud96wv7U - ZxsOh0G07/uoaNu2G7dHjhyxflLZBEDmv2U94J/AVXXctXWsQhX7vpcQYqxWx6OqGX7qU59617tv - eNvb3vbRj33M4ZVWyc0FvU0TuyHl/xorzTD9tvmk/+nM/gNaF+w999vyuJlBAMdJb4MGF3g2h5dx - EZo6esrZNr6iAFUIItKlpMDDvvUhT3/607/ne7/78ssuU5EQQhR1d5hr+U/tPN07UspVXbt7b9ng - LpIsD4fDLvWzeTYf/Q4uFxURzwYgKswM5nVdlzBvmc3ls3vbfX7gZC1m8LZtQxXrwUBjWG3HIlLX - dTvuzm6FznMuJUsdAMQN0/pSmKujbdtDS0vuJiKWMpRnJ4mIiHZAdElurpqCPOs5z33v391oPldl - sZ03W741ExERERERERERERERERERERERERERnWfKRcKqqqoiknM+aT3gzCTVWyqEZnDxfV/3tnfZ - 4sVWDbNAHdFN4OowkTStJGKUN50xcSvJ3KWE22VS5adrv539BHXTtFpZ/6ynfc/4qzcjrwbJyH0p - VzXA1zqjTWISt37pWbgAgLZtQwizZGjabTnn+aD0rutmid0AUkohhJxzjLFsoPmZVTXG2HVdmWcv - F3uPnc14vOzKRERERERERERERETnozIU8tzgyEWMmnOuq+olv/3iO+74ife//wNuWQTTM8Kbz+du - fIZTa5qmbVtVLedmypkb5nYTERERERERERERERERERHRdonDPUeR8fLysK6XBoO+71POiwsLfd9v - 8Td7u4jbV8JQRydOqFkVQtd1KaXhcLi6stw0zbkqhT16+Mgdx+48fPhwn3rLuamqanGpTb0YYgyp - 71LbDeqmWRyYWep6CYq1INhytcn2rjA5M5ZSHSOAleUTfU7utaouDJpSYFxMImb3fU/YD0IMannc - rrZtO2wGTVUvNcOU0nxot07r7+3gN6kqgiCnzj27oe97i2mhrvvUf8v9L/25n/4/f/kXfv4bt/7r - +z/4gXe+851/9/73ffrmzwVAVdzd3OEu0/EybHqtlQAiUBVVTXny4GxsjlmA9/xoHaUUfPZ4yUdW - EZfJHwTVZNly9snziwAOT10SoAoAkDMcCIAKVOW+9/2mR377I6688sorr7zy/ve/fxAdj8eDpkE2 - AJZyzrkKQVQ9G7ZThX6ADOq6bduqqmrVUMVR2y4uLh4/fnwwGMzmKWuuAuzNMWs3uUAEJeNZICIq - 4p7y/P6L6S68g/tvFWJYCGUwmmMnTgwXF0II45XVOlY79hrno/nodIFiumk0KtwHTZ26fnV19ciR - I1UVTqwsV029xTMRERERERERERERERERERERERERERERERER0Q4oga+lQLWqKjM7RZGvuwMKz8j9 - +Ou3/Le3vuk5P/F/HOvaUDUOZFEFHIZSgcjcbjo7JbcbsxJuxyQQfv63PqkcVPgw4s9e89rx178I - T7Bskkqks81SukXhd11VWVVV3/cppRIaXZIFtpuOTGesBDr0fW9mTdPUdT1L7x6NRsPhsMwmIqVC - ueRBlD/MOZeZu647h6uwzzG6m4iIiIiIiIiIiIjobiTn7O4x1mb+h3/4By984Yve974PleFdd0Tb - tjHGMkC2qppZSimEwFNrREREREREREREREREREREtF1B1N2rED3b6mjcNM3hhcUTK8tRgwvEsZ9v - 580eL0RkYTBAykh52Aza0XjYDFJK5ypwuuu6xeHC6vJKMxzEGEej8WAwEHOBwMy7dHjpkKW0srIi - jqWlpb49N1W7AZK7XmKoYxVjNLjlrKpIeTaQgWNd4++HnrCPbyWbNxoXlhoRSSmlbH3fn68RvO14 - 3DRNuaLJoSFnd/dsSLmJlWc/fuexWuV7n/zdT/vep47b9mv/+o2bP//5D37wg+9///s/8YlP3Hrr - rZ1bxCQze5YR7w7P3q8fkkMAme7P03zu8rgAcDiA4JhFfANe+q043HOYfy738ldVFE9uGQIcWRhe - fvnlj3/s4y677LJHP/rRR48eXRgMyoVhClHH4YXFvuvG43FVVUsLCznn0WgURJumSSntVhOfU+po - YpXdU5/MbFDX4+WVhcHQ5te35FjPfjr3++BZ3ELLJXkCSBn5QsXMSm/RTVcDzr8HnY3xeLywsND3 - vagcXlpykXbcNlVd2nlftMy+vJ1v/TJGSumHQbVPKXVW1/UFh4+MR+O+75eOHO66bke2FxERERER - ERERERERERERERERERERERERERFtllKqqsrdVdXd5wdUP+n8pUwwxJhzBvrrXv2Ka57zI4eWLlrN - yAIvcd2iAMShrAyiHaXA5gp0k9lvzbvV1732FfAOuQUc7qGOXZe8/F5ON0q+7AghhBBC27ZN05Q9 - gvkCe8Pdu64riekAcs4lt7vv++FwmFJaWlqabaOUUtu2JcO7zC8iXdeV/PVztQr7HKO7iYiIiIiI - iIiIiIjOW5vzuBcGg67rum6sGg8fWvz93/udF7zgBf/0j5/IwHQE4w1/Wx48+QnjzebP06jq/I9E - RERERERERERERERERERE2xI1rK6uVlVVVZVp6MatQqKGgx7dbWYK8WxBtAqx8xZA13VVU+95GwOA - OnrLg8GgTwnA4nB44sSJw4cPt20bQnCRvm3rWFUhDpvB8WPHB4PB5ufYi+VUzTlbznVdu5s6RNRS - mvWHQhjdfZq3otkM7nDvuq608GAwmI86tvOomr5pmr7vy1AaIiKAQHPOVRVLVz9yaMlS7vqULddV - eOD97nvve15yxaO+4+d+5qdV9Y477rjp5s996Utf+tRnPn3LLbd89rOf/cIXvnD7sTv73gAEQdCQ - LNusH2Jy1ZROk3oxDe0WIAbN2WTt8Yk6xi6lqBpjHHcdgCqE+93vfve9zzc9/LKHXnrp/S6//PJv - edCDjh49GkIQcwBViKra931OqQpB3XNOue+qqlocDs1stLIaQlgYDM2s6zrVvdhb9954PF44dGi8 - fGI4HCbL7Wjc1LW5R11LQt/qyHwQb80c03FhPJuGICLum1Zyp6nD3XPOAm2qqs/JUqrqJmsAo7u3 - vt0wMspsK6Wuq6sq59z3vcYqxigiOedd3YhEREREREREREREREREREREREREREREREREd3NVVaWU - YoynN3y6xqpJpYivrvsurX7lC+95x1ue+qwfDWEhowKQBXCoAzBx+GmHJRNtVvIASh8SX0sHmO9X - s3vB0w1vf8uJL30OniAWg+aE1J9upsA8ETGzUos6GAxSSjnnWZI07YESl15KR82s1BtWVSUi83EP - KSURKQHeMcaUEoCqqrquY273KTAwg4iIaGe4u8jaAArz909mU+TVZJwVVVWzVB54whMe98pXvAKw - 0w/HIiIiIiIiIiIqxBWAiwGAzJ1ac1fV7O7uZqiqajQaPfsHf/hTn7ppi+juYhvfTszO36hqOcfT - 9/35OuQrERER0QGyzfNZtH/NPnK7+4bNSjulNGxp2/lr1OjAmd923F+IiIiI9tjZf64OwFuuv/5J - V11VhZBz1rmYq5Jx5fx8R0REdDdgKVdVBaDruqZpcs4H6ys7deBk4cfl+o1yJ6VU13Xf91VT73Za - Z/kEVT5Nzae7irmqJrcYY3YDoKpd18UY+74fDodd15XKXpivfU0ta885eZ7d3jI2+ZZPgq6urjZN - 0zRNyZzesAxbtTzNy+4hTFKN+74vu5i7y7SfbIqePeA8u3td1+4+Ho+rqqpiMx6PJaiIlGD40s9V - Q1v6VdDZVU/uPu3zk2DdEEJ2u/POO2+55ZZbb731K1/9+urq6vHjx48fP768vLy8vLyysjIej48f - P47pzt62bUophNA0TRVjXdcLCwtN01RVNRgMjhw5cvjw4fvc5z6HDx++5JJL7nWve93jHvdYWlpq - mkYVlpOYl71PRBQiIgqUS7PKI2UtYB6qWF4IgJnNVqGU6+992++BpqrvuOOOCy+8sG3bPqemaSYJ - 0+WgMXdkKA7+8UEAhBDMrGzrsvXnz4Sow2Ryu91deKv3i0qDmSU3Eclua//4n5/dasf4Fq0kAjMr - u2rXdao6bAajdiyB11sSERGdreiS3Fw1BXnWc5773r+70Rxr5xm28+nlfP0ITURERERERERERERE - REREREREREREdLc1Gzi9ZOKW7NsQwhZ1vgqohmC5BwxQSHXhAx76+re+q6uPdNIkUQMUECC4Cdwh - TO+mM3Y60d3lcYE3Nvqh73n0ia/cBAFSr4ogmnLSEHIuJYIKAG4Qm4zYs/VLz66fL/vI/B3aAykl - VZ2FO1RVVWK5AZQjVd/3McZSP4tpDMSs9r+qqvM+uvtsxuONu7ZUREREdCbmP8eUwVA4cjgRERER - ERERnS1XYBLgPRl91UyAOgZ4VsX1r7v2Oc/+0ZtuujmGmHN2yHAwHI1HdVW3fRnduJwYO60A7/lT - a+W2jBBaTmC4+2ysSaamERERERERERERERERERER0SlolOwJQKg0WQ+BYy5qC9j00/6yZTSswJDL - nVBp9qRRcu6nV2jsNVfJcJkGEgMwy1EDzKsQU9crREOETQpZ5/92UqS9N5tB3eEAzK0Z1oC3/Riy - PgxVgPMhlHcvqKhNLwcKVUiWIJjsZQBOls5+sImISJ8SgFhVDnSp1Sil05Srm6b16inOcnNtblCD - 8oC7lkuezERwj6NH73H0KB7+cNvm0Wgbe3tOnqEltLu8ikPgcHdH1DBZOHezLIBCLGWFeC4jL6y1 - wHkcOtj244Wl4bgbQRCj5twDKBesAeuODJMA7wPesR0KIFkCoFEdnj1D1w2ZYYDL5Han9JYBlKv+ - wmTADgCTqxNpa4qTbQgHoJLdAIQqAmj7jrndRERERERERERERERERERERERERERERERERLtKVXPO - VVWVH0+Z2z1hlgALCjNzz7d/+fPvfdfbn/j0H8oSe1dXZEecFOE6OO467ZwSBo9pinYI6Nu+iiGI - p659x5+/4cQtX4CVXHm4IcEcyDmfopK1xNVjffbzfAnqLK6bud17yd1Lg4cQYow2rXEuRZ1939d1 - 3XUdgHKnzDDbcOd9bjemR+8z/NudXRQiIiIiIiIiIiIiItrPyhkUVS1nwsqAs8Nh85rXvPrSS++b - cnJ4DDoaj5q66Sa53TugrutyHm6W251SYm43ERERERERERERERERERERnd9OJybXZTLR6WBD7Qi/ - q5acz+2+e/ZP8Y2TGsQRHIq12/JIud3eZNubFOumsoTzSwtAfZJLredtQvcZWhdoLZMM74PrNDfv - /F5M59C2dkduLyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLd5u4hhLZtAZSB02OMW89ugJU8XXco - UFWCfvzmN1xXIVk3Cg4BUkLdANPkXaIzU7KaXUpl2lo1pAtUNUaMx32MUWHWjRq1a1/1cngqdZMn - r05zgxvEUIqLgRBCSql01BIHPouxp3Oo67qqqkqSelVVKaX56G53b5qm6zoREZES4H23EkIIIcyn - lW83w/sUR3kiIiIiIiIiIiIiIjqopgM4lnO0BgCuABy55HabmbubWRVCpXrve17yR3/w+z/5kz/5 - 1a99I+VUxart2rqqS3r32Q/lmlKqqqqckAZgZjHGvu95Qo6IiIiIiIiIiIiIiIiIiIi24nKq6vQS - VbvPA2qnpdFb/GpvzQf9lleXUzefrF1zMu8kSz55aHe3xlb9YX5d9nl/2Gds7v7GtrV1P4k6Dnrr - nvp4soH4ydOvbW6GQgXiUMF222fbB4Atnn5+L55PpC7Lb5vCm+fv38UR4EC56+17Hq0sAMDmNuUp - 190FgGy3f27z/cJPvQy0y9uLiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiE5fzjnGWHJw67oG0Lat - qs6CcjexoMEyBApY7hMQPvORD73vf/zVo676vlQBDlQYjbBYx67rRcNerg7dPWjXphjjYFCJuaRu - EPHnf/q64zd/FlgLMF5fmTbtz7PqNgEcOecQQlVV4/G4dPuu60oWMp1D5VhUErtLkIS7l1sAVVX1 - fQ8gxjgLmDjHS7y3cs6lNVS1tEmM2wvjZh0sEREREREREREREdHdiCAAKCcVypmVcool5/6BD3rA - q//kVRdecFgEOWfASm73jigR3bNzz7MzPTv1/ERERERERERERERERERERET7jU9Dc+8ypfvuVRpL - B4HO37Lcfn0qdskw3nC729Nd8vUp3SYnye2+OzvP2uE8W53zHrcXERERERERERERERERERERERER - ERERERER0f4RY3T3kts9i4A9dQ5uSgkAVAxweNNU8HTdq19Zoc9tK0AAUrJYIWdjRCydsdJ1xAGB - OBxwiIsaEJuYHX3b9+2oUqAfvfblL0Uw+KTrblmK6nO/E4QQcs7j8RiAiDApYF8JIZSAajMrRyoR - MbO+71W1aZq+73POd7fc7kJEhsOhmYmIiJQs89PH4zIREREREREREdH/z969x8qW5fVh/35/a+1d - dR73dk+/5tVDNzPjsafByDJ52UiRIiWR8l/8F4kcJ4ojOQRiB0X+w5IVhSCkKECkxEZOAjZDCGZs - zAhjAsHmEYjM2MAAA4znPdN0z3RPT9/u+zznVO291/p988faVefcZ9/b3Pf9fXRUt26dXVV777X2 - 2qvWPrW+IYTw0LPND0jKCSdlidmQ4JR716XFonv++W/4sf/zI+84vd+utOV0resIBG59Hsl2AYNk - zrnWmlJqV3r+mBsWQgghhBBCCCGEEEIIIYQQQgghhIfbg/610e36Xx3gfTKO9+581fPqAOC2Vtf7 - 2TzNQb/G45tfA3xbf07yNr3tYONwQ76trdtdatjc3sXyvXNuNSe7RV9vf7S5vd6xcNujuG/SWxy/ - Vy32cLvxfr7B/nnA+W0/W77N80W4jsurpVN+3cbhoWhvQwghhBBCCCGEEEIIIYQQQgiYbSWfAAAg - AElEQVQhhBBCCCGEEEIIIYQQQrif1Vqnaer7HkCLxS2lpJSut3wyQALg7paSgHFYAfUzn/j47//u - b5oPKHUctbdnwwSaxVeuwp0ggISkRZc7+j/56X9w6eUvYVxd+QVDHv975RfWZJDVWgEsFgszkzRN - UwuKvkubEa5DEoCU0jiOrYwODg52dnYk7e/vAyilDMPQFr5Be/Ww2t3ddffVatX3fSml1tp1XSnl - 5l8hortDCCGEEEIIIYQQQgghhEeHAZAkiaSZmRlJkuv12r2Q+vCH/+SP/N0f7jtLiaXewiWHG8s5 - tzuSFovFMAxm1nXd7Xr9EEIIIYQQQgghhBBCCCGEEEIIIYQHUWRO37yI6L69rt6ZV+zhdv8h2+c3 - vzlXJxPf9skyTr7szdzeeFVPLnz1I+GRFVHQIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYRwUkqp5XaP41hKabO1t6zcqxGAw4CUksRaZdYSYIU6/cSP/b39nb6WaWfJWjEMJaWYdz3cBtvv - w4rmgIAKrAfs7PRd4nB06Sc+8iOwAk0ABMyLX+v7hDx5jwCxXC6HYXB3aa7Pm1od7qVhGFoidUqp - lLJYLC5cuADg4OAAADmXZErpeu3VQ+zo6AhA3/fjOOacW9j8LUXO5zu1aiGEEEIIIYQQQgghhBBC - uJfa1YLja13zXLqw+XqbbHvljeTuzmIYBsH6vn/hhRc+8pGPfMd3/dcXLly6XWtTStley6m17uzs - tPvbKz0hhBBCCCGEEEIIIYQQQgghhBBCCFcSr/ia79V/Z3Df5gqLgADO33Vua37F2rY83RMP3u2N - eYtA38tW58q/RbkXabC8b4v7gSMKV1VItlLWtb9d/6DHP1MEYMJVX8K+sla93bjrW/h299VvdBOh - 3UZdtlZXrOHJt7ebW+Bh0sr3ZJW+qoG9sqQf3PZE2z/8e4tWel7mthy8N3iR1pw8uPvzztPVe+/h - bm9DCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCuJ8Nw9B1nZm1AO9SSinlBtHFBNi+EUQD - 4BKBnFhq+b1f/38/+bu/8/w3feuiw7mL3luC3f2vC4dHwmKBw4t1gLwc/fNf+sXzr7yEOs5fLeTm - 22oCePx10lanr/j6q6W0Xq8BdF03TVMppdbaAuzv0paEayG5WCxaWbh7zllS13Ut34Fk13XblId7 - vbL3QM651VUALeB8mqbWht+kqN8hhBBCCI8Kzj++uXPMaU74/DsHK1FBn3+u/TrzK2yfeM2fDdv+ - 8KpXuHIukfaOJ953Xlh29c8VHVqnb37m971s+e2bbjftqq3bPmX7yn71XCd0sLpVtwp62672vu2J - 1VDtij1wC5zb3XXFm55cZwPyI9qfP1lDrlGCl3Ha5ueyV7i8ZFoJmtMc2ZG3T5mXO7nz3+odb6/N - Ohyv4XYTTqyPPbr14coSubxZuBdFdkdds90Drr2lV7c/12xIT77azbXn19WWuf4r2A3OBbzqpS5/ - a7v8J9yPrtcxuOJcfLz8iRrohJ9o1tp5vNUoAklIugdTez5Yrr//cdVxfOJscuK51zgYN8Vxou9x - Rb9rLrvN7fxz/LK6/LDdlq9Vt3qioK86wK9/2r3ynP5oOFl+12s5t789+ZS3aMBP7N6TzfWJ26uW - v2GPyE+U7+W91itr3cZlRX/zZ5xwu5BsgdnuXmuVBGCappxz3/er1Wpvb+ff+Df/9e/5nu/Z3V0C - /jZmlb3mm7brGWZGUlK70vPHf+UQQgghhBBCCCGEEEIIIYQQQgghhPuW3fDb9RF0ektid91GFE7+ - ZeD1/jLtEecnbm+7m8/tvvkQXztxe4Pfxt9kPwS1ndeJfL58mbnZjMbzPhCHXQghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYQQQgj3i8ViYWaSaq0tIhfADeZLJyCh1sqcAZiZGWopgFCHn/77H9mx - enhxvbsw6+i4UXT3jX8bwpbmwBFR3r6ftjrCqVMp03c7/sjf+VsoA/QW8Q7X/Ias12pmZjZNEwAz - SylFbvc9J8l9LjEzc/fWKKWUSimSxnHchko8guXVNrztopSSu3ddd0uvkO/IeoUQQgjhj6Gd4Mk5 - RouE4sNS+GOYp2KhmwA4KLoDEM0JYb4V4YShdOZeR7gkIxORACcTZO7zo6RIkqqQ2zyTmKS5srZF - Wggc5kfmLjtgIgBuJn1xImHzolKVA3I6KMANUEViNjOJ8wrAzKyqkvT2viYzq6ilFssJAOWOnNxM - pGz+GEiIDrhDOs4FnDMFTWjxom0N2zIyCbW4p9Rl5joVmiDVNIgOJUef3ESIqrV2WlSY52nyqedC - Y4G9xVRrV3CaYA4CLad0nujJIMBBhzJgUOcwg4MTUd5m5XjQaA4GbrtCBgd0Wcr7ZbvaNP+QEACn - m0A4JFPLdze1OMn2VJnmXEkHKwXQHX7VHEW+nbHKdAdjoduxCcBh2IybOQGUVh+cDmSTQQkwoIB4 - dOoDgM0J0gGDrB3Im8zYAgl0wUB3ZAB3tLzuNMpMrT60Y6HV4TY6pm2bOh8mMBGtnUxka2jppBsA - SSRhBFCleR63ZGxNnyQJPo+zkKTJ3Ys7STO05rqNRGwHjiUKEEAzrzh5Fpg7NiKZTAAFlwFSNfB4 - nQkR0HxWOj60Zb6Zo8rmXdHiZrel2faB6SGKaX/gEGj1s9JFr3O9sOTtwJxP+6IcqOYmoxtkSkI2 - 0t2rw0mRSQ6QgE1T2cs9xyoJWfUhmCHvziCQ3AAUcxFtR9mcd+6muYkQvDI7klo7ACeSmRmwjdF1 - LzDCRNJJSUKtTqu2+WxC0SU5vR3gKRmJWr2N25IUZM7ETCSRDrmrogLVbO6MAQAzBCg7LHkC5iRp - WTnRrKE18gKw6bVic05/RGy3GgCFJKcgohWh5r7BXOImeKsDRnd3dzOzlLbtdkrJMIc0G0mTJFgm - bG7/0frtlGDWgtJbD9wJtLPA5BUwa1UIyaFaa1FJHWVlLh03UyYAWb18PXHcZzMcd/CO64bDHp3y - vYuOz5LzRK6YZx5tO5tmAFxKqZNUJ+9zN47jzs7ev//v/jv7O//zd/7VvzZNNSXWWlPqaq3JUq31 - GjnuJ4rv8pa79UPm5bcXflrPZHvtp1XXlNL2V7dnB4QQQgghhBBCCCGEEEIIIYQQQgjhgcWb+Pb5 - zYfa3n1Xr9q9Xdur3/2t8lxv/K3du/23Por42dtvLuLL9uw1aunDsOdb/fGbPgwpGI9vb8Ktfcv9 - bVTnK9b8ile4wdpuH7npbXkQCW9VuFf88n4+fdyMt0zv3mzg2ynyWz9f6EHfn3feZeU1786HtL0N - IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII4f5Hss2I3u4fBy5ILTcXQM65lrKdjV3TCMDr - 5otBKrD08V/42Jnv+I4n3vehw7UWp3ZWIzJhAHVyfvjjxJmTaSttmU1eUghXSioACjrSzAAh+/hP - /tGPn3/588lKrbDc1zJi/gYtgOPvqG1TnbT9f0sWEFzHcQAAaq3bYyHcK9uwp2Ybzl1rzTljk93Q - WqfWQPV9P45ju23LbzMgHj66PMiz7QdJN191I7o7hBBCCOFR4XRABsgE0QnIQJjM6RASHMA4lJyS - 5ZyQ3Fv3EqR79Zxzyi0wtpRa5C5SglrSPGA0ADQCmKYRQAuNJZ1EmqPhzOAA3b1CclWAzrlrayJp - hGBGQGYJLq9VJJloNDpdvtmiFvVKd4dZlzpvgZ+aA/+csPljYct4dudxbrdwWRDcFZPISAKcpKxF - V0pi8haOSRGUQQRIiaaOlsZE51Ba/mhNKdHrLRcU0MZGTNsY6bZ+Lc6wbUUF4C3dHMb22fZhv20f - 4G0OG5x3yqa0tkNIfnIuG2ou181e9XlXziHB2OQfAzDQN5HAgJwA5NvQ7M1imze88/NVmVolN4M7 - jGKbKcy0STWmQ61K8PgAf2Tqw2U5zXNCLZw8HgGiA2j5ppsEUDuZW/lg2cbxzu0A3bYzNQmtUYLm - xwxwOM3cvbpDMjIhyZykzdnbteV2E5QJ0DRWM7OElIw2L+OuhGQgUkJr7yQDRCaybu6zDeMSclk7 - XFs4K0HCmAGUUihQAtyoBIpOwybDk5sD2Qjo5CRic1m7wzZp5W0rT8xSFbnd95RgLXa9nZq3Mzjy - soBen9tewOnZMgAZqrtUQZnkXhwuS46aM1POk08dKo23OpXho2Y7zx03Ic9t57M1C63jZOb0ViAy - wSFItU7ukrqUzExKrqoil4OORLNkKSVmoA07umuO7hZxYjCdiSmlRFIi4FVV7nATYZYWKZMqdYDa - KdWAa6Qzbzdkc57lZru2539/eOeLvB7b1H/HXIInr6bNcd3AHI+tdmEDqUsZCbWq1konIbOsUitA - ppQBQJIDXko7ibR+u5mZGZnKNAnITDQRlFSnUn3q+766qrvcZW5maZF2Uh7HgbIkAGYyirhyRsjN - mnPbeSOEh3gS0AdRmbxddMk5r8dhtTp8/PHH//y3/bnv+77v/Rt/42+2QmwXz2pVSqnepqsvfd+v - Vquc8za3u5TSLgKFEEIIIYQQQgghhBBCCCGEEEIIIYQQwm10S+nC7Q/cHpQ/c7uZtX1QtiWEEEII - IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEK4+7quK6W0+FszK6WkRFT5nJjjLeGoZbIkQ60T - HD/x9/73v/k//i/Zlm8eeLdjmOOqrpWSM8e54Fa+7BgeVdyk/0AADBgOhwXGn/mpn0RZA94vdsZh - uPFr6Kr/tIznWudq2tIBIr37vtXKZZomM5Mkycz6vp+maRzHxWIxDAMAktsyfSi1XHOS23jybbr5 - TYroixBCCCGEh5zoLdC05RwW4EQIIkygvJNTDgpKE/arEiqBltqtRFlCNRfLMGd5VyQyp846DmpZ - 1pJEb71zp+/2fburlu8Iucsh0kSSZKYpkWzRgHOP1ls0uGuzfLdMXqeKySWjtSe2DwAUARCGCglW - E2lZLdPa2rY7UeGAi9oGu9ITZC0dtoXg2slxjW1mpGqLEJcgyOWkQQt4B4JyykzZ3MBavSYwlZLZ - uSyDS6+qpaZ0C/Ma0ZNkqFACzI+TGtt6mgjQnRMwAQbRkQFrkY0P/S0A+tUfYY7HmDZTaM0DT4R3 - mseqHCbCuQkKnaOvW52rreaZkGDb/N+TE3K1+rG538LbcY3hrdvruD6QyIBJBK1NlSUKMsDdJmAS - HTJXenTqg8PmJq0VJQHBBGxS1W0TF+oy6NZmWLsPVfNiADypmjypWMuyRXYkoZU+DKI8qYiAdc5N - /i7pVoHWJAIEE2ktJNvlUvGdbEmuKtTq8z6UEz6WFuOK+TEIMBq0mdwNMHNJ7i53M0vgnPwKSapl - PXrtl0uxDWSYpOpeSvHiXddB3JSXJd+GubZGrzpHsIpFNACuTBHK7bAl3OSbJOBwbzjh1rKWc5IT - xQSwQg4YkKv5HCpsDlQAE1dmVovcvct5Jy3NNZYx9Z0TR3UCnaahrGFY9L0XjyK+HgGTtVOSmZDk - JgBGQUgAKkx0b8eRtTHTvlSHkFPKqYdLResymVnioh3v7u7urc0oKi3KV3SSLaKb5DiOZmZIABKp - wlrrVMe8l2E0J0A6VVFWk6r6frkdmZdt834ddLU+4PYELYIwGS/Lfm6bdq3Q74eXadMpISpRrQ12 - u8koT2rdklbEEB1Aj2Q+dxjMDYQspcRSHEQru6nW1v1OybqOkiRKaqeFUgVYZ8ndyzQByGYp5Wwd - bKFak9G7VA3Fp0njWKpN6KxLtUu1w6YrDsjpIAgHYPC5P6XN5Z0rj+s4zO+9xbIrpUiyhJyt1qmU - cW9v5y/8hf9wtVr999/zfTs7i9VqqNVT6qTLj8arjs3LL/3eqPNcSum6TlK79uPuOedpmrquu01b - FkIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC - CNfWQhkAmJm7t3DcWuv1Ao23M7X/s5//ub/4V/7ak+/7wO5ybywg4XMyllGXzdB+MjzHW/hLCNfX - knoEAlgkZNov/+zPffUzfwhARC3j23jNFlRBcpombBKRI7f7flZrNbOUkruTbC0Vya7rhmHIOZdS - aq3bGO+HUqu3LUnHzNbr9XK5LKXkfLOR3BHdHUIIIYTwkKO24YYuQhQgB00A3YHUwqCh5KhAl5eT - bKqThJTNTBV1qiXn7O4CzIwpS5pqLaujndRLFQCMZnB6y8M+mg5oEtXitlvEooxV9BblKqEKMjgo - I1MC23AAMwEjmWFHRwcpW845JwhwlQJJyjSJhkSCyVDg7pkGzrHN2/xCtYxlusExB0AaYOYJgNtm - eIIOWdsnaJ8J3W0TH0hVkDAUjm6lpuIsQDaV5AaOhYd1ss4W5may3nKqXkoxY73pMQ4KNmesQ3MA - tQE2B4qrBVm6Yc45Bw2bzZnTpR/y23k/tfBmbPbTJpLZfRuuDaDFQwoURDO4y1qBnhiRaimScwUg - kN3b8pA553EH0UDQ5zcy+DZK/I6a6wNczCb3NqQmtipBIAmig+VEfUjzpt8X5XWXaoWI+UAgWlS7 - EYS1vNkW5n2yxB9k7vRW7gIgqNVfGWgmayH0aO2e6O6wZAYnBHdKqJJgkqTqKiRpzAbLKZkkVUkO - mZmMyZCMVQa6MIdzO9SyXduebWMxiUbSZAYzQLVOtXBS+1VKttN1k09VXmt1sUX/5q4zS6UUzgfi - Jmi2bR7cIaAY1EqTctEAFxPhUBsfNJ/rQ7iHfG6KCTpaljDkAKrNZ2TMAa5zP8FJV7U+L3Lv43Tp - 8MCExWIxlaJsBRUATW4+OUwupqsTYUPjnFsDooXZo/V9gHYuM4eBECogkwMwUszV6zhNLIUkAWZW - rw5nobvDRTKljgmAi9XbaVRefJIEcdn3cHoV3KtbYlqkvu/7VV3XUlQ9kYaUrOv73mC1tn5ZW28k - OuCthvjmyJ+3Y3MubuduygG34yP9EbqARHhr4wQXXaRTJgOQ5p1pBq+A6NVkQkr0qZailFJKCbAq - rxXAfKmvyAHknEGCPk5HgJtZ+y0Jwlo7bInJEoD2ju4uyUuFsVp1o+g5JUtdEjExeWqdbbWaaRWA - ydFC2U8cxqJfcVBvY9pN0aTfS8MwpJQkTdOUc+66rtY6jiMs/6W/9J+8ee7s3/pf/85i0Q3DVGtN - 6bY1zl3XTdNkZqUUM2uh4JHbHUIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh - hBBCCCGEEEIIIYQQQgghhBBCuGu6rmsZsev1GkBKaZ7r/6olJeRsRcD66GP/8Cf/q7/+3021EjYn - 5cy5LseJKldnq/gjFb0QbprNIR10tASSOQyorC/9xI/+H1C1lLJxnOrbq0EtBLrFdY/jmFK6+fzj - cJdtSwqblKiWG5JSauHrkhaLxTiOwzCYmfvDmfdhZi2evG3jcrnEJnj+JkUVDyGEEEJ4mFFmSvDO - rRq80oGKOfi3QgAoQqYW5wh49bXRlORwUW5Waxm9dCI1hxhbzQnsHJWlWimpSFUECTd31IpqCzrc - 6SKk2mKVRShR5nTDJnLZkAwJFaVFEYqq3qK7e3Wn9vZZMNWpllpUYGIymCqqA+ZuSIZsNCRzUvJN - /HaLKgeATdCyUUbRZJSZmxMmA32bFIiWIwjQDA5J5i1OnDSNPFotDqY8VCsAoLwJVy7WOQ7s8d1n - js6VYSwpKZn1i8XwNqIH6QJ8O4bSgsbbHRngoEiff9lyyTeZ3w/3basvohzbkp13rzb7bRu+3vZX - JQiYnDKT09tB0fYqnBBNhNPVUk7nPGRu9rZt9/W8tym0F2w5tbrT41etMrRo+TZcRoAtPpMysbjB - WvB8qx7CPS+pu3Y7lyMAzcHqohOAvAVAY5No6wbgbgSu3znJkRyAOV3MFagkAZMltIahgi56ZZ3o - gPlYMo2EuzsqDO0JcCWz1PUJSQKcdFbVtaoIyy1HWxVT8amUkhd0lNYCW2LLAm/jtyRNcIcXlzOz - T0w+0cxSyglG5Cp5Far34gIJKTvY8sNrYZWbXTE00dq/4jaBFUqEmfJ82LcmDwLamSVDBiYAhikC - vO8VgztbQC9B2+SpY25g6a3c0jysS2dSshE+1EllTLR+f2G0ib4uBR1HCFbr5Kf2TpfD9Vhzwi2M - dj1qTPMOt3bW4HEnwtnOYE4pCVRKvgBwNE7dYtkvesCnWopPICzR6e0ANlAu91pqdVXlKivQHKZr - KSWQtKPhIFvXpy4lU5WXyUtl5TL1Yq9UMZ+sfVJtnT6q9QDnDO85ydvq5qqQAS2J3Fr3rT0qgjju - +qid+B4VDlYDKgGw9U8gqBVtu8C2OT7amW7UqCQkg4GUu1d3d++6TmSttdaac859KqWs1gc7+8k1 - SaqbbhSRSNZqxpQsm6xWSEhMNKbUAUjzm3coSZ4kkhRKTZOsOCCakCkkgWrl3U7Qc6cOrL4p9233 - 7Hirwz3SqoqZDcPgUs5Z8r7PTpum6b/97u8+uHjpRz/yf+VsgNVa5qdd45A8LsRW7jdux9t1HZI5 - 52ma2uW6Wmukd4cQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ - QgghhBBCCCGEEO60FohL0szMrOu6YRhqrdebZX2en90rrPu///HH/tP/4r/0xemdx54cC6rNc7Ub - ARg3CVbGzZO2bxrp3eH6nKlVlSTvff3Lv/izX//CZ6ACYpyqmUktReQWdF03TVMpZRzHvu8XiwWA - VvNv/waEP7YWWS2pBVe3RySVUsws51xKqXWuDA9rbjeAtvnDMEzT1HXdxYsXl8tl3/c3/woR3R1C - CCGE8DATNzlpIAAKFFt0HoHjGFTQtlnIGlPKRrjXKhFMC9uxxWpcpZ7ZJPjoR0I10FOZumFVV0er - g4Ojo6PVweHq8HB9OJbhzPk3qmpRqXWa6lhrLV4rfDUcmFlKnZll6zpL2XJiPr33eJf7/eXe3u6p - U/v7p/YfO7W/v5v3h3pgoDsyzSybmVdXxSL3MIOzFrkXmJFJJ5ILW/QyBdBT2/A5DbJlRgJ0Axx+ - 8sOj5lBYgJLBQDgpM4NLR7j4Q//gf1ovLtT2YuoBJBXC3ad3Lt/3l/+9797ffWeXFqxexvU4jbyV - 3rkIn+Oi3SkIYIudbisLKqn9h+48Tr8zuD8at5t4Zmth8NtSwybD+yQnDBBcsITqYNLm8SuGDGRt - b1biOCMc5qQ28c8ATN6Oo7ZwK6I7R0SLYq1sKaabIbU5+zJRRhowOluk9zySdj+U1F24bXvfAYP5 - fBdgmXNMtc1/bcm1c6z7g5veTbToblSzCoiYKyfsuOyBuQ1rVTRTVgXIK4hEswQJhVNVLVpXCCbr - jJQn+YLrcnS0Orx0dOnw8NLB0aWD1cFQVhdXF8ayXo2rqaynOtY6TbWUMgLIOfd5kVKXrevycqff - WXQ7j+0+vtPvnd47fXr/sb29U7uL3S73nXXDqnbIZgnKKEnViGzsNlH08/rPtxTYonwJGEUoA3OT - LjqOx5Fj5O4+IEtytJpJn+bc6G3FdEIGp8xEykCXTYOvsETetTfOvf7ii1+6eHh+ubuo5jA6MqfU - Tf2/9c1/rkPXITvqPdu6B0GaT17uVtsBMsfoHndqPLUeBSBgudM7pmFcuxUkqfcJ44i1TA6vKqWM - w8ZYx8Pp0FEpkEypW3aLPi0663aXewtb7vV7ferh9OIJKTH7oMREdGSCksR21Jq52M6qDsDUzulX - nE+NmzYcc+/ouDK1O36HT8H3FRGOlo6cKQNy0tyxd8hYKgA6ZJRRJrpLlkjSvY7VSaU+JePh6mJe - dOx90rCiW1btalmML77xldHXZRiHMqhUJxKSWd7fO7273N/b2d9d7nN+d8syTExuyfvkOXlHT0l0 - GKzW5NWKqGouCkJyA7ydf33ucc1NvdMAYb5MaPPW8tEp2/vUYrFoF8ZSSgLahZbFYpFzrnWotX7v - 9/4PB0eHH/vpnznO7b4dp+J2UQeApMVicXh4uFgszOJKcQghhBBCCCGEEEIIIYQQQgghhBBCCCGE - EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII4Y5rU6Nv4pA1DMNyuVyv11cut5lQ34hS - CmCA+9k3fuqjf/+7vvuvH5YBXBwHKuiyudwpB0y87JUivTtcj28qCaFUjj76Yz8MH6DagrtuObUb - ADBNE4CUUkppGIbFYtHyniO6+/5Ua00pAch5zp5upYZNBSBJsuu69vgwDPdwbe+CrusAnD59GsBq - tdrZ2bnJJ0Z0dwghhBDCw82rAWjpp2ixmhABM1lLBRYheG1xj3RQblUSVAlQNo7jWI8Wp7tBl85N - F86vz7xx8Nrr5185c/a1C+uLr7z52qip1qnIQXe4o1bU3HVOdzrgDrWgZakyMYFwg7c8ZDNZQsJ5 - ApZaeF973Kzj4sn9Z96x9+R7nnzve5963ztPveuJnadOpdPLvHN08WCn3+tTb9TESSbRJQGpJRcC - KfkmDpZuEuhtPzjLceozARlk1n5HbxG/1UGYIbXMbxDFh0t+9tXy6Uv9m1JyZKgzgSgmJLPV0TDt - lYuHRyjLTNvpupRT9WtESl+XrNJEn8dH6BQcnmAg6MmUITgdhMGcbpyA0vKlH4Fbc26j1n2TwWzO - OaCUOI6KFQH5HP3Llslt1SrkgF2W3zzHfkvgmHCcFdoCoFmhNjCgOTGa7a3nSO87GC8pq4SISgOR - 5G34zABHTjLzHnQ3hwB1AB6p+tBSzNnGE80dLrpY29GzTe92QPBq3mKD71xx3QUt4NYE6DjZHWAl - KudKmZSTe3LKNCXJnILJEmlknaaxrhZ7/cDVwKNDv3huOPvam1975Y2vnrn0+pyEt5gAACAASURB - VNfeeHldj8ZxHGuRquiinJ66XFQcFRRMpJSJjJbraTIfXRUQE3OmeSFdrZFPqdtb7uzt7e0vTj33 - ruef3Hvm3U+85+lT7zm1fHxR9vO4REHyjm4URYjmVrwldvvy8qj14zRiVwZgc0uuzWnuVtrbcFtR - ZuqcAkq1Wm0Sjc52ECZvud1omc2iudUR5/ee8K+ef/lXf+1XPvnFTxyli9zxlR8VuTwtuLdY7T81 - veuFD77vaX/n0rpBqDFIex0UTHB4NXcr1dwBU09HdkuioVIOuKwdrJ7yJE7OsaRp5HB2OPPK2a+8 - dv7Vr775lYvjufOH5y+uLozTSnSRTGZmVYS7JIjJLDMnZi/q2O31e6f3H3v89DuefuKpp5565snd - J5576r2972Xft2mP651UFx1TTlRdg5OziHKywISOQpKZDCDmpnvuowJo5/HWQcT2UT7Y7fmtapXf - xOQGpXbtTXS3UlkBEDAheTbvnF4TaFD1WmRg6rL7NJSjnSe6i+PZr1165ZVzL7349S++/OZLZw/P - DL52Fkf1UueA9mSJZpZrFaoldIu889hjT77nne95z7vf+87T73r/E8/t1lO9P9aNu1jlpIqkLqWp - rkEXUUkhOQBWWmXhpuDaBplvCxcGau5kbfpyioP9nqp1Wq/Xue9SSjklkuPooK8OLu3s7KzGYRiG - H/z+7z+4eOkXf/GXQLjPZ+ertAePz8437jaXUlJKtVYAtdadnZ12Py7RhRBCCCGEEEIIIYQQQggh - hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ7jR3lzRNU0qp67ppmtbr - 9XYG9e1SVzwr5Vy9gPaxj/74t3/7ty8efydzB5oA8LJYbkJzoFJEdYe3QkigACeSkFR+/Z/9/Guf - /X34YHSX0bK82K2HZ5F0d3cfx3G5XJZSaq0tCjrch1pu9ziOfd9P09R13WKxaM0UAEmSzKw1Uw9x - bnfLJk8plVKwCTK/+dxuRHR3CCGEEMLDj+X4/ibqGHNuNwU4BEJ0oMpKTYNzAsCFkLAu69fPvf7a - uVe+/InPnzn4+tcvfPXidNa7CctarQw+pIVN8FonAEwg6XQRawgtgxuXxe9RSAQECRAgUCBBp1k2 - UCIqAJjZyHR+faYfdz775u/p95ON9nj3jvc9+fwzp9/94ee/6anHnnlH/4Sl7I6UEpFUmH3JzRtt - b68R/gptVoyYI37bXUdLf5aMmeogBx2mUobDemG9vDQs1w5ACeoBgGOSm2dfve59xUHtEpMlTdM0 - TZbSzZeV04QkmMNlYguupAQXjZiTet1KNVU6oIRCac5BfPhva4t9NdVt9iM0l+8mlfnE0FKLf4S1 - Z0EU0UamtnVyriGb1xKpOcnbsY1/JrR9v+O6dGUY4Z1hgs2BnXBAgLcEcSEBdFi1UqxCRuGRqg8m - B1qCNZzuVt0KUE0gaAI36c4OJLVBzAd48FGYE+iBltGLBBfNWbe10lsbC6Mg1IlrNweA5JYg1qP1 - pfPT+Rc//YWvXXzlpTN/9PqFV49wUPLonYqtlcdqk/dOEiaSFapyywTgFAAXJNAgAg5yPihasZhA - Eq7EnEB3r5NflOzI+tXic2f+MPuy837H9p9cPvWNT3/gT7z3m97z5Pue3n0meZc809O2gKic6qIF - 9gIO+vFWartMOy4ccCf0wBbuQ0CEywB32rYVNcBkSUhO0ESfrJRUS/KSD88evfjxX/213/7cv7xk - F3BqPOSlFYAdiFCFbJjKYR7UnXIdjGMdnenBPX7vtHmf00U5rJ20qDZ6DmsXX6xWG0uapjSVPDiH - s5feeOlrL37+pc9+5fWXz4/nxnxUu2lM48h15ehL2T5gkuCOKgBsTFA7s7pskem44PnrhxkX4S97 - Qlqwe0f3jmff8dwHn/2m59/1p57Z/YYdPl6mzIIuG1FM8uPh+3aOZXKb+6hEmpt3B7xdOnJueq6P - XjVwQG0IV2ay1hgDtdINtdIBSAaYeTLPpDtH1eqo6or3Wtn4xsXXXj3/lU/+xu985eyXX7/0al2O - aRcDjwYO3teCFp9upNrQujskGEDkhO5APHv49S9/6dP8ouXaPa7H3v/MB7/5+W/9wLv/1FP77+7L - MhWwWs7myIQlUbLWCd70uQxop4xNR4tqGeQn0rvxCJbvfYhk13WL5bKUMk1Tu+Qgqes6ADlndlyt - Vj/0Q3/7O7/zu/7pP/2V2/i+7XKOmbULPCkl9zvd2Q4hhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIQSYHc+WP01T++/lud2XcSFnm8oIZkD1wpv/ - z8/+9H/0n39HlVrYUEtlcMBolAOgIIByo1W0GKY7u1HhQWeCAVnT3/3f/jY0ZThbjIW7mW2CHm6B - JABmtlwu3T3nnHP29mrh/iNpmqa+77eZEev1erlcAnD3FuC9zZUws4c14qEldtda25a2R7b3b0ZE - d4cQQgj3r5aN517Jt144hNYRbH1fSa3+CDUDpRQHUpeZs7tXAYLlBKDWyb0A1cylUuwI++uzR699 - 7Y1XX/rai19+5UtfO/vVI13SUqPWJXnd9Uptg5CRaBgA5yafuqUGtyA+AfPDJz7hJ5/DkrdMc442 - vKLF+9EASHVCVWcDpiEBHQBe0huvDl9Kr3W//MoyT8snd5/+0LMf/vBzf/rZp57d70733OWQMwxQ - 5eQOJ8wgQ/XSWZLk7gYzSxJrKUySu0lmiYTQMkdFWq1amI3TlPu8nlbdfn/ulXMVKm2LREgyB6sT - yadTi3Tu3Jn3dk8nN6ynpJLMymVbfxNkgIOgvG3z/KjBJa8je1vjQEsVA6pnZ3oYP+y0j+gAeEUL - 6CIpI5EA0CmIQqs0LdGz3UoCUkKW5ATIOWYUAlAlM0u55Y9K1SUJ1ToUn9yLmaWUUjLVUms1ax+d - DCBkDmvRkpsBrjvFZIKZ5k0lXDCRxcfcdVOtk9bYHw/qBcNeh86KP5T14ZooozLkbqgmZ5FNxAgh - q1cBi/VpV/KquthNFw8PUrd7r9f67XMamNTC51uDSSeKucMkowg5HeY0Y65WaxqmvBowvnl05kuv - fu5zL33qpTN/dKGcZedTGmVVp46D6kE5RpmzjYNpDm0FgSpxDlOdB8kqxCsb84beDovSRo5tbsa8 - +JAyRmnEcIgLb5ZXvvjqH/7qV3/OvHt6953Pvev9Lzz3Le9/+kOPL57KU68x52lJ20PJtGrmRbX6 - kFLqujSuxr7v5e5TAZGM8EJYTZHefS+NGpMlMKkgJeuSEZOPU5cWUhLTiqt1v9Zjw4tvfPpffPLX - fvezv1nyquxNNU2Vo4hskM8nzWmsuU/TolzgQb97GsNgdccemfbtltHX5SgveiKxsOuWBnmZemIc - V/1ydxJXmLhfVssLX/z6pz79lU9+6ou/f3G4sBpXShVLLzulavRU3eajm4Ac8HkU3gBRkFoJEUa2 - ft5IM6dLxRKZ4JhWGgcfX3/9zCe/9ntL7Dx9+l1/8tkXvvkb/+xzT/+JMu5y6FPJCy4SkupEKYHu - ZuhyzqX4tB76Pqeeq3FAJiERBCBic/6FAD46FSLXopy7RAIVGs1Ac7AiQZKDqqquzGQ5lVI72qhR - i+EI5z/z6qd+63Mf/8LXP30JZ2s/lcWgnQI4hSRLBgNhrHRJ2lxWoYGtZ6UiOWCkSKPMoQt+7g/e - +N1Pfu23Oy0/8O4P/msv/PkPvfubTvOJPZ3m2ruaEjpUER3YuztYLJm7QOS0mMo4lbpc9tVHwKy9 - /mUdqkencO9HElPqpmnC5spKy9KmYRhXXV4kM1EG/4Ef+P5h+G9+7dd/IyVrFypy7tudE5dk2qn5 - ZsuUZHvHlNL24kdKqX06INlSveO6XQghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC - CCGEEEIIIYQQQgghhBBCCCGEEEII4fbaTo3ewr9ukNuNFp9cHQBzVq2Yho/++I/+xf/sL+dUD4ey - v78ojmHwrjOvno1oM67PAV+bFyFSpHeHE2rVcodHByXn3HVwh03DL/38Pz7zpc+xJacJLRFeNxHS - nFJq1XibILDNBcOJuPqY//++RbLve5zIcWu53S21YdtYtfTu7TIkt1GGeCgivduWts1p25Jzbg/e - 5CtEdHcIIYQQwkOi6zoA7r79hEMSVAX65ZLkehzH1QrJun5pXbp4eKHb7W3phWv0xbk+c/bVl1/7 - 0u984eNvHL524fBcwaCulp1hjVUl0KEa1FL1BNEgI5Dk1+t7ElfGVptAwa76tL95CUeLZdykBjoh - tiztdn9ePjmOSur6xeF09iufe/H/+8NffXznHc+/+/3PP/3+P/PBP7vH/WW/l60371noTrmZrNZq - llLKtdZxmHLuu53dWofcd13tyli9Vs9gJpJpVKZRZpZltISjcrTWunL7KdG2K2mAiIJxGtdgyRRJ - czfBLNWb7ZzPKeYOUELLQVcLMtTkk0D0C+7Vr597+fzB66WD5cTK5A/hB1czax/qzGx7H8BOn0mS - yUCznJAMZm4SE7OB9FafzMwycyk0zwCcxmpOMxmAzFRKGVcjgC6ZmSWqwnwqi25heSmplNGn0cy6 - lN19W+JtEOsu4HzIeKt1SaCsGACkLo91oiHt+Wff/OQqH9D2fVRmfnSiuwEkQYDTqrnoQM0quWau - 7IXnvkUHNg0TQJdqqTv9ojge6MHGUqpLyZgMKVW4SOTcDdMouJLUo5q7ldGHlR984bV/9flXPvOF - Fz/7+sGZshi4LHVvKnkc6srNsWmTAbRmxOnXq9tXpXQb1Q6K7e1ljk8M2zvWxmwKUN2K6CAomGPl - 5159+cXfefFfnrIn3rn/7IeefeHDH/jTzz/zwUvnSt/tJdDdDcyWVX21Wi26Dqp0T4QlygWm3OUb - D1iHO8wXy74WydUb5SjTkI2Lnd2joyPviB1Oy/VL5z73K//iF/7wpd8qO0fj7lBtqqloE8BMATLQ - IQPmLkc1VLfKdFfa3QfYzs6Oux8drZaLXas8OjrsspWM7pQd+QXt6GJ98xOf+Y3f+vxvvHLxy0fp - YreX1vlwsokJnuQACDNsR8hbh63dOpGAE4Pn8BOx2U43mAiXATDBDZMP7Miko3ru/MXXXv7M537r - ix/fz09864e+7YVv+DPPPfHB1UH1g7rf7/XMwzAJnHzoHX3u0nKn1slLXeQ0oTochGQOGMyRAIiP - 0PFuQpcWcHNNRBUmwSF3Vymeu4ULMOZFmspqVS6kPUz58LWLX/nkv/qdT3z+N796+LJOD+X0+lAX - S4ZsLtauAO65ZsigTaeduLJJb48I4NSi0z0V5XHltV8m2fgHZ3/7U7/y+88+9oEPvfOFb3vh337v - qWeT9vxoXKTdPu2USRJSly4dHXb9ou+W6/UawM7OXvVpDooHjs8mRGsBwn1IUrvWUkohOQzDU089 - 9YM/+APf+Z1/9Td/6xN9n0txaT4238Z1l+0lunbZpr1Cu/gxDMNisWjLtMXaRevbtm0hhBBCCCGE - EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYRHW5sC3d1b - jHGttd253tTrKbFUzQnKEqDD82/8zE/95H/wH/+Vd5zeO/PGxb1Tp3d37ejIczYYVMkWDNBm6Y8c - jnAtOXO1qru7C3es1yWZOk0f/fEfhYpdKyWIV2bEzU6mNeecSykAdnZ27vDqh7tkG1ndmqzWWLU8 - bzOrtW6DnJbLOSjkgdZC6JfLZdvGtnXufvO5FRHdHUIIIYTwkCDp7q1fuH1ElorKOI2qSEinlqdT - SqWUo8PDx0/vDHl9rnz9j9784qe++nuff+UPXrv01UNdXJxeHOFIy5KSdSmTgnKiV/fkcIJzmqaL - 3mL+th+9rpXteuI/AgDBK+dP/tts15bJvV328jBwb09ssdYtDpwCE2RT7ZX266DVK+XNr5z74m+e - 7f7RJ3/0uSefe+Ebv+XDz37ze09/46n8xGLaS2PquShjLVW5z/1iYX1dTePFo3PJuKjFpYTUdZ0y - Bq2HYd2zNzMvbmZC9VRXOHrz8E2AEJJnyBxESyInSKD6+vDQumrmBBIo3VpkHYXkDlah+JxSaQYD - ve+71TROaXVpfebXP/ULv/vlf35Rh7Vz8cGOIr6elsm3Teye7wPupYV29ynn3Pd5sch9Yj61d3rZ - LXcXu8vl7u5id3d3f393b7fbfebUu/q6NHT0RZ56+qLXMqmvw7Ts+r3lHryWOroPMnXWuXYxJNCh - mpFoMgHOWqdWLUWAbVDBAbNbLOJbYkL24rBqkJArQEishLvThIVd8DMf/aUffnV4KXV7o///7N3p - t2TXed/33/Psvc+pqntvd2NoQJxHkAIpcBQFDposS5EVK0rsN5EiW7LjKCtZSVZW/oK8yFp5lxdZ - tiTHphRTkm3KkrNkUaJIggRBguAAgJhnYp6BRk93qDrn7P08T17sU3XrNhoUmgQINPB8XlTfrlu3 - qs60z6lz1qqvKcTo9dLuJhSi3sgMQYgBDcZRuM3TS9Ob/odf/98205saPtLS1ErYK3MOzC90ruh8 - wIaN1JRSFBlsMFWoljCopbglVHLsF/H0sf6R7z1z252P3PDQsQd2yu4A4QQ6SoAOZZAuK6Fpmeu5 - ERpT9MIKwMCrRD09b0Wi1dpOYK11VQVB187IGZ3ZW7XlE4IAro9UAMHGwRxAkcFi1lh6nT83f+a+ - +++46tG/2qLDv/ihX3nbhe+66NCl1Ece2g3enKAlRrQANVULKYYQulz6YWC1GP0UxyuIS1+gxqEE - Mg5cKBTheT+EC5odnHjoxJ3f+fbVNz90/R7vhK3UyUAEpTrELp8CUMAIBGUKpBQ0NqVt8kYs0+cd - Y7g1xlRi6ReHNzZy7iHlyOHN+TCUVk/h1In82Le/e/X191x7Kp+yDeRJMEqLoTejyA0IpGJWiMBE - qlaPtdgA1KIz8xh1Xp5TJ60HP1guPoWyoe6A6j0NE3O0JhhhMNmVvR19NMkzD9/2wDV3ff6KN3/o - 4+/9mcsuvlz6ppsjxkmYRFWVriz6HDkQQ4sYFUo2nvYnwF6/md5gqloMAi4U1QCzYEoppGGQ0CRE - WdhpTIcBu0/NH/nit//ywRP3H98+xlPiI5iX3a4UimAACjKw1oP4qDSO/OMmZgfq3by6bDfuFNTA - IEUkHWSuCJxsQkr9g/M7jj366C0PfePyN17xc1f80mVHLy87uui7adjgyL2V6aGJCi+GBccYQrBi - pvVjy3iyvn4cWL4B90oah9vaUH/e8YCqish0Ok0p7Jw+efHFF//+7/+L3/zNf3TPffczkYg2TSNi - q8swB6yW8gsgonqRo172aJpGVWu9exiGes2DmVcfEJxzzjnnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc+4lUb8OvX4XeiklpbQsH9f2iuLgt+kbGBAQ - QQqIQYpu98/+3Wd++R/8RjE6srk5SFGNIA4Rw4C0/ONVufvMyotzQCCIWVGQIQWeBPna5z//9J03 - 17iDjt/3/7evO6raNM0wDABq0m4ymRw/fvzlfffuR8jMaru6Jh6GYWDmUsp0Oq3BiLZt+75/DXS7 - AdRu9+nTp0UkxkhEdapf/DN418o555xz7jWidrtr7Wz1XzMmtExGyTjoYF3RhaSss/zY9pN3PHzL - rQ/f/Ozi8Xk6tQg7/eYcCdvSU4sQYKaLYZABgdCEWPONbKoEQP/Wz1606m2fiXVVBLQD0e4zSt6r - 51ECGwggGwPeAGAiKgMyGMKwCGtQCNNZfGi4+9HbHrjmli+/cfa2977xiive+sG3H72Mc5ptbZHy - 3qK3BdrJrJ1OYhM4GLJhgJmZqolRQBMimQEwUbAp5cE6mukTzz2uVCt0CiiDBarLdKWSLro9IiiE - jZVgID3HrhzB2FSgDKhxGE+S6DAMxQoSp5YX8eR2fLZrFtKiwIx0GTx9Dd6aSb01IzNhZui4VrFx - KJGEA4LsKCNECqZExgASp8iNzmmrOXxk86KjRy65+Millx56w0WHLzncXHDhpRfv9Kq9QCnFEIhJ - rS9hEi5UJRFloxgYZlLMTJmbQGqkipomrWlTHaOGLxcNZgStq1MwwEiIQTCTogIGprrNxxfTY9wu - FnlAotf2+rB+SygMUYYCCgIQlZsSuS/Hdp5MW6w7ZkVyyQQKRJGDyPndNe/7nhkUTE0EakGRVHk4 - LadyGh469sC37/76fc/e0qXTXXP6lO7ELVIzqj1tJURLTWhCzDnX2bg6p7scdXWVa13Pai7Hc14N - 3fWWANtPc49/v9w6Vn+8ekKu91N9FQMt9xFhwmZWdFFsUYwIMUjcxnN/9JV/cUG86L1ve/9HL//4 - Oy5+j5VFLtPNdqPr+kDJiHIuUooacdtOZ7N+vne2nY770dDIgSIMNmgvohJNWi5tfuDYPdfe+uW7 - n7hhHk4NzZwmrA1pL2S1HL9WCDZmsEK57vEBMiYNQVKQBJL99dMdREDXdZuzWSl9aMIiDzvDDs/o - ie1Hr7/v2pse/OYzi/vTESosXRHjKYyJCkGNiInrftWIgFA3T6AefbHRONP3Ny5ahrSXR2510xbG - Kq/OhlIMkqVACBJgEUQFlMtAx2XxzfuP3fPgbR98y8euvPzn33bhu5FTGYZpO0vTxIVYWUXMLDXt - YAOWx4qv21QvQaGZzIyFAoxZVdWIOBpxu9Fud6d63W4vsqd2H7nupqtueuDb5cjeSTq+mBoSAqAR - BBDtD+AAjCCsZusDvhqB1g7F6yJeXgasi14BlIymhSJkKSBqDzUwOz0/1vPO9U+cvvvhO69460d/ - 4SO//PaL37WYn6YSilHLnEXF0DQtlHIemGmcPrBv3a9exvsDNbOZ1WsPe3t7s9nMzLr57tFLLvqj - P/rMP/7t37rnngfaNvX9UB9+ri+VUso510s4IpJSqpfxANR69+rKR9/3McZzugrinHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz318pRUTatq3f - hV6buGd9pAH1V8ysIjAFCCZP3X/fddd8+ZO/9GvNlKW3xUKaNqjCCEYMU1qmuhh89qd2r2+qaJqY - swRCgpa903/86d+HZpgCkANNIeB5/12JMQ7DUAv0Nercdd10On2537/70cg5p5RWI1XOuWmaen/f - 91h2u5lZVevtK/yOf2hd19VpBMDMLzQ4vxBPdzvnnHPOvXYQUa2aqWo90g0aok44xR7be3LaNhd7 - dPzWh797w13feOzkI0Poeu5zGjQIAgBojylgBgDE1HCjLQAGUykFACwCSlrbq2DofpZ19TbW+n/P - f5OGyIZVy22sMLMCCLUjOD6LrofiaBUaNJAxA6qaKKbAYFLVogqjGKgfcqAiTRm03+22H7z/e197 - 6Kopbf7k+6589xt//F2XvGdz8zD2Uu477luQ5nYw1SlRCK0qcslKJTZRi5qamRFMSYQHmpanTj6q - IYMAUtBQ32GtGyrDmOb9XIMVU6DW7c55Idp+GreWKplJYExEGpDJEK2nuaROZsNACgGtHv6auyUQ - scEIbDAyshAAkJmZGVTEihmJMbesxr3SMgOJwciMaGOyg72nhifufrrIE4VUEmJCOtQevuTwG9/5 - hsve/mOXvfHI2zebIwktl8nQnW7CLIWGDZLNihGYwQDB1tKSqzNX9PLWu5VgsFUAngxsTIYQiUyN - gpGmmaoih10BiF7L68MZtwaYMUkEMRHVqDoLWOjw7NAsTFCoEbYuR6a2CWJF7bwuOys1gUMwi5oX - xkqNdHx62579xt1fvePRWx4+fr9MxaZhp1/ogqbTDVaVPECViAIxEWnRIWdmHKhrAwAI+y3tka2P - 53V0Xp1DYABsteq6Guo1rLW9jZbLa3z3arXqvXxaW0VhiwIcEANzCoAxjBQ9DpdtGm58/Jkbv3fN - Wy9418d//Kc/8I6PNrO3UJgGbSWTWUgpKelQ+hM7xzfC5KWZ0+4HQGroFRjUClM4FOZ86vbHvvPd - +75x+yPX24bkLS2QEJq+ZNneTSkZA1QAsIHqzl0jwEoAKRvIjKH8gud43To9fKg9vXMqtJN5HjDV - vbj39ds//827v/xs/2g6gmGyOJUxaQNilH7OiS0VqfF0Gg/82EwhNm72jP1UMwCYjVu6MrBMO9tq - TF4+bHwM0Caq9wWwMFRMTVUFisF2eaon8hNfvu+Z7z5245Uf/plPffhnD8kFnLVboKFmoz1Emaxn - MQBhfBlaPffLuNt9tVIOMBMlmJGIiRLAICvaSdmZXMK73c6XvvP5b9/7tZP9E0Oad6es2cB0g3I2 - FTQtiKjPhuWQrXWIhrIp1o7e68xe7SNsdefS+EiBZmampFSKDkOnARQwx2I6sR0rX3/sC7c9fuPP - f+g/+8RP/OzW7IKZbZw+dSzwZGPrSF50JtQ2LUzMlke+q8uDdb/g2/0rbLWV1d36+KEshFBKycMw - mUyGvi85T9sJx3Dq9OlLf+zoH/7Bv/7H/+i3H3rkMQAxxlLKga31eQcez1ev5QzDUP+8lDKdTruu - M7PV59y9vb2NjY22bV/qSXbOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzr2umVmMMcYIYBiGnHOMMaWU85mB2OUX6jMxm9X0g5kpE9TKZ/7gX/3d - v/eru9sn0+zwIEqExYBZC8tnvmL9EvfXYYPBfR9kSsYxBphEzV/50l8/eceNoAyojuvei11lYox1 - NSaiVdLOvTaklIZhaJqmlFIHrsViMZlMUkrz+Xw2m21vb08mkxBCjbi/NLzWLgAAIABJREFU0u/3 - h1VjFgCGYQghhBCYucYsXuQzeLrbOeecc+61Y9XtBhBCICIl7W0781DavRP5qRtvvfabd139dPdY - c2HYm2yXADCIxl40IySmCDLRoqJqFkQBs6IwZgbWo2vMNa5s5/CBygBAFbz69FZLyKQHum60ansT - jPaDgmPGj1SBZtoMfcl9ARBSiMymJFICgwOBSWFF+k77uWxHa75499NfveOqN228+Yp3fPgD7/jo - mw6/rdGpZHAkDJBSoqUYoyH1yMPQJU6mhSiB1KhY1JPzE6cWJ9EA+4HD/WkngpnNuwWxWTGDGILS - uRVHjSBMAKOmSqnWTOvvLDDP+74kk2JGENhQkFZl3NfWrRlgILLVbW01d70QgRlEYEINdRNZydnq - CskUiY0JAjESZCKyIEABgQElFMJef+LU7jMP33Ov3Bg4txfOLn37my97y9G3v/+dVzSYNpg0PEmx - DZySNmbJ1Fjr2soEZSOlelIsnLkUXzp1/REipeVqULcNQ+6HGBvipMXEbNHDEjhgf3N8pZfgj+CW - DVBbriwGErM6x8pivjh9+vRG2UqptYLEahi6ruM0w3lLSQXSaQFU2pxp5/HjD1x/z9duvv/b3fR0 - nuyViyUT1JA2YrAJGcqij4FCTEQkIiIZTBxgNvbgjVA3r7HbjYOtbhxob9d3AWDVsFc6eD/p+h+O - Ffml8W/GNXl/9GRDjckyjCgQCKQAK7Ky9pKnE0xns8f2vvf4dQ9fd+u1bzv6nr/zU79ypH3DbHKY - clLNZByNmjSFeuv1FaMkSp2EQpNm4O6Ox2775h1X3//cTfN4HIeHnlUUpuBSmGMzi6oiRqirHI3Z - eDIGwGMNWkAFVJRUSZ+3aroDjLA3zGlKQ+zCIbrpges/d92fP7W4t5+d1iP9jiI1aFoMnTQxbG41 - 834BoA6gRGt7Mq2NZl497epQR7E/IOyPxM8fn5d6tXHhkgUlImKACGlCOdtgc0wFTfNMfvjztz17 - 3Z1f/c1f+O13XPjuC44cLbt5d7E7oWlIqWRBioACZW0VqPvj19EGbwSrB8EKEQMohgQ2CTk2JfPO - dfd852u3XPXYzv3DZCdfMFDDrWrOVoqlhrjYfBeAtS1MQavsOqAEpQOd7PVNbZVJt7Xf1h1HSqzF - ci4hcNNEI2SoQpWwM3TBukMXbOz1p/7iO5+97bFb/+7Hfvn9F//EocMXJm7yYq7GTZgRmYiGZf1d - jUGkYL8s+CrD65936nWXebcws42NDRHp+157O7y5sejmF1xw+N/9+z/51f/ivzxx4pRI+cFer15X - FpHNzc3d3d3FYgGA9683U/0h50xE9aK1c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPO/fDMzMyYue/7tm3rnTnn7/Mt+kSkIgBCCFIKGTjgiTtv - /ea1X/v4z/1iJyWlpgiYkRVMCGN4WRUMUyb2lrJbR6YwNaNilADS/O8/82loD8tjM4QiAJiupwTO - qpQCIIRQSplMJn3fv/jIsTsv1AUaQtjd3d3c3JxOp/X+2WwGoG3bcypbv8rVbnfOuWma1Z3nNHXe - t3DOOeece41YHeaaWQghxigiu3qyv/D0AyfuuvnGG2//3k0nh2PpEPGFcrLsxRa5IAiaJrBSzkVV - YtMMpsZMkWAmWkAgRiTY8xLdSgyArR5Srv92P+lqZzk0VUDHR48lwPo8+7/m1ekGY9II1IC3Alob - 30bo+iEEhAYENlExMxCIgCjFFKQwIyUia1gw7JXcYafbO/nobfddfdvnLz3yYx94z4c/8K6PXmA/ - tsmHmakMg4pwwzGErDrOychiRZAR5Z777+ylJ6MgCOMEsAFGOpaVlRZ7c6gByhSMyQR0LrVRJRjY - 2MBj0LQWDckQECLHLqthNqGtRrcGCQ33pBnL6uFr6TYwr/qQpljeYhLG0nBdH03NDAJLDZkZjIyg - pgYQg8wmFFcPGyPPgAAE6nLXodCUeBo6OvXssw/c9Ez83PV4w4Vvefeb33vZW973lgvftRUu0jxF - l9owCxSprtBW++ovezPUwIU1Mw+BydCqBdO6bU3TRIoN88KhabC51cZO4jBIjALSV8MS/BHcEoGC - kWXlDIISlKEEldhsJZoEsrDIwiEJGZjQxP3Q7HnIyEosmORdPXnfE7feeN919z5527YdpwuRuRcT - yaBaYNVCtmuGJgUxzSLGCImIUdQGQYjjfKgt+KCrM7xqOJDfrrfjeL7/qxc89Vb/Yr8Cuz63bf9E - shKMluFYILZBVVXNrKyewQzRCGoDQXmgzaCtPGIPHzvx3K2fu/kD7/jJn7zsyndc+J4tvYDnMQ2T - NrU9BiM/pfzKMNI+5S5t3/fk3dfees09T9+eJwts6EKFLeReZ21DwXZ3cttKbHl3V0Pi8RjB6jqj - oAJAqdSAu3EWzsbZWJSUn3cc4lYU6EE8wxN7D335i3/53Ueu22tODFtznlE/ICSyYmZoI+cybA+Y - TFDK8pygjddmAF4dTC6P4hQYU831kQDDdByED7wFxrL6XEcAC6SQekjICmIwgQDJRgQ1zEtv1IcJ - g2WvyGc//5lPXf5zn/zgz10ye4MWGYY8CW1qmyxlHFrqoSApn7fD+A8jKxFFKCBInEKgznb2yvEF - Tn3x+r+8/v5v7sRTZSN33A8mOmDKICZV6zsLwCwmImKph+AKUq1HsGdc4NuftwxjXi36cWhVsvEx - g2lqOXHIRQYpBBCBFVuTSScDGRfTAXt0QXhwuOuxLz74a+/7hz/5zk8ePfwGKaGNW5EhfSY14jM/ - LNR9hRJ8k3+lHYh2kzEAyUOcTKfTab3GBiDGGBL3w4KZmdPRo0f/w2f/9Nd//TeeefZECEHk3DbX - GGO9zjEMw+7uLgAiqlfyADRNIyK13p1Seskm1DnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnHPOOeecc84554Aa7Q4htG3bdV3TNLXkbS/0tetEYyTJVETqfSoZ - of3j//fTH/2pj6PZAjcAQkApaBhGTCYHXvTlnSZ3/jEzJipZQsBXv/LlJ++6AyZNQBYAjDNqIS+M - iOoX/otIXZ+7rptMJi/ne3c/OqWUlFLf923bbm5uAjAzEYkx9n3fNA0RDcNQB7H621f6Lf9QUko5 - 55RSKSXGqKrMfE5tck93O+ecc869uiiBocs4LWAM8Ho2z8BKWKbUxuIeGQBiIjFTFklDH2VHTj2d - H/2Lz/3xo7v378xP0xThEC90nhVpgkGQErFR7oVBbdtAbSgDAuuy0Bo4EJmaWgHzgTf5vPwtj9Ft - KMC6bD1iWXxcZfnqv7b2Mw6U+ZgNNN5Tfx6fRGn/CY0QG8Ag+zlvIjZTUjAIBA1MRgRAkA2gRBqR - VVT7Qebbu889fNP3vnTjF95/8Qc/9I6Pvf9tVxzaOKwdaNktZ4swRZCCvKD50HT3Pn5nxq6R1XYd - xrigknEwJYJaWZRuoGGClilg7Kmf2wpgpEqK2t+lMoaKwSJGgqwCIkLIYjlnawzEgIKAmvvej2vW - W91fUusxdaorla4thlWSc+2vfphb/G2PoQMh5jN+W0SJwWoWwDqu6Fzfl3GdCjIwEQiBYEWMADJV - mAEMIoQAyYUMbDBCoqAEUlICESmbWSYiDRlkCzUSNDN6eO/0I3fdc+3tVx2ZXPKuH3v/B9/9sXe/ - 4fKiG1HaKClIDBoICBoA1tUshdYVg8f/qAFKa2vy8+bNiyFUt/cCghDImAwMlkHAMbSpnU66ufRk - kiw2yerJtVVrE/v15fUlYmfeH0nB0FWQFQatk3L29eQlWSt47edxELD1d0VjJ3L9Gbg2R5cjCBuU - QPUPCbBxfOhzKTAL3PfDJEQxqFkMCQfOPb7czji3edYEKe//ilTX/8aYACMVMglFWEpczHn7lnuv - v+Gu6x479eA8nsiplyZbggGqIA0ECoGJla2oQc2YWWAGHcTMQATE8a3wWmS3zuoz+qmwmu/VcQl8 - 39GMlqsWYf35eX1WkClIDWCDLJ9NgT7LOOgBtRRLBCKYWopRGIOKoPAkDlr2+r02Ntfe94W7Hrjp - Q2/96Ccu/9l3XHQZZ9lbLCJPyHgcRcf3wDhwylCXUVgeJ3w5dWsTsrbgSA2rZu1ypT1vLZfycv6M - ++a6q11b9UhrNxvg1Q69LlWu4d7xYVCyEopwVi5DWtzxyHdvuOcbdz9629Du4UjpbJ5VmrbRYoFD - 3w8xYGMDRTBfaNuinGWb0Lot1w1ZCWvbyOs04nvG0AtgtUEpKUiMlAw55rKR73z8pq/d/Nf3PHNz - nu1goxhsZ2FtS1YMRoGYVJsASxAZt7JgDDMzIjMYCUDMRsqGM47lsL91rNYWxcFNhtd+VijAFIwM - BiODGQxQQUgIDdigBrBmXYgMTHzVdz/3+IkHf+Fjf+89l3yI59zt7UabEEeAAVvOAiVTgF/0tYBX - qRpHZ2Oy1RE+1jY9YNxGdRyCBByiERXOSLkLi9PDU091D//Zlz6zzSf22hN9GiSaBgUhMpXBEnFk - MhCrmZEKFUik8ckZUGNWrA47V8M4sPwoslrtDo6lbAhE0kvPygFIEEVQxBB3dromRqJQSkEgDdLT - 3mQ2+5vv/n9PPPXIJz768+958wekmyz2dELTJqZi/fhyRDAGrQ+za7vI5T1rhzerIwddvUP3kjrL - qLu5ubm7uxtCijGKSL1IXC9LZBHARPJb3vqmP/iDT/+Tf/JPnzt+evyzF721llKIKOdc+9wxxlK0 - drtDCMMwpJRW9e5zugTinHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc84555xz35+qtm1bf1gVjs3sBb9y3QwQGHMIKjkGMjEAULnvputvv+WmD37857KU - mGI/oImrbBAJQn2CWuky8u/bf704EGA42wOMQMQEmyZm6T/9+/8cJAS1c48u1VTzMAy13t33/Q/4 - pt2rUozRzJqmqe2GmrWOMZZS6jgGoGkaXQohvLJv+IdUSxYA6oSUUlbT/iKfwdPdzjnn3KsLEdWy - phlCCMv9+rnGXt35hFBzfRE190uqyDXdxxYBJmM2Qq0eEuZFJ7OpyCDaN23QkmWQNjQkFDiIZWuH - brZ937Hbv3b7Vbc/dpO2uyV02EJhDIAxiCCFA5gyDMykBO11IMASyJRR273RjA1gKEhpLbAdQEps - GswMZOOvqCa/FbW9SvuRXF5Vtw11DV82CWEG0nrnWvoRGHuQAMatQBkoBhiIKBCbmYjV0w3MzMxm - ZmRKZZmyBuqcteVPgCALM0g4xMGk0+GGU1+/4VtfO3LDkcvf8v6PvOsj7zz63kN0UbQZBkmp2R32 - ZDPbkXztnVff++ztQzMH90YwiUospMaoZWgAqQ1P7x2TCdGuspqQhhQg51QbVUYhszoFbEIALMJI - mcQkzjAPi8xZU8FkGNQiA8arRG4tGvJYDSciUlI1UwMzQhhTqSYENWIEBhHGRWUMiwCUh9rVxnq1 - 8cVZhquBtdAv1aW0jG7qqsyKgw+rz1AnYeyxw6zOinHuwNjG7ji4hsaNQUoghiqUqNZloQQ1RAbp - +BJiZgQiW700EenYGa4vg0KGOAClL8Ou7Tz95BM3P3lda5s/9f5PfehdH3vj1lvDfLJhh1udogsh - JGNb5EWMIcZYFpnBbUo5Z4ooQZVNCWwKRSMMQPjcBnQlJZLGChmAVimSMhkFjiVQx/PtspPSRsPT - Be1SBBtBiWG2lttmgyrGJiYBxAYzJYEZGRGCJKgRaaBMZlpznhaV2EhBw34L/Bz3SMuFpWzLwW6c - +UzKQOSaBqeirEbgiKKAwAxMTJTIYEb1YWYGaB0rDMpKhMCAhQIFMchAyqTTQDOVGCJxkNLNp6kx - a1Dk5d6b1tItG9ehG8A4jNW5MIZa92O3qgghEHGxXkxXqWsWUpU2physoz2Z9cfl2APP3vmFb/3Z - thyf57luFEoGFjOogIjZuI6sRRWkoJo2ryscEYNJddm8h6A+mhRmyvXwg1RpHLZtHGyZiJjaLIWI - aJwKNbM6dIeQoFSD8UTjWE1qHEjERMGkCGSmRBRAKspEjKAwFq15+EhUdx91FrLur2ZGyFTMaqWX - VRVASOj6vSMXhp3u2NX3/dUtj13/kcs/9uHLP/b2o+/dPPGGDdpayJ4G5UilaAC1sbVcAkEkC4RC - RGwZAUokmZ/XJuWD/VelYjAlBZih528dloyDBgAlqJFJKICyRjKYBlbm5bqqpEJmJsGSIhbJFBAT - qWQVaZgsG8eQrSywV6Z5kXZuffjGb9z61cdPPlBip0eKsqgVY4uAlIGMiQGGGYoCBGKUuu0vc++r - Ja6kq50CayJNpIEMDH2Bk8avWUrKtgqrqzCMFMY1iswxKQ297k02Ysmla05fde9fff2uq46deCod - DgrLg3AKExaUsYduJmYMM+hyL2wwA0BMAAhEDHp+r7cOHWG5yHDmsYGe8cjlY+oBx34KGgAIHCFY - HXgs9/LRMu2GSfzOsWseufaBf/CJ3/jQpVcelotCxzFwVloUadoEyzpIitOcMxPJeRvt1XpgbEzG - oQ56UGE1mBJUMAkTMxv6vTQN3KbF7t4U08BpLn1pynCoO6lPXnvvX11zy9/0zU4OuVAUZlMwrKEC - MQJDCCgMANFINbARhIuoUgEziJOAMgmTpaKRQAQDhMFsaiJmMKqfSaUIG7cpASiDpNCQ9YHFAorC - DEKBELiBGBh53F4NBAxxvntR953TX7n/m9/7xY/82iff8cuz5rANZjAzKySxaXKf2ziFiLGZWR2K - lx8GxuPA+pmIbHxeIwW07j+MzuPx+VXpzHGgbsj9UGJqAYgqiNTG5LsK2OoHMhaRH7/8Pb/3e7/7 - O7/zO6d3FiFACgCAEFMqORPF8Tj1bHXwevUOAMCljCM/kdVid865vkqtd4tIXT8xnkgxM2P2NcE5 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOXfO - Vl91vv6d5+tp2JpAXvth/MZ1FQVQZBncsIwy/8N/+c9/7xM/w5Kt0CyFnAszG3EhVgIbCIg1yGzq - 9e7XsFWgXQ92n1YhllUYzogB5gTJZcJy1V//+Ynv3QHk+jAGBAoDXvTasvoyfwA17ayq/pX+rxnr - 1eqUUv0hxgOJ6rq4a92PlmoECsvQw4/q/f6w6lutE1Kr5Oe0Mnu62znnnHPuVUKXvcOar2Q2JiOM - 3W4AMFIlHDp0aHe+Z1ZSEyULxFJqQ4gSy4nuGT6EpxePfeHq/3Tro9cv2u1htqtcjJaNwPrsVpuy - vF9epPrx7OA7GluqPGbzBCGCmVVViplJ4MAccumIwLUIS0pAPbwWXdY3bXmSoMYDI6BkZrosezMx - 0SrbagCE6me2WmomIiIEIyVAFKpmJmCEgCYFJoKoyti9XS970hk9uPFVFKQChbGEXhjc4rjufOPx - J2984Nqjkze895IPvPPoe992yXuObr2BLwpP7T5+023fufauq451T7ZHQhGwQQlWS9I1KUhghVLZ - K/PMmRhkCuJzrQjWZx5z3aPxkzMTZSqKotKrqg0ILaZNjeEpCGRKNW9rTIYYopiqipIRgwlmGApi - ggqYEdLE0EsRGEIAyXLekdaWbU3FnzNb9qJxIPPM+5+wmG2ZEK6PsrW/Xd2uxb+F939vhFWavYZ/ - aVy/lAy8X0eGrr3oeiN8faLWIqNjwFcAZSMSYzHLvXUD9lrsfum2v7zulmve95YPffzyn33nhZdt - hiMBE8ohUJvapFok59QEKErJoYnZaq1U2FDfmNE5d9ABAJEUxAWAgRUcAMBUVYJQzM3EStdz4hCh - CtWxkrpa8epMiJEAmELMFGoEAjGDE/oOmoc2TEIgLYMKKNQzLGOH/cDbOfdJWJ/wuoav3hqAtdUF - ALoOYDQBzAESRJQRIqEWwM3UTMxABGZmBBGqg2fNsRMAa8xS3wsR96XfDNM0jXmRoxHFADM7c1x4 - WSwneZUkXk348tVJYdzGNJ/PYxvbjemQ+1wKUSA1VZ1uTPeG7QV2y0Z/9zN3fvXmq7737J3Y3O3i - TiGzsNp+wFa3jTp7DwzmilrLZNRys9XQKWKqawuoRu9hqkWKpcm47deyr4qqwlRSigaYqpkZoY75 - FCnUQVUZpACZSW2ospoBHEGBASoiqoBiykGzFC0cgYA67hCCKfM40+qWqGcdfGoeVAmzw83J09vR - cOiSQ9vd8S/d+le3PnHzh9985d+/4r/uF/PZ1gZny4OmkJAt90MTWc1CipFSVsv9IBQih7B85uVa - sb9bpGVJeo0CAeczW66PBLCOgXkyMBmNw7XVvZuBjAzMZRgms5YIu3un2hSn09n29umm5cH2wiGU - sHPDA9/66i1fefT0QzYd8nRPeDjjRWmt97y/+R8cSdYGLd0fEoxgERaBepbrvDlP91JZVeRrn3h1 - fyklcDSYWkFCj3kfd/7i63/6rWeuPq5Ph63AMXV9T4SEYGb6vDz98gcdd6mAjjN5taTGkeTcDwP+ - tgHWlsH2pbqtKekCi6YJ1OqJ8vRnv/Rvhk92P/32XwwU53Np0mYbQpEcA7XTjZ0TO1tbW4OeubKd - d8bDZBrT3fUQKlJE5L5fMPN0c9oPi25nvjnb1I6y9mGm0uw9sfPg31z/H2974lt5Y3egrjBgMGIy - kC2fdnwRNiioADBmQPcWmLQIE6hCJFMIgRhSYgpmKmpFIQpmIwYRQGaQwBzbxobS9z0zpxhVihKk - oGRQREwEC1r0jOPeeshnhC4qT7tn5YnPfvkzxz+w82tX/kMpg9osMAeOfTdMJpOdnZ2t2YaIjkX3 - NfXCIQ5m48cjVY92vwqsLq6IiIiklH7qyp/8/X/5u//sv/vvF4tCjBhCLlJyjrEtOb/oJ14Ogzbu - pepH0XoVp17C6fu+bVsAtdsNoPa8X4apdM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOvU41TTMMg4jEGM1sFb7FWpUD+6WAAs333nTj3Xfc/J4r - fqpXWcwX043NXFSx7F4RwpjrUDnPeyjunKz6C2dYJUIWi2EawdJ/9jOfBgrKQAAzVGsNTPfzXt+3 - 5VK/0p+ZSymrPPN51Gl2L7nauq65ayKKMeZz6Ee8wuogDICZh2Fomma9XP5ieLrbOeecc+4VZrU8 - TGPImowYKSiTxjH7SqZchFRZCBi2jzex5ZA0K1s0nprori3m4dT8yKmv3PE319z8pS6eThs29IsQ - ko7l7GXeeO32QKYR6+Fk5vqpnpZNXIIG9AaIsiFGMIikqFjThGyiBWAkTkrIOedsTUNjxo3IzMyE - QERBBzAAMzY2HiewPgaABan37M8fBABUy72EQBrIjCCAAMXECqiADC0jhNUTRVgcE6AkxqVOYu2W - rqYOwJDBjBLBh7Aoi+P9yQeeeWj2zEbIkzZuzGYzI3lu8czCtsMGlbN3IhWAGYhod7ErNT++/PB5 - jqsDwyKsVscVhmWMUIiYLAeVqHw4HN6yI3tzGWQ3xLFxSxhr6wwF0dAVI1BAiDEEMrKiYmrS17kq - Ip2qGpASUmqGWlukAlqVU5nGIu85pI6VamJVl4m9MW0OUzIGYOMDztKx5rHfOf7XCDCu4fm1GVTW - /qMwGK21wuvC1bV3Al378/UJObPyWN8em5GSsRFZrWgOyGo77cZsp8s3PPT12x64+e1HL/vEBz75 - vnddsUFH4nwrlobZmE0tSzAhlqIhbgAcJRLGdU8Iymc/8fHCIjSBEsacegQAKoDGYGI9aR91mBq2 - JCloMCsBBlCtuI8bMkNNioKJiEBKpmZjo73v0DRompiHvs8lBHACxrh9ARWi8TxLDf3qOa4P+7Oe - 9uf4ctErxsU9bowAZhMihDJoP5fEmLQtSLuuU0OIoAgiqMEUqgpoSKRkq5UkAcoMDjG1k9kU25j3 - 8y1uYkgtN3v9Xk2Yv3wO1shXlW4o6SoZrstHEgDLs41mINvZ21XV2WQjoem6eZpNju+coIvzU3sP - f/7L//Gex++0iQ7YlkGEk7GRmZGqKgMUQKKAEh3Ira+yu2QaFGQRgBErlSxAAEWM1W8zcOBEgxQz - sIGZQwgcCWqqSgQzMRiojnX1BSyXPG7mBArggAAQQRQwZMBUpZ7nbUJLKQ4ELqERTZbJBgEpAkkA - oLGGipUgpHXkCzqGyZWYaBmdBrr5kBpE0FAW4BhmzYn5sWvv+cq9j9/1ix/7z39iduVML9ikQzGz - IVNERumLABw4BeIYuWGI9gauu14dl44yWAhk4LXGPJny80aM846RZi4A2GKQmIyWByAFQREGsfr/ - WFcBELLupZaRs4pMhEBx4JAOH+6n/TOLx2+9/7rv3P3VR569j1tttiaddD/Y4ODOigDWqKSZi5ER - lA1kAcZNatREZC8k43b6XD7x2Wv+8J7nbt6hk3ESm6ap22wIXEyz6nrBtq7qvNY5Nqr9Y11feM8v - dp/jzusHEadhZ0c221YHybz7uWv/vNvVn/2JXz7Mlw7zLiaCFaJmr1tsHt7q+8HO5zOabHUnDGEt - NF4aYyMyZqVBckhEXBbDIoS4GY4MfaEJbw8nJWw/efr+f/uFP3hy72Hasn4oaBpYPcQtBAWBDToe - QbEA9biOgKgKw8Vbsz4P3VCMwAEMSSJFsWtCHEJDZEhjUN1MVA1QEwhBiBAjAC08CCFGBAt1+NQs - ZgMzyJjr0SzqEcs4jMM4cJOH4fDRjS/d8WdPHL//n/7q/8L5krQ72bRNLZ1mbBxKu3vbG82W1qA8 - dD3gXQ8khJcr8OojjYV6xPijW37ueUopIQQiCiE0TdN1XQzxyiuLYPV7AAAgAElEQVSv/Nf/z7/6 - rd/6bw3IWQBsbGzs7S04BBV5cU+sqyN2ImLmmuUWkeVYR2Y2DENtdTPzuV4acc4555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOue9vPXBbSvn+Dx4R - MPSf/ZPP/O//54dVMJlMShGjsPol26oy41+2/3rBy6/gP+Nb9ceeFwADCNMmToNe/ddfeOquO6C1 - VQw59yZMTacRUUoJy2xzCN6Jf50yGyuBpZS2bc0s55xSOl/q3cMw1CBFLVbUUMU5VSrO59CNc845 - 59xrgtZuIsaYIhmzRrJAxoAaCagYibEqgQ1N4qCFNDGzGPXo+tjlyfzmx771xZv+8oGTd8UL1Dj3 - kiezVsQM0VAjygUEMgWBVx1roP5yVU1m4/Fj2rKGW7O4pkgBoYEqNEPUAhszBhUmohSsWD8IWZim - rSOz2WLeBYqRIpREFKJmxsuadwihllGLqaqYCZgAJTYjAlnN3BppZgiJidW5ZDAlmIEj6sc4CggR - DIIFmJqs8pJUJ2RVoKz/rj581pTgLKZecxEIAw2sRdFFtr6xyenuWCoNAKGeW+KELiMylGpO/EDD - WAkGdP1uKQPx+LHTVJ/3Ufdc1g0CQ41Bhn7o04RCnHTznbg7aXa2SqKZXphtXsuIY9nOuH7GPhyj - wkopw2JQVQ02CWwhU+jAECQhppaMbRiGE9vDRtOoKZPSan0wVkTgrLXyF8I1nVgLvPv3jjFzXYYV - Gbb6g7U3v3zwer27ztj6G4KSHZihunbuiHWMTJMxwGEshZdllPdFTwIIMIOAUNe5TJrLbjtrYlvE - 6KHFHfddfdtb7377T3/wFz7ylk9OymYaGikIhhACR8CCiZIFMrAlUFZSJTWCjjntc2EMGmcoUz1D - Yrn0sWXmtt/Bll40n883Qjo0DaUUJRAF1Fq6mRkphGIwKgiqQZTEuIhm0WEroeuxkL5JxBMeslpB - CAYCGeocWL6NCDDonNaHs81fW6/76rhdL1+oFMtDSYGObB1CxmK3Y6ILZxeWIUNgxVRYlQiJQxNj - LIsMUiOpSzlobPK0HTYnttk91x+dXMSp0SFb4dJwCGzPL8a/tGxZfK4jWJ1IqqPQ8qXH7jUZaTbV - ohZ42s5Iqe+yoIubYZdP724e/+ZtV3/9zi891z9hTSHRZjPtFbUQmGCkMNSBmtbaprXGXDcisuUG - Z1BGEAWYDAwmNjUzAREEUAVMiCghsZIZkRAyyJgMbIYC5pYDAjGgZqYmatZOkpCpqoqajLlfgcTA - AgEMRExqBDJVG7psgUwVIhAGEUIcu+1KSuMeR22t0k22P6zVDRwAB8BQzMRyDAgplCwLne90p566 - 5vEPX3rnr3z0v3rnxnvztjacREApttNkiFJUVZvIBOn7Lqa2zrzVAhJSsrrnWD8xzWR1gZ7HZ6uV - FCQAw5SNWcf2rVFUiNZ963IOk4HYuGEyLXkgomZr2mt3ohzvtfv6jVff9eRNj27fVdIeX1yyDIN0 - xGznNti672u5BiqbkjLUjIMwAaai6CjJELoTixP/4Zp/e/NzN3TtqWaa+lLm8zkYIbBAIZoSq559 - uawPh+OAf7ZfAQh2ljtfWkZYFNk8lMp22UzTlOjUyWNX3frXQuGXPvBroQ8pTLTvB7GmmQwyIOJl - Hs1fXjQe92ghCCnI6nFXMNaiZJYaFqDv+ilziHHRzWWSy0X9jXd9/T999U/K5q7NFgNbmjR9MRiD - h/XQNSuU1JbHUUzj4mNgvjvnyE2TAEFRFrSaIqYLa0ARnZloMAQQGdSkaVgtCxewFsrZshGIIIZc - QEVimASGiRgwaZCHeqGH948DDQCbJiE0s3Rqfmx20fSe7Zv/rz/9P/7Z3/+f33fxR5558Jk3XfLm - vfnOoHljNkGpF2y05s2VbBWTH+vd++vq2tUj94qqV9pEJOc8nU5TSouuSyl96lOf+t3f/b//x//p - f40JgdPe3h7oxXe7KwWQUsg5i0h9lZTSMIxHpPXSTk16A+j7PsboV/ucc84555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHMvlcVikVJiZlWtyVgAk8mk - 67oX/BsRNPyNL/7N3f/Nb7/7/R/mJm7vDZTCKhP2gxet3HmO9rtdB9Q0TzBly2WY//G/+UNAYRpT - ktzXB9hZ/u4FMTMAEVksFvWHug7X+93rTSmlpiVijMMwTCaTUsr50u0G0DRN3/ellBBCHYrP9Rk8 - 3e2cc84590pSgtEYt2MDGbExaRrTyaQaipEoFYDZAhlHQpGcUvP/s3fnwZJe5Z3nf89zznnfXO69 - daukqpJECQQCsViIxYAasQkbsD00tts946VtR3fbM/ZMtLtjJqK7YyLaEeOYCGamwx3RHdM90+7w - OrbBYGxsFiMDEsISIARIILQvaCupSqVabt0lM9/3Pec8z/xx3sx7tVpVLVkq8Xz+kG7dJfNdz3vy - zYj8trnb0ulgv3to/Y5PffkTtx/+dqqnvNoqCweOwMnUBk+EASkDuY+PUnmiHVFeRR/F0/mPIEoy - f60FEnhAOoCQAThwgFSIDE1gwAkok9dQce1ToGmgWO0L+5bDyspg9+p4z/JgZXmwPKxGoXIIIIfy - +kugXU4pxSQxSky5mzbTrenmyc2T6+trk8lkkjeGK2h4lrRTEgqBnDBIoU5IhUSQoQlZSDIlVdSO - SQFKoFRK0qX8Wvp/IBAAAgtIPdTnmD1XHNRr7kREoYCoNGkaPAOELMQptYKEQU1Jt/OAhEVAFyBk - 1SSxiRNlIiKH06iYClMSYBEvF2USYWA0qrqui1tp9/L+n7z85z/4Iz+bqty23VCHTrbryiARSqA8 - aTfb1GxOTx49efTYsUeOnDhy7Pijx6dH0mizdY06EZYud5mFHI/GtSbFfF36eKt6Bgn4lNZDqRTE - 0XfiFy/WCfqYMmj5tvR7RB/zFAxAIfODdN4/hlPxmZ1Kn/QuB2Sf3YUwSJkABpNy2enlKZ6YdKTH - POMi/cgEhkI1lXKwEIihgBBk0G1udWuzyfK4DsNw18Z3Dv7NPTed840PvOXvX7D6Omy6XdUuZGmn - 08F4nCQqkBEUIARoBiWCMuSZ378AhCkKACQlACLKRGClyo9Tm3Ire5Ze+s9++n/TsXbIOaaVXFXq - AAiQSLOmRDlSOjlZW29PHDrxwH2H7nng0fs2ZseVsxvKpD05rgWBE0kUIQcBklIpNC9q9/MtSPOt - euoWO7J0kQkgKZFmzA8MJQjgBiBBM5u5WI2wPMgr9cb4tRe8fs9o7zlnveTsPfuWR6u1rwE4cZXW - pFDSzKmcMqTsxfvsltxye7JxkMo5qhBlCrddw32O7LytqTQfRMt3y3YrC6AEZSFkzr4KPgJTcVwN - PTdua82fuPXYN6686XO3Hb6JxsKrnCW5nGdth7oClSJ7Bol76oOpr3dL320FIF5IhZRZuVYXY8xR - 2FMIrB6ZhMX5CWrUjjyrQ3asjtQ7hJXxqqfK+6pyFbOHsogocivTLraTZtK2syQRDPJKPmeKmbpE - nbpMXpU0iraifgkJkIgc4R2G3kmWGBUOSpKcAItCK0gekwpmZSkhWAIBoiACPNocJ02sHPnxoIkz - BL15/St3ffbGH77kQx9484fyyXHI49yCPXGl8CpJui4FSEVOgJ0193nAWzKBygURfTi8bOmSwj1D - MfrDj5BBosxKLGCFEw0KgASqpGAkLs1e6bqcQz3IPh/PRyfhxK2PXH/VDX99Ih/Z1M2Ws4I0CzuQ - FxWhxWlu/quV8VCpn7BlYlJHxE6R0xZCzl6PzB75gy/+x3s370h7NKlIiiCQg3OOmWMUVYSac9we - tMvxLiSsDPgy0ShPhye7LJapU8kwK4k8l3V2ZiRJzlFCbNopjcKJ9MgXbvkUgA++9ccnRydL1bII - GDJL7Wi0lLsz5u7tk5oHqbOyCFhBrB4AkdaBu26mJKPRKMa82WzWu90hOnz9LVdf/c0/nwyOZTer - d1dbm11sOh8GZbZDi+u1oi9nkyym+uXbQvA1uk50JpUbVilQS8syXPFnX/zKd+wa7t2zumd1ebUO - IxJtmmbabh1Ze/jE9MgDR+85ePK+LT0xGLGEOBMZDtFGSCbNQsSVc6J5cUe9HCrzKxQLOJEGT9Pp - tKrR+qbjqbr021/49z//9n/2xvPftnlyzeUwpIHTapo2qe8u99FvocVMZGeIngGwzueT5nlFROUN - tvKuW1VVVeVD8JPJ5AMf+MC/+3f/17/+1/9rThEAkypUdXuO+dS7b/v7MfbvQHvvU0oppeFw2DSN - qhJRqXdPJpPxeFzX9XO8rsYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDHGmO8v3nsApRfbdR0R1XX9NN1u51zOgjRDSp/82B//xr99y/HNLT9YSgqh - vofCAKn0aRSylPKL2c79+1R7+jHJkDj52pe/eOiWG6GRK5/aCQGBdwQbiB9X+3ry51VVVe99OYBL - tlnV0jLfp0IIbduGEJiZiNq2HQ6HXdc938v1THVdV45nACJSvuO9f+Ypekt3G2OMMca8ILCyU5Aw - KStYCUKSOStnUAJAyiQVAyk3PMBmWtcl7arNL37ni1fe9Lk1PJIHE6oTq0RRShkMVwNMkjKXeKsK - SLa73XM6r/r1DTwIUIrITMIlG8mQwSBAUkpZM1TIsXOAkzCIS0GqQRitLu95yb4DLzn7pWev7Fuu - Vs9a2hu0rrT2WlOkeWdWnCdlZS0NVBZk0Vwa3MoKhlJS1aQpS4xo7z/+vWObjzxy9NAjxw9vbJ2c - xUnWlCFZEwUNnsklUJdcIg9m1k4I81RhyRZqCaGXmHS/skJg9QANBoMkMYrkSMTkWYmIAOfgRFPX - OIfhqM45dzk551JKO3fcvIIJR5AkYJk2GzrI0Op0joO+dKiCvrGqgJIK0Lat8zygYZxlylXI3nU8 - yFzH2ovvVxYipKCklAd0Flh0JV+0R3BhbtL0+NrxR7cOX3vzFw9PD56cnXDD6NjPdBKjwHUOtP0a - /DGd5lNdh51pagZkEWYW3i6DavkpzV/RE/gJr8rLQUJaEulwfVd+Rx0cQtsHcNlcDEDAoEUf+hSX - vjSky5KXdjgBABHaFvUQ9RBt2ya0fsk37catR26880/veOfr3/ejb/v7Q3jZomowyF0mJqUEZSEC - mBe78xQXBxAmkbLmJAAUIkCM2fvg1Ldb3VK9t9mIjrlmX80kZIBLtFwzQ1STy7tH502qjZfuuuhd - r3t/Cs3hYw9999bv3H7fTT5XbTtL1ERuOUA8HPdRdKX5xpsvtpCeVrf7MX/SpxrLCDAvdhNQHrtm - 51Bpy5jx3vH5l1z45jde+LYDe14eulHIgyAV50AtMCMAXp3PjpSFJbMKiZZ8qcKptm07rIfe+zZ2 - mZChwQd9jkuvpSE6XxJgni8tBej579Di6yoMZrPZcj0WiuvT46PV6mj7wGev+7Pr7r16MljDnpQ4 - p069Jx+8dEkpKVSg0MwKcqByQDz2OBcsTqhyHrCSCMDlTFTEmB1V47p2cKnJMaaa/MANl2nX7tGe - s/fs3bt731m79+1e3rNrec+wHmt0rN7BMXuGR7m5BkTt2JNzLmmatZO1jWPHTx7bmq0dPn5wY+vE - 0fXDa5tHk8640nHg6OXE1oyHqEJQFkqQTgFyqnjCyUHKpGWoLv+EUjlsGCoxoaoARkoAY7gEAidp - EikN5NDxR3YPRlfe9hf3Hrrzxy/7+XNHF9Z5KcXUzTpPXFceKpq0qgeNZGwHdPsvylVPSQTc17uB - cjUsXz1bR8vfNWWnAqAk3JWzQKCVElQIAM0v9yBRVeXEFac06er2ZDrxzbu+ct3tVx+dfQ+jNtVd - hnpyAs6qYFWHlOD11K8Y5ilJmQoCxOpkPmiAUj1yDc8enj74x1f9/j2Tm7tdrTBUE4gq7wGfNAnE - OWRFjOnJH53ELa755agu40O5HPcX68WoNT8Vn0vO8Wwiu2pObQsfZl1bj3Fs68Ev3fzpPau7Lj3w - njyTiqpZ21aDqpOWiJ84cziD9PuXhBQMIvVKpAripBAHSqLiNCyFJPFoPnr1rZ/52p1XbuoRGrdu - hEfXuzAEZSglWuyf7ZGfQUIoExoGGApBYiBG7BqNZFbNjufd4/Pf/sZ3vOmii/eO9rt2JeSR0wBh - J945jzFkHP35biutzfz6lE7e8fDN19/ytQeO3EOhnbZbruJBNRRB7lrn4Rx1nXoPQeoPnv7FBSuB - vEbt6nHwrNOYMEAXth5Yu/Pj1/7e8Efql1YvX3GrtVuabE2oIh9Iu/mMkbgcgQQoVGl7vkoK6Q8D - tnr38yulJCLe++Fw2LZtSilJFin5+fgP/sFPrq2t/R8f/rf0XzGSlDfwcs5LS0tbW1uz2QwAM5fv - l3o3gBgjEZU3S4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wx - xhhjjDHGGGOMMeZZ0XVdVVUAvPcppaZphsNh+dT0J8o5A0CO8O7Ln/+rw//8fxnsOY/m6eVFt7v8 - snW7zU4Oeejxh7/9/0BaaJTcfz/pIvj1TA8YInLOxRhzzs65nHOMcTAYPDcLbl7oRISZS+WBmeu6 - 7rouhBDjcxz0epYwcxl4AYiIc66Myc+cdSyMMcYYY55PrKWxyk7BwqwsIEAyS3JROCp3pOokuBx8 - qkGidZrwWrunu3vttr/6m0/ffug7OooaWk1tahEcAqFNIEfOu9il4LoSuyt15EW7Gn01sPyT552/ - hHlG14mHOi3dP0obs3ZQofIBDWNaj2j17KXz9g3Pu+RVl5yz+7xz9p07HI5SFIniXKhCHWcJYIYD - QOTgwAxSFzoPob7KTA6sSgSGIqOP7+aS7hZNgrxndZ87y/ErWFU3JluHjj10/+F7D208fPejt81k - aybr2WXxWVmyIGUJDC2Z4/kWLmsEBbQkmUtNkCMLQ9abWVXDVTVpoCTSgYkCE4tCI7MqYda1nUIA - SsnN+9+k28FXAELIminIpN3MdRICqQJ8arlm5fnjbb/EzSQEIRZCJsAplqqxkIutiEigRNwt/qT0 - ZwV+5KskuYsxxuS9X673jPedu++sV7zuVW/+7n03XH/7NfcevXVTjw2GvgopQZH75Zz/ry8Qn8LC - A+ij6UKKvpjeb20RhgKgeSJ0XljMixWeb9X+v8TYzg9v9xiT678ov9lH2QFAZN6BLl8kACTuKTb/ - otS7eHhWFpJ5tVwAJhUPQKCK4BBb5Azv4RWa+2ecuHa0m6+648/veOCbH3zbz7z1Fe/m2SB38FAl - FW4FDhoU5JRBsmg2PyMkpFDodk2VRBRM6gKpJiA7VdbA4kScsDbVtCkBeGHAERwpOwra6jIPliAy - 7ZJ0r3Rnv+INl3zwLdOv3/Xlm+7/5r1H7nTDRlzcSFttUl+rK5tc51uHAE6P3RXPbA3mQ80iwFzi - 1pkEBNA8Mq1wmX2uRzJq1tL+lfPf/tbL3/Sqy1b82aGph5sDl5zP7IRIifvxigAwi3AiZgYIJHCA - Y0gIzMxZtekaIfiqZnKzrqv+blOvO2K3zEqk/eBTvuWU2/VmZWVla7aVqinOb6+6+3N/fcMnj+VH - mpWpcpacKWvlkaGbMcIDiP0ZoFA8Jng/H8wBQInnt1eZlEuze97LFCVkD0lCbR7JYJ8798BZF7z2 - wMUvPfdVu1fPc1w75x0I2UGEOuaOAgeIkqjI9jopCDzIoqoqpCOSswevuPAlotwJZeV2lrY2pseO - nDh88OH773/ovoeOP3j2cjPZmihncpI5ZsnMCLWXmACmHRcmJfS5cWDnUVdWeWlQTdouK+oa5BAj - UsxEWB6GjfXZrj2ha2fH9OH14yfvv+L+H33bf3vJgcvOrs8dzAI1aUisjE5zFgdVml+Id+63nfXu - pxpDzjgEkHoAoJRZhSCkUGFlUPRQ0kQEgWaoABE5yeSkHr3h1q9cf/e1h5sHu3qWViIccqfBceUo - 5yhpPoMwzyohAEmJST0JExGgjE45TlJ7VA999Nr/fPvWjbJXsstxK3l2qtLmmBSq8B4uMAM5P+Wg - nZ0A/aUZ88tif7HpR28hFSj0NKcEp6aZyK5doZ1G5xG7tLSytNVu8Qgn80Mfvep3z/uHL9srB1Z1 - dTQYb8bNeZf3TD3yFMgkQnACIkC9wqHMQyjn3FZhIIpZjG6cHt64/9o7vvilWz/Vjray77hyJ7fE - 1VDCcMRtm6A7JpsKAYNk5wxKSxecWFUGld94tD0wfOlPvPPH3vzKd63wKmUZdJUqMTvOjoQ4kwez - sKqbbUx3r+5fyqsb3co79r3snR/80B333fbVW669/cR3js0OaR19jU6SCKoqQGLur/gynwSygJUS - B51OFZDMXDMl1clERivywOTb//en//d/8eO//rLw2nYrLlVLkZUSFMSLScgizU1AGbj79xH7A2Dn - hNw8L5iZiLquK19471mYiKbT6Xg8bmbdf/9LvwTR3/zN34yx7NKyw57pqOK9jzFWVdV13dbWFuZv - 8qWUAFRVlXMu9e4QwnOyhsYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDHGmO9XTdMsgsfMXP77VN3uheARc4etk5/4yP/3S//zv2m6xM7L/PP2CSUL - 4Z7+QcyLwCKusOi1F6Xa/rhP7SeV6/7mqoM33whkV/nczEoFoO/Bn9LzioiIqjpXEnI0GAxKxvu0 - 18WcuYgohKDa50y6rgNwpnS7i+FwKCI5Z+99KZF3XffMA96W7jbGGGOMeZ6xlnZZiduREpSScBaO - SklIGdSnXkmE48StTYYnvnL7NV+44a8eSQfdKhK1ouI9gpCKqqL2PkM1ycCz6Dy8u+h278gb66Jh - XH6wKOlSn8QjdawgDbu9z9NcpfrcXedf/Ko3vvrAJS9ZvWCX2+Ob4KWiDtoxgAylROgoEImIIKsq - sRKREFjIS83iVLMDBDpfmL7tyyTEXkiZAoMAEKm2KgIiHru9+8992WvOe9OMNjd5/a7Dt9507zfu - O3LnRrumIZLLiaLQjleJfS64DxoKGFpBCaTKAkqZpF5CTohdC8BxXQWPLDlFQEXFB4ARFd5BHWIs - DfQnUICQJJOTttsSSQBUVZ/8t58S9WFa1sXBAJCyUnKeRMRBRJSyIBIL1VUteUbIpWko8145KZpp - G0IYuIHPOXYxZWF2gYZdg7e/6r0XvuyVX7vtqq/fceWhrQeohq+wvdUUAAs8SAHBadS7e9tBzdJq - X2RB+x/3Ffnya1wOPC250EVv8rGdb6XHpBnpsUndvgevAJXnOq2iJ8lipxERpN8c0mFU+7ZNscN4 - NEgptU0aDAKxTtLGYBcdau//2DW//72D9/3wD/zE+bterlOlPhsvShHKcrrRaFIwnMyr80oQlaSJ - FZ5dcNQ1DXPFxEkkVVyqmcpMwqwEJQKTKCWFkudhTWMiVdVOmnf/wAffcPGlt953w9/c+IV7jt4x - 2DUcjWjSTefp51JcF6WSM3/8Nn9mGJCSH19UkPtg47w27YSd1HU39hvj97767136pnce2PvKEJd0 - 6iupa1RemEVVFaoEV7ZKpiQUlVVACg/t289QapqmDr5JrfO+CoNZF8kzkYOe1j54xqRf2bL5+m3I - CoBImZXRF3DLT2XX8vDE5FG3m9fy4c9d+xdfu/uqDX+UhglMsdWKyHuXUhZCVSMpREAEV4Ycgc6f - huYnTX+tUCk31wjCylCQOoChzAApU6SzRntfdcFrLnnZmy7a+5o9vM83dW4DpaHAQVRVWZlBDHJE - mgUA92skRFRu6wl8OSiIiIhEIFEEOWlHXmu3umu477wDF73hwGXdW9oZbd10/423Hfzu9x66a0YT - GubomqSNRCkbhwAoKwkRMgsAJeT50bLj9OG2jR5wDioQARQhwDnMmri8RLNZZAeucqINYven1/7e - wVc9+J7Xv//ClVdJkjaJlwpgFaJ5mdtpf3JB+zi5kpR6txJEmV8EUVhlKCtBwQoRKlndRGBWJVIi - KKfEXUNdR7H102u+deUtD9xwcPOeNJo09SQGwJNEHQ1HadogpapygypHRc44vfHN/O2UWR2UgQTW - zrdrcuSP/vq3725uor3depuVsToexGkXgktJvVNmziopCRGInm7Y0/lUsEz/sOMyzSWHDBBBnnFe - 97QRMB5hNouqyIql8Xg621IGKkSdTtpjH/nc7/3aT/0ryqsbG+vDlWEbG4/6uV6q544s5jMKJ7w4 - Pcvk23nfxi4HCavu4NYDV93yuWtuvUJ3bUqYRYEkjJbqTtqYoa08ZnBSlvnkp6+wKxgs5ZJBwWef - J3T5xT/63tf92EuXXl21y9QEVihSpkgMFiZwgHPEDFLw6nh313RAWHb7pJUY82vPvvQVP/L6Gx68 - 9ss3XfHg8dvYR3G+RUpZs8L1l6H5moKVBEA30V1L1DaasjgXnKTaq2h0y1jbfOh3r/hPv/BDv/rG - vW9t1qeUhMlx/wZhP3cSBZMIRGn7ilcC4aRnasH9xSTGOBqNyrtu2PEOXAiBmXPOqvrLv/zLJ06c - +K3f+h3vOSY5pYl6SomIYoylz+29TymVbrdzruu6EMKi3q2qRC+Cy7YxxhhjjDHGGGOMMcYYY4wx - xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMeYFoQSPywehd11HRM45kadM - ORARVCUJkSrJJz/6Rz//K/+CxnVJUzFKjUxKX+DUPrrdnJkEfbaphNT0CUmzRdvbIf6X//QfwBk5 - 5TYC/YHGzI8/4v62UkyJBYiU0hCYOcYYQngW1secgXamHFZAWdIAACAASURBVErHvWmaqqpKw/uF - T0RKuqIEyMvh/cy73bB0tzHGGGPM867EsqEsRCAIqVICZZKcNNV1TUJdjL72madNvfGIu/eTX/7Y - zfd9G8tSDahJU3YOGcK+FBZBAhGGOIEKon/CqyRlIYgKOQhBFQpxJAQHFRCighnkUu5S0LrGUtWN - zqrOef2Fb37Lqy49d9f5QQYUPUfi6Eh9qQOWbjSBoFy6tQ7Oldm2YP5ajTLFzItO9PyFP/W55D46 - rCSYV3jBSoBXISQSqHgdLFG1K+zdu/+cS899xySfvOvh275zxzcfeOTeCa93w63ONUBS1swo65gV - AhAHFQcVJhB1IBAjZ4DADlAIomiEE2IIgYBuHrbMCkSUiqDOV2lHphqiqOq6m842p2u0j112ouRB - OOXgZR9IZrgMpr4g6xMlIlKAmEoVzzFJyqA+er1ja4oQakeQpCoeYBYgQeCVB9il67LLnfXu173v - 5S+74OOf//1HZwczN4SMAFUS8iIgR86h7Tp/SuU7mkd2AaiQshILoEAnwh4gqMIzSNG1CB4BQZVU - AOpfm6lmBZyj0vwrfXdiEIMJkkB9WBdSosXUr7kKUMLGfaVeVMCVTymJgHleqSc451LKWHTrH3OG - yHYGWZiVShKSiaVDoAoOqRWAa19pyirRe5qIugFyePQL93zykY0Hf+LtP/vy8RtCOwzCbTephqxM - beucq3y/1M9sc2opVrKoY7D0EXpRZCGAckTHChcY2kGzB2usfTlQyo4oLfRSeOZ+/wgUQgCR1GHC - q6F+6wUrrzjwmiuu/9S37/t6E9eHdcia4CknEZAKk4MP1HXZ0anVu1nnfW4CdHssYuZpK27Ub3+v - dRWH1XT5/W/8iXe8/j37du+dbs08cmAnsSFPWSmzL2OXEgSqFEECygCgAQpSYgUBrOwoaJIBVSqi - bayJNemOwvVzRenJ/q3MQg4+pewcueC63CkJvG40a6NzcMOhr37mW39+18Zd7biJRAB5Ue9BQikr - iJlFE7hUeAFRUDnylQEmAI6TRCIlhggcwZGqaOVd6lJOGIQRZ99tyepg7yv3v/qyS959zvjAnsH+ - kGpuKkcDUkekLMQQKANE4D5nrSB47Nh2Oh/OwTMgERhgVQaIlUEI5DXDiRPyjkRIAumIdr/ngnPe - 85ofOTp75JYHvnPDPdcdPHFP49gPkKTNOQbv2VHbZWUKzIn6VHv/jATnHCu3beu9L0eOiICEASgk - wzmkpM4BChJP5BuduUH39fs+c2jttn/47l98xe6L2+N5lxtyJlYQexHRrMxE5EQURORc1lhWnRRC - ZUB7Tg+cvwtK0pF4XwGVSnaqjgUpOVJJEupBVJloE4fTo93B6++8+uu3/s1E1qOPeZckzsqeIJrB - TCl1FDwUKQOkDlJOLTml64V5Wqxgx5KRhByTh3Q5hlF9ND38O5//9w/jnq16K2eMKuSEdtZ4X0VJ - ZTYgIqD5tVKRM7wvN8RFBEQI7AHknBwTEQmriEJBPD/Sy1ha5mVZAWLmjPy4hZxftZ+d0yNnMEGZ - SbmLU88ghmZkDx3MHtq882Nf/P1/8oFfGy6ttO2s8jVy/9TM81i1yGKpXvgUYHKeK41JYg6BlSAq - bZJqeTSTjc43jW5eedNnrrn9r2lP19Isc3kLrYpRlbynVB6qvLsG9eV9NeVUZhoxYzwYTCbNsHbI - hM6NZPeHLv/ZN51/2X6chzUe+zGLm3Wtr3yAh8jiEp81ZWYAHTotcxcuMxhWOBcHbz5w2SsPXPjJ - L/3BLYduCCOJLEIolXmVfl4qBJWsrN45LyIzLb+QMjkJFToougw/yg9N7vjoV/8z3pFfOX7tbncW - dZxUBFgajtbX1weDYWBuU0dM2H4RUbZGP/uVF8M4fQbz3nddx8zlXbfyjgsROcftdDIYVil1BPfr - v/5vtrY2/+RPPl5+0zmXc79DQwgppacZT3b+qES7ARBRKXbHGJmZmcuz55ydc098hDNlfDDGGGOM - McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxrxwlA9X - jzEC8N6nlMrXT0VVmUAKVs0SMdv4yB/8zi//83/VcegSnIMqiMg71yYVJbZ29/cZgipIVUOgrhNV - rWrXNl0V3DWf/8LBW78L6fqKGYAShZGni7wvPvx/ZwWgVDzKf5lZVUvz2D63/0WvNB1K4ElEyt4v - eZfSkmDmpmmwKMOfIZxzqlqO4dPI5Vi62xhjjDHmeVbStpml9PZACZQBqZyvUKUmi4MfuY20Vi/R - dx/+xl9+508e2PxerloC2m4KD1+RakmsMgBSkAqj7yiXx+cSM1aPEpNVKAt7p5JFETwkInZ5WHNW - IYFTHrgha3Dd4KJ9F7/1ordf/JIfHKaVQRphM3iqgvNKyDkKSYkol7UBwNovBqgkYPuUZPmNXOLi - T709SjXQKbCI8JGUl4FcitXCAOJWO6hX4JYGtHLZK857yyvf8eDh+25/6Jav33XN8eawq6Ib60Y8 - 2QmohjDYhSQQzVXtAkmMyBGV7+OC8+ao6DwLTYsKr84XQT1I9MkWXvuccFbumrglyCKifVLwlJQU - cQYc+s4wk7JSyWBDVJgA5e3HLd9//POULaakDBKnDIUSSLwTypGG1UpVVamSX/xv/sc/+uxvH509 - qINZyilBQQpmEQGlUsA9NTs22hwriXeOHFRFRDWBgZHDwA+mTWJ4IscCIkeKksrOs+iJnHNExKCy - SaHqiQEIiThVByERzSmlrOoc2IEETHCAZqSELiViBO/goKpJRAQi+SnuAPTd9PJf3g5gb993KJta - 5+tKEM+uVYocaSBJpt85ft2xzx/74Bt/7rKL3psnNBzUzGnWdsBwMKi7dsan0ZZU7pOq81pzOekY - EAiDQeXEYSdO4fusOQmQF6eebi8/l1OV1LnoXa6Rwp66+uDf++ndK/uu+uZfJt5UF3PJJZOS96ra - 5XRat014cVo9zmAA9mgaUGbq/CivvPsH3//O1/zwbr+/PZnQVfVwTEKqGcpCWh4nl3ONRAmg7LR0 - RstuefwZp/3KghWy/c/n9AW/ABCSxbM7ASl7V8W2GwwGMcetdtMPvVBsaCvv2vzCjV/80i1XPNg8 - kFcSKqJSi8/bawUACidQRi5XCQUrSFhLUZvRtd1wadB0TWqxPOIUZTrRpRqzSV5ZGgZXt+uyu9r7 - 1h9859suumzv8BzaqJawu27HOVLKCseZSCCMTP1wzQwBmMphR7LjsOWygiBhEsK8DU8gdQCczAcl - ZYJXEkf93TunLrXxnDDce9G5l/7A2+8/fvf1t3zlprtuqAejRF3spslJVVUZOeYkDA6spKRQ0ZxF - onjiuq5z6heHlYVEWUhFAVDf24b6cr0DRLhrw+R7x2/6rT8/+lM/9IuXXvBD6ydP7lk6q91sJcMz - D+qRZjRN5713IUy7mQuc+yuCAiKYV5Cf7uJ1BlAmZY1tEk21Dyzk2AspD2lL1lOdjjQPX/etq79x - zzXH0sE8aLLPmcvYwQrmkuQlAUFJsrCCS+KdRcrJd2ZvoBcYzdCMyrOqRInRTWeh+/RX/+yB7p41 - /0hHcAALSCAEZUF+8s0fAqlqSlImmkQQkZyFCCmpqjIDDp4ZgEDL2zySNAPlqCfSJ923z8X9dFKe - j0IghRK6DoGzrLS3Pvqtr97+pcsuunwkQ2RhDoq+HV7uh5Z7u2fKXV3vXOxyp1JRqCuIxBwTmOq6 - nsVu5lpaiVdc95dfveML1T460az5UP6un5BwGZv7TQAol6mCkpTLJRGBddp0y+PlZnOy7FZX/f6f - fM8/umDpDefh5WEachPDQJWzZzA55DLZLVexfiHL9FgJin4S4gRKcFIP1XNOP/3D/8RfG757+AYN - 7uR0049ABOIyzyCAM4lCYpSBeJBwKXyTCLEXD00h0CxmP5o+ku7986/+0a/95L/kdT/mlaquuy6t - b20OBkNJqiR1qJJmURUI+mOSWYW0fwVkXoBSSqWu7b2XjI2NjQ9/+MNt2/7pJ/4yBBdjBhBCiDHm - nE/jjY3Fn5Rzv5z+i/dIYowhhJLxbtu2rutndeWMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHfF8rnny+yx+XT0Z+mHVt+5BhJ4Fgz5FOf+JNf - /KVfSSGPxuPYJQBdFg8hYsen3ocyLwrOUdOk0cjniNg0XqIX/5E/+B1opKcpwJRO0GN/odTliag0 - m6uq6rquHJ+LfnMpjFi3+0UvpeS9zzmXnc7Mi6w7Htt2qeu6bdvneXGfsdLtLgHy0qFwzpUsxTN8 - BEt3G2OMMca8EEhmKEQIjMwqTsGNxtgNhqPs5UQ+Epemn/vWX11/97UPpft1mIdcQ3MFR6RZcsrK - QaDs1AMeEEFSFpRXUX3HlEtIWwhKiRxnka6DJIQBRnWllFMUMI38kmzQMO9++0XvfMfF7z0wfnnV - jUIcOAlZkUnhODGyStLs3BOr0YJ5WnBnypMUygrabiqyLn6xL3ZjkUkmKX+ZOSnBCZMy90FHKCce - BDdwibJ0yFMX6j0Xnr3nJbtfe+kb3n/DHV+9/uarjh57YLSyIro1nYob+1kbyfFg6JG6jU0Z1tg9 - xGwKxyhbiFDy5/1KCAPzeDMJAx7qlDS7ZrGmOyPAAhaCIE2aTUUWKPX3QU7pSFBQKqnE7e+ACFDi - 0rAUBYgZXBK1O6PdO7cn5gnqxb0V6tO5gpxdrrQb7Fa3a2XfB9/+cx+/8ncpHxfeAolQYgoqKWWt - PERO9+4MLf5QAOScVeAIAa6CpwQfKU9zVTMxSMFgtITEIQeWat/qvpqHQz+qw6DimgAVUtVpbKfN - 1kazNs2TyE0KXXJt4jZTp1B2AGmMmjJC4PF4FGMUgoikLmaAGexARCJPslYl8Nwn0+c/V5I838il - GK3zg9MpAhMzc0qp04bEL7lYtQ+1d//Zjf/lvqPf/ZnL/6lrVjHlgV+Fy+snHx0PxqeyEUvKncsJ - wgRRVmKAoazkBBmAqiNlRn9mEVIf7aYEyrqzxFn+XBlEAJP4WkPuFLLk3XB55ezLXh26ZnrtLZ/T - 0RSqmQECkSZVRDjvUFqup04IDAjDpfLyNamDKlgRpHLt4JIL3/KuN/7wnvocmg6iJOdWRAaSVDR6 - dYoWlIUioZyrPE+JihMAse80E1gZJJkE0BKQXpwHJXF6Oun0U7eohgMeIBER6KydYaBc5Ri65OPR - 9qHPXvfR2w/ftJE3hqvDmW7FafYBIgB7BZQTSjNZwFJBRHxSWgzpvg9KI4dBlSQyoXLIndTEowFB - aDAcxA0d0sq7L3735a//0bNov677lW53QI2OE6lIVpJMqkTsBAIug8/85FXaHo0BKPUB+VLIFnhW - 34/0Wg7UfvBh9H9UrkEMAOw0sFSuC2lajwfLu8d7L7r0dR96y7Erv/HXtx286Xh3hMe5zdPkshsi - RWgUZgjBETlPOUuSxZi33a8tQWIhgUIJqh7wQnAqrEIAkUtepnzyE9f83sNHHnzfm3+cW6rqUR1C - buPmdD1wPRiMUkqpy+PBuM0N6aK/3gdin8vj5e+Ig6ZuWnu3tLQ8mTTTSTtcXmkxm7qN4/LQN269 - 6ht3XH08PSrjlEeaneskK8EJqByEQLk4ljFQWTJAyqpMyii55TO8bv5CwgQHZNbEgddnM92drrj1 - E9c9dMXJ+lhmBEYQ4oysmh0EqYScnyjnfnLgHHnyRKRKQHaOFtFrAJpVVQUacyq3Gr33zKXznZPo - k948P43U7lNSAHAqZZgpj0sCTqhqP+s2Otf+1Y0fP3D+OS+vXxdoJFkIbucDnEH391mhGZ4DMkUV - RVJtvaNQDydJG+7SUnfVtz/zxe/+RVqebm41wxWvXeIMIYBSP7ued7u5XBH6iVd/DuakzjkXeDKZ - rNDK2Tj3p9/1T1+/922D2e5qs5au8z7DS0YSBtQxlXY7Y17sLq8atDwFBIAXYDHCtzoc7fO5+pn3 - /Q/pSvrW/V85a9+utdm6c1CFKAlAlIhBQD/nUh+SZBalpIykFaHS1I0rTCMmeeOI3PO7n/2Pv/Ij - /9KnatLOlobLTjlprnwlGalL7Elo5+y7Ryo4Y3b+i1W5Su7YNVQq2sg5D6pR13WDephjm2P7G7/x - G03XffrTn/OeUxKRRPSYt2dOyeKdHudczrmqqvKmYM65vGVS3j6xbrcxxhhjjDHGGGOMMcYYY4wx - xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMeb0lOStiDBzCKF8rPrT9BqY - GZqTgICUM6jLRw9/6k8/8nO/9D+lnBypgJk5ZQET05O2JsyLmYKUSmrMxwhPYOTxaHDVFZ9+6NvX - A0/Vh3r85/kvjhxVLXnmIsbovU8piUhVVYvP82fmMyjtYU5P6XaXUatkvHfu99JxKF+0bUtEz2Z6 - 5rlUDuC2bVNK43HfgHvm3W5YutsYY4wx5nknVOKgfY9UaJ6LJhqPh9M8XW/XZ+OTn7z6Yzfe/7VZ - tcFjziRNakizD1ASZAQPLdXMkkklEZLtBPZ2t5tKiFQJTSO+xtLIsSJPpduKQfzIjUjqWkdvf/3l - 77r48j167jivLufVZjOmnCmAA2XkmFMWsA9UeWRdvJwiBcCLMi71r9a2W24Cku2YNcn2L0if+lYW - mrdje37xsKW8W2qFwbnZrMnQUA2coNvMnvzKaG+ty++9+ENvfe1bb7j7K1ff9PkuPjJY4c12a9dw - MOm2Zptd8FgawwnaFo53ZKlJWHkedGbejtVyyWY/tqi9Q9/oZYEq58lsvezC03idqUTSB8EZAGsp - 0vaVXKCkl4VUhOSJIVmh8lelRt4ndstfLX4lI9Z1aDrJgoqXT87SWy5697du/sbtR69j37rQZagg - EavGecr61C0OY6CPKVbO56wkzNlVGFFDS1iCSJrm0WjprN1n79u9b//u8/au7t+zdPa43uW18uId - VV4DK0G5hHnVSyLJaNrUrM2OHT720MGj9x/deOTR9SNrW8dmMg2jUFVoZNZ2KeVu0Q8m4so7OKjm - mISftsP7uLqzUAJYSbZT0NQHiZFUU/RE7CmKppQpQNzs4XRfOjTtrmp/4rKfW63OcxqcYnk8EpEd - u+NvoYT5OZKA0pBngYN67TvcGYAQM5BL6pQEUIbIvAFPKkrb51wJwEPLSScQ9ew0KsE1TbM6PO99 - b/nQAw/f9eB0omEWGK2CNAFOFY68yFOcBU++GbkEPhOVdQEphOAUDogdBBj6KnTDPfXey3/w/XvC - /ulaU5Mb+qEK5wiG987lnIg9IKwQkjIOKJgAp7xdrNdy4sh869G8O79zfz7XXeHHPv68e0pEHJz6 - lEO7Jevi46H1g1d8/ZM3nfj6Fq0Pl8YhOJ2KZ3hPXaeEBHA5y1kFYEHJrgsgLL6M5+U5ABDpdJor - h3FdyTTHafZuUNM4pJU3vPqt73zD5S9ZucBt1MO0ujRcTlMhuKxJnLDrO61aiu7l/wvlgClbsSSZ - F2Hm/sk9QOhz3dt/yAqBlJy207KzBMrIXLnKV4OcY2o61wXnqtov/cw7//F9J+7+2q1X33T/jerJ - +dimGXOpyHqVrKzsmIhzlJQ7orBzp5Yn5vKUWkZL4f6aCCWknMfLo8nWhga55ubPHz9+/Kc/8Mu7 - R/sn63GlWq7rWltKOTrnVbVr2yfeKVESesZn7guW8xS4nkzXJ2sbYIQ99TSs3f3I7Tfc9bUb7/3K - o+291R7Kw2YqCkWOILfY4QKSxek077gDCiXJBBK/GO3Ns0VEvPddOwm1S+Otmx/+1mdv+ES7siGU - HVOVPWWICEiI/5abmMwgJVWNKWo/Q6EuC3vy7AAgQxUEH4iSCkFEchu7cnYRgTxUnjwN/uxQlPS4 - 0/n0q293YzSoJ5N2vOS7PF2bPfSFb3365y47t/ZjJPZKZa5FROVmroicKXf5Jaa6HmZlkSQkxCok - M5k0qroi19/z1U9d+/FwbprFpl5GSsnBk4IhAikzbwKgzMrQMmYpqwj1M1lmZjiKNJR6F/b/wo/9 - 6svca8eT3TRhTdFVkSqNlKJkYqfEGSijqRCU+lcT2J5JghWkzAAJE5gy0DLLqCb6mff94+aK2a2H - v76yXDc5KSvAGYkETGACM7KCFKyec4peFMgspCCFKhxjMOJZt37zoW997oZPfeAHf3zsVjY7GddL - edaRr0g1t8rOEcoVZb4ZCe7MuIf/fYqZvfdN0wCYzWZLS0snTpzYffZZ/+eHP7y2tva1r11XD1zb - ZACLd25OSQghxljeAsw5hxC6rlv8tIwG5Q3sZ22VjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wx - xhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wx32fKZ56XcOxkMqmqqnwEeml4P1HOuQouSy71 - KIXyMHzsD3/3v/vZfxR5gGpEzhEgYp+2//2odLsFkIy6RjONPpCDSLP5h7/9/wIR+nR9JdpR7C4G - g0GJAlRV1XUdM4vIbDbz3gOIMZa8cSkCLP5pXqy6rquqqnxdjgERcc61bTsYDErloa7rtm0BnCnd - bszH27qu67ou30kpOeeeeafG0t3GGGOMMc8znffn+vKcEtQptKUm+XYaNo9M7//Tz/zx9zbu7JbX - O07UBPIhuxlcyh6SwRkDopQUQOakhETzdrIywAQGWAnzanYCpcGQRDR3QtlXaTTkQa0D3qwuvejy - y17/rn17XqKtG9JqauRYs3nWyqrmDIgiMdQBxJxISNSB3LwiTYuGLoBFQ5oWJV0AovMWYFmkkhYG - kKnEXxOAvHg4ddAaykJJWEGpVL1JVTphJUfOZUfO1UNNkmM7c+KrdrQrHHjPD/zUD7zybdfd9uVv - 3vnl1LbtbHr2WUtTnc3aXI8HSbtpI+Nl6ub3IEihKqQ7Q9c87xfuXIUnT1f233Oy1Wwwcz8jFz21 - lKmyYrjj3/PQXClZ9ktS8swCEoC5pHN3LMR88foYOrbDxQySDEXtctsh0iAM943OOXrs4bdd/O67 - rvyO5k1y0AxVDUwE1QwG5FRWYZ7ahAA83/UESNZAdZAQ8nBvtX/XyuolL3/zS/decGD3yyoaeA4l - zq3KLjuaOueCKkREVfP8FRo5oS5VJKTDFfL7q1e85sCl+UDO1K41a0dnh+88dNvN999waOuhug5+ - EGNqAnkCVDVrFkmA6lMGeKXfVvP9K487dLcb9Vh8nwJ3rQTSipkzRZaYMVUdr2K9O/rVg19c/9KJ - X3j/r67K/tCMBzx6+lsbT7I9qSyYgDpCBsAaQFHAoKQcAUBECKDICif/P3t3HizZVd17/rfW3vuc - nO5UqpKQkFQaQQIxCDBuEIMxGAQ22Gbwe2Z67SE8PEe8jhcvXvff/VdHdEc7XrSNB8zDYBsjjI0F - RliADcJi0ABISICEAIFACI1Vd8rMc87ee63+Y2dmZUmloUQJqvD6REh1b957M8+4z5AR+Q0AkwqX - 6dQSlQeWN4MyJ8oESdoMe8M4jj67YTVM026tPuWSZ/3CDz7zLfjGBYVCBJ6RQTj6G3asyEuLa7EM - mX1FKSkYQRu+8LxnnrXx1HQfRi44yaTTnMDi6rpPxM00MRG0AoQ5AQpKQAJYtZb5OMNL3W5gVg2f - 7RTAcgr2iUOL1qmyLu04Te4QMlVpKz9A6/Fr37vhHz7xd5t6v64REk/asUtwHsKIWV0FzXBZfK6g - TsGZJfoolFiZtHS7uaTZQQISSbI69O04NZtxoz6pqus61if39v/qz739rH1Pqape3E2ewzR3k+kD - q6MVjZ2qOhA7VlLkrASGY2XS5cU4i3ADcCVDXhYlCc0qziVonYHScZ39BgEMzFYByvGIATAjpum0 - i0QUgq/dMGjtml7fheFw47wXX/CNC752xRcv//pdX+FVCT1SBhFlUEoxSXaOyEFEQUmkLARw2UEg - Qigv6JAAMDgTR4IyMiDdJLBrp01/sHLr3Tf/fx/+v/7Da9567p4LxztblQ6qUKeUgobgKs15cSAj - BelRDeLHMZLNnd29J5+UIkvI/b3u63dee/VXPvHNe796z/iu/kkDJ367m3iqnBPJcdSrurb02pMy - lJDL8UXBs4N+GXkgJNl3rGVx/UTn8aeKCASaQ793IP7wvvr2y67+s536gPiICM6qWUQoMxGXaHXp - IrPMhqBDT8REKjo7fVBmIuccORCSEsoBVgGQI2WAmJlIwV4oJhUBlMH647qBWO7xK4PKWCTlLCCL - UK1w3VfuuObsky94+UWv6+cVTqyqqrqc7n4c9d+fCMcQkZRSCMH5OmVJ6HZlR1f5tntv+cer/jbs - 1a247fpgRe5As9kS0OyopgpShs7O1Obnq7MNwHvf7eYRBmt60ttf83tn9p+20ZzKk1B7TW6aQpc4 - NUmZXe2cKCX4csohlEDlOCvlxJSUlFjUs0DUg4kVzgdmcm1dd77quze95NenV2zesf3tup+zy9kl - RdasqnAKKJJLpFxHT+CQfHQinDKBMxBROUgrXdvtOXntU7de4Ub06ue+3m/nrSau9dfapuHMVR3K - 2WWZZRALiGenFocducxPGB1aF+WNCudJVSWLSNrYWDt48ODGxsY73vHHv//7v3/ttdeBwAQRlAI3 - gPlR+NHXaYyxvPnnvU8ppZT6/X7TNOVaTFUX7wmVJz9RxgdjjDHGGGOMMcYYY4wxxhhjjDHGGGOM - McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY8zxo9RtS/DYe18+Hf2Re7FdzHXluy45 - RyknGW82bfuJf/rQL73prWPJcOgEROQZED3KwJU5gT1oXYsiOGZIIPm3T3zszpu+CI1Lv3yY8pc8 - C5hh/h2apun1em3bdl0HwDknIt57VS0/ApBS8t4vxx3gvgAAIABJREFUR53NT6uqqmKMzLwIN5TB - an19nYhijADati3jWPn/T3qSHxMiKhvwYu5KmPyxs3S3McYYY8xPWImSkTKXAh+gJNnFXOctbN6x - fdsH/+Vvvt98R1bbqZtEwbByIYToqMmAgh1UEKOWEJkq8kO6xEoiJdxLs84rATlqrxcmW5GzG4X1 - tIVT9577q7/4H87pX+Ca2k96xF6jG/YC9Wl3skuijpWZycGzEwVliJADP1yq8/Dk86KimwEmPXIO - ddHGnv9ceJY61hKunkWIiZzznjyALqXUNJnEB1ex9863rXodZq1P8vsvvfgN5z35vC987VO3fu/G - 8X1boQq+CtNxIw7ViFuV0hVmBaj0AIH5vwJWEgXPEnS09LMjUYKyjsc7yhDAH30nWIihrkTWuUTN - 6bCGLgiixFjUo+VBC3+pjH7o74RLCU+UNIN2Y8uOa1elKD477sL5Z1yw0l/fTfeLlEg4nGOlvNxd - fiyWstdckvQl8EpAFXza7Zz2nvPU5732kjds8CmDbqU5mIbditfA7ACWXO40MZhERADHSqyBSFmJ - QaRZGqdEmUk8JVZhD4gbnTnYt8b79l90/s//zC989+C3rvnaZ7/+3a9N4sHs2kQdEYGUiLIIOfKe - k+SHm4uSvT+09c6/mLWKMZtJBwhBmXzFlCmlrBl1XdUVWnRNgjjRle2v3f/lv77ynW/+hd/e198/ - HU+DC/xw6fAjTgw8lADPKqAWAM1zxfLgtS8gEWLWWWVcQIADeClfvVSmL9uJl0YmVY0UU43eiIeb - O9ML9z9n4PdO2x2t25JlZgdNqjmCj/pWXZnIslRn0wmOMVX9IBJzk0Kunvv05+sOD2XYDyHlVrN4 - dgpJuWHyrjpUDZ+FpdWDBMoKn4nKHM7b9gAwb0bzfK0JKZQg9ETXu4W0bP2laT1rqHLgjqeTbrO3 - j6+66aqPfPbvZaWdymbTptAP5LNIIudyyimjrsGyWFwAoKRCSUvRFiwE1jKSi1KpJ0NiGoR+TQPa - rXrYeP7TXviqn3ntenOabocEJXaZddRfcSM3mYwDey43djVLFlYFOWZS8KKBSgooC8liixUSUqcE - Vjff1xfbg84HZ8X8Nl9Z4OVhKRPvEnsKFEQkpphSYnDg/vSBRr0P9crTT37uKZc++fpvff6zN1/1 - vXu/Q31J3LlANbtOMqDsoRlEolw6xBAS1lnLlqTc4uhYISRCIoxMqHthuhvrXgXCdrvpqrA9PvBX - V/zp237u985ee6omdJNufbiHO0wm4+Fw2OQGsyMRAMyL5ic2IR2d1L+/vQur7q7N737i8o/c9L1r - 2vpAVzeygftjFyqqBnVshNl5Rzub3aDngVm0uywKLat8drrCDBGarWQhOOt2H1MM5yreyZu63vzt - R9614+5V14nAAdAyGBB7CBEEWh4/ElUVAas65zwHVU0pSYR3tSaRpEShJu84QEhERIQcxIvAgRKc - wCkgs8r3E4Z0nl8maMkzk5Ci7dJwldtWKs9RE/flqps+ceG5zzi3uoiFywSX2SQi5hNmVyVmkQRV - 76oU0aRcbwQf3O2bt132yXfJaHcsB9WDgNyhClA5dC4KzA4HShAIQ+b1bjDKAZO7aRyFFX3A/eIv - vPFpJz8n/zAEV4M0O4mQxIhgds5zTcqS8mIDWpznLF6Nlh5REoAF8M5PptNBvy8kk812jzv1zZf+ - 9p9c9j+22vsTJrmK6pUISOAMZQgBJJnFCZN6J0lZyhonBwU3nQwG9Xa3FVarT990xUY46ZXPfe32 - PbviE1hJQs6x3PUWml8vAAKmwybZHF+cc23bDofDlBKBuq7rum5jY21zc3N9fe0d73jHW97ylm98 - 47byRkwIobxJc1TKu9Q559FotLu7O51Oy7eLN4EAiIhFu40xxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMY+Pc65pmhBCiSLHGEMIIqIP03Eon5Te - dsl7LzkxQTSC6sv++i9f8ytvDL1BcoidhkDMSEnA9mnqP+XmTTQAUOLS3haCCKDKEE/yN+99NzRC - ExahpiW0FE07vN6Nfr9fPqi/ZJhjjKXhTUT9fh/A7u7ucDgsjzyhs2mOByLCzM65nLNzjuYAOOeY - uYQhSrH7ROl2Lwsh5JyJqG3buq4f+x9autsYY4wx5idJCCXE7DM7YackQHLInNpB86Xbrv3IF96/ - 5e7rhtsdi6/BghinSaY5QRRwcIE0ICYtcW5S+AwFMpdsqjDJoXRueVUCAOcxHcf14bqfDkK3+uqX - vuZFz3qZbvqANSgjM8A5pS42ITj25MgBgGqOGTkRuR4F732UZjnRrQ+ZQUAWlW5SOAkASPmI6W5A - hIS1lAgBKFFTJtsJASzzIi+IpikBCCH0q17OMeesmts8rapKsuapDKs14vVnnrR2/s9ddOPtX/jk - tZd/f/v2wb6gtU7RZkdtREDlFKBEKovlI6XYjdn/SZeyhYeKzgIsGs8CeFVVlWkzVlVVxeO60pQS - 7KUEFVCe53sZSkIM8Uyg0i2G4FCieDZJi2r3QwLeKL8srupiGgSodqS0uzXeOHm0GXZPO/nJ9957 - R5PAvlymE4sDEZZyyI8BCxjKTqXkJBc/yG10Girt9WTYT+sY9ymtjMQLciQQQCpgZiWAVNXNCnsC - FRXNOYvmsoUTU2APJbhZKlaZ2vF4ZTBKXdid8EWD5138kp+99+n33nLXDR+/9UP3x7sm3YQ9+xBI - uyQxRaGHueMkPLsxsbzU3GItzEPU5VslaSWHmtjXCoWKREFKADbWsLODxKhG6dZ7vvo3V77nra/6 - 7VPX9uskIz/WsKjAq7KCWDwoAp6RyiQJPJc4Ohgayq8LZeaUlQEGCMqYlb+xdPOkrN4yG6IBTdwd - Bk8qk0lXr6z0MVTunrz3/IP33R9T5iplAZXpICVVeby3UIRABCWoQgQiQhmaae/a3jNOPgv38YBX - 2+lUKTgXwJyRc85EnauCIs6nmlk9lKFOCJmhnFWFkBgZs3XHCplVpGn+zWzen8CqKwGsAKkomJb2 - QBKuSJFdTVd8+sOfvuWfm3prK27Wa8xTceqzasxQ0soHRxmdgH0miT4BXXkOX8YbAqjcsJDFq5ah - yilpi25Xz9933qX/y69ctP+5tO00cW9QxxhFEHzoxl2TusFgkFKnrCoqpf7KnpRVy9CHw7cYPjQj - 6rG0CygBSjp7qPyWZJSxkbG0H82GKRbVDhAoiBx5AjlVZEhV1Z44c9XsTDb8qa9+yuvP71306Ruv - /EZ3893T74I09L1oFoAIOstIC6hM/uyFWEHlSEg+clKatcMJ6GLkCtuxqYJ3nkRaR3rf1h3vu/w9 - //EXfuOic54pOUVt69DjgKZrHtpA5vlCP3HrsMJd47Zuu+/mL37h2i9+65q2txNOoUnTaAV28A5t - o6zNoA4qiFlXV4YptvPtDZg3lRkQFhaQMimzQEgcQ/H4DnrmyEi5H4YPjO9p9h786Jc/ePvBb8ZB - 6nnfxuQdZ0fJg0gB0SxUut3EAFjL3ndox3VwjlG24hijiHjyNff8Tl3rsA51Pwz7vh987cgDyDnH - 3I3jeNxtd9qBc+LU6TQPukTtEzS/PB9bMkGJScDlbJChDkkk1GjGshZWU6T79c7P3vKJJ114epX7 - zMzMi7ejTpS7/EIAMjsfRCjl1GSEuuX0vZ0ffOz6v787fVPrpBJZyGUPRHbIyydjymVcpcNP0kih - hHKUZFKf65c+59IXnPOy7gf51OHJO1sH+yvDzTxNTpSCp+A1cCYkOElMorPR25MCCIedN1A55yyb - loCwk2I1CClNg1Jo3Mkbp6VOL33h6z/6pQ922iQRAIGgAIsDA8iZ0YbkM4fsvbCLnFlSQMwAq++H - Lmb2MuEuov30dVeesXHm/n3ntt2k5wdEPk8z+0OjsxKVA325klpMqTmueO9VdTKZ9Pv9cTPu9Xpr - a2sHNjdXV1cn091+v37/+9//1re+7aabvg4gxnj4edry10deud77GGNVVV3X7e7uAiAi51xKqbwb - FELY3d313pf3hJ7AWTXGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhj - jDHGGGOMMcYYY4wxxvyUyjn3ej0RAeCcU9VH7t0Se80ZALuQUvKOArTtJvd869ZPfvzKl/3ym7PC - VSQZYp+y/+/AI9SSVCE5K9JnrvrUd278EpCZRPRBf7eUpSh/dfiTTKdTZi4f0V8eCSE0TeO9L+Xm - 0WgEoHSOU0reW8L4p5xzrmmaXq+Xc04pVVUFoNfrNU1DRCX1UgY0Zj6B6t1VVZX5cs7FGOu6Xt7s - H5Vt98YYY4wxT6BFT3SBli5dhGZ9ZVKwelIHhbgcw7Spt//5uo9cfcsn7pM7MeyyFwVSB1U4gihC - oJ7zMUnXZSI4D80QWjSGZ/W+2evNO4blKkoJpHCdH+ZBONC74MnPeuXzX3fWvqfmXdejobbOc5VS - 0pSqygc4kQSmnLOqsrJj751nYWRJsYVbPHHps8o81zpLnS4luktMt6Qll8vShxaQUqlOCiuXTCAo - lbYsiGcBWGUhxJy89wJ0OXJKzOzIi3a9ilNqHPcHVR0jSeIqrBHxz5z5c2fsPeNfb7ji2luv1gH1 - VvrjburIlfXDwgwpC0qoJGlFS/oXnlRACQTQrOGtVGZwVu/OBIIAmilPUptVZunuI/WzH3mTma++ - RSJ2KTasPKsRz5YZzzap+R8udbv18G1tjkSVq6rKsW26tlf3B/3B9nhXVE8/af9t9900li1mgKGq - SuxAR9tBZAUgSvPpnC8iIjAzMlj9QFdc2wvSC1x31ApFCJTAIKXSH1cIqWYiAikBgbhESR0qVVVR - VSkbGxGRUnABrXiu1xDSWDDGKbR//ayNp1x03rXfvPrLN3357gfuSn5KlZIDuVkOedZantV/WQmk - cmiv0cUcoWy3ZRYXe7QAdUUp6TQ3nkMVAiQp0sBj9yCGIzQdJnm8ttG/7cDNf/mxP/lff/l391ZP - rrshqSNdPE+5KBNaWtSHVjJo9tJKJag5/wKkUDAv9+yhgqV7JLSo6fLS2jlECCl3oQrj6XhUDyAU - 49Q5csmfvves2x/4epu3y1OJChOYSfQhG9UjkbJUWaFaBrryIEKPY8wgVNx78vqZIVb9MIzTDHbs - K1FNKTMzB1LNKXXEYC1jlwiYQfPtP80SzmXeIctzeQxawotdWB90jc0P2jVo9uqzNDpKP5xEOWUX - d9NO6k+v+Nw/fvaWT8XRbqojAV2SylPqGgFXoQKQRaFc0rNCkHk1mwCXZy9QlufyqwKoiXTXrchJ - z7/opS971qtPGexPB7kndUo5SstwRCRJPPu68irEcEwQl0mE4JgZQikn8gSCAqSQWQZ4dshYuh93 - qMgNsJDg0OrAbMha/ArNFp0SQMIMVQV4NoqqlsBwK1lEAAncq2KNTE/feM5Zrzrn769/7xe/rweb - e9gracesAcjzfbPEuRcEcPMBU2l2kGEAiphRV77THJHIIap6RW+km9t3f+Bf37s5ufSFT3/xzsED - XRrtWd23szN1RDwbBJZG2Pkx7tE3m+OSkN5x93c/eMX7H8APsCdudwc5g2tSUYmAoBeIiLocVSNT - 1aYIlrLWSQEFL+9OJHr4aUw5OJpHVbZ5pwzlUpcHRVZA3WJgIWWAJ13r1/1Xf/jFz37147E3idTm - BnXtRERUhMFEZR0wqfc+JVl+iYLVU3Zea6cVJXaJR4OVM049/bQ9Z5x10vnr/ZM2Vjfq0EMiScrM - nkPWpCRJc5OnO5Otew/e84Mf3vnDA3d++76vRz/NyNnF5HLmJItu9NK4tDjVLGYnAw8aiw+/y88q - y4OGLo2oAJiRM5oJ1ld6k4OTqhqgip+/5dMvOf+VTqt12uMoiIqqKJM+5KwbP74Nc3EOPBt85ofv - 2Rg4vyiQcu4RIc5Bu5xyQ8H3VsJd3V2fufGTN9xxLW20TY5ck88+53LgnC1SErAiMct8mXPZ+xbj - oTIAJ2GQVs4cnfeK57627kaD3krXxFD7iChOwZ40QJ1m7brs1fXqXivTsthZuTwJKZUpn597y/yY - KLMzEcfI1HXdoN+LTVrze59//ku/fMv10C7rbp6vQoEyiBW5xL9ZRISUSZlVIAgBzVRrr6FyKScw - qJ92d+677Mr3/M7b/mC93sfRs4R+v991EZ5ZWRbPDV46UTbHnfJu3GAwiDEOBoO2baE86g8mk4mv - AsPlnN/1zne+9e1vv+0btwNYOsg+prWaUiKiGCMRqZaRMJX3dZxzOWcRWVlZ6bruiZk/Y4wxxhhj - jDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGPMT7+SiQ0h - lG9VVVWdc/Iw5W3JEWDnqxgjAAfNGcwQzZf9zXsvedWvJD8cjtzODkjhmERFyT53/6cel9ILVJg4 - A44hGYPa+zh9z5//ESgjizxiGefhAhylKF8+mV9VS2y+/KhsuqpaVVX5VP9jPFvmOMPMXdeVbreb - w7wfkVIque7y9cMNYschVSWiXq9XIvRlpkrn6zGyTd8YY4w5jpTi1OLbcjrLTEdXSjU/aaW/q6Xk - TIx5S5bmDeNShpZZW1RJYq/q72y2qyt7k7S7ujWt7//7q97zpTuv3u1vZx8TZxY4hdMS64UDJFOU - CCA4oORlaZaUFoLOJwDllyN8z8WUU0YIFTKq3Kun9UrcuPTi177oWS/HuO/uG6ytrE8mDcOR5uAI - YORSH2QROLjSrlYgaQKYHYQUs5rpIfNoohz2D1CaxyXzKTgsubpkuejNIFGEQw/Ng4hKcI5UMwGe - Zo8LQEQiwsyqUVTZBQDIXGHkxJ9ePe1Nl5z65D3nXnndR5rxlu+77W63c9Ib9rRNXYtRD0JoOoQ+ - UgKpkFasBDghERYQOJdWN5d1XYqJTgFOICRHU9Kdphk6JiiyLlrbj0UJGwPg2bw7gZvPO+thF8ZH - uGjheV1YZv3aB/8OKRgJWQDWqpoiqmrFfeT8tJMv+Mx1HxvsHT7QjIc1JOUQfErpqMrHpEKzWHsJ - gDMgoFlUnhza3Ioj6WiIASApt0RpcQFDmmdfEBQCUp1f7+t8cyk1axAt54NVy4MCIRB5mi20ulvZ - 88BZv7j/7Bef8itf+PrVV9380ft2fxD2cNIuZ1QBHpAEJkQF93oxZyeZkFgZelgLcrlAn+cxXyFA - wAA7AlLSVKYqC0IPXQdHgMdO2nRD933++p997P/5nVf/91OH5+gYq/VoursZXAVUKkTUMoRVACg4 - MzKJsrAAlBkMiBKJOhADooe2AWHE+aIhLDYYXd4A8nwNLa8uAeAoSEblV1PW7CGUnaLKvH/vmXqj - cuCs8B6pQ8UQJTmKzbkkaVNZdD5jXisXZekUrqLYqdfqvJMvrNoBgOwTANEEgBmAUKmgws3C3GW0 - ISltWyUQhLQMU2Xe3Xy58Gzh6GzZyKymfTRIyvyyAiSHR1jL9M32WQJYRQjiKCsAJiHnXExT9V2u - m0353uWf+bsv3XlN2tO01CSRyvUoZ9XIDlCWXDKvmTgeSt7i0B2vzADEc0AWne+eyvCV00TUVaN8 - 0muf/WuveNYv+d2hPuBXVwfj8bhyDgpBxvwGa1aoAiRZABCVJSYCwLmy4wFLY9FssT/sQJAPG/31 - wWPOvKde2s9l2Fz8BfNs780EMPFs1FJIBoH73cqvPfc3Ljj5or+75n33jX/gh7nTaZxiUKNLSADY - Z2jlvEhq2jwcIKuwJiHQYkoUACqCxlRuYGuGIyihlUZP2rnj4M0fuu7Ojg9ectarKK/sdGN1XlUo - 50E16LouOQlVmLQdEXnwoaGVjjAIL7aQxRb4cAvuJ4FOO+XM9T177t35Zg4NCCH4uJv6vkIGiBI4 - ohMH55lyylm48m0Sl+AJRE7UZ3QiKgm9PoQwjVL3mVTzWAMvusXmyEhZOSlHAJxqKGc4pQzqlAmt - A8j363YyXan609RM+t2BfPcVV/995++XkKKiN+RumpngCUkAgXN9OIiMY0oEELmMrIBzUIVGOA0h - Dvtp9bSVc59x7rMuPOPpp62e1qeKBMIOYEwZ03lkeomSrAH7gpxziugpAMU2b337rltu+M6Nt9x1 - 873pbhpl1LlJExDqMkhnkINkCBPNSs3zeT/smcu/TMKYJa4xq0GXr0lAmL0ZoCABEXoVmqbhnk+Y - AOKC//CXL/vNl//nuNXv54rIdSIZkb2bH6SFARYPIHOSpXOkJwYDLAThBOisdq/C4hWsRJEwPxzB - ZyhJ9JQkO89ZMnwe0/ZNt191/Tc+jWGcIuYAQJljOepmAAQn8AIFJxYlEcApO+lBstaxy/AUKl9N - puMBVvfsnPEff/531vMpLvcyo5XpaDDqUgyo5iNTBuACgNRoWoxph9Lvh+/O5QSeFQCXzSeljshT - hU6nSupTb4j1N7/it/7H+//PlY3RVrebCeQoE+WcSRHyLOWuJMkJKZRACo3oeQCSRahczqi2/e07 - trc+fP37X//it1btCndeo9RUd6KZ4ZCAnAkghXqrdx8HDr+Cm3PMKohdBjgn9a4q7yL3Kp8k55Tq - qhrsrd/5Z3/29re//fvfv1tnZ4AgoizZh5C6MpLwkV8LWL5/UqLdRXkTKOeccy63Wcr7KOXXytuB - 5T0hZtt8jDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOM - McYYY4wxD0tVS/y4tLFKHms5eVs+Gn3xBQGA5NSVn6YMAlQErHfefONXvnjNxS96+Xg71nWAiEgC - eXpI8wXzPk6x/GP7gPUTyywGRCCF0wQgIRCxKByD4vS6z3zijhuvIY4KLEXHDv9k/sO/n39M/+yx - EokvMebS6i5bKYCSnD+qwrE5oaWUqqoSEedc13X9fn+RdShNhzJ2LfcdHg4RhRBKEh6A974MdGUw - VNUS2XzokPgjKs+2CHqWLXmxDdd1/dAHHwtLdxtjjDHGPCHmaepZhpmActEqJIuoNgAWcuR3dyfD - tZN2uq3OTdr+wQ/921999YfXTevNGKbCUCr9QSEFKwSsNPu2FO8AYP7Fg6qZrOg6rAzCwZ3YG9Cw - 15/stgNd8ZPq9OF5b3jlr110ynN12/fciFDvPDBxDPJHbEIf9q0CIMkP/b0jeUgsd9befkxKJfdB - Dz5cMHX2J77UQ7UUrJWdAHCBhop+nuhLLnrV/v3733P5n2/u3Lt3o56EbnO8MwzU71PTig8IAW0L - 58rEC+AOTYLOZkeJBfCljqxMJE6QGZk0QqapVVYoiFRxdJedhxbXEef9sYVg+bB27GGcipBk8goo - 5/JCTsJG76QBBpvpPhfAQFaIJqKjSzWDyiZawttlXRxWEM8kSuLEkxId6pQf8bmOPKePNDVLZeXZ - zGZe433NZrvK9Sue/Uvnnnvuv95wxZe+99m6n6oRb+6Os2A04C6JJ7+zM+kPBkB+hKUnS9veocjo - fGoP2/tkNq3MLD51krboPk244guXv+GSt42qPdvN5mjQi+PsZ7Fpmi+rcqOD508uhyLKuryojtRx - P0KX9FE3GMZsgxHhqARSdsJr/TUvnpRVQQrnloPEj/UqtyyiMkyVpwWgLEIAIYs6x3mie0en+FwT - kSA/7NXs4bOmJA/ZePgIXy3/yWOc6Icx31x5MV8y+78sr5UkAMiBFdp1Tb3qD7T3TmnrHz7z/q/d - e8O2PxB6yBk5QZUgSxnr+UCh8yr9rNutDGXlWTY9dzE47+tq0nS9XpUkd7viU/8kPu2Nr3jzz5z2 - Yr/dG/Eqgtva2vXBL5VXZfH8j+zh8+aP5+7GQ57t0W7eKi9+yUm9IuFppz7nt355439+9E8Odj+o - +txhHCPYgRSiCkGUWAU36EnXqudDO+mjDl2ZsdmO106uUtN8+Kq/cy8avPhpr4pJaj/suUE3mU4m - k7oOomjbtqp7KZX67+NYDMcFFt/Hygsufsl3PntjE3c4YNKmvmdS1iQRkgNxr6+YtK3UGb0Q2jGG - rt9ncblqY0/Uq0vOJx+6aTMZt7K6r75nu10doa6CdgI+ivHh3yGdbZwy72STgDHvW3tmSSxRmHna - 7cYqT/vjf7nuil3ZVJdTgquQsmaGYxAQFFnQNV3W7AJ8gAg8s8siSTk5llDLcEgbz37q8592+sXn - 7rugn0ahrVd0NUTftq1WR3OApzzkUb13dOH+527x5vXfvvbfbvzXux743nAliGtz18CBHJLA1246 - yXWf0/w8kfSwCHQ5fcXySSzKvj9Lvy9ODw79+eKWP83u2yYfv3PgG9/bvX3d7XOJuq6rhlX2bncy - 6fl68RKlDj7Pg8uRjpLHlDKUhXM5gStzR2UsL+lums0LKQKFpuvYB9fHwe6eByZ3fvpLH5XBOLqc - eemYRYsj4NLOVbYlAhQMzqrlSkBE2tSO6gEdcC+7+NVnDM8NuZKszjmtkFVyUr9UKS7bpMya3LPH - FY92pg0snQwQwJmVFU445HoP7/v557zqn7/619Vwlwdu3OaqoiTlTGD2x7KY/rKg5g8vZk6BsW6v - nrxxza2fPW3fWa885+TUDXu0EluhQPSgQzo98WvWHGtN0wwGg6SSY+er3llnnn7ZZZe98Y1vvOvu - +0GQDCCDKcXILkh+jBedD1bq3eXrXq/XNA2AnZ2dlZWVGCMzl4C3McYYY4wxxhhjjDHGGGOMMcYY - Y4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGPIJFT6cUaksytsRrq6rqui7n - 7L0vCdsH/+38CwYyASTv+rN3vOvFL4ukrOI9T6fZ2Qen/ztTsguS4JAGgf763e8EErKwCwJFxhH7 - Lw8XGSmFY2ZOKS0izYsvzL83peBeRi3nXGlO5uOBAAAgAElEQVRgP47nCSHEGLuuc87lnBfRBwBl - 3AOQ5zmJY9iGX3S7Md+2j1UU3MZZY4wxxphjTOEBAWVQiW2zUwAM5cySGeXChpR9ZlYvRL3+aLs7 - mPqTqT/woU+976bvf2Gb7tdeLAlDN7uKYYXkeSz2wVHDpZ6ozC+5HRjKdaimTVxdryZtlyeTKlPd - uWec/tzXvfDXnzw4N48rSUxViLFlbVaHq23bPXpd9fjFUIBYUdrRAkogAJSzyDSOBqPdGE8dnf57 - b/nf3vfh937/wLfRn6726qbpuK646iat9AdgLcVHL1SeQQBwBito3r590DIionLJqaqT6W4eZtVj - d0FwjC2m/dAErqys1XVPMlwPAERO5K1gSZenzoMdTRvsH1z4xhc/6cxvnvnx6/9xu7vbebjaTcFt - EufSntX+7u4kBF7qcz8klrnkkZOnzJyz6LzerSop6Tjt3Pzt69ertUtf8CuM1Zgqch7UMpMICVjm - y1wBVi+zePxPwNra2iJnKIIQkNOP+pyzSqsCBAZyRnBeM5607xTKpEzlluKP+jLHkDLPhuulB2eh - 01nxlLQEuCUToEzErEwK5yS7tJUOxNXx+6549813fXkctv0gkCil5ATiWvI6u6els/8WnVeUIV25 - HDtEQCQgMCOllBiuTw06yRjR2qmD/b/+kt84b+0CP+2lJN2oiZ2qyKhabdvpiZtSFtJGJ8PR6mnT - c37/Nf/trz/+jh/sfFPqca7RJTDBu+Act3GSk/R8EIlHNWqRolehm0RpqN+vL//8B1o0L7vgNf3O - 7+zosBp2YTLRLsCxUE6J4UiX6qGlFPuwgdvjbrGzBNrF885+yb/ddOW3Jk3rt0ONphPlzjnvXJWB - tp0yZMhUw/MWr/Men/oj11sd7Vs/9axqMNhpD25u//Ceu789HGz0w2S6M3nSqt/cTaQpcFhklc0R - CQGkTpmUhaCkQCKISlDJgPjgs4KIku/yavvVu79wzTf+peNdQRUodkkykbBmhmR4RcVgr+JIKyfI - mmjSxCBYC2t1NxzktYvPe+FLn/OKEa+P3KqDE8lg7WjaOpeDegqPPUUvBCHtj/bEjN6k/5KzX3fJ - Ba/84jeu/vh1l//g4LdXTtvYbjdb0aqiLuZhz7dN5NkxhFkBnaWaF3FuhYCgBCkHBZ11ux/z9Mj2 - ZOeGm790wbMuzj6SQkRSSnUIizb2jznnTAqmcvgmUgigxIAoIZc5JQCSGU5BihrcdOL79bY8kFYm - /3TlB+5tf9gMxlKOJhCeHQgA5cVQI8RQJhWHxRNmqEgmhsJlKHNTnbXv/Gc//eJe1Y9tQhZlLXfD - mY/ZMikHLCcgsKIWEpAAOnCDFzzzRdd961Ndjl3acYzUJQeWoxsVGUyTZkrOX3XNJ84/6Wln955W - YeBSJTRldJFVy4JSAsrZ03E36ppHMBgMylsdMcbRaHU8Hq+vr7/nPe/5rd/67TvvvBtACC7GHEJI - kmc596OxeCtl8T5N27bOuZTSyspK27Z1XZfHu66rquqYzpwxxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMeanTcnHloStc67rOmb23scYyy+k9Bia - HZIQ6M4vXXvj9V+48NnPi1FUQ93rx/zopahHqiiZE0cmD0DIARhUQNKrr/rX2750famfqSqOPlZV - Kt1EFELAPDDvnDvW025ODDHGRXes1+sBKGX3ow1gxxiJqITAATRN45wrI+FiuKuqKsbIzIs2xI9u - 0ZsoE7wYdX/0Z7Z0tzHGGGPMsbcIE7LCaenxshAJWCFCcOVHwqQOvtptt7HStL0DH/yX/3nDnddO - w7ZfRZNFl5KxpBCaXwITDg+YMfRQ0mz5OlmB2KWq19ve2ulVGIUeTavnn/3C17/kzes4M28FkroO - YTJtHcto2I9dc0IXmxUQeOhy3VyUEikH9jF12uhouN427Xp1yu++6b9+4Mr33vSDLwh3RC6JcHCu - kpjgHUssWdYEAggsIPGsAKUMEESJl1/3UHGSsTvdpRVVxfFUIX4UwdfDwRoSM0MyABCRyAk1Dw9F - EupqMtn2NQ99v2lkT9h3ydmvOHXPkz541V/u1lv3tgeyT1U/SBdTbHoe+RjdYiIiIoigXMgRgRnk - tau2P3PTlaecvPc5Z1/iZOAA0uTYAW7W9SRAwQqAnZRO/I/3rpcSwIPByPtqNhcKZk6qzFxutTxG - pLPOPQBAANYHbU7KIdSrq+vYppzEcTjubvGpJ13kmaXkugWH5ksJpIdK95580zYro940jVveaqrN - v/iHP77twNfySqp7dUZOXUfqPGeFCDArlbPMb3sJlVbrrDvrS3p2MdaIIFQukbRJiTDi1X3uyW96 - 0VuevudiN+l519M+dtsxkx8MVpvJlE7km2AKZGLqdBTXVoerf/CG//an//j/3p5yS2PnIzOQo2Nf - OcSIjOSZ9SjrvxX5JiZf+cyS/c6HP39ZpdUl5728X588zcQ1axQR8b5qu+S8O8py6PGFlYPUTvCz - F73025/7JqVd1NJ14CBZujTu2Ncr9TpHdRM+rX/qWfvPvvD0p5+2Z/+T9jzJ83AaQyRFHdl1MW5/ - 7rpPXXXDVRp4ogfWhty1Sl6Rj7P993giBCUhwAkDnFFOVAAgUBVzR17ZiURKSDpK97bfu+orH9mh - u4EoWer+qG3HVDNBhEEKFohqlgRGzmg7VIr13oabuno6es45P/tzz3rV/rXzaDdUuU7bUZCrKmTC - tGtBrjcYaZuPIm6tlNV3EwStN3ikbYrd9PlnvPS8M87//K2f+tSNn6jqNa7alDpyOZPALx/OQOoB - KIQVmM9CGVHLcPp4Np1KvnLbDa99xnYlwxB6SVPXxP5gIFEA8Pz8RVmEjubQ9biU0rYoaGmRCoEB - oSRUNoDZWb0QnLJ0Muj1t9vttNZ97parbvz+9bxXokQlx8ogKWt5dgSYz0Amxvx5GFCCIDrvJZLz - TEFdDq7pv/TFr1ypVmObWKHKkpRAEPLeSTpmC4O0ZMgpUVASUCZIEL8WNl540csv/+IHXD31LlIC - ERi82OYflRC8d800rg77W5v3X3HNP7z55zcCVtbCBiQRVEkyMYNoto3Z4HOCyTnnnKvQW1lZue++ - +waDwXA4PP/88//wD//wN3/zN9sudV1yzsUYQfAhpC4e1fOLSFVVXdeVN1FCCOUd6/IWS13Xqppz - 9t5bt9sYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhj - jDHGGPPIYowhhNKOLZ+jXj7nfDqdhhDKp6CXD0gH0Ov12qYBQPMP0i9dGwGggtRB9b3vfMcfveu9 - 40RJtUtKdAL3wsxjRCoAFKTEs9SRKNrd9/7Fn8IRMtj7lGYBo6N6ZmYGkHOeTqfli7JNlsfNvzel - 4J5zrqqqbAYiclTRsQVVXW49lG53efKSio8xlvpDXddt2x6T6U8plV54GVRLFPyYpMEt3W2MMcYY - c4wtunSsTEokzMoCKgnBRVWUlJ0SFE2aYpjH4cAHP/WXN979+Z3BAe2joXKdhFnGVUUICq8ktNQl - LYFAVsYi8k2iy9MCVP2qnU6HvlflUDWjlz7r5b/4vDf0Jxv5oA7D0PkQc/aOAEpRVIET+1KcFQ5g - aFZOpZUJCCuYNLiKiXe3dvetnjbR6c721tsu/d0P/Vvv+ts+40Y6lt1JE0dr/cnOFFqWqZQCY1m2 - TktAffZKNEvtztuTqkykpMwYT7fFiabDit7HjUPrl3R2W4aUNenKcNVte1LkDCIQPTgRfyIab++s - r69l6HR33OPgXa9PZ41O2rPy6j1/8dE/HoXYhkmXkmOGCHSeyJytt0O3Iegol0S5Siz3H8qVJzMp - pyZtD1bl8qvfNxysXHTyxpBW47ghDiAvRMKAOhBKt5v1sEr0E42VZT7Lnrhf1Q7EQFKo6uO7fsaD - F938nmAGkxfBymDFkWc4EQTvRdLxFODkWYRVARIlzPaIQz11BqBU7mUJAynmENxWs+nX8sHmnvf8 - w59/v/tWXG0a3yhBk0K18gGEKFkxS9sCIEoASGXR7WbxAM/a4JhNRc7oj6pxM2XCkFYG47Xf+uU/ - OKf/VByohr11sE5T6zk4DpKS0o9t23mCUD+MpuPJXj+Y7kz3Ds/63V/9P/7oY//3t3Zu9ivMoWti - zjHXVagcKEViPap7JKwcW/T7g0nXRIrspT+q/+may0TkZRe9rtuJ3FbDqp9jyrHpVcMYM1HZH8so - +jAb6nKb9rF3kX8cpA7VAzsPPOPC55166yfvaHayTNYGYEE/jEQ5NjTM60899dnPO+cFT933jPWw - pm1bs+dJyImJHIJLcZrydOjXXvf8tz/z/Bf+3b/81XcnN0542zltU/T8U3DQeMKxOADRsZCwCisz - 9QIRkNrUOtTwOvG7X7j5M7fe/RVa7TQKlLMmYlUIgNih55mYcsqqcAARe3bVtBd2e0859Rkvfd6l - zzzzebzru/vSkCrt8iAMnHNZVXPuuzqzSspH1aJn9SH3a/KBJaWYuxxC2NM/beDXL33GKaevP+Uj - n/tAi537u7t4iKQ5Ax7g8laQli9ByuWUCSqL8yM9dFp1FNOjJJniTtq6/e5vDk9ehZLnXgghdl2A - x0/i9ItUmFgVeX6ipZDMAmi5DigHdwUpQYk5k7rMg/SNu7/yyRs+2q5OWm2pgmYFwFKK1H5xqgZA - CZkAoKy7TFAgQbwDOlYBSUxNvvC0Zz3zzOf5cc2ZqqoSqGR1xCJZ4Y7JzLJCwE6YVaEOytAMyqRS - S5hu8Que/oprbrmuzVtNisN+1TYRdHTrJImE2k+7sa/CrffccPWtH3/tBU9qpqFWglImzlQWEZe3 - GxXH1XhrHoUKMfnxeFzX9b59+3Z3d3POTdNccskl7373u9/ytreGimKXiaCKHNPRPj8zd13HzMvv - 0IiI9945V97RKVXv8va2McYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDHGPJwQQtd1VVWVpqz3fjqd9no97z2A8hHoXdcRUV3XzazbfRgp9RtSlQ4k - t1xz1W0333DmU54hvor6KJ/mzw/ztTkRlW63AqTi0uTL13zm9i9fgzxhRylFAP5QwPsxP6eqqpYt - E/Ny8+NOTZkTXQkxlG43EXnvu657HNsDETFz+cPlP188QkSYD4DHqtsNoBTBiajruhCCiOScj8n2 - bOOnMcYYY8yxJARAlDIrkTpWT+oFTglCCkoMWcQJBUguY9g11QOXXfmXN37vut1wMA0xBhrM4nw8 - D3iDoDQrUQPzy2vleRmUlaCl2334xbSkOAi9uhv0pxuvuvj1r3v+m8P2mm5XG4O9nGk6niDFynlW - FqEQej+OxfTE8lJikYeaqQrKOUeIttNu5Fe7TRnK+kre129PeuXFv/zii17pmwotVRx2dqZVVRMc - SJYLrKSln/vo16XKujvZBmchkeMznKtHuKMiCWvDdS4RRpk1p4+niPLjojzor4zHzWRn4jlU7KgD - 7Xo+ODizd9F/ftP/vhr31e3AJeeYuwSEY/bKuXSZicoloipENGlCP+/gwLQ6eMXnPrgl9+7IwZWN - 1a7rQAmkUBZigLX8Rz/+5c+ltSqCwWAEZSIQQeRHvfjUee5aCFCowsGR0spoTTKI3HF8cUplbRRC - pXYPUiY99AXAQiAWqtStpnuaOz746b+9Y/ytpt7twjRS6jRlZDApsoiQwhGgECorWmjesiVF2RIE - JCVJOt8T64E/uDUlwQCj4e76777uv+yvzhs06+uDvbnTnZ1xirmmHiXKOVeV//EvrGOIlNMEo2p9 - sjvtUZ22sJpP/U+/9F/OXn1m2kQ30SpAGDkm55w8rn2F/3/27j1Klqu68/xv73NORGRm1a370ANJ - FiAQb4SEhAzi/RAGYTDGGLuxuz2e8Sz32B7P2H/MrHl4zfKanv7Tq3uWPdMe49VtezXdbjw27QcN - bWMwDwsEGOEB8xQgi5dA6D7qkRkR5+y954+TWbekK12oqytRV96fVaC6dW9lZkRGnDgRsVZ+mfth - SJMwl5ETeluMk+13/c3b3/+5d9KRAamMpaS2GYuSGV/o4yEgIm2aNLr2outu5u3E2zGc4niqHb5K - V8Srf+R5b/4ff/J//q9e9U+vu/T5R4cr1vvLZnJRWzbiMI25S7lpSjsps5kcbfsjeu/kiuaJP3bz - T830WMoNGdr2e714B51iOVdj2HLfNDKQWrZAAUApWYOENfva5pdv++xf06zsSLZG0er2ME+JVISN - 2UiFRxEDpm1oKPA8dou1i8vlb3zem3/6lp976rHrxns4DrPD6VjQMGunkaKMMuxkZG5o0lhrvfL+ - 0vIcNNpCpR8jrEkUQdaDt5sj42XPfexL/9s3/g8X2/cdi5eVLYhgtxBtWM5ODWyoy871qQnYG/C2 - /UyZlDRjCFN8+FO3YmpIyJJDCFACmIxhzMbGj+Ruq2T1AMFAMLASAFNSQBlKtpzVK1gBZRIeFuHe - 99z+jlPh+DwOJWE0nB74wfedsEH3rCjaPUEAhApHgpIsMMOhl153c5vXg6ZA0QQqxsZERAgicr6W - NhgYygbUOrsFAAxYtlk8NNPDNz3jpdgJDQFSZxH7ei+0WBFIbGOPha2N7/vkX9xx/BO6tiNBjBj3 - mTQwGx/MGbd7MESkqhsbG6WUU6dO1Rt4TdOcPHnyhhtueMtb3lKL2kQIgc75FkidhA/D0DRNCIGZ - Syn1/g0zE1EIwcxK2Xca3DnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc8459w/KbqR2e3ubiCaTSf3JOI45L4vLZtb3/WQyAWCrCFBVP3LdzKAZVJB3 - fu+3fqONpKrx/PWS3AF0v1SDrLJ0BJtweeu//k2UBVRUBAARnUNXouaZc86qCkBE+r6vn/nv/gGq - b72qNk0DYBzH3az7fomIqqqqmbVtW7+plYf6fX3Y3W/Oi5xzHU5jjCJS9wg9tzbVfR3YOppzzjnn - 3AVKQZlQyMAaSVqzZGBhGBWQsElUJYORliBDs7OZvvFv3/V/febujy3i5hhtXhA7VgAWYZEMq7wh - 9p5J1WIfA7yqdytBqbYBobz8FTawWtkcZ+PGj7zgn9zyrDeFe49049FZc3SxWBjyoWmapFj6gRGa - dtYP+dE1RaylQFWgTtbXJjMoH54d3bm3n2K9WUyuXHvaD1z/xmuvvPGS9tIZTWUOJuNkRmpUm5PL - RLqxGi9r3DXFDqzWNlAvd5jBTLbHTUVe/fCgOf2SdtvDbAgUZ5O12itnBgwiUi/xXMg4cmslTibT - tks7i/k4jrOundF0bbzo4vz4//5Nv3JkuOwIrweR2CAb5EHqoWdWRdn4LN3T+625+kcDNGoJ6NP2 - Cdz9h+/93aHbOjnMQzsFNFghY9ZVxJoKoI9gvXs5aizDoqrT6dTMzMAMMxCdSzqRHuQ3IkUTRGpm - k3UoEULgpPJQA+EPg71vZC2wLrEttwE2Xl3t1EKjTOb32Nff+u7f/dyJT9FROSVbg0hKnJg4woJk - K6IWDLGOHXb6qerKXz183erqWFSMoIxRS4zY4MOTzUM/88pfeMbGjd3OYSzaeb8YKU9ns0kzKTvC - mduYFuPikVlHDxM2bqSxQdcOr/U2TLpujY9cNDzxp1723z3l2NPTwMmoSRA1QxaG7rPcqqR9GScb - 3eZ8nE2YlJSwHeb38Nf/08ff9rG73rvgTUE2DU2ajmMfHvDh7f5t3QOMVdGF9bIZn3XljY/rnnBR - f+lj6SnPXHvBL/3Q//Gzr/xfb37SGy8ZH9/cO10fp+tI1C9IuYCUiRrmhsyKFkNh6sNGOLzBF1/a - XXnLTW+Y2lFSyvl7vXwXDLa6swOoMWaImUEtJs5hflK+/ZHP3XrP/O7QBDGUYCVKCdCoZAigJiZm - LopigEbZCtNh48lr1/ziG/6X51/5yvX50XYxmUirQ8lZYtPt5NzLgESTWdPEqItMc57xOu1n0yXT - jjFpLTUwzjn0aEqKlozCJk+3D30fnvqLP/IrTzx03RG+rEMXCcDuvFSXXyCA6i7D9xvv970fKRob - Q//5b3z63uEeTaVohloTYh1Fdx9OSfdXBT9HCjKQkGG1jARACTXaTcZBmSyQRTY2QglaJuMHPvVn - f3/ik2MzWIIRzAACUT0GxNV6Ut6NeUN3TwfYEBREKEURQMRtmV3z+Oc8+bJraDtySQGsWUgpMEMp - IESKfD7mprQ7W6Biq+MWAWSwoh13ZTtce/X3X7b2uBlmwzBSjap/1+qki6IqpJs122Wxwyf+/ON/ - eHe+Y4hFKAYNQesRU1dHYXchUVVm3t7eXl9fb5pGVbWMDJ1MOpHyohe88P/8F/+ySWQKFYsPfAD+ - Do8/m83qHZSU0jiO9Y5OLXYDYGYAwzCo6nm8heOcc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHv0KaWklIZhIKK1tTUANV7b931Ky/J2/Qh0Zl4s - Fvf7CP1VRYFT5ACEkpEXH37fX975hc8wYRge4BltT9BGz8g/uwuUESst39lg5SMfeM/nbns/LHdt - ABBTCwD770OpainFzGqzmYi6rjuHBLh7dDCzWnMfx9HMuq4rpZRSzuFxuq6LMdbtahgGMxvHsVbM - 6oi3s7OzWCzqU5zHRagPXgPhRERE9ScP9WEf+kM455xzzrldDANpLWqTBTI24hJUWJQF0GAIBoZJ - yEOzM+9O/smH/t3n77190Zwa41wIs7Uw39SW25pVvk/akBRQWtVw92aDFTDSZbd7T2M4aGzG7tLm - ih958Y+/4Mkvo+NN269P6FDpJTWdsS2GfhzHFBoGcs7MfOGfa9fAoe02j2ssMLVRTBdjb2abp7YP - rx9mBB5TOR4O47I3vPgnn3bptbQVLz20If1YstSoZq0tssFIhSAMI2hNKtLp6xJKIGNSMoOS9nku - NCoEe65iHBBnvLtc44sxNG07M601OwJYRInoQt8exjGnlMah9EPpptPUxj7PmUx39Bhd+hi96r98 - zc+vj4d1CzG0+fwtKzPVs0RVq91rZmKGiGmAJv12/83P3P3x2++8dQgLigQwGwdjAsiUoErfiwtf - RvVSHRm37cSMzEC0N92975e0W++u6dY6OhERxALFLnVsxERNjAfwkk29EGmkdWit2BCMVx3W3Qat - Kouuzb+Vv/Knf/1HXzz1+VPhxD3jPXFCbcsyqmWDgWqTNYAolALSyMZkywzq6SclKEFYhFVCEYYy - DCBDWyaTncM/9qJ/cvX6Nc3mbC0eiTFxk4R1MWYR61LHgJTSNc33Zq2dJ2TcIEYLO+OCulhE8nY+ - NB6+srnq9c9980V0pW1HFgCgYMpQ4v2mYdu1cHJzZ20CLSqFOKQSJK7jhN79tnf/m69sfS4c0lPb - J5qmiTESnT6sXIjIEInH7fFIvOiQXPyqZ//wtRc//ydf9nO/8Ib/6akbN14erl4fjs7yoc66xkIw - I1Yly6YZY7GxaBYRRmhjk5iC0XBy3pXpDU99wRpdFLQ7H1eoHs3qng5AeTlJWzaeAU5BVVQRJ2EH - p75y8o6PfPpWaUvfzyctimIsiC1yMWYOIBlGJkkBDcUwTmdy0Q1XPv+nb/m5S+NVG3bZJK+1OU1C - 24YWwDiW2HQUopiWMqqNdQjaN9JR5mPeyToolXrhHarR6FC7Hse2GWazfPTNr/rp51z1wnZ7rR0m - YbW7GMO4KKuRKaDEq3WCOvphmV7exzZkBGEdsJB2uPNbdyxk0bSRiKAPPO0io/3XwffHqBgplu81 - Y09PmoGgHDQE5dqZFi5Dt/hGf+dHvvCBk/rNEYvYNqqIvGq60+kvkCqt6uakIK1bERnIwIwsMEik - uGbHvv8pL27HaUfTaDGGBsbMHDiJyO6V6/NitT2rhKJcCGAjNubY9P241hxaCxvXPfHGMHYBKcR9 - p5ebBmI6jsOQ+9Syxfy5b33iY3fe2jeLwkrGUQIdtEm2+64RUb27PJ/PVbXeaAkh5JxjjKWUm2++ - +dd+7deYQQR9kP367I+/s7NTK91VfQpVNbOmaeru0Lat6gV8ZHfOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzj0CYoy7n3MOIOdcy7g1kFx/WIu2 - 9XPRH0wp2kQEIEAx3/wPb/29RBrPISHhLhxnViIIICBY+e1/9esULJHmIRPzqiux/6dgNjNbNb+Z - Oed89k3RPYqFEGoSAgAz932PVQx7v4ZhKKWISP31cRybpqmxCQA556ZpUkpbW1vns4dCVIdT7Nm2 - bf9J+zN5W8k555w7QHaP7vW/zLxKpboLCNVEIWkkYyMoaQm5hIyAUkrU2FJryHM9Naxv/+Wn33Xr - F999Kn1raHYkIQBlR2Yx0mirvN8qHgsAYKD2vHlPZUxJjTUDCMgKJajAFAlNkulkfvSHbvqJ5139 - kridJjJpEUq/aFLKKkIRsbUQ1cjMCIX4wKVz94sgIGEUqhlqMMBGNIpyJApQlNRxLgvRPgaOJU7G - jcP58je++L+44bHPLcdlEkJgAAjMEFjRGJmbUAJGgiwbcMq2fA9O76JqIVAItLlzXKnEJunBXZ1K - UDawYRmDF5q2a2RsSmZkZpyC4OAuwHdHNaiwghujJEqFVGNvoU+ksefZ4vATJs/4kRf91CG7VHsO - BCgCcSCGYhwRYxDBbvjPaJlc/Y52T9hqqnl5AqeAIjJ6BdZono6/+2N/8u38zSFkDq2M1FBoiSED - QwATqD3sqeA9j78bTzUm4q6Z1GsoIqmZzKYAACAASURBVGCGme4tIH6X2Jgf8CCmFEKSUdam6zE2 - JUuNNZ7zYjxcyJRMSY2W3Ug2JiNSYmETa2MDNU485EVOO4vJyT+57W23ffGD2+lU6YomgKmMGoFI - CAZWEGAGM2OKtZJOq7VEttzAhLVgtKjzPDZdLAYQtCDJZF2PvfQJN7/48TcfLhfH0iHRQvtiyhwj - RTMrlC0qEWm5sOcPDCXLoCysg4mFyMyNyXRsn7Jx05te8PPr+WirsWkxmipjMDPazyUOwmASO3Dm - pnQAC4yBIkXSuM33vPUv3/Kpb35kciQVGedDL6ZEdV4mgKoqETVNk3PGMtN7oDE0aF6j1Cy66c7R - 5z72lT/5il98ysZzJ1uXzMqR1DcYlFSJZeRxEfohlhIKQrFYNGSwMUeARAQ05PFUE6yjSIvmede+ - XIcJa3PwV8L3Vl07QtDVXI6MARgygiisLwtZm//nD/9xH3YomRmCIhiIAAumwcCqGhOsSAtKQ2gX - ay+/9nX/6BU/u6Hf18khaGQLAQFZSEoijsQoBAsAC7OwShpLXGTe2dfxxUgljtoVDaIwRggaIWCE - rAIiFaLSHKaLX3X96171tNc1965P9JAMiJFEMBoQdJTeajx3dTBZ1rutjoT7W58UMFpfmnzH1z5H - oaiWADIjQAGtW+MjluQ1MiVV0t1JQv1vfZeDxqAhlBA0MJhhA/qyvvPHH/7Dbw1f5akAhmyxRGQE - BRuMoFyUi60eU2q520CArRaNDaRoIkQlWLrq6NOedPQa3aGGGgLKKIEiGZdSmJnY1IqesVr2V02/ - z+8hB8lBjAQQ0ggLyqAmlpxTaW56+su6ccOErE4390MEACiCmakoSHXWv/O2P/5W+eoQ52xo0ZZx - TCHENnp9+UJU7y4TETNUCzOLSAqkZayT5x/8wdf883/+v5vhzDssIdR7JA/64HUSvnvfGoDI6ZOa - +j0REVFKqf6zuhWJyO7mtPdXnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc84555xz/5DRCoCara12A8n1A9hrLhekeKBiQgCkLMvNsPLu//f3j3/za0EL - mUWuYTILAapQBdHeatnqZZiS+efzX2CI0CaIiOQxEgJAZbz9I7d+8faPIve1T2iqpgrg7PmF3e1t - b5lbl7+o9Rsz2/0cfvcoVkeb3dSCmdX+197Q9W5/4Ry6Hk3T1KhE/XUza5pGVXcTY7sjYYxxN+99 - v2b83n+D+w6kD2bv49Q+VP3F/b7+B3jkh/4QzjnnnHNuL7ZIFgAC1KgIZ+VsVIaSZ7P1xWIQkdAR - H9KPfvGD7/7En867zb5djFENIEPU+qXBlABFVCzTt2TY7RrWzCGMa7fbSIkgBo7oUoBiQjNdUFxM - Xve8H3vqsWdPxo00NtEoEpFBRNjYwEIQhrAqKZsG3W9Z76BRUCEUkDJWpWWjug6VIKwSipIoZ1AB - 9NBkPW/pJB+dzI+97gU/fvXRp6ahTQWSYapd18AwjEVUBwU3YW+UsbYV61dAANjMiuY+b2nIZnJe - puznGxuBsTfduOw/MkeACSCtGdoL/jqLEoxUCUYEYyUAaqRKhYNFQsrxkB59wsYzb372D/NO19qU - lNlYsxIhBQA4v+9hMFIBRx6ljO18m+553+3vGpr59jh0k0NWMvJ81rZSRgr13XhE1Yoq15g0M1k9 - 88S5XUvh1ZBF9X+EveNLfSIGQw/gbrKkp3vty3I3QGyxoZYMbeq2tjabLmzOT7TrIbf9n374bZ+9 - 9/ZF2pQmFxSFKcAMBoIiCoIiKMiWwxHu2+1ePimBAgTgiG5KJzbHSReGBQ6ltbQ1feLa01/7vDem - +TTk1IT21NbJ0EUAu9VkJVUy4Mw86wVGSZUHo2JgIzZSkDIklbg+Xvz0Yze85Bmv6fpD1iNnxK6l - szQ8z4qMYYGM2Jhr7jfAJuWectd//OC//9Z417beOznUInAupV5IYuZ60afv+7Ztz+diP5zIQNAo - SCWkPG3HWZMnUdqgDVlkS7BgYCPUuUH9pWW/fndwgFEUCzkygoZUZldcdHXimWn0dPfZ1Ua1kgor - qA58DLCiDLqIk6iN/N1dH7/z5GfHuMg6phRNYAVcApdEGonI2AIjCsIiHcHFr/r+H37Fta8NJ2cz - PczSsDFpJCM2YgOgIAWpEhRhNetT4UF4UN5HlVaBwlyIhaBgWIQFWGNIxTCUnNomIcgpuaK58sVX - v/KlT3112Qxr7RoJA2haFNNmkrINRti7qfCeye2+qMKi9bbzzZPfEBYzFc1YDdpaw+Sk9khd+lzO - N+/7GgEQAhuTBLKQQjOf72gQdMNtX/7gXfMvzGmudedSC0YtdaSA1SOmKqlx0TrVBBuBVgcLozpV - Q4xBM7oQaIHrr7rpkF48iTMr8nDvj2QwUmUVLsbjcuKNOKpYABGhhA2+6LonPq+1DnLGDb19WM4S - Rra+Wbz7o+/I3aboQEaRk5ktFguEh/Dw7oCpd0HqPZ7Xve51/+yf/W8iiAlYFbtDIBGr358bEQkh - 1NO0ruuIyMzq8zIzM5uZqt7vjo5zzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc86dqYZySykhhL1Vb5xRjrFVjCgtUzjy1n/zW7OEaUuLxWBmMVLu - tW1BwLlmWNyBk7MOg4YQDh1qhr5Es5bKb/+rX4eV/b7J9YP0azQHQNM0AGqnOcbIzLuF5gPZTXPn - U6101ze6JhhSSnXDOC/GcWzbtj5F3a7GceQHH5hKKaoqIk3TEBEzd11Xa+JEVCMUu1353f73mWoF - vI6o9SfMPAzDQ18iH1Odc845584rY1iCNQCMRMNgPBiPyhJCKKJNN8lctrH5pROf+YO/+p3j8RuL - NJRVu5aAYIhWAkrACCjAhghrYEyrXPQZeTpVghi6LuQBZcSUZrSIMz38ome84sVP+YHLmyfwIkFJ - yYQyMwVQjfIKo3ApoRgpGbNe8PNDI91NJzLAxnXSqwRb/a1xNhIjARURYQkpNxt80cXhcf/olf/1 - Ib28GaaHmpR7DMM4mTCAIVtsUl9UV+nEB3hqMzMUKzv9NliL6SOfXj43dQUlTqRGCKevvVwYL/9s - pEZSYQAYAgAWDdGoKI1miyD2mOlVz3/aLU85dm3aniTpAkUoBYQQSIs+0GUEPrczKTZwTXhqopgy - yTaOf/QL7/nCNz9hXcrGIpkhTAKoGSnokUzhrjqyywXmGg9/yGfTZMtBa+/QxQYyZoTECUCoa/nc - 8q0PIwXVALZaXStGZLWWyuNYFNKtNaeG491RXsStv7nj1vd/7i+/Pt6lk0xspByUVVVX3dGgSIWD - RDZWXuZ76zORoQa8a6J1LBobGnNRsy6h35ZZSjjVPG123Y/d9JMpT1PsLNpWPrV+eD3njPrOLdcf - AxA2YcP9O7IXEiPJcZCQWVMsiSgbD0IAQjfyMTl2y/U/+qTDN0xtLSiknMvGEwyMmqlWAGQIBjIQ - oVfp086dW5/5/ff+P/nQiQV2Bs1NGznAILkMu/Xu05ca67ax3EIOnFX9FxYGCwtwbzxoWAgPwqOw - qjVmE+jErDVE0hikjaWN0kTlRpBMg4INRgoGUYBElsmVlz5hmqbQC3hjewTQckICpeWAwLb8iUCo - wYLmQzP/wCffs2n3CvcUIAYVTmg6mgQjAtRyESNFKu0Ru+LV17/p5U9/7RG79Fh7sfaFV4OVISpB - yZSz8qA8AGZgrXNMwDgb5zPu0ZyNIaq1Qq1ZawiGoGgMSdAIRW6TyAgZZ9R14+yK9uqbr/2hpz3m - euy0NKYuUBnQtVgM+XRtt+5rqx33HIZ/VTAj0/CNE18dZSEkZgaokiqVPateYcwP/165+84CylC2 - 1VyUeRxLsBhjM479ZNb02F6kk3/9mXd9bfHlTDBKDAQTVomgOue3euBYjeG73/PqYLHCUhSCUNLF - k0uvedyzm7zOwsUe4M3VPW/53tnyuY1aRstFVtJ6PkHKMBaGBQI0KE9t41lX3dDoFOP+3gLa3Txo - de4DCGEI+SNfeO8d93wcbclSUmxVQMzwWz6PBgroOI4xxq6JKZCW8fChtTf/+Jt+6Zd+oRSkhkQN - tLz5J2LncNipd3GISETqHaNhGOo9pJ2dnfpXtQfPzHV+5ZxzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeeccw+oJpN3wyUisgwn2/L/7tsZUwXAMKDU - v5D8n9/+thNf//t+e2c2aSOTGWLinBECpIAeDfEoh2nHzCyG7e3SBjQkn/zIB+786F8DAsD2kw8x - MxGpFWQAtYtcShnHEavmsarWLdM96pVS6nutqqpKRGdJYp+DGsyuTzGOY9M0Z0loE1EtPozjaGZm - 1vf93he5trZGROM4ppR2t+EHfBxVZebdoZWI2rZ96ItzEINSzjnnnHMXMiZjNjIy41Lj0GTGRqSU - pViHeZp/ZefLb/1P/3o7fDus5xKKrM5x2UC2NwusBgBBqdZA93a72WrGbxXeaxosdmTWMHrmvpvp - sadc8qxbnv/6NTkS5olKCCEZoVghNl6dVhsVYVMSJVsGiQ9kc/RcrAq7e+rdqmRKplTLjmqE7fnW - oUNreRixoHZcu2xy9Q+/5B8fssfwPK63LCMMmtpoihCSSF37DNwvnYj6EyKY6bxsC2VdntUezPW5 - DGaS1QsygHFKTf07NhDC9/DFnVdMBlBhGusfFQkWEKjYSKxahEY+yhf/wA0/fBFdkXLDxpEiGZOS - iIXz2oMkJQblUVJKYhgw9PHE+2//i9z2W2XboiKgX4xtbIutctGPGFtu2GQE4xRjPWvlc9+Ez2ic - ry7p1fNkADFGUqODHN28X/3aIhmVUmKTBh16WzRH6KTcc+eJz/7ZrX+0TSe0yxZFpDBR5ACCnW7T - MhuTMRkrYKsu+H2atbbsKxNbKUDBWmpaCe04W9djr77+9U8+8kzdoSGPwhq7Zhhy5Lq3LjusbICR - 7fPK2gGkBCEIgQ1hVZZVUgCUrS1pvVx0y/N+9JLuqinWZS5hn1vqMg2rMC5KAhivVpgIKJFw4fXy - qbs/+h8/9La+2xpDn5FVNYQAoJRS691nuZRz0BTWEopSVhKjOqdgIzMSIwGobpxsICgDQWPQyBaC - RjawKkGVUEyNqCipULJ2FtcOzzZYHjWzh4cLraLLSnX3rz9hM6OW5mH7U3fdfsc9n8UsayzGJmZq - lKghJahFQiI0BOykdbnk1Te+6cVPe820P4ytoAtJvPeorUbLNrORGplRURIjAy274WRnjM9nf/EA - EEgTLMEaRTIEYRipUmGGaQkaEjrZAc3DZWuPe/Xz33AkXt4MEx54ltDvIEWYmRHud3Rg4ByGq8Bg - hgaZ5/nJrZMhhNVlU637tu6tUz/MlOodrz2rlGpHHGYAByWYiUXLzSjT4W/v/PiXjn9mTttIwRAZ - gBqZqhYYK+LydKBO0OqD0970NsPYCEpaik2ajrbDs69+ztF0SSopj8Ih3P/gdb7VMQQAA4RC9Vo8 - gZizCICEGCVdvnHlYw49tpEp7XOEqEP06cm2sRCXIGO39d7b37FNx/swN1IR6SaNqCeWHyW6rhvH - cRiGeiNkZ2cnpfTzv/Df/Nw//Zmcl5PSxWIAECOfwwRVVZumMbN6KE8p1Z8T0Ww2q3cTzWwymez9 - W+ecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnn - nHtAIiIiALquw6px+0AUABGyQIBl6URGnLznT/7g33UBphoYkksgaCmRoOr15UeJnK1+uj4RTdsY - yvz33vJ/QweY1hDFd/lO120MQNM0AJjZzBaLRYyxaZqcc/0c/hACEeXsHYdHP2au5YZSSkqp67rz - 2FGqj1w3MyKq29jZE9rjOOaczaxtWzObTqcAiGgymRDR9vZ23UTPniozsxijqtYUfc3V12H2oS7R - Q38I55xzzjm3i6wmtaFchEWpAAiaYmlMDFG2+PiJcPcfvO+t39Sv8YZsDj0DQZeRaayajvWrFgeV - jPcE/LCMPi6/N1r1fRUBoDFMsXY0XLwhx/7xq39mstjAQBDE0MTYaG3yEooWQAiFIFxPxq0G8i74 - YPMybGhcy5T3K/+t0ue1GckKpC72Oucg0NLaGjYnz3n8S1709FfTZrvOhyctduZQUEpxmC8mKZ7Z - 7a4ZTDMjCkQEpiz9kBcXUDa39mub0AK0bCqTWr2Yc4CTyt8RG0dJUZloARoAUmthUYnBLBBKkQLK - OKdBr3/s8298wstiafNQGIGNoUQKIrL7n3npOb+5AgMToVjJTNAAmpXPfP32T3/9Y7Qx5ijGSYUI - DX2vTtZWcc3ACcZmRAQznFtcmw2nzzp3H4GWf8VACnFZUKaDeb1v+UaTERmxcR3mOQYjsUbn2Dpl - 927HE7/7jt/a4nutE7AVGUsZ2XQ5qgagDj7EQgzAqLZOAdLl17L8yrAI4yaFPKCLmAbkrXK0ucg2 - 4wuf/vJnXn59PoW1tfVBc5wkEcnzsaEWgJIus9YWg0bgjOj4BccI1sAiQQmFLMACoKAcAkVOulUe - f+Tqlzzrh+LmxuGwnoqQ7WeRDUFABiNoGBmFoLV5jABRM6a5zO1Ifu+n3/FXn3mXbfSj9SIlxjCZ - dGoll2EVDuU9X/XBGQesZG2kOeQxDiWMSjCdqh4ymxlakBJGRg4YA4ZkOVkOVshAxqSRjILVtLkZ - aUYo3KomWErcal8uPXIsHNid+EBSQEmDIhhgYbC8SJt/8Tfv1EkeMCKooHAiBBbQULJajsGiUJen - h+2Kl133o89/8g9Oh0t0OyVNpiUGIgtkgaCgrJSVVIgFUYiE1XgEDQQh41imoayx7mPKx4ZGNAqT - NbBWKRaGcNawIMx17Kc8aWlW5kjcTafTxU7/lMdc++rnvD7sdIfjYZkjANMmkBop3y/dDdRhcH9D - VgDMQMGQ7J5772FGncAYmZ0R7d5vN3rfjHYHATKmuiy13g2LTQOmvvQ0pVPjPTvxxF99/J09tkMD - MC9vnBE0oFCWZQ6bScF1BnvGnDMoB4OSCsEYKbRTOXL9E29KOVBRooDAhr359vt/3W/l7Hf9GFjB - SszKdTNmqPHqYQWMEIyCxsOTY8+66jlNni4PTN81Nt4tlytBWAE20rhmn/7K395+121Y7zMtQJpF - 5EHvProLjJmYSQjEDJE8mbQ5D8z8y7/8yz/xEz8GgAjEIIaqPsjbzme538HM4zgys4i0bVtvFqoq - gBBC0zR93zMzEalq/blzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzzjnnnHPOOeecc849ICIiohqjPX78+O4HoS8TPYS9H5++KozBOFJoQQxT2OLtv/87ebGVF9tl - HALTMAxNE4tYEy/kapTbw6SYQYy6LozzrY996AOf/dB7YQOgBhgY9F0FHfq+77qOiMZxBFA3vBjj - bsAbQC03j+OYUno4l8l975lZCEFVzaxpGlXt+/7sVex9UVVmHoYBq6xDjHGxWJzl3zdNUzfLzc1N - APP5vGmac9g+dwPk9TXUJX3oS3SwUlLOOeecc48KBpiQCqsRk8VY2ihp0naLspNn87d/8D98aevz - fbc1p7kFkIGgbCCraToIQQjCUIZyAcoy8ojlv8Gq0qp72ntksIxQYiszbMWffsPPro1Hm2EtUgqR - zEyKmhmYla1QNlJAg3L9Arg+u13IJ91KMGIjVrpPSJWgDAVA9TJEDZhbABjJhrJtSUNKw/ZwbHKR - HI8vufaWZz/xhVt3F5SubWgYcoxMYmnP/HnvejICGdcTDyIzk+3FNhEd1Pm2rrYoZehu7DaltkaU - HzXIkBRJOCgrQYiNYEQwVgEsAAghMKHRGHfaF17z0kuPXi5ZoCDjgBA5svH5KkIq1Za1tg0PfY4R - IGyP89IO77v9HeP0ZE7aK2JaK5mY4yP6Xtwn28kA1/NVq+3uh+Z+v1+Hu/rI9fJNfQozOYDB+1ov - BrD3amYRCV1cyDav6djO3/rO3zlB31y021lEBEQUonFQMoEggGAAWAjCWoIqLave98nA231HLUUE - ooWU43iiPPWKa15w3UuDtJO0Pt8eZpMZCoZhnM1mpRS25ePoKn9LRmTMB6wevS9sTJbI0p4/1guF - qizDsFibHNatcOOTnv/sx9/IC/BoQfe5vBZZeXlcoAIUpdpQRxakFBSyNW7Gw/TOj779E1+9rV3n - lELf9/W6jIjUi+Dnd8EfJorl8RH1UGgpaEuayLCaeijb7kGLlVTCKGE0goENVOu5QlBmY9ZARBTB - 0ufLNi5treFH0eHj4bO8F8JmBIKyMsAW8eVv3/Glez8rcTTGWJRIAVHKBSKE2AQyKzvW5tmLn3XL - i57+6m48IjthmqYphEnbDMMACGCA1mHEEGEBCKuJkC3fYg2kLVna1xSFDMEsWQmmZEqmIAGNoEys - gaTkwUS6piWEPpdEnZ1qvv9JL3rWVTfQgmUbR6bUz2W5txjtPejo/rvddUoMgzFxpOPHv20EMzMI - SG35JFqnOI/QLmp1he9SQI2gwFgyYkAbT/Ynuovbv/n8h762+fcWCxiixUTMYAAllAjlYqSkMWgM - it18NQH1YKLgOlszgjI4Uu7x2GNXXz69ko1MC6dYVPRhXmwhwJiMWZlWh7M6H46cIkUtYoIudE+9 - 8plxnJLtLxVPyzMeKKEwjMAoBJ2PC5voX//de7b4Hgk5NHHeL0JqHu7ldY+MeqvPzJgZQCnFzFRL - SulXfuVX3vzmN4nADCmxKs55fl4P3MMw1Ns29bnqkb0+u4jsvgbnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555x7QCJSP9U85zyZTGKMMcZaugUA - 42UvgVb/JRhYjVUBMw5Mlhff+tofvu3fX3LRoTIOTeQmRRO181VLcgdA0yRVI6JxNDb5vd/+TchI - KAQADApAzZx9h/DCZDLp+97MalUq51wb3kQ0mUyIaHt7uxaOL5SYjnso6rvMzKpav9/tL5wvIhJj - 7Pu+Pmzd0h7sH9d/w8zjONZi9zAMpZQYY9M0Oee6cYYQiCjnfJbnbZoGQN3UVbWUIiIPfXE8QeGc - c845d16REhRUlEUIhgBtY5lEaXWUZoK//Oif/d03/maYnjyli2yYNAiGqGBTAIaoiAqu9VAlgBRc - QGMNLlZn1rUZIAMLDqVDtoPXvvSHrlx//LoeS7lTIHNRVStWM66FiiURFgBRQyptlJYtCmsJeW8O - /EJkiIa4m4TcDZGSgWFcy6XGbA1rYuN+7JtZk60Ula5rF5vzjXRoww6//IbXX7L+JOnb2KxRgNg4 - S2xDvl+atEbAl88FUq31QtmZbzHzhXIVg6FkHEICQEQXysv+jhjairQClhl0JoQSipGAVAsTWhFV - 1YAU0eTtcsnhS559zfXTdnr6EZjN7DxeTBCYBQUrEQIClAqCdvbFb3/8U3fdmkMRi8ZTRcNWq9GP - 8P643HFIjTnu/vS8bBF7d5aaOyZDpPqMdgCj3QB209erDPbyj0IyaJ95LE1+94f+/Ev3fG6nPZXT - gi3G0iQOlCBUDBoNlCloBLgEzbGUUIyUgKjLFOv9npOMZZQmwkZgtFmcrYXDr3juqw5PL9GEHkOQ - FPpm3MpH1g4PuadQo/AMqJGUUIRL0Jgk0YWc7ibjVFKQJMSFoaRsmpTZOMvcghSx2WQjLNItL3jN - xc3FE+v2mSpnIVZwFDQCZZQIYRhQFClBoczchG4h863pt//4w79/17e+HGMUkWEY6nWcBxow75Ng - PzjYIsk0lknU2Ai3hduCRkujJWkJpkEZ1qi1gpQp5YCctnPaHmMpzIVZKRbmElAijaQImbho6Sch - HVu7KEq7aqu7B1YHQDJmq7F0YygbIjX9OHzokx/Q2bgoi5BIFRxqiBqazBqSEErm9XT0+qtuesW1 - r23nhzqZBmVQ7iZhvrOIMRoPEhZCUCTWSZAuaBOUlzNLI9YES0A07Km0f5dI2QpbjrYItAi0YBsJ - xgYmSw1n3SnYTjMetN/cGbp0OPTTNJ+95vmvbcbm2FqbF8bLy5irfjfYVneJzpzZfuf1KajF6FLG - vu/NRKQQs5Eqq9KeHfORGAkZIDImIzawGUGNas3aBCZWuMHCtjfLiVs/+T7rshGbgGwIUWBUDBIg - DAnFqLCFIC1rpDOmnTAOysEAQAlGJoNed/Vz4zhlMSIxM5X6t3rmF+oXgFpAP6elVUKdGwUNSTkY - 0+rpmCOUTMDGbKqDPGb9iiuOXsW6v/EhgLjW2YHCKKG+Ws1iYdL+/eYdt33u/RIWREYciX3weZTo - uk5VF4vFMAzdpFEramUymZRS2rb51V/91Ve+8mUActYQzuVmn6rOZrN6TyWlNI6jiKhqjLEe0FNK - IlJv2NS7jM4555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555xz - zjnnnHPOOfeAQgg5Z1WtH36+vb2tuqe/QwAYp8tWMKUQm/p5/CAmsgTEiH/7e7/77W+fTIFMSoxB - VUNgkYOY8nHnIOdiUlIDM/vsp/728x/+IPMqRUf7aNwsFovdOnL9SUqp7/tSSq3nrK2tEdEwDCml - UsrDtDjugDCzGl+oKfeu60TkvCSuq90mREqpPt1isTj7r/R9j1V4G0AIoZRSSqn1BxGpofGz1/Fq - /LtmI+pmTET1jw/RwUpJOeecc85d6AwwghKoRqOVgxEA5byIm5+++xO3feEDW3x8rv1kghAwDA/Q - zFNiXc3TlpNEqj8/nTasEVyj7iHShAAAIABJREFUmn6tGT6axOlwUm56+otvuPqm2HehbxI6VQWD - iCKnEIKZiWYQgZQBNg7KtZ1YE4MP9yp6mDEZ9mYOdc9CUV19q/5uXZepCYthHlJkhtrYxRBy4Hlz - xdrVP3Dj69f42GJz6LpUCjgQ1FhBykooDGHo6XdEiYwEMBPQfBiJjewgrlLC8mXb6hsYm9Eyorxs - Oh64l32u6rIQLAAgU1otWowRFFTB3EBoEjvbxvdf/cKL4vcFaxViJmamCublqRcZgoJXvUw9h9So - GRHljLaFFSG1bjbdKVt5Nv/wp/8qp1EbHmWMkVWEH/6Eet1fdLmnAICyAkpG0cjMlEAP4bRRCYDC - sHfHVIKRGgBwoIg9Z9oHEBuzgW13MDFlAYOiNBv6d3d+5AP/37ttLc+xkzEyM5RUVbVuOQhhebFK - V33yOpKzAnty5suNClBWI2UCEzEjoMNi+pwnv/Dpl19rW6aqYxlms/U86KSdisjupYQ9a9Dqy35E - arUPO7ZldpcNBJAxGWIbkbiUYpmmWDsaLnn5NbesjUejpN3CuhFA9e1bHU+JgVXyth5Ad59ideyu - B/Gm4ZxRigrMzJSxg627+7ve+dG3H8fdYZ2NKRCvyui76/n0pUzC6aHm4GBjssAayOpgWMiMTGu+ - 14jrdqSrrnMN8RpQG+fL5TEGICJKJihSxknbTcI65XSuFeB/OBQAm7IhaD0Wi4RBYn/35jc+/aVP - WjSOPI7WNChluUEZFzEpC4nj5ClHr3ndc390rd84HDbGxUiRlO3k9lZKkxDS6WO3MSwATEa7Ay9Z - 3WIZRqsj4/7Ysvpcs+PGsOW+Y5ZVmiYiYGtnK4Swvr4+DOOEpzM6dDRd8Zwnv4j7hkeEgBACcJ+J - Wj2S7nvTMbAhAEZYlEG4CES1xBBIIysvB91zSIKfOwaYzQgCqt1uNrCIzmaz7WG+oztrl7S3/d37 - v7nztbltA2oKYsTEYCoCM6iCDCClMyYAy8Po7sC+OzCW2OrkGY97ZiudmXHiUkoI6eFfXsPyjavb - Qj2ZUDKUUkQktg1ztIEPh4uf8dhnBQ1s2DtEGy2H5bogbLjPKGogIiXIajwlAxua1G7n7dzN3/OJ - d23ziYVtT7pOR10O9XvC5O5CpKp93x85coSZc84hhBBCvzPngFLGyPwbv/HrL3vJCwCIGvO+d3Ai - 2tnZ2Tvt3L3dUqfopZQYYyklhFBv/zjnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555xzzjnnnHPOOeecc84559wDqtFuZjazpmlSSqq6m1WG4X5tCIMx87Je0TRSCgDJgx6/ - +8//9I+6FERkPl/EJhKB6L5V52Vd5dEQo3k00T1fDyaE0DQJGUHH3/z1fwHLJnn1tu7+3neVWggh - EFENIddyc9d1MUYiyjnXHzZNY2Y15+wexcysbg8Amqap2ezz+L6rqqrWckQtZE0mk7OkwVW167rd - 73dfXv3dGGPtdtd/cJZaWR1XRWS3GVET4A99iXz0dM4555w7n5R4IRrbGQZrhTtjHedoFjvN8a/H - O95+++9/Vb+yzX2QdkYbZYEUT9e+Vy1gDabBlPbEbmvz1QAYEhEyYJxSUoMqUkoqPA7W0uyS7sof - eM5rL8IVqZ+KGNiIQj2xUoiqsnFAImHSAGMlFVYlqbE9Mn4EasEPH7blOmQooEZqpMsaa22R2m5F - V41ESdWIKbKQqTKETCmHVg5tjMdufNxNT7v4qRthY+yLGIxJDEEjGxfGGCC8J6YeslrfGBpLxpPN - nR4oTMMBrLeu4uW86tSyEjPFGnpUKxxUWXc3uQuXEoaIIaqSMCQqojIZ2JQjsoxERMQQIwSFJJle - 1j/p1U97E5dGkmZIjGyjBku1MRmUg3EwZmNlLaz7rIJy/P/Zu7Mgya77zu+///+cc29mVXWjgQZA - rCQ2AuACgqQgitZKiVosjcbLhCWHI8bzIEfYevFE+MXhUGge7XlwhD2WRzMaUetoZI1iQhoHNdLI - pHbuIkWCBAlwE0mJoEgQe3Utee89///fDyczuxrEVoUCUN34faKiI7u7KpfKkydvnhNxv5FQRTIs - kAydoI47KL6ri8987bOP7H3D066U3fAdr0On6VvjnceoRTQ10F4pLboJmMCyo9MSEZLUbP0oDzeY - 2/QSAo2cXA/OaRNqZESVkuYSyT1wIB9+YmiL3QrgUc2myIjs1YecsVe3H97/8rvf/2+ekL9blHNl - ls1gmJAmF0dABAaYu7WGq7TpHckhgZYjrQJbZ2vFQ+uURtMqhgIsDOOYrt2684fv+Ql7RE/F6VK7 - krpxXOQuWVQza2sT7dWq0Uq9oqHL6PKJ+5UeQghczdUUnlwlVEPbK3GYPOcC8ZKyjnoGr3r7q3/4 - 5vlb53YqRo9JJGUtCIGEJs+AhizfArJrdoTAFJAR4tbSsMC6Me/VS4ICIuFpQppSwlQWH3n0T//g - i/9ub3YuNHyqnaQwVc0Cl1imaB0q8BSWwk7Y/O+QKcRc1ERqspomUzdVQzEUF3exENf2NuqarUvW - S6igNZs9BbKjmHahCRIRed4tFuPpjculZrkkavEvkhB3iVAvIZ2hH1GqTTrs9efObT7y/vvegyLh - ObwkQETCYRNKKsNi6tU2an9duvEf/cBPX7m4fmuYp4Wn7K42QiXPERo1xItG0VCFQ6b2dIf46hCo - 5eodYsuvw4zPAEy1qpqIQ0Ja8F0ACaRAssiBklKOiLApaQQWtm+n44bvev1/1tdT4imQKiS0AhVS - AXjkWK9MHub9VAMFsAmeBLP05LRd01SyYhTxmXivcEFNAQ0NcdNjWEJ99ntkKAEV1IQRcIQakiNl - 7afRulmZdPFI/dr773vvUM5J8QjLihCM0+TiJUEN3eo9InSyNJh6rJLVahAHwkPdcliSZLMyzTf8 - 9KuvuOlV82t0SqLdnk0l97rsiD/NF2J9MLz8cBGHf7Nox9sKjzakJDkSQjUgYSVJiI/TFIE+bdXH - 9dvveHsyUZGoWb2DigORsocgVEPbYNJQRG4fizxSePLVkbYG1LN4FrjrMPX735y+/pG/ed9evx1W - e88tee4SLrE6nFi+g7fpy8Vd4BfuLdIJlHNeLBaqilA3CJKqRp1KVngNr7/4C//i7W/7NgmoBAS5 - oG2pSFKoAEg5r57lg18A0LZk2p9t43C9r7PemFHVdnm94whgGIZ2of0UERERERERERERERERERER - ERERERERERERERERERERERERERERERERERERERERUTvbubuvT3u+PMO5CICUBO0c+QGItADZNC4Q - E2LyYRfwqbWhhif/7S/+X7kuxKPM5pNjmCKraJiGSbi2sBkA1rtPgBZGwIGoXPvyC79BVlluSTLV - Kdvu5z/xwS995C+QvA0KBQBHTMAz5pCfYpqmdZa7NZXXLeTWOZaV43u49PJ7SjC7/fVgZGEcx5QS - gKMlrttVtQZ8u9yyDqp6cFwBaLfytFT14OX13WsZ72ma2jdEhKq2sPf6ptutrK+8jeqWhyilRMSx - DGlOnURERETHLPVlf1hkyeoSU53Nyy4eH0+f+/2P/O6Xnvj8mIfSp5RSmKUA/PzHWgDAKjl3oHHb - 2sm+zHuj1tiYzRC2tzeUglIwLqYk3ZnuCn8y/9c/9t9uxeXjdmTvu1ymaWh13oP38Hy974Jin2tL - O17kNFzDW5wbyxjr01r+r4RIpPaDwLLEnFx1yFu29c57fuS0X9HXjS5hqqZFFZBVPhY4mFePWAbj - YOH7dfCYWpnyRFk+xSGximQ6JKBP28yMQ4U0T55oGWrBwRG+GuTLAXCwYZk8zfYve/01b77y1KvE - JWWM0zCbbcSBQPe6TXuEJK8G2kcwXw1LCQAe6uhiXxaf/utP1bJwWWgXXS4++Yv9kW35wMTPZ7PF - 212VEJx/+RzxboS4S3uZXHANq+x9m4j0kAX0l46755zDRZDm8/n+/m6to/a6bY+nM/W3//DXz+Gx - dMomDONYS8mQev43GUAsp24XB85P7G3SCIFDVwthWM8qAFJCHWOz9Jvpiu980w9sxZWn0+XZSgtQ - t7v2tHf4/Nx+kXe7Aayn8fYb0/P/pDlnCZhZnSaJjEU6k6/7oW//sTxu9GmjpM7dh4oIiMdqVcXR - 6p0HXvLt333V7W7D/YL3X8BXrw7TcZxv/8X97/3So59dpHNWRHOZpgnwZRRZ2iv8JP/afXlvoW2E - HOg6H0g7wzVcA+qp1evbz2r4stLsKgFAQhARgtSneUJR5xLTs2m/rghJngtmKsVLDP3i7xZffuCb - 927bo57HxTRAJackgpS7abJeseH91nT6H7zjJ7u9M5tyNluRwOpQByHqAnFF5NXYvuAo6ELP8l/P - df+XXxpY5Z8PfJ3/R6CNFsSUUyq2ecXs+jfc+latXU4z95YPr+s7337kCMefAoggxE29ojoMy/xz - bsd1gGuohPpLNBlGyHrqaPOGAnkaDeIuFbPpU1/8yyfqQ4PvezJfTfjrC+JoE4kEXKpLbbVpAOpQ - lVV/2CvCEBKSPOsive7Vb8zW9TpzAUQjQjxe7EP68yNwOYGs08gGuCpEBKEp8kzmW/mys5tXZu9T - yqsVfJiZqrbAdruKCw8VtD12Xx5vKyJr5FonzTHosCh7f/nZD01lt8YC/hzbSBeOAM5UF5+uzxIm - ErOSVfVf/vz//W3f9qZaUYqs931SSqoqqnakraC2N5NzVtXZbLazswOg6zoA+/v7fd8DmKaplMJ6 - NxEREREREREREREREREREREREREREREREREREREREREREREREREREREREREREWHVlG11mxbtVlV3 - b4VaM0MgzHPOEYFletYBR6yqDe2KvD75zQff+x9/v2RVRa3oe2nnRVe4HKhCn+QmyiuWP0N3ad0h - miYvgoL6K//y5+AjpgFAVwTLdJSjRb6fq9/U6sWqWmuNiFY7bolluoSZWWu07+/vr/8KQERms1m7 - kFJ6St77+eu6rrWx27gSkYhoE9qxaPNhrbVdZwvPq6qZbW5utgE8TZOImFnOeR3wVtWUUvuvZ0mG - H+KevPCrICIiIqIDPGISNSQVlK7MFra/2Nx73wN/cu+XP77wnR6aApPs78eeZOTDXruoe7JAkigZ - Knka4SO2UqfnZt/9uh+847o3zfS0wyRLRGQtL8qjvFRoIHlOrhA3DZNkopam0CFs0Um5/do33XPb - 96S9fkM2pykkhUuFOEIloIG0bA0iAiFwhatVWZwbnqhiIXJim8T0tMzsqrPXvP6Wu3xPEnI1hIj7 - ct0pZJ2y9tacPtSVuyxT1gpIHEw1O4AIu++zH1vYkzUMAFT8mbrz9BJxST7ZAioiqS6Gzb5PqQwY - 7LK99z3wns/83f3nfC9EzEIV63HyPEloCk2uiOVIkEAxzY5QhKPs9Xdc9bo33/FWdcla6pFSlBe1 - ZYBcHOIHU8PuHhHryqa7e7VbXnP7G17zFt3vRcTCU6DLEhqjLZbd7nAJmKAFpo+wGlKr743n3v1n - v7XY2H6y7nguUAtMQAu0V8jU1rhNxGQdHL/UtDWydqGt+Pf9XOQYlqgubaESSB5q6A191W5Q2dPF - x77wod38qJ8+N+TtzctRujj3RJ2lnLLXybewlZ6cf+9dP/T6G9+yNbu8GqqiKlxaphoSDnio4yUK - VD9fESEBm+qsm9915z0aGxICc4FD2oq/ywt4l4vWhg5TCXcACkkeAVQgELpuP78ki5+uqIIayI4M - MYVpqIYmCaCi8726/cnPfnTyXWgc9vXigoCuNtIgcMBDTERkSm987V3uIWm5AycReCG/2RemLaOr - apso2l2aldlrrn2t1k5EDFNIqAI1kqjCQ+ACf2rAfTmeFcs2eNN1xT3MkEp68KEHP/vlB6a0iN4B - ILKGaMjq+fYQDwDLA7b1YdsLGnj0snD3vb29UkqtNaV06tSpX/7lX77rrju9RvsUlpLUcfJqmlD6 - p3zC9ufcQV7vwdRa237SmTNn2jvdesex1lpKGcex/ZWIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIhe4VpTtpVTuq4zs4iIiNbW7fu+fVutdV36eEbD/m/9xr/2cWHj - VDIiAE0BcWgIDhZQTlaa4pVNAVn9ebBRtionaGtPJXiGffKv/vKBD30Aukxwj9OhwwnrWEw7Z34r - JR1L0phOsvYUq+p8Pm8XWrkppTQMAwAzM7N12f2w19+K2u0KZ7NZG1dd1x3X/V/fyTYN1lrd3d1z - zru7u+2GUkqtDdH+d50hbyGJaZqO5Z5cmh0pIiIiopePB0wVCQkq+3Vhc/vKzhf+4C///VB256eK - wK2OoXVST0kMOGycN6UyDIMqSsI01KxpoyDOxWtOvfaH7vn79fEkU8mpk+xea5ezBA/5npGEJtf2 - K3KBiZrC1FynXCQm2BP6fW/+kZsvvx3ntMvJIlpKVgB11UByqEMCLb5bBVUmz3Vn2HbY+XogXSRE - pO7Ve+58+ym5PEcpRYZpFBEJSBsk6i4OLJuph9R+dtmM9PbqF0jA3VPGw09+7RuP/63kOtU6mSNl - xiVfTuImtUZFUkDrUDdmG/vDnmz5V7Yf+IO/erdcAevCzODoSzY7bLob2VRdvVVYpf1LTp4d6NJs - Pl72Xa97xyk5lTy7q1vrj1Jb+9NSinhIaM5drR6jfN9bf3BLrlCPkpFEwhXijoBAgBQOuClMFEB7 - UR+KKnQjvvjwp/7kk//Rt6ZHFo/Ot+a1VhcADjGICRyAyfJWLnYaeNogtKwSwq2hPuv6rFyKfTYO - DYiJOtRDPMQC1azWev/99z/+xKM5qyq2z2Gq2NpCtVqtbnYJ58rrr3nLD77lx2V73mFjsphUTR2A - BDQ8hUPcW6L45BBPggirdYLp9VffevnGtTFCn66ZfPg3U6BtCQgQ0IisJTyJCMRdAlIBIDJCQ454 - /YfkApPwkNWOlbgG1JFznmKMfvHFB+978JtfQh+S1EIOuyRbw2sAWFW5pR1R6Jn52atPXx+eLLB6 - F3K83MNh3Txu6/US6Y4b79Kph7kWaSvcCqhHyyq3I3AAbZuvxbyfsuUnAcBFBAJJqDGmOT5w71/s - 6c6UhxCXUPEsoRIQOGTZsz9YcNf1f9FFRVVLKW1cqWprw7/rXe+66aZXAyglmcXGxgYAq3Uax8Ne - f7vmWmvbva61YrWl1DZsALQ/j3F/iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiC5q62qyqrZcd865nfzczFqwtomIdenjW4kCgb+97+Mf/eCfzyRShI2QpCHq7ack - JPxS6KBcitYhjqc8QasakXcaBfXXf+WX4BVWRVrrbNWuet71inaufjPb3d0F0PLGLbRMl7CIGIZh - PbFgNRLa/7aOe0tfd113tPGwHlqLxWKdbziu+98yEO1+Apimqc2HtdaU0jiOpZT2uCKiTaEtUSEi - ImJm6599gTiFEhERER2zkmUch3A1xw72dvpz7/nkf/i6f3Xo9jxXszGr5x5QeMAOf4QZKqqKwLiA - OzbKfBab/bjxn97zn18Z152WK3UqjnBARGw6tkPYS5W6JE9YRhdh4iE1pGpRTFIWGzfObn3nXT/a - 7W120rvA1V0qAAGSaXKkgAZE4AILVPHI4970pDNjehHKubMFXn3ZbXdcc1eMknMXEUgqgARC3AUh - y962HFj7eN6WvVUJBGAtTimQcEle885nv3KvdBEqFo5nXjKjl4ADk42ppBAHsNGf3ttbTLK/I4/+ - /sd+5+H04ON40rqARgqYWT7kx2sNpEAKDWCVLNUUKqEqWgd986vfdtd1b8WOFM+oknJ/7I/xhPNV - kDiAEA9ZplhLKesFmjqMSXKX+2TdjWduv+e13+ULlFR8kmlhFkg9AGhAA7qMagNHefEid2lnfCKd - 9T+69/fu+/rH5bTv2f56KUpRIRWIELioy7oXe7E6cPcvWFZrC1jLZf0QQHMuOR3PKtUlrMWJTWGp - ulaIpZBS+zNy1Q1bt82Hs/24OQMkkGcYDHXETDbOyqt+/Dt+4mxcP5+uGPYi5VnV5dBqc4jAl6+O - E0ZVs2oSgelWufq2G96ok/Sqx/WycKgoFBD4Rr8lXlw0BJAa4mj/Az3w9SJSQFDbtBIiLkCohiug - qlMM+3j0E1/40C6eNB2r2BGWqh0Rq+ddw8XdpYb5rdfcXsaNjN5DlmFjiHgcYYo7Fm1yaPekrWK7 - u0/pllfduYUravXcqTsC6BRiFXAXD0FAIa7nZ5vlhXbIJEDrbQ/DiIRUMFarafibR770pce+sJ/O - mTogGrr6gkQA4YL1AFh2u5dfdDGZRkta+r5397bBfPbs2auuuupd73rXDTdcM1WDYG9vD0DJBecH - /yGe67aNvd69bvvWIpJSagV6M2tjm1uPRERERERERERERERERERERERERERERERERERERERERERE - REREREREREREREREBKAlS9oJzNuJzdu5zdsZ++fzefu2Vq59lnR3UkVURP3NX/vFImPUfU2o1s63 - rgiRgCAkeKb0EyFEQ9a1mqevYlzwVA3n7vvYhx54/58AFXCVcKDkA+NBnldTo50tP+ecc8aqhdwG - G13CIqLv+xaxTimtYwpt5pmmqe97M1PVcRzXHaXnL+fc5i4A6wttjB3X/W93eBiGNoCxSoO3Ynf7 - sz2uWmvriE/T1CIROL4+PdPdRERERMcsInJKIjKlWs7ifZ95771/+zE97VMaBl+Y1lAXAAFziObD - HpKZDVD1gArmfdp7che7+dtv/543XHtXtz8vY9/LPALVbfl5++TVHE8UDZWAQx0S4qHR8szTNOVc - tnTLnpC7brjnjmvurjuSRQIIQQAIVUBaHbBdE8QUofA07g7bAEKY7774dHmGc+We275Dp2STp5Td - XVeLDC2PKq3X7oeugYYgBOqqri66CjZDRFxq7fY++9X7Fr4nRSQllgFfdiX3ZgZ4hHnI6LZ1bf9n - 9/7BZ77+iXG2b10dYxCRlESOtBDVetLAcnxpAHANTTab2db33f0jW+PprpYU6o6cu2N9cBcxVW2L - I6V0gNRhTNBe52mv/963vHMWW76ARpZUkLB+HQmAFxQ59mojsu/Iuf357h9+9N8Psyf3bFdKxmoi - WLZyQ13Un9+y5sUoIlRzREggq8JcRLqO4/M5tKIwYKIVaRSxTspGbP70T/7jf/jO/+7W+Zvm21de - 7lel3TLuYJ4wc8ST8f1v/ZHXnLkD2/3MNhUZKrGqwguQVm9PJ/DtIszhnlVgqtPm7a+5u3hRb3Fr - rNv2Errs7B5mDnWBI0REAmpx5tQVQA6Hi4cYEKtu90tHAQ13CRcEEtAi5V7DvdhXH//CVx7+DPoY - wx2QdOiDB4iIaothIxCBCGjI615zVxq6hBmgqkkCSSRgL9fx/zrdLSK6kiOfnV13/eU3w1NoW9hG - gaK6LI+rNZ6ySyge4m1S1dVxF4CUYYZpQtmQfd/zfvzIZ983zRamBkBCxLXtGkLcZXnlCNXQVbc7 - gODno4tLSqnW+thjj83nc1WNiO3t7WEYbr755l/7tV+76qrL+z4ByFmnaVLVw06KbZOp7c2UUtrN - Acg5tzHctqAiou3TvBiPkYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiC4u7t5aucMwtDOltxP1t5ju/v4+gK7rhmHAqvP9tMwMCKT4wkc+cN/HPjJPkhVo59b/lt53 - yElMVNAzSbBZil/9hZ+DLZBiHecYaiyDDM87cCMiOedpmlrJ2MwWi0VK7KNd4lTV3YdhWD/XrQyi - qiml9QyzjBUeXq11XR1qMxiAcRyP474vr3McRxHp+74N3dZ9aLGv9uhKKRHRGt7uXmtNKW1tbQFo - VfJjqXczNUFERER0nBTwGl0qLlHL+IXtB97zyXdP5ZxhkgxHRI8JiAHZIM/6kfgZuGSffILmrs8S - 8P141fymd9z9o2WYn8pb0+6gSBolQgzGcvRza+m+yBoqMEFFZESuBtWsLlj4ZXHl97zph07L5Wnq - sExOOlYJ5yZCRJIIXBCY9vZ23AJQBA+5Lybu3qHX3e72a994ZnY2xmUkuDUjW3i7kTj0p6n1j0uo - RA7kdatSER4L091Hdh98ePuhMUbtcg2udL28NOdiZuoO+MJMNuQrT9z/55/6/Tpf7LiXjWIBQwAQ - OUoGtGVEW78WAcBdKgAdu7tuetutZ2+P3dJrD3NFS7m/EucTF3dxX86uCGCqVVOCiyKV1IWFTe6D - lHF2w6mbvu3Wt6fFTJfBTdQKDUggABeEuIsvK7CHzK27OApGRcymr567/0/v/X9tXkdvvViV0PYE - +RFXok4uPf+LcizT3QqPCKhksxCXvpSX7w5eBDQ0V8mOJAYZIIPKVDxt2umNJy+7+8x3/U9//5/8 - 4x//J3df8b2n9q/dGK7ox9On7Yo7r37Td77xnfZkKbHpNXWp87p8P0qB5A4JSDg0RE/awAuYRCSR - 5BnD7MYrb9/QDVi04fStuzuH5QKHqEA9rjh1hXpquWiIoyWfDxyA6Yt/MNYmgZAaEogO0V4Ry3T3 - xx74823/hhd3VaQQjcOmhUNbbVoRoQEVpISis5tf9dretiI0VNriOOB62NntRZbR5WHzzhvf1Eme - JleFCBCRBFin3KEOAB7L3vZSm8DPX1XOEZgc0gGdj2X/gQc/+bXtL9c8hASgErL6fgc8lkdfsr42 - uhiZ2alTp/q+bxsqEbE57/uS9vf37rjj9l/5pV+edb0AVr3vNJZbJod4iS1nj9VlMyulrDv0bZeo - 7cQUvtkRERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERAEBEuq6r - tfZ9X0oxMxFpGdrt7e1QNasRAAAgAElEQVSDBdycc631ma4nIkQV0wIx/dav/+K0t40IWZ7JH6u2 - kV9w7n56+axPhS/hB7/W/3vwXPkS/sAn/+rT7/9TRMU0INzMStcd4blsSeOIaAlnEZnNZq12TJc2 - M2vd63VbIefs7mY2jmPLKJjZ5ubm0RLX4zjO5/PFYtFy2sMwrGPex6LrunbHcs7jOOacI8Ldx3Fs - Azil1AoRLUbeHs7Ozg4AEam1HjlMftArsftFRERE9CIKLUiT2aD7e92T/+HDv/Oo/V3ecAgiMBpM - EAIJ9JrE9Vk+Ej89QS7iAagMU4y7du1l173xhrddf/q2mffD7v6pzY06LhBRUtaUJjd+Yn52fqAf - qYHkKpEQJXezcaiQSK6z2HrdDW+69eydedFLqAsgWH7IXdV6wwWh7ZrcbRwX7kCwnX7xsSnO5Cuu - 7F91zenrO+lU8sH/bf3gZln/PZrQwKq0KnCHS0x5McruN7e/vl/3JKtHnLQU6yuKBob9RZc7OERD - t2Qnbf/eB/7dTnpsxNT32NmeSkkAqkUEcm4zw/PnIQ7xEA9ZlmUtOUJm0+nvecMP6DDrok+iEaGa - 6sSlriV3b0sqwzCISCl9Skld5roxPh4/cM/fOy1XSdW2+pzScunYBSGAuAKIQ1ZzARdo1smhBbu2 - O/aPvf9T/99j00ODDgA0VD2ppxAJcV1ODpfCksu3zHIesFUhGO2Cuyctl8bjfZFIIJt0LhkBeI1a - 3cM9V51NWxuL0xs7l908e90/+uGf/h9/4mfefN07NnavPrV/9Y++7b+c1zOb5TIzmW30w7CfkySH - Hnj3cSCgcfJ++UWTqgIuodnmZ+ZXbZXTfRTBcqpc7u4c9W00XCIiOcR0sz+lniNEZJ3EVg1dHeC9 - FN1u9QQA4i4VIYiEUBdfYBhk7+Of+2DNi9ENKUOkmh0hXm4RsjoyUEXWbq4bl/dXzrBpIzwEQEt3 - H8uS8ZGJSLsDbZk+IiQS9tKrr7plpps+QQUigIXIchgcHAXLzT5ZfrW/rsfJMNTcoeuwsxsosVu3 - d/DE/V+9r6bBdAzx9tTLwUJ8CELRgukS60N3uoiklHZ2dkREVVU1IhaLRUppY2Pjsccee8Mb3vCb - v/mbp09viKDWozy/6z0Y1eXH8zZ02yZN24VqN912Io/54RERERERERERERERERERERERERERERER - EREREREREREREREREREREREREREREdFFSERahhbAMAwppWma2gnVW1dFVVtluVW9n+V6witgqZOP - /dEffuOrXy7i4evzop+4IAX5qq0gCMHTnMFeAQVSeML0r/75P0OMgCG8pY5aUuepz+xznQi/Da31 - CfNVdZqmNsDo0pZSqrW2aEKbSVpY4eCzLyK7u7tH6JWoKoC9vb2+70XE3fu+P777DgDroPg4jl3X - jePY8hOllNaGaNXwlJKZTdMEoPXI+76vteacme4mIiK6xK2zZ3QytQO19rF2/UwJEFUkybC5977P - /fHnHvmkbI7DOIlBAynDAQgSgCkyJMmhj8emMfoe1aeIdCqf3ahnv/+eH+uGTfGcsw51NxfJIVGj - hkfi8d5zcA1TQFzg2TW5Ju/USyB7khBPSaa9YcM3fuzt/8VsuKzE3B0hmAySpQZUpR3Kh3lRREVX - 0mJvx2vkC6vPdPKJSNEiixR76S2ve5vWzoaxLJvsy2h3CI5Q3FzdALCKNB+8KlVEABmDDl9+6Evo - Y7KRSxsvuz73GcmqIOuOPP7AQx+//xuf2s/nRIEJWwUyBSK3FQQ/fCzSxU3dAuEiklSzJAD6uuvu - vun07bn2ZoaEGpOoirzCx4MD7gKX5dpfW192Q7iEi0pWpGz9td0td9/wHTKiL0lDYMvmqylMlzXY - EBxhPcQCojBDAGnTt+Oh3/2j38xnPMRgotGrdg6ISIKIx5GbxCdSrIK6SCmN4yLn3LKmJRW4lNS9 - vPfvhFOgRGQzMZFQkYR2xCGqqqiRh7Q5zjf3Lr9tdtd/853//f/8k//rP3zH/3Bdd+sMlwG5yrQ3 - nZvNE6xmR3FVbyPZQxCivlx1P0EiVll3kWQljeXW629H1fa6aDlmhbeOsh/hXVWlSx0m9DG74eob - NSQiQiABuTBt/tIQKRpptCElEUnuqlpC4N1435c+Nua9McNVHHlyk8PPDiHLujA8yqwzg+3jthtu - 73ymVlTbG8SyahwvX516vUnTLixX7V020uZ1l12v3heRLKgjcl/a++b62V+PYLlwSMj62RSHwB0R - 6Dos6qhzwaZ/8JN/vidPerGFjaXv2jeoaoS1d42njK+jH8XRy8Td25tORLhXVeSczczruDHrUtLb - brv113/91+bzsj4Yy3l5ePZ8DqfbcDWz9YbN+kJ73bVdbTNrWzKrjUwMw9AutM0bIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiekXpumWto8VuW262ne28nefczNrl - 9cn8v1WEpSQJbos9oP7GL/9CjHt9QgJgSAma01hrzsmPUMehF9+63t11UEAiFAGbNOrnP/2pT3/w - fQiDT4DXaXo+wYT1afYPnm+/Pfvuvh5gpZRnGVd00XlKCmHdQWjJhnY5ItbBxDa9tG9rI+FZxsN6 - LJVS2o+3FvjB221FcBx3gmF9Q23CbH+2YdzuyTpxsn6AtdaU0jAM7W4fy9R3sro+RERERBeRcRxT - Sl3XrY/Y3N3cS9/v+c6De1/6i8+8ZxePVx1zQp9bSlARcMChADSg8COkPVUBoJMOOxvveOvfu6K8 - KvYFkBAPNaC2g7yAtl4dPZMQmCIkAE8RySV5Si6AuodHVDHNoqrJuivL9W+56e2+l/pUkrQQu4ei - RtjyyFzhIrFc7BimRQ2uVlxk3B0uEmmOzWtPv3oep7IWj3rBN51/zfqxVEEDqAHNMMBk+sbjX69p - NNgLv2Z6gXJKi8Wi9LM929vvH/3je9+9jcd8JgJkRzZNroA69AgzrSugmBySJOcuXAAdB3Q+/0/u - +J4zcrV60ZxqVM2iSdpixyvM4ZYsvNbNtLUxXX73TW87Uy5Pnn1CVgEUoQEEllnf5Tvw4a5fzRXQ - LABQBUPe+7u9L37k/j+LvmpfhqGqZDNztwSReinM/88+rjVUQgEI0vNpo76yuWl18RAHBJGWX235 - T0JVc5RumM3HU5dNV1/tr779irec1et0yBGhGZ6r+RReU0BdAQSwPszTk9YiDrWAQNFi9oHi+fT8 - TPYOgYNHvnLUNfyIsMl76a/YuCpHlyNptBXV1ev6qfnqF3MJNDRcRFLO2cMiQiV7SBWv3c5fff7D - 56bd1CEE7l5Kf4TpXNoTrQrAzFKk4rNrL79BrSS/IAV+Ao/8NVQtbaTNq09d0/s8TFRh7qHLe7t+ - 2g7ed28hdixD7C4OIBSySnGLoOq4iN3d2P7yQ58by27ZyLv7O6pacj9NU/t1teM2AdphW3Ax/BLS - NlH29va6rnvDG97w8z//8/N5bk97rbXtnZhZGwmr8XA4qhoRLQQ+m812dnaw2sjZ399v+9/TNJVS - WO8mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiACml/f39iGjn - M183d5+JiLhZUpl1BTa97z1/+LUvfx7TfgKSYhiqVS/9rFYTkSQ85/4JFRGLhZvZxkxs3N8s6MX+ - +f/5vyOmVhd5+ppEOOKpDSx3TynlnFuuqJ0hv+WN28nz1yXjdtJ+ugRERNd1LZ7d972ZlVLcvRUT - xnEUkTaltDreYa+/jaXWVoiIlJKvrAPeOed2i6WU4358T7VOSJhZu0vTNKWUVFVEIsLM1pHy47nF - 47oiIiIioleg9iFkmiZ3F5GUUunSEPu1X/zxJ3//a8Nfm+6hheUqANXQ5J1GNoWpQzwdqdttE8Qh - g9561d1vvvl705A7zQBMw8VdDagAQsDjvefkEBeHTAKXULUioRKIcAAV4QlIVczP5le9/Y53zKbT - OmQ1iKBGREYFQgWAxjI9KB6A7y52HXYCA4r0LCICEHgoyjVnbjwzv6pHUQRCvcV+Wz8SgPi3ZEGf - g5zPBjsAiK+Tpe5AggdM8OAjf7OQPYvpsNdPx85syqVUhM/sw597zxcfvXfqJwPUkUxTQEIBDVEc - rZaaYIH2gd8d4llHveHsTW+44e6839nkIV59Cm3rAEct3F60NKDRAtvrLwe85Ypbinv5nYACNaqG - lmF2xzVvuuXq27GP5F14ciAE61yrxlFrwZER2qZ6c1jCw4u//eD97zmHx/d9t9ua7Y+jZk0piXmX - 8yX5jB0Y5+vfpypSSi/6ktlFzdSHbIsy1RQh0MjJc3JFqItFCmT3FAGTig3vTsmpq7vru3qqDtW9 - Rq6OavAsKqHtnaiN6oAg5AQe70VIaIoIRUh4Clx56qrky3HSXsLtIgR6hONhSFTpfPM1r7qtiz5L - xvJNXFsTvU0XL9k7aQTCpUudu0NM1CqiZvvGzlf++qHPRK8moqoSFtGOMQ9HAHio5hA1B5D7Or/l - mjuTL/fYVgcYy/ejkzb9hPk8b9x8za3FNmTKIqhuocByqncJl2hp7me4BvFo9W5HBGSVM59ksR/b - n/jiX/psGNKiinvrxS/3CXz15/mp3yGIE/eSoUM6v3e4tTGTMIW//W33/Nw/+z9U0XZYuq6bzWZY - bRY+Q7pbn2X+FBEzyznXWts20pkzZ9rQWu8V1VpLKeM4vgRbR0RERERERERERERERERERERERERE - RERERERERERERERERERERERERERERERERHTymdl8PheRxx9/vJTSWrzPEvDucgFgHtM4AIHFzr/9 - jV/dKqE29gUi4hBNYh5JAswZnTwBCVHNIklFZG93LOIa9qmPfuCvP/oBwJ7h5/zAV7ueZWyidYvb - yAEwTVM7bf44jgDMrIXzjtBvphMrIlo8exiGFrGOiJa1ArC5uQlgGIZWXjhy0Ho9EbVRhFUI3My6 - rnP3nLOITNN0PI/qWbVqeKvUt3vSxry7t8fbHmat9Rl6E4fDTgkRERHREeWc27FaO4ATEXefMI3d - 3hcfu/+vvvRBPVXRAUCdELEs6qmrhLq6KYADEd/nL6CBeXRpv//uu9+5FVf4HpJoCEyXWTuXOPiZ - ip6TLn9dq3ibuARUNaCGMFiYz3zz1rN33HnNXbrfp6rLFqRIi8K6AOLhSEBEuGBncc7U+CxcXFSz - CKDh1Tb0zKvP3iwWWTUEgK67mC3VfATnU77iEq5wxDK6GgERhMqTi8d3hschVeIoNVM6Ro6IHPuy - 94Q9/Oef+APf2k1zHasDqsA6oAscMZIaAVW4++TmAlg6rZffc8vbN+sp3xdAQ6V6WxcYc0nH98gu - FgqoPr/AqovnLtVaU81btvWWW+7Jw7yk3n01Rb9AoUkSXCBQwB0oOpWdB7e/8PEvfWTR7U06ogAO - 9QCQRF+yZvCL5Cnzz/rBHFh9W75pqmpJl2aq/Lg4MOY6ZDeBAxJIrslVQ0PExSvCxB0WXsNrMrFd - x5A0VJOHWo0KoCXSXZaj2iGAtr+duPeLUEFygYgITNyv2LpKvJfIfmDO1PU74yFfpLmohGCRb7vh - 9V104pJUIwKRNLRdpy+Pil+KV2L7ICAiGhBU18mTWzd99LPvH8putzHfG0LgKmbjmPOhjyJE2nGC - ADCERt7Emesvu1FDQiAISDuORbSY+8niEpYht1xzaxr75AWhtvzkgnbYlS4cwPE0l5YjPwKw5fwq - AkhUXXzuwU8/6Q/v1u1uo68eZpFScXcACleEwiUcgENC9BjeFOgEGMdxNptN07S/vz+fz/u+/+7v - /u5/+k//t5yklDSO42KxaK/NlNJ6W/H5axuNtda+79sFrBLgbccIq42lruuO96ERERERERERERER - ERERERERERERERERERERERERERERERERERERERERERERERHRRSqlhFXAe5qmdX32mb5/nMakKSd1 - AHDt85/87m8/9tDXtC5gKCVZyGSQlFXV7KVI6tLz17rdDiwGqCIlzYp5l5Mt/vW7/gVsgXi2asa3 - xhNms1m70E6Dr6oRsb+/n3Puuq5FnQGklF6yxDK9BFS1xbP7vi+ltDS7u7cLtVYRSSmtR8XRbmV/ - f79dWN9EROScU0rrmDdWk9iL6uDNtUlyd3cXwGKxUNW9vb3Wpzez47ozTHcTERERHV1L9HVdtz5K - W8T+TvfwH937e9bv7k7nkFADFSg5AWghXgk4WnDxKGk9B4p2/bh56+Wvfd01ry91ttHNpskcGhCH - OhDiENPASUw5njgBAJEROQSuFmKApUCnCUCNMLhoklE349TbX/e9l+HszGfJIQJAKuDRWumICGk1 - zeTb45OmY7BlerFxhOSoblik1153Z4wOt1aLlICEBjSgsWylHvr6NeDigKfw5egI5IxaIeggpeb6 - yM43W+fyuB8cHY6q7tte3dz94Of+7JHh64sYLGparVm1IqyGL1/+OHzB21ASAmE2SUKMuLa7+e7r - 36aL0qWSs3pE0ZIlj+MI+IF68ivCevr8lnr3gV+FOMSXJWBVADkE+3j9dXdfM3919g4iy9cvIKHA - MuMth/19ikPC3cMhinA4Aj328/af3veHT+aHH58emm2UqGFTSGCy8YU9+otDRIRLgr4ES2YXtRCY - qClMAUDhLSgvIQnJIxkiBEjiqbqMhiEiUkqliIrDI0EEebJwdVc3ha2OIVN4Cjth84OGaIhCkkVV - GeHj5vyUWIfVy9kFEkef1jQgnpPNb7j6luRJLUTCveWrc7uFl+x30p67yadW1xYJj8HLYrs+8okv - ftS6aYKHw6sViaRyhCVsBcIRYZAESYLu8tlVW3pKAhADvB2d4KS+VYiYV7v28ht630zeucGTtI1B - iVZwdw0F9PyBcwCrBwV4C7GLLH8EDgmoQBXI9sT0zQf+9pPRe2RAxC1U8uqK2q+kYhn/1oBySfzS - MJ/3Ozvb7nVjYzaOC8C7Lv9XP/EPfvZnf3aarJQEoJQSEWbfelD9vIZBSinnPAxD+2vbsGk7Um0b - yczaZlJLxRMRERERERERERERERERERERERERERERERERERERERERERERERERERERERERERENw9BC - HgfLuM/y/SIyWQhEJHyxi2n///mVX+jVUQd3VGBRIQkActITGSV4hYoD6e2c4YFpGPqSfdy/72Mf - +syH/wIH+jWx+moEEEAvqHcroIvFYjabicg4jlhFlHPO64A3ViX4cRxLKS/+o6SXQksetKBJy1qr - qoi0C+vywmKxaN9w2OtvA2k2m62j7+sueLvQvuHg3XhRrafEcRzbeN7c3IyIUkrLQLh7G+0iciw9 - CHZKiIiIiI5IRFpVUUTaoVvf99rHR7/yoc8+fK+lhQg8MBq6WTdMkRwpHFIhFWjdbnc59CFdTjrt - uezMvv/NP7wZ8840ae+G9sEpoCHagpAKT/yY/Bxc4RoukYBk4qbV0ghxDUho+1zqIikVTJHG9Npr - Xn/DmZtkVKlQF3NIEiQNwTLfqwhBpNgenqxpisM/xfQyMjPAI7tIaO1vvPomNWmfvAK66gefr/8e - VitQhjhW3dZ2VSJwg6AEUurl4Se+Ecpu98vMBcNkZSs/On31g59+r2zAE2yKnASAyXIah4yC+jwD - kBcIuCOlFAFVSNKC2e1Xvf668upUc9bkiMktpZxSFol4JabcVZ4a7X42Y52QoIJOyhX56juvv9vH - 5RtiiIuruCJeQNzWR4UjkJarVGGKMQ8Pnvvihz//Z93lsr14oi+zXubTNLz4K0gvgzi4WBuQgAYi - WjY9X7CWSxeSQLKUPGvIemiEeKi5uMEc0ZY7s2RFEigSJHl4tWlUj6ydoFQPB0zcWoFYVAKCKqgv - 58N7OgINh4h4VJWaonY6E+8CKeSI76EHmZm4XHXF9ZfNz6oJwpJAAuoJIcuw8/kK9IvNoRECd5eA - IoBquvc3D33hnG3vy2JRp42NXh2I6HKyWg81ubVjTGnXLwJNEvmGy1+Tpg6AS4W4wJcvSdFj+Q0f - J/FIbjadmZ29rL8yRwHUBJ6We0IpkOKpc3LIutvdpnEAUFXVJKISIqERiIBrxWz46P0f1j4W477k - 4hALb5VlALIcDw4goI5n25Kki8gwDBsbG7PZbG9vz92HYSil7O7u/tRP/dTP/Mz/Mk22uTlvu4lt - Q+WwRMTM2if9UkpKqV3OObfdKTNLKUVErfUl2DoiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiKii0Lf98Cy6NE8S2o3p2xmgXCEqiIcGu/+nd/efvShPiEiNEEUFrA6 - ZU0vXYqBnoEC6/BNiDoQQOqWKSKvYxb/1V/6V7ABMMAPFrsbOdA6urDejfl8vlgsWvEdwDRN7az7 - IjKfz0VkZ2enZY/XUQa6BKyniFbRbq0EEWk5m2ma2v/O5/OjXX+rX4/j2MLYOed1F3x9E8MwqOo4 - jseSyn7O+9MeY9d17dZbk8XMhmGIiIhYLBZtkDPdTURERPRyauluAO5ea20N78f3H3v/Z/5oMd/e - 39/b7GYOIMNELaChCrjWENdQDXWBHTKtF4Ak9UlvvOy2u2/6tq6G2jANNeU5QiUAJIQGFECLhfPj - 0XOQKgCiILKpWRpcDHB1UUOEIYlHCRR45Ein8+V33fLWbCkH3BEhSQskiYaLt4+4BlSpO+N21dGV - 6e6LiQREYvJRkhaUy+ZnZ12vglh9dNIAQh0vtIsZ4hrnm5QRSAnhGiFV/LFzDwOehJ/XXmY11It/ - +IH3fnPx15NETl0GBO7iLqjJXR0CBRCKyIf6iK0BDUENcUgSg23Ott7ymm+f7Z9SEwszmxIkqvok - OedX8Gz+9L9VF2+z7nptMQST1ZCxU8nD/O7b7unyLASm7m3BMdrLTp/xSp/lToQjqioCnUe3vEVg - kpg29z54/3vP2SN7007RTlE0JyQJufTm/wse0XIFNuLgWj89rRQ6n7qNoe+nTjw7tKqPqY5pqnkI - HQBr2elk81S3NDZMYsLk8f+zd28xll33nd9///9aa59zqqpvbLJ5E3WlKFKSJY9lW4Y98W1iYOAg - CDAIAgR5NJIM5iFIAiRvefBDnIGAmYnHGSW2LNuIHAySeABnkkyicWyPPbblkS2LMiVKpCiKEimK - 975WnbP3Xv//Pw/rnNOnm80mq9jdrO7+fVAgq6tO7XPZe699A/Z3lIhsSS1pJJViClO4IABE1lCF - KUwOUKO/nlxgCCR1N00h6gpN2i1XvlW4+sADW4SL6Ecf+hsdtiRUIREhIoBKqACyTEHfsI/FVeFu - bXUQ9QHnHnvqSzIVU0BFFUmRAu5+gDUmAlmX6e6ICNd3nXqv1g5ASFz2NuPQbS8CagGbpu17TtwL - E9VsEa4AoAEJCFpp/bKxWQFdb/5C4AgAGikhaUBW1xY9D9976elXzr00wkTEDaoJWLeUXcLbp+Q8 - GX4LUdW+78dx3NnZqTZs78yqDbPZpNW7/97f+7u7u/OcVQQR0a4dtr97i3sBq1Fl+b2ZlVKW62BE - 13VYXaEppVyPN0hEREREREREREREREREREREREREREREREREREREREREREREREREREREREREREQ3 - nVbGxarrMY7jVbrdAKrVQGgqIsnMVBV1iAtn/o9/9r+5VahIgiQs27Veb8R7oDf2Rje7Xyxghuk0 - Z5WvPfbXX/njP0RUgW383eW3ypcrZTvm87mqAtBVcqGUslgsaq1tudrZ2RGRvu9LKbVyebhFlFIi - otba4tmth7gOV6+DCwee4+vY4mQyAbC7u9t+uA5ml1Imk0lrad+AKryqppTa+xrHcR19SCm1V9j3 - fUpJVWutLWP/dp/x7U+CiIiI6Na2Oj7Jjiyr0KAL3BHuAoe4SIqMV4cXHnv2L79z9lu9LLpp7vse - wHTanT+/2N7ebn8HIGQ5zRCEXOkAaPlPveR4SRACCfiun5yd+sEP/sgMR3J0WTKAnEThgEusXnII - gPULpisTl1U+MS6bDSqtxJagMITBEUXz1Hc+/v4fPpLumOQtkWQwiHtUcRVJsWxVwmB93TUsbvx7 - orejHXJWNwBZJxllqxwt0km0oqS7AOI4aJFXAmgDiMAFbbIasIqUckRE2Oj9bn8BwPU/Ar39XJx3 - G4P5akxO4esst4SGQI/6d899618/+gd5W7Sk+d4gGViP3hfb68s5u1+qahbuSJ66eXdC73z4/g+r - ZWh2cVWdTGZmMQxVVSWudhb1FuX7ye5qV6ZmZslCXK08dO8jp8pdM5uJdyEKQOCtaKurVW9fRJCS - hKBGBZAVOU1dMKa90+Pzf/6NP9q6M+8Ou/PFYraz3Y8D9rEJPowb61Uut82C9c6KR5gEHBrQFpCW - UD18reDDJbR9Xi1OHAKXNpJ4ACKiEgqEwczDBaEGR7JSSpcnIuI13B2pteodgIQebOS5MZLA3QXJ - EKpQVQnNkl3ggMIV3t5+E6vgtAY0VGO594vNXeUWixdF5OLTLdt5+H0fUc9oF5w8tMWdxWP5MAF0 - taZf34Z3DUdChAUMkZB0L519+sWvDXZBJBSxt9dHggmG0buuHOD1tGsi3iLEnk8dOdXVZQr90vXP - D9+CIRGSYlJ8eufO3fBISbwiy3LoC1l9LR+9/K+/blwJi81LjOs/H2oddHjmlaexbYbebexygYt4 - ltCQMEVAEarY9+BPh5aqdl03n88BTCaTvb09EYF5zgni/8V//p/9p3/3F6x6BMzs6lejr6hVutcX - Y7C6yN0mZWaTyURV228juGARERERERERERERERERERERERERERERERERERERERERERERERERERER - ERERERERUkqte9Vucp5zvvrNzHPKAZh7e1h47TqFj7/9m5+Zn30lwcPQqjUlZffrG1+gt6ylcELC - W3ph0iElDPOF1L3hglUAACAASURBVMVnP/3fAxVvdh/7AK7465ZtHoYBQLtD/nQ6zTmLyDiO7Ydd - 10XENUka02EwjqOItPxB13UA3F1XzExESiltAViXvN+6dQi87/u2/NRa2zOO45hSar3FnPMwDDcg - 3d0W77act1b3ulPe1pr2QzN70yH0LTqMdSgiIqLbVtvwqy430Ckl9p/ecQIkdw31KIGikSRaqFIV - KUuW8Kxa0uRcf/b88Rf++V/9L5YHVZg6NBTwxbAz1aHfNXUTAC3W6xIusQx4AwBUW9Zx9cSaSq0p - p2kdfZ3wVktHx6N31GM//LFPDEP16CATDaAOCWOCQUyiRTQzkEO4v3dVoSESQEj76ES8aKSAmpqp - ZVFUTKTAQzodPdK83FnuefiBT8iwNbjlmfZDzQh1Ue9GZM+ldeH29s7ltK/uLB0CLcSO5KbJpZPZ - yWP3R50UV4G7IMSBquHL8xYHGqRDNJADOaDSMqUGDQ1ZIA+5xKuvvpwneajjNX1vtz1xgQGG9ZAK - uHqIa6ALzR4prExlPs7FsmZ5tTz7p9/+lwsZxoqA5YIQDL4at0PFM6LlQF1Q97W+u0ASXJBUpnVr - 59yRn/zgv1UX7iVqqjW5hHrvKqWUzm1U4MDN+JtUiIe0UdQ1LgZ9N7/WjwRQFz6ZzKpiIW4mszr9 - yff/xM6ZWTfueC2SUu6kH2rKgEvC/k4htUZ1DYcMkJoAdaBHQoJan3f/9Gu/90o8u5gsfKoXFvOc - i7S4cssNi0NaGH791aq0urlAHiomGoDABa6hiOyiIRBEq5kaQpKGioZmLazhXkWIV/WazHS5VGvb - W/MkpuJJI4VLiCOF51p1gEa4VIO5BFQSRKtEFbiEakDgCgfckRwpDtci5CImUS0ckgPJDapaw9vr - zI7scMWYEIDHcpuoQApky8lzW8E1wwFxZJWoCEGeznxIs/nRR0599M5yRwqVBNcaEUlU0UNGUzUU - IEsoluPzdX7DjpSKFh3coN1e1G++9uSL9hx0bxJjCqSkVTEoUobZPrfvgahwg2QJjD7UzvDAHe8q - dZK8OJJDTRACAVIgxSGrU4cqZjFOJ8POAyffnUta9POpahpDHa3mbgJTd3F1aGBVH3dfbfgkIAEF - JDxgBnPx0OWlJNE0SP2r7/6b+fQ15HmXPIZaUJIXiWSCqm6SQ0TDFXa4Ph86qPU1wlqr1Sh54u0w - zIc67k07+a//q//yP/oP/462VUOXV49SSsvLSCIAcilXmT4AM1tffl5/M5lM3N3d2zmc9fXI9QPW - sXCe0iEiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIrqttA7uZmN7 - GAZVvaxPl3Nu9zmvVgFg48bmNgzAiHOv/T+/+79PUWUYM1AEEQFlqvkd1lI6rV6UouYYJVyAvkfJ - 6FC//fiX//qPPg/fA3yjR+OrLwCIjX/7xQTW8gHjOK6z3JctTqUUrDLMN6CvTDdMWaUT1vmDdcC7 - zf2IaN1uAK2GcEVtqWj/bdNsgYaIiIiUkpnVWrGRyGwPa6ns9fNeb+tnWX+zHiE3F+z24q/Jon6o - uj5EREREh1Y78FAXAGjRwXEcSykppfPnz6epyI5//ov/fN6dCR0BtCpni8xJeCvPuQAXW3SX0ACg - m/t3Zm1nVVJKItL2dTVyXkw+9p5PTHUnaYdIESqB9WFUC9FprKq01+8juWUsy6/t01MNbT9ZJWOx - 6sUiAIQWS1u+80MP/Xgad7JOvPpWB43QUDhUdV31nS/OX3JgSzcHjwgRVShcMtJWdyRF1zqpIQhp - BWh/O0djAYTAL4n1KgCDhQ4uo0V19/WZMrp2loM5QtZDcVtj6zBkiErM5/PZbKaq87p7Xl569Ntf - rGks08ne3l43yXXjjNZqCm0+usa+R9zRzRGq2Xs5Hsc/dPcjszzrh8G0nQ1ddanfxhu+JbzVD1ZE - 3FARIehSkTG/7+SDx+Vk8gJorW6I1CHCAXXD/k+JKADI8s9WEVlEwuDD6eGlR7/1RZuNYx4lqdVA - vMV1WF/3zTuvjXHtv20XZfPlKeKy3YzVY+gNtf2KVY0ewCU1emx06ENqSF0+7OKvLsvYt8/cAbR/ - vQNv6SrEEabJAQiSOyLgMPfaSswpIOut6kbAXmKVugfaYrVYoBSUgn4eKSGldGF3d9ptp372sfd8 - 4vj0ZIkyDNWXG+4QBGABdSgiIVRuyM5Y0VRrHa1Ot2YhqDJ+43uPD3kOGQRQOFqdehXY3i9VBXR5 - zlrTkenOBF2HJKGArj5JYJm4PmzbDXXXhGn27uTROwHkAqmeXNuRSwhM33ys3ziG8ks3DVrKZG+Y - P/vKt08PLxrmKYnXEJfN/TeHIHRz3aFbk3guOpvkfpjbOP/FX/zFn//bP1uKuC+vHq1T3KUUiNTV - taV9PINI3/ftVEDOWUTaBaqWEgdQa22B8Ijg1UoiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIqLbSiklItw95zwMAwBVbSmi1mAWEVWNiFrrOtMLAHIxDiIBxPC//vZv - eb97ZJo1QgQB6fv+HXlTdBWCAFASUKOg/o//+B8ixtxidDm9Uc8lNr4umdoqYFxrjYiWVY5D16Gg - a6/v+zYy9H2/tbUFYJ1sf+siYnt7e3OBWXe+RcTMVDXnbGa3W/39kKV9iIiIiA6ZAEzhAkUVDCHW - ensCSPIKc5M8K+fx2ivjc1958iuD1f0WfTdL3pce37iIVB8lAxHuSKLJu610xw9++JOdbKt34SmW - z6eABvLGszvgq/YhXTMagR4PP/AD9x15oBu6XCEGtWWjPcuYvGZAA7vnLwTsnX69tD8RAl8eE7Yz - VkeOHBNJm4+RVWBVr90ZCVVEGBAi0s6LmVnobXRoemNcLJsu/7ccIUMFqqGCyGE6Kd0cuzKrTzz9 - 9edfeDa0agkLAB6BJJeM2w64wBW+/8NrByKQRNXSqTseuPfUe0RK64zKuioqDomAhsg67ktX4lmB - MHFBaEpqZvfd8747j9+bAjkhqrm7Kmx5knHfH+Zl2/do6VdxVQ3F4P1ffe1L5+00SgUgl3W7N9rM - r5/wfl/JIbF5+uy2OpVGb5FCIkJV3bSGj94b+jZYeitYL8dSV7gENByAKUyraTVk+DQp4BgHlIKu - bNVe3JAVd8zufPDdH1br4CVqSlECWbSEIER8WUmPG7gn7BIGF1XtfbHwvW8+/QTEHRrLAd2x7Gof - pCSuqgAiREOTlONH72jV4Wv8Jq6btiSIyF0nT2XNRS6WyC+Sgx+5hIpkee3cyy+8/OyIqjlB20Zz - /bGvri/C5aYddektWiwWAEop7p5S+pVf+ZVPfvKTAErKAohEhE2n03EYcs6a0ptN73IRkXMex9HM - zKyU0nVd2w6WUsxsvWy3Hftr+uaIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIjoUBvHUURyzu7edV27gXlEmFkL8baSt5mllGqtWJd0AGwEDVJXzn3v2f/7d38nRfU6 - mkGSdJNpCPs1h4VJNsne4lY1NOpTTzz+6Bf+FJrMAgAOlF4GICIt2t2WmbT/++rTzWUYhslkAkBE - ptPpfD7vuu4A01HV3d3dtsCM49h1XUqpRU/WAYX2gL29vXXV+3bAcZOIiIjoTZioCSCjYnRxF9cW - F0wYfXSodjpMz37ha3/Q6+6I8aANzst3zEQkF3WvEdZisYqslt996oP33/Gg1ilqUuSICJEQeEuK - h4TostsNB9PR15Z4UfGh72z6iYd/rPRpSye2QATaB64SLcqoSBcWey5Mtd1MZFXjVoiIRDUR2dnZ - eX1yT0L1ACv6FRaHi1MxhAOh4fDq1aKq6g1sjt4eQgGVEMTys20rrLmXWamAe0zTdBiGXi4s0rk/ - f/Rfz46VKkPv/XSGfvQky5km4cvIqPjF+biviKpAFCJIrjnyQ+//iHqpC5tcctYjAAPq6sXT1ch6 - yHUBECaz7sR7H3hY3ToNVXUHBB6QpAdJTYe22PDqeTRaHtg8JcREv/vKs088/1XMerOxaNn/O7hp - 1vfNUbF9r8rlky6SUPFop1xFUgQgaXc4b6mXiGW7Otoj2/rqKVwDIQiBJZh6iISkLk3EpVZ0E0RV - mBybdfX88LEP/Y0Ts7vH3dDaTbptEa2jA+oQANHWb3Fd9XOv91sO95xz0m6+GCz1r557/sz5V6Ae - AgTWW3Nte4lvZ/cwVC2dOHZHK2Ffkxd/A7SFISK2traPTI7mKNKOX1bvoH0mcdA31Pf9bFYk21PP - POHJTRyaIgLikABaxpvD1O0hdDabLRaLWuvRo0f7vheRT3/6n/zcz/1MtQqgXW1aLBYiUsfR93+p - UkRqre1qN4BxHDd/nlJqlzBrrWZ2E62nRERERERERERERERERERERERERERERERERERERERERERE - RERERERERERERERE9PatK8uq2vrcOefNusc6l2tmky5fcif9VZZbAesXUPzTz/1Wv3eh5NRl7M6r - K+9/fihIOICAuCQHFNieSfH+1z/9jzH2AtG2GHjst0ejqm3J2d3dxWppOcB99enm0kLd7r6uAg3D - 0JoIB9AmMplMhmEwM3fPOZtZrXUcx+3tbQBbW1u3VRKezRIiIiKiq3FZfglMYCEW4gJPgWojVJDL - hbr3mj33Z0/8/lDmUmK/zTkNaKxS2xsFOwCqGuLuEEER8YXkOvv4B3+086M6TGA5S17HwALq0Fgd - PEMcYpc0ZekacElD1qh7/gMPfnxbtrKpKqDwFC41AnBoKCTv2diLH7hBSO8oBTRC4DKbTMNcQlqv - d6Pae8UU91vwur8KQeglZ0leHwuna8JFHaKuq2xqtLlZow5h5ghksVTrKNv1a889+uzLT092istQ - fZE6dUfLE8tq6NYA4JBlbvYAckKMXjD90Ac+OuyKeJegGq0y2tLgFeKXbR3oiiJCFUlSBNxdJQ+L - 8tD7f0CrYxhySuHSTiSKiO1/hm3+RRvs20bWHQ5Yrrty/stP/flcz1br4W3hAEI3suuvb8f66uvm - xjQpXc5DQ1VCREJKqJyevyJ5VLREt9o6975Kd6dwACZwgSna9tJMHGm2JUOPRb83TaWr3fHuxI98 - 5Cc625rEjnop2iFyiJi3/eE22Ru6ckWYQkS7wapM/cnvfW2UeWi0rUMAIcs6tYZK6H7r3RbV4SKi - SFLlxPZJQC0uvrv1zsmBt0fXlaqaj+6Ay53H7opRUyrXcPq1VmhEWjz13cetjD2qRQCyHK2hQNJo - CfdbYcilq+v7fnt7JyIWi8V0OjWz7e3tX/qlX/qxH/vRlGSxWACYzSYRBjjC9jv9VuaOCFWNiJxz - zrld5F5vDfu+zznfVteZiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiICKs+d2stp5TcfZ3jjYh2S3MRUdVSyjBUXN4yWWYXBI46P/3tb/7JH3y+xABHRIjyhvuHSIg6 - EK3kvdh98itf/PIffh4YwquZAa77zwVHRAstt2zz+vb41/zF06FSa8Uq3L7+pv1wX9y96zp3Tyn1 - fd+Wn/Wkcs6llM06+LV8D4cb091EREREVxMCU3WBwhVV4LJstSKnAsBkzEfkTx77g/N4Ze4XPMFl - PwensfHfS3lUwDVBBAmSQmOBO7dPPfzuj8e809oVdCIhGkAL9ymglyZCmaa79gY3zZPsW8dn995/ - 1wfGXem6ZEAIPEnrrEeIiw7A7jCwtnvTyaIRAQ8RiYh29AjgsuZum7H7TW9eZr14REQse5IBuEi4 - HLAMTm/E0aqdCkDgGi5wRUhAc5qPNVLOZeLVS5ds1v/ZY3+Yd+J8f9qTuWI0zxkR0PUZypVYpbv3 - NcsCcEdKSUc5Pjtx7/EHMmZdno59XUXBATgQLhGCgHI8uTqPqoAiSSiAnKd1rg/c/YETs2MYXESg - AoEIqrsjDvR5Xoxwh7RNrIvABYMMNhu//coT3zv9Lc2O/Z2yvMm21+0MWjub307r6wHO9dItrW1D - l/9FgsjLZ5/3PLR96YAGVH1VsBa0VcAFIauNo1QJC8hiXvNkWh1dRrIYT9cfe+Sn7t55FxZllrZR - ZewrgJw6QwCrVPbF16LX+/ynwEtSM3OHdmXsFk9+96tV+pDqgEMhy/0GidbY3vdLilgOKhqSrLvj - yElBquEANC52uw+r1RDn4aPfc/I+taSx3Khd9voPMDK7oEzy4L1h/tr5758bzwy5WiCltHze5fFR - +8wDCOzreI1uQsMwpJTc0Pc9gJzzyZN3/KN/9A8eeeSR9oC+79uWq11u3O/Eu64TkWEY2hWmWmsr - eWN1QWsymbRv2hVxIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - uk24e7uTed/34ziqaut6tCRzS36oakt6vy73IZDlj7oEhGHc+61f/R8SfOj7I0cKb3/+zrrs4zfA - Wo0C0UX/uV//NOq85NR+KyIHuF+9iOScx3Fsf2tmi8UipfS2Xzsdai2dICLT6TSl1MaHA0xHVYdh - EBEzAzCOY/t5RLSftG/acNR13bV7B4cd00pEREREb5ELXOPi/lORDGDhe6/MX/g3j/+p7FQrQ5Vx - 3xNexepeX341H0UgAoHCyiQmH3n/x492J9MwSZ47TVZHjXDxljmMZQjwuhcKb1sBHUMME/gO+iM/ - +PBPqZ0I23LXGgmSzKEiFmKIUeL8MD/sLUW6nIqkCBFJKaWISKmIbJ56aPXHt++SUu9lkV9VbefL - rsUT0UUtFrv8h8R6FmhOouqQUAXgqT575ulvvvx4TG2oo2uEwB0igC9zxRIqly0J+59dIkCNCaYP - 3f+h5NOp7mjkVVB6tYRIBXzVHOXYfjXr+GtECDIiCSYT2X7ogUcmnsQD4iIQwMwOXJqWzcqsOAQK - qMLUbTKei5f/8okvyKQ6zDey7k2Lsmuohipc4ZDV102urRdEm9xruwwQAkv24pnnTXvAk+Pi/moA - rdbclqDAOrstUqGLlLQG5n3VgpJFq79r58FPPvRTaTHBqOKiATOLMO0UuhpB36HVyj2kyIvnn/3e - ue94Htrm42KMfGkVLN+P9RqmSMW7Ezt3qaabaD/B3Zdn+T3dfey+7FMz2xw33ma9W1JyjEg2pMWT - zz3hxUJjNX1vm2y5ZCeAbmXT6VRV+8U4mUy6riuljH3fz+f33HPPb/zGrz/y8IMCIDzcBbBaD/AU - tda2gKlqKaV9HxHHjx8HYGa11pyzmemBdziIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIjoJiQiXdfVWieTSSml3Zy/VXhFZDKZpJTMbDKZtJLu67X795tBxRHDy098 - 7St/8WcZJoK9vYPcX52uhxD1VW8uRX3mia9+6ff/BWKswwKAaO667gA1F3evtUZEy3W3lvMbLSp0 - yxiGAYCqLhaLddHjAGntNtS05actQuuhxszalHPOIhIRN1H35O1jOoKIiIjoqmKd6VUJTaEScHEX - 9Iux68pQdr/w1T/qdff8sOfJJHvspxSo0UqFV9grU4Wj7cUCLqnqjh5/5N0fxUJSqBoUYd67DpDN - BvDFoy0XBTQY07ymNBU39VGyTz764A8dKSd9gSQ5vEpAHEU0hYuMgWGx2L0Fgqy3lYh16BHt0FFV - r5ykPXDA+5KJXVw8FCKCdjgqktrR6QGfgt7Qun59yWdb3VNXequjjamTvXr28Wcfnefz5/oz3XaG - IgIpoVZEACES6+nocmIH6LC2cb6PKbY++K4P+QISuQ61y1kCArjAlwMIh5G3QLytNeIBA6BumrWr - c/vQ/Q/vyBF4RCw/yfXpxf0+xcXvlyOAA2gnJ13Re9/n3S8/8edjWtQ07mt/gBsLurW4KOChAXc3 - 9ar19Px01V7g0vauQwHIqnDvgAtCFKHqmgIt511tMd2e9tUEqc5tW3Z+9MG/eVd5T7GtTqdRY9J1 - XQbE3a2d/20kVq/j4s78dWRmKaWQGKJ/7rXv7uKMdrGKduvmSzpAtxuA6jIMDOQJto52x4qWy/YT - NurXh27v391TSiKSJR+bHu9iYtWh1+p1+lh7kdAOyOPjz3ytT32Im9mlQytPg98u+r6PiK7rFotF - +6eqbm1t1ToePXr0M5/5zHvec39be1I64EIYEW3AMbNxHNdHEBcuXGjh8JxzRLDbTURERERERERE - REREREREREREREREREREREREREREREREREREREREREREREREdLsRkWEYcs4A+r5PKY3j2G5dXmvt - +74FDvq+77rOL8uVbFQIVCABlYDYr/2TXy6odeE725n3QH8Hvf7Db3GNFPXTv/wPEOMk+foxfd8f - 4Jb4qrrZVFbVcRwP0tmhm0rXdcv0kkjrHbSR5GBTSymZWVuKFotFKyzknNuC1CILt9tCxZGTiIjo - 0HH3Vom93fZLDicBJKChDkVkdUmOEAQwLdPd+QXZHv7qm1+Y+0IzkGB2kPSmRMsW+mZmW0QioAoR - WF8nOjtW7njXne8rnjMkaZj3uUSgivhGl27j6PmGpApvN6ObJu+S23x+VI988N4Ht6JsQSZhXYw7 - Ah2tCy9+Qf1sP38ZqO/0S6Z9i5Ub9oyq4h4AVNUsdnZ2AHUPrsLXhTgAB9rZxcbMcs6h0Uvfy+6j - 3/zSoHOdYKg1AFGYQwUqcMcqAb5R7z6QWtHlWRmnH7z/4S4mcL1YeQxdF7s1lF3nt8IRIvCopRQ3 - AVKC5CgP3f+RMu5gjJQkDO0E01j7gz/TemwQoCXYAUmIDEuLOc4/+s2/xHR0NSTUOgDeToi3M1yr - idzE2+i2j9pOq5VSzKwlS4nW2ql8eOQuDdL3efH8a89Gviw1fXEtMIUpEFkiq+fkSA4VpOxjXeSc - BcXmuPvIe/7mR35uNhzLY84hcAsbIBYY3Ksq2sgpl2zB08aIfb2kJGbVvXquTz73eD6C3ob2Ki4d - vg/4MswiZ3H32tcpZnceOWUWevNcEVNtgyHCcP+d7465Zp1ccUerHWftlzuQZTREjmdf+c5C9tI0 - mRmAyzag3JreDlRyuABIKbnXlCTCah0kbNqVU6fu+u3f/tx9950C0Lborw9sl1Ku/hTrpXfzm7aD - YWaqur4K1fjqireZtSXzRh5oEBERERERERERERERERERERERERERERERERERERERERERERERERER - ERERERER0Y3UdV37ZjKZYOP+5y3z0e5nDmDd5fXlfxyrlI4DERAAUSH16b/4wuOP/kUnZv2YEsZx - FEGtLdMAVd7//MZRRYtFeB0TkACpw3ee+saX/+j3JWrrJAAIdwBmV5sv60bhZqyw3dze3ds3EVFK - 4fy9ZayDBW2ejuPYZnRL/7Sf11px0KhBaySZWdd161BCS2Kt0wyllPZ0t1Vv6KZJvBARERG9U1JA - Qtv/NVRCAZj66OPkSPqLb/zp2fEV04qEiGXFc98uaW8DgDq8hgJmEKDoFIv04H0fnvosuQKhqBBr - B8yx8bcSkHYUDbTy+M1bBj2cpqWzwbL7TuryonziAz82ne/kc9PZYmtrsVN2t6Z7W9O+265Hd3B0 - cXpI/PxvNi28h43TEFc+Cr0WKWUNoK22Hu04VEJVEiAJSZGu/ue0f219jM3ZpwDcU0qjVZOx5vnT - Lz/50u73vYxx6ZAeghBF6ObPNXDAI+tAVsiY7j32rqnv5CgaEBGLACSkPd3mxJ3J0bdCop1GVgAC - zy5TP3bv0fcmKRGREuoYBzvvIwEJR6xmzWopahMzBwQuGHT+5POPL/Kea42wdkKqndLCbXbKiW5n - ow1a1B1m4yDz508/t4iFRbTxU5ajmQNAINbB5lDxpAEJtP9Wi2mnPtZi5c7Zez7x4E/eUe7thmny - rHCFAxUyulhoW8vaur+8nKSx3NJe7/fr7tDQgpDxude+c76eh+JiDjjQhg4AfoDXIst3ICJZ8s7k - RPYpQl0QWH5Wut5VOax7nhqICEWZYXtnckwk+Wp5ePtyXl4zGGU4M756tn9ltEVKq49iOVz7QT58 - uoWklMaxB3DPPfd89rOfPXXqDjOktNznzzmvLxSN43iA6bcrWO2C03Q6NTMRaQ3vdvWr1ppSSimt - DzeIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjo9pFzns/n7t7a - 3iISmzWaAMIR3soLsY4v1AEYP/cbvzZNMcky9mPXlTa1nDGOo1mkxPuf3yBmGEeLiNmsuCG5H5vl - X/mHn0LU/eYi3D2llHNuN7RvS0WLL7T756/Ty7y//S0jpTSfzwG0nEEpZTOq/fa1RtJ0Oh2GAUDL - JXD5AZDf6RdAREREdKhprI5MQ0MUrhB3eIiNabQy/+LjfzzXc5qThQpcFLD9PsWy4efiECiQWscw - ImUdzXOgky7VyUff97GJb0uoorquu91AZIQq4ICiHTwDQBysJktXo2EpeZrkkkL6C+NH7/nhH3/w - 52XWewwppX5hWrL5vMNWGY7cN/1Qssk7/ZppH0QiwjQJEFZdRNxrxOZa7dcpAJqQIkxDVXJCp0jp - +qdGbzcSELgLFA4IsIxwp0gpkqlVGep076+f/tL54bRsS3jIKrYKXNZDvRbtdslS0wfu+9A0tpIv - O46OZcrUAUgkV4EIEOK4UkSe1vwKEXWTwJafeN89j3z96cfckVMyMxRXhe97Jq4f3za5y7RwiCAi - DKoQQZX+688/9kp94ZRM4Fpy5+5ea0oJSFg1hJczsy1Uwig73VJCUM1m06m5uLhNh2999xtVqsm6 - MO1y6QoYG3ltATQUcARUkOBRJQ/TD973wz/+kZ/3s1qQW+nbxSAOeEDbAKABh+oqCg44kK732BkC - D3WpoeP5MSUMHAAAIABJREFU4dXvnXmuTwtJkICEA/Dl4ORt6HDBwTYiIpIk37F1sngXIW/0vq40 - GL7DZDmPkEM73bpj++SL/pzB24nptlTY29jrERF3D4F77NqZ7535zn1H3ruls9XvHbJexiTk8AbO - 6RpZr3Fry+9rHXZ2jtZaf+AjH/7Mr/5Pv/ALv/DKa+dVoZrrckv9tpRSzMzdVbXrOndv1zXbz9v3 - aJfAWe8mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiK6nZhZSiml - pKrjOLYwc7t1+eohF8MoAQSQC2IEwqDx2L/6/574679890M/kPNWN8HenptZ15Wcs4g4yyc3SklA - ShHY3e27rsO4eOLxr3z9z/+4hetiPzmKllVe/3Mcx5xzrbXF3dsCY2aqypvb30pms2VNYxzHVknY - GATerjbBxWKBVcb77YcYbg1MdxMRERG9CYG3bre1yJZoCCxVz+O3X3j82TNPx3RwhVkgQXHgsurG - EVNAgCzLXKsixSAntu96710fTGMnLfLdMq4AoC1xiGWn0DcKoK+PltHbVWudlBLWw2yqpfixf+eT - f8fTIGq5TIbBcpn0tU+ey7C1k47qyNbuzUREariIOqIV9cZxXJ168M21qc1X3+dJiWUEWnzdjGy5 - ygiIQBwiqkizyZa6rPPAdE1otBg2INURgQR4m5MaarV2uZzH2XPx6hMvfDUmtvoDLOvdbZaFhgCx - nC/tV7Lxz329IrGkNnn/vQ91Nk0BgUFhCA118ZBlWHw1cVemRt+My+aWzwU1uaa684F7HolvZgAR - kZJExAFOKC7jsrpKD2MZU48QSCSHCrIiFC/ufv/JFx+/6777vXdIiKKdxHRzADybSbcFjYCJdKER - k8W3nn/CU4S0YffixvOyVVHgCgXaNR1FeKdAjx0cPYI7/tYP/bvT8UTnOcxEsqtDPGS1dY42WqoG - IA4EpK2kN+QUsEoIxth77qVn5r6LLagCBggQ0FBbvl8P9X1uLABABIEARFxPHr0rR3exdS5t4+YK - PdQ7DeLqSZBKLSe2T8ZZiFzxwOkgOz8eIYAIXFC78Znvf/NHjv94jAZxXx40OSK3fPiBj9boZmdm - LaG9t7eXc/34xz/+a7/2a7/wH/8np0+fr15V1czalel2AXK/01fV9ZWndv1SVdslrlprzrldkaq1 - igivThEREREREREREREREREREREREREREREREREREREREREREREREREREREREREREd1WUkoth9T3 - fSml/cTcgAy4wNfJlYBD4IGhIivEET7C45/+5q/+N3//ly2s1tTurD6OKEXcUaursmtzIwxDnUyy - Kkx1WgR1/J8/82kMu4hlP/0tJhGm02nrK3ddNwxDS7nP5/OcM4BxHNcLyeY/6WY3n8+n06mIuPt0 - Oq21TiaTazh9d2+VhIiICHdv3QT2kjg+EhEREV2NABoOcROYSFU1BQAXq1u7f/aNP5rLhVEHw2AR - AtR6sKdAyPJrKVSRYEAgIfko77vv/Ue6O0qdICQEATjUoY6MyC0YKAGBCQwwtONpKHf5rimXZEhj - bxcCwySlmPs9kweO2akj87uO9Hfu1FM79Z6d4b4jdt9xuTvNs0Z+p18zHYADLhLQ2FvMoRIS65X0 - 4np6oMPJdbBUN8uUsaw+R4SGHj9yLEwluP5eYwpoeEiEOKCI3GaHemCMgEWu33zh8VeHF6STWiFA - cki0eQG0/Ke4t1hs+2pB8Nhvtxsa6hXb3dF7jj+QagePwChiAbhoSMuLCiKrZ4Vry9DS1YUsI+sw - iEEsuaY6uffEeycy08hevWSF2QHSsJBW4VVAIS6rMSBCFCkDasiRQnzcWnzpqS9G55rFbIwwVYkI - RKgIQhG6uVy1n1yrz4DoUFAdxlEDgJ8bXn721adDzAATuADiCl9tTxVtFAUAh1QTmKImBBQVM9vu - Luz87U/8e+8/+WE/r5NSAHdBQGO1lXS0lShLaBuQJdDq3S5xkFb2Prm7ZkGqzzz/lExhySMAb+/L - ESqrHUIXxPqS11smAndEBFxOHr0LVuCy3iG5KU5vi4i0AbDq0e3jYYBe8sL1wHNJ4N7q5lohaerf - eeGJlCtknV4OtIMnAFC/KT4vurbEIT6O4/b29mKxN5tNUhL3+rGPfexTn/rU8eNH22VIAKWUFt5u - 1yP3pV2Ciggza5egUkrt+tP6KlTf9+uGNxERERERERERERERERERERERERERERERERERERERERER - EREREREREREREREREd1W2v3Mc84553ZLc4RCBKJYdVFWjRwNqAcMEAFqD6l/8i//rxe/+5Qi5vOx - FJlOOzOPQK2eErsnN0iXkxnmfZRS6mLvqa8/9sV/9f/C5oAHEFDIW7rX/WKxaAnnYRiwSnS3BWMd - 8K61AhiGgd3uW8ZsNmuzVVUBTCaTVte+VtOPiOl0qqrDMIiIqpoZu91gB46IiIjozTikld7cBFVh - IoCG1FfHl7763KMxqUMMLp5zUtVlGO5gNvZOFRAPd1dBhBTtPvieD+U6STEF1Jf1XwUyQhAJkdq/ - Fa7hAgeCHdDrIVQWNmrJuUtmYyd5cdZ0dzat23lRytjFAtJ3aZh0VbPtu+ZL76BYdbUdAUByiogL - Fy5c4ZHi+41uAldIa65/oAENlVANhePOO+4Kg4Zw+bnWHIBL9XUvNgRAQp5o9mpa8NjTf1VnC9MK - hzoE0Fg3ZQEgxFt1ddlMFRw4sS6e7jx257HuhNaSIhQWGhBvPdrWiVdPiCSBg6SmbycOxHKFyYBC - asiocAGSdUcmJ04cvVu1a3lXYDln3zrFcjwPgcvG2N42taEFSBUwcZhv2ZPff/zs3umcFUCrgbrb - OuFJdGtzCUnwZeren3nhqfPDa55CgBANWa993kZjCW2rpKJ6G2MFJnBo8pmdKx9/4Ed+4iP/dpzR - o5NjwzCgSEAQCRBv3W5gNaTL5pDsEiEe4i7XcwgNrR6hkFy//9J3IsfocIcqdLkRaa/t2uyZnzxy - Z46MjRjw4RcRy1fropGObR+PkDd6/bH/t6WK1gU3C0/1pTPP93V+8cqCOGS5sK2Ooeh2NJvNTp8+ - 3XVd63O3K5E/+7M/+6lPfWp9LapdPRKRdrFqv9oFy3YVM+fcdgDalNsEJ5NJ+6b9ioiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiG4fqtrq3X3fA4gITalFUZYPWH8n - ClFIFpFo3Rwbsdj93G/++vZsknMex1CFqrrD3a9d+ZfeRCni7u2e9l2Sz332V7F3HlEFABSSVuEM - v3p7YTabLRaLlnIHMI5ja3iLyGw2E5ELFy60nvdNFKegN9X3fSllGIYW8lhVja5ZvyDnvFgsxnFs - y5W7M47QcIwkIiIiehMSroiWUB0VVRHQEP/aM18+XV+ybC7QLJJg5ulgBynLWvDmv+DuCmmZ7u3Z - zrvvfy9GTZ4QOUSXYVcIkIEsoeoqAYlVxPCSidG14aIWeUSJblpVa7hqzj7dysc6malJUlOMSUOl - hp9NaVdxkOobvXMcyzNUoapmdv782QjbfESs8p9vcnpjP9oJjlYKjIhTJ0+JiwSP166xNkIuM65Q - h8Sq4pxUReL83plnXnrKy2hhKV2p7tzm/mrWh2z01/f7akKT5FMn7y1ppqYiIWIBj1W1dN0Xl1AN - juZvSSsDIwRwSAUcoSlykdk9dz+ASCKwavo2Pk1fJ9tXy0aIuLtCkwNVRWRM810//+3vPuVeRZZD - irunlF53Nko3vohuHSGhWSLExR974lFLvYiEXBxTBauxNFQCySHLgc5NMaqaeqh3Mr3/+Pt+/if+ - Az23tSUTMXMJE7ioizo0kEM21qNQDdHlBtQBb9nm6/1+VdV8HOveq2dfHGofApEW7V6/XQXgghYm - P4AICJIiHTtyPCEhNOKyTdTrtlmHhqyHwoCiHNs+0X6y/O3bPmIRQUQICkTH6Pu6e+bMK+51tWD4 - 6qvl5Ok2cfm2daz9ZFpU9ezZsxFx7NixCxcu1Fp/+qd/+rOf/ay7T6dTAGbWDgT2+3yllHb9qcW5 - c85tIY+I48ePtynXWnPOZnaA6RMRERERERERERERERERERERERERERERERERERERERERERERERER - EREREREREdFNrd0IfRxHVW1VZvfAsrmw7HZv3Mc8IZeAAsgCgeeM3/vd33nyG18vRcysVqgiAjln - szd4SrrWdnd7Ce8mUNWnn/z6F3//84ixtNkm+2jQzOfzdtf69b3rSymLxaLW2m50v7OzIyKt9Nzu - gU+3gMlkMgxD13VYNcsmk8k1nH5bflS1LVci0hrexEQEERER0Ztaxf/EIAYE4JbssWe+7NOFY1CF - q4xmw7Dcl92XWHW2FWjt7VXFzlNKoZBIJ/Jdd03vTjWhrnfgZHmkHLoOEy5zpbE6+hIHDmvB76Yl - IlAdqy0G7yYzN3RdNwyDu4/jqHCV6LLmFIExWoiSbiLSWpgSIZA0ws8NuyPqxeIjgFVZ+QCBzFbr - VFcNmMJkudaLBMRFknjS2h3dOgGPA4wndHUtuy6hEtoGzNZHN/fBFyjjS+e+d7o/c6Eu3KEiuCzQ - Li4XO6zLX62XgqunWDWgoZvLjIROYuvO7XsEydRD4KIIEVx8ihC4eFz/6Oyt4eIckICYwBFZI4mI - htx75L7JOEnIo+HtrFsaABwb80hEAgZAEjxCchrd8xa+/vxXz+OMJuRIIgKIqppZi8dvtoRd4JzL - dNgpoC5tcQUAAVIgxcXu8vpXCKk1JOkc5xd6/qnvPu5p7GO0WC7nbZRzacNy620vd3FXeW/XQK4d - Lkx//sf//ftnH9i2I7IwRUAkln/YXpVI6HLDDAfgl+x66ebm+/rJuevHxZn62vnxtWrzkpBTrgFr - 232EwiDLKxlxsCEoICJZdGe6nSIlV0BMEctNDABfbYkO4fleDZcaLoFOyna3lTy1xcUFJssxtc26 - A+w7R8AMmpAyqptrvLj78p4uQixFWyKW6XS6nUVE62pvbW2llM6ePTuddiWpSPzMz/zU3//v/tu+ - X8jqYe77HjrGcVxfs5xMJovFAkApBcCFCxem06mqtp43u91ERERERERERERERERERERERERERERE - RERERERERERERERERERERERERERERES3oVYj6rouIubzec4Z4QgHvFXMYjOxIIFazUwVHgBQxx5j - /3v/5+/Y3u60y5JggX60nBFWJXxVbFh2G/zGBBtuIb7x9UZKKdNpHub/P3t3GiRZdp73/Xnfc+7N - rKW7p2fBRhCLCZowF5FDWTQNmQ4xKEU4ZEsmFXJI8G6ZdJheQh8Y4Qj7Cz/Y/OIwLcMywQ0EEdw3 - g5uoEDeTFEQSIiESxEIsM8QAM4PZZ3qrJfPec97HH05mVlavU9XVM9XTzy8yerKrsvJm3bx57tZz - /8XK7Mff/0MY9gyx+AGufu5lzfiUkpkNwwCAZK11Op3mnM1sHMf2xba0qL78mhERrdvt7iRbnb19 - 5USklMZxbIsTgDYJpd9xKlMuIiIi9y5Sid9TyIOZlnNnhnkusxTzasOF+UufferT826/YDDHWIPI - k87tiEW4MFTzam5ErsgVRoSjeiCnsZYS6LH5la97eLKz3SF7rm3PtqVnjXAEbKSPYTUsAinQER1g - znDt/54oZxhLBzpS57mUCue87qAfRi8+6RgZ1VELS60+KZgEFAm8u3iYI6zLk1LCuvzMxediSvrQ - 0qRGBDzgHjAerd5NoCTQ0Fd01avFmAAgETCE14jAkLft/P2ThxLclfI9WRZhATBF59HRQKMTKVBT - mXf7e/nFTz7+pzWPngG0HjOI1lQO46LbfVW9e3FDi3g7bREFb7fGFyO227LeTUOKlC9ufM1bH94r - e3Wjju5glzlJkdsknAawpnmkeZiDHahd+BtyutOMHsawcEQKt5iAudjcLd750FdMrmykmKSMevQN - rmgldcAQLQQbtlg8iOqOGlENNdfKkonK4SNP/f7s/MW92d5WPstqoI8DuzypaQwfgXCEIVqdnaam - rJxmbvRWiI42uFnkiBzhQ+3CsuUwDxosIWWz1KfN/XGIc7v/8tHfvjh73ic2pjomwCLFYihbGye9 - VpjBvM9pGrVMDFvc7HY3/9Y3/idfdvbhzfm56bzfTH2U2VhLuAHVMTrD6E5zOhCwSh9pEQaaAakN - C0ddXx/DONa82X/qhU/Ot66kVLwgwOJW3Ks5bEiYtTw5AfKIL4cAzcwQZZq7c9PtXLxjtmrVUHyx - QRJWq9cwb531O/SbHovX8JQmXZdKnfeW7ts8NxlzXzLa3pAvGufHRGSYAcSMPiRk5O7jz316uG8I - 389RU3Spdq3j7og7vTDIKXDNCU066Mm7MoaZkSxlmEy6WkeyJgNj/Lvf9q3/y//8P2WDAbWUnGx1 - 9nFjYwOG1GW0/YEba+cvAczn89VXzCznXGt191rr6rBPu7NqhJdSdL5KRERERERERERERERERERE - RERERERERERERERERERERERERERERERERERERETkNaxFmmutZtZ1XUS7pnoBq7tXYAQs+yLpHQWs - AGosqt4AELNf/bH34uITHPZLRTF4n2az0nd5WctpWYlFS6ea6mW3YAxjYJlOX93i8ANsmeWeV0Rg - w+uzn/vkH/zaB4HFNeodAAIcgfoyJ90Sy+3C+OM4ppRWl6/vug6ALZ3MryqvrKvaBO2Ouw/DYGbu - jmVau40MR9J+fP1OW1RKKV3XmVlKafVdpd9xyjouIiIiIqcPHfRaOAwzY+3M3AJ9fOKRPytprB68 - 3b0SDzjoQMsKLqqu1RBR6eZuHO0tD7xtUrcS0UKxTqxuqywZLQi0L7eXDUDd7hPnDGc4sZrJtEIr - bf6DvnoTiUQkapP7rjJGJdmnHuSIYXe8sld2Bw5xq1DfkbSE86LUS29HtkiYWc7T8xtv6OpmMq8s - UL37ZBkBc2ZjDiAsWow23JhZp/PPP/PZWR1gKWcrJeJwTXlV7D50nIyrY5O47hrBF6lv98MPM/q5 - 7v5NP+PZihUCYLaA0XzZiQcAq7RoA44Og91ci9fSghbLVWoCLKwk8Fx339l8v8fySNDRx+ZYTCUW - bw1Xi0fgoOMeYWFEeN3Plx6/8Jhni7GC7p4BBNhW2dc8tz7scprF2p/LO3Sj59wDXljIGqjBwlJZ - yEjF6pX8wh999vcm96fd+X7O6BxeszFjUaNePJsTeeIBFJb5fH+r3xguxuZ439e//V0Pv/WvPDR9 - g81RSyHNLLknh9nyU7PYJFu8quVWMZbbaXS/893u5a9Rn7n01JBm2eGBiIAlIgdaVnw56jAD+Uhj - EA2Ag+5Ik65PlhO7FO6LbYnFfFhsi2I1Q04Rg0cEWdspnc1uo0Of6ADCQKA62jyx471ZkdJigIWF - jcRzs5f2fA82OMJ5KGd+zEnIa1aQtY7z3KXv+K//wT/8h/9jW1BqZa21nUDa39+HWS0FBk9H3hwj - WUpxd3efTqftRHitNSJaz5tkRLTCt+rdIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIir0kR0ff9bDZLKdVa5/N5uzh5cqRkEQEzcw9aKSVnX6+ZrF1lv3Dv0s9+4AfP - bU2TYzaHJ+Scx3HE4mr8bH8Ch7I78jLdaKatskRd57XUiccP/ON/hGEPLSjkwCJvFWiR71vN/Bbk - dvdSCsnW6l41nuU1oJUIANRaW6a9Vbr7vgcQESmlnPNkMjnGk7dnnk6n7U7OmWStLzcbfw86dSkX - ERERkdPG3c3M6O0OnHPb+fgjH6UfVLWMsNuJbtp1mp0ksuWE3pHf/Oa3uKfQrpHIHWZRneFgxFDS - 7rMXvjCWPWfQsDym5OsN5qNKASPCvDqMSET1xYEVJ+A0sze/7m05NgGPULrvRNHX29qOcIKGgANe - wSuzi198/nEzAjA7TtnTeJMgaMTVtWa/79z5zcl29g4VRkM7JoI27eWDr9sDlxuxWK5SHfBYdLYJ - 4MyZc+e2z7cmOrk4/nhHlRgf/dxnvMPAAU4kYLEeP3Qoxgmj6/iMnHZWYMVQDNUI0MPy6N3oPiAK - CGdOlgwOc6RSYnpm+q8e+ePPX35k3y6kHjairzlFB3YVfTWAMCAxYGUeYdM0qwFjKvnB/MYHy1u+ - 7V1//w2bb8w15tzjxErn4V1isrG+MjXulykMcNLiyaefjKhuZoYImtm1W/jHQ9KIhLS9ecYsXTuC - rZ+8OYWjiSeLiBYnjsrNzc2U0gk+fzvBwDa8O4PlpZdeGMqc1ubM6l1waL0q1zAjWVMyIL7jv/n2 - 7/xvvyMlcwfIKHVrawsAgl3uQEQ9zujTTnO2U1Z935MkmVJydwAt4N1OkLdYuIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLz21Fqn02mtNaU0mUxaeqDW2q5k7u4R - 0b7bqt7XVcbxl3/pgxdeeLbOh+1NjCMCMF8kALjo5xgAJ05V3OGUc8CWf65nDWhOc8AXBfVSPMbP - ffZTH/3DPwCAWgHE0dsULUVnZutXsz/ZlIO8ukopq0B713Xtft/3ZtZy3bXWUspsNjtGRynnnHNu - P9v3fQvAvwI9prvXKYy5iIiIiJw6rarlSKXU6vWpC59/5soT1euyBofESAwczua9PC0yCqDtbx3s - QpkZw1J0D5x53X1nHyBp5mHQzqzInZOyuRFRgnNM5489/ZmUw7D4dNOccCeOt4u56DoT1VANTneC - Fst8qUWUcSj/2pf+GzZOSINrV/aEOR1se8HhDEOEoToIVJbHvvjIgL2uSxFRSvht7y4blscfFz1p - 0CJssfw47cFzD018M6NjpZk5wtg604t1wSIEvug6O7ULfwtr82et1G5mETHtts6ffQDBxTEi2tFX - 2UfFv3jiL2ouxYawMLMW311/qasj1Kaj1XK60QLA6nMV5qPb6JiDo5EW7pbcEmGkGdmNO/HS7370 - N7Fddyq7CVJBGt3Z2slBg7U1IwIAHTuzurGBzZzscnrA3/xf/s3vfJ29Je3YsD8Lq5ygAGONzJRO - 3YclaLVifOGl5ytYQTOQi/Mci019Imw5qh+LmSFsa/Ms6AEGCIRds2Jw4qR64SeoDYDtTq2176dd - 11/T0D7+y+ZijAWJVky/vHthf9jj2hzXGCs3EhHb29uXL18GsLGx8d//D//dt3/7P4hAlzuCu7u7 - 6w+2o28guns7TdXi3O7u7i3R3U5/rnLdKaVhGE7gVxIRERERERERERERERERERERERERERERERER - EREREREREREREREREREREREREZFTZlXmdncAJCOiXcm/faXW2h5Za+37/vrPQgAxe/HFX/3gz21k - ZCAqgrDkq950S03HMkGt2s2RrCoHV823WMbpNvo0TfzhH/g+7F2BIyU3QwDR3pzVz90qkdAuXF9r - bZfEr4sE+KnrTcjxDMPQKt3DMLRmwTiOra7t7vP5vN1x9+P12ksppZQ2ULRJYG0MkWtpJBQRERG5 - hcUOagB0kpbjk49/dNdfql7aA5xIRLqtTt51ftDdEWZjetsbvwzFajFY4p0PjYrcuyySmYNRqmVy - Y++x5/6868NYwxAGwm9zHypVd3r1aNVgI9iemeaeI+A1vf0NX+7DBGFItzyEIkflRg8AFo4wBgHC - ae7ZPv35j/tGDdTFY46rNdpbpr0dg+TiFotDku3L9Afue32Kzmrn9ISEVmZF67zGrQ+hybXoxjBi - dey39Vwj4OweOP+61ZuwSOreQUHn8y89e2W8yL7S2JiZ0ddjssYWM9bxGTndeNCbdwCIcBZnZGMG - HGBlqSwV1YqN2Nz78Gd+5+m9x/fzbr+BcYbepygJCNoIK7Ys1hMehgKYwwPdbHK2PvB3v+nd7zj7 - 1fnyRl+nveWcnRbzcYiAuyek07ZBHF72x73ZMKMhAmZwB1gPbeQvPvhx3F0GR/iZzXNt34Ssi1VG - q6G3B5xWJIFwz21rB/S+n57gCzazMKCtf83MOC+znb1LYXF1H/z4819es8y5s3v53Lkz8/m+GXPO - 3/Vd3/Xud/9HYxnTcrAxs3Ecu9yxHnn5WX5mWWtt579TSu3zu6rat++O43jDU+AiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJyNxuGoeu6a69VDqAFTdoX3b3rumEY - bvhEZnD8wk+8n7PLw94wyXDHfDzUYakAcdulpXtDK523+752W7e6SL0xYrbzuU/92Z/81j8FBzAi - gkTXpYNshL2sud6uXZ9zzjkD6LoOr0RPR14h7W0l6e4kc85d17XAdmNmERERLWRw1OdvI0YppU2i - jRjHq4DfIzQYioiIiNwMLehsW6hu1nXdYPPPPvXxWXeZPqJ18lq6O9rjb2daABDLDTS6OS2V7sve - /I46IgKAm5k24UTuHC53RC3FxfLsU5cegw0kuCg9OgBDGAMAgTjKR97pTjc6LaoflCNpCMDoqXbn - zzx4/9ZDVhIWB8TkBLmtBZIN1UEA1RBA9frEc5+PfpgPM3fP2Urc1pAOwK86pmGHFhinv+GBN3lN - KObs3IykOVu32xeNbwAe5rf5Su4VLS28CKd7wGkBBFuOffTXn39jCvcwwHiH5ykNcM7K/pMvPRl9 - rVgc0TZL7Xt3dOoiJy7MwWxMtvjsRFihF6TqDidYyBqWEyYe0/LFvc/9/sd/0zfL3jh3MwZqZeo8 - vIYPsEW324gwhME9efW0N+33zrz7r/8Xf+lNf3l4oWz7mVS7hI5M7VBvzm5mY9RTda6ARng8f/G5 - itrObTnhy1H82sFmMUodhZk54ZHPbpwFjIawxRdtbRJ2MLFTVaeOg9A4QBqJzY3tdr6prSvXZsjx - X/l91O7XAAAgAElEQVRqw4lOy/X5S8+2NX3YkWe43GtSSuM4ppR2d3c3N6dkfPd3f/ff/tv/Qa1c - P7d07K3zdpqznRvLOUcElqe923O2nre7t2+JiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIjIa0zf97XWdr+UAqB1fFvCuSWT2hXLI2I98Xs1EjFeefyx3/q1XzozzX0C - AE8HV+WPtaZSqyzJSTFwM9WfeN97Me7DCAtGITCM9Ubd7htd497Mcs7jOLZr1NdaZ7OZ0suvGe5e - SmnvMoBxHFdfX0UKzKzruuN1EFZPknOutbZA+Goqci3FokRERERuwZIH2KUEoHp9cff5p658Yez2 - qpewRSrPY7GHw6PXu/0Ge6e11uyTXCdf8sBbOusNXmtVy1fkDqIzAJolHzB77NlHr5QXhzJLZjTU - 5XEN46LgfcMDGzfjgIcFLQAYW0wacNZAz40vf9M7c+0yU7+s+slJMcJpTqOBFs4wBA000OPC7gsX - Z88PvhdWAaSUeJzMZwDRGu3r3e4Wpj3odhMe8EivO/96L9kKkqV2GNTdybp40OFFTPXuW1rNImfL - eLcjkhER2bPX9IYH3uRIIF+ZlSktqg+PPfPokPdb0BduiyPdh5aug3ityGnlYAITmMEMAFbhc9ic - MabKXDwVz9ZZl+dpuBAv/PM//40ndz5b05AtjXN2PpnXgR1qGugwLLrdBGgRBq/pDM5vzV7/H3/L - d/ylN/0VXPQHzpwrpVR6iYSSUuQ+Z/MYUQrqqz1DDrOIVJ964cnqBW4ASPDQUHNwAPZ4ow9ZAc/M - ZzbOmaW2uoGFoQ13bRKL5z6dmWoziwgGkncM2948gzCLkzo0HRFhBrc2oeJdfe7Fp9rSteTOw6tj - EQBASiklD5bpRj+d9sMwjPP5tO++53v+12/+5m+KWg1I5g4bh6HP3VGfv+u6cRxzzu38d86Zy61M - kvP5vNaaUtJ5UBERERERERERERERERERERERERERERERERERERERERERERERERERERERERERkdew - UkpKqdYaEe3K5BHRYt5mNplM2ncnk8mq8H0DARZw/nM//iN7F55FjQhce6XzMBjDQNW7b241d4yx - flt9d332JZanvvAXv//rv4oYUAeU0d37vl8+xRESDBFRSiHZFgYzm06nt3rr5a5BsqXZa6211q7r - WqW7feqn0ykAdx/HkWTXHbmD0MrfAGazGZaDyTGe596hdLeIiIjILUQEWd1yRNkdrjx76cmL5YUx - j6tEaMu/3VYkz9YyfsvnqZXZu0mZ3j99YJI2sntEuOfbmIyI3AKtoydm7MTO4y88tm97pQ5d1wUc - ABdHN5ZHRo6efjQ6EC373aLRRhgRjoiYxtm3PfgOzpjMU0oRp7K9efcLQxgN4Yz212LDsxef2Ykr - xaLvU62VpN/e7vK1bXcaCIBwujF7+H1b51NkhCckkmQFgqwAja0/rb7oMQQAp6O91wBJt5xqd377 - /g6dhdki7H1HD4kEEZHjqUtfnPt+sWJmZkbS6VctHctNCB2ikVPOwYTFChHtE+alJCKxM2a6zW32 - Un32yd3H/uWnPzT0eyWG7O6wMQb0nHNvMaodXr/l6tNho7u0+be+8e99zZv+ra1ybsM393d2U6bn - PvnUmBCGGEqZjRzTpDtV9WVaRC7PX3w2rISFJbQsr8PsuvsIdtW5lZfFiMR+mjezZfrB77+Yo9ae - 0Y/xzK+A5fhHI1PKgE8m08W3CEP7NW73lRsBgmRloZeXrjxfvdZDi4qGWbmGRTsXBWBnZ6fW2nWp - 73NEbG5uvOc97/mGb/g3U7IalWBOeSzjUacwjmPXda3bPZlM2omrdsoq57w6BT6dTodh8NvcABUR - EREREREREREREREREREREREREREREREREREREREREREREREREREREREREZFTKefcUs3u3gq+KaV2 - ffJSynw+r7WmlObzed/3ETe8gH/OGQxwfObTH//oH/9hYskJw7D6vuPqKITcQizTErbsC12lRW4S - I7H80Pe9B8M+LCwnACSHYQD8Rt3uG70X7k6yXSofy4pzurbBLncnM5vP513XpZRyzmbWmgVmlnNu - 2YJaa9/3ZjaOR+4gNO7ecu/tadsk5LqUghARETmN2sbwKvH4ar+ce11h5Ek/DAOc3Rn72KN/wskQ - 3aLae/Ueqp1YLc/NOeJLHnxLV6cxAvSc+xL1pJ5fRK7hpUTX9wNGTPGxRz82cEiTvla2AyDGMIYT - WHW7j5gOpQUQDsAWz5ACieh7m++P0/HMV37p1yaYpyjDqHTfndaKqrRgXx95/NN5O41AoGZPZagp - 3d7856EfD8AMDGRzo1vB2Y1z07zh8GS5HQhLKVWG2WqpusERNbmBMIQtot0AAFtkvN1ZyOoT27h/ - +yGPDPgr0Lals/rw6FOfKZMx96mUklJaP7R9qtrDIjfn4HKz1wIZdCdyYILso3e1s+rFCrbjJX/6 - p37zA7vdFfYAQFZzIpEditEAVMs+HSsKzLs838G2bW9c3Pp7/+5//k1f8dc3yv02z47EFMysjKAZ - EgCieGJK6bRtDocFc3nu4tPVIlDD0F5gxHqi24F2SuQ4w4+7sVYb/f4zD45DNSSAAG054oXh4DDv - ye2PnBSyttWcIZUSRj+zeQb1ugem/RjHq8MQARA5p8Vs7+P5S88yo0RtwfhkDiAi7Do1dbmntU1u - M+v73pzDMKRkZEXE5nTyAz/w3q//+q9rjwyWtpnWfsTd25nLW56/XJ3oms/n619JKbVjPimlYRj6 - vm/fbSe32mN0REhEREREREREREREREREREREREREREREREREREREREREREREREREREREREREROS1 - Ieecc273V5dJr7WuKkW1VjMbhmGtX3O1UoqZGQIcfvgff28XMw+6AYAlt7RoRbenbFc+lyNp9W4z - pARERCl9Rh1mfcIzTzz2oX/yi0BFHTkOQJC8ZWRhdUH79Svbt4RNRLQ7JLuu09Xp70ZcwlqbAMBk - MgFQSlmVCNrD1gPbwzC8nDe9jRttoFiNIW306Pu+jSHDMKy+JddSCExERETkFlJK4zh2faped+ul - x194bMDM0pGTvTeztunry/vujrDzm/dP6jRFAlz7RSJ3Wury3rg/TGafeepTL+2+mKepMEqlE07A - Aharzz6PPgiEtSc5GD+c7vT5Hh88+9DbH/zyc/5ARiILSbvJMTA5rjAcHkkdiNH2X9h9Zh5zJJgj - WEgYcbtxTzqIsGXt1gCANKcn685snUvhKdy5vj5ZPHaxjjnU/47jBV/vJcs5vfhwkgYaGGaWMj2j - 3+y3Evurwup35KUYyFqszLD70u7zgUV9090BB50GYNHxPsZgIvLKCqDAKi2INjQlj+yRnRkVNOvP - TGa289Tu53/jI7/8Ih8veb96hAWAcISBBhiiILEbx0qklPs68PVnz+N5/7Zv/Ptf/cDDW+X+NE7d - e7ixncJpHw8LWoTFaf2wcF73dmaX6KWCZosBf5mIjtsfc0i6pcx+I2+n1NEANwBOOD3uqgO8Zmbm - yTuzdFKjsS23mSzMCPMYY3dnfrmwtBkFYLUjRWulcxEAuM5CaG3lHMMw6/v+7NkzH/jAB97xjrf1 - fY4AidUWekS0U9ftdNQxJh4Rk8nE3Un2fU+ynRZtZ0zbhMxsd3f32L+fiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiInGatj9bKzQBIppRuniqzxWXW45lHPvXhD/1O - imGSEBG1RK2MAAOoMLP2nHIMEdzfH7rOu+Qs5b7Nzsvsve/5XrCC5TohIQYQ4PLOoaeKlFLOuZXU - 28Xt21ucc3b3WBRsoFzVXaeUYmbDMLT3rvXXSynz+bw9oGUIrqpuv3yrJaT9bM65lDKdTtuk5/N5 - rTWlNJvN+r5fLUhyrbup7CIiIiLyaiGZcy6cP33liacvP86ECIBwLjanCNxG4PWaTTLC6HCrlW86 - 9yVdTIxOXrNHJSInzn3G+Tjd+9NH/2iv7jI5UqYbAAOcYVx8Co/X7W7RU2ujBxexQCOswIb8NW9/ - eCO2nU5UgDoUcgcsRmsCNLT3AlbntvP0pSfmMdBAB0kjjhH2bKHo5c8dHtt9Oe0wY+ps8sDZB51u - 9NVkwha35Q84FlnWCAt1Rl8uOnjVYSYzWrLcWb7/zEMJB8cf76QgK73sY+fpC0/WFjCOMDNby8e2 - R+I4i5vIK8jCbISNsBoGmllkj87YGXM3nexj/0J5Pu4bfv0jv/THj/3u0F+oPgcKLapHNdBgRK6Y - wL2EO/o+lxI5Nvlc963f8O5v/oq/+ebpl6d5diIsqodZMiYDgKheq5dYjNvudL/Tn+CjoMV+3b24 - 91KkqMuxJeHgUx2GaKuBttI/+ouPQPauQ7+9cTalTNY2yABuNCxWb05rUz2lewxOB9yQDKnrJu55 - 7Vvtv8d85TS2jabFaYCEitnu7OJsnCF5e1ILOgzOOz/+y93o8GrYAsD29ube3g7raIxf+Lmf/bK3 - vdWB6fQgsO3uk8mkLVHDMBx1kjnniGgnzNp5MjNrJ71qrcMwtFNfEbG1taVTXCIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIq9Vrejcrnze931r8d7k8X3fGWCsKLOf - eN97e6sWY0rJUoJbTsgGEAGDGU3J2qMhjOapM899rTCQ42zY333685/9V7/9z8ByzU/ENbf2PIuq - HclaaymLHxzHsQWY21Xua63tMvWKKdyNcs7jOLZywc7ODgAzyzlPJhMAJNeL2qtl4OUrpURERLQ7 - ZtZC3W1Ck8kkpVRrnU6nbRiRG9E4KCIiInIzYSi1pi6PUUbMH3vus2O33/d9GQ42pGio5tWWCd5j - 778c/kGSFnjjg2/uYoqwxX6RKdklcqeERcXAyfD0/hc+9fTHfGKzcWRymBnhDEMcdP14cHTj5U8h - LMIXA8XacOGb/Ybv5Xd+6VflOmFF0ADT0ZCTRYuwoAUsAAN9uUccO+Wli/svEgiCBAzuR047t243 - DWvl0dUkYIbl87nRjem+M/cbbX1UX5vetbvqcWpTrKdJANGyvracYy2PbWEJycPOn7nfmXwx5+/s - LA0QjsFnT77whBmTGWuYtXLt4rXSgrZ6HXqL5TQLWAkrAI0AjEwMq1avlEvD5t543/6v/MHP/+nn - /jCdHWeYw8KAWC3hRAqkgIcnR3Yf52WDm35l+je+7j/8Gw9/69nyOt/tfLTsiWSpBBPoThoqrdAq - AKOn8BQ4bYc0Z+PeldlFS6CBWAz4zqtqwLfFLE18spm3DalwMVxcvd9BbyPJCU73hAVbwLvrJgxr - 4+Gi221wLgbtIz9rIABb7jG5IywGznbmu+GLL66t1rV9JS+Dxf7+/mTSlVLOnt3e2tr6v97zj976 - li+ZzQYDUkobGxstvN2q2y2zfSSlFJIpJVsiWUpx95RS3/et6i0iIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKvbV3XAZjP513XrXLON3l8KcUNACbT/tGPfPhjH/nD - jGrLVoIZOkcyVGJQC+WIWrc7gP0Zug4kJ13qk02s/NSP/hCGnZslD4hr6zPT6bTd6fsegLuT3N/f - zzn3fT+OY8u0twvXj+N4h34vuXNaDqPWur293d5ckiSHYej7vr3jEdE+5kfVUgirIMI4jhExnU7b - cy4jHV5rjYhWT5Dr0qwRERERuQWSXdeVUnJvjz77mZgW0nqDrdX4qnm1fLzUHADA1/anfDXd7N1D - Zx/04lZdW24id15YHse8+8eP/PMX5k+yqyTmMRSjIbwVJltv+7hpydXBEQuk8ESvHqDn+fSr3/q1 - D555fceJoTfk1Z6tnCCu1ZrDPOBOg5ULu8/ObMdzR6IS7ujcUU/m2CEBGAIg4WgB6WzFz2yeWSVX - abEoi8NXN6MbVyO/0t23YrFs2PqiWmtBAHSzBHgiUHH+3OuMmaTZHS/bmoFe0fHZF5+BL6ZI0gnQ - 14q7sV5wFzmd2DZEjbACsG25Vo99359t7O6evfg7n/6nv/OJX7uSXhjTHtNis5WGag5mi2zhTndH - KaXOhvPdeb6U/8673v1t/85/Zpe2Y5aiegvWkoaAWReBsKAXWDsn5MZkhF+brH5VhcXefGfgQI8w - kOB1PtMHG/N+vW/fkhHTbiv7hLQaCAszaysMAHF6Y90AEBbtFZIGGML63Bu5/j7ezntKwgzubnSy - EhUJSHFld4cGuJlZRKzqyLf7+8hrDP3gtmZjczKMs65LtY5m/MqvfOePfuD9Dz10vutSrXV/f7+d - 2WobFaWU40281ppSaotlSinn3O6XUiaTSa3V3cdx1CkuERERERERERERERERERERERERERERERER - ERERERERERERERERERERERERERGR16oW6ibZys3t0vo3enBKqVZ6MgDzvSuw+mM//F7nGFHHyiiV - lQg6aAYqeHMUa0k6mAEGRJ3t7WbUZ77w6G///E8ixmWRwtduAGBrtyUHfDabTadTM2tR9hbqbtel - bwFvAO1y98MwHK/uLK+icRxblH31Vm5sbLSPcN/3pZT29dYdaI88krZstH4BgK7rSF68eBHLVgIA - M0spdV3XljG5LiUfRERERG7B3QlUlsjx1ItPzLA3DGWSJy0vZwAN4R7mi78ffQrXvU+y76fnNu/z - mhxpkfwEtDMrcqcYrYvnLj/5kc98aJzuldifTLoStfWeHYs6JgDgOnm/l4MGAgScSJGdCAPNfb/7 - q1/312zuCPPoHH3rTJ7oryeARSwbye3NNMBQn73wBLqR5iAiYA4DjPCjv8VoKwUD4Ku+uxnMsEiF - 0ozGame3zwFYNbnbywq7Xn61RamNCjzfxMGsYQL9UOycjiAACzu3fZ7xCh0JMQMtItXnLz533VIs - gdB7KneNBGYAsOooZAUivNrZOtvc+e2P/5P/91/8RL1/f95fuTKLzd7CQAMNoKdwp6dwI+alTDfy - Ztrqdqf/1b//nd/8Vf9evJA267ZbtgTSONCqJ+vNjAZ6CSPoRvdITl+MzKfss3Nl97IlBKr7YrQ3 - rO8XHAw7fqxstBkiYmvjLAIH48nVM2E1lVOXpjazQ8MyvOs68PrbOUd99TS4o200tTJ3ABWg14uX - L5IVQDKzReZc21fycs1mMzPruq6UUkqZzWZvf/vbf+RHfmRrayvnvLW1NY5jq24fe6FqJ8baua7J - ZLL+VO38WTtv2s5+ncxvJSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIqfJMAwt8ZtScnd3J3mT65NHBIF5oTtaOe0Tv/97j3zyYymlnC2lhKgWo4G4bgdHruHAqqdA - 8wAIpB7RChFRNvr0vh98LzgumhM3mKurlPf69zc2NmazGcl2CfpxHFtf2cxa43lnZ6ddl14xhbtR - qwnUWgHUWls/uzUIWlG7vd0Rscq3H0lbKtx9Pp+7e62VZMt4uzuWvYM29WOkwe8dSneLiIiI3Ipb - KcXMLlx+8dL+C9VHQ8J4aC8l4G0n006mqOUAzGxrY3Pab6ZIZmZmpy1SKPIaQ4vZcOVPPvHh5/ee - tE0EYUYkIMFbN7kd1+DiKEeYH2mXigcdU4Du4UanRcD+9bd81ZsfeJuF1TEQnWGSvNPRkBNmES2A - 3VLZ5jRzAoinX/xipFIDbp0lAIiI7EfeX75+eBuIAFrAG8nopCWmZbq7IZZLyNLRli4BsDyI2WZd - AAzD6nPaVtDnts85Wv/ylVil1lrpcXHnQq01KtrR7YMDpAer9XhlXo/IMdHBHuwAOMNQDSNsLD57 - 5sqTv/eJX/+VD//MeN+lC3yh9NzcSOPgIAIAPRGJyBWOQo+8gflQ8rD9d77lP334Dd84vXTmnJ1D - ROmGsR8iAqNN6rSPDAApqpEWRsu162pOkV7dOXF9FhevXESOwvB8g8fQl9sPx5qCARVnNrdRsR6f - NsLoN0hgnyKrs3okDanlkM0MsBPpjLsbuZhKOzcQAJyXd3cqo32xfbcl4UUOu/azSYBkbG5uzIf9 - YZxtbW2YcRznX/M1X/3+979/Op3u7e0BqLXmnP3oG41NOzGWUuq6bj6fb29vY3nSqz15O8EGnSUV - ERERERERERERERERERERERERERERERERERERERERERERERERERERERERERF5jeq6DkDOeRzH2WwG - oIWcb4Q0dydAonPEsI8Yfuonf2I+nxOwBHdHVG/ViJPpqb2W3eha88OAUpBzPrO1+ZlP/fnv/cov - dtMOdQCW+So7+FFbFruvvaz8/v5+u6D96rL2XdfNZrNSSssobG9vm9l8Pu+6bpVhlruImbl7RLRP - bt/3Oef2xVY0wLKacfOP9nW1UHfEImy0ulNrbfdTShFRa00pafm5CZXARERERG7BYSOHyMMzF7+4 - V3d9Yv0kz2Yzj7VQtxUgjrtxFcAi1xoWYQGEE130W2mrs25V8iZp92raswVxF1lcC1gYot0Ji0Pf - xV38J4CWjjO6XdOHXj3kRnngVx9Xf7bPQqx/h7ao576SB2TWZloslxwY3ZiNGfAwhEX1qB4lzZ+e - f+GPHvmQbUQAYZjNSjb3w78IVn1ghjHWDng4F2/WWv/P1m5Xv7gwRIrcjxvf9HXfkvenZydnbHW8 - ylOtOnh18rhYALwNu2EMLxd2n69pXmNMlpMhKmoF3I9aU3auL//FGO2jSsJprbkYVsia2Z3tzzod - i/H/IMK6dI+O9ieFBlgkhjMAEA6nw7bydh/5FSvdRpAY92ZX5piNNocVX3ysXZ9uOWFtNXfVOghY - 366wxV8zkBePWa4clz9+/ac1IAWM7rSwKGmY9/t700t705d+409/8df+4OfHrcuxsR8JhQBzHZxr - r8EItK01IIih4O1vf/tD9z/gHUabzfwKNoawmVm4e85d8o6RooRZWv4OXL48Agi8otsStxQWl2Yv - MZVax2TJDKlt+SznKC24mMNxzK04Q0Rs9Ntek4NuBBx0Grh646wYoq1TTtX8WTEzM5rTzHqbdOjX - h0Iu58xRZ48RJCPaGYJwdzOYAfDZcKViAGhmNIaFEWp3y8tgALqu39+fufvm5ubu7m7XdSTLMDz8 - 8Ne+730/tLExaQ8tpawC20fl7jnnWus4jjnnnZ0dAGY2nU7bnXaW64R+KRERERERERERERERERER - ERERERERERERERERERERERERERERERERERERERERETl1WtGm1tp1Xdd1rcLbvnj9x7uvLmHOgBsQ - 44d/5Rd2X3x63NtDIGDVcsDd1Ed5+VogjMZovY1JDzM4y7B76ad+9AfBcdzbW+W3gTiIUizxBjWN - lJKZDcMAgGStdTqdtrrzOI7ti33fk2yZZ7mLcFkYa/XuFs9exbxbvRtARHRdd4y0Qc55Pp+vnn99 - ci1n0KZFspSi5ecmlO4WERE5jdpez9pGtrxqnGBEyiz9lUee+QQmVhD7Za+fJmP2cAIwJJbMwQge - t95NQ7u1xK8RW+P0ddPXs3oYKoOs+Z7clY065s5L1DDUZEzMOZVx5hgNNazSGB5hILw1/2gRFnfj - n2EoJZLl3jqvhvBACnqlWQtFI6ItKodj3qdHwMHcipHR4sgEiECuiwM6q/rmtXnRW1vFRw9+94OC - +9U3AE43eljQGDbCRmfk8Fwmk7TNyGOJmmFT349hp9v9sQ/90O4DF+asFsjZUkLU6rDlbF8sYK26 - 7QxvAV4D4EQme7InMlvGu2Wb7eAGYJijz507BhtS576T3vnAV77zoa86U+8ru9ZbTl6CMwZgnXbZ - TlAAQbonK0iRMzuGzTAf+uGLL37OunlOwXFINSWCGQPKkeqqxta1dfBQEJeG7InVLHJYrWnmqeZx - ev/0jRY94aCD3pZVZxvnA4hF6hUA3WlOWzyz3NRqqAQisWZGZTB7uEXgfLrvDLdJi4Q7HW8tROrd - Gf3EHnn6M3aGhXtuIwC2lT3dAQOccL25cjssDNXYcs4p4GG+GMGYjMmZfDHOJMQGYgNwWBhpqO22 - WEEnL0SFIeUwVIRZZOfEzOdDCoTHXt6dn9//9N6fvfef/e+///hvzs9drN18LEhAR9RqnhMAGFqt - OzyKo93GwMZG/uRf/Mn3/8z/8ZO/+4OP4eMXzn/xpfx0GfemYbXMqlf2aV7BOskxnWCaA1H3w2bs - xuoxkuZd+62vu/aPZcp6sVlNt6N/vpal80NTQTvkHWZ0R3IkwEkWL8/Nnxqns+zwkQhzT8NAy97O - ioRFeGlDOu04G3ARyJ56m/a2EcPcPMAUNYVF2OLAemJ11IBXO3XjSUKH6uYMG6sNFnUrbaeSjYuN - pTAURziMSMfoFAeSwR20iAgQVsFIl8cXar8LK7WONokRA0vNTPfgLpXcVACrc8uLDTPAosItG1IZ - o+u6Uoo73FHK+Je//mu//73/z9kzG4sPNNs2hZulI+3grM6cAVjdIdl1nZm10+GrrwNoJ9JWZ09P - 4FcXERERERG5N7Rz7qt/nri+qyUiIvKaV0oh2Y4oaiUor5bV/wDWdV1bGvWvIkVERERERERERERE - RERERERERERERERERERERERERERERERWIiIiUkq11vU0L4Cu6wBMJpP2177vATAKEIAHEQAJxIC6 - +9M//J6zudRxDDN2XXWf7+5tdUjXFKZlXbtafbvifGLJHI1hQK0AMbF6+dnHf/uDP5XSCMQymh6H - b+Dhv/PQc2Mcx1WWexzHVlxuj2hvsS29wr+73L72rq3eu5xz+zi3t3j9E91CAzd/qtVisIpwtwuI - tSds2hfbw1YXdMo5q9t9c7r0lYiIiMgtOJ2sY549c+GL1aOSnlFYfS0EmFpIeVH5OmqKeHFn1fOI - qnEAACAASURBVIilwYGuTrbSGV+rdRtaAfEeYsAkd1EqgDC3lGdDieAkTxBmYRZGgmGktZgiaXfv - nyQnkxQcyrgPVjO4W0qeUnKuFpVY73afst1lP5wWjuXS6zTHQcguFnU7mt3h5JyFO90BWISjmoch - DJZw6cJLfZc2NifzcW+w/bKx9+FP/e6Ts8derM+Xlhentde8KJEvj3O0JLAx1uc+7eo7q19tcYcw - Ins36X0sY0HAEaOdqfe/651/bTJs5zpxOkBfJFRxx8PC96JWWfcU5vQwRI459/fL3lBprE4Y3SzZ - sdKqAJbrhTj4lLa24nLt0OrvU9vItb9qGteURNcGfLq63UdFQ2IY6e6VKAwPn6Df7s6651cid+lG - EmRwuDLuDDYHqq2Ojh5sLYTR7/RgKPeAAABamNNACyDCAka0jrEV2AgbYWX54LaKc7aD+IYAxnHc - 6Cd9ynUYE6xDilojyt6w02/n0o9lMptNr/zex3/9p3/r/Z958WOzyeWxm1VfrOYAOKNNYjGithdn - qOY0dF0aakkbtK3hTz73L77vZ7/3Z/6/H39yfKx/XVyKZ/M2ZnXvyt6ls+c2uz6Ns/k4nzOs6yY5 - Z7JWjHRbZZauGhavu9o8/tBJX8xWI40AwsKRzKx10NvRbTOjc3e4PGIGwMKcMDOk9RcWtIMh/agf - dwPMYGZdmjrdjAk06+ipPTkAJwxhCBoIj9O1BbG+f1SBgEW2PsWho/ZtQ8sXS9GxpnOw7nYwB2w2 - 7tBnQFSwIuBws4Muu8hN3HC7K1K2YHnXu/7t7/me/21jo2unnwyWUlqdnVqdlDpeeiQiJpOJu5Ps - +55kOwGWUsLaubfd3d1jPLmIiIiIiMg9KOfc/mUqgHEc2z9LFRERuUes/gn+/v7+6n/YeLVflNxz - ZrNZu9NO800mk9X/MiQiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi7r66Gnm73y5R - 7u7tYnrz+RxAznkYhqvqzu1iLoYAx9/44E/vX3yuywnArGB/Fg8+sDnf33/lf6O7XYvLJEPv9Dr/ - /v/7/0Sdx1gm0+lNekZcux16tuVF7EspJNtFEdcD7XJXI7mqqDQppau+8nLknNvi0ZaNFuduVw/b - 3Nyste7u7q4Hwk/itd9bNMtEREREbiHa1i3rM889zUwSKaex1IMk3h3bi9na2sZi38kABAm7g5M7 - nVhBMuWu0g2ZkaNmrymZg6s+3zKxfOzU3+kQVoPzUuedWU59WK2BAKPUzdTB6DQaFjvOrSdnp+pq - tquadXtVjsU7EvXgdUaLFztx7KX50I9xEQW/ltGt1cHNA6jeVUcxTxEd9za2IsWMw7jR265dfmLv - kd/66C/vpMtIyBktlbeYwo0OVSwy3lguimEIWBy0S5c/F4slFFaQHHsjtrcwXkGaT77i9Q9/3Vv/ - ato7E+zMRhjCARrMjYr5njAzI7l+DNHd9+azoYyR4e0BCLbB9pjTiOu2HkkaaQAMZjadTg9lXeVk - LOY8D33F3D2CEQFHQt7e+P/Zu9cgydK8vu+///95zsnMquqemb2y3CRxkQTipgtaYRaELSQBFmAQ - CMFaDmwpRGActvzKEQ4rLN7INkGAkLwrswtILGCMQCx3Ca9hza4Ag7SgvXJZQMzeZmdndqa7qyoz - zznP8//5xZOZVd3Tc+nqqp7u6d8nYiayq7Ly5OVcnnNO1fke+OR34AikmbX1R0QcHR8SlZ4BQ5xs - vUTODTNO1ioBBKx1F0hDoMAqUGgAB7CzyECmIRBsyW8Ahln2Yb1MgVlKqHRPfdofOeESHy0fTQfj - h48f/sW3/dw7/sPbjvI19GM1VPSI9ggBBHwEYNwuiXSYO6NtMVdDzcAYYwCz+T5rffvv/+a7f/vd - n/aJn/5lr/qKl3c+W1zaR3f1yqMpW97ryI4kq1mpxtolj4RpmjrY6QFAe/CbbjXPfZxkZgYjSRCb - gC6Pjo4iojV6w2CG07ne9sRuWhZ/7hyYzWa39RDPq90mmGQ7yXcHJrpcLneTJmiAmw6Gy+06Pj58 - 6KGHhmH6si/7ssPDo2/91m8FAsZa21rXI6JlvNvcfquPn3Outbbz322XpGV1IqKddev7HkBE7O/v - 79Y8IiIiIiIi8nTa7xZ3XRcREdF1XSlFv2IoIiL3iVJK3/fuXmtdLBar1Qqn/j5H5M5w9zYka39J - 0v4G7Gx/VSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLygrS7St44ju3CQdeuXbt0 - 6dI0TWZWa805t4u39H1fSmnxKiIA39WTgMBq+Ybve/3f/u//p71Ll3zCGL5ao5rnm5ed5CaqZQBh - CYBV1LL68Iff99ZfejPMwSilnOEx2yXrzaxFu9sV5lNSt+gFooUJdrdbb7vWequpglJK13XjOJrZ - /v7+0dERyTbLtfTG3t5eRJiZrqt5NnrLRERERJ6NBY2rYXm4PLQH6Ju9FyCCBuPtdvhujk7i4ODg - dJLY7H7s+EapntwQiIhie7N5ZwmoUSp2OUYLAMYAEJtorm1zyvfS/8MLyNR5l7LBWSrMk7nDQFgL - DhJmwZaCvru63U0A2yitbW9v650AbddEfprC8cVoE3IC1QCPTPTzbn28SvNY8trSn/iJt/7QY/Vh - W5ScvbXxItphi2d8YDpt93rLJtrd/nXqDr59pW62WsfeZQxrXM6X9ocX/+XP/c9mw4OpzgGvPrU3 - 0JGN8TRFcrl9RmsfUNDr0dE1ADAgwWjBIIKB7X3Oxk/nYknCyGjdbhixmO/bs8xbchu268YwgJuE - tllbVm1vb8+v2h1Kd2+OVuPw8MmKevKhX7c99zu7PpQXIjotsDklErC4LmG/i0a3FZ9Vp8N8k76m - B9AGGLCAwd1TcnfUqZCMwDrWw2Iol9e/+s5fevO//bknp0ewN408Zsdq5PahTtZ7bdEjHO3BQYMT - MOQOCUCgTOMUkTnktFfz9O8fe9vvvvG9X/yZX/rKT/38KR5cHOwl64ZhymlRqyWYWwYBwhmdn6xA - 2+s8fTroAt5e2y2zraELoKK1BIJOAMfHx7jxgDjOt3vBwHw+J+lmgJ+hB/w8On2eoN1OKV3US7CT - EdTxahm2+dduYiTv1lG03Bvm8/lqtYpA13Vf93V/w8z+/t//n2vlditvJKdpatXtM8zn7exXSqmt - Q9qOSTsj3r4+DMNsNjvfFyUiIiIiIvKC1/bazKztcKlXKiIi948WSJ7NZqvVqu/7aZq0HZQ7LCLG - cQTQWt0kW07++X5eIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIncLdwewuwj5arVa - LBbtgucAWqm3lOLu4zjaDVGcXa6BQMZPv/FHX/13vmXRzdcjZvNuXdB1s3sqbvC8ae0zwmjemgeL - ObCK13z3a3h4FUTX9+PY0t23dimn9jnWWlerVbvh7i3gfe6vQu68tuRO09R1XUoppXS2xDu2F89M - KR0dHZnZfD5fr9ebSEqt0zSllFoaXPPPGSjdLSIiIvKsgs6PXnmcHc3M3aaJffbdLuWm23vmPcwb - unHchhUjLl261K6Zu8kEWpx9KvcmJ/bns8oaLHQb69K8W4/szJNnAOZRLWBhZGIAXlvWmtsS6j31 - fxomwq2PilpLIT17cncYip1ufBvjdqrCF+tUH3T3FTs5ZBAAnDCezO3PHZ/SWbRn7N2GczNJuDMS - 248gwpdjmc37I3uiLA7/rzd978PLd9dLhwVggGy9Xbhfl+F8Cicy2hSsGACD89QKgd5iqIQDMARj - nM9QKizA5fxVn/FX/sRLPtuv7GXLMLZ0t9E34dXdc5fzs6uuwgJGM149fBJm7jBaZSU3eXmzM6zY - rw+uP2UONzMnAV8s9m957pfn5FSNlZucMEmAZsnMomI+WzCub2dflCBpBne/dnSVFnBj2fZrCcBO - it2KyMptooehbb22gwQHE9hvwt1WwwqMYNqsqqwY3emZAEBDGIpHnvfXlocs8cCly8MwDMtl9xL/ - g2vv/tk3v/EP3/+7ab+M3XBUrtmckRinN/onbeTTz8w3i5u19DaGCW6YLdDBp2mapkNYKrB1Wv/4 - 297wG7/7lr/2eV/16R/zOXGtf8mlVxxdW4FdynNLXUyp1jFx6nOqBbHZtm5en1830VML1HkvXCS3 - 3W6YGcGIul6vbWFhYLsHW3gAdmpNb9v3+UwTBeB7szm5KVGfbM7uHZvnTABoEeLzFSdzQsAA43q9 - PvnmdkSnUwhym8g6m82uXj2cz+eM+Nqv/Zrj4+N/+A//tzaD9bM8DAPggG/r3Wepj7RW9+mMd1uC - Simz2azWmlJqp9/O+eWJiIiIiIi84Jz+hcW2Y5VSeh6fj4iIyJ3UDjO6+zAMAFo+uf22/fP91OQ+ - 0ua3NgaLiPbPruumaXqen5mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjI3aGlB1q3 - G8BisQBAsl3tHEArPbfrt7ij1RpOXQPdiQACZcDVx3/iR37w6//2t1yaXx6JlFGIdOuJqPtW63YT - MEY9Pn7iA7/35p/8UWAEonW73f1WL+NEkmTOuWUa2hXmn76HJfeYtuS26yy1xbbWOpvN2uW/nruu - 69q1wtqFNHPOrfWeUmrpjdNzjqIbZ6B0t4iIiMgzCQPMAtOjTzzqmXCaWQTNjNso4KZAjJYGPNOQ - 9Ia2KwE4aZcuPUAS8G2xGbdRCL9XrVarqU5lz6xPyVA5BKql2VQmIGhRvcLC2RrNCOS4Z3f1aQh3 - Tx1LhYX1ORDjOKVICTODg3CL7YGPNgfeZXtBT//mG+9MK/f0JKN6AQBmtF54tDC2c0Le71d+VPbK - T/2bH3vHh942Xr42JTA2C5kZ3A0AyQjcdGeTBoTTYAjjqW73DfcBth9WYcU82zAwD/sfs/fJr/qs - v2pH/R4WjFrTBIDm7RkC9eyrFHkGbQVOBhCo9Hrt8AppYXBuDi60T5/GM61xb/Kp7eqq1nLNwb3Z - 3On+jOF5uUUO3uTYJA1gJeFmZom0xWzvDr/vdLt2fIVWAQRBLdpyAcKuy8A7ATjooG2O/tEdDhbA - gQiDI4AwegJAD7jRK7FaDnuXL002PLr68PyB/gk+9pZfftPbPvzWDy0fTgt0fT5aH2GOfq9fjoMZ - 2vpzM/HNqLidmPHNLTsZANSK2QzmWK9RypgTunkmOJGeuY7xPwy//f3/9//xyk/6gi/58199ZcoH - Bw+y5HGqZap9nuXInMYAgOTXBbovitPjKfHvdmLDEuioUccyFYaZxXYjQvKGbvdt4Wai8/mCNYwO - 22Sw2zDjho/grt1b2DznIMmULzCRFQYaaGjJh+3G3XTySc6FmR0fH+/v769WxxGY9Ytv/MZvvHLl - yutf/73jWIZhSCnV2o4bxNnmur7vx3Fsp8TaSbX2aNhm79uJt67r2mJ1rq9PRERERETkBWhvb2+5 - XLZfNW6/wphzrrU++0+KiIjc49phxq7r2qHLruvW63WtVQfM5Q7b/QHJfD5fr9fqdouIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIic1q6lv7vqeLtqUM659Z5J1lp319tv19Y79cMORKsU - pGQ1yo+84Xv+5qv/1t6lB8Z10LwEzFRJeVo35CjqNgBh4H6O73zd/47xOGcvcfZrGJpZSmmaplpr - SqnWOk3TfD6/zWcud4m2hOacW057mqac8612u9sPmlm7bGabYfq+j4j1et0eOSLMTOmNM1O6W0RE - RORZmDFQH7vy6ISRpNGzR621FXqNJ91A2u2WtW2TcQ0jnDhY7KXJHFaBTcr3PhOGNJu5pb0XdY9c - fcQWqbDM591Y115BY1jQS3vfE7EJGN6zNdzqWNfoZj2NltHN+vV6zeQvvfyScrUAvRMBBwqMuyj0 - 3YXX1bt3uW4/OQKzuXHGp9/ymXScLC8nD3rDsQxaVJ9osGCKlAgPd+aAcZYfHx6Llyx//jfe+Obf - fbO9KA6H6DJSshb/bUejIuI5pTe3ndTTdyQQ3t6QAKKFvXPGsOSD3YtteuDLvvBv7k8vzZGdETYZ - irFlVhMQm+Ue9/D8fHfadEPJ9rmQ09HyahgYII1GI8wMZsFbnkkNwM2bqZu5CkA7dtH3s1t/eHmO - iOvXMCSJcLoBTl/M9hzpDixXBkZrGJOHy8OKiYZ63SLtLaLciu5a2OU2+G7+cQaMhjZHBcBN1d4q - UIDqmMLgSGF0RE0TCAt3dmBe2CylfO3wWr00Lh+49q/e+aa3vuMXlv7EOp7IDyA8HdU1Zwnuq2Fs - 23zfbvk33W72gMPGzVOzcDoMIAKY9zZMDCJndDOUwBgFBgLrMs337XB5FHn8lff/wvs+8vCXvvKv - f+IDn/7Q4mNrZR1L7tglr6NbJJjvFnM7tdrdftGxmeB1I4czs+3jnj4MbWYEI2KaBlgggTUAuFvE - 07ai7Uw7C24w+rxbtLMq1w22dvch4l7YsLT3pIWHL1IAUaMAaCcO2wkGbMd4ImeW3b3rEKVPmV2q - dVrM9//e3/vvDg8Pf/qnf/rJJw/BCoDEmc9YjeMIIKXk7sMwHBwcHB0duft6vZ7NZm1ObguR5mcR - EREREZFn1XXdcrlMKbVDBBHR9rOe7+clIiJyJ7QDie1392utOk4uzwuS7c/Aaq3tzz+maVK9W0RE - RERERERERERERERERERERERERERERERERERERERERGSnxXTatVkAzGYzALXWlu6utfZ9P45ju11K - POVa/5twUy0jLPPwiTf9zBu/6Mu/Lh28OMzdbzepdv+gedjm3UosH33k4V/8iR8BpzoF4LP53jis - GPEsj/IUERERJHdXmJ/P5y3PfN6vQJ4HZpZzboH2drGvkzzWLSIZEbu4xi5b0L4bETlnAKWUNsXz - fBn3AaW7RURERJ5ZwG2y6dr6yXUsWwKw69I0Vd8WAP26XmCcIch3urS3ve1maTZbYHLcx1fOpcWI - GG11ePThn/o3P7W2owHry5cuXbtydd7NgQiL8Gj7904D/Z4OnwZQjX03L+vq9K7r1svhgb2HPuuP - /Zk/+fJPNzpiO4CnAXfra20lz+s71mAre97ROTkAWoQhWRiSh6dwp9Vcj3AtvWT8mbf9+C+862dX - e8thPJov+mmazNBym+4g2brdOedSylMf3whaGGA8CZfiJNrtNNACtlm+wwBi7hlXZp/7KV/02Z/w - ytnxPiqIETY5QFogOx0IGp/SIpfb5MbgqQUnUMPKOA5oB79abN7MDEFGIJ15hqWDge3RtLYOZ7tB - At6lXp3mC2QBMMwTbVsvBkmYG7zvF2ZmvBPrI7JlkzlMy7ASFttPPdrm3gjAN2lhkbPaHbs3BNi2 - Sg4A9AB2M5gTsPbdmNzbDzpQEZ4iGGHDiOACR9NHfvXdb3nrb/3io9PD5fJ6tCMjaAiWMLhnADB2 - juDmLMupAbDHZoMfAJy7OdydUQZ2HWAoFYWAwx21IjsArAbOFxjGcZgeret4w5te/5f/9Ff/mU/9 - /JdcegURxDgVIJhmi6neZLt80Zze1udmRgsYaSgxjWVqr74NG9oRcPebp7vPiDBL7SB4gpmZc7dN - ue4ZVvN24+4cRZhZm2PO8815GjSUiEBUqwDcvQ3nkmmdK7elnabquq6UUmuk1B0eHs5ms3/wD/7B - lStXfu7n/nUpmxQc4DnnUsZbnYS7tzm21ppzbj25dg61nWZz93bO7NxfnYiIiIiIyAvPNE1mVmvd - nWxVt1tERO4fp7eAu7/WuOkvP4hcqPZbN+2vSkop7W/Anu8nJSIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiInK3aFfv77quXYG8dXl3FySvte663ST7LsVUb/44AFkwrd/wvd/95V/z9ZPF - 0YTUAze/uwDAU9MOLbiRWF73mu8Cp4RwQzEf1uvWDLvlSbjXWiOiXRXK3XeZdnkBiIj2ae4aHK3U - fquPs6t4tAW/rQpOx7xzzu22Zp6zUbpbRETkLrIb+pBwQ0qpDXRI7bs8nyKKZX74yUe8NzpLKQ6k - ZAECSAGjOyJuOwHqbHljeITRkmVHcvdWDybpZhFn2fu6d4UxfBhmR2N/9TcffvNqdjR1Kz5W3YEw - WoSRmzakgwnwsLg7U4XPhQNGJlous4QUgRT9rO790T/yMSX/0VSzt8jrtse5+bG7qz/oQGzq3Zvn - CQA592UMkhEIEslrZedOMEiSp8tz7dDPTR89ttM4PT0AhkQSFiklWrTDDRGRulmUwS1lc04O76Lj - 0p4cLj/xL3/5B9/6+28+ml2tvSNmMXpmCpvMCra7su0q1s946epTz3O7wxsOT2m1rnmWzLhaY95j - NsO4QgRs3P/Uhz7jr7/qG/bHS+PRND+Yj6trnbnREzuDExbmgDlcdecLQrJG5D6NPh6tr8FSCzzT - SZIB2lm73QYLeCu1n5pcuwj1OJZ5D9IW830E9PmeNwfaKjFOf4VkSs5oQyx78NKDMYXPEuJix1dO - EAg4klUr62lpCbT2DG/86G/yJZFbQTJnZxBRk7nDIgBPIEhyU5vuHAaUwigxmedkqdLNYvIy5eN1 - d/zY+oO/9o5f/nfv/ZUnpo/EYir9ukR0HWogABjcYSwAQLBit6rzTbwa4SMAbgYnEZuM9CZUnyxQ - ACAbAmAAgNlmLJMBjKDB9nA1nlzm8Y3//v/8/cd+76u+8G98zP7HDleHhS/Q+1RHpM1QgaRbblPK - Odc6nbwn5zFo3q3M26vYtHItDGaGWsts3q2uHsPa0Wps77O5cQ7PAACQzVm5t3cQAcLAlu0+eYlh - SPfCNiUi3NOFVofDQNt8+uY8Pj5+Uduf2uxo3187U3IR2hnNTQkehlq6PgVLGeM7v/M7zOwnf/Jn - czIAtQZr2R3n2e3jtELJM0yi7ci027t7kpzNZrtHa32dnHV+R0RERM6i1tp++aaNzJ91fCIicq/b - nn+/5V9bFBEReQHYbQFr1e+eyfOm7XWSbDfU7RYRERERERERERERERERERERERERERERERERERER - ERERERG5wS7qDKBdgdzMzGwcx/YtbPIraZrqttaEG66y1yrUnnj4wYf/9U+/8S9/1av71E+BdCdf - yT3IrOVtgKgppVKQrFx9/MNv+vF/4TEaEAT5nPITKaV2xafdDWzTFe3/rUbXdV2LGV3US5KLMQzD - bDYDME2TmbVFtV3mt3W1sc1Q7rICzx3JdqHg9oC7/ndbLaSUdrflbJR2EBEREXkWYShRjqfDKY20 - SOYJrCCtNQhv9HRffzo3u68Dbm2nlQ44eGpX1+K+qr2GGQ0lTWO3GvprU3dcrVgCArRtnA8AYJEB - p5W7LGV9a5xIRC6e2UWgq3OUMvVH1cfwEtE5/XTAmnfji21ldecmRBuAL5fLLs36vs99olugkkBU - SzCYu7fdxV2G8wxTDQuSYxlawzvn3Hfzw+Pl3vwAUcZaZovFKpZLu1YfPPzhN7/+XY/926v+KOYW - kUkHnHGrx4oCBiOcJ5lmAqCPU82zBGepcXCQWcvRNTy4cFv2e/GSV3/pN3bXegQe2n9gNV7p55m1 - GN3DDVY2y3cOxH20qN8RZrt1KWERHmSZ6hAGWptvb+fRb/xCq4MGNrMVyQQYYUS2rFLzxbjuXSUA - eNj2qDHd6G75TmxGWzXWABhJcip1qE4zI2AIbkrADkToWKjcrjBzogIBkGQNtCOxlizAoJFhZgZL - 7rA0671YXY2r8OILHuPa77z/nW9/+N/91gd+4xhPrNOhXSKcNYAA6nVruOty3cT1y13AYrOeNRhB - i9P3acOYaFvP7YDGuCl/G52G8AjD1JXihzXxPU/++uNvfOQb/up/8UmX//jy2vGLLr/0+NrSw9ux - ZoMT1S0DHMcxpXNbnNo48wYnpy4swgwWJIcy3Xi/80aaIWXL+dSxXEMCqlYgz4Dt/JMDLdptlnDL - 5ydEnqO9vb31ev1t3/Zty+XyTW96M4D5vF+vx90M106YtTDJ6XOlz5G7D8MAIOdca00pufs0Xfj6 - R0RERF542u/0TNN0hjGJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjc - MbuabykFQET4rvQAtCzU7h9956VEKSPMfuD7XvclX/m1QJ9zp6bBMxuGspjllHy9HubzNE+I1fqf - /uPvAMutlowiIqVkZu3z6vt+HMeWSGiZ54ho2Qt1u+85rdvdcgNd1wGIiGma5vM5gPaJtzQ7ztRf - Sym1bvduqa+1tqzbeb+U+5TS3SIiIiLPxmIsq6PxKBaVpLkZEEHkTRPUidtqRZ/qAjrdtrXXlJLB - b6iQ3nfjYKZU9rMz9VeMGUBYVIcb6CBAR2BTNjdzbznJe7bHR8OUUYBqUTmgAs6EXH2sTl43PwQt - 7G6NuDtvrF3O9hYeqU6xnsapFphZJiNws73EW9p1bMtexATA3XPKsJiCY51QYn/+QBlKTrOC1ZKP - LV7R/eFj7/qRN/7zPzz6reN0zeZwMKYpMaeUwsutptANgZMYtAMIBw2VWMzycjkkQxmKVTy46IYn - ykP9y7/6P3n1y2afMJ8u9ejG5XHez1MMGTnBHRGbJKoTCUhh1XkX1tnvbZtCvBkt6GU1rmjbwLZd - 3AqEQNgm5+w5d+mprW+5XdevEmk36aMzd6k3mvGObSuchoppmJbRtzpxXN8Od6DcoeciL2AWEZFa - rzsMkeBeDYVTSTVgtFZ6hiEcdVof+wLD4ujhJ//gN9/z6+96/28+evTBIR1XH1KmJzCAgmRIhqgw - eNhmxOvbhccIMAMOOg2wEm0zeuO69IYNmTsRrdhtm8fxtlBYBOGR6QHGlILp2geuXFv7lX/286/5 - 2i/8xj/1ij/9xNVH9/pLAEA3MwvUEvTiOSPsvBZsPs0a+oahOC0q6ziusU2VPyPH9t2LKIO2NwAA - IABJREFUWxlvOB20hJRz723su300uYmTjyhI1lqRn/YDFbldp9aK62HZ9V1UfNd3/aNv/ub/+ld/ - 9VfX67Hdq+u6iKi1llLaOdEz7Ne386zY7iuZ2TRN7ZzZ+b0eERERuS+QnKZpNpsNw2BmJLuuK6Wc - 4bd5RERERERERERERERERERERERERERERERERERERERERERERERERERERETk4tRap2kys3YdUZKV - 1wdvWHbxgvUUDiSgZvvoH7z3Lb/w83/hi7+8MocSOc/o8kE+Ohpns34+n62PBivrtH7yrT/5o2BB - Cw4954faJBK2dteTj4i+72utKSX1mO9RrdttZl3XtYB3Sumhhx7KObv7OI7tw00pRcQZLva7mz0A - tMsF70Lvci6U7hYRERF5JjTSYjmt1rFiDpZqSGYJiAC8NQvhuK7wdx6dXXpKue3xAjAz3pf5Xqdb - dLV2qXYp3HFdJb1Vut0QLaIOWPg9vbPAbcfdDcXMSEdUa3OXAe50o8ECRmxmibt2xojTofFxXFs4 - 64wWKSFYrSZaaanOtleJzax+lkhM13UlphqFwZQ99ynCgraa1v28X41LHJQ6W/2rt//k//POn3k8 - PjRcWpojuUWhV6RE2Bi8xe7d6ZmN3gK9IGjRdT4MgwPZEgZ0zF0sFr73Fz/jS//sp3xBPIIOCbXO - 5vnq6lo/7wjjZuYOBwhUpDO8D/JckGSrxSLIMozHAC6kgUrAHAgSZnCCBqcZvUu9mT7i8+XbHna5 - fsXoZgGEwZzmtC7Pt6sav9BVKAk6jEYyUIdpHX2Ft7RwABlwp4URAI3ADUlvkVtgZhGbQaNZQvJI - VtNY8nLKY/UabvAUpUZZj3X4g0d+5/c+8Dvv/dBvPVkeHfqjdT6a9sc0h7VAdyUrkyMnj4hpRO7g - bKu1U4HqNsdu59sbFqd2NwPC4RE0GD2A0+tb41N/DqCDMIYbakL/EFbj1UdXf/hDP/89X/NFr/6s - T/icsXZesiEbLOcu6hQlZrPk7qwXPi4iSWxORrl7jTqO40UH7kgm8+xtNwTWhkxPc+e7fyzcTuld - 9FTaoHqsIy3MEG20SZgZlCSUCxBRcl4crg73Fgevfe1rvv7rv+E973kPgKlgmiYAXde1E9sppTP0 - tt095zwMA4AW2gSgbreIiIicwelxRRucTNPk7kp3i4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIjcPU5HoNu1Q9tVyglv1+NvbQJuKlHekmrZwGmN5N//+te+6i99iaOMTDT1 - UJ7W8fG0v98PQ3jy/XmXp+GffOc/xrQEowUwnuNlW+fz+Xq9BtD3/TiO7h4Rq9Uq5wxgmqau6wCk - lE7/U+4hZjYMw2w22wW8V6tV+1aLEWC72O7+eUsPvlvkW9SjFcH7vj/XF3H/UrpbRERE5FmY2dHq - iCmYIipyEEazkyyecdftjnOJvxrhRPbkSEa7FwJ8F8WIROTwHJECzkgBGsyA6q10vIumWwAIXkR/ - 904hwwMBIOaAIyZEb8xgb9FbdBadM+gIBJ4+2Xh3sQDQ9zMWy+apM3oZ65CY+rxAwMLazp6ZtYD3 - ruT93JWYAHhCBac6MqKCgTp/YP+J40fmL+oeOXrfz77lh9/1yK8Pl64ecVUBFHThnXmXCz0CDIfb - cz7aAQDgtmC6uwELWHiy5REu7+c0dfPuIA7hY//Ff+Er/+PP/nI+PjuYXZ6Ohozc+Ww2m9cIWILB - Tnqo57MykacyswomcyLCgs71uGJ2wnjba1snsIvQcrOO2iFptjmUmVLXcu+3N0F5GsbYLMubd3hT - iTUAPu/mRnduqq4XzwmElXVZVZCG4C2vakSekzA4AaukJZY0HuOK7S+vTB957PjRx64+9tgTT37k - Ix9+4vFHP3r8eNmrA9bFV+ynrkeXYBHDlaCbeUZymBVMJao50hyI2My09JOZty1EVgDEdo3HzX/X - rVXDAcDj5l1tI2Al4NWc1pbgkgkGDBgKkML3D5/E+374l15nX/x3/uTLP3tul3pa1HD31KXCUmuN - CPeLONR53bo6IuCgEYj2Zox1OD0ovdn4zLHdRpz5ObjnlLLDEAFztM3KU+9351ZuZ7TLAV5oFzAM - QMDi9KkFnGmQKXIjnlontFWfBYC9eT8sjxeLWanjbN593/d97zd90ze97W3v6LoE+DRNbSZsXUwz - u9VFICKGYdjb21sul+1B3F3pbhERETmDiJimycxarruUMpvNxnF8vp+XiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIicaI3nXdcppTQMA+AwB7ENQSC2CRTPfS1jIdw9Yvrg - u9/+9l//5c/43FdZd6BGyjPIbhEI+DiOOdUrjz3ysz/wetgEBIHtG37z3MZp6/V6Pp8Pw9Cu9ZpS - ioicM8n2LQCllJyzesz3rhZcr7W6e0qpXeN3V23POZdSWrv9Vh+ZZErJzMZx5Jbmk3OkdLeIiIjI - szs6PrTMijAz0oJhCdj1WXHS7j2HEie9tUVT6lpYzoJI93NhLoACI73QgobqbX/UQYeVTRiScAbg - 1Z59N/WuRjjcmAwJqAY4zQinOd1Ph+JAWFyXjrsrtHxdq3UGsFkogqUELKKUkc6uNxKBydG1YvcN - 6e5bnWopJXdu2b39tFufc3R4bHzfsHf0lre/7a3vfPMVfmg6ODqK1QgkAwnS6AggKmlICWeISNJa - LLVlmKPFIsvIgz2UdZnZPI6wKA98/ud80ed/+l96cHoZpgSHmeUurdfL2eJgNY0wC9slP8NsU0JV - 3PccObFrnJoZW7/TaynTbs/4fN7vmy2Y5mCFmzFoYck7kjfLu8rt2/aDDQiD8WRzTQe96zonSDrs - ogO3TlQSgBmmeuNBMaOBDqsX+yTk/mB0oJo5gxHBzAnjk+Pj3/Pabz/Ojy3TUc0FOcGNGNZ76yNf - W2d9cgeHIWJAzra/vyBtIioRCJKtNJ8ygoDBA2GnsrUWbcN3/XNx7PLVLb69Vdsgd3NGYXcSx2FB - ICxIpwEWRjgBolTMsw2FkUvdWx8tP/oDv/D6v/6F//krP/GLZvbAcrme6pi9s2SbjfAFI0lUt8RT - w5VdHPpCtdYvYLuUOEncz7sIz4VZrbWNMINT8u75fkLyQlZrrTGVIbquc08ve/lLvuM7vuNbvuW/ - eee7frvrHEApxd0jop0ivdXq9v7+/vHx8XK57LqutTbbiVXVu0VERORWtSGEu7d9me2v3ImIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIyF2kXY3czKZpWiwWq9UKgKcUgZvE - pM1rDfOMKIaAZ7B+/+tf+4/+/H8UiEB6fl7DvWCxyNeOxtT1fd97Ofref/pPEBM4tiQaWqkODpRn - DkTsPqN2Adhpmlq/2cwWiwWAo6Oj/f399pWLflFy7tryCKCV1zchjAgA7XM/ODg4Pj7GmeJrp6fS - Zo9SStd1y+Vyb2/vfF7AfU/pbhEREZFnxaOjIzhqIBndPMpkGbQWy/NdPw9AGNI5pVhTSmZpN4w2 - 3taQ+h5Fi+qY8jTmcUrTmOqYUQA3d/om2r39GMJLa0nGvVzvTgAYidVBsDjNUBPDCGsvGQAIRJiD - uNvC3U6cqkiefBBjqfN+tsCMqQ7TqsTk1kUt01h7n7W9ypO5/daPDvR9T9RSSqAyg+C4Xh8NH33H - R97yS2//V+9/8uF0uVtitRrX8/ls5s4oTDWstEMaFTCid6/l1mceAvDYrQgMACIw62x5RINdSg98 - 1h975V/5vK/cHx6KJ/3A96oN1TmydvPFtSuHlx64PNRCREVKgDE5K2yghdUOd92HfA8juQmnmzFI - BhyVrXe4K9Fe9FMASXcndRTsDrKTdVPO/Z05BLnbaIcBiRHl9HRPhds1J8i5OInWB8w9T16PxuNV - Wq265SodrvNYzcMCuZDo+jxNZYja9wlzn8YoZNg4lUrCDJ5T5wmwqdbVGn0GgDDQ4qYb+tOMcO7K - 3AjbPDFun2H71nYpCNrmDIO3FTKx+6nOgMIHUh5WlZ0d+9FwMP7Yr/3A3vTgn/uEz885TVO1CBLu - zmwXXe+2U4IVgBkjrkt3txd4ahmH3/YQPiGZJdDbVqR9BEp37/ipeea03YkKs838dx/uT8m5MzoA - PmWXMyL29/dXwwDEanXcdd0nfOLHvfa1r/mv/vbffe97f7/dJ6VkZrXWM/S222k2ANM0tXp3+//t - vRoRERG5H5VSZrPZMAwppYhoAW8z02hZRERERERERERERERERERERERERERERERERERERERERERE - REREREREROTu0YpOreD75JNPLhaL2Wy2Xo+w1Fon7Qr9DuySCWYGQwSAArPf/v9+5bfe9fZP/uzP - U9rgGVy7ttrbW4xESnj8Ax9807/8EbPqRACwXZxu8x6b4eku47pardw9Itw3FaSu69brdc65Xab+ - 4OAAwDAMs9ls14GWe0XOuX24KaXWYm8JKnevtZI8OjoC0Pf9OI4t335Lj99+sF0luC31ANTtPkda - 3kRERESeRVgs14dmViu65EYLwAhjKwsGThqE8PMLBpqlXePTzFqc+rwe/F4RFrCo3jqOXaqzVIJG - S27hMDozWMIRBgdgsYn2bYOR3La9LeB0ozsRFtUjHNh9lBdkd9CBwC7caIhtvbI9HyNoLTcYFjAi - EYlAeI6UazI6vdLH6skJwJwZsO3LvJs85TjLJmBp6Pp09cq1X/v3v3L8cHkQL33Fyz/2ZS96xSte - 8on780vzfgY4CgE4kiOR5nSjAZv8p7VvAgDqyQGfoEUYA7V6QQp0HGL40Ic/+M73vPO33vcbHyjv - iQfX8aLxsB7R0c07lrRej9nDtnNL6hI8orCWuKGT7dwudHZdD5KnbxgsfNsoRTtuMssYrvGBvI/D - 7k99yuf8p6/6qoPxRXY8O/B9hBVGN5+z1mEYDg4OxnFEAoAwGiwRwEXOlve19jERTlaQgDlJWDHU - Z/nR544eQNoEHduaBkYroLcDaKSb6SM+X9tDlhGbf1rAYTzpGQM0GD3ZZmmNp0Q3z10YyAmWzDrS - ThZsbmdFi1NrFJGzIwlnuLMazVJKCbmiHPLJZb46zVeRSSIIc7h7HUvORnIcKxzdDAaMU/WMbCBR - Si2oKSEld6fV3VYwNuOr69nJxuv0xvSZhq+nE9ebB+TJwIyAAbWizz6ui5tXMB+kNVcxffinf/1f - XN4/+OSX/cmMuQVZEAFUwNwYtMB2ATc66E+N7D6bQFux2PWvwrYdaICkwWBBnt8W5GmYmbdOd5Ck - mRnMT8Wqb78OfpFOv/kJIOhkPXn6bZx8++fqiM2K1tobQlgEqpkBhqA5AkgALn79L/chMxuGIedc - a805t0T3x3/8x7/uu//pN7z6bz3yyKPuaKXtM3cxZ7NZO1vWHmeaJiU2RURE5GyGYQDQxi3tl7Ru - 9fd4RERERERERERERERERERERERERERERERERERERERERERERERERERERETkQrU2QQs8z2Yzkuv1 - 2lMXgXaVf56kogJwmEWtbuj7PIzFO48y/bPvfs3/8prPLchg2pUmbJtkovnu2v2+/Trt7kti3Yob - XkW0oMPTBxH29ha1hpvHsP6hf/49mFaIso0pBCxtHwb2bFWFlBLJcRwBkKy1zufz9q1pmrquI9n3 - PUl1u+85rdsdESml9Xrdvmhm7dK+7Rq/ZtYiAme43m8rEezq4ADUdz9feitFRETuIi25dEN7SR2m - 5xctSioTCsfa7cEsDeOUu1Si+qYMV+io5oGwCwjumiXQuQvbkfase2AvJMbw4gmx9kV50Cez6Rr7 - KJjMzFPAc+U0TUNxREJKMMIDpAMoFjTQkQ0o2Mt7sarZfbBhqsFLaaq1rxfbSE6GCIQhWa7r0iUg - gYFiYCDDOuscEeQKILuO5BQ5d4nupZvV+ZyXPbrq05jXAeTaO3tj26WPAG69RnmBTvetbfcVIjvW - 63U3y6Ub3738tRTJDgFmTnneHTxw8MCDl1/80gdf+tIHX/biyy+7NH/gxZdfkqPv2TtyZrIwhCGQ - 0DmMFoWFRuaoaao21K4+cfzRD3zkD9/7vt95+JHff+LaRwvGWMQ6L8c80YplGMBSg8y5NUU9IwLg - RJiltmTRcao4atzGHYldIJOGbQ3YU+piigi6IcOiEobs5nCLrouDV37mX/ySP/vVH5M+fnq820+X - iRKJySymAMxTqizuiJYIBWioDlg4UzD5XVhnv5clWDDg4zgx577avESeohqq2+aIBtiOLDrt5EDh - c+EB2/ahsa0xGzYZWhYmT5U0MPe9Rc0KNp+zcCAQ1QCYMyeCVmDhAOFhcDML9HnGxLCnpofPFcFk - IAM1WbBYHYEaDjizbfqysT0y60BAy7vcBjPCbKiRUnJYLWOO1MGn+XK1WNJAwohEMMCIlp42ICc4 - wRHV4ACiJefbYwJuDEO4R5tvA1bC22mGU1MPbEYmm61/EBF2XbfbTr57/TMnAOR63T9PbjhKDXRt - jTlFiWRAP34gvfN1v/Rt3/xl/+MfO/i0bnQL79BPEckIeFghalg4s0du2/JbGC9ZtIUUzE5sxwUB - iwi6p1bPJpwIeq0xYbsjcFNx20M1ku6eYNm9WERE2n6YtEgBQ9xFw8GnCCswT9bXWo1w96hDOwfn - AaO3LHm771kmYAAR7dSXwTbDqiistBimOkfqvGdlSikitAGW23HdyuT6bbcBLHS4t0Ehosb0cR/3 - sT/0g9//dV/3Nx9//EpbB7qRwBlmxJbYvO7J6HiRiIiI3J72GzzqdouIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI3G1ItlRBrbVV7cyMUVouIuVcS2nBJYOR0S6QHsQwFgAx - DjB/x//7r9//e+95+Sd9mnX7Q6nzvVwnIMIRtAQGzcPgRACbmA7j3q13G8NOvYoAaJsKgm/vcPr+ - NB8qMjC3snzyQz//oz8IjgAMcKAiwAnbd+NZrw0/TROAruvajVbybr25rusA3F/tuXvWrsaNbbF7 - 9zm6++5DnM1mu4JA+5HnmA9oD9JC3SmltoBHRPsWtvOJut3nS++miIiIyLMI1MpqgG9iyYTb6ajc - tlV8/VefI8PTxVsjStv7PXXfZHafpT1pRk+1P7AHX/kpX7Dujtd+tK7HBcM4jrXWaRpW03oVy/W0 - GoYhOBJj3/eGfqgl5TVzmVCGAfOM1bBMJcF9djAj8MRx6WYX/grGAZaR+xwVi72urKYE9LmfpUVM - yVbuAxZI+/uX5g++aLG/fzDbT+F9yl6Za8qc+ap7UfeKXGfbt2Rbw27upm43cGp+vnE33wGERaR1 - 9fXJd5lXvHq1fOh9jyf7sKXaZ3Qd5h1n8zS/NH/w0uLyA3uXDxYH836RPXfe9bnznKaY1uP66vLK - leMnrq6f/MBH3lfSVHwYbTXZwL3inaOzoQ7t4A42BeUAwk/a3JsbJ7nNbaj71Cvy3Zvs3Lz3Bjg8 - zMfVmLOnLgExlUqyQ+pjXo9sXi99yed9xRf/ub/mV/aOH5te3L8YNRWfaDe0cKI98u72JoZKv58W - 9TvGgdgcviBICyBghtIqrdzODJvb8FtviDoAWsTJxwrjyTxFgKTh7lt4Xwh2S5BbOBCGICqQAGyW - d7rT2t0u/GAkM1GBAArggBm3QwY4ELRo89nJ0xM5EycAulmb14nq9C5SYkKqTJv7JAJMwUwDMOzG - mCTM0DnMEAF3AF48gjA6gGiRWQOswMLZMsnbyZ/ErRHbZa+dkjl1B2A7sz9dvfvpbCcUuzuHxyGX - i8vXfuwX3/Dffs3/UJbzS7MXj8PYWQ+SVmk1vBAIIKGVoevTT+GmuF11X9/lNaMFmHdfJ6OiPvNL - OBdmdnq4YtuTVqfx6fcsnk+2a3I7CDOQhJ8+P+VOxNmi3W0KRG3RePN0cqIrgKBv5zg6cKuzgcjt - ckae9Z/4Rz7++7//n7/61a8+OjomUStSsqh34eIqIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIidwV3L6W4e0oJQET0fT+OY86p1rpNBWP3xfaVUwIMxPTPXvfab/32 - 10S2sdo0wQwgc5drrXWTdEEY0qbQELsvvkDwVBzmZuY96kivw3d9+/+KaQWw73MZCzZxudhkIJ5D - DyLnTHKaphaeMzO1uu85pZScc601IszM3WutANx9mqa+79un7O7DMLQC961OolW6m1rrrvUuF0rp - bhEREZFn18a+aL1VA9B2IE8nXX1TKDy/PZ2IICsQsABaaRRmdusj7XtYiswxddH3/eyv/tmvsEVg - xhKTu1v1hGSWzGyMuhqWV5dXj6dr73/iP3zw0T/84KMPX1l/dLTjcVz6bOj6OpbCGfMir9ZDPRr6 - hT0ww1QvuJVKn+9dGqbhaFw7MBXsOfLUxVGuJf+Rl/2Jz/zMP/2pr/i0Tzj42MvzS7AU1WvxnHtw - sqjJLVmaluXypRcPhxXMxtT68USp3mZLu6tq7my7GBY41fSEweOkztju1xafYKEVuiE5ktVYTcgD - EisAT5P7lHANFgScZLLNUYgImBnc6KRFXEJgqqjVKy3CSiEwoE/I28X0VFYzNk9h191sS7QVA2CI - 7T09ds95cxDEuf0KPQzzvTTVYRUVQJ6hZ/JhxuP5K/zjvuHL/8s//rLPHN5XLs9ftPfgwdHR2t3t - DrQ95Rad4fjFrTLDbiLtiFjcV6vyu8Ad+JRvOlEzbIcN9pTjoQGLu2oFLvcuA6wymSdYrUQpXcrV - LNHS1KcJQDa608FEy2ERBqZqZk6QqCwoJJEAupuZ0Z1EG3yillxhMMCIFHAiV4A9kAiEoTqrlepB - gwEpniXIfVvoC+8PDw8fHt79xl95w9e+6u8ePr588fzBshzdU1hsngYzaG0Mcc7T357kaI+821O4 - UO6OzYrlhXBmpb2HFz2VdhrDzLidCwLkC+H9k3vJcnm0WCw+6ZP/6Pd87+te/Q1/q9boujRN/z97 - 9xotWXrX9/33+z/P3lV1Lt0995FmdEESkiVGyBLoggZshCAGYq3YYoEh4WISOb6EsEKS5Tgv4jfE - K8uvAubmCIgtEKCQxBg7IsDCOBjExRgkhACBhNANSaPLTF9On6ra+3n+/7x4qqpP9/Rluuec093T - v8+a1VOnTp2qvav2fZ+zvwrJi4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIyBW1hDCAYRj6vjezs2fP7u7ubiq/Ozs758+fH4bh6unf3/r5d/75f/3f3f2s5862TwyO - YcTE0ljDACK46jEBQIB+xDmv43fZQEHwwmiWwevi/Olzn/21f/vLKAXAMJQbexfcvfWe3b01JjY3 - 5HbRut0pJaznwZa9AND3fdd1pZSIqLXmnEsp1/v80+l0sViY2aY/0lrvhz4icgmlu0VERESuih7h - pQxAkJtanl/7B5+iS7Z42Z7ZAa91bC/nLfwZAD0OPz54q+vz1EsZar17675lLMt8zO50TjhDIT2R - 3Ep2yuK+aYyz5UvufYU/sqzcf+zMx9/zgd999wd/+1NnPz5OF9PtcRGLM4v5dMpuksZlnRJwBA/x - 47xUwPb29me7OzEuusztnLv9rltsv/CBR97w6JtOTh+8K983q7uzRd+PHb3WSnBiuSvjHKjZ3Mwm - jsVnyiRPfd2QDo6gOx2ARTqywb8BB8rcBOJKMXtb/+tmTrYfqGEoBvPRCSRwfYTi4EzXdhcjwh10 - kqvjNWZwd2dFgAYzThjMwHj5dGjLcHs7/NO+pLcoaTsY1F6vGi689CrdbQwDzMIIXy6WyOg7IOAj - fEgn0n0PnHrOt37VW3bHe7rTu7vddt2PPcxtQjNEObrJTa7tkqMMdKCSTvP1xHbEA9Am5gu9Ujpw - S83Cd5DVivVoX8NJIoDVYsoAC0Vj5WhEMIU5IqISEcm9hsPDE7wLWIB0OGtFBBDrUx9OJNI8gxEI - S8lWS8sgATgJGioBrtbfQXjAaAfWsAF4EG3lftQzl4Wl6CqLn9j///7wFx667yWPvuir52fO9zYJ - q0EPwtnW1AAc3GxjH4LwzUxspEdcunI5Iu2UGFpMPeLI11iHrQ0zDQhERCAObHBd5BAWz3FhjX5x - 8pwRFc+4U31yi9va2nL36XT6yCOPvOMd7/i6r/sG97q9Pds7P7/ZgyYiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiK3qHax/eVyOZlMAMzn89lsNo4jyVb/3dvba/Hg - VnS6Akdd/vRPvO07/4d/OIxjWOceqbdxKDDDKjJxoREQ4MGs9W0naIgLHYQ2dhYXRYk25RgHDD5N - 5CR9z/e8FWefADznVMcCg6/iG+t34yl3FKbTqZmVUlJKV/1o5FY0DEPf9+12C4W4eyt5A2gzYMt7 - t1nvepMli8WiPSeAVnlv98hRU7pbRERE5NpKKe1GRG054VWL81IX7XfdsCCcKF4dFQYSERVAq73e - UftSQRSUwnFEiciBqDVm/dSLJ09Go1tEhFckTMx6m9TFZJgPY+Ch/kXPe/VLvvQL/6N3ve9Xfu33 - f+kTj/8pT6DfxtJjkpDClud9Mkkj6hG2D+k7u9Mn9p44cVeeny51mU7Fg3/99d/4yHNfO8GpKXes - TLlEN6KnGaOiMAVQzRwIS3AvfdcDYISx7TA5YAgzxGFW5A9PwFYxbjgCFyWRwzdHExxmQNRV3puE - ESQsEcZaHeEVALHZuwyglqAhmVleffrmHhHmZqAxg24eUSOAGgBzRT7wRq1uBAF6AM4LdxqQHBZw - wIjKVdm9zXWrqne4uacwwgw+nWK+hDl20k7Zzzt+1+te8oY3fvHX3Idn5djK49Q2JYAMAAAgAElE - QVQiW0JCKXV0L1Sn+RawPmbRFuZsxxlXjqa+2irR624ogn6IEVl56kjiuI9Irj5oIpFXOR5qq2Wm - yA0JGJhL2MARBrAy+cC6tGVkRGorslpYgHBDEB3M3b0gAm5ITJaNyKWUMRx0M6MZiAi/UPoOBFAI - JDAcaQAAojW8LWCeVwcbWY50kvbC3Hfn7Kxtz3/213/q+c96wXPt86d56l7AcOTN4twJe3rb5w6A - sOPIc19NSmm1L0A7nlj4ITIgVkl4BFERHtG+dLrBjmZaMQBm1tb7q1VwhN1+75/c3haL/ZSSu5vh - C1/xyNvf/rZv/dZvO69ut4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiFxZu8Z+63YDmM1mwCp/M5/Pu64bx7GF1YZhaF9e7mkCKL/wf/3kf/63/nZ/14NulnMaHbnP - XpwIhhtXyYDbOtr9ZAwHYLyoOLEax2jVKhARw/7pT3/sF37ynyMGIMo45sRa40K3m08pSzeZTJbL - ZUrp8ccfj4ic8yaUILeRvu/HcTSzS2oXZtZK3qWUlmYH0HXdMAzX+xJtUsk5tyeZTCbuflFCS46A - 3l8RERGRayBZfSSD64TwujR3RBxw0N3rwXvv2MQckzF1XTdBZRSzkjEYhlSXjoou50nf97mzQKlD - WRafxy537+kf2B5O4PHpXcODX/7ir/m7b/5vX/nwq7GXZjZJwBOnq+Uudx0iH+kmMcPni/2tHsvT - 5aHd++/PD3/TG//ma1/0Fffh2SfL3dPl9mSRukoDnMXhBXWMcRmLal5TrYalD4PXsAhzZzwp93uL - b8+vDjRcQDi9/Qe403NvKcEMEagVpWAYYrlwgiTNaKQZzJCJZNja6rs+kVFrKXWIOgKeaLVWRoU7 - qnuJaLMR4TAnnOaGFisNIsxbtxtsdwOEAYwDQxtoiXTAGKsoKYAg3FDNgwXwMsduyrP5zD+dX3by - L37bV/ztv/76//TZ3QvquYn5xCx5ishwemj/9tZDcpPuPvgpH3AjLdG48iGv9q2IqKu+vNwcx3Nc - sq24GQDMgIREGoK3/KJbbk9kRQAIAxkAMtPMdiaL7a3zp2Z7d8327tk5d+/Oubt2zp3cPXty+/TJ - E2fvumt+9z3Le+5a3LszP9Wd28aZtB0nt7A7ia2EHhG1+lBjWQCsV5FhDnOgGqoh1utWtHQ3kAIp - /KhD112X9ubnxoQ4EZ+Nj//rX/2p2FkuOXcGQPNET5uouB/qHG9tARIGIAJkSkhHPV+30ypYnwa7 - zc6vxOp0QvuKJOARAfqT15iHNVabdXpKiWQEN+nuQ3oFkaeq7/uu69qNc+fOvfJVr/j+7/9+7RaI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjIVRy8zj+A5XLZQr85 - 51YXTilhfU3+K3S7gXD4gPnZH/vR/+2una0uWUoYxgtJnlW9e/XgIxydY3NJgHyVrbi4vb3JWKTw - nR5v/5EfxDhHlxAF8HJJt/tiV6oqtG53Smk6nbaPg2T7yOQ20iraKSV3B9DiVm1mLKW0bvcwDCT7 - vr+BbjeA5XLZXiilFBHuXmu95k/J05Rv9gCIiIiI3AbaRjCAK7bewkDcWOQVAVvvjDlg612rWqtH - 3TxnS8zeyPPf3qwsAWQaYZEjTzK9+sQsIcGj1OpRqjlTWGcpJSxZx3kdS5+n2zYZq29h9+T0xLd8 - +Vv8F+v7PvN73Yn+xE4sq+ec3evh1hwvRRhxamd7+FzsfcS/5c3f/vL7Xz0bdmMfXapgqahuNRJH - EsbK5LUGrUtpLGPf5RpWo+aUqzvCDC0E62CLAMdVIsE3gwNO+DqXaGCbtn1zzCAAoJUaHcCyrr5D - A8lEJhCw9VGDC4ce3CPoy+UAwgxdApO1eZJASjlWLBhg0BiWPMr6I3YA1iKe68Lo5nCPBSzgRDXU - 1VAaPRObrF4BvTUmoz0sIVVMlhM7N3321vNf80WPfvELv+yBrefi8X5/f+z6aTftl+Nivjg/mUy6 - PtuYGIxnxhGm2xnJg8f5slk2O9rZKEDawRVERAQqeEOrDHnajm1lyogLx0vDSPJg35cORFvzH8/w - yDOaRyKigrUj6JaXKdnWg/HwP/zP/vGY54hJ8pwdDA+6Eyhj8TqOy/1xcWb/9BN7T3z23KfPDWc/ - +PE/Xth8sPlo+4MVGLoe3STNF9UBIINYZ7m9pbEZQMCiTcllvV4/2vF1LqyLRaDrOuzOf//Pf+O3 - P/CrX/TwX5rW3VQnhBmceJoF8YvHYTXPEu3IOFkPxKGfzss8RbbeJLld9wjCsB74iKiIemHPyi96 - s8NuZBW5fgb66scZsLCEZGHwQGoLYZ1vkONWax3GZU5dKWV3d7fW+lVf9VX/6B999z/4H/+nmz1o - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIicouKiNbk7roOwGQy - AVBr3dSga61m5u5xxawaAEd4P03vfMfbv+lv/pd3Pfyi8wVmGAsyjVEBEIFwo7XM0zMgoxK01urm - ulIUOJCwOjCODP/sJz/2c//iHUiB5RzhOedSCtmyM9fxZpCstc7n83Ec+753d3fPWb3g209KabFY - TKfTNrv1fd/uJ5lzbrHtG+6yp5Rqre3fUkqbwTdFEjk6mhVFREREroFk2wvdZPKuuqt5/c+Py7Z8 - 3aNEBOiAg4ZY17vvpPIvw3MyAh6RmJa1TmyymO/nSVdLBYIkE4yo9FIKCjrvcs4p0jiOXtmlLmHG - 6vdOHv5bf/U73/pzP/DeT75nel8+tziXu1SiHvU+R8rY+9z5k+PDb/ySNz3/5Mu3cc/87OLEdLeW - YuHGYomBKOAYKdDBwAhYGkv0vVme+lhIOkE4wlr3elMnRNxae01EAdZHDcLa4IW1VihAbFrjDlig - zVZsDwtEoIZH1LY3SKwqj4FqlgD2E9RaoyICHh4BEinVZQkAJCyRpAMR4VFIHIiMgi3sGKt7eGBu - sgAMlVi9vdFyzg6YrVrpF2KQTT/OZvt3v+bFf+nRR770uXe9eLLYtb2+i+nOVi6I5XLpLJOtKeA1 - RksWcWD85WZYHdXafIlEJGO+0nwUvGgiuTon0oUHX9TqvuRhQY/LL/nl+JDEoa7NL/8qCABsa3Ak - wA53E0JkwwIVMMBAVtLZT/oT+a5J5LG6+Sx56qpZuDNAJ+lWax+Yud8VnsaB48C59+PpxWc+/vjH - PvypD3z4Ex987PE/X57f9y6mOap5sILF6aAjEK1nDUOYA6Bv1rl+hQ3cQ+KLcT7dnlYM586NJzJ8 - e/7O3/i/X/w3viBjRp8wwLC2/dxc/7AwLjcKEUG2LZP2oLbdcRwr92fQgXI/8O+T3+LrH02uNu18 - /TlYmK832DaxcMCAqoWwHD8zy91kMV/OZn0pq1No73//+2/2cImIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiMitq3XTuq5zdzMrpeSczazF1HLOLQ7drsnfHnyZJwEy - MZw/hx6/+M6f/bpv+ztMW31vtQKBAIkwuK/7TtFyTcc7poertQrSleNBbexa2ztFeetbfxD75wAH - gma1VgARvFK3+0rNAzOrtbbOevvI2j0ppac3QnKszGwYhtbtTmtYz2LjOLY5bjPrXa82gdVaWwK8 - 67o2Rx/uWMiTKd0tIiJyayEZ4a0meWB762YP1p2NZNv/dEfONnqAOIqCthNGR8DpQcDizLnT96VI - KS2GcTLpah0P+zVveSyIEeEGuKMz1GHsMr3OjdYiyE4PBtZFQwdH1DBnB+Qhau1qz5iY3e/n+6// - S2/5xE//49NnPjHb6ioWSIi4jjTvDbDAxGb39s959OVfuz3cP+x3acvmGFOGBTtPrC1SOAG2A8mw - NBQU7NqOL93AHB1K25nHZn8+gFW++5ZaPlyIZDsCDgMsVulaLw5LINryDVFhBvPsFYyoEWYG+urx - FgAqVtN8GOg1Al2gJcAdAEGDE45AXvUanQFEe5sYsED2C7ltEgaQ8JbudiKMTO0nEU4LmHsEUGHF - ARoskIJRYsppj2nZ97q0u3fued7dL3rTV3/DPfmBncmJtOg5du3g1OgFQAaCycODDHrlWGHGZLdY - bf0O46CXUlJKUd0y220AFlc4WnYDL/Gk44e1OtlCttUSUkrz+TzlVelejhrJWkpKOSJifTT5WF54 - 9f9aHbCtre1SysTyYU1qIgdYBA1W6bVGlyxo83FwS/QuAfAOkREAPOAOIhDMIOAwB4tPgN5qjHXH - 7n/Wqc9/1V1fypfXvfnZD3/kT//kE3/y7o+9Z96drnYmuv1iZQiUABID02BLWDuiuiMAHm23G054 - Ro0So08AGM77sDf5zM//+5/5+tf/nYknXwzTbjoEFovFbJpTYqnXN0Cxnn8vmV/NrFa3lCKibUxE - MKf+MEbrasxsHMe2mVFrbTeO+kUP0eZAf0SEgckW5xckgwi2E1CH80LrDVFYgGEMdqkvpWx1XXV3 - 10kpOUKbs9HtRjtVZmYe7hVmmaS7p5S+53u+521v+4mbPbwiIiIiIiJ3ipRSrbXv+2EYAHRdN453 - 3vlWERERkVtA2zADkHM+plP2IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIre5dp3/ - luXKObd73B2Au7ero7e891Wu6xKBZF6j/tgPfu/Xf/O3T7rp/pK5uzTAxvC4Qqz6dhdobyMiYIGI - MEQt47TPy7On/5+f/gkkoDoiYpW9usb70K5Ib2bt+vO11nZPu/IhgPYZbR5wpGMnh2sYhr7vI2JT - kMT6o2wP2ORCrtkNaenDzVSRc27FbgAppTYJ3V7xkdua0t0iIiIi19C2UI/0JQ5GAZ0t9hkRtZQB - yUHnkcalb23BErZ+h1rzmIbgutuNgDk8YIz2AAZQaQYHi4W7W/IuLWe7lh6clNe/9Mt+9QPvnPsy - iEhgPcKBt4BXdN6/6sWvPeH3z+qJ5GlI+8ypjmAYHantbxMVBJECqR3UME+xylEDOJgVXt9puOZu - +vGyuLQn3oqMAGi5S9U93JGIFAhHHdshiWA7oIBqtGQgOZTV+DpBgoEgEjGWVXvbSDACrTq6frnA - Zl+ShAVyXHib2nc9EAFy/SDSI4gEAy0DIwAjgx6GRNKDIEZupx0uuuWZuGf68Cte8uov/Auves6p - F872T+z4CVuae40INzAKnKs+d1gKVHOHqdV7i2gNRYCAtZLodDKxkYBtJqXDCogCQMACJKL1SgkE - Krx4qe7aIT82XM3zF7Kax/Ki7egzSMIx6aYMiwiLttwKwP2ig872pEywyHVwWNv0cJgRzgiC3qWI - QGbQAoARABFEAAwDwDCCBqDCvVoC4DXGlHkyPfDA857/0oe+6E1f+dd+70P//rf/4Nc++pn3L9O5 - foulsyEwuMNYEWMZEyMlEKgV6Sjr3UHUQIJ3ARLZ0tjXz80fe98nf/c1j3/w83dnfe4W+/PJznaa - pVLnEQHeyKkIfwpjQVLnOa5LRADuUYJ+mYUefbXBf13PeYUVdzuZYbAobK5/eEWuwybdbWabTY6U - ulrrZDI5f34+nU7f/uM/+UM/9MM3e0hFRERERETuILXW6XS6WCzanlrrdm+ykSIiIiJyDGaz2Xw+ - b39Z1P6G5OCfoIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjIdWm535b+bV+O43iV - 6+wZUR3ggHH+L//Pn3rT13/zzu6ps/t10qUgnqlhtEtGLWhew91JGmJ7Oy3Pwxd7b/2B70UdzcdA - xMFABYlwsAXRLmortMsb5pxbLr297WZWa22du9Z+LqW0q+4c1xjL4ej7frlcTiaTTXa967p2Ncvr - 0n5qGIY2b7ZrY7Zv7ezsPPHEE+7eJqRaq7onx0ClMBEREZFraPuZm9uXfxCfxuVEwzY/HvS2wxb0 - ithfLnwKbyHn40qN3lIqUcxitQvaApNkGGgHq34BILzturJFUcMdBSxjcsZIWlRLnne5/bqXvfaP - PvJrnxoejx5DKz8fJQtM2L3yxa/cGibTMqVHdTMYapdrzgUJQ7UlbAT3QZoviQtHMeziULeD61r3 - ZvRv1UvZEpthc2JYlMmUveU6FEZi5ZQdExe+QG4pbkSg1BoVILquZbXhQATcV/9lThIyEAh4LY4a - EQHPmeaB9bxIouXAywgnYUGSDDe0urc7mGAGwGuEe4kIC2Ta5qAJK+DRpsLdfKo8jgd2nvu6R//y - K17wulPdA5Oys1V2O/YMjHXwWMBqTQ5HBPrIDGNkwOgI82rFAbv+GqUcoogg0dLdHhERRk6nU1z3 - wY2ruzBX2pOW3O2OcRzvzKX6TUSuGrztguDH8IoJGB1dZ8Zca0ynWywJYZeseIJ+YJEuciOcCKAS - YcYAicrV0iaHoR3dD1hrygIIVFaspr22aWEAEEaSMJixchzGkpjz7O5ZP8wf/7LnvuHRF77hsb3H - fuuPf+M3/+jfffrsR/qTgeyLGIicU5/IWpdm0Xeo5YjH2YB2WLOgDsEuj14/vfzEr//hLz706IOT - eMiWyceCRIRZPrRL/6/rz4YIMiEcYTn3h/LkVxcRmypwuAdis1i7XRxc8Y2bSYRAHMKns95ghoUR - HgTAiOi6ziK5O9PqDFY7j/j0X1HkqXD36pVM+/uL2XT7Z37mZ777u//nmz1QIiIiIiIid5zFYnHw - aED7ZdbjOUosIiIiIu5ea+26jmTOeRiGzZ8biYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiMgN8LUW/SV5sAp8mccHCKAWxP7P/NTbvvZNfw15stXPaqDVsXydTbnNEgjXyTLrmCY9ylBP - f/b0VkeO53/+HT+OsvSLowlk4KKS97out/pfkNxcSGcymZRSaq0R0W70fd8+HQDjOKrefduZTCYA - 2rUru64bhmEymSyXy+t6knEc25WX2qSyWCxSSq08cvr06U0VsZSSc3b31n2Xo6N0t4iIiMi19d20 - FQIjjj71DAQBOBnz+XmeWif67tDCnFUgkAgAZger1cSFxHK07wJYR1DDnObIhBdDghs51BLL+uxT - Dzz3vud/5qMfy30uUeIoP08GJimd7O99cOdZ/Bw6d5Kd1Vpq9s7cLABYMKoVNwB0VIa3MXMaLhyP - cKchADiw+sFbt9u9zogG4HQA21uZYb6sNnRbebfOvccW+ojORl8lE4ywlDItLOo41nW0G4AZJp2l - Lo/z9oSrd8XMsllYRKloYWYYAHOQCYGuYwAV4VEjaiBIBJE6uGOo7g4SZsjJOutiWZNbBOFINSd2 - U25PMXvxs172yOv/4ouf9bKpn8zDiR3enTmbnxtSMpoTJBMYBnd4eDgjrT8sBuGWoic9eOt+ZHeI - 1ux0d5IIC2ffTdffXM9TN7qkj2sdQdxUVpdlCQY0PRwLrlu77Xat9fhe19vCjeGc9DOMvOgo12oC - SMczPPIMZuFOpMD6xAYABJ0BCwNQCbBln31V9Q5yleuGwbHerggE3BnsLXlK7sUHxxA9tlKk5QJ3 - c/bVr3reo6964+984F2//r5/85m9Pzeb11yjs4qKGjUQceQ5egOiRgYqUAZHzzTrqtXf/bNf/5KX - v25r+9RsdldZDhZmOV13hinsSsvniNgsT8ysRm2rlac3Nk9hiA50u4/6tY7UZvjHcaRF21w8glFa - rdDbeQiOdPecE8DDiriLPNlm+RAHALCUw7m9tftLv/RLf//v/4O2GZISS70zd/BFRERERESOW/sl - xc1vFbdfSbxTz7qKiIiI3ATtz04ApJTaabVSStd14zje7EETERERERERERERERERERERERERERER - ERERERERERERERERuS1tesB930dErbXWmlK6bJClBaRSlzBWRHn8Q+9/1y///F958zfvewWTA7w4 - GUAceW/imAUYNAfGJaZTDINPctrZmeU6/57/9Xsxzp9cG7pw0cJVf+oil7TkNkXniGi57vZxtDs3 - N+R20Sra7t4+u2EYuq673m53ExHtakvtCSOi/VtrbZPKcrncZMIPdSTkMpTuFhEREbm2vu/bjQjS - GFdMszqu1W196kju7++TBP1O3jJOnoPWKt1cdbbbF24A4O0ui1XAO2Cr9DlzEEGvhBs6q14HemTP - L33+F7znz34bwdH23MOProEYfR+nnnP/S3OZTJgyakSZWBnDDcngbhasQy5jqqMBkRFdueT4A31V - H1xPWhbgqrKJI02P34gD03+wZbbdgDq6DdxKu5/3nM9/ycOPnP7E2U9//Nxjpz+FEwnYq7WSQUZU - d/fitUtdMqZEZrbdRZ/7gJEJbgEgYvWfO8LbW2aAJSbAPICwoFcvYQVYvX1cZd+BgBETwDpYWETU - pY9lOctbHHMqeeY7924/+MIHX/zS5zzy0D3PvWd2b/JJLlNE55XjMJSE2e50mJ8nSMJIeGKYwcMi - gJJaMxV0Y5ABMlWD6t03URjdo+VrEy2BiOi7jm0COYy56bLLk1g/eTt2RrKUEnarzb3PWJvjlRFh - ZCml3T6eD8Ddw5lzn1ImeWCprUWBHBoCk+IAilkQKeCArw+/M+BWgm0BZcUAWHYzX33X1g8DYJZK - GdydiZOcI+AkkEtYu3j+zPrYQ8bkDc/5ui994de8+wPvet9Hf+v9n/jdc4vPpq1qhpEwy+Hl6GYx - tnM7HjTrO6u1jLUWRgmQn/6tD/7Kw696MaOfTPoEW9ZSAjdyLiLsyeuFiAtrCyJFFHckHlO6++DZ - l4g4iuT1kWpn3SIqgHEcj3ALvE3YgS712brLfJAiRyAi2m77ZoY1MzLVYM75N3/zt/7e3/uvWjve - aLVqM0BEREREROSYlFJms5mZtb22nPPe3t7Ozo7q3SIiIiLHgySAUkqttW2DpZTU7RYRERERERER - ERERERERERERERERERERERERERERERERERG5Ye1a6CmllJK7d103juNVLrIXZsNYCZDVUf/ZP/2+ - N735G88tR053AQQN4UTgGRftBtC6Dg4EYIb2Jo3L+TTXM5/91L9+24+Am975wbF3XBwyigOPIRER - k8mk5Zxns9l8Pt9UmbHOdbcC9J0cnrtNtcz2pqjt7qWU6XS6WCyu63lImlmbJC6ZPTdfTiaTUgpJ - Jd6PgdLdIiIiIlcVRlrfT8lVhYs0MLnXQ23A2Trhub5Bj/D9/fNtVxe3Z5/v6bMwwFa7nsFVuJsA - 3OFONyCA5GCsesxhACxFRaCarX4UNtRiZkyTYbn/nGe/JPvWUAemBJQjHH5Pddm94NkvrQVdlyLq - OC6CAcKjIll4hJVKOGlhCAIWLQDZ8q4tOA0AHlz1NVe18k0B8ehG4Hqt3m5z29RpHQQdGawlsudn - n3j40Ufe0L14t1tuz+v8Q6f/8LN7n/zkpz/52c89dnbvzGI5H+qy1tG6qHDHCIswJhIGt1JRPEpr - syOxokZEIBCr16oBIGBm4c6gGWkkV/V3DzjpwTA46ZbcErvEnJDoaRYnHrr3uS953ste8OwX3bf9 - 7G2c6Mosj93wRM2pz5YD1lmOjtV9uThnGa0HSWcEAWZmWLi7041eASa3sFVrXm62g8cj2hWip9Mp - 2qJmnVI+imVtey2SgQj6UJdH8CJyNavq7TrdfeQIeGSDFwCYzWYkDx5mfdLS2xTzlhtmAYOjTWFt - jWMAShAMY/j6RACDWG9mGNuq84LV7ZTYFlmrkyvGsBQ2tZwsah3GzLzd75bR9+dnv/QFf+Xln/eK - P/jEr//GH/7bD33ug3VWx4Qz5871W0c7SU/MSvXiQQKJxhjp4BDb6d0f+O2veuWbGWmaH4wSQ/Fu - kj3C4hCGJyLaWRBsFuyBnPMxnELapLvXGzW3M4uhDiTbRBJHto/TTmYASCA8QJBUl0uOVJvGuAYg - 5/x7v/fet7zlLcPgAKbTfrEYbvZgioiIiIiI3EFyzvP5HECtNaUUEa3bTd55p11FREREbpJhGPq+ - zzm3k/W11hv4ExQRERERERERERERERERERERERERERERERERERERERERERERaVoNutZ6/vz5vu9r - rQDcr1BkIDwSgJQiwlGXT3zkT3/h5/7VG/+Tbzw7IgAHbFPvDl8lLW5/m65DGyMnUo9xwLQzOFCW - P/a//zDMMSwRfqUG2Oa98APfbznn5XLZ9/0wDPP5fDKZjOPYdV272uE4jq39PAxDzln17ttOC2lv - rpUUETd20aQ2bzat9d7KIznnWqu7d12Xc8YqhqLrZB4tpbtFREREroFk13Xr8NbBb/iB/SUHDzn3 - 6u77+/sR4e4EI6LtQt1RrTnCLdb7sWFBgO7wSg8GgNW+hZEBCwPNYYCnKGCkymoIZIeVUtJ0Wgs9 - tk+cePZkevc5f7yi+FHucTAMQ374wc8rXpeppJyWQJCVTCAdNA9YsDNHrj2CYAXcuR7fFpy+8HTr - r8IQttpp561Se3WaeQaAQNCdpQ0sgUxO02Qak26Ydoutbr67g/t3C+/ffchPjHg4wsalL84tzzyx - 97lzy9MffewjZ/afeOz0Y4/vfXYxnxdUGJBLJC9YFC9hwYScvKJGjJZZEQEPOKIF32lMqAg3Aqxm - SMlzh86845j7mGxxZ3ty8r6TDzx0/0PPeuDh+3bvu2/n/i4mhhzeYU7UlJiNaWvbGIC7j6V66VNO - wVJHyzmiJdTZyusMAwm4hTu9fTrVqhkAInQo5Ga6ED2NCEREmNnW1lb7rgWAFn1/Wq/SftyusLB2 - ICLGcYyol3+EHLZLKq3tauARR9JoP8gdKaEWkNzZ2SF5xYOhbXkucqOcXi0AVHOE0RCEEwZnIIV3 - USuQwjycYQGAZTNjeGtsEwCGOlpOlhPC6motnoLIOe/v70X1rX6SgeX5PQv8TQ0AACAASURBVAZO - TGfLeT7VPfT6F7z5pc9/9D/8ybt+9T2/9KkzH3nWXfeerafHdFTbJxbIBA3FWaszBxI6AyaoIz87 - P/PeD/3m6z/vjcNygXGaugwj6g1tQYfhcmdIWkObIAAz6/v+6Y/UNQZk3e3efHnUr3hESHoENovi - I170tXR3W917OBBKd8vRWW1hxmoLk2SttZTyoQ//6Xd+53/Tct1GWyyGA+dY0QL2IiIiIiIicnTa - UQi04xLuZtbKkTpEICIiInI8SPZ9X0ppfyIyjqO63SIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiJPn5m1wHCLdqeUDnaCL2hJFJpFcQfh6NM/++F/+rqv+FpMTwGrendaP5zPoHo3gKBt - qtvDEtmwXJZ7t2enP/HJf/mTb8e4bwavLU7RWmCrq8cTsHU17JLLF5ZScs6llGEYAJjZ2bNnu65r - 1zystXZd1x6mix/ejtoMBWAcRwAkJ5PJDVw3KSKm02kpJSJqra3bPQxDuxZTC71vHqlu9zFQultE - RETkGpLnnj3IS5K7DGN4C86tNpbjBurdFrRLel0MODDYYt/3HBUeNMQqbsc7q90NrN8cAx3EZdtm - DhjM2YrRYACMthtvkRwwIufOzMoAs37GE3fP7n+ifsQvu3d7eBh5Glv37t6Hs1iW5SRv0SbOMcId - MHq0bFtkhtGTwR0A3cKA9U7YRaN8YQprA3/UycPrRndYrNLF5vQ239Ti5l496hiTsjP1E1PfGvfK - NE8Bd3ikOk1lh3ffd/KhEctXPPc1hWVMyxHjWIe9+bmze2fOLc6eX5w7vzh35tzp8/t7i3ExlPlQ - htGXi/k8UEcvq11KY7ZE2vZk1yz1qZ/2052tkye3T53cOrXV796zde/u7NRd03tm3U6OCQoNXR9d - Ok9GIlPASFZEVFZ6jQIgG3JOqFGG0cwmXT/U4ggGzTLNAIsaXtsH20bdwQjA6YAZXPXum83CEBEV - Dmf26Xa3G/RgcdqVettPVQCEBVqy/cIrIoIWXoFVu3uoQ2F9irHGzVx+xy3+rxMBC1QawoJgGGCM - CAYARw0E1snbuJFV9nUwhzu6LjlrRt7tT6TSWSDQVkpAGIGAtcX+wa0JkRsQhLdpiLDVSgeM1bew - nt4tEOtNiM06Olb/EAjkFGRxFB8RllIXcK++LMtJ3yfQx1K89n1moAyVzD26xZlhKz/wl1/6pi98 - 0Rf/zu//yr979y+OnbOfVxurlfa6WL/uISCWg/cdc5rU6uEFtbYZaESZ7kx/5T3/5nWPvL4My962 - ptPZmXOnJzde1z646CUJkuHhEYaUQGM3yVOuHtU2gXz9JSwubLA9+c7rHhR6tMPlYW3hcXEAGAze - smsKro/0t0GsUcNwcKOIAAIBA6/7/WmPX+2t0QPenmSSZ6wWwTBaoEbQrISnI10ByJ2qpbs3J9Ii - ohTfXy6+67u+66Mf/bjRcsqlFgCTfrIcljd1YEVERERERO4sJNtvJbYv26+u6rcSRURERI5NrTXn - 7O6t3r1YLMxsc0RdRERERERERERERERERERERERERERERERERERERERERERERG4AyVYF7vt+HMer - JqLDjGOFAZkYh/ljf/R7f/i7v/EFX/KVzi7Qymi2qVY/M7RIBMONq7pD7sGKLnHcP/vjP/wDGOcg - vI4XIlMH3kK2FNrlnplkq6SnlNoF6tt1Ds2sXfxwuVxOJpOc8zAM/Y3HMuTmSCmZWUppHMd27crF - YpFSah/6dWm5bgDtskub6SGlBCDnfHBC0nUyj5rS3SIiIreutiV01V0aOXLmiYv00F3PGWoZA32i - V0c20pIbADd3A1uIOQwtD3o9HK1a5xbrpF8giOVs/NiZjwTGDtaCpCUqyTuq+ruqS15c7I7WhD5w - j4W1+7GOI7Z6c2WK9Z5tBMdx2Sc392EPL3rwRR/8s9/EEe+ZJucD03unY5+Y86RfzodsXSqWVt93 - wNoQMhBWPTxWxV/HunO5GUusq8+Bg2HgW+qYhQMOArQWzU3uqzYi4T33l8uYWPKuHyfhQ9dbxape - HADdjH0XucM09t2JYLT46P30OAGcWL8/LcS7njDanRE1IlZRXmNaNSLb29smA7MAggxjmIWxGiot - DGEM42rBuwqMxuqzMWfYKrKOCicNnTkwrPZZCZhHALUdR9rkIC28fXAOGBIAdbtvsop+0o9lkbou - Cg05z2f3zp7lVpcJ5mEpldERSB2XQ83p2k95kHMVDb34bquBZIgAIqYEq33u3OPRuw9BeBw47nEw - xLqebtsUaQCcrm2CKyFg4U4wstMKYY6+ZhA1F6c7LOVcqs+HBYlkR95CTwljrZY57NWHnvXQ1jiN - sSB5DRLoawI4JHPCohhwSYJX5KkLmMODbYuobUJ4dgBWUttqQqzC3qttTgAXTXJ0hIFuYQgQyKut - FWfAiAyilgiQQLKxre47GK1GyWGpTnG+vz+e8xUv/LrXfv4b/o9f/tE/fuL3z/SfsynHcUwpp5QW - wxIdAZQSfd+5l3GMSZej1LDrmCGdwATLCHg1pEAPHxMLgILBp8Nj5z/5vk++77Unns0S+2fP7W5t - lVKu8z01ALbZylqt0OERRpiBAUTNTMO8ntq+l8UTOAZBkvSoHRHRFk2oZusNPKT1nddVp2ZK82Hu - CZatDmGWI7nXiugY5iyGSE4A9RY8lk5nRNARQI3MvHAfMIwYgGRuFt7C8U5UA8JaXP6pv0Kbetp6 - 01lAd0MebWYnZ7YDwL0YkM0GBBPccT1TnMhF2ky2moIObvWR7hUpm1mpAWAo/je+4Zs+8IEPB1DD - a109eDHMb+R1yc15tbbXQ1JdExEREbkxl/wijn4pR0Se8SJi0+3GkxaDIiIiInLUzC6cl2yn7XR8 - W0RERERERERERERERG4XEdEugnazB+RqNn91svmTk5s9RCIiIiIiIiIiIiIiIiIiIiIiIiIicuTG - cUwp5Zwjot3edIVzzqWUC79WGm7hydJQrdJggTp2s8nbvu9/+Sev+RJ0J/eDYEswoDOUUizleKZE - 0hIqolZ0AIYCVty9Zec/+di/esc/RzkPIyzB48l9BA8c/MXc9a8Ut0jW6ov2nrff5nX3lFK7+OFk - MmkPULf7FtdS6wBqrSmlYRjaZ+fu7UJJm18mv4Fu98G+QHutNm/mnDfXZWoNb+gSwcdC6W4RERGR - q7Ou9tNua7VtyiBXobfkcCIIJ4xAgGHgqtR7Xa8RXEe7W5Sa7nS3ca+cG8oQcCKRXG9M31lbyVf6 - S1a7fALZHasdi2ArWdomu0ggEQ72nrbSlpEkjvRPZS2wlWa954hVCYCk1TadIAhfRajZkppxafH3 - YpeO8q13HVtu/nUQ9LyZHZywwGheDYzMYKtvXzTKYRYArrOW3F6TjLX25YFZ5mnyi+KOl8RFn/Sh - xJNn0LBnyMGk21/rqUZEwIFkYb3PdiYnEAZDgN5i7EREmJHBG1ueB1czeFtSkSlQaYhAchJYlv3C - 8TJTy5O0Ca49p1yVM2BAhbVOrAGMVTYXjPAaYYE61nI8V0mIgCVWwizP8k7nPRxMEXDGKjEbMKcb - ArFuJ4vckIAd2GZq9e52f2s5r6ayK/+8XfgX2Pz4ZZeBB5/HUSOCERZmnjv0vW9nm3zLX/277/qT - X/7ld/+/nzn957lnKYNNkBNrwLINpQ5lTETXJTMbvVzvaZe2SCQAGAOApYATEahpXKb6B3/2vle9 - 8st3JwRSGUbYjS1DL78KICPC6TSzzDxJXWbCegukwtf7DQeH2IJt3r+R4YgIR2A94o5IgBMpCEYb - yvY+3Jo7CiQ3U2Bb+g0+FAajhbrbyMGAwtXn+tTfqIMPtIDT2w5aEJM0tdKt3nw3tBMbEbw13ya5 - /XVdt78YptMtr8Xdv/3bv/2DH/zwYW1ztCs91VrbWTqStVZdTUlERERERERERERERERERERERERE - REREREREREREREREREREREREREREREREROROk1Iys2EY+r5vVyyfTCa11lprKQVARPR9PwxDly2K - R6lgBpiSea0+3/uzP3rvH7/3Pzz8sldPd0+eX8KISWfjYjmdTsZy61Wxni4HbDaBLzCcP/MjP/R9 - MewTHkggwXrZnsfVrzPfMsyTySQiFovFbDY7miGXI9R13abSjcNOrbd0mpmZ2fnz53POm1K43BSK - QomIiIhcA8mt6XZnEwbWLeBVHrgFmO3px7ieFGxuWb79/f3zw17h2O5MSNp+u06+eW83STOSRJpO - p2Z29Jkz29raIRJgl2TVVOE9CiTb3qYKdnJZiXZhAQ4AMLMTOyctaEBCcvcAQLj7IU5FJN2dRK1w - BMj5sKyr1qoxjGFo/8GA1e0AAvB1f1Su6TLvEuNgeTcinDFf7gf9GOLdEUCQYYZ0avdUxKZGuxkk - I9zgCAJUt1tuR23zpi0w29LVzLq81fk9r/8L//F/8ZX//asf+MoTfvesny0WxRHjMrxWZjDDzLxU - HwdLN/DC7X++/g/e5jDC3UH/wJ/+8Xw4V1HiSZvZT8dF23IRAFJKfTfNuQ+/aBl0uAuZQHX3zbsd - cZleb9zyKwuSq2UgYxgWVyqjH9rLhW1Pt2OVVE8wtttxDCsAeUaLK5wlXS5GIuXcj+NoZt/xHd/x - O7/zXju8dXvOudZqZgBSSvP5fLP4FRERERERERERERERERERERERERERERERERERERERERERERER - ERERERERERERkTvEfD5vFy239cXQu65bLpellHY1/p2dHZLDMHRdV4q3/A3Cgai1msGBYX//bT/6 - 1mmXLNBlkJgvfWtrMgzjzRy3w+YwRwsTYb7nHaovz7/zZ/9FlAKCIPy6uwk5ZwCllK7rnnjiCZKz - 2azWevhDL0epRe7NLOe8Dlsc5sX/3T3n7O6LxaJFwbuuUy/jJlIXSkREROTa+n4y67asXBSY802C - EwBwYKv5uvam/OJut/smNW2xHBdn52cio6K2jqzSXE8TSQMBbG1tE+kY9kR2dk6QLd1NIhE3UKeU - a9vsvm5AaUa5nNVUESRXDe+t2U5ib3W1PCdBIqIt1a/76BgvN9GRgYh2uJIkDUNZDGVxXc/sV+hE - ypphvWrG+mBHXPgeEwk4rO4v945haJygwd0ZiFLvvvu+qGCCXzjkahcdk1G3W25PbZ1rZhfVuyOV - c3m6uPcL7nrNt7zhLV/0vEd5pj+xtQNHnxEBOhJA0h1jQc43Mv2vlreMaDXoQABMdHcY9uZPfOJz - H9v3c6OPue8OqxJ9cHsDB2rl0/+fvXuLkSRNz/v+PO/3RWRW9Wmme067M7NL7oG7Esk1TdmQaEmQ - LMgQDF/YEGCAomHpwgbkFWSufcUbCpBvCMo3MmyeNUsud72kSZOUtaJI0YIJCIZo0BABkrK4pkxy - ObPn2enu6a5TZsT3va8vvsyo7OljVVd1V/c8P9T0ZGVVRUZmZJwT8e+3LMzQVi4OIAInuNkeEe6l - +BhR23N50jZy2LLiNSIIkovFAbm687ZncrSJdcual97W3xZg2Lmt81FvGTzZ3qJHHH2RB3Dp0qWd - nR2SOfXf933f9+u//n+2TcqT0k7dASC5XC5ns5m7j+NTdepaRERERERERERERERERERERERERERE - RERERERERERERERERERERERERERERERE7m1ra2uxWEREa0iP4zgMA4DWkCa5u7ubUmr3REveRKvn - FK8VQM4G4rf+j3/2xh98vuwvE2CGiAA3uypPpM2+XBABOpMDFrgwt61UfvLHfshvvo2UAEaUlPJR - g0DtuvEkx3Gcot3tBZcnSM55GIZ2wf+UUtd1s9nsBIdvZtNbpb1JnrTOyNNGaSgRERGR+4iIxPzs - /HJyQ9gqC7qR2GRM7cBj7zfe4Q/NLBjX9657V4MRLXWndPcD8Du9SJsvXQS2t7cj4hHs6Z/fPsew - FnK8089buz1Av63jLkcWGx73uMgZFRGgwVbJVXfv8+x8dyGV1JYdU7r7GO6UAvXpcdtCqCKQUGPY - W+w5HWGrLxhgFmbr26s/BAJwavnwgAz0zY5rEAibVgGRfHfYJeNRrE6T1QoLWPDZi8/VgpSSe1lN - yiCCgDPCwrR2lyfUtMKdUtbuzpqezc9tHVzgjf7c4rn/9Lv+xl/9s/+5v92l2s36OUFz+Ihaa+rA - BK9HXuZubHsXsIC+ikA7ATgLZ/H7b/zrkg6Qox71RMcDmzY5tucXGDbtHpBt6c/Vf20bLwx3yFQ/ - EDLcvdYndXdgc9uMjLA4WO6zvR63rt0e7rmtNu3b3pkFL52/SKeFYYp2mw6Gy0NrW2Wb22ZhCNtb - LK3rEfYDP/AD//Af/jKAlOwE9ze7rgMQEaWUlFKt1czanSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIvIusVgs5vM5yVbsbtHonHNEHBwctJ53awYPw9B1XQAeSIYu - mwHuqNURAdTP/OSPsexZdXdsbaedncV8fpL14seu0rx1Iggsdne/8eVf+ulPwgJ1bPUij3LUYaaU - 2qvdKgwppeVyeeJjLqctIvq+b7NPSqmUslwuTzzBHhFm1koZJP0RBPPkLlQrEREREbk/C3vh0oud - 93RGxGYE9ESs64PT90ArICZ89dpXShqrFVeK+AHcMdrdrHZWffUybs3PARZx3ILiA5vPtyJgwXCQ - iYfT8fQf+93k9ly3At5yu/auMLMpfVprTciXzz+fvKMz0SpaXBUn9/5xRiUZgRYMDTpy3Ny9fkLD - lztgwKY6NkAmA8GA1YPlbjyS7m17j+WaL/YXzs8uhCeSQV+HxQ2bB2VCx2fkieTu7j6tc0mSTA7u - l+3oOHAbFy/HK3/+w3/lu/+D/2K7Xtl/u9RFnM9bPVItIJFSGv2Iy9vNX1/Vc73dHxFMFnRP5Q++ - 8ntjv0hbthwX99hEPKr2ZNszbd/SeWH7fI7MAOEMtB2FAOydz8zafUcaHydgHH0sZTjGaZvHL2xa - pUYE6GQslvu3vzoTPvQamI7k+eL5Z+GHJzbahLOH7IOL3EWt9fy5iz/0Qz/0yU9+ui0ESvGuO7FT - a+M4AnD36bzadKeIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi8 - S8zn83aj73sALcSzv7+fc+77fhzHqUZMchxHMAEWNVhX2eBVIyLjX/yTz7311S/2CeFwR+r6sXjw - 6UmoBFAJJxi+3fGzn/xR+JJ0BMKdZnH0lHKt9XD4EQBms6eqd/4u0SraZtY6921u2py4Dz/8iGgD - XC6X7dsTT4PLg3t6lmsiIiIip4RMUfH8xRf62GIcVrKCq72mh2zLWdxl78uDFl+8+saS+7BYBbqO - mjYUYFVzXO2pskUTt2ZzQ7Ij7/kelfXdPJxEsjAG2tS+Nf2+Tk7y1MfmKTYVNO9xj0hEGEmyHbcy - swgauhcuvaf3LTi4rose973jFt52tAOY+tBtoOEwgzvci6d6fefatHS6C5v22YMeWkQ8EF9/AUBb - ukas8roRtaZxb9iJOLHjXPdQvKaUrdpzF55PmHXWtdovAAuL9fQlVJGVJ9iUr9680+C9Lctwbfs8 - a63DVbtcvunbnvv3vvsv/ZcvnHsl1d48z/PMHBFwWlg66iFK8xbwbstGB4GA0wAj6YwRi2/sfOX6 - wZuFwwluPU/Pd3rKLVa+1V80dPQgVusXgLFeDTDAMMAQ5sTRj8c6GYFafXQvoD9xWzgkEUYG6M4I - +nJ5EFEPt9If7gkRYCDMY71xn9zM04XtS4ZEGgAnKqqFxUM+mMimaPO1Oa3Ls9dee+2Hf/hHAERg - 1s8AjOOJbXLknFuou51da+fwuq47qeGLiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIjIE6ElgVtyGMA4jl3XlVKGYQBQazWzlgoGDLauBQcIkDAzEhgOMB788i/+rC92 - s2EYMJ9buyL6U4ABwJwIwAIJddy59os//Q+SDzEuLCWEhXuy4/QLaq3thZoaFieYfJZHI6W0WCwi - Ymtry8zGcWyJwJMSEW0ejIj5fB4RT1xq5CmjdLeIiIjIfZgZCp+ZP9fVGW+NvbXdqhPRBro5tIgI - +ls7bx5wr1q1hCk3K0fntn55LWCBrpuZpdPeGWGgSwlOQ1rdsdF6bCN2619o+oqcIls3ViMIrNKn - KfKzW8/NfZ7cSEYgAiSOcbTinX8Q7S4HQCR3kKiBEhVW9w5uqsZ9GoIgnHDAne4EAIYx4KjBMvrC - iUewOq0V2VIq3eXt51iYrXf3zaNsQTBg4QhrI3nq4yRy0szsTvVuT7mkXBbjbtd12/lC7HbP4/3f - /sKf/gvf+pevdC8sbyzLUHsmiy4iwnj0mLJZ2OqviACcQLTFeLWEYmUPN7/69hf3x71+lk/o6b4z - 3U2SpDFt5a0cmUDblluvQVqo+1bHmtPJcPjoY0V4+/YJXIOEEWip9ShlBNyJIN7xKj385rk5LHKq - ebs7lyIbCHiEzlTJaQr71V/91b/39/779elpLIclAJ7AO3plGIacMwAza2dec846PiAiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIvJu4+4ppZxzrTUi+r7HOhuRc27d - 7tWvkvBAyom3/Hm4I0rq+bnP/NT1N7/SGeYz7Owst7Zmj+H5nJzbgxAMEMheXvvR/xG+8LJIBq/V - +g6BWo9zvfeIaJeOb/X0NjkedtTl0aq1zufzlFIppVVIDueakxARZtbeJwBSSuq7P17qQomIiJxF - rb5kZpt9R3lcSvEu9S8+895u7Gv1FspKKU2ROQtwtQPlt5WYH8Sdp3JEMNvVvTdvLK6lGUcv7kW7 - WEfh4C1TpE27VkCf5c7HQp76LNZ3c3d398QcQbNbj020EYvVu4gqr4mcJnJ9mKPdippSFxWvXnlf - 2QtDqrXCEEQdkY67fAjAYY7DHGlKiYFEjCNmM3Pz6Orb+9eCDovU2VCWLb7oDvKW5bxPGeqHf/5P - PwNs86UKIIigDcsxpY4WI5bX968xMU7/Bc2ZPnrn/UvPvpyjC2dbB61+vFpDabkvT7bNgvV0pzNG - Lj0XMoqPJRUy9Qfbzy6e/ysf+4+/7cWPnZ9fiDFyzbkSCTXKsRdyU727bVFH9WRWCmAVs/r/fenz - Nsfoxx/+Ox/u1ufbjp5HxTMXno+SowIVKWP0io2FuQEPOQIlPCUeHByQoOFOufSVO8TCzwB39H0/ - DAOTmaHWcaxD3GnldryNYa9oO85tytSKxIxqVy4+l9xqjdYIZ7Jaazq5lLK8O0VE13W1RJdnFQxL - XvEbv/Eb3/u9/+0w1LYsjNWX+dHfb9PiNKU03d5czJKcDgtsLntFRERERERERERERERERERERERE - RERERERERERERERERERERERERERERERERETk3WC6XPnmJc2bFpMGMJvNgBbDM7j7ugUQgVZRIBDj - EuP+z336tVQXPtStWX+8lPWZUmvMZiilkPAR2ZC8jvs3f/GzP50ZhlUnwYuT97mafHttWw2hveYk - zWwYhul3Wrd7HMfTe0byMKZg9jiOLfPRcnIk+75v90zzUdd1x3iIqc89RScjYrMv0G4oPvh4qQYq - IiIich8pJUZ+dnZ5znO9zR0B47Q9zVblOonNqlaq2xxQ5Th2w7Xl1YNxvwW6pseVe7qlAkgc7uJa - ADCGAYaw9T2niGRCsljtEd0xsnjryIrIqZjmrnfMhIz8zNaVObYSUkSQIGF25yTqPdx1YUIAaAdc - SFSE02uMO/vX3SJQA9XMIioAkkd9XGni1mDtNDna+sDM6EGicNwbdt3dTvlwSBCOMHbZ++fOv5jY - wwlYtEPSQLB1uwkY6Ot7RJ4GQRRaoVUagGqjs3TV5sN8vrP9H/3pv/rSuVfO5fNwI8mo6YjzowV4 - p+ozAJLuJSUMUUtevrX/pqMEy4k8r3u4dO6yVUuRSETA26jF4RNjtE391fx+VAE46ljHIHy9mrhb - sjeAk0qVn5SNtZt7FMBLHWpE3G3dfMTxb6+Ewx21LWQNebs/lzwxkq02AeL2hxE5hq7rlsvlbDZb - LBYRkVP/27/92x//+N9avcfjYXfoIqKdWqu1tvNqOefNk68iIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIit/NVLAFd1y0Wi77vadau/7+6mPrGL3eGqCN8+Wuf+8W9 - a1/f7ojwp6CPlhLHJZgMiIsXkIBUlj/54z+MsvAybl5N/t6NoNZZyDm3V7W9Mmbm7u0y8sMwtJ9G - xPGSz/IItGD2OI5d15EspbT+epuUAPq+L6W0evcxEuwppTYcki0KHhFPwXz09FG6W0REROQ+SNLx - 7PblC/1FW4Vd6e6AtwwcW0EwEHz4UNfm40ZFrXn48ltvDHUBeMr0euRNc9lEpvUN3q13eLKyde2B - phyvurwij9stO8IWfO788+fyRYNhnf80A/1Ysyrb8C24UZL2ynCANDgCFiOGt258wzFWeImKtEp6 - k9Ea3hujB4tWIZX7iVuC3Qz4OpHbWYeogI9c7hzcLFGm1cHpcYchdXX7lefelyNztf0w1XwjrI1e - 25g4W51dkYfhSBXbBdsVs2oAR9gCtkyOi/XSe7v3/Sd/9rttbyv3XeFYh+iIh9omW22He5gnQ9Sg - sToGK1+/9tWhHNBW3exTwrArz7xo3icmAhGIQNAqeFjv3niGfvT5va2RhmEgozmRMX9kiFRrtE3i - UmuJshgXgQp60KdV3LGnUgI2XxUymdul85cY2ZDa8KeVMkNrVHkoO3sHs61zB8NoXU+kz3/+83/7 - b//X+/sDAASDm+eb/agdegBd15VS2tnWdkZtHMcW8xYRERERERERnLqGqAAAIABJREFUERERERER - ERERERERERERERERERERERERERERERERERERERERERG5m1JK3/cAxnHs+34YhvDD66XfeuV0Hx0A - +j7X69/4xZ/5lNVlHZdbW0/8ddHnPcZx2fc5Im5eW5SDvez7n/vZn8a4ICJWVRsi7nMl+RaqK6W0 - b2ezWUqp1tqaEbXWvu/dPed8vOSzPErTdJxa7C1gl1IahqFN6FZqP+qQW667DRlA3/fL5bLlBuRM - 0SQRERERuTfziii2lc49f+kl9zAzkkx2W6X7+NFNCzsMfN1S+iolj3/81S+wJxnu9U5/LQ/I0bLZ - DoSRiUyMU+/hppRs3Qi3WHW771QNV5lX5FGyqZRMzxf7S89uXbZ1y9P9jjPp/bT+8l36sK0nSsId - YRFWb+xcH32AhaPS4F4iQodNTso0FYIAzMzcnRZjXRyMe7jzcvikx4GA81y++PyF91pJDBDJY735 - wJbzNIQdL+0pcoZZROeYBS3Q3u0FHMHgfjo3PvPNFz7y5779L8UywTnLwPHOIBCxmoMmTkYE3IMZ - leON/eu7i5s89dC1Xb5wJWFmbcZfjV3CNLu3f489FgQNZOwv99vh9UAFg1w9gB0O+YwuSUi6O0kS - YJQ6lDLAbpkw9hBTiW3Cb3wL5+ULl3OYHb5D3OmnvuiXd4GLFy/euLHTdV0433rrrb/+1//G1avX - zVbbHPHQC5x2VrVtqLQNmOlOERERERERERERERERERERERERERERERERERERERERERERERERERER - ERERERERkTuKiK7rDg4O2iXTh2EAkLsOCIQ70KrV0+XUW15hWB7A6j/+hZ/df/sbDF8uz2j14MEt - l7XruuWyZrNL52fnO3zyR/4HLG8C4UC9JRB3L+/oICyXy1orgBZ4bhnvqdCcUjr5ZyInZ2trq90Y - hiGl1LrdpZQ2EacJ7X7k93/rf8/n89YUODg4mM1mD58tkBOnLJiIiIjIfbi7hSXvX37h1ShBs1qj - lbScsIDFCW1TBTY3zyIC9GrDl9563bqARSklJfXmjmEd6F0FAwEg0QyP4sXMtLsFYhUPFHm8CEeY - hZl3zz/7goEtsV39mNnFjSW4bX5H0tYpxwCCgeSLerC/2INF0GERh/Fmb/3v1RceyaLq6WHtNW7f - BFfl3IiICMD3l7sVBRaP4BBVK7VfufDClm2zBj2S2brVDWdx1jgcz5hy8iJPvDBGb54dAJ1hFlbN - g+7IvpcvDM//hW/7D1+6+E3Z51YtEXakt//hYtGctnm3IRLhBTl3BTGi7OzcDNQTe2p3YmGzbmu7 - 2w6nBch2humOC28Pehx9sW6GMBwc7NEOlxVP0KH29pTbJjEZy+XC4Wa4fblncZzGeVvGkwhDBCyA - GpefucIwhj1MFFzkdgcHy1m/NQ51b2/vr/2173nrrevucEcQ/qCnWe+l6zozq7WmlEopbU7vuu7h - hywiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJPq1YOdveImM/n - 7c4yLhEOeEwRrxULEEACUBb7b37pn/6jX7i4PWt16idaopmZw0qprMO4e+2XPvMa6gJAwIAMZkTg - fsWHVliYzWbt29Z+bgUcd3f3lutuseep4S1nTXtLLxaLWmtE9H1vZmZGst3YnILHSHe7e611sVik - lMZxNLP274k/EXlImiQiIiIi92FmBmPhqy++uip2H337+B6D3/i6pVRngbCoVm/sv/32zetglDK0 - 3S05nunlJcm7F7VP+EFJC8DDVuFwBwB7x0Nrs1zkUbk1qg0AIEa8+tL7GLYKfwawPv51IhhhlmKK - tRKwWjlev3HN6QCCHnQaAvXRLJqeUnaHW+s7EhKAazeuMYHko0l30/G+93yAY4IHNt5UjqnVbevc - uLrd8vQwOMOJYodvbEOYI+VuPg52oXv+fFz5ix/7y/PxQpTsAT/qko+HgwVsquW2WTsctOwELG7c - vAr4Kc9iNPaXLl6Bc7VoISKCTBujeodM9QMKAIaI2N/fP3zIJ2xlYU6ADFQz7O7ebOchTmrobUBt - eNFeL+eVZ58zzxYEbP0GcDxRyXM5m4y567rFYvE93/Ofvf76l9sbr+/6k3prjePo7rPZbLlcTrNJ - KeVEBi4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJPpZwzgNYC - aCHhds/UawhMbYd26X4G0GUmAHX52U+9tr+3O5t3fLKv6O+WWGvtOpJEHf/nn/yJ5EuUgQwwgQQI - 2n0TEq2+vFwu+74HcHBwMJvNWpW5VZ/HcVwul2Y2DMOJJu3kJLXp2Pd9SonkOI5TfL3dyDnPZjN3 - b1Xvow6/vT0AlFJyzqUURQbPJjUCRURERO6j5bJY0gvPvbg9P+fu7+jMnd6+IsnKccT4+ut/FFHt - CUv0nVGtqUaSTI9ge3jz3ULG/Saits9FThEPj//Zxp3Gml59z/sYEdEWDog4ybTnasXhwaAZ3OGE - Ga9e+0aN6vRpuXS3B2Wc4rrmKRYMZ0QwIlJK7uXq9be8hdJPf5UagXB+6P0fwpgSEldJeAMIEPA4 - bsdX5MzzxIOEpUVpy7VKIrbgW/tDXLrywtXrO+fS5X/rvd/1LZe/vcvnl4E4/hzZ6t1rAQZy7msN - Jjjq7u7NU+52A4CFXXn2Oa7HhEQEYSe2nIlA8bq/2Kvr9QWeqAR1RJAkWd2Z7Obuzj1Wecd6AMMt - 6W4k5ivPXGHYNFFi9dMn5kWTM2s2m+3s7PzNv/lf/d7v/ZuU6I4ud8M4AHZSe3MkDw4O2mk5M6u1 - rs9qi4iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiNyVmbl7u8h5 - KcXMWiUFMPDWa57nDrBSos9AlN2vfvGf/sovD8P4WEb7BC0WCwaGErOZLXZ3fv6nPlkP9hJaHohg - Bxy+DvcIGLQSM4BhGACY2c2bN7uuax2iWmvXdbPZrJTS9/0j6ODI8bQ6hpktl0sA58+fb3eaWesZ - lVKWy2XOeRiGYyQtprdH+zbnbGaLxeJEn4ScAKUBRURERO7HGMGE/pmtK+e6CyiW2RmmXR17iNbg - JgcQdCew3lm1AOiRyxfe+sNl3mcCnVN/zlePaxtfIBysoIPuhPPdtb3XXjEA3tK8YRYIouVRg6sX - jcF1BPcRZFMZq0nlYLTd7dsSvKq3ijwKQRjAcIM7rNKCDJh598KlF1NNVmGwRB4v3T3NyQZnwNYD - qDj8xsAIeAQsrh68NWKxWiyQhHk1Rm4L7mqo5sEKVMAQSjbel7d1IwGnA2AwOQEwYGYV/vbetWqD - M05qwbuxDXDr6jgsldTX+QvPv1yc7QAZPbh6X0XrDU8rI9cRVHma0C2cqIbgajYxRAIyyZ29m+e2 - L7DwUnr2z33bX/Qb6Xy6RM/Bts3WBrFRwG2bxQELa4tSJ2K1JexteTv9cgBB5GylDCRK1P1xUa2e - 0Ob6XZ5uWK6zZ7efC7IGIkDAY0mMQcT6XEsQoK9PSh2NO9zLQVlWjhGBMJBBAJEchANwmiNbOM/i - hqVz3RunxcFy31OUqAy01eXGDo637fYHZwGSFqvt/AgwUhdbl7auAAy6A4AlhzkDD9OJF4HTbty4 - 8f3f/3d+8zd/K6VVgf7Ek/C11jbHuDuAlFK7ISIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiInJHLdrdLmzu7iklrC94vvlbhzdrzV0XwFhgBFB+5rUf68sBWNvfMMBw - xpQDa4k1INqPHs3TuoVvjsnG3ZsjM5/NAZybcdjb/9lPv4bFTkLl9NdRH3DUW58bQEqpvbZ937cI - 9DiOKaWWgm7JZ6W7z6yIaEGB2WxGchiGnHNEuHvXdbXW2WwGoCXYj50eqLWWUtobxt3n8/kJPgU5 - Ee+ulKOIiMjZt7nhRVJ9pjPAHZVIVuY42Prgi3/iPC5aibb3FIDDfLMseMQcn4UznCgWwLpwaI5U - zTyypagxYv/z1353/9zNgkrvgOQ0ZwtRm8MQHaJjJAKGSgzg6IyABezdV+92gwcZJMPWIcCIqAAq - LZDptMqIGnQ/Yh3wqKNDpghYQkSNqKv5OjIibVTfb9udF5GT1pbYAHr3HCWIwlxoiDTjuXN28ZUX - Xjlns9iLnrNwejraIS0HWqKVcMJTrI7KOUFDRSUDHlEjEQRGG16/9gdxfgiM5lZLmPU9tnOZ5WoG - L+ZjriUNYcU8W6SpbCp3FFZAT56SpxZnTZ6TZwunRR2Hrk9v7n19mRYjBscxDnUZWj94VedeZYbb - pCdSQjLPdFqgq7a9PPfNz3+4s23kzi05ggHzCo4Gp3f0mQFE8fVwRJ4S0eaS1OaTlpgGKzjMM1NU - xmhR6fHB9374O178d7Z3LsxiVhyeUA01YMxeQaY2l7W0c3JjWBDFUAxOgIUYDLAwhgWsBoMYxkWX - 4QVm6es3v1b7ctQa9JGkmufL7Re330vOR6IzM8esq8TS6ZXWHjvogFsgOewoSyACBnRdd3X/G6Ur - EZGt21su0RngFp4iGKzoKhOAFPVUnudDqAgLoHq2NNTh5rA7cIgEBqb0OCIj7NaC+wMyCyMNgDsY - 6LHd1QvPnXvFwpzh5kF0FZ3TE8dM10kr2bCxzwjQpy+PUn10OjOZ02IcmLtw/t2/+9/9yq/+WgCW - uuoAOdZiKR1vn66dQzVbjUBKKSLanSSn+6cbIiIiIidi+iDXdAZK2xtPnNs/jTd9mE9OVptNpq10 - fQ7yydUmZSkFOgUvIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiJPu4iYrlQZ - aI0WR2xeUN0RXsvgABI9AB9u/PG/+r/+919I5oNHIjLCSk0R47hkQiUq4auk9yrs/QiqW5v58Nj4 - 8umnreS2+jVbFDezzt133vzffvZTiKWHA0gAoiAqoiBWo32PC01Oyedaq7u32+16hl3XAWjJZwB9 - 35/Wk5ejGIah3WhV9XEcsb7s/5SG7Lpuuihl+4X2y5t/fm/tWr455+l2RJRSzKzlBjYfTs4OXYJZ - RERE5D7aLhCRe25/4KWPcD91yNXH1U+nttyxL9ffUoJE4JZSHcPgTiByvF3e+vri655AMtCCiAB8 - nSE0wBBmAcDBacfQHmK0nlQt/uerRu9qc9cJtEp3mBOrNtvpRrvXYrOe3g4WtG9tmo6PYjREBADM - VwfUAEzLW0O1HPm9l19NJWfkcMJ4jAMY3pY2q4Nx4PpY3TsToaukt7+5+/Xd2Mk5d9aRVkqJYKxH - K+iVDlanA1C3+z42F+nr16rFOElG1IpafNw5uFE4IsURu7CbD3TbHWkV7wkngfbWsbBunL3y7Ps7 - zslUgwAiAnS0tTuSRct8Ig7XCCJPjVve0uvzJVHraGAtQ5c6OOfc+vMf+/fz3jyVlG29JUw6IjO3 - TfC2NG5xblunnZ3rRSvb+RjD9AWjrZYJQQxRStsIPDUMzmJ+afuyIZNwDziI9bY9DBupbsbRut3T - X1XE/rg3srTnaDk5wuAGb8MMWMDaljDP2OblKmzmbV/Fh7J0RhjA9TL1cKfmmNqJBxJmhPPy1vNW - +tYCb1LAgADqkdPg8i6Vc04ppZSGYSA5m81qrT/4gz/4jz73y+NYzaydOcs5Hy/5RnI6c9b+fDab - PeDZOBEREZGH1D4M1D7NAyDnrITtEyciuq6LiLZFOgxDrVUfyTpxEZFSeseHI9sH4+QJ0nbu2u0p - vt4WgCIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIk+NWquZmVkLEtvaxq+8 - 4xqkbmYBVAfJnAzjzi/97KfMxy7x4OAgkYwgOZ/PRr9b6iUecX7rMFex1opuWLeGtueG8MXO9c9+ - 6rXx5rUWCrIErJozD9TtnrQLUc5ms4g4ODiYrnAoZ01E9H1fSgHQ4gKt0t3uaXWAlNI4jsebiCRb - o93dc85tsNNlS3POU3cAGxfAlLNDlyIVERERuS8H4KzG/OH3fjSXLSYLBlb7Tq1ECPNVjfWYNv62 - 7dqRbKUFM9tZ7H3pq29EVwpLa3obnAiiggUogIPuhNMQSZt5IiK3a4fwgm0J6Sk8BRioEYH8wVe+ - xUpvljwKjxFWPfLI+M2Dazt7bwcBR6JFVCQ4xrYWSIEUWJdoH2oN8+7mtHC40w/Kwds7Nxx+vONT - cUsdfDVJGDAQQKBW1KCvqrxhOfoPvvIhQ5eQUKM9qDGDsd60WA1MR8vkabSeXxibC7CIII3ovBC1 - N9/6yPu+9aVnX2VJiZkBBmjh7u38DeOWGeTw/EfAAlyXsN+xzI5WrjYAqLU+ggSdmV2+dLlLvUUX - 61baCRbTSATq3nKvorRlUc4ZvnkKykDHaRbKH9IUkBuj7C/27nLy7JjjXxEAIlq6G6j+4vPvgR+e - 7WD4dBpPu0nyDkGPO807EeHupbhZ3t3dz7n/0R/58Z/6qc+MY23n1QC0aHdEHCOROP1VW+LlnG/e - vKlTaCIiIvIIjOPYPjnUNmmWy6W63U+i9jEvkqWUruvax7aUlD5xbe5onfuU2udFo30wTp4gLWzf - dsHaoq/W+rhHSkRERERERERERERERERERERERERERERERERERERERERERERERERE5ISllBaLBYCu - 6yJiHEd3v/elR6ero09XXPz93/qX//e/+OdWlxe2ttyDua9hTtDrYS+CiPYvH0NOx9a5iik90EoX - CALG8P3dJevAOvyTf/w5jCOArkvHuBJhu9Rnu/jn9evXSW5tbemShmdWRAzDkHNeLpetzx0RLQSA - dVmg1tquzXu84bd5xMwigiTJ1vAehgFArbXVu48RL5BHQLESERERkftoe1S1VtS4Mn/hPZdecQe6 - qbfpoE/7gHHEuqrzzn8SBEgzCyIsapR/84XfL2kcuVw37cLCDQWs4AhWINaFV0NkC7b84en3Z0VE - nhhBOBFsx8zcwgknGW6vPP+hOS4AKDGagccNiD4oeuFwdfetUkodajaawXIU1gAYxrDkhulY3xkO - sp4JcXh8o/VZfeMVi6ieyt6wu3ewa2ZmdrzJ294/ja2Lwu7hHg4Hq7OQQdIizdP2K8+/aiPpiYBZ - AohkG4ePw9vEDTJooUM08pTZmAfXy14wBazr5suFd5hZ6WOYf9d3/PlcZmk0q0A7YF0r7ZYUrvMw - vcy49YAm/dbks0/pW2cMZfkIjkq7+8XzFy/ML+bo0JYN68c8gVNFsaqAD8PBUBeg13ADV9FfIEA/ - 87VfJ8wMFkHfWewAtzXXNxbaR3rR2nsjjKsBenipLz//ao7ekZxOuKG9VsYwulHLW3kA4zhuzc9F - BGAXL178uf/lf/37f/9/ikDXJTMbxxFAzrmdV2vttyNpJ9LabXcfx7HVFkVEREROW/vkXEqpbcnM - ZjOlu59Etdacs5mRnD6b1W7ICWqJ9L7vI2La+G8Nb3mC5Jzdfdpxa8tALfpERERERERERERERERE - REREREREREREREREREREREREREREREREROTpM5/Pl8tliwebGYD27x2RnFLE7Z6+7xH+M5/6iVwO - zKsjKlABAhFhLRXRggw8WqbtIQVXY8j11x1/p1UtLm51Wxk/85lPLb/2ZfQZ4bVWWIs74DDge78n - 0F4ckuM4TtHuY1yXXh4NM+v73t1ns1nXdW0uaP9yXTFJKbUZ5HjTsc1WLQHebh8cHOSc+75vsfD2 - EO09c5LPTU5CftwjICIiInKmWcDh2WxENXR5Mf/oyx/7/T/4HZ9H4sbu08MUVdtmeSuABtZVQq9A - SgaHs+YOr3/lC7vl+sy2khs9AwhzoNW72x+2nbq03j1te7QKd4uI3GK1WGQQ1WCAwRjeXd5+4bnz - L98crgZhxGmXXoNR0vi1a1/CBQAwMFBrGmv2WHW7vRqBHDCnq+t8bBERFtHVq1ffKlHMzFsH91il - 2wB8fRjVHM6NQRkCqAhWRLEXL7x8Zf6C7XdWW1QWHi1FTMCdbjAGgOOGxEWeKEH3MCAIM0dnMzhm - ZjEefOxb/tSv/c6VxXjDyGAYMTqw2g4HACfSVMJeD5AB4rb2MwMAiQi0Q9+11niYDfUH46X227MX - n33P177+htkYeVyNxh1/+egLHzrICCuLcTcsfCwBtzsM/Uxv+dOCCWOqO4ubsbGeDT5s4DwiLFnQ - EXAHgfdcfiX7vL1BGE64o+1nmcWZ75zLI3b7IiIMQOpmuweL2WxeRv/VX/m17//+vwOAxDjW6Ren - gHc7b3okEVFKaX873Z5O2omIiIicnrbt0XVdzhnAOI7z+XyxWDzu8ZIja1uhfd8PwzAMQ9/3j3uM - nkLu3nXdOI4t8zx93k6fknyytA9EjuPYdV2ttXW77/HRYRERERERERERERERERERERERERERERER - ERERERERERERERERERERkSdRu+bebDZrt8dx3IwW387MWo46ItpV04dhgHV/+Bv//Au/9zsf+Mi/ - bVsXFyMsI3WIJQkH8OgDOlO3+44PPP20XXQ+I8rBLpY7P/9TP44Y6AggAhEb3W4a4v4Zi9ZgbheT - x7r63F5eOYPa1SbbBSfbvABgmgXMjGStleRsNhuG4ajDb9HuVuxuF7psA4mIxWIxn88BtOiALhV7 - NulSpCIiIiL3wQiSJBMzD7qPvPonZzxXx41s9/rGw2bgYjW0IJyo4RXhRNBTz+v7V//4zT8ss8G5 - 2vtktMqdA956Y94atJERpi09EZHb+PQ/C7fwFJ7CnSByVy9+6D0ftegeTTLR6Qvuf/HN19FFztkA - 9zLEENmdAJjCUrR4JEF3+iOozz4NbjlE6+v7as3ly1ffgNXVevNhHoGH5d2WziXBBCSEtRgtc/Qf - ed+3zrDdRYaTTDUYQffVEFoCPAiErb5EnjaGdUq6bSQHW7jbhqHM+u0YaSWf7y71df4tr3ykq/Pk - 2UC08xRRN4cVxGrODVjcqfRMBzCd9XE/THeffgrXIoBi73/pA7nkDl0Khq+L1G3E7jjOR+TJr+9e - gzng7j49r7hLI/zsINlOJjmj2vLmwQ3AGUCs3yEPt1z2NukdDBiw3Z+/cvEFixkiAzBEW1YH2Irv - Ig8igrPZbFiW3/zN3/ze7/3EOIat1vIwsyl1iY0lz5GQdPdSSjtpl3OOOOOzsoiIiDwlSHZdt1gs - 2gd92u3HPVJyZG1ztH0Sy8zah7G0SXnizKyl7lvzHkBKKedMeaK0VnfXdZtTVvOLiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIg8ZVJKtdZ2rVGSmxfiu6PW7W5X7au1tuYxANTF - Z1/7kUvnZ+NyOZsBhv099DlbrCotztXX2TH1Dgy+lfznP/0aDnYQNcZFSgTtlm73re72PNrr07TL - GKrbfZZN08vd27xQSiHZet4RMYXq27V5jzp8d885t9lkHMfW8G5F8K2tLZK7u7stPcBTj6TIcSgN - JSIiInJPdEbAPZmhsI+tV6980/MX35MjAwiCONwhPJ7N/OfmMEjWWs0QEUMsPQ//+gu/U/Ii6Aiz - SBZmYesKYIt8w2EO89UOnjMeNlAqIvLU4Obimp7CLZyBiEjsbTH/k69+x5xbCQg/9W5H0JHLG29+ - YenLigo4GUMskbw1bhlgGINo7Vt1u+/nzi8QvZV+xzR88c3Xkbx6O3p1zEcJGNZfDGOYBUmEoWJV - 9Oww3+L5P/FN354WfRcz1LjtoFiADjpgQTBMx8zkqTM16dd5aaAt6AAHHB50sCYbiQP72Ie+81y+ - mKJvy+i06uHG3WcN4zp4v5HE9qAf/g1XZdx025mPE5dSYon3v/gBGzoLA+COtpzZLHYTx2xsE3B4 - 4fKtG296ijCiehty0J129o/xRkREeJSlL3eWO3jH2YKHXggG4Q4EevLS9qVLs2eSdwBbQJ1x+Gbi - +nyeyB2EIcxpTiPSwf7yd3/3X33iE//NOHrXpVqRLLWTx+M4tm4fyXEcj3H2azpXXUpZLpcA2r8i - IiIij0ApZT6fR6yOV+ScWwdaniBTTxoAyVJKrVWfyjoN0yfe2ifhImIcx8c9UnI07j6FutsEVbdb - RERERERERERERERERERERERERERERERERERERERERERERERERESePrXWlFLf98Aq1jAMw70vwTdd - zTIiWsw4GRn1X/6zX/l/f/e3GZUZNTCO1QxEELcm1vAoroa5GWBj+PR1+08NYHhd7H7mkz+K8QBR - EF5rbdFl4A7d7nurtbYLUbYXaso/yxnULtZaSjGzYRhSSiTb1URbzBvA1tZWa28f73q8rVDg7ufO - nQNwcHDQ7mxz2fnz50kul8uu69pvyply1rMuIiIiIo+dmbWrutfRZ9y60D3z0rmXz+EigCAs1kE+ - Yl0oPDIHeOsfBsGc3JESwzDWJeb1D7/2+wvbr+ZOOgyRALPIXD18+0MGgTAnCAe1CS4iclcWwXCP - MHZp6N733Ad6bKea6Q9fEb0Pp9scV29+Y3d5c1EXFZFSAryiHWIzBpJjVYmm361MLZt8fZTDiRbx - BUCyWlnY/pu7X/dUx1oj4sjHbjd+P2CbPeGIiEAAQUQgBXpubdnFVy+/Lw4sR2KYrRK+iTBwHdxd - TVQDcLztB5Gzqm0S2zqw3bZVHXS36ixdn8qw6Ls8S7kcjJfmlz788kfP81L23moiaQmHC71V9BvO - VX2ZcUsPezXw9n8SAIl29qdtqJ92uswC2VJUe/HCS6n0KTqG1bufI4rVMuoISDqi2rCzeNsxmiEC - tvEARx3gI3Z4GinKEgeLshcR0z5Um7iHv3z0eFZ7Y7jDwma2faG/NE/bqa66yLb6HXOaQd1ueVAk - 33jjjU984hNXr96IwDhWANVrrdXM2rm0lu4DjrOcGYYB61y+voozAAAgAElEQVRcO4Hd/hURERE5 - baWUtjHTtmFKKcvlchzHkCfN/v5+m3DtA2EpJX0k68RFRPukaUSYWUQA6LrucY+XHE2bQQBMH29t - U/axjpSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiMgJSymN49gKKe1Sive+ - iGKrGtdaN69W6mUwH4Dx06/92FaflvsB4sKFVMotF/qPdSYAwUeYxL1ru8fW3e4U5TM/+RM42EWU - PFtd/j2lVIrfrdt9j4JBRLQXZ2o2tyscyhk0jiNJMyultCv/u3ubHaYLtx4cHLSJeIzruE5zE8nd - 3V1339raApBzJtkS7xHR9/30tpEzRWkoERGRM4o8rEDJ40ULd+9Tb2EpdcNO+Xc/8mf6YQsOs1U7 - sAZgyCl59aPW5qZfZ5g5EId9UJK1hjtslhZx8Pbyrdff/KORFTkFzZHLGDnNasGqCXrLjlzrvMbU - MhQREbS+MsEA14tbM1sshg7b57pnXn72fXOe61N/+qUbH+rC5vjC1//Au8qEcRxTNjLaqsDW4dvT - Ho+nyB1eq6CXMvRb/c3h2leuvR7muTO2V/mI1ivnqQ7uBjeAgZyzO9yRDVYTF923ftPHbJht2bmo - MLNaaxCAtd9ftdi1gpZ3jfXmsQMeMUYUpIg6Rh1nyTB4Ws6+/YN/ysaus66OXiu6nMsIC3B9xiWI - zRMhU4A5eHiDZGswkfACABcuXPBy6q3mcTFu5e2L/bMvXXjZl06mjRHz1bg9xFhEMGfzVL5+46uV - pYZ3KdNXw4zVLkCwbfyfPS11TJKZX776ZW5ZRFi8c6H9MK+Su3cJVsmx++b3fNjGnCJtrhd8GvbD - TAl5Gk0FvuVyiWTMnVcY85e+9OWPf/xvfeUrb2I9lwUs5dU5tunkqLu3G/d4iM3Cd7vRTqm2yKK7 - t9PSUwhQRERE5FRNn9pp5xRyztPJBXmytE9ltdvT1HysY/QUaq/w7Zv08oSaPt6q5Z6IiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiDyVprpwu/Jeu47i5iXQpyvytQukt9vt0uvT - twkwL7/x67/2tS//cWKtSycA98M4BA4jLvEIr9S4jg2tywPE/mIgMeswLhedoU8Y9m589lP/gHRE - LYsDMloD7j5DXl+CEuurF7YI9DAM0++05HMrNMsZNL35c85tMs3n89YRqC1ksvaOb99hugzpNMz2 - lmjDbIWC1uDAxlzTfpNrJ/vU5EToaqQiIiIi91FrTSm5O0B3356de/+lD1yMK7PYrhUt68WMsaKU - Med0pIG3guyddipRayW5qhKm6qns1rc//8b/g3ld1qFGOMg0czcyecWq1c0IAnQLnM10n4jIYzWF - FQ1hAYAeqFv9Ft1s7L/zo3/Gd60cxFZ3tOX5MQRRuPzi1dfrbLmsQ0oJNSJiWimoLnosqwMd08uY - Uto72Lm+/9bYDW7F3Y/9yrZBOjfXr26WvdQIzDpYRed9X8597IPfmeuWRQdY0GOqdIdZtMePaCO5 - HlEdNpOniRMAAbMwhgFgBBBgdXpYAQtQgMJwBrdx7gMvfXjmc1Zrx5Dda9dtVqndAScYd5iDpyV7 - REyHoM3AoCE9gqPSKSWM3o39i8+8N9ssggF4BXiYGH9IThSOO4vrnioQ8DAQrdfNs36Adzoh56h7 - ZXe0AUbAAHNuptlxvIPVrXkMwpBsmL30zKs5Mtxt490SsPZCMe6VWJZ3IZK11r7v+24O2O7u7mw2 - u379+sc//vEvfOGLZkiJZmZmKaV22vhIpnOr7fRzRMxms1prO4vWSplT+Vtn0URERERERERERERE - REREREREREREREREREREREREREREREREREREREREREREROQEteuim1krGeec+77f7HlvMiABRMVi - 59Of/NHzPS6ds8Xu2KUEvLPU7bBH1sNdpwfW/6PVwMWL/Th6Kdiaz2JcxHLvF37uZ2KxH+NiVXPb - LJeHA45Y35iGTEZEznkz89yuId/yzMMwtJ9GxNSHlrOm1tom2XK57LqO5DQFjyQizp07tzmDbKa+ - zawFDe82B8mZddbLLiIiIiKPXcAA1hIpmXvJkV6+8Mq3PP/RPPRWYZbrRv7Njl/efOduZEQk69oG - NgmkOtjB7/3R7+yVtz0PlaMDKfXh7KwjTqwLKCLy1KITq+xrwILmZABRvR1f84H/P3v3FiTJdd6J - /f9952RmVXVPY4ABBgBx4eAOkiB4FUlRJC0vZZtrhx0KOdb2k59sB1cRKytiHeENPdmS5XBYD/Y+ - 7EorxuouUl5R0krk7nJDlsyllqJ4B3gZSCAIkBoQIG4zPTPdXZV5zvk+P5yqmp7pmcF0Y2bQGPx/ - kdHRXV2VlbfKrMyT5/u/5c63r9hBTUFFrsJ+NUv+7rPf7uNGkhRCAxMtAsDUTABAzxdSS5dKDPAQ - Qp9mx146lmNf5ldFEXd/uD6zPbhCrB7wxREggMIRACTE0h3qbj5y+MFQGjEFrGYVmxgAqWnGZ9ap - 1BUNYZQsXVP8rIR7iM83/vkfNZFb5oO6hjS66/B942YNWQMCgJyLSrjIpUs736fYXUTgBeJoJLhL - ozFAr/T+PIZgWUJu77r13lCCuorAdnw/V4ftNWnbULIOx0+/aOpQ99qQI2eahV7pPFwVBjs5PZ4l - AaiZ7pW/srRiccDqaZN2ZfXOw/cpAqwITFzh4rI81cqQs5rBiLJZNpv2SWKIMY5HK+vrJ//+3//p - o0cfF4G5FIMZzBYh8btUm+jqa0MIXdf1fe/uOedhGOoTassrW9eIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjo8qrR1GY2m826rss555xFLhgS4PN0ifTnn/qDY08e - tX46GTdmfiZhTUxg4lAHXtUK6zkjBI2KPNtE7qOn3/3Vf4o07Hii7RiAeXIG3F1Ecs71wa7rQgil - FHd391JK27Y18lxEUkpXcf5oF0JNlwe6riul1C28xrHviqpubm7WsaWU2rYNIahq0zSqOgxD3Qxq - uMBFPke037w2Yl2IiIiIXjWuIcRiMDENcJR+OnRl8u4H3tcNK20Zh9j2xUpBExBVS8m7fQeTeVid - +lm53yoRUC9QR3YrmmVkL248+50fHMWoLyF5KA6YedBGXQEITNzEmUVHRHQeiwjVupMUg9Q9cIDk - 1KsqTCZ+8P5bH+5kXIZyxacHYpKeOfm3J/Lzpg4P0UMwxc7ris6T90ukO/Nf3T104clnvpNDKpr3 - FhBbM9TVsfNCipk1GhRIPYJrU0ZvufPto7IarBEAYibm4i4OF7hybdLrxSJVWl0B3RbObID5PM/e - XCAWvQ8HmhtuPXSbeFBVVbijfm7qh84Frhf7irscvQjcoQ6RICZt7M6031wx7h4kam6O3HqXZBWo - L6PFBQDEl/Hhe5gSdRcAFvLJ6YlkvQdXP5ML/hoJ+zXATOzk5rppEXExl3MnfW+rSVW1lKIBXvzQ - 5NYbx7eKOaQEdwVM1BBs0YYHXPHjO722xBi7ruu6bnNzOvTZzH72Z3/2y1/+uiq6rq0tXjFG7Kld - DUCN666tqiJSc7vrONu2TSnVVrcQAptaiYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiI6PKqJdBDCG3b9n2Pi9Zdr7nWqgIFtk78y3/xOx0GKaWGC/gy9Rq1+D/mj15F - DnFRA0TRD8i5uPnKuBtH/M6vfwynT9RIAhE5T6yyY/scVDXafPln3/elFADuHmOsMd6q8zCFZT40 - 7TcpJVWtW3tNXh+Px3seW90kuq4bhqGUYmYppVJKjfGuP3PO27cc2ueYF0VERET0MopLgUtA8qyK - 6KFslPvf8OAt49tjGmVIDlCBQkR3nFftls8TW2v6YCkFrvUMrphLixSnj/z1F1LcyHFqWpKVkh0G - y0VgigLJZ05KIYAwIpSI6DxcHWqiJtAAdXO1NjRxOnn3Az8qOQjClT5lFgmAb2L96RNPIZoZGsQI - BczE67FAYOo1+JU785e1cxE5YMWSRhz74bESs0lR3Xag3dXYfZ5E7AKrIxBAUEoBFA4vaKUbyeo7 - H3hvHEbi6mIuZlpc8mIkqvPXQZYTIrbzyizRa5nZIpm7/q0OddX5505rqrVDDWoQQDpMpI933XGf - oHEXVagEuC5zu5fOiaw2OffDM2/8mP9PxqMVv/JJzSV7GxpN4eaDt3bNaHsDzI506r2+BYoE9Glr - Y+v0fPzmAExQm4UAqO/bPYlhvmrsxMbxollVL9yEsOvjXROCJReBut5x071NGXsxDVncxOdHfBdx - KOAQ23a6RISczV22tmaTyaSU8g//4f/02c/++65riqEfMqCA5jy0bQTMLO92/KWU2gK9urpaG8/q - xu/u0+m0hoLnnAEMw9A0zeWePyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiInr9apqmlDIMwzAMALquCyFcJHK4AMUFpYfmz/zx/7O5/vywcaoNAofNoxhc3dXPExhx - pdUMHwMcyA5XTCYh51yGWb+x/ru/9ivQeTiCSHCouywTEGTbsKCA1gCIruvqQzXvuVaVNzMzq3Hd - Ne98meFN+00t9V/XZgghhDCdTvdQ/9/M2rat673v+zqGGrHh7qWUlFL9+MQYzxMPT/sVP7pERERE - L8MMITQWfLBeVSejMZKMfeWhN76zKauznKRD03Sl91JMw1mnVpfCZXlKefbj7p49SAwSARSHa0JM - 3/vh48+fPiZd8ZgBX3z5Np1HFHrNxjOpYYf8vkdEBJzZN1uNUTVEIBaBC2AeGx3KFMAIB+655cEW - 47rvvZJUXaFio+E7Tz82eAY0mCzDoc9E1TJh9BKc90g6jwd2Xz+9fmLjuIViMBGIyEUuAV+SbW8X - Y6yXRxuFFD00OfSG629vSqcWAZiUmmHsAgHEISaL7GGuWbqGZWAe3S0OcRUAZ6V3qwnmacoeGxn5 - EO649Yi65GSlQIH559QVrud+Wvycr7jb/9RQP98FQcLaytqVmcEzBICZIkRvR3Hl8OGbARUJl/+d - AkzKS+svASaOHZfg9+8uZTmpBj9++niRGn58ec5T1Of56O4I2txz2wPStwBETWHqcIFBF9uM7ecF - Ra8KEdnc3ByPx4Lwi7/4v3/mM58B0PepNhjXdlC8gqbQGGNtTtvY2Fh+/TAzERmPxyKysbFR34Xt - akRERERERERERERERERERERERERERERERERERERERERERERERERERERERERERHTZhRBEpBZF7/u+ - lHKhZzrg0hYHAEHKLz77yU/89o03XOfmLsAy7KWG6byy7JdLp8AyaMBFAZjAHE0DdwTxcaN/9Pu/ - h1MvIfdwc7iZYVEcXkRqKfhlotv2uvC1BH3f923bAphOp13XpZRUVURUNaXU972qDsNQq9bTPrS5 - uYlFilAIoZQSQkgp7XY8dUWLSP2YLMdQSqkfoqZp6vgv8jmifYhRjkREREQXp24CkeJFAtxNXdrQ - Si8P3/uOg90NUCkRbuIFInhlYVsK1CxPAIgSa1RY/YatiuwwTVvl+GPf/XoJU9ci0RChgiAqbgJT - t5pdV2MOd+QaEhG9ri0ikwGIQRxqgLuLuyG7e2vjia7df/cD7lc2PVG9Jksb2vzE03+zOdsUES+I - XhMizebp3Ysrbtyf78KZZWUCEXnqqaeKJAsFNdsVsocrmbLtGL0cOYAQmpI9CKIGMXnowbc2uQup - FZd5BPs8fN3gCkgN8Ca6ltX9qxTIPCZZAV2kd8MFLg5xBIOaKBB8CJ2Mrj9wQxNGAlhZ5HZf+NLl - eXbSrnB1d1VVVTOEEA4cWIsSr9ScLqiqZw8SxfXuI/fUaXA7/3FkD4cXVXV3EWiUF196oS6c84xG - 9m8jjbubWSnp1MbJ4llElnvC+a7yFSilxAhzdM3o9lvvUesU4l7q+ZFBl29hYi529Vrw6LUghGZt - 7WA/S7/0S7/0O7/ziZzRNAGAGdylNoCJSG0EXWZvX7qcc21Oc/dSSm1mU9Wccx3b6uqqiPR93zRN - zvlyzx8RERERERERERERERERERERERERERERERERERERERERERERERERERERERERERG9fpmZmYlI - rYVek6prjPf5KFQBDQFqBqRPfuK3nn/u2VIKfF72Xx01c+LqROlsfxcXXeYNiCBGrK9vidt08/Rv - /trHYDl2EagpPwrR+fMAuNfE7p3ZCDnnGCOAYRgAqOqpU6eapqkLrZTSNE3XdTnntm3llQXU0ZWz - srICYDabzRM99rqmlsEEIYT6Mem6rpSiqjVJ0N2n02n979bW1mWbAbrCGP1FRERE9DKaEAQopTRt - m61MZ1uNNo21Rw7dd6i9ZawTT0gpqUqMWjLUzzlbA7A465LznH6JQ/2sfNYa6qkBIi4iObs6QoAI - csiz5vS3//ZrQ95Kqc8o5l7M3M+EzCqW08Ave0RE56dnYjtrwqs1TagXvCTH99z/wXa6GqydPxfL - vbfqIkJ7mQOqew0ANcsmVkJ59sSzm8MpCWXwIUuBWB25AYCKqzogF8iAJQDb1oKJmZgL5pdqxUqX - n3z2CWszgsEAg4jsOnnTUcPW1RDc6oG7CLIie3azoBKtG+W197zlg7F0QWJdg+JQU9S4bjGgvnN9 - RAW+nBQGydI1S2z+HdcVwGIvquKonwUHBhu6bnygWVsL143iWBUmhgBfZFErANji27IqID7fD2t9 - 0OtX4CIOkSAiWZKoHmiva6wVu7Lp3SFIzoOqSgp3Hb4/DpMgsSw+1uqqrqYwqXO064BtF3Hx4kDQ - k5svJpm65sU3fa8jFEDmbT2vNAn7sgsQwJLmHsOs3zDPJu6yyBr3s/aAcs7esB5tz3caVZlY8dw0 - rQ5Y1bWbVm9uZaza1s3Oxeq7CAwwrS1k5x0RvU6pm2yc3vr93//kr/zKP3eHKnKaN4Y1TQOgtonu - Obq7tj3XF5pZ27Z1VDFGEVmmerdt6+61UZaIiIiIiIiIiIiIiIiIiIiI6NpWb3J7Jbe67bfb5Gj/ - qzednjMQERERERERERERERERERERERERERERERERERG9HqjqMnUYgJmFEJZ/7mTFEdQykAHDcPKl - f/3Hf9CEHWX+l5kTV5G4LfMGHJhOcei6SSvlDz7+m+X4c9ponk0BQATicMPZEc5+vnSYGlcEIIRQ - M4xq6XhVTSmFEPq+BxBjHIaB0d37Wd/3o9Go5m27u9muw0GW6mekpgws48BDCHUbGI/Hs9kMwGQy - uUzTTlcc0xyJiIj2Kff5V/Y9REPRZSSOkBESRtqUnEtwdE3JubFudbjux9/64dFm1w4qImEU+97i - Mngb85y5nVVdaq62OtRVXINBHCZW1FysxnyKI1mSxnOZtREwoMAMOdh05fT3Nr7z5N8+2TTd4EUm - bVExibbMAfU6hr3kAhIRXat8HuoMcVFXcVN4cKjDDC5SkomYhX4UugcOvPPu5uFgo+IICJYgAcUR - JcKCOiAoCpf5nrw+sismplFcrLccV+Jj3/36FMdTl6yzGlVbBC4Kj3qFE2evGdElwA0J0eAqCCJh - kHwqrB99/tHc9sPgHUJrcShF2t2N3ARDQBFtzLpi6jCgjxgCRKQRlME1Ne+85wMHys0txrkMJu5i - 6kEtRFOBuZSiVlSLCCDqEJjCHHBen6Friau6qEvN6nbAxUzsTA63q3j9MmzqZlpKU/rcr+YDbzzw - RhnEAQtIKEXN1dSh8xhmmCigwWINw3aBAMEhHgE18xg15wzAow1pevuhO8NWFyxcyRk2L7lpNZWh - JH3wpnfcYLdo0eWRIpYYLJogB0Ag2O0hw4olVXFHcTv2/Hes2/A29zYAVkPNFQgWQomAusyX/P5R - kjVtLF3+3gvf1VCagOIZwQDU3alBDcutooadA1icT+m2kynZ/hxFLcDaYTYbVoeDD7/hR2Qm4lJ6 - VemKetGibgILngIyvIF1+2350OWm2wYAQE1wF3PMmzxTKjG2pTigw5D+7M//3f/yv/6CA6IoBkO9 - CmMp9YABdomNaqq6zN5e/mJm7p5zNrOmaWoj6/I6T00Hl4XLuBSIiIiIiIiIiIiIiIiIiIiILuS8 - +bUXCbVddE/gcHmG15vtc73ctAxnfu7Y3uScYdFH56zB6i/z15qLQV0VIu5eSkkhSP3dLLuXOi5V - qLiKC+xCQ33CzudofblCxOttRecb5jNx9nBmOZw1wOTCXX4u1/ZTb1XKOccYa3kIX9zE7mJ1GdU7 - l0optQzBvnKeZXDWbsqWQ13+82dsW/5nbTOiLmrLW8rmGfBW16l7cS/LP5dD3XgAM8vL7XL53+Wr - ANs+PWdP0nlm45wtfPvWQkREREREREREREREREREREREREREREREREREdNnVHpj1Z43xvlhpdDGY - KdAqWgD97OO/8c+0TMVLTj4aQTUMQ1YI3OUq9pATeECJnmr6W87oOoQ8a23jd//ZP4bNLA0IEQC8 - YNkB0LK7n9NBdNFrs/YWrP9HKaXWlgdQC9TXYvJd19Vnt+0u027oKjKzruuGYah/nlP/v8YHXGIu - QNM0te9t3R76vl++arkNjEajKzIbdMUwp4SIiIjoZQh8XuPG1QQuBlexkGdy321vuu3AkVWsWc6G - LIIY58ncwJkTLJflr6gpdMuKOXqmgI7VTNltLzxTvmcxATCBtziVj3/16BcGmcZRmA5bgxWJARD4 - ufGuy4hEIiJa0Hn6p5s4xFVU3V1FBHAkGA7K4YfvfHeYNY22ihCj1sTG5cWyyyK7FXgIujXbePKZ - x1I7taZGsc5rvcEFHhaXGLk/vxgBxEst4uduAOYhm419f/3JTT3pIUmN8RRZVvq7dC5waA0JlmWK - MOBAKoOIrISJTtv3vulDo7KWpkPXtfNDsGvNoFXHvCJbDep2BaBuXLN0bXI9Jx35nF2nnvW3eSgu - 1pbuYHtDsCBSA7/rV1mrn6AzH1lXAOLzfSWWX61dQ0CxrKpDKRA0TTeRSeudXOGo5hrEKyF0sQ39 - yv23PoSZdIsv5stymb7XyooGL+7w4O4b6eRmXi9qottnytSx2D/tu+u9qpotJe83+lPZsyiy5+VO - 2OXM+dP2F2HbZrN88vYtxxYPFkMbO51299785lY6L9bG1ooutxB1ExTxOtrIS+KvW7WwbCllPB6n - lNq2Le5f/erX/8E/+B9zNhGpXx5EZG8laM0s5xxCaJom57y6uopF6dta93YZAc6UbiIiIiIiIiIi - IiIiIiIiIiJ63bKzf17ifbSy7SfOvpdMRBQC85xz7QM/Go1SSmamqjHG5Y1DKaWcc8653skjIqoa - QqhPWHaYd/fai77GWle1FMX2ggsXndL9QkSapokxllL6vl9dXRWRnHOd97qI6jObpun7/tWd2lfs - ZbamnX0BooblEqjLpK7uEEL9fbnesbgDbflILVBSN6H5GPzcny9rVze0ExERERERERERERERERER - ERERERERERERERER7U1KSURq5PCyZPrF+kuKnEkDcMDz7MQLf/KHn2jVDq7JiZc23bG6Mh5SFvHw - atT+FzcAow555kjT3/3nv5qnJ4NkuC/ias7Dtw071WjnruvcfTqd7q1ePb2K6ibdtu1sNsOiy7CI - xBhrB9v6YNM07l5X93mpakqpJtzXR7quswtvV/RawZwSIiIioosSAwxiRdRFgmkwdTEXK57XVg7+ - yIPv91Nx0nRpcFFkXxQPmifD6ZnkwsUpVw0ahKDGde9qctRhGaFrvnbsK09Pn8hlswE0oqjBIxC2 - Z5G67Hr8RETXsAulNdeiWiGE+ku9SvLWBx9ekTWdhVKSBysFqjDJ0AIAjmAQR1EUBc6Nob0kquqO - oIAM3z/+1AvT50MTYQLJglJzar3+BgOcGc8X4cD8kGcQDypSrBcxieVvvv+NLT8VIOpwtaL1Wtju - xi8OgYnDoY5oouqIBcGBiFxKmLb33/zWI4fv8QExxpwHri+iSyeuMFGXQ9dfL0AQ1O/K4nqh1G0X - E4fWAG81wASmCCUDAe6IFg5Obmia7sqXNVR3sSIuUInSy0P3PKxDUAu18KKpFTVxBLtwO8zF30Bh - BnW4++np+snpSTMTifVYJPMKj+6XWOjxqqvNb6bl+PpL7mftG00gbrJjh2mC+YVrX2SfzwPa56Pc - /mRxtNKO49qRO+4XF0s5BAXs7BKoLG/5enNuUV83mW71tQ7v5uZmKQ7o17/+yE//9E8DqC1ktQU0 - hLBsBtuV2t5cK/x2XbexsQEg5zwMQ328fhYuWreXiIiIiIiIiIiIiIiIiIiI6FUjfu5Q1ftvOVyu - gXTHcPb25ucMyxoIUv+5fXE68pC8IEiM2kRtxLUkG2YpxlY1ukvOlrOV4qqxabqmHTXtKMQWEhya - iw+pDKk41KGQUAfRqKEJsXUTN6ndghRBEYLEJrT1/sZzhvksnD0Xi/naPpiLXfx2wsu2tZgPs15E - amWBnPMwS5a9JLM8LzdQ758Povv1JsSFbRvKYjdVl/w5+6vtdTPmi1wFwb0OaqZmwT04hmFIqWZw - S70dtBRPqfR9ytncRTWG0KhGkSASrBQBmhhHXde1bQzBzXJKtYuYzO85n//cNkk7h3O38+1bCxER - ERERERERERERERERERERERERERERERER0eXVNA2AnHOtwV5rp3ddd+FXOBwiyFYLviv66b/4+G/7 - sNVv9CvjkZnXjpAhaM57qeu+Nw4xqEFdFIAUaOkjysd/57fLMBRD2FOQeE1xzjk3TXPixAkRGY/H - e6tXT6+imjWQcx6NRss/6yM1IGB1dVVEhmFomqYmeZ+XqgIwsxphUD8v9UF6TeMqJCIiInpZ5gJH - hEdxqcHbRaGqabO8/e733jK+PZbYBsBRcg31VBN1gS9Pf28AACAASURBVC+qCdVyMLqtIkxNF9x1 - hJzDDR4xHZ360hP/PummY5BoZnkeEw4xAGI1t9vFjdWdiIguyszcRCVILanmaskOX3/L/bc/pLNm - /iSHQAzuwQHoIrrbUSNjUXfyuyJBzeDZRuNmU9e/+dQjHuqoTN1CDXwVGGDiEGO1vosRqwXL1FWL - BIi4abCZbTz+7GODbClEDUW9qOPsGn+XKNh8FVs9vrvG+oiiabqwufLBt3y4GUaSJaryEirRbomJ - ux9cu94KUD+kF9rpidU83vqRLAITg2RIdnczOKxR0dzeesPtIiGbuZybDH15LSo2uruoxTcevmut - ux6zoAYTpJCLZgBiiz3PbvY/LvMGHhER8a188vjp5wu8eDBRh9boaxOzKzybr4SLhQY/fPEZEXFH - CMFs53HNMJ8RwNW3pXfXAG+1+oiawObVS2tut6DHG2+9b6270bN4zWyuS+M8x85zE53pWnSeVayq - o9Go7/uUysrKgdFo9K1vfeujH/3o6dObAFJKa2try8P33pq+arNZbVvt+77WtI0xtm2bUlrmgotI - SmnP80ZERERERERERERERERERERE9Dq0vPX3nID5rmkVklJKKS1v12nbdhiGUoqIxAVVrbfupEVW - s7uraghBVc2sLJhZ/TPnPA9adgdQX2JmF+qKX+8t31dqaLequnvXdTnnruvaUVeXSdM0ZgbA3VNK - tQzBtWq5zShEIdFFHG3bNk0TY6ybgYjUTaJpmvpIBaBuD/U2sFLKMAwppbpsa72S+bvs+HkR7B1A - RERERERERERERERERERERERERERERERERERXU+1Ph0WSd9/3F3iiwQqAAhjggIYALy89fvQvP/un - amnUBVXZ2srtqC1XvWulQ0yCAQK0ggONf+K3fm32wrOx6wCYGXzXeQS162jtiLoM7V4GP9NrSCll - GcRee4a6e81iF5GNjY26WuWCaSior23bFsBsNjOz+jtziK4BjO4mIiIiehkuBphDDEFd1cUERQDX - 6OMb9JYPPfwTw6lh0saSEZpo0CJaA7yXud1wVY9ievaY4bLb9G4N2m6l3q8bvvTE507m54pu5TRb - RIspXBVY5nZfvsVARHSN8DrItsFFVd3FisMkSCzJorU/+qYPHQw3NYgGhKDuYoALTABocKijKMpe - a2blPIQITzDJadJ/5ckvznwLsHpkEIeauphpDZ1lzujLqpmuQYsoQlRFGF44/fQPT38/NVOFaU1z - VahAd3v0dQggMBMUUUAFqAf7VBBldM+Nb7rv0FvaMml1NPS56eKVmUeia5A6VEQcXjAZr7iZFLif - 75usALV5RgBoLaFogqI1+tvUEQJScVWVId5x091eP/NXlCskhKYzF5h0Ml7rrr/7pvt01ohHB0zN - 1JYnBXsrzCkCmIh6Dv3zp56BCFwLIqAKKApknoStvu+u9xbPro4GL66/oFGsoI3RzLYtikXS9oIL - 4ApXWQzq9dyqHoWx/bAYsspUH7r3Xd5HcW2CeukBw/wl24d9HXBOl8liFYvNB1e4zmbDeLxihqbp - 3P2xo3/93/93/8OJE6dV0batiJw6dQrAaDSqtXf39t4hhFrwF4tSre4+nU6XTXQAhmHYXqqViIiI - iIiIiIiIiIiIiIiI6FW0PQJ55yPLB8+67ZbDKxteb7bP9UW2tPNubztfNe+os20QkRq3XDOqc859 - GmZDX6OXDZ5KHnLq0zDkNOQUmhiaqDFABSoGN7gLJChUXAAVjUFjqI/UB+uwfNDOdyOg+nxy7ezb - HxcvX94Qvot7k1759iMibduambsXN6iIhj7lYp5yqctHVWOMF66vsQ+IQ/zC+ysV1wv1zTqT2L0Y - AiSKChAgpZTilq2kklPJxU2ChiZCJVupm00q2eASVGOABNG4HBzqJlbmdzyqnTXgfPdzvp73BkRE - RERERERERERERERERERERERERERERERE9CoyMzOr6dQA3C+emmCqMJ/HwJjVku/Db/7qP1lpdbY1 - FUFxgSLnovGKR1xv75zpovNAcSBvroe08Vsf+6ewZGYQqMge8n1CCDFGd6+LJYSwr/td0gWY2SLF - DyGElFIppWma3eYFtG1bn1C7MLt7SolR7teAfRflQkRERLTPmKvXgDd1iKuJFoELzHwUVrDVvOve - 99y6evtwqnTaCIILlsl5y8I64meitet557zYCnYX3meClK0Zt1NMT/Q//OJjn+2uRyqzAKmhouIR - ribuWgAAoszvJiK6KBEJoSnFzQCXIFFcNcW7b7r/rhsfiKUrCYJgLgXz0+izK6qp7f7c2gWloOsi - gCGnoZseO/XUsyef8eiowauuplbfx0R5/v6yXMXdA4K4BBMRbPnG4z/49qave1PMLAgMKIDsIdpW - 5sXaimBRWG/+nwAMp/xDD394TQ6F1Aaoqr7cVWYi2k7dREQB7WKnrrIoYXme9G4AgInZ4r+2KF+o - gKqGEM0A8zZPbjt0RFyXF8evHHcAYmZwiR7CEN58+1snZS1YhMBkkTZdo7t3vz83MwW8mIujzc8e - f7qoIQRHMKi4C8xl/4ZSF7gFnB5Ontw6XiON608s2rQUUDcXM91Rj9JVz+R2Lxfd/GzLBeqQQQ40 - B++77SGbxVbaNmqxPD/N8nhmTFdhVmn/2PFxWFtbO3HiRNN0fd+/+MJLP/MzP/Pc8y91XVMMNWC7 - aZoY42w229tOI8YoIqUUXxCR+uB4PBaRjY2N2qK23P6JiIiIiIiIiIiIiIiIiIiI9r8L3cRFdCWc - E8m805n78RZSSsVNVWvetsYQY2y6tmZyA5AQQtM0XRfbNjTNkHMdUimplOI+7/OjClUXMSCbLR+v - v2d4ERi8hnlL0J2J2rYvw5hrOLe7x7YxMxeZDr3GkGAJ5iIhhLoA21E3G67lKhImKHCT+R1cDhS4 - C1ykbiQao4sMOW/NZi4CVY2xPg7Vuj3UMO9spaa8i8gy3x3btk/bf1sCERERERERERERERERERER - ERERERERERERERG9zqnOgxtqvfQa4H0Ry+54kAB3lAT1Z771yBc/92ero8ZyaZqQCySEUq5qh+QC - FIEJxO2GldG//L3fslMvAsVSgu8xm6KUsvy9xs10XXe5JpiummVaUM5ZRJqm6fu+lBJjbNt2Gb8d - Qlhm2J/XMAyqurGxUf+so6qZ3/SaFl/+KURERESvYy6ouanzuD3R+qADcPXBxz4RPfShh3/iD7/w - 8dJilnuoudh5ouFcAVsEzu09Vy8hj7puugkd5y/+9b/70bf+2C3xXs+AuHsIBlO4JBdTC+rxlbwX - EdG1ZL43rAmv2/bS7iIiKBaDmkEMUYIZJuXAu+9733e//MiWn/KoJhmACsQBMUO9EretyNoua2zV - 3EYVuKLH1Jruke9++ba33jlOq2LBBS6+PPw4o7tfjrsYPAQVF5hI8NP5xDefeiTHwSPS4BrExd2g - Gr0Aors9RJrAxVygZhBAAMcEq7esHXnzHW9rZmN3h3gIbbISrtB8El2LxKAhFl802LhC4O5ywW/O - BkANpigK1EucDneBuCokh+vam245cLuiKfOnXCkuKG4wF9cIKVaixTfd/Ja/aG5+2k+dc06grpjX - ZNzF/qcUxKiluHvxmJ9+8fsJyWS+H1MH3JKqA/CzD0z7gAkcViT9cP0HM2xmDOooJamqybwJ6pwS - qy4GQFzFUaPc65gW82XbZ1BcG2uP3HTPwdHN7ekVRZhXTBUACgig4gboIsvZ9pCeTq8l20O7/cy6 - 3traatsRNED9v/yv/usf/OAHLuj71LbtMAxYtBDHGHPOqmq2uy8JOecQQoyx7/v62hrgbWa1+W11 - dRVA3/dd1+WcY2TTDBEREREREREREREREREREe0XL5vPzQBvuoy2b047N636yPbHd94RZ9v+FUJT - zIacIaKqJrBSylBCCFBRVRHJ7v1strW11ff9dDrNOQ/D0Pf9bDYbhiHnbGaj0ahpmq7rJpPJZDI5 - cODAgQMHRqNRHgYREREzy6UACFJvdDx70gWo5Se237R01jwqANl566Cffx7PespZt8xd7JnnVdya - 2H32Lz733AvPQ9XMxuNxSklVYWZmqR/uu/ueH3nPu1M/7HrsV9qOGb7gEqgLfseS9PmqcdSOYIIs - DsDcspUYu2Kl5CwiMcbQNGImZqmUWprBzcyslFKrKrSjsS+Yu5jXp6nq8q2t9kSbv/WFpv9C96fx - /kYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIrr8ah31mmGsqimlpmku8nwB3AqgECnF5wELpXfY - 7/76r77tPT8mzZoIzKACK1YTka8CFzWBoybGlGHzxMf+yf8FT/DcjSb9bJZS0kXe3K6UUmqx+nlm - +aLI/GWfBbpy6oa9tbU1mUzcvZTStm3tJVqfUEqpMQTuF9tEVLWmuc9ms6Zp6mbAcIFrAFchERER - 0cuohVqCO1wgsEXeaxNi7ofRqM2pfdc9H/jCo184Nv2+jtXcXM4Ehs1zV2vUK+bp3YbzZXtf2sS0 - o2795ObKitgsrdtzn//Wn//kQ3e4ZXi7eItSx60exdV3GQ1IRPR6Y2ZANLPRaJJSbwVwlYJGJ2+/ - 70f+7Vf+cCbTHkPCIAYvIuJYBKOqK9xQC2zJzuJaFyQOVfSz3Bg0xsFz7IZHn/zyhx/+j1awCo+m - ZrK9WJvtqORG53IDojhg8CzptB3/3ktP4LrsglLQNAHItTyae9H5xd1LZQKXeaCsAnCYIljbDgd+ - 7F1/Z6yrSN5NorlsnN5aOXBdST2Pv0SXyN1VRBBERCXW/ayY1wqEO7Oo59/KF3vgSoCcs8YYI0Jq - D7Y3Xt/eGAYtpahe4SYNleIeVJvQlJw6Gd1+8MiNzc3Plu/N/w/Mw6S9BpPvYucgDnfEGJGKW0nR - Xzr1wmCzLFmkjbVK6fy5+zWUWqUgPXfy2aK9WdGmNs41Ce5i9VwJcqYCqQm07j/rsjpz+DOTc3K7 - ESyOceDOm+6Ouet0LEPJudfGVYOZYluZTlmEgtPrkjZNdPcT6+sf/ehHjx07ZgYVFUHN7Y4xmpmI - 5Jwx/3K4+/dQrbndIjIMQ9u2IqKqWDTUuXvbtu7OpjUiIiIiIiIiIiIiIiIiIiJ6rbh4ojDRlXDe - bObtm2LNRZ53zlFxCDTEGFPJzz333ONPPHHs2LFnnnnmxIkTP3j2mWeeeeaFl15cX1/f3Nwqjp23 - e9f712zbLwCCYDwejUaj2259w8GDB2+77bY77rjjyJEjR44ceeMddx46dCjqmbv1tk+wuuyvrHsV - Qehz+oVf/N++/OijDsQgqTiAIKj3aSrw3/y9v/emh968OpmUlF/tKd67nfur7Y9YXfUKEbh7gSXY - 0aPffva5Hz711FPHjh07fvz4xsbGdDodhmF9fb3WXIgxNk0zmUwOHTp0/fXX33jDocOHD9911113 - 3333LYcPj8djM6t3nQHzzmbzsHABADnPFneu+ozddEQgIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiLanVoaXRe9I5umSSm5+zLS+NznC/I8/yygWIzqOcNyERz9yl9+62tfefjHPjw1iCAlm4xCvurd - E9XReP6DT/xWOvWilAFADd4GcNFQ5gtaFpDPOdfC9cztfs2pG/ZkMgFgZstEgLqp19VaowQAiMiF - ArxrfoG7j0YjLDati6fd02sCIyKIiIj2FxFxhwjg83MVEdbfeDXZvJ4PAjKgBTUJW8XhxSaj8dZ0 - vZm0N4Y73vfAh49/65Mb9lJRLwYATQMzmEO1xs/VGj6KM2WC9jJFg6fYAQOiNqmZff7bn/3xN/1n - ipXVZmW2mSdd7B1WStM0SB6iFjcWzCIiwjLOdMdBNQQpJdULKOKKWvrKJGTrbOXH3/6f/PGXfq/X - 51Px6w5MZlvTenHMBA7ook7brkKgl3LGymS1HwaNmM22jvuzR489+r4bbho3zUZ/OjQaRFVj36fx - eGxpeAVzf61zDUHczABXc3Pv7KtHvzxrNrJnGEKL4uYGFbViusvvVyYIAihSsVEjtlUmk/GJrela - GL9hcu+77/lgGDpVTWWWDZPJmr+Gy9YRvQpExKyEJuScly0QIYRyoY+pGBziUKjDIND5N2sfte3J - jXRAx2+86W4ZYkBrV7wIpiGopTzSxoZklrvY9Cfxnje//+gjX8sDVlbD1lYJEfX7v0gAdrePCAF9 - P7ShNU9D9hzy959/au3wHbFEuIpbzQLfr9/5LQQtWv72+ae8MwmwgiaGXAoWjU3LVXT2qlqEnc/P - m0yDi6JPCAGpx3isamI9MIvvfPP7NDVaAkpSVbMMqYd9BRLE1Pd49kWvTZ5ziTG6u6rmbONxuzUb - zPwf/aOf++pXv+4mgJuf2Sjy7lt0VbU2ueWcVdXdaxtzbW87J5y7tqVdqPmZiIiIiIiIiIiIiIiI - iIiI6OrbeV+VqvZ9r6ohhNDElJKqCnChLsf0SqhqKaX+HkKot6C8upN0ldV7aYoVACEEM3P3qEFd - loUekpW6QRazPg2j0cjgfd9rjO2o29rYfOGFFx555BtPP/300b9+7LHHHvve9763fupk7a6z/X6g - 7VvwJd5IlhyzrRm2Zs8fX6+xyvPJBgKgog8//PCdd9759re97R3veMe9d99z6NChpmnEi5m7Q8xF - JIRQSjE7cy8TTMxsvq6LIajDi1sMUUTqXUy1n39dAq/wtkAXQCTB2qZ79NvfckBUZ8XqXdTLIHMD - ZsPQjrohZ91nyePVzkkqpbRta2alFKh0XXf69OmVlZWci7uLailFRFzEzDSGYRiarju+fuJrj3z9 - r/7qr77xjW889vjf/OAHP9zDvMpiexi33T333PO+9773oYceev/7fvTWW29dW1vLOXsuIiIi8BJF - +9lsdXVlOp3CfDKZbGxstG3bNN1sNoNYCMFzkRjaEJMV238Ln4iIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIrhnbe7Oek0O87N5YexbP+7uJwgyCnHMtvC6eRe03PvbL//f7PhBkBNGm0T4hXOES7Krw - 4nCPDfoEABHmw9ZvfOyX26AlA0DtuiuAyMXSu+s81jrztSto7RU4m82Wz6n/Sikxrfk1Z7nKtiev - bw+qX27kdb27e/2zhg5gW+f6ZbIAN4NrBqO7iYiIiF6Gi4pbMANQRGt1GnERuKXcxuAm2Br/+Nv/ - 088/9v8Vn54e+rZDaOXUho9GUEVOaFtz0Xm9GFcANWBvD8wMhgBNQwoRs7zxuUf/359873+7eeLk - yuj6wYehzEajSR6GGKJsrxJERES70Wi3ubHxjnve96Wjf3W6Xz+0pifXN1dWxmZTl1rETYMDjnqN - cHd1ygQhaFHLxQukUUErG9MT3/z+l3/sjf/xqRdOTQ6OU0reFw2hbcYpe4Becr2416MylKYbzfoB - 6hp9Gja//PgXbVQAiGOxyqI69lLa0JGBoAiAlxIEaVZGTYfN9j/8wN+9Xg5LlnbUJLHSp+AGFx5/ - iS6diJi7e3H3YsndHe46/9yeW3pSbLEzjIAJzpTV7JpmNh0aqM/0vtsebG2C4o2GK1o61gRmyb0E - jCAOdTM70B6875YHVvRA32zMTk+7ETJgDkURBPU63bt6G0h0UXdFKunZ9WMP3tpr6cTmV/wdi7OM - /aegFEnHN19ImLpmPTuoWxzqED8ntxsCqJ8JXnexIaPrIAIRrKzENM1R23Fz4J5DD67KdU2JNcE9 - hGCmglDmL6z74+UBdJ8uJbqMRKTrupzzaDQ+ffr0aDTZ2NjqxpOf+7mf+9M//TMAdetTURHJVnY7 - 8qZphmFY5najnqQDtUnV3Usp21vjiIiIiIiIiIiIiIiIiIiIiF4T3D3G2DRNKjnn7AKoTKfTtm1f - 7Um7pjQhTqfTGGOMsQYP19tdUkqv9qRdVbVaQdM08zoOAs8llTyOXc7Z3RE0hAAVcx9K7sajoZTT - mxtPfu+pL3zpi5/73OceeeSR555/KS7Cp2sKdR3qLUHb77r2xROAxW+X8hMoZ14GALWLvYl/+dFH - vvqNR/74058q7grcfusb3vWud735TW/6Ox/8D+69567Dhw/nnIeU2hAlxmIZAnfPJbt7qyoiGe45 - Q0VE5hHUQNM0qjoMw7Iz/yvUp6EZdd954olUSgbczQENUsr83kwHAuCCItCzZ3Y/qzUOSilN1w7D - sDWbTlZXcikagoj0fW+CJsambV48fvxrj3z9M5/5zOe+8Pmjjz3uQGy1TwYAu88pV4XPX4rNoX/0 - saPfeOwoAAVuvP6G9773vR/5yEc+9MEPvuENb1BVcU1pmKysTKezGCOA2Wx24MCBYRg2Nze7rouK - Uoo2jaqWUkpKGttXmNdORERERERERERERERERERERERERERERERERER06Wo3z9FolFIqpbh7TbM+ - 75Nrlf+8tfHXX/nLJ44+evsDb4e25ghNuNJZOqUgqpjpbJbbNnYRw+mtT//R7w8b6zLMtCZ2q7oZ - AHdcqMdkjWpeVpivc1p7+dVc82EY2rbNOdeK9Fd2ruhqaZrGzGpKt6rWDWAZ2l179eac62bAyPZr - GKO7iYiIiF6GAwKFlHmFFUBc1NFoSHnWtJJdY1lZ09GH3/13P/kXvz5ZW9kqG/2WjxYlqjQiJUTY - orZNPZHcYwKrCCTAk4goxJMOX3ry8w8/8J5724fN+qS9aVIfhdJCdyQdEhG9jokrzlwdq3vgi+V3 - zmaztbWD0fUDb/2JY194fGtzfaVdsZKWkcxiqrU02zJ/dFcT5B4jsrlD1IOoDbr57ae/8fTpv71p - 9MZBswQRGMyapp3mIXCXflExtimlohZGOvjWY898+7mtH/QHttThjqwoAjEVB2CLYReKQaFBzAui - NpLUU3vvTW95+53v7mYTs5ylpDKIKHweFk5El8gVhmLwUoqZQQz1oyrb9rDbbPt4KdyWFTcluBUb - 6erIV++9/YEmt15cNLjnKzr9Iq6qXgzBAUsptb5y09qtd914/8b6+mBTd2iAAWUoodl1krg4zGFi - BqhikPzUs9/5wEMbnUzUBVCH7vYQdNW4oHjuffr8+tNJNxUuAvFaKzXUXfE5k65nLx8FTAwCFaSC - EACDilgPaQNm4W3ves9KOBhzEC8CCyH0viwhWnf1Nq+v6WG/Lie6bHLOo9EoDSWlEoM1TVdcRpPJ - z//8L/ze733SgRjVDGZW3PZQZ9bda2OqqtbmZAAhhGW13O2h3csnEBEREREREREREREREREREe1P - 58TWmlkqubi1bZuGQUXGKys5n793Pe1NKnl1dTXnXDvw1x7+13Bud72fdmdActu2pZTZ0AOIMUoI - oYkApsPQNiMAs6EPIcTYvPjii49/94k/+fSnvvHNb379G4+e2tw0QAQOhCCpnBn1OXcGn/WeAvdt - N6vJpf48Z8JrB3w4ar8iiIhZcfv+s898/9PP/NGnP/V//NL/udaOjxw58v73v/8jH/nIO9/xtpWV - lZQygFHTtt2ojqWUIkG7tlXVaT8rZu2oA5BS8pJDDPOu/q84SFtiAPDtx44WwDBfCMV8PhO+SD2f - R5Ij7Lvo7jP3/G9fGmaWc9YY+jTUxdWnrKoOOX3q9PXXX3/8xIk//lf/+k8+/anP/cVfHD99CkCp - vbFUhmLzPgQqnn1Xd5HZ4skiCEEFQDF3QOWF9eOf+sy/+dRn/s1kNHrwwQd/6qd+6if/8//i8MEb - 2lY1NCmnruvM/OTp06PRqOu6GKOlXLIjWkpJHOPJpB+u7P2uRERERERERERERERERERERERERERE - RERERERE52jbdjabYdH3s5Siy1A1N4jWjni+7Mjphn7zDz7+m//zz79ly2Q0Hs0GXOk8nagoxbpO - U4r9tB9P2okMv/7L/xj9FtxriMOS1F6l51NLx9dS8wC6rquBzbX+fCmlbVszizECYITzNaauaHcv - pdSI+horsPyl4kq/hjG6m4iIiOhlLU4HxSEmCOKAuHnS/5+9e4+X5arqRf8bY86q6l5r7Z0XMYCE - hIdIgEgSHkkkaICAoqIicjxcnyCKr8v5HPUexaOe8/GgRz9H79X78Ty4KnBAHgpEA4QQNAaI8jAQ - wk5ICOT9Zme/1l6P7qo5xxj3j1ndq9d+Za+wd7If4/upT+/e3b2qq7uqZ1dXzTl+rAA0W02DHd94 - 6EXPvvj6r/3rTdu+uHDy5u27dm86uRqnJIYQSh4huPyCJMVM1ZiNFtNhQsWc2jzXDJJ0Kcii7Pjk - lk+cedHTl5ck1FUIoV3phnGYNSuZR4c659ws2kcI7L5VXKWVPBhsPvvJ5/7zjU+5ffkmGUpmFkAJ - 5cgbQQ1qj6BUmSFnC1winkmTBVIeYGn3zk/fcNWPveQNu7ftPDFurjho1kw5csBhjp492jGZmXCg - HNpRtfypLf9UbeZVUy6pt+ti2pXWKqcdLCKoqgFMCBQjhk278JJzXj5MC6GNqLjLXc5Wx5rUiDea - zOvc8UyJ2USJbJzGAgGRwqicuNjPZ4kBI2gpxzh5TJdyHQa1DE8/9akL1abQMRub6OFOa2ZmM5VO - yKSqa7HcdgKO533bBTde/eX5kzbt6paaeRBhrKj7L5GNPQOgBhWAGEp67847Wt69gFMI1eQB5bL8 - cuG9apA+hkxJl0e7d4626aDPWTcTIi5fptH2saglkJywru2ONVZXMTcHUaTV3ISmsuGANz3tW59V - 2TxDyxclEZmSBjKa/amlM+/SkfPmuEOvqqqu62Ko67rOWUUkcPyzP/t/3/GOdwMYDOrxuJs+8pGV - PDYzZlbtN6QQwmg0KtdzzjHGcoLNz6c655xzzjnnnHPOOeecc84555xz7uhiZgoDLFRVVv2nT169 - ZcsWYwrsQ1APGQae/MQnvvqHfpiIUkrMHEIIIbRtOzui+3hgZmCam5sDc0opSc5EREwhJigzb1/c - 9alPferDl3/0c//6+W27dgJQQAArfXoNIORJUm2QXQAAIABJREFUd+DZ/uFERER9957Z3nqPuCfh - +j/USR9hVZnG2jMxETFgKkvd6Iav3bzlaze/7Z1/Nd8Mzz///Be/+EWvuOSSM84444RNm1dWVkRk - OByS2u7lJSKKdc3MbdsyMzOXAf88WyLim1CHOOq6LVu2TCPOOYSZBV/3Oo+i7s/M3AwHCuvaFsxZ - parqNqVhXT3w0Nb/+J9+9/IrPra4uJgn24UAICgAtbUVWt6UDW4YxACgCs1a/rpEyJc4eQAr4/G1 - 11//xeuvf+tb33rJxS/5iR973Utf+tIQQifaDAfErGaBedSOTTTGWFUxxphSGo9GFLzLmXPOOeec - c84555xzzjnnnHPOOeecc84555xzzjnnnHPOuUePmY3H4zLCseR2E5EZbDpwcxIlMK31HwIJ4+rL - L/s/fuaNT3r6s9sMVQuHObubCMw8GuW6jpuaOuTRB9/7zm7rvf0AUyID2aR0PDP2PZKyBBnMjKZs - 27ZcMbMS1z2b4ny8Df49hpXVWvJPAJQcgRhj27ZN05QY7xLc3nVdXdeP9fK6w8XrJjjnnHPOPQwy - AGwkANigsFJopZPxsKnNTNWYbVNzQrucvvf8H7r1sq+z5oVhblfHFGBAzmga5ARdHxhLtvEiLwAL - iJAZHbKAAmsbRtff/a9bHnzBs59wHumgkgURoyoYJ4XQ4c4qdM65o0Vpv2m2MVZMIlS53DTTZMYY - UydRB/N88svO+75vXHXParUzhyxUZsNk+CbjP81MLYcQ0JkRYkUy6L5w12cu7l62uT5FU2I0RiQm - BD8k9zDG4/HcwnApj1tbuXvljpu2bhltWuIaSIAxG08OjSo9orUWQshJQkQAulZiW513+vnnPv4F - 1WJVhWjRUpvrMB8QJOUY4dGwzh0kJRBgBJCurC6DSNkg0L33lKeFI9ftUTMAUgAwUyJKq3b2886j - RMGIjYmg6/bBDz0RiVwRmxkxR6IIZaP6rCeee1rz5Ae6WyjCBADqmgT7OVGzXxwQBKowBQxkTIur - WxdHD55C38o2MCIFA0zGCvARuO/P2LZre6sj1DAp0d2gmQVlKy0mG0pd1bI6+y/usvrKl7cRDGRq - jGoYFtDSs576HScOT6PVilSJExSqMCIqqe40Pa9XUsOrfmfAm+hjFzOnlJQ050wU5uYW/vLt7/jT - P/1zZphhmtsNQCGgDbcOJbS7pHeX82pd1wEoZ9qm59hUtaoqVT1UJXSdc84555xzzjnnnHPOOeec - c8455w43IzRNk1XMrNV89TWf/qu/+qusMO9tc+gE4JKLLvrBH3jVYDAQEQBd1zVNUzqfHFeyChHl - nLucxbSZG4YQxm135113fuTDl1922WW33n7buBsLjMEUoqoa+voOZuAYAKgICCiZyQRY6YNmZnbo - h9H0geE9Zi5rrfQmElMYCGD0fQQJRMBiO/rHT3/yqk9/8j///u8//tRvufi7vvtHf/RHL7zwQuWQ - Nc9t3ty2YwpsahwjEWURBgaDQWrXejrRTB8n2+DrElEG/8s/f3a6bKbUF8dY3xOeDHgEHaoeJWU5 - 1/pitamjTBS4aRohYoSV8fjyyy//i798+xe/9CUCGZHAmAMAUUHZOggcgqqWTYVitJw3tBxW5mOY - dgwzsywGgIiJYFae1Ex0pes++okrr/jEJ771Cd/65jf/yo++5jWD3Mw1gywdCLGqq2EQkeXVcYyx - rgdd1210/TrnnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPfpOl4SQCluLpIieXBZGgl - lzyBkt6tIpARBB9877ve8tY/3r5z93Dz5sM9GllSNuJ6EFObgubcLb73Hf8fSIkAkBkZGNAyINH2 - P1ayRHc3TVNCu4fD4Wg0MrMyXBSTuO5SYd6LzB8zQgij0Wg4HAJIKVVVVVVV2RLKug4hlADvx3pJ - 3eHlH2nnnHPOuQPhScUeIShAhjDJfos1dzI2RRMbgwTmOJ5/2qnP+c7zLt7x4FJlwwoNU2AgVMgl - fo4mPxNJ+/S4jQsGU401rUqmEKiKy2lpXC1f9eWP7Y7bsnaUUdd1ypkClLxMlnPOAehLofEk8PVg - GuCU0sLC5tFKCmlwztNe8MwnPTuNW7VsBEMMxsEA6pO/H0GZshAgBrVEphWHAFK1TN0OfeCzt3xq - MB+hZmaRKRBEk1c+PAACKqacUwhEtf7zDf+U58djHff3kZL1+b59Iiwd3EawhtkCFIEIFnLCicNT - Lnnu986PNw+oYSAlMeVBtZm0MkmBxCunOXfwzKzstW7fuY14cv5lP9hABrKyo8ukHJSBCHCsSFKu - MXj6k58ZrGIECLGFSVrz4aIKIirnUaRTFdJATPGk+C3nPOX5o1HXDCl3kISmaR5Ze04EK8HVBiVk - Ht/9jVt5kgJuhMP9Gh+xUkfy3vvu5EqU131bGnivKpMM9Ot3UhuUp/PJGfUAqlChpp7LrWiLc5/z - wqALlitSYQiRiQgsqpERJmfIrP/9Bd5o6++OOiJSTuuGUIVQXXbZZX/yJ39S7jIDEcUYiUAEycph - w9uDqsYYy7nSlFLJ8C553iJSKikf4pfknHPOOeecc84555xzzjnnnHPOOfeoMDOOIYm0qYsxGqFT - ZPh0iKfV1dHc3BzQd2UBICLH4dD9uq4VMKLB3HAwP3f/Aw/8r7e97VU/9IPPf9F3/t4f/cGWW25a - 7kYdTIDMaCUnUzEFETODoCIqQuV9MzNYX0eBiEPgEB7m6W3jl7qus3jpLyQiZhZjLKvSAAGUSIAM - EwAcBMiAAA88tPV9H/rAa173Y+c877w3/NwbL//4FVt3bDfiEILCupxUlYhUNaX0Tb25M8iwc/v2 - G7ZsYYCJAZgqJu/PtIM9GfP6jPAj3NzcnMI4RjFdWlp693v++kUvvujnf/lXPv+l6xBCC+1MwCGp - KBBKr38iGFQEZlVdE/NGc7sBoHQ+A3SiVOIoK678N0kWEYURswDG/I0d237tLb959rnn/Pn//B9L - 49U2SZfTyni00rZginWlsJQS2Ps3Ouecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeece1Tl - nAGYWQnwTintMe6VgEm2AhtAfWl35QpX/t0H7rvr9oW5waOwnCVyO1ZQRVPzFR++dPXBu5HHpmpG - oAAQMQcGA7r/LIjy0tq2resawGg0apompcTMRMTMKaW2bZm56zo9wIzcUUVVh8PhNJ++jOQ1s7K1 - j8fj8hgiquu667rHeHHdYXPcDel3zjnnnNsoNrCpgowomAZTMlVSRFWIWSBEjhiNVjZXJ9tyfeF5 - L3n8qWd0I1Q8x6gICExdxmwuHdvatKHwODYEgio0GCIysrEZgYZ607brv3Dbv6SUWDiEIJSV1Mg8 - vds55/aJAJBOsjy1XDdSo/6/zDxabQfVIEgVVgcvv+CVw6YKNYSgYDYOCkxCSR/Br2sDiMAM0Q6k - gVgSjDTNrXzha9fs3LU1gpgIQAmePZQv/hikzaDu2lFkPLRr6xdvuzbPj+oGOqmoRsZsXFYxqE9w - 3xAGIjEJyHjQzD/v7POfdvIzq8WaxUSSZCOrSGp0XFXBkD1q3bmDZ6RgE+i2HTvUTFEKG2LSJu+J - 1/4QbEzGrAEWATCHM7/1aSfOnxypJjUqNTkPM2ZWhRHABAmaqUNOhtjOnfO0F54wf1Jp8ElghL3C - qh+eKUViIzDDNJgZar31zptBSgYlTOpnHqFFG9XyHXffQRWywQzlhFq/XvaXON6vtFIUlJXYAAVC - YFVjY7JoiZ/4uNOf+LgnI1eRapAaOmKIWAjVXt/M083Aj4cf64xNqWmGIYSrr776N37jN5aXxwBE - UQry5pwNGMwN8Ejbh3IWWVXn5+cBjEYjAMwcQqjrutzLzCsrK8dhSWXnnHPOOeecc84555xzzjnn - nHPOHfnI9h3Qq6o5Z2amGEoXLptEEZtPh25qhoO2bUejUdd1OecysD88bNT0UWt/21spW7C6uvqx - j33sx3/8xy+88MLf/I+/87kvXIdAmSxBLQaKwQhqCgIFBmBmzBxD7N9NNRjDmCmWiYw1m+b+9v1N - hA1fTqfSqy2EKoSKOQKcs+aszLGqByHWxqE8xgJlEwEQggBCLIAAD27fdulHPvzGN/38Oeed+8v/ - 56987MqPd13XNA2YSgr4tJTD7Lv3CDo/k6Hi8PeX/l2XU0nm7nsZik671FGZDGTg/aysx9C67afv - +Q+slQLB337wQ9/3A9//lre85Y577gNBgVZyiNEAhVV1nVWySinJgZJfbkhtB9GK44G3k31MamQI - IUz7hpVaJLMf4aqqQgggqGmIdae20o4FGKX8X/7oD899wfP/+n3vTYb5EzbHulpeHRkhVFHMe547 - 55xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc84555x7tFVVNU3vnr19H8MNiQHOYgCGFVtqMV7+ - 2/e9p67rnPd+9CEWQhgMwq5dQkRpvPKuv3obLA2aMF0wgM0evvB8zjnGCKDEMzPz7t27q6oqsc0i - UlVV0zRlCHAZQuiOAcxchja3bQugqqpyYxl/OhgMRKTEeE9Hf7tjkkdHOOecc849jGmdlz3qoLRt - 2zRDQmjbllgWhnPt6njONp1sp/3wRa8dyHy7kkmYOXSdxdjPh6d7YASlkrS3AQoYwQzSoalj12m2 - 1MyFpW6xG7af/PI/rfBirrvORhxNRMgIYKW+nk4fPQ4Fqc4sABnTpOaOO7ZNo9xnSjgxGUrlKmDd - wQ/aaFTwHg+nvEd9KIZRKXi0v5hG95iZrJF+1UwbqkPHJluIoY/KPuIZEwdI6obVoBoPn7Bw5gXP - eomtxCh1eYOEIQSlhylSVoJaZ+Ja+8N2YgCjiswKS1IeSUOMw+j+pTtvuf8rKbZWBTGoagy0V0PN - M9MxqLxSJRXW0naRcZlmHsUAQGpknXTc0Irtvun261bzjlHaXWaigPUPy0De4znYmC32jdK6NcVs - zDatc6dmKRAoxzrNP27wxBd9x8uwEoZxXjMhcFPXzJRSypabphE5wgrXbdDDVvfj8hGeKYG38Q/1 - 7HosbQLv9T0ynafvoRxtSEFKa1vFAdorBpgsElEK413jhzJlUxAxJrsrSiqTrYMN09mWj7ZyBrTs - 06bW5sLctz/57NAOWdiMKEBJsK/870MochBJXc5MMcY6cBUosnDo4uknn3HGKU+VZWpCRRFt2xLz - +i+FaeXL6Za+9naxgU0NYkwMBADgTKpVumfbHTm0woK+nqaWvSwlHO4v2b1/NSitb6jXbmFQHsXF - BxbvBBFllBqqxsjW/xyZXdyyxqftMMDax7crAGZIp2QcKCbJdTX3HU953iY9KeQQOZYZEJHCykkO - srU2SiffKkfFHoj7ZqiCYjUed5+/9ou/9qu/vjIqQdoAICIASsnU0eoYwCModlrOpQEgouXlZVUd - DoflljL/clbWzEqwt3POOeecc84555xzzjnnnHPOOefcEWuP7q91XaeUzCzGKCLj1DFPetvQRi73 - nvZ84qNtOvCr28hU3s8up4WFheFwWLLSU0ql58lRrWxO++tTbSAjGEiIhCgz3feNb/zu7/3eeRec - /7qfef3H/uGq5VFrBANEDURgVhEVATOHAMC07wWWcy41IPp+YmREpqqqWVXNhIgOUAphuh4OfIn+ - OqaX6+ZAVEbgTzO2Aahq6jqRDACBwX1WNAhiaoARpHRsZggwFtm1MvrbS//udT/508+/4ILf+u3f - uemrt7QinaqY9e/YTG/DAw8+2uc7b4TO5O3vencVogICJVBd13jYyhNHJAMbWBmZ0al+9tprv/tl - L/2lN7/5K7d8bbXLVRWzAUQgZMkcg5p2qSsVNMq6IMBUpx/rLBuuBVI2remqnwZ4l89yCfBe+0QT - 9dtqYAo87loFtm7b9uu/9ZaLXvziSy/7sIJjXYkBHGwmWt0555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc84555x7dKhqjLEMMQZQBuWVwXTTsYhlmOO0qjsz2qymihAue/+7lrfe36Cjyb3TKzoT - DECm02kfy7D+wdObZ8dOppREMByEYcRHLv3bpXvvqCvObSIyMIMIUEyiuw+QuF2GiAIIITCzqtZ1 - bWZlwG8IoUQ7xxi7rvPo7mNJGRNactnLLWZWRiWPx+MQQvkgxBhnhw+7Y8yxme/lnHPOHRt85/sI - YaRAifZkYQirkbJxzXOpg0BCzZJNRBAsKp3WPuEFp37nWU86u6mG0FDSQNlAQNB+IgMMtvHobgAZ - IEYE00jnImDSkkiDXKUHRw/+/bUf2jXcKnNjRENrQ5ozRAOzIapWatGEYUqWWTOXyENm46AchYOy - b3bHD7Y+XpGNiJMhMUdYhHEEw/BItoZpPDNKVqLCmJVJCaSgbBAVAyIRGY76EmPHJDYEYlIKRKWi - GVspbfbI5rf+gMKBZnJ4f5/aJEZUqd9ODYAxrCQ0M2YmAytYWRWJqeUuDWTTXPv4lz3ztU+0Z8zl - +WA2QjsOkgnMlDs0oQL2iOheF9o9mRjGrNEQM7MQ0GFgXCGaiVYYAzxACqMrvvjR3YPF3bKqHCoO - lq18g3CfXb02TQOt+6ftX+bRfSiHADYGaQ7SxZSDKSFoiBIqxNwJUQihMiNGYCZAlcMqjbfKXdd8 - 5YqmTlW2YGwKs1pRG6lSLvX2rBx1JQAIGqNUQWs2NoIEJIYyqYCMK4pUcqwJGi2bDmxuU3vyD5/7 - b05Kj49hbqwZIZqSSOKQhVdDbeOUwZUd/YdcpgHeWjZmAIBZf7x57V7qpw3NGzZJcV5Xl5OnH861 - w+Plg+mOIqRkRhAue7vGZKFMfcNVQt/7ZopZA3UcGMth2+27viohMZNKhMRgICAHZEamaIhkCAoj - JEOsmy53xmqVUCCINaiaPP+CZ7x40J3EXROIE6ccsx3eJlHVEgejwAJTGJnVKcxJQE6bwuCCp150 - UneqphCaKosBEIIQDGy0FuNtPLmCCKthNZeGnWBBzIxz5FzDAgW0NNoxfvCOXXeu0ApIghlJZmio - WCw/gp8YB6+0itMMdTJWQg6iLESEZLVVAaHjVmsxgbF+Zfu1S8OtZlxlihTEGBQABMsMVYIwhKAl - 7p20vD/lC9pIldUYIASCZkSJIcTW2hDri555yablUwbCKh2hNjQZoEhmwlQ2PwAwIkNtqADlvvap - OxbknAeDwfSEloiEUIlxSnT3vQ+86Rd+eXF5ZbLX13+PmJmqru0LHnD/dprS3TTN9JaUEmZOrJa9 - 5XJvqbta/sqP5zjnnHPOOeecc84555xzzjnnnHPuiDXp9Kc8nQwAUkrMTMSStevSYDDEpMsXSqKs - IRAHDnvcQqDpdRhAAVS6CAbmCsaE2Wlf3TbsiJ7KMhMQQwwxzix33xUKAJjBM30d9997pE0p1vW4 - a7ucmuFg1I5jXenkHSHbczrc9u7tvLfZhemv0p53VRygpilHDlCTlOtYERERG0iJLYSxWWa+dsuW - 1//iL579whf+2dvedv/2HRkQIANiUJT33FDGtBugqln22dun9NsxmMFACkK5NIhBJt0U9zExwFAG - MJPSbVCbuQSV6+Xxa9Oka6vQWv/Zflp7Cs3QDJO1hGwzENTKbCGTHkzST3TfQw/9+V/+5UUvfel3 - v/Rlf/GOd+7YvTsZuK6FKIuGWIE5SabAYkqBiUhVycAgqJEBogwy0SpEVVVVCpzM3v+hS79+z92r - kjPIQAqT8t6SgvQgelEdYvvbqkt5C1UNIeTcVVUgqGnWbCFUOWsznBvnLIE7YMfq8i//6q+++nWv - u+7mmy1wAsBhnDITm1l5SdPNZlpAAfv6fO9zCznANO1HXajq9L+q2id2T59M++7Qplqy561s6sBt - d9/9kz/7hp/46Z+5+/4HECtRKDFRMLE6VpJyFSIZoFZVlZd4cM4555xzzjnnnHPOOeecc84555xz - zjnnnHPOOeecc845d5iUUXLTsuoz9dUZe6d3l4GZFLTEDeQOq4sf/uv/Na/LMXAS4zKuGMaMPAll - UIBg0VKAEKzMZRrjrevH/a1FffdRF5OHcaWKKN1QV9/+3/9vaEpdxwQ2g3TQDraWQXCAfKXpOEER - mQ4SLOP4SoX5aVH6uq4PxRvsjhTT3IE4GS1ecrsBDAaD8t/yGGbPxzlm+ap1zjnnnNuAdVVpLMDY - SKdZgEZKxrwaB6O5H/nu155C3xKkkmyMmQjktZzCtSpOG1iASS0knk1pBYxAFXJsv3T752+8/7pR - 3L3U7dq8eSGlaZUZ7Z9pmgpYfuISdHaR3HGgZM8DIGMG+jxUmh5/YNtnwa2DxShHTKgPu+3DWY3J - UA6jBAQYlZ8inmh3hCm//teiDQ/tzCeX09+hOj1u9ej8ON1ocbEuZ44hhGBZoKjz4FvqM19+3g/R - IjdaxxgzLNSx7Ww4jKujNP3DaUGx6ZW9go153TXj2VJ3uQU39tD4/s997V/ycNzRWOnRKL13ROmb - pH0ENrOqVVwRWbas2ollEzOjVVnlE3DNlqsW9RuZRlWNnJU5AgFGVkryYVo3cKr/fuzfYYMImLmp - KjMrX6NUMRg5o0bkUf3cp77weU+5sBrPt6PETaVU6g8qYEZJKU+ipo+RQy46OQo+1b9X1BdIPDQh - uIRJnvf0lke7iXCHEs2uOzYANpsFjz0a4xCoy+Ov339TqlaohsKgVId6krtcWlEGmKGgSTlMzSEA - QBIzJJjxqDr7zOctYPPQBmTBlIhwmHO7Z7dONVIlBTQoWLmpYreSzz793McPzxjQ/OpyGgwjJs3R - ZAeJyfbcIzKsK4Bq1P/QIAsAjFQZOaSv3nsjFlTNqlBFglqXcvsoHspfe6ISsI1ygkHJlIhILBOZ - xnTv4m2juGRmbDUQxWDcn3cj2/OrebrS0Z82W7srEKCIMeY2z8fNZz/tnJPiaVWqgzKbwtgQrGxv - UDblyX9gXH67oZ+rOxaEEJqmWVpaIqITTzyxbdu6rlfGo8Fgbvv2nT/z+p/dsWOXaH8a7BGULi0p - 3eXkWdu25ZxZye1eWFgYj8eqmnMuNXAP9YtzzjnnnHPOOeecc84555xzzjnnnDt8+qxi3qtjalVV - ZkZETdPMz89bFunTk/vOTFQSaEXKLUxcbinj13naDcoMMVKMMFMRAEzchGra36h/3HQBjuAusjRZ - WgICh5yzlJ6lzNNwbg4BRFDFbDeS/XfDHgwGzJxEFCj9T2KMR+kQ7tK/q/TUyjlbluEJJ+ScU0rz - mxa6nFLKasRVrWbbdy5+7MqPv/JVP3DJ973yQx/+cCbKgIAFrJOp75Y22+f7kG4es131DtTph2Yu - Z/O917O9Lg92IWht5gLOoKSlIATdeMtXf/N3f+es55z9+p9/42UfubxNXRw2bU7JlGMQUwrBiBRG - REZ9dDQzl00ohJAkxxiNIGaLS0t/+Cf/rZWMEBRWEuL3GCMwfV22Z3f3R9V4PJ5bWIgxlg9FyduO - MTZNs7q6yiEuLi0P5+c6lSs+8Q/PO/+C9176gVHOFGMrakCoIsB6BDcmvcnazzAQ/+Onrr7wxd/1 - nve+PxvUCIFDFVNKIYSUUtd1pX9a13WP9XI755xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55w7NpXxiaUeu5mV2uwiUu7E+vRuAohIxBArAGCCpL//m3fZeCmNlocNqWRVFZGsIAJNhs8yFEAJ - 4ca+RmXulelTBrHaNKsgBNQRMlr60Pv/Oi3vLCEEYv2CTfOPDnKgYXm9TdOY2Wg0CiX0wjl3rDsq - h/Q755xzzh2xjDRHJQlnNs941Xmv6ZakaYaR6jSGESRASp4fKQFBEWRj8yfrJ6UsrEJsACuCQlsN - gaxuP3zVB3bbDmnaXeNd1SAGywFZCZkpMWWuFDVrEyUGAyGDknASzsr4piKb3VFLCWr26BRaIiIi - I/8hcoSaHKUygydbQoMZg8B1a1DKWUbRwnnPfMFzzzw/rMxVWtVMKyt5OF+1Xa7DtIlmnpnKjWvp - oVCQKmVAgyEYlDQHzUFLPnclCAqC5ji65vp/XNStaTBOSGAq0a1KaqSAKvWTlVum2bSTp37M3rlD - RBhKiBKaHKMC0BwkBxUFcxCIUoc6I1rUBhYwzHfvvO3ar362pdWOskV0BgQABhKCsoHLN6kyGZea - fhKyhLFSZwRSBEUDWCeaEzNTqIljhnYdFmgQR/OnbTrjFS96pXWhpjpSzcpcVjFQjuXyZDre0tad - m1WaPQVZX8zRjMr/SmNlKB9GAFCQWCVap9vvuY2rYH0M7lp2+/pWtGAGVFIMRCBTMGuFOG+nPv/p - Fw1REcQAQ2DEuJbZfJheLbMG1nJCRYEMqHFpnBlWLTSnnPesC2Q3DWmgWVB+BfRvELNxqTlKNttu - 9P9Zv3OuICEYGWAQ1q/etcUG485ElJkQiEQEdHhP7RigpNoHb/eVUskYxiCliGwZapXVlFiDJO7u - uudOMzGzUthXVYn2vd/JBjIOhqCspMaZjcPk+00zBlUcpXHkZmF8wnef9TJSEp75BnTHk7ZtRaSq - qq7rRqNRuXLCCSds3br1ta997T333FPKtpYypk3TbHT+KaWqqnLO5expKQgLgIh27doFIIRQCsWG - EDy92znnnHPOOeecc84555xzzjnnnHNHkUm3rnUTADMLIZjZ6vJyajuzvntQADExz/T/pnLLJG06 - hhg4GExt0jUoZcsZADPHGNVyK61ucND7kcBmpqxSOqIEDiZKRBwIJpoFauseaiXXnPaeArBr1y4i - KgHepXdKiel9rF/rgRj1ndnIQOV/M2ux34QCDxfmd257SGHzmxZ2Ly+BmOtKYLsWF//3X7/7Fd/7 - PW/4uTded931AOqqngRI6zfbad0OOAHYMyx73VOuf+D6WwFQf1VnLtf+hNZd9tOBl2dm6vPBiTgE - DsGADCsDLRLswx+/4id/9vXPOee5v/pkjqU2AAAgAElEQVQf/q8bv3ozYqCqyoACSaQVyQCHyDGC - WYGkWjXN0soKcRilhBgV+Lk3/fydd91dVVX5tJZuVCobHMV0SE23pT1UVZW7bjwehxCGw3miIIq2 - y21Kc/MLdV3Xg+a+Bx742Z9740++4fW7d+8WsRBo2hexhFvHEB/N17IB+9jIoKaj8WjUjt78a//u - Tb/wCzsWd4mqmnU5G1E9GIQqgqkdjU88+ZTpUDLvoO6cc84555xzzjnnnHPOOeecc84555xzzjnn - nHPOOeecc+4Qmoz3XLuec66qan+P70f2iSBGiMBsedvWyy79wKZhbdI/gJnNYDZJrQAUbMRGXIZX - ErBHclVJo6CZYF2bjmotCeIpQWSuie979zsxGkE1hD3GKx5UIMW07HxVVTt37iSi4XAoj+nQS+fc - o+aoj/JyzjnnnDvSMDNrlbfj+We+8OLnvDzvpIaHRpMSV9N4bGMyJmPeYOWUYH3RICM1AtDPJ3Lo - xitx3hbz1o9+6kN5fmzzttztJigZjCCExJyIYaFE4kUFQYxUOAuL9rWEfBfxODJb5somDtNzGfUH - UJTWntoLBx0xdOYfjx4ESqlBZs1iCBZYgyBARzavm1954Q8/rnpSkwZI1FQlyhEUaSaue22apndP - S2UZqbGCNKqywgAhFYIBpGBFRTAzNPLA8t3Xfv2aNFhuMdJgJSrVphXu+sJxOonC3WPxj+7GvLxS - gCuNlYSgBEBYMxvFYExZklmiYEaZiI1tXK1cfd2Vi7LdhlpyuylAYUp9hT1ai9NmIAJsBGEIQ4Iq - gS0G5WGISFBVZgZRgmZDHRHahbl08vdc+IOnDJ9oI+6W84nzm1PXAZhGEfNxWRPt8H1xuKOV8eQD - x0qspEaqLEoZlKe53QVBlfLIdq9i5YFt9yJqnhRs7a+sD+2eNA4IIZgqzMAUAlfEUaqnP+7sM085 - q8pM0hlDGLBIdnijrKdNCgAtCeUkgBohi1Vxrt2l5z3jwlPqJ2yiTdYZ0+TdKd8RGskiGVj7E0Jk - pVXX0uzr9KQP5dmSocL24NJdD63ep8FSJ1AZVOVEFB3urwAr30HGbIx+HTEbFDA2hQGoUEFJqrw7 - 7br/ofspkJGVjQEwogO1HKxMKE2rAuV3E8hghhijqkatv+2k5zxl0zO0JcAzk49TfVln1RgjEVVV - lVJ66KGH3vjGNz744IPMbGZt25YTtG3bPoKnKAWRS1uUcy7la0tKdzmx2rZtuTKtQ+2cc84555xz - zjnnnHPOOeecc845d8Tbbz+H0lmiiVVVVXVdVyGGPonY1FRtrZ+OwcwsSyZQDDFLFpVyHWZMTP1E - qppzNoBDwL7ja48adV2LSNu2/fB7URKrQxWAAERQRRxB5b+kymZ7TwBOPvlkAKPRiIhKlPJoNCq9 - UI5q464dteMTTz4JTEuj1WY4Jwwxfce73/XSl1/y62/5jdvvvksBMQVxm7rHcFFtcnnwW6PNXAIz - SeCzlwdt9q/NTFVtkvytMCUIEGPgGLbv3v0X//tdl3zvK85/0YVv/cP/esttt7aSua6a4ZACtzmN - czKAmGNT715aOvFxpwiMY+hy/omf+ql/+cznQqxyziklIipdoeiI7OwUYxyPxyVlfDQa5Zzrup6f - nzdgtR0vra58+ctffsX3fs/lV1wZAneiMTImrVZd90nwevR0J2Tmqq4VIGKicOlH/u7il7zks//6 - +VZ0uGnBAq+sripMVYfD4a5t2x7r5XXOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOXds - YmYRKeP1aBIhUAYk7pOWzGxTqAAK0zgcvOud7+hGy7ldNTMQc2QisAHSD6g0kCAI1sVGTNO7aWbo - 5R6M2AhkOqiY8urlf//BxTtvQyAAIkYBfVTMQacz9GMtiVJK09DuEA53noVz7ohwJI6yds4555w7 - ihnnUZ6v56NVjWz6kef/m6cPn7Wya9wMYwmcU4ZwX7KH+7i7DeySlUxQNhjBCAY2RNIYJFLW4VzY - NdqaBqs33Hvd57/+L+1wJVcdgKBRwUqcmZVhpAyNqkGVoSVZUAkyjRV3x5WSOEsK2B4bAB267aGk - TpYjLB7yegSxvdqfEg5NEMiheYq1rUgnl0dNSaxInLtOIFTHTLmqqtqasFI/eeHbX3bO94fV+Tla - CESqRgE5lzBaLq10CTEtjXZQDrqWU2sEIwU0GIJBGTkgBxXmoLHKkRIRwoiXcUL36S1X3r79Jhsk - CSpsNpPhWuZjVLJdrVynfhmYNvj9ciQiBUDKrJGNudTIIxUWsBJRKSGXUtKgUrU33POF6+78nC1k - qZNWSIJQQ0xKtHkJyi3huADDuGz/wlDuo9DLymKhilHVIWtqtRNVGOarzdjZXHTWK557xgvzIm8O - J82FhfFKilzRvtq04y2927k9KFgRldgmn1yjbKRKfW43G00DuY0lxdG9O++4b+e9CUkIRGBmmuz6 - 9tPkG6SkWZegXDOYGVvIY9Rp+Lynv2hTOiXmCDMEUVI2Iom09/fdIUUayIISQALkPnUbMGYgNrb5 - 5OoJFz3rYl3kYWxKmzFJ6SY2Yos8SadmAygTsnE2Ku8eUAp0EkCZkQlqgLCu8o6vP3hjGMZMBlUy - BDr8Lf9kp5ExWWCAjMhYoUZqZAAqrSIoh/a+XXcvd4scCVAzITJmmK3tdk5e+Log8KBc9hmUlKc/ - ggKNU9fEYZOGL3n2Jc3u+Tme898vxz4qYfZ7quvBeNwB3DRDVaysjIbD+X/35n+/ZcuNOeeqqkSk - BHiX070bxcxlZyOEUPK/RWSPnzNN0+Sc+1rMzjnnnHPOOeecc84555xzzjnnnHNHickwhD1zi4fD - YUoppRSIu/F4tLJqAK+fIiEADBBsUEWCqWSe3FKum2ZohmYzAZTIiEhMDXsNnT/yo7xpbepSx8xV - VVUhUnnJpppT6F+QmSlgkahiDnu9dWUKwGhlJaU0bBrNOedcqhjQpON+GSdyZCrLRjP9+mZvn5tf - SGYZECIhWu3S+z/4oedfcOF/+K3fvPXuOwNFAzEHI1qLgV/Xz/wR9jCng5jWvQoABNv7L/fxggE7 - iDlufAmL0tuPAgDRSR8kgymBGG2WNksyCDAWu+X2O//oT//sopdc/D3f/wO//wd/eN31Xx5nCc0A - sUqGVhSx4rpZ7ZJyuPZL13/ni7/rqk9+aiw55TTt9VS6VPERGd2tqsxc13XbtmZWAryXV1diU4em - fs/fvP8V3/fKex54UIEsGiPnrFn6TbDrOgAxsNp+S4E8VvZfK6RfbDUVUwW27tz+2n/7Y+98z7tb - yZ1KPT+cG86LqBkWFjbxpLvm7ByO2LbCOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOefc - 0cLMQgilrruqAqADplURICJ1HSEZgQHNq8tL99995Ucum6sDQwF0GcyIATQZTdlnMhAb8R5ROnsM - xcX6x5cBqAST0VJIq+9423+HZaiEwAboxgenhhBijGZWRl+GENq23fBcnHNHp/hYL4Bzzjnn3DGF - gEjRMlXVgDJODo9/zYt+7H9cdd/u1Yco9hl+TFAGq+4jNHcjFNPAPCYoRxp1UjVQa1fSris/d/kT - Tjn9mY97ruwUtmhg6X+MKghkYKjCyAAq8aVsgBLYjppUXffNIoWxzYRqo6RCftMV0MoMlNbKA5UD - K0owk3IAggAiD7c9MhivD0RUs0nxr8mGQbTxLePApaDWVv400vuIqwLGICIyMmJrxzmEpqE5k2DL - 6QVPv/COB2/+3J1X04CqBWsTqkjImMbKAv32z8ZKSsYMKLR84pQQJvWzcnm8IUCBWIJXRYQHWB0v - QuzT13/iKZc8g7WOWsPC7BKSMTBNBZ9dQYfik/zYK9sGw4g0EpSCGuWsmZgjBeIgaQxWHYy3dQ98 - 8ktXroYdXRgZshHUEAJ3nQaalPObvivGNmmUyiUDIDCUwCJSDta2SUPkqqpXl9ou4Xmnn/ey530/ - rzS1zmkX5uNwdWl1bm6QDCVcdt2y7/mxOnYQ0d4bl+0rv9wd3xiAgQE1UpAajKCsIGO2cpaE2VQJ - wpkW5Jabv7LY7rA5iTWywEyIqDyGJrMjaKn7qaoUmLk/UwIBRvGJm55y9unnhnFdERtIoUSAsokc - 1iOgJb5aCeXFkk1aFQAGKM/FzaORnfe0F35myz/tlBWg5cmdBFMqhRlLUjXIwASdFCGd5navPR2U - jYVYSFtevvnuL33nGa+qmiE6SCcIj8bBXiWwGSkDBBIAZGykZmpM5e0IRkQ04uWv3nujshibmoLN - TBBITA5w0q18rZWW2aAKBGWQClvKNtfFb/uWs55x2rMGq0NlqHpq8nFqdXW1aRoiWllZqes6xvhL - v/RLn/70Z0Goq7qc5gwhlG8oItINnj5V1XKeWERijDlnVc05l1Oq5fxxVVUxRgCz1ZOdc84555xz - zjnnnHPOOeecc845545SbdtCLdYRgXU8fvpTn3r+ueeOu05A2bR0kGBmM8s5i8iuXbt27tyZRBgI - IaiqmhlQgTkGEcnTgQlMUN1o4PERyMxySgxUHKAGaAAUqACmvtfYcDjcvHnzwsJCXdd7z4FMn/3s - ZxFRXdc559Id5ajuedIPRiCsjEccw/J4BOCKKz/x3/74j2/+2i0GVDHmnDvLAGUVEMWqyjnbY9HV - uU/sxsN1dJ88xDBJ7569PES0zF8VBAoxhJBzhggMClRVSEkAEIEizKAZneL6G264/oYb/uRP/59T - Tz31/Oe/4OKLLz7v3HNPO+00VV1cXLzmmmuu/MQnrrnmmgyLIZS3OMY4WzlCcsaRt8mVuO6Ukqou - LCyIiJlVw8EDDz30O//pd//mAx/su5UzJTXJGgIBMFvrGMbMOHq6E5oqCDFWOaWmrlPXJs25zb/5 - 2//xKzff+F9//w9GbTvKsmlufjwaVSEecSvMOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO - OefcMaGMIJ7WXQcwHo+bptnfiMoSXtR1HQDkRFVtOQN477vf/sofenVdzQtTGmfmWAVozoRoNBlT - CRAQDIAaPXxE0bSyPENPnIt/9/73rdxzBwIhZSWrqiql1N9PDJQkuIcpR1/Kzs++9qZpHnZJnHPH - Bo/uds4555w7pIyHdbNr9+LmkxfG41W26jlPOO/7z3n1FVsu28XfSHEcFCXPzwKATAfxm21/2HgS - fauAjsd6wknN8qgV6QYD2d1u+9ur3vWmV//706ozYU3J7SYDQQkCQv+8FkkJNE1YPjZzRt1+TdK7 - lUrZrMO7AZj1NdnMBAzYkZjWfNyajVoHAJhBAAVoLYL0uGJssKqqOh3FWAHBUjTi2mqmqmvH33fB - q++6//ZdkXesbKvmyAglxXRq7Shen94NBmTm6CJhmiQN69OQFWCioJZjDCNNmzbbDfdc96U7/vWc - J10wLyexBjaUD44CJfW2PJsSAGYDqISeHt0VuhiqMIbmoGSBNbBRUBU2QVKKQesgIZpqk7fmez9z - 21W37biJTshZMwFmIIIZMffpwZjJN7dpvbk9i/5pOUSbAUDAqCsOEgddeNL801/+wledGE6NKaDl - iDAejQaDOkmnoU9kX1t2Yzu6337nvllsUPBMO2SAkimB2Ygs9h+TPu5atrUP3njXl3hIKQgAMzBB - zMoc2GAotSgBwAgEUlUElMquwXgTP/78sy4+sTkxLiGE2ohSbmOA0tDAZQEetVdf2gGABUqKoNTE - wan1aS969nd99Ob3xfkSYw5YZo2TfSEmY9BkIadv3eyNs02WsRG6qrvtG1/fuvTAGWGBKWpSYyOm - w1rRVAEj1ZKsbX0lUjYoIDAjYwYUqqohj2jxlnu/YlHEMhikKCtOBCHAbI8Zg4yn1wEoAQQ2kDKB - k+ZIfCI97sXPfkkjwzoOV7oVjoHteNxPOb4xgOFwqKptkhDrNslv//Zv/8NVnwRghq7rSpHoyRlT - mD2ST0VKiZmZGUDXdURUqiSnlKqqms7Tc7udc84555xzzjnnnHPOOeecc845dzTqOzqWvjdULqiu - a4N2bTc/P/+Lb/qF1//0z8S64hi1RB5Tycq10iG8JOy2bXvbbbfdeuutd9xxx+c///nPfOYzO3Yv - aVYDIsGYshh03503+kV4FF7tRuy5VDMdQ2zaY1SFgCed9oTzzz//uc85+8ynPPmss846/fTTB4OB - qqrqAfqTrC4vD+p6586dJd676zrN0lR11rz2RGWNPCpvzYafhbTvIjjN7QYpUNWD2NRf+Oxn/stb - 33rN5z5LxAoEDm3OgQMzG5GmpGb7z+1W2vji2H77uR90p0ED9tXxejrUp3RmnF6uObhI78lf7Gc5 - A0HEcs45AwBzydVOWULFZqZSalb0Yd4CBGI1e/Chhy7/+JUfveLjfe87kPT9K0lhHOJYcnn2PJl5 - CEFEAJ5cObIQkaiFWI3bzsyaZnDLrbf+1Bt+9ss33wSAmVRNtLRFEOnXBzMTkap2qXusX8HGEHOS - DGDctYO6abt2bji3Olp9x7vfs33n4jv+4i9zzqOunZ+bG62sVrz39tOnmT/Ki+2cc84555xzzjnn - nHPOOeecc84555xzzjnnnHPOOeecc+5YwswiEkIoYw9zzgeOsg6Bc1YCYlWllNiUYsg5bf/aTVd/ - 4mOX/MBrxKyqoggqAkyJ1MBWcgewLhdpmllFtjYmtER6z44RZYBMZbz89v/5Z7AEKDOrtEkn9x9E - CvgsESkV7GeHTocQNjQT59zRyMflOuecc84dYm3qFhYWVkejYT1kres8/K5vf/mzTnpu0y3EHCdx - dH1in4Rp6upB6fOVDWRgAxnIFKRGuZ7nXUstEUQh3Gk9vm/19o997tJxvdRWI6NMhqActJRsUaVS - OCqwRdJIFkHq0d3Hg7UsyH3fr4dwI5jZvBWAmRnBoEqPMD/PHXK0tppKs6DAWg02IxzGBGLSI6/a - 3jpiysySWwaG9UKkgWUijUHjHOZP4sf/yMX/lnZX8zQXLbatGUEYwpAAZZWgRgpSJS2pomRMkwYc - gJUAWmM27t9mysKaVTnWS6upGWIlL0kz/scvfHQ1LOb/n707j7vsquqE/1tr733OvfcZqioVAkII - BBIgNIR5EtCOwAs2aosDKKCAIKPtPH3sVwVbacUX6Pfja0srLRAUFLUbmzEyGoUQCGMICQEzABlJ - quoZ7r3nnL33Wu8f+9z73KpUJVVJVfJUsb6f+7n11DOce8Z9zj137/VzrZLOthc74fK3+2Wu04nT - jLMCUCHJZamVSdkpgmcGaVKJokTRN/+2+dUPff69MoqtTH0FZpDCCSRmRwe5vymzO68MQHl+VgVB - GUISAWHAQdrUraW7h3t/79nPuN/dHoQxqHVL1ZK0cXk0bLopOVISIS0Hi4AUx/Ko2X6sMTeHwvsX - 9CQIAFYmZRIHOIAEnEmSb792zWVXXHc5jyiJxAwAztHWdWm56AWA/srZE6uqEITAgkqGpwzu/agH - PZlaOJAmcmBJHUiVRFmP+VFJAqiSKhjqoA7wAnjvU4qcyWc3xNITHvLkOq4OsNKvGZLsUmbJ3F+Z - z+eTZ6nlfQq4lh8xlFn7lakkqOimybevXbt6QhvqHKgGQHRn5pTPVkB/LhOQgEiRRbK4OMHmN/dd - LS7nnAEhQu43JPggdSS36Ox0pgTps8jZAUNaus/O+591r4eQuJSSQ+XUH/PFM9tSjJGISrT2G9/4 - xr//+3/MGUT9x5zzHayqqts3fSLy3pdKyk3TOOdU1TlHROXTU+99zjnnTER2NjTGGGOMMcYYY4wx - xhhjjDHGGGPMiUFEADiiZjxh5sFg4NlJSpSFRVm0fBGIa+crdu14wqJnnn6/pz/lqa962cvf/pa3 - fvWSr3zgH9/z08993upwpApWcBn+cFwPY9X+UVWegVN27/75V77qnz/80Qs/ecGb3/RnP/eqV/zA - f3jmGfc5PTiPmDWLE2gWzgrRA545664dO5qmGQ6HzrnpdOqcG41Gk8nkrl7II1A68unsuQxyuWnv - nl/4pV/8gR/+j+d/6gKAkorzoZMsQCa0KbaxK3/C/uDFC+hW4q+PEd0vt5v3f9DsC7f/M80fut/z - fGpHJmcQ0SyxGyLIGTkDyEkkq/Nc5i+mDAIzZ5UEFSBBMyQDSpwBBdi5pCJAzKlMtvSnKl2eSlx3 - Vdc5pUPP0F2jLJeIhBC6nOD40su/+hPPfe5lX/1qWakZmgHnWUuAOoGYQwgiknMuPbiCD3ftUhw+ - 572KEJELHkDTtc778XQCQgLe+/73ff8P/gCYlbCxuVkN6tJj1hhjjDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4wxxhhjjDnqSg328kXJ7b6VuuspCQBmqCQAKinFljxBu7/5q7eldpK6ZjQAJKnCsytj - L0vcwGyiByQpHDJYgWe53U7Te/7Xu9Zvuh6UECPNYzDI3XIMc5ncrYz4VFXvPYCUEgDL7TbmO8dx - XfPAGGOMOQGVNx7l3YddlB+PlERcThS99yJKnlIry93On37aS+7N9x9NdjhU5IgUdXDjFhSOOOAz - l5BC9U7YKRginLJDVHEBKvCeM3LH0zjY/MwV//zPX/lAO9ybfJNzHPqhNHCoVUmIMzGUSZ0T54Sc - CkNPpNhXc5sUEOoDm6fdVJmYmYhExBOQj3iCUnIlsXATgkQAIso5hhAmk4n3nplFpNSBMtvBYkOk - BCWatg0xA338IbLcgXOSHHCriwg5Z+dcqf9V8g634f6g5GLOg1BpTFxCuSkonCOqtBrE0UNPe/ST - HvK0YbsTrQsE51gJ0w7sgRpthniop8X0bp6ld89C0/vsbScgQAklxhXOM1HOcIHHeePm7tr3nP93 - WndCMeaWSD07ZHVwLDQrDYcS1iokSqp03EdIqmqGCrKSKImwACAlnz1nZlDSxMt67eTqd3/inZOl - tegaddAMzfAKryDxyMSzmnpllQhBScr6KVmzTtnJ1k87AteYJlQVow07cbdHnvq4J57xPb6pahoE - BOlyqFzTNWHgMkUhUZQI8L5Yn8yqEJ6o5jfKS2PunIsxHr2J988iwsxd15Wz0tGavrlzEIRUeLE8 - pYIBSZnhmCsVp+Sa3LoBWpp+5IIPjO5WT+OmELyH92hTvMV5YWs3UFVSzQLJ4IxhHj31cT84iCuk - pAywy1kH1ZAkZ4i4YxxlTQLKoFLIkgEP9VACKKa2Do4gHq7GYESr3/3Qc+q06jQQoY3wFU9TRxUS - UuYSyH1A8VFeuLLixXu5QojQ5PLnL/9kHkxaVnXBe59TdwwXtlCG0ixrXDCbLe8qgssS1QMhR9d+ - 9tILUEehDh6ZVBhEUEXwkNyfwPabMEEJAlGHTFCC9ySCTpNzbuCWZI2f8bhnumbggk+UkRHcgNRu - cZ/giKjtpj6wqqaU+ktHF7ISQOee+/Y3v/kvAdR1pdqfpFJK5Yuuu40jYl6adp72Pf+ifGJaErvL - KemAX3DOlfs22/A61hhjjDHGGGOMMcYYY4wxxhhjjDHmtvAtx5YSkWYholB5zYlESTQQecCpsohT - LV9Qzh4IROU7gah8MxA9/tGPeuMfv+5fPv6xV7z4Zxz3Ucea4cNWZ+TSAaMK1Z26xIetrvsAYCZQ - 6dOlYOBuu3a+9jW/+7lPX/i7//m3zjrzjB1LI4mJREmFoAyFCkMJ6gjlOwc8gzTGWMbzd10XQlDV - GGNVHWRV6F3aGbV0m8GsAIFzTkREJCZxviLHSmhS4qpK0Hf+3bse/bjHvu2v/6pNSQAlAlGXMsAK - ZMkKgKBQEA6VG61QPfLsa3ZuqyNa6cZDAhJyzN5hoZtaVXkAjmmexe4YTKBZLDftH87NQADcwoMX - vvaA3/+nDmACb71ctdjRiBzKUJ2S0s3O8XzImKpm2Vp63e+Ro0C2/isqZf4UyCqlm35SyVABupz6 - 0hUEhYpK6UZVOu0XXdse6Uo+ikIIbduWoQTMzMzlEBBF8BURTdqmGtSfv/hLP/qcZ3/1yiubkv4O - ZIECKct8m6rIAR2YYzpq/ZmPiYWyIuUoUJGcUlmilBMISnCBo+inL7roh374WZOm4+BjSlmV2ZWQ - cu+9iMyPUGOMMcYYY8wxUoY0lrHw8xHQ23P8iPd+ccZucyiNMcYYY4wxxhhjjDHGGGOMMcYYY4wx - xhhjjDEHOGhH2TIQ0nu/MDyuJ4KclQAVIUBjB8Y3v3DRxZ+/qGJtJ7EOHrNBjgd5uYXplfie+Xdy - zi5ABI6Qurb28CSI0z//0//mkZAjVEpq+G2G8JaFKoM95/XkFwvOY5bbfRTzVowx25m/q2fAGGOM - MeaEIiQCISInjsAKIa2ChGEnzz3nhW/90P+4ZnJFV7XO83SSR8uIGUdUK2VWfYlJmBWgJJBZxF2p - FsSkHkDmlDmB9ONffs/OHSsPO/W7V/09Nm9eH1VLiqxcC3WY5ZiyAlDREzln1BR86OzKUsdHVW9P - ZPecss6DJ0v8KoEgUCqDk0XuwMTN0XaI/aEfTz6/h3Wom1l3RNkfFva6bdf6yMIckfbxz0LMirZp - RkuBdLi2d/L0x/3wN67/Vrv5ZV/59elmPeSVFZm0YA83QNtgUJXqZwBQDg8GBFLu/AlKnC0DIoAw - FMiUWdWTZ6hzTupu7/SGy6794qXfuPiBJz98dXVnu9FyzgM3cMSShIiYkxCXeYXOs9KP77TjsgmI - CEjCAMAqBNYEzbK0Uq3FPeu652Nf+uA1k6s3q3VmQTmj9TduebYWmFWA2WYgKAQEUpAwAZi1V6Wu - 3XDJXX9TPuUUnzfcIK2cvuOB3/+YHxo2SyEHp+WGsSgBJCUFvMytzm4l96fj4z45/ZCIiOjAW+NH - sZWY35NfvDt/kJc02xgB5aATnZ1oqD8u6mowHcfl0WqbpsrCA97Iey/+xufX856Gx5lFCZoBgCFg - lj6vWlgh6K9UhVCR66K4gOBdWELeyO8AACAASURBVA/3v/tZZ5x8Zo0RICARCJRZOROUk4Khwsds - D1JASEprQKXCKghgVlHSEFzbTJ1Synl5dccTz/73n//aZ5Objqd7duwarG82wxFnjVHhHUnW0ojR - AefocjQsBlTPKnhmlsuvuXhvunHgdrPQdDodDPwduZQ78qUHIEIgQLJGiZWvYo6dtjqMX77yi8m3 - mY/ofCQcXJey8xDBdKwry3XXpZwUa/SEBz7pXqunjWSpjZ3jKjgXm+7I3lCZ45BzbjgcppQAKtVa - UQrOpvS+973/Na/5AwDMaJquZMMfkVI+qZSm9d6nlJxz5bzmvY8xlrBwEanrel5ryRhjjDHGGGOM - McYYY4wxxhhjjDHmBEYLwwpKavX8m4fzrCIKPe3UU3/v1a/50R//sV/8lV+++JLLlJC6XNeBiJqm - A6Gqqq7rmDjrtuvv2raRCM5xzgIBM0TxvOf8+Kt/+3fueY/vWtu7z7NLmpvplIhKIDVmfUcP5/m4 - GLXBzDFGZiai6XTKzCVfXAlt7EoX8JUdq//6yU/+2m/8+hcv+Yr3vsu59MWdbVNa6BV6bOaSIDmD - AMcAkEuHYXLO5ZT6zr2KEEKMMXaJCZKVgMBERClL6a3nmc84/X67du069V73ute97nXKKaesrKwM - BgPn3Ek7d6WUmqaZTCbj6aSYTqdf+tKXbrzxxm9961vrmxsAPLssElUBsCMRjV0HIIQAoJRsqAZ1 - 10Qt2W85AwhVFbumXxZdeD68Zcehos638Q42nU5XV1e7rosxioj3PoRQDwfTSRMls+M6VB8///wX - /syL9m5s+sAxykL5jbtwxu88OclgUEkXL/z8517wohe+49y3jQZDpy7nHOoq51ziA51zXdd5Dnf1 - /BpjjDHGGHOCY+bZIOjtK8bonGPmMjqmrmsRKaNvjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY4y5 - fUQzkysjNGk2crOuQ9seKuVaoel/vun/+9O/fIJIVnXB0SRm5wMAVmQ6SIzL7Duzf4hDjY2Nrqoq - 58BV0Ng5ie/7P/97vOcmdOPZ3/V9ZYlIRUDzHIeFeAciVS2V5wGUrralz23patt1XVVVpQp9GQ1q - jDnhWXS3McYYY8xRptSHDJKSywEk2eVAg7NOeth/fMSP/83n3rKPaNytIUAi+HbUjpmF9vXvHmcR - hgCgYGUog0QoZYeOmhvbK//xE+fueNrOM3ZUw+HdYqeOK3UuixKECU6UkNHnIYpa9t13nJLyKzlH - QFWVAFUQQHS0g1JJUkqqqpoJAtvZtp/SECgh5g4kqqBjGf9cbk6h3LTajjnTJYfVcWlXkRQ+MwaD - wcbG3h07V2Nclgme/wMv+3/f9fs3bX5zZWlp0o1ZwIQU4QPcADmDGe6WKaqKzCCFk749ZyAzFIAT - ISFhEUkMDhyRb55c9/5PvPueP3xqit3q0i5u0bVxqVoCMquKMiBEqgBIBQngY5dTeycQsEKJiBQg - VYpKUCUnPAyjSdoYx73YOf3YZ9/76avObwfT5FIgJgUpeJbbzbPdV4gZQvOMeEoC74UZAgiplHOr - EoRkPM67dyCu51Haeffq9Gc/7QW7+G6DdgBlUpSE2lug/uw8O0cfF0UV76DFihNHt/YE0VZxPVUF - WXT3cUdACpX5hxYl3J4VqlJVVRs79WiooTp+e/26T13yL+O8rwsNEbgkYQtUQaQHbPf5UZxzrr2L - Xa6xtJx3PenB37cr7K5SEMRM4HJprI4gygLIfqHXx0DJ7UafuD3L3SZ1oJQ7Iq2qkHNMU9zvHg96 - /AO+5/1f/tullWG72dSBkkjMCDWylqa8jzknBUMEcivtSU40qP1ac/NXvvnF3afdv1J4ZcjCmj8m - Sqo4C4FJpF92UTAJMTwzp9zpqPvW5lVXX/91PTlD5TaP4FnTKgA0Ze8pkhJh5EM3zsnlJV4Z5V1P - PPOcVbfbSzVNHbEn77SN3wEt7nc0ESkfyqaU6mqoqpvTSQiBgAsu+NSv/tpvKDAYDJqmAUDEOMJS - zuWj0/nXzrlSJxdAjDHG6L0v308peW+fpxhjjDHGGGOMMcYYY4wxxhhjjDHmBMDAQQa3L1oc6N53 - c1XI4T1LTiurK9O2Fegjz374Jz5+/i/+8i+95e1/vTQcbE4bAM5RzhJjXMh43kYUYAYUOQmA5eFg - Om1e89u/9Yuv+k+pi3E63bG0lFJkR5WvUkq3viYPnDgBt7Xyt4mmaVZWVgTatm01qFW16Voi6lLe - sWvn3rW1EMKrf/8P/vgNr3feg6lNqe9IVzrkEEoHXs0MAHSLDa0Hfnk7e4IRgQCRrVcRZEkAvCNm - F7uUYnQMCBgggiiGg+qss8565MMefvbZZz/iYQ9/4AMfWFLNuKTVq6oq79+5SFVLLQZVzTmXILQ2 - xvX19auvvvqiiy76xCc+cdnlX/3a1d/QrAxUVUgpxRiJwAwRpC6CxHnP5GPXgVAymA9/wQ/ccY7D - znOlI1bXdaPRqPTU6mLqxpPRaJRUMuHCT1/4nOc+bxI7ANOS233QxbzFQXQ8HFUAbmN3Zx8kxqbp - Kh9U4kf+5fwXv+zl//Mv3uxIKx/G00lVVSK5GtSTzY3RaDQ77IwxxhhjjDFH3zz6mojK2zcAqurc - 9hoan1IKIZTB2kRUvthuM2mMMcYYY4wxxhhjjDHGGGOMMcYYY4wxxhhjjjslDy1GWVlZ2djYSCkx - c3OwXIB+fF9q4Qdf+8wFX/jMBQ951BPaKEnDYFDH2Tg46kc4A8q3kk/UdRgOqxDQbLajQCxRu8m5 - b34TYldemhnSj/0U6GKexazTb/+PluL25X91XaeUcs6qWr6oqkpESuX5GKOldxvzneDY5tYYY4wx - xnwHIu3L8fQ1epShxAh5Hz32zCc97ewfXJ7sHPGqI3YKyre/7pLSVpIfK1j7kD+QlB9pSYFdTjfL - tX//oXO/sXZ5V2/kYYzcNt20/BEAkIASkPq5NSe8g21lZk4pERFQElKP9muqlqT6nDM5EBGYAD1I - ES5zV+pDpIm07A9Ed2x/OMSfqvaTne11iqMd/XvHbeVeqxewUFbOoARIl9OOHbv23rR36EcD7FjO - u5/3jBetpJPcpBrqSDsKoMpDMpzrs0iVSt7z1tFXItJL2itDShs+L8Ul2jGzA3VtElU/ZCzr1Wtf - ++AF/ycOxuu6B3VWL5Nm7L0vudHc/2n5R7Edo9CPEDkAXLYFJVBS0syYptat+HFY/9K3LvrIl963 - 4fbwUFFSz8GsTMrUn+FEWcqqnkVrz6ee+mj2rRXFAoYyC4Y6HLYrg43l5zz1p+45uk/VDbQl2orP - VUCVVGleMLK86Hz7yuxxAlosQXjLb95x8zanfFEuqI7FickccyXrHlyqmJbDJKVEzIlicrF1k7ba - +MJVF15x0yXZd+SEmYhmjSEh7/9Zx6KYZeDqOo1oIzz8Pk946GmP0gmQlJRnLa0ADPWkKGngx3px - BZi1Azo7nwpUUxdD7ROJ854ytzfpk89+yt2H9xnICjUuwCEBWmrR4iAp3SSlmSoX+UA5m4BUWAHV - pLla1c997VNtmI6xORgGyLFdWFaQ+hLgXXK7S3sIElKufR1jVq+6HD/1lU/mQVJOcoRHcFkCVSih - roftOI38StrUx97/CWfsOksnpJm8q0RUoOT5VtLNzQnAOVeSs51zzDyZTHbt2uU4fOYzF/3CL/xC - 2VtKbvdoNJIj3/9L1aT5J6M558V87vJBaUpJVb33t2P6xhhjjDHGGGOMMcYYY4wxxhhjjDEnANbD - fQZQh2pt7z5JqfKBVDfXN/74j173X373t6fTxgGeIFkBcOkxtv2UlGVVMOCA6bT51V/8+Vf+7Mso - CwOeXY6RAedc0zSLXU0Ox3ER2l0sLy+vr6+Px+MQgoiUogOhruul0fpk/K3rr33q05/xuje8XoE2 - pSgqpX9t6f95p21YLV3NFAoidt4HH5iZAAIkS+4SA6PKkYCAsx981qte+tK/ffu5l37pyx/+wHmv - /b3ff+6zf+IhDzpLYwrETjF/BOKKXHk44gD27LwSg7ySZxfbTrMMfLjb7t2PetjDX/qSl/zVW9/2 - 2Qs/fcVll/7dO/7qBc/7yXucvBuiDvBEJAjOiwgUOabYdewcQHlW8eEwEY6jhOqDc861bRtCcN5P - p1MAdV0PBoNp17J3F1/y5Rf+zIvaFOEoA+zuxH1pe5AYQ1UB6FL0lSfC+//pvN959e9m1VBXoa6Z - 2Tk3mUxWVlbKCjTGGGOMMcYcUyUJm2e2YSR2uTXhnOu6rsxhSsnGvxhjjDHGGGOMMcYYY4wxxhhj - jDHGGGOMMcaYO64EhWxsbJQRwVVVHfp3BVBIh9ie++Y3LVdUO4hITKLEAhDgFASQlqQePqDDq4LK - N51HFnQd6iqQ5ory+97995vfuAIkzpFzpNrHo2yNUy6xGPsjosUUlbZtS9/gUnDeOZdz5j7nB9uw - n7Ax5liwaEZjjDHGmKOJlRns1DMY4EQ5s7AyMlfDAaXw1Ac+44n3fsqgHQ0wCnngJPCRpGWTgiCk - UEpCkgmKPrGbBa5/K9jHhZa6Tq0i1vGG9M13fewtVzdfSct7u2ozc0SfJwshUZJcIk2JLL37O4eQ - CPWhtsoU84HR3UcxSVlpv6jmktYsx3vhqBOLksySL5WIUkpKJFvR3XxH3z/SwmO2m5VbUfOA3js0 - /WOAlVm9EishcxLqhCMoA5hO2+Xl5WbaDf3It/X9Vs961pN+cjBdqbvhsq5S5yrylcd0DIfFKNYD - 79YpQSkJUFZvCawlgebMlENwzBxFuyyNTnh3/tSV/3zBV8/Po2ZPvImGgoCsCSWqdtZ6E8B6Irzb - p1kOLinNU7Ez55bH6/TtveGGt//TX26GfTJomjghhRC0D+IuSbeliRMlma0iTzqL+EYfglsmq4RM - AMDil3iJ1+vhxs5n//vnn7HrLGwEp3VVDdBvL+2zwLd4Et/PKsAqgBCOrJTeceSAQ/UYHb+l4VFV - EdmGjYM5DCLz1k9ZwCgXA8zTbrNaqqJrZam9au9ln7jsQ029T6q2fD5Sinc67s8XwphXXezruipQ - PjKJUrej+44e8NRHPLNudw55gJRZHIsrr1UO7/46+Vgv7eJZkhIoAokgDuR9lVnH3SRCVpd3YZNP - 8fd8yqOeUU1GJ9V369bTwHHt0U61/2hm1kwBZdlFSbZeh7baFgJq9l0zbWly3drV14yv6sKkjRPP - fIwrVTIrs7L2baxuvfsQcuJSSm6A6yfXfOHKz/ghR4n7n/4OfkXRX5ESAFTO5ahlPUym06WllaEu - n4RTvvehT9lJJ3PyEFdxTcpRIvjOiGY3d6FSMyilVFXVdDqtqmpzc/OSSy75+Z//+fX1Cfpsbzcc - Lk0mze27Xs05p5Tqui7/LeedUm64vHkRkfl7maO3ZMYYY4wxxhhjjDHGGGOMMcYYY4wxd43Sq+qI - CB3uA0BKaXl5mUGkyiK7Vlac4pUvffmLf+r5A8+lQ5dj5JyVsA1zeUunRWZ4xww88TGP/c1f/XUP - cqoVc+q6peWV4LiZjJeXR+Pxxrzv02E+tptD7Q8555WVlcFgUPrMhBBUtUsxSX7zW9/2xCd/7yWX - X54BYQdiEGFrDIL2Id4KyGzSyn3vuPnjFg7x7dvgHBEDEJWUY0oxkkhg8gArKoID7n/aff/ov7zm - Cxde8NEPfej3fud3/q/v+77VwZBicqoao6RUeU85O+3nkqGaU4pd1zaSk+YkOUEyVEiFoI5QB++Z - SAUijsAAJMe23bW0fM4Tn/Qnr3/D5y+66GPnnfcr/+nnzjrzDA9oTg4YVXXfO5IUmgeDCthvrRzW - GtAjeWw7wgznXDOdMnNVVV3XtSmGuvrWtdf+7Etfft23b+5Ukyh5ygftQrxNl+vgDjmzh9pSypr7 - 7tldl1TJBf8/3vKX73jX34zbphrUm5NJznE0Gmxubg5mfd6MMcYYY4wxx0KMEUApyQegbdvynW0o - 5ywiIQQAk8mkrut5DUFjjDHGGGOMMcYYY4wxxhhjjDHGGGOMMcYYY263GGOp2c7MzrmmaaqqOtTw - OCaFCBC/fOEnvvzFzzvkMlaupAiUfAKn4vQgsQKK/jcVEEKc/YqmLk03zv2LP4VTSM5ZU9YydHUW - fXVAFBLmr1Z+Oi8+PxwOAahqyUARkRLXLSJlAY/uqjPGbE92qBtjjDHGHGVeg9fAykQEViURYlZW - paD1Utr5A49/1kO/65G8XucGnvyRTt8JWEVIMktmZGYouwwnIAVIlJOw9L8pyALUYVJvXjX+6v/6 - l7desf7Fzt20vNOBEs+qLGUudaAst/s7wKE3cdd15Yt5dPfRfFlVAGpxd9vdVmGoMoZcdTHK/ajV - uJpPaduOVC+c+JIYLSTKWTmXNFYA3lVtTHU9aDanq35l2O581OlP+t5HPk3HTI0byqgbJ6+OFUTz - 9SoyO7YWS+8JAyQChnookyJ4EJCkU0RmBrkMRNY12tMtb37oovd99YZLeAnJRa5dhkp/P5BZiXWW - bnsc1SQ7GFY4YVZWQMFQx+JJIdTSatzrbvxv73j9dGmzraatZsfQDJRlJkFZvQTlvhCkEvr0bjDr - /J6plIqASmUTJyWQOkyC21x65mOf9fgznzxsl0KqSJ0QZuG/i0nsVELTWWn2KPdkc5/BfqIr96NV - tZSfOCoWmxqL7j5O6awAq4CVSKk/UgAoq6vcNG1onca69rHPfvDG7uo42Mgu5hL3nUFCBCKCaP/p - iOx/YaKAMiTpMC1/3yOfftrqmbLGQz8imdVxVYZyaWkZcMd4Dyrtg/aB1sLIjEzIrOLIs3ITuzCo - W+m6Nq3wKm+6R5/5+DPv8eC8gaEMgzBlkCAwU6n5uXjDdnHZZ61KWS5SYclVReOumWD8ucs+Ve2A - aMRROxwPbat8bB+bXbaRIydJax806MVXfmlvvDmi0YN9AHYbk1eqfe0EJMgszrnJjd3THvP991q9 - D7W+5hElQgazB5DvjAU2d6XJZEJEw+Fwc3OTiAaDwY033PSSl7zk5pvXyi+UM8V0OgXRYDg80umX - a13vfdu25YsyTSIKIXjvRaSqKlXtuq6qqqO6cMYYY4wxxhhjjDHGGGOMMcYYY4wxx4F5r9fDeQbg - nIttR0QMIkVsW6/kFP/P6/74vqfdB0BdBdnGXSxV4R1BkLMQ8JrffXUgDuDUxUFVD3y1ubY2Ho/L - gPzhkfdXOV5MJpO2bUs30RgjM4vIjTd++0ee/Zz/+zW/O02piR2Ik+SsotB5EPu82ydhodf4MZNz - 1iwEeHbBeS6vK0rArqXRy1/ys586//yP/tM/vfgFLzz91NOcgEUrdp5Zc3aKUVXXzksX61A5Ysoq - KSOJB9ehGg2GzjnPrjwTURmAw6BSl0FVGSAiz8zMwTkWHfkKKaOND/t3D/n9V7/mvPd94OMf+fCL - nv9Ttfdd11bOMyApO+KmaY71+tluVNU5l1Iq2fAxxrZtR6PR2trajz/n2ZdfeQUcld7Y6hh02xM8 - wZQea6paqoEI0MTkHP/mf/6tj3zso22Kg9GQvGsm07qqrBSIMcYYY4wxx1QIIcZYSvKJSF3XIYRt - OEY+5+ycY2YiijGORiMA4/H4rp4vY4wxxhhjjDHGGGOMMcYYY4wxxhhjjDHGGHPcCyGUQaM55zLw - bZ5sdUsiAhU4IE7f8hdvqrwTEV9xSYQhBWsmKEGxkOc9+xJKJRsIbYfhECGgnY5XhtVHznv/+Nqr - ESdYyOApOSmHiDJhEIOY2ANo27bUlp9Op3VdlwGzRMTMZYQjM3ddJ9t55LMx5uixobnGGGOMMUcT - KXNmzlzSXkuZKqUkhNwKZ0/Eu+qTfuQxz3nwzrNXh6stOjmSajKzTFAoQRiZRMEs3knlhFn775e6 - VyQDTkuOlhJVY2q65bUr1j/7dx/+7zdMLl+fXkOIpWyQggXIhAwvZNeH36Fyzl3XZRU5NnG/89sW - 5Y6D3XTYtkpIatbUxEZ16y5T2YJH61VKKKuIlIHr23N/IGVSYiWhJJwzIbMACZSZWYjI1W2X2Cmy - rMjuerx6zmOe+vD7P3LEy0Eqn32OOqxI9k8UVRLZP9FZCZnLDULPUpF4UjgGgE5SUmH2joOruPFx - r+xpB5N//Mj/vmHj2kabcTeGd8Asl7oUf1OUx3GNAKcgLam9zFq5HFgAijfGb771vW/6Nl13fXsd - Ba4CxwmGAyhJycstJ1+h+VkYAhZiqONZJm4fd04AWMD9qZMSK1bd7ic8+Jzve8T3DybLoQ0rg6Wm - baOKlOn0id0EEGtJ6iVSJmVCueErDDmxc7tppvz36EZ3A1DtSzXqzFGcuLlzKFhp8b/lqJSkyQ3c - uBtP8vqnL/7Xz3/tIqw0U4eFmiWsSuU41fmHJwTZukRlAAJULjz8jEc/6n7frfv8UJdyB2ZfjkpS - BlgIgJTGvFyZHzOsVNoWBgkogTpQAikpUhRl5jqow2QyCQh1Ho1k9ckPP2eFVpb8Mlogoq6gisXc - 7q0VOGvKSPuvZ68KlVxXjhwid1/8+mf2jK/1nvXYn1UJICWZndGEoCSAMhwpO+f2re/9wtc+l+ou - opu9vZitIhzwX9aFhSpf55w9B06ewW7AbWrvu/P+T/5357guIBJnz+I1c+AgyGA6ovdT5rgzGo28 - 92tra4PBYHl5+eqrr/6xH/uxb397HzkowXuvquXiEkrNdHqk05+XgvXel3rK+/btK+e4UnS4XKmq - akn1NsYYY4wxxhhjjDHGGGOMMcYYY4w53s07mh52X1NSkNLhPROY2XmC5q6denYlVtmBAvGrXvHK - UVV3XSRCVXmo+hCO6cLeDs5RzgqAgWc/61kPP/shtQ+B3WgwnGyOSdSzW1paWlpaWttY71JUgu63 - Um/jcfhzsti36li75f6wY8cOAG7Ge3/eeec96UlPuuCCC7qYfQjKlCEguOCB/VK6S0fbg/Xb2+pF - Nkv6vsNzDhDgiR2R5uSAlcHw9Huf+oY//MPPXvjp1732tfc99dSlelA7n9vGQz27rmlj2wXnGTTZ - HHdNuzQcxbaTlFnh2QV2rMhdnE6nOceco0hSzfMHIKrZOfKemSGSYmxFEkO9I5bslCr2GmM3mayO - lh7yoLP+6LV/8PXLLn39H/7R6fc5jQBHRKp9NjXt91Dc8sHzx+Lv3vo6OVpr+OgSkVLSovTamkwm - Kysrm5Px83/6p79+xb8pkEXJERxpKp2TGTp/4NgMdrmT0CEePQUUOaXSC7RtW2IWaKh8FGm6/Mu/ - 9qt79u4tRUBKoZBbKXFijDHGGGOMueNEJIRQLsKZOaW0PYeWOOdijABijGF2m2VpaekunSljjDHG - GGOMMcYYY4wxxhhjjDHGGGOMMcYYcwKQrp0CKDXbRaTtkvPVYsrAYgCBcw4QxBap/czHP3LVFV8n - oqaDUBkbKAxhHDxGQYllNoKwqpAzmkYHVVjft+cv/+JNgADKXHJSHLEHuVI9nm4RgTCXUyq9f8tY - PGZeX18PIZRxjjnnEEJd1ymlqqqOYh6TMWY7s2hGY4wxxpijbF60iPcvaLU0XO6ayOLbtXTvpfs/ - 7yk/czLuvZx2sXglAAz18/i6Yl5fpyhBpLd8uUO9DSx/6OA31zaGq6Fxsukn16ar/+oDb76h+0Zb - jZOLmSFghYfOB4vuN53yireRh0eHyijdepNcslePaq5emeaBj9naY5698SYFlzhBgvI8GpK1z3Q8 - 0kvihVU9r440e158bP3BwcsD3QWprgSA5tmXDHjAA1CSrDHmFpKhAogQoKRHPI/M2Nrn+wh5LZmO - kklEU8qdZqhq5nmA8Xx9SnnMNua8FFHZhdii5Y86QUkJBSmcsFMiCEgiUisdRDgD/Ra8rXbgYBZ3 - hq3jQPuXzhpbabKKKoSEDqj3tt/+sN/OcOfuD1tzxf3RI13XOOfAlKGDwQAZcRKX3ElLze5nP+0F - 9911ho5552iXdqURAikLcckBl8UCa7r1GgKep0HHBswIFYgASjlHjUmT+Br1DuyLN1y3edV7zv+H - PXIDhqnFJHMGSTnWqITcwgHuYIuztQIXW7+jV5qND3VKwvwlSEAiCxHmfe51n7YLzFoMAKwM9WUv - TRST32yrfX/74bdesXFZHDV+hcdtA9XgQalMX0DI5XSwUFxyfnKVW8yYkCgJAazwuaq7lQeccvYP - fvePDttVN6mHPGonMQyGxH62ULMpzOZ2a7mgfJtnzOMHq2BW7C+zgBJBSOHARExUtp8oclYRSbcx - uVtQ6gsIuq2DbPGsQ0JQUqGUkUHpEDUE+/1tMZh5ce/aRkhAGZSxde7rU+RL4yaE5HJbTSeDfRtL - N28s3bCxfN3G0g3zx3j07fHo5slwz7Reb6tJ8m12UQ5oVbZe78DPKhZmY/Gyjfd/PtRjPv8H/O38 - WmLx1wTQfsaob5ecshNiZfa03u2lXem66ZXnXfiPebjZIpGHAkRwzjliIlFkwcKlnYIFALMyACd+ - uVte7e7+jMf/oE9Dzuy9zzmXzN2FJZofj8f8fDFrz+WAU1lWIaI6DMbjaeWqwWAgIsjM4/qsez7s - 7Ac8JjdKqIhACs37bZcyqflVJWFrA88+YYJz1DTJOYjGtc1vX/pvX2h4KhUOPN1szREvfnP+/dlZ - 9dA7zMJ2ny2jsvZHH/dveSRqzD41bnrN3m9847orYm6cI+0TxwUoj/3e4yghE2fq3wGxwilCCE03 - ZQcSzg1Gecd/ePwPDzZX6jwauIEmMDN7B4ec8+3cZub4kaIIuK6GSXDjjTe98MUvuemmPcwQAWG2 - D6iWDzWJb8/xXj4lTSmJSNM0y8vLAFSViEIIpW1hZvvc1BhjjDHGGGOMMcYYY4wxxhhjjDHfmfQI - n7NK27YhBO99jHE0GJZ0q9R2P/HsZ5dR7qrougQgxXhnLcfhylmJ+h5Wr3rFKzy7FGPXdd20WRqO - ShpWznlzc3N5tOTctoseSALdSwAAIABJREFUP1KHSgdvmoa927e+7qsqqv7ea1/70le+cu9k0uXM - zDEnqIKIvM8pcem/R7TYzWbW7ezIunQevL/oIWaypMJ7QFVyTgw84qEPed1/fe1Fn/7M85/73Lvt - PrmdTod1TQpJeTQcSs45pjpUdagkZQCj0SiEMB6PPfVzrqoAlMk5F0Kg/fUrTVVVc84559L7yLkS - xq0kqqoOVPLOHTGJSsrBuR1Ly8//yZ/41L9+4p1vO/ehD3xgCaMm3CKp+yBkthq3X//YI1TqWaSU - AAi0XhqNu+aPX//6Cz/z6SaJY1KFZEVWEIP4uM7qvh0IhFl3tbJfdV0ShWNcc+0Nv/RLv9ymWIVB - klx+7a6eX2OMMcYYY058IlJVVUrJe1+q+203qloSu8uzqrZte1fPlDHGGGOMMcYYY4wxxhhjjDHG - GGOMMcYYY4w5EVR13TQNETGz9x6qOR0il4SQc/beEwTIaDff9ddvCySe55EEfMuwmNmfKmmfBqRA - ShDBwKFmOf8j5+258nKQQEXnRHTWs9c5kkONvSQqReydc8xcOgarKjPHGJ1zpdut977rOitBb8x3 - CH/bv2KMMcaYu4hdlB+XSGRW/YSUvWwVium6bjgctnEawkim+bsGp7/syb/yzn9965eaT8pKolQz - SKAxN2HgJGWncArSPhY6E3J5PylgZVIhBYMBAaU+PrPsMopSvke4gzJJXB261EQwYoV9eUPkmj99 - z5/87A/98snutJPre3Lnm0kzCJU6EUmqRMRwDEBEsohA2DmReTDeVsojK0CJtCyl9D/ais/sQ/ik - ryDEAITkEFHWByfz9NatKZTF7CdTwliFAAgDqkxaVhGYIgAnIROr7xInB6SUajfIAuGQ4RyOKPCP - eb501L8Rn2cS33KxDrKgVFbGfpG6QmCdrbdjnDbah/sSWD00ACIuCket0/pkD0k7dNRkYU+Sfb/D - HXaBpxLc6JSTE6F+R6kyhCR5dCqVQ7s5SU0MoZ7IxDnHXejzVimh7Eh9uDhDpc8YBmcq+7UK9Wmy - 5qiYx2QS2OVAyMnHSJ0uuRv33eyZg8ITOgEHjjn5hbTpwzG7BSYya8GcAspZHDmXfVrv9naSB+yI - kwoxmJR1lvxaYlsZpeRWvzMoSIhVAZJjuj8oSebZUggxHIDy7CvuUkOEQC61mYipUk26qvektvqp - Z/7s2973Zxff8NmV3Str4w0/rFU1q7jKd7FBRu05t+IrJPSRtLPGrTRHwgElv5UIIHWaAUAQG2QG - 6kTD9uJvf8r9K//E977opNE90HKa5hBcFXwbRTJUPDOrS6yyELMKJcasTRYABNaSWl0C2o+oeQah - j3YGZouwX+Br+aevE8cACwuJQqVkuCoLhJUR1bN3LiSJrSQEFa8pSRVG3TQxuYwUqfE74/WTq979 - sXdeuueiZrQ+dV0muBoiWhGQ4F2f2C2zfc/JbC5U5uHd82ht57jrxFeIHSqPOg9Gcfd9dz74+U95 - 0VKzs2rrSmtJyuQzSAGaTXb/GGBRAvptB4GUiOFStO9IVuf2QoATUeKOfSYwtQRxwqQO6gWsROyQ - NAPZBxpPx0c0fSWoMkFc2YG03y0ZoiBVZQ4i3FFaa9b8SaLT5DTMdrNF/UrmMgUSIdGSIVz2rm2C - hCnF2Ia6IoQ2CqHUaIQjTSmxD5l1HNpmuPatzUuvvP7Sr11xyXi6b2Nj3LYts18aLu8Y7Rj5lVPv - fvqpu+9775Pvu7O+W4hDSsFLBWXkcgXKQmDyOasovPcpRyIiJWIlUiJSZMnAVk4zDoylP4ASCEIR - lKALf6IMuIWrF+GyGSkJiQuubdrA7MG5TYMwYLg2jSNHLKerJ5ef++E/X6uuwaARggqIIAoSIRKa - hVWDIApm1qw5w7MXSVxrPa1Xbz7tp57+sl10by+Ba27iZlVXOav2B325TsZs1zi2WOcNiygYGgDV - cknHAmJJeUABEVAkH0l4Rz5pPKEnPOJ7Lrvhy1dPrxQKI8/rkzb4xH0UPZcJlDZt8cKxXOgKWAkp - Jw7QDMppWMlFF3/yiQ/5nvW1zTqvUEQVfJw2noOvwrRtfRVEyqVaeYshJTZbCAoHZSmnA1LSfpuW - 5SiX8QSB+j78m5BS9L5icSyRmLJo5qyDNMEkL08++P73CjWDOkxTw2H2XoAAhRMhZZ6dMjIjMRRg - RYBUGaSI1IkDGBzdSrf74Sc/9pGnPml5Y0fVBQActONWwMiofM0ZhypWarar/U6jQP8OyDlXwrPr - um6mnfe+fMgK56fT6bAeTSfjl7z05V//t2/qrEDt1hPKp6S3cWFYori7riv/LS9RPmYtpU7LZ6gp - pVJId/5XB3xhjDHGGGOMMcYYY4wxxhhjjDHGGHNcO1RU86HQEfbPUVUf6pgEYOfQdZ1zrKTMTKqP - e8xjP/TPH+s7sjLr9ku9otJNC3jA/c940AMeiCy+rnKM7H0bOw5eCQCFUMeYZ+vymHRhOqJu2wf8 - 1eJWvq0t3lcrADAIw7W1tV27TupSzDlnxXBp6fo9N7/ilT/3wY982DnuyqLOt5qqaoKixGBDVQ6+ - Kg6ylQ/6e7TQu2ir49nsZ877UtPBccg5UynHACXAAfe8x91/89d/4yef82wGQcQxa06OGKIKMHNM - QuwB5NLNiEmBEoHsqyAKpdIZFVl1IUebS78hKeMwqO/Q54gPuhh9z2kVna0hQINjFdGch87FGJ/5 - tKc9/Zxz3v3ud7/uDa+//KqrfFVPu5ZKzz5mVe0DvecTL8MsSFUPa1e7M3vU3XIvvY39TZWJyLFA - c1ZXVx/98Efe8Cd/kgEFosw6henCbnaiOJztUo6g0jCW/mwzBOh7P3jeO/76nT/z0y9g9llVsjh3 - iAkZY4wxxhhj7jAuo/WYAXjvAbhteQl+wGgXIqrr+q6aGWOMMcYYY4wxxhhjjDHGGGOMMcYYY4wx - xhhzAuGc82AwyDkTERHdenwVu5BSdAAoZWk+8I63vOilr/S7vkt5RAFQiDgmzjEqE3sugwgdcgnS - yvCZHADn4BUuTryO3/Lf3wiJ0Owc5dyP4Fx80ZT7oXuyNYhvPtS1H+lZBuuVgbQi4pwLIQCYd7ut - quqOripjzHHCoruNMcYYY44mnUVNFyUzclZ8JyGD2YVQtbHhxp+5+6HPO+fFzafWLt3zRSX1o2rf - eG1ppRaNun9RFlLQLAObF6Y8MwvtBsvWO0AoCUEgBFVSEEOByBi7TQ17/+wf3vjjT3vBQ+7pKVW7 - d5zUTSLFrKrwThmQBLCD886r5pgyM2u/aFKylnnrheiAgjva1w2azS8d5O3rkSGZZ6CW1xWap6+W - tEjsnyDOSpJJCPDimKFCRMogR8wgIgIrM1RvX02rW1uW+QS36kQp9zmIh5ocwd1JFZIW5ly5zKJS - StSuN/vKmlHSrEoEFT3SfDpWbG0YgtM+7DaXoFaGkmw2492jJFCmrQXXEgm5UI9ooWqYMDjjyHLE - zeGYR406ZacAuGzBaZ5OZUqUGf1ukEuo7izx+bAs7NKLFbhKIqmqqottmoY6sLAI9THi+7d1h5px - JdwJ2YmzRg8HCwCel5zj8pukQONWBidJF3/kKc9ff+/GNetX7lhebdF0KsyYNE0VeDQaxmZKBFaa - NQvcBw0DXIKly6qjvi3F7HAYeM7QCJ1iI4zSJdde9L4LV5/x6B/aRacsDXdIJ824BXtRjJaHbex4 - q1pXmcl+zrmkGvfp3aJAvvX1fVDUVwabtc8H3Wj7NzhgVs6UZgXkSqy4DIbD2KammbDnqqqSS2AZ - VtV0o/Ec4FR9l6vJddNv/P35b7/4mou65Un0Hai09fOgbLjZLCghz1bdVho5pYWZAYCmkcGAc5al - inTqdVzde/eZz3/Gi4ftzrpb8WkWFE2iWnLUD7Xzy/4ThvZ588c36gvfkZIKwamQMmuftqualTIo - iyZlbVN7tAr9kajMyg0q5ygd+hvZZT3P84wZuMVW3s7UOyeqmpEAz8wMBiijia5FFfOSXP6ti8// - 8j9dtfbFGyZXhSXK6JRVhmDmNfLXjslJ+OL1F9VpNNTVU5bv9dD7PuyhZz7ybju/izsXYlXxQFPO - WRwpkSOiLKmuQy6J1oycJXfJOVdVVUwiNA+5veV1GpPOD2qFgpVnsc00D/AWSN9q9U1B+XUG0Dad - c4FVnSL4erK5ORjUKUgcTDarPef+w5/fEK9yq9pCJCFU3H/U0adEAwoCiMCOUhLPPKyH3TgOqlFs - pzyunvnYH33ASQ8b5CGU2CELqWrK4ujAW53Sn12OyVbdWl96QHNXFgJloVi3vplJHEM776S658mn - n/PY7//rj76FR7S5uTYYOk15a1dXLGSQzye+9ZqAwEMB5+CEJpONm/J1F33tM488/bu5JYl5MulW - lpfTNKaUQqhTTOT6c9bsBFdOQAzS/kR2izKaC5U/eeHMK6XijOacRZ3CkSPGRt5Iy92l13/p6n1f - q3a6tXYCP1sNKA1Kv07KiWN+dd//zqzQqauo67QiVHlwMt39R875SV4PHGtWEhIhUVIhYWXuY8jZ - LhFPAOPxeHV1dTweN83/z969x9l2VXWi/40x51xr711V55ycJJAoaERBxMdVrl4FbC7oBS/4QK8K - 3eJFtFv5CPb1Ku2jvd14vXa3dqsNAvIIKG9plRDeIMREDBDkpRDCI+EdICePc+qcqv1Ya805xrh/ - zL3r1AlJSB3yqJDx/axPZZ+dqlVrr8eca9eec/y6AwcOdV1HRH2RoNy240Xf/+qv/t/vff8HAaQY - ShHb43WdUso5D8MQQqif9XZdB6D+M4Qwn89VFauCSs4555xzzjnnnHPOOeecc84555xz7vQYCDcV - +E1EgcM555yzM9yHbl0O8R2PQAT7xm+4T0opgLTITjrXqYOib/zMXZGIjMfj3A9ENJS8cfDAbDEX - kXY0KiYf+ejHnvyUp3zkqo8XQNSMvmS46B14CKWUEGMKseuGFGKRUnO773nmGU960pOe9Eu/dPjg - oe0TWyCKMe51w4xu+ute3eRPkSFwGIaBOYxT6roupfT4xz3uMY95zP949auf+lu/PUntULKaqaoB - oF0XECEw7cSr70O7p5B8+W9mDiFsT+cHzzi0NZ9//urP/fKTn0wxSBGcHEQPLEdJAnfoKbZ/CQxA - IDzt//3dhz30oed93de3bauhaJEv+7POOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOefc - XtXi7arKzPWf9UGt5X5jBpXlfLcAiBVYfumfP//f/s7/lwOObZe2jSmi62XcNt0ggW42WkB6HFwH - DcPrXvXKo5//DALYSKTczLfv/P7dTq47xlhKadvWzLquG4/Ht/b1O+e+Gu09ncs555xzzt2iZRge - KUh35wynlIhIVUVERObzeRnyPTfO/dnv/9f3S9+xbgfMZO1Q7LRPIZhCCTmgMIQYFllj0GXIcY2s - U1IlKO3+5ynvK2spKFmugYKCBSwoNsx580RzzSsued5FH3t1PvvYtflzkuYisjZeHygP1IsV7TN6 - SYWSxkYDL+Np66JsGgzBAIuKaMuFDWzLRD0FFDSABkYhFKJMKHuNTuSTaX6rlwslaFJNWpKWRqVR - aUVa0UY1qjFUASEWYkFQBoyjxpRjW1IqMRhgGehAeY85f6fs+Z39XBfWujAZk4GMyYhuIvH3TmP1 - fGAzMqCAClAAVTKwHt/aJE6wVNOZCYUhe81B3NktjOVfJnbVjIIBheTo9LpsQ9QUpAHYQEasxAY2 - BENQBAXVk225ndQT9USZPZfxtqMEq7HELEaiy/joaOB5P5/nmbAqQRWJAbnJ+Oov9yuwymhexr3u - ZHaSQBVla3YcUYkIyhEt6jVEbMQKUgRBEgpKXNs6UAFlUM/oCf0pUal3NiOlUQajGQ6dTd/4yz/1 - G+em88rxPkIUOY24TQnGW1uzYdBmY9SZgeo+UTZlFEYhKJvW52tsMozVollj1qgEEk7M0gOpTPno - pVe++aIPv64cWmzmoyGORvEQ5zRJo+niaLFtQqlB6UJUl7oPA/pkfUQf0AMihCHwEFhpb4d4dZGi - dnlAfRVal2UYuYGhdb2KoAikTZAUJUThYAqSRZmVNGCiaGGRJSNPVea2FtfaFOZ2tB9vfhGfePFF - z7v8un+yM7SEAkNQREEUACzEhsg6SqVhBQHG0ABlCLNi9fuxbPRqE9WM0M10hIDtNF4c+q57PeRn - HvWLB+mcWNZgSYmFoKzGBVRg+bY7We4altnYsGAaFGQRCIoIJiMFZcMAtmJFI+ZDt+cChcvT5hRK - ANgMBgEKsebcA2zGShBWoeWiVOrNhp3aKQMgIzI6jSbrdmTRtGVsiCVVEFGNhRcrkgY9MP+8fuxF - b336+a/5w4984d1bi2tHbRKDIBYO1gRp0MVhEfotmvYbW9tr12+uff5T8sELPvSi/3LBb57/9v/y - /s1LttePb4ftWVzYGNzCOBOGprWuP9GV7QGdkIXUpmYCa/sOSjAqumsBZVAm1GVgyoxhtWgsbSyT - UCahrJG2ZIEMjEzUMU+ZZ0wLZVGQooWNUzxA2gYNls2KrR08kMe6mMy+aJ95weuefbRco0m2thdt - nESCZd25y4UBOmYZB0VUkBoRhHV7MVvbWJ8d7zbk8Hff58Hf8x3fe+DAAS1Kt1TuUfdnnLOSCg3M - 3HZnfNe9HvS/3O+7MS+B2yKktCo5CTWCEtc7TNrZObtWY6QIlAuEgRYl5Kluveej75rpiSEvRiHF - tlnkwQIXVYhGYjIVLkOQPsoQRVgYSKaEgdGDelAGlXptGliIdHljFmrvbCAjiCnYlHqlElIM3BBG - hIRkHU689bLXL5qtRVgstMQUIcvLPWhki2QRgLBKUJAyabSSVJMyLArxwOiyTZq26dfS1tqPPuQn - Dqezm5gsaA5SgtTWJhiTsf9x+6vJeDxWVaYYOC0Wi8ViYUZt28YYRfRpT3vaJZdcCoAZOe85txtA - zpmIUkr1M92u60IIzExERDQMA4D6oW/Od7tO3znnnHPOOeecc84555xzzjnnnHPuDmBmRHSf+9yH - QHWo7E1Pzt8HDEbA/e9///rPOvLkzt2kr8TOfIebXJrYzqcLoiBiZgYmYg5tMxu6iy6++Cd/6qc+ - 9NGP5CIpBTMjvtOGbLWjEQDJpeu6FFhlYGgAfuzRj3rD61//75761HHTzmazOm1nH6Zc11Oolqho - mkZEFosFqf3szzz+ig998LE/+X+YKQNtDAQwjIAYmAlQiJgqzBBjvLNfx03YGch5cmz5zRv6YkoU - eDqfG9EvPemXp4su78rtvps7OYz0VMvGMlA39P/xd393KHlra1vFsDNBwznnnHPOOeecc84555xz - zjnnnHPOOeecc84555xzzjnnnLvthBCwmh1ZSqmZa7c8NbjOgpT6LSpvuPBVx458cZgtNiYxMETB - MWZBjCHn5XoUbKsQBAAErE3QTeeln1/4qr9GyViGdu95fmvdmFJKSmlzc5OIxuOxrPLFnXN3T55u - 4pxzzjl3BxER5gjAijSxbduxiNE8nDe53y89+lfOjfee9GsxJ2QsusxxmVAtDOFlfGZQDno6uaqG - Gv6dojIDBsu8mI+ObbVHXvuPr3jxW57Tr2927VZY0+PzYyFQCrGJqW3bEFhVtcgyPdBAZlRzn2kn - DZFhQcGrDVatNYVIleVk9iyMDQz70pzOvdOa11sTsllpGQGrzBrYCFbTRhUAyGAMREKEBVNCDcrV - EopxOY06NTWS/JSnQg3tNmZjXgaY815Dyu8YQhCqwZBCEMLyjwIa5cT8hHIQXYYgMgyG03gVtjtF - c/XjAQSDEiTqddMjJQ2BYpQAY6Vl/LISK/FOEvwqrbluamEMjPIVvnx3I0Zas2/ria2Emni71W0V - 6ywaCGZgBonV6mx7daOQ++VjNjMxyNb2DUPpzIQlBEoAQGoAjOtpAMSaJg5bpS+TMIQpc80C3TeU - FMG6rmttsm6HR7PDT/rJXz3v0H1tmzZSW7ph6DOTtW0UxnzoLCyvj9pg7ZTZIiyvOzLU5Gsjrpmp - qjoM0oQRMwaT5mCcp+Pv+OhFf3XxS4b16ZynvXWhCcaICcRFWIxrvLEBRruL0C2P+1Jtvr7SXVCj - WamcEpd7yjGynZfIFmtzbUAJikTK6KQfhi4Sr8fxWjMq1m3rJh/qP7N9xfmvecZnZx/La4st2RIC - LAaNUWpu67KvJCOysNP+1AbEVvnQy3DnXfXMtGBtHFJea7uD33z4Ox/3g088C1/TDgdiaVmDEJUA - IVUyghCM92UG8O3HCADTckeCjcySgcRMSQ0ZUCIzQFjn0t9SgPKXo4Ct4rdr1LqZgoSDDt0cFmDR - wAooqRFseTuiumrEjLRu8Kov3m9/72KVCGtNmSgEkEhWSAl5C0c/eeKKl1/8/Ms++9ZwziyvbVIz - xIZDaigmcCgiWVUAS+AJhlQWTb8dt6aj6/WsWXd48wPXv/PP3/Znz7rwj993zbuHQ9NhPN2WzYwO - rEPXN00zGo1ijDnnYRjAMaa2ls4k2MmmedVAr8ommgJKtlrUiAxx1x8SDVQICtKddazufxgWZKCG - x5BoZhpURvlI+cLReO1rLnvVx6+/PLd9Rn/w4MZ8Ok8pmIBObkKERdIYjMNqzaqIbZxubp3RHj63 - +cYffvBPTng99xmCQNEEKgZjvvNKgu4VR0qcbIvXh0MP/58eea8D5wVpAK73X1hl0mN1/8/KN8rt - rk0uERVDNhQqNoaO8iev+9jln3pfaMoiz5tRzFLABDDXK4vUyEooOZTCKrw8zuFkxyqA1tt3pWVf - bMS1mKaSgkxJlaCkWQsFCiGpBVH0OjQH7b0fe9eV11yBdZnqjEYQUlueGJE0kkYsVw5jGIEMUdGI - RgUZCkOJmUFdaOfrD77fw7/9a78rdk2/GHhkOQ4lFCUjI7Jl3PuXLfTp7ipUtZTSNE0IIee8trZm - ZiWriP7BH/zBBRe8lhkxQhVEOL1KyGaWczaz2lyYWf2l9bPeEIKIEFGMcd9WhXbOOeecc84555xz - zjnnnHPOOeecu+syMzO7z33uozDb9ym9Bpx33nki8lU/ksTMatx1bBLHcGJ7O4waxPCyV7zi8T/3 - hGuPHQVAgeoAG7ud94atRiFrHXa8a5hQ33UwpJQIUCkMutc9zv2LF7zw/Oc9/wHffP/Z1raJSi4m - Mh6Ph2G4XbfzNNTBUQAWi4WZjcfj0WgUU5htnzj3nHP++I/+6LWvuuBb7nu/UoQBJoJBRHdGf9cR - U6Xc5edTNKNWYaltwfwnT3/Ge97/vm7oOMSbaxH2e0txRwmBYhOHYsXw5re97a0XXbR+YEN89zjn - nHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnLvdlFLqhM0a412/3oKa7K0AhwRVnNh8w4V/ - M47KkgOhFBAjizFj1+xdUrAgGDEANuigbcDb3/bmq6+8AqYwU5EQ42lsPAAiyjnvhHZ/2ZfgnPvq - dpeJtHHOOeecu6tYpkjWwOqajUoK0hoDFkIwM1KLnCKncZqEbnQmzvn1x/zmtzTf1h4bH4itMEqC - cK03FA0srEq6jO6zGrxXl2VW5S1E1imTMMwIEmpUKgVoQm5s0c66tWOXf+Gd51/4zE+f+OgmXT8+ - I2IYbJ5lEIVoQknIUTQKoMGsUTSKYEYGJRVavpc1WmV0r0IBbVfGL9nOQthjmuaun8WqClMNeGYj - hjVmjVoLG8FG0FbRAjGYBsuNDUmVjBWsoemZFlyGMFgsTDbOaTSk001DX2IFaw0R/9LldHKvb1dK - UIpKbKSgwtSDCsBG1OVuUeZGWtSIiAj1617Xb7tymrEripUVZDCCRLl2+/MaFolCKJGhoGJcVucP - 23Kn1rTIk04jNNp9WbqMTq75ygoAFhU4duKIxoEClKAGBjMQ956NWcM+lcC2SqQ23mm+KGifF7Pu - GFhZQ5AInAxaBlBzYGHL693ANeOTADLdV7ndAAAeehs1E5Q8sXQmn32wP/cXfuSp33Tof5ZjIZWw - PgoG4RjaUbMosAgArKum8+bt7DEEJULJynGUC+Z5ETYwTcfefdVFF/zDS04012zF63U8TPsTIjnG - mGPpY1buQX1ACShBQcaClNFmtIIES43EUUZbEE5zj9qNF1KQMpShMK4LAYzCyAwBIMSZmkJp4ITQ - ZEOGEiERoiqXkoepjGdyaPvyL777xW98znXlM9vhhilNhdUIbEyreOYaIisEIzGSZS9TG5TlFip2 - bQmWvQkSMfUj2p58+9d87+Mf+YuHunus9YfWZT1oAKBkQiqrtFy2/Xa+3b4MULACbBpMgxJpBKIi - KsNIADCIiMDUlUW23vZ8SerOf2yZs14PGhMCMUCFgywWMyASoq4Su+sFswrw1p0A77q2ZRd8G+6L - 2woFA5NS4shkoGJt7pvta8rVz3/ds/75unfinNkxOrKI0yEsBu0kD1aExQIo1IMhqBUXOUET5mrH - pZvSbB7n2+3RT9iHXnTpnz79gv/87s++XdYHGusgJaWRdGR9CJIiNwAGWRT03BhD2Wi1MO/csGJ5 - i7Cz5ECZeQiWQ85xkePMwty4NxIjQFvoGnQtlLWgKRgHK8EkghazIYYRQujS/Ei5Wu4x/Yu3/Nl7 - PvXO5p7p2GxTKXMoIUBVQwIYIJCMSNuAzNTXq9UUkzaaIhltpNGkrD3uf/uFwziP+oYKp9AEiirG - xjDDVxIgf0cyNjAkrFM7yZNz430f8Z0/PpFx0gCLSiwMZayuEd61AFh2qbUTJDKOUMZCLcehbxYn - 9Pp3fujvF7ZZaDZbTEejkSmbcoyxyAAS41LXr8sbWiUI14j22u0vU7q5Xo/L/nf1vkZpAIoFMTI2 - ZbAVGkqRaLntP33s43/3/jc2Z+lWPqZBYhu6QTkBYFjceQ21hxACAAKSICoHBUiFVViDtrEb3TN9 - /WMe/NiNfCZNwz1w+LKUAAAgAElEQVTOPOvE9HhhKSwGMLCT7O6+GhjD2JSkmKoSUdOMFos+hERE - z3jGM17ykpeHAFWUDBjMbi66W3c6ly9V34wzMzObnTx7zExEmLl+AxERUc32ds4555xzzjnnnHPO - Oeecc84555xzt6E6JONe97qXAYT9O9yLiQEQ8DVf8zV1PH3TNLsHnOxzXzq7ZPeMjC9dtEhKSVUX - i0UWbcfjLPK7v/97v/Mfn5YNIbASVCBidodErtcJBbZr1Fx9FoYmBc05AJOYfuzRj770H/7+h//3 - H4pMi9l83I5GTbM+mZho7odxO7rdN3SPcs5mFmNMKZnZbDabz+cADh04ODtxvA38Lx78oL9769/+ - 9q//WkvMYokpBmYsx8Qyhz3P7rhDrAa639Kcpt04hq7kbhg++elP/8l//xMFiLhIAQBaLrYKcb9b - 2jUKjk4uRUxEmhTVUIDf/f3f25rPwHTLe3730bn1x8g555xzzjnnnHPOOeecc84555xzzjnnnHPO - Oeecc84555yrkyLbtgUwDEPOWURSSjf/E6qqIDKqMyIVll/9ypdYt6XdtAw5BAwDUkNDQYyxTiI1 - sFIQ4jqzLgB5vhVl8aLzn4Oh44BaMH5X1PetFUKIMZpZnSMcQuj7fu+7wTn3VcUjKJxzzjnn7iAp - JRFRVYDMIEMeFr1mJBlt4PDZdK9f+JGnfPe9HjSebmygtQGoOcfLMEsFsIxT3HMJGgWW8d5KUCbj - ZT5iaBHXkNvZ57auev6rn/WOqy7+Yv9ZXkdoSDkPusjaKw0hUAihpubSMpyZanymkvIqc3Mn8NHI - akkXQ41QrNViAlsA9pamWbN+eVcGdo3NFqo7h4UhTEosiIJoiKxMRsuXaEYooAwqSioEYSjTEIoF - Y+bEYU83xMvXtXp1rADqDq2loereqDtcd1Kr9xNWBEUkq0G3hSGGYIjXb14n6IWKUiEyZroN6osZ - dpLa2ZiMDCxs12x9ruMZEbEQQYGdtMhVvjtomUi5Sm4GGIi0x9x3dytorblWd3IN6xXWL1z3WUu9 - sIJBBKul2aB7SqM3OiU9Oeiqxp5xsWJcKAJBb9g6gihEpIrlybDrfADIaHk+KNXkb4Ltx/OBDcyR - DaxGxUY22sDhw3bvxz7s33zLWd8dp+shtwm8tdUX5LU1rn/Z02VzBcMpJbGWieq2fOnG2aiIWjOh - QYZSNHBSgZGhGWRj8aFr3v2C1z/9xNqRzXiEDqhCcy71qAF1zy/7DgMrsSEaYt2NZAgGttqC3Wq3 - tP9vLo1cAdUa/oqa28oAq0KGkhDGoxERig0ai4z6o/GLf//xN7/szS+Y8vVd2M5kzGjbuMpqXa7K - CPWBcFEqRoAxGdhAq9J9N0rApXpCdu24O3Dfs7/jpx/xhHvEr2u7jQN8IE+7YLX/UCOtx6Emhe9h - 53w1qJnOACmZBV1eyUaoO5OUAhI0hBC2FieEhj3dn9TLHNCdM8V2rgLwqragAGV7sQVjo2W2804f - UZdVv1ybj+UGMIB9lt6tAEVTKtC6M42iduHEZ7ev+vPXPmc6OmoH0KUhN5hlWIvMWakwCQckDg1T - ZEQgAdpDOkTC+jpPJvVWCXGdj3bX2RmLz/dXvvxtLzj/Tc/8p+vftzi0PWtO2JoM1nd5iMRtTGQo - pRPJCobFuiixIgIRiIZYb6N2al8qWAlGxbgYCUiUilKpR3zVapES1YTxnZuf8SQVzmWUj4ejs7Wj - f/aq/3blsctxMF+/de3agTalcHxzMZ6kXk14eWsHUjYlFFBRgiEyh35RNkLIm3kynPEvH/HEM8PX - rtvhlsaBIiOULBCEEAjhTjzEe2KEYgoQqSVpNvKZD7zXg773vg+JsxQ0wU52tmyrVg588vnVHiaD - iMUIZhRgIO1Dh3X53OaVb//gxev3bAt3qtlUI3HOOaW07F+NSSNbJON6u0zGbBH1pstqN3Hyt5DV - 600JAjKQmBUAgVK0JGIWSdblRDr25ve87pheM/CcogZQKUIBcrJ1UKCACrATHA4YyJiMCcqmIARD - 6lPbrT3ukU9Yy2fybHR4ctZ0Oo0NCavUorjGtXlXUl21S+6urmma+qDve1WtH2e+8pWvfPZznh/j - smdIKYRAAPb+CSkA1PfjqmpmbdvWBwCYuZQCoH4dhuG2eUnOOeecc84555xzzjnnnHPOOeecc+5U - ZnbmmWcCuCMioE+X2nJsyjnnnMPMREREInLnbtXtJ6U0nU4VltqWAivTr/3GU5/93Of2pgIoQW05 - 3PPOiY42wOp0FEgWAjZG4//0+7//ipe+5ODapE0phUhmDJzYPD50fdu2RLQPhwBNJhMi6vt+GAYi - atu2aZpAvJjOGDRqWhPZWFv7d7/+1FdfcMEZBw6qmhaFKRMTUM/AEO4yQyVvkhG2Z7OQYjseP/lX - nhJCBFBMiRn7auDv/tM0sYh1ubRtY8AnP3v1c5//vKGUO3u7nHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnnHPOfXUysxqY3fd90zR1hmPO+ea+v85CNSOARBQhwMriyNUXvPJl55x5EDKkiJSg - CjPszFg1gq7CSghgk3POPPjG17zqhk9dCYhpqdtgpnuN3N09NbiWo68x5M65u7O7W/SUc84559wd - RElrkpzSKo0SyKWQceTUxHbcjpvYkgUgkKYypQN69s887F9/37k/sHHirINyiIyFIaEYlRplp6TC - qrwMdr2RncDXHVyzSwFAjUoJpbBqICNAgIwyQ54jJJTxfDrZ/Jv3vvxFl5x/5fGPnqBNOiBxLIRF - kJ4l21CACGuEGqEoxEpQUoYQSkAJVkIN3DVbJgEDAMECLMIaWFote7sFJdsJG+f6hllYjVBYV4vl - oEPUIWoJStCgBmMFGcHILCyMF4QhwiJaobSAnaDFNEyH1Al9JVF/vFoq1RpezqqsyjXFfD9FCRrD - IhuxMRuolhWzKMSfu+YzGQuhHiyIBpgqTqO4Vs3sXCXmrtKgCVBjkDFlyl/cvnpbj6kKGbMpQxjG - ULYaf768YmpQpRIbErRdLne79NzbVzAEJbJIFmosrrIay9XXXVW4y6bFYMQqIBasglpvPd0VL1oz - YAGuEZsCgKGxXHP06hwWFgxqhBufD2RGZkZWA32VatZs2qfnQ5YIYuZiOp3OG1o7K97r65oH/KuH - PflbDj9Ij4+STdYm0GJaNBpwMhaXlVh3xWvX/1dzu0EFVMBa6rNBFRJDaHnEwmXAwLNNvuaT/Qef - fsHvfaq7fNbcgMYiYlNiW2LUwEZCLMTCy/zXUJdVHrMuA7D39nIVrCdDlIGdhNfdy04zbqyISiwE - qY0kKUODYmRxJKkRaJ8XZW4Ty+vD5/Xqv37PSy74wCuON9cdG25o27Qeo86gC2VjkAqrBJWw7PuU - tUTNSYW1ptJG4SgIdZ/uxKIbszEroowOyTkP/PqH/vxjnnLQzqFpWqO1+fGttTYRD6DeuNYy43qB - sIW7W3p3bc+pXokwsnqG1oVIE0uLkghpa/uoUoe993dkNav7S8+9ZZ69Wr9YbGfsJLJzjVHftWDZ - 19POtu1LVITnFhbBFKKwjNgd6T5z6cf+9li8djudiGuYzTH0WFtHp9BIYDEqplmlSDZksKAVmiAc - akYph9n12m9iQpMRJnmrnDmZzLaObg7X9mdu/fPs3c+65L895x/+5D0n3nH9+Jp+Yx5GKpp1kMbC - iBIbG2KhVBdDMITaTu/sWAIIStBgGkx5eZtXbyEiW1SCkij3yn0JfQm5sBbmTKlwYDbhfgvHjsYj - n7Or/tOL/59Pzq7QQ13mIcYQjKlgfZ2ni6yMTCg1vZsH8AJQGAtBCCqgQuPS3pPu+cjveNz9z3rw - AT4cFWzMRhCzYoE5UCSlgMA38bfO/XlikBF6LVJ0jTbWukOP/LbHfMvZD2xKAhjWGLhGVCtrvfXd - fTOMeq8FQMAgFYRl3ynW9GXUX/rht1519Iqwpl2esSFxGoaBQoARa4wSmpKiBDJSUiM1JFjtWNPu - O9tadpVqPw5jU0bh1ZMsIWggIm31Ov3COz558Qe++G4cLNPFbJISZUNGaJBRG2pVHpSLMUBgrV1c - hDZC0QhGq9zuwhty6Ecf8pPfdNa3tnktyUiLdV2X2garPqU2yEpa7xr32n+5/eHG1+YwDDFGiqkv - YhRiM7rwta972tN+PzDlwWAMQyliZjHyabxPMbPRaBRjrB/u9n1vZrVELxHVz3pjjDnnpmlu4aNf - 55xzzjnnnHPOOeecc84555xzzjl3eurc+PX19Tt7Q24VBc4666xaVqCUcuekVp+W3fNKds3suFk5 - l4MHD5lCgT7nJ/7Cz7/45X8pREpIo5RlOVg4pCgid3R0tAG11AJAigR8+zff75KL3vb4xz12Pp0y - yHLWUlKITUwba+spRDJokXE7ujWv/Y5UByzVxG5mZub65HjUtiHMt7YS0TCfj1N6+L/4/ne/8x0P - e/BDDk3WCIiMGGKtV6H7L0Le6OSy283t/2Y0AoeXvvxl7//ghzop9Vtq43DjNS+PvwOAIZcQyIB5 - P9TR18967vM2Txy/9QNk9+FQWuecc84555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc/sWM+ec - Sylt23Zdp6pmdgvzbQnGzKCdpAODZVD5q5e+8Pj117QBZAgBgyAEiNTwC9VdWQMMBCvTY9e+7C/O - B0tgWyaB82kmxYhILTi/ihU32X/zNJ1zd6S7V+6Uc84559ydqBYqappGRBaLhYgREYylN1gctRvU - Nwf1nMc+9Ik/9K0/sTE9a9JvNIXJloGjNc/2NHLplundpEpFgxSIGkwxYowDmoBhkIH6RbMtZ8w/ - fPwDz3v9My76yOuvXlw1jZtDWlgS5pN1c2q2qxFsuWJmA5vy6t8EkNFqWUVug2BcI2JvMnf8Fhjt - isdexaYaaX1FxsWoKGflbJSVl+GAyxhaBAUpQVlAPWJvcVbidDse26TjJ2i+YOhe7ojrTlh9PSUd - sia1n7KLagbhPsMnIw9rDSsWVuFy7ebnMy2Us4XlXzqKAUR7POVu9HprqiIAmFk9fBlls792ZscH - 6sA16J2DUlCuwaFkytBVYrHCGBYMAZaA4G9hbkO8E9aqARYNJGxKRbi/4cQXhDoxNQRQUhARDDsB - zXtQo9x59aP10qi1xtRQdLjuxDUdpsIZQdjq+bk8H2pmcL2Ia76jAQren+cDASmEnDPFoEbjyboV - WxztxuXAPcLXP+FRT/7eb/pB2WzHut4YyhwNx/qDxlpDUJXYaJnkXa8XtlUsNkEJzQjzBShgPElW - 8tAvWKhtgxhsjK322BH77PmvffZlV14ytNsZcwKCpKB1bcssZJAylGoWLwBACIVRWE+ruhmvWmY6 - 9Sud+n/ZiI3YwKt81hJMo6IRDgMFC4wwWJ9Hi/na1vuv/8fnv+VPL7v6ks10XT9ajA6Otrb60ulZ - Bw6GQgStWbNKy4pstaepQb9GAGlYxTwDUIIwhFUBMo6S2rw26Q4+8Ose9FMP+9m1fDh14xYTybK+ - PullDsrEQmYA6kr41Ab/7kBPqdu4TBCmZeMMMiZtYS0kEtGs31Lubc8FDhVYFdWzXbcHq57EDEql - k1mhXPvToEwWg0a2GLRm9/Lq15oRlicGTq5431C1HpRR/yJvuePZF7c/+a6PXDKPm5lLlzFKWGuD - FCihVysEZSjDAALBiCSYBi3WzTJLOLg+WR+PS1+kL03T9GXRrIW0bnM9MYubs/boB4+860Vve9ZL - Ln7OB667dLpxfd6YdnEbQSIT1U8ESGowMwCg9sj1amI2Dsp1J598fnk9EyzAAtuyETZSkBiJkQqr - EoSlp3kfj3drm+/59MXPv/C/zyabMlls9/OYTEWGoSMiEY0RsUFWGCA1LZwhJ8Oq0VBqcxu31n7o - gT/xkPs9YpIPj21kg1pRUwqcYoyBk5nd1Uqj2mAlrbUUk8wlde3Xje77Q9/5Y5PuYFNa0gDjndxs - q+nahJ1bzWrZvQpLRuQmAGZY9KpNvxU3X/32v5mH47xWNA4UNDZpGAoQWUPUGJWDcjCuHSssQhMQ - jFgJWHW+BGXToAiGoBSsnhWIHNigxYpqafKiPXHV5off/N7Xlo3+uvn2+sFUusxi45ik1G1W5SL1 - HCKgvkOxBlaT4yHLaxypYDysfdu53/V993vouGxEHbXtqO/7pmlEjCyyBrb6+djyXnGvby7cvhVC - qFnahw4dYuaLLrroN3/zt0KAiAEoRVMKAFRRitpptfJ935dSRKTeiw7D0DRNTe8ej8d93wNIKeWc - U0q34UtzzjnnnHPOOeecc84555xzzjnnnHMAmDmEMB6PGQgU6jN39kbdBCYOHABsbGyYGQBVjTHe - 2dt1e0kpbW5uhiYV1Z9+3GPf+Ja/VUIRM8KQMwhxlEAkpcQYb/eSBLvHI68e8urrj//YY173mtfe - 57zzxu1oktoUmAyBWHJZzOYxxr7v6+igUsrtu51717btTokHEamjlcbjce6HJqZAXAPIh66bbU/P - PvPMCy949RN/7uc2RmMRVSkB1ISvhpNQgS9c88Xf+ve/HSJZnVywX5uC/SY2CYABTZMUuGHz+NOf - /cw9TfDYd7ObnHPOOeecc84555xzzjnnnHPOOeecc84555xzzjnnnHPO7Veq2rZtjFFVR6NRnQlo - N18g3mw1W5ADxYiSAytKNz167VvfcOEoBSsym0ktAL87V0JPhi9ItHzx377x2Oc+iTJAJABEMFUY - TmOSnJnVCcJ12qmqhhD2uhLn3FcTn9LsnHPOOXe7UmCZybqMQy6FiGJoTMmUABgTBS4gQUIer+s9 - HvpNj/o3P/hv79nfezI7EBZIEdwgG8TATDAEYgZBIVLfeVIIO5nWp2RjsyEqoqJGgCtMGAomYiuR - SiSNIbCRSszzsDVfO3Z041Ovufyl57/pGe/85KXTNMuNdjZYMJGs0sP6SGDU1NeWeI2RWJmEeLkg - GAcEtprqDUBBtgwd3OPuM7CChVjBBtaTMaIW2AIpIRP1RD3znMOceJBQJJgGUwCUCCOz1pSFsjXb - eXTN5/t/etM/vfIZ/+O/HqdeRmmvaeg1wHInEXa1z7UoNMASSs2ODbAAMat/NSCi+g7cVs/cOUhJ - ZZwiCweM8wAFCRdJ3Uc+9c9hVCgogJJVFSFA9pzDeupvW/40G6BqkVGKUjQdyfuv/MeSuhKzgprQ - aiEoJUqWJRiaFBiFUGyZzms4mRnqb2FuMwQERRTW3oI1oEABJXafu/bK6XAMUZVAlEyZEBQAY6/X - y0m7TyVjIiJFYPR5+PCnPyjjfkbb1sCYSJktkjGEGg5tiKwCFFABLWOD9+35QKbMLAolzioAmsRB - bJQnZ4evfcyDH/+93/CIZvuMdTljVBCKNDGIFoVSJCUVGDEbB1iERSCe3G8EACWDI5shDx1zHkWD - iRVTgjGGgEWcbdK1r33nX/3121961K7tLRM34MYEpJKCNaSsmVUYSmTGlllL0CGZRt778eWTx8L4 - 1OJ4y6OjMCMGE4VgFMXYREk1SElSkqC1EHKMSL2JbeB4c8Or3vOyF1z8x1eV929PjueRlqDdUEZp - FNH2sz5EAhXjkym2BEQFG1TBDGYwlFESB46NcugL0jj1CmJpKcbF6EA+5we+9cd+6vt/dmNxRtut - tzo2AyVe2BypFCoCoVW8/aopu7sVK1v22rvuKwyUCUJQEQs8hrZMo1LK9vT6kApwulUODVbj6kkB - NaiIxIissIgjR6/JobPWigyJUwBrFiqWkBJStFDTu42w79K6dyODSW0iOMWec2m6N7zjQj6US+yN - EQEMUfvE0hBADAkoDGVogAbSQBpMSSmAkxmXQbqsvcXB4lC4HxpbkIhaAhqlBmpxsWiufe+Rt77w - 0j965sX/+R03vGX70PXdZHsomRUJA8ssohsFaShT6bn0yRRqUIMSGZNFtsjWkJKSCUTMFAwwUYI1 - JA1JwyWyMCtFQsMWqRg6W+8+eeKKv/qH51/wrhdt8hfyaCZsRNAsbUAKVExB0cCSkRhmMEMhICED - whpCoIKmyGgYP/wBP/2/PuBxh3BPniMKk4ERGMEEUFJVMwuRDKL1Wj3ZX2DfdRWAkhpnDbkXzQYi - ayzF6eQBZz7wxx/8uLXFoTBQE5MYisEYxZax9CfTu1d3WUGZhFpubEDNWW8iCoZ+1H302svf/uG3 - TsMNpZ0OPEcg1hAkNZjEwlTQcAggyQZLqEHsy5tbNVKCgIRVSDSCEmI9HyKNgjWWlQypaXLMw3j+ - ieNXvPrSl2+n66eYtusY+hyIEwcUYVtWhVRWZQhDARiCRpYAJcAyDWgQmlg6HNDD9x5/07/6gScc - GM60BROFznpLZExkHCSxxqABAMiMzEjvfu3zXd7O+yMza5om5ywiRDSICqhJo/mse+973/cbv/Gb - ItBdhzdnuTVvpOr77vq1JnDvfA5af2N9YGb1e+ozANq2rQ88t9s555xzzjnnnHPOOeecc84555xz - 7vZARCLStu3aZE1McOq8+v2DiERllJoYYx3lEkK43SOr7wCiiQMzD8OQ2iZLUVhIsRv6jQOHjp/Y - fvSP/Og/vud9YiAmEGDLgZmlyzDDqjDB7Y4U0JC4FluIxAS0HH79V/6vP/vTZ5x9xuEEZtjQdwwK - xFALIYQQcs515E8doXSjmTV3ulIKMzNzHblUp5aUUkKkMnQpkJQBapHDqElQJZP/8Dv//gXnn7/W - tAGITCKlCZFWA5zqSurK79xSETcaw7eccUNUh2mFEOqZlqUYEQX+rf/wO4ssQzEAyyFhTKvh6Hd3 - dvPjoftuAAGErs8gcKAXvPCFNxw7mqVQYN31cztTlujkSHjnnHPOOeecc84555xzzjnnnHPOOeec - c84555xzzjnnnHNuD5Y53LseYFeR+d3P13hs7ExEVbVSAIWCAEj/4hc8N9lguduYBM0QQQhUq9Nz - WIavxQDLXYP8gmc/PSZehk5QnYeoxLc0A3H39tQZl0RUZ9TufE9NDcs53yY7xzl3F+WTmZ1zzjnn - bnN7u8WigKwiYoTEGEfbOJzO/YaD93/Kj//atx34zsPlzHbRDNuIEaNRKGJmJINqthB41MQYuRTr - upuOrCMg1OTRmp8MoBYhAu8sWiOxSZUgXKZhG2f1n+uvesUlf3H+G5/9gWv+cb5xoptMsSGYGEcW - zaWoFkDJipWsAIc4SqkNIQUkGPPJMlo1Tq+AZLnsLV1Pa8mkWjWJjQEmYzIqvVI2FqovkNWoKLQY - 6WB5kJKJsllvJadBN4bF+Nhnti9/w3tf8eevf8ZbP3DhFt3QbIzm/em8Jead3O5VwKES0oiKos8g - RowwgwhoF9sV430av/Q2waaiXbeYhpCGXtvROo9SH7Y+/On3DbxduFM2GNgCgY1AtAwM3gNSALtC - 1lFjJkOEiLQxmVlvs49f/ZG+mXY84yZtz/qmHZtSHsra2kYZcjebAgYSQGpgs5KsKmd5OuNtSnTc - jlJqFqVDg5lNc5x+4GOXSehsGbtMACtBTzO3W9mgdDITWgEjqKoZtGDtwHhuW5d/5gPxDDs23DCo - xGYSOKkgUDDB0PWQwjCCALJKc9+n54OhRm6jNn1KBaQEbRBl2w7jnP/zkb/4sG/9EbthdEY8iwYu - swxDZCLTXCBmxbSUYmAlXubm6rJmFhtqBG19zKiXpypgQDGYISSkDZ3Gzcs+dclzX//MDx/9p6N8 - bVmbl/GgsfR91/d9E2JgA0mxLFYUYoARy56vduwqkbY7wJt3EDMzK2nW3A8L4hLZEoeE0FATqQHQ - Wa9rw2K8vTW54bJPX/L81z3z4o++abpxdDpalLhs/w1sREomoRgVYWDVzpCBFEERFC0TFCo1A1gH - GbqhH4qM1put7TxpQz+1dlg7O5z7Ew/5l4/5vp9e6w5M+rU2p6BsZMJFSIWgRDBmI7aaEKxA2W8n - 2x1CjVTBClp1xAqUUsrBA2d0/dDnIYxiGPNnvnDVot/eSUregy856QhoAqdAWRATFhlb/fZUjp/o - r+NogwxmOmraUTM2QekHk1pRjgAYqZHVhOOv/MXfttgoEbOxEWdoH/LVm1fPZKu3Wb2GSGPQFDQA - q3sMwFZtZm3xlGAEWS5qpBJUGBKgDCOAakU+MBRUQIOEQddyXptece37XvTm577gDc9+/xffdWLj - hn5jS1KHKNkWi2G76JASx8iqpYmcAkXiepsYlk0QB3CkFCmysRmpGCwwpRRHxkEICKXQfFZu6HCt - Tjb//vI3/eVFL7zsE38nh6bYyNN+KMVaRlBwza6mIgQD198yjtEKYkJfQAkArM9rGLezg4/67p/+ - F9/2qLQ4wDmsj8akzBbu4vUZVVmEa+w0jJgsxtK2w8b3nPfQhz7gEaNhQj0REoGaZuduU2tBxt13 - WWRg46AclIPEoMwGI2QeFs3Wxf/8lk9sXrEVb9gs11KjCAxh6cqoadsmLmZTVV1fO2TLvr72sMVW - d+xsOmlHDDIBhK3Q0OuQDeDERLHMeHuTjl49fOLCt//ldcPVc2zXfHEAO4naLDHo8tMyJcjqfCbj - YGDSdhRCQt9rWegaDh6Ucx/78CeuLQ63w0bQpKTCKixGIA1RQ5JQ60gqoPvvYne3hpnFGOunldvb - 26PRaDKZDMMwmUzatt3e3j5y5MgTn/jEzc3tlMKtyer+0vWvra3Zrp+sdZOZmYiGYSAiVe37nm/x - c1bnnHPOOeecc84555xzzjnnnHPOOXfbUtU6kH40GgEgkJ3G6JDbX92qtm1ruPKdPgXgttK27fHt - LRHZOHhge3t7/dBBCjxfdGk8ueH45hN+/onv/+A/9yohBTPDacwjuE0YYkoAJJcUIgNmemA8+a9/ - +Ie/9RtPXRtPZlvbRAS1lFIgLqWwnZwpc1e0s/H1wXJkIKBFyOyHH/XoV/7lX55z1tmkxoBIAaCq - AMxMRJqm2Zb7UwkAACAASURBVHlmX6mh3XWoWB24NRqNwHTpO9/xhje+JcZAgRQIMYL24/bvO6c2 - QWYwMzP602c9a7K+PlssmNkItVLJzUW5+4A555xzzjnnnHPOOeecc84555xzzjnnnHPOOeecc845 - 55xzX7mUEjPXuYHMXEoJIeya62kwXQVnACVvXnfkLW98/ThxAEy1bZGztG3qum4YMBqBFMGQUN70 - 2gu2rj9S5ts3nuRqcnMbUxPBYox1e3aK0qtqLUQ/DEP9v2aWUrrtd4dz7q4j3tkb4Jxzzjn31aam - q56acVvDjBk79XTqdwJCimgqytIEjqqQLAjhYHtWm+PPPfwXX3/Z37zv6svaQ4upTvtOVLHWjARF - VSGWpagCjLYl1d0Fh06pqUKGoMu3pGRsYCWUoGIgW2Ytk3ESgFSBaV6MDsj4IH/4xLs+/rYPfOu9 - v/N7vvn7v/3eD2x0LWF9FDbWUkvGmksufTMa9WWQrgMshBBCILMsJUSiZW6fKQGoIdnMRrc+bVEJ - QDECGdcdG7TuRmqIyIxAJmJmZkIGC9aZNOOJgrth0SROE7th+MLVR69814ff9tnrP35s+wiNuT13 - PNOe8nCwaXXo9358AQC2OsoEI3SDtS1A6Lv/n707j5bsqO8E//39IuLe3N5Su5YS2oUkEBI7AiRA - GGzAyDaLAbvtc7q9nnb3tNt2t8+cOafnr5mx2263x9O28QDtXWqMjXF7jJCQLCGENhAS2lUSWqtU - +6u3ZOZdIuL3mz8i871XRQEqoaUE8Tk6paxX+TJvxo0b92ZmxO+LpoY1KJx1KFUJ01pdq58aHNMz - PpdIuiWToq3abn8wlmqlXawHh26+94uVWWpZVcGwpA6IxK0COMY6VqwQAhRKIMg06hXM7BuxzogE - MXHXoSd27L/vVZsvXlqm+Q2bR8ujgo1ht7S43Ot3lEKLFil5FDx5sOx5YIwZjpbFlcWMW/aLtV3y - bvGhnXdH105ykaFQBnHKxqVj7Q8ASCAWECiEU+aoGGNiEA1QgxVZvu2hr5x+4nmbN5zSjgShtcrO - ORWKvjWGbVE22kz6A3EatgDocdYpFBzJpSxRRgQgJJEUgCUthEudP3RQ3vPqD552wul/d/0nm1Cb - nucSw6apA3oDtmVRN0FEoCmt1wAMAjRMj8RJxi0BpJMQXyFhJgNQUBFI2aLHS+Zgrf6Prv8/Lzrz - DZdcdNmpG88umn6HeyU6oWnZgBCJIrEhcqqWQ6FRmAkIx/rCBYy1sw5DEbyoqpIwMwyzYYYhwFeL - pbGMUqIN0ahFtKF1VeUWdi4/fN0t//SNJ76KWWlm65W2LvqkXllBYoUQOIKCsChPGoNTnLCwERAF - owheAViwZQOGqoCisVTXbemoOhRP7G3f0Gz56Lt/5pzNFxSHel3fM9FFRiRJmbVKIFhSZiUSy8og - AQJo9XPYH6CSZekIU4IqK0VSAZiBsiwOLOwvy77rFAv1ntBbWagO2B55+rafVn83TMKYnC8EJCoR - ChiQRR3rR/bc/4aXbSUf0bJvQmhDaQpDBqYAaVCNx318Lykb7ahSAHtq2iLsePyRcRyrASmMgiaX - RpFVFLL6xQLp2p9Yl9k8TUde+4mVlOIMJcg0aZ4ULtp26J3hYsY8sPD1+7545zmnn3fxyy+5YNOb - 5t1mV7roRSWQYVKOGuErVSJlmnKqYIrBEZEQoKqiCiWCMi2Pq958RzQs1gudmWiK5u77vnbtbV/Y - HfdVnaGfqdoQ2GCmT9oyvDJECMGkAzmQsgkFAdGHTmmqJhoH36BD2NSda/fQuy762CVnv3dbb3t9 - qDFWoRIaLYpO1HhEbPP0NQuO+eLlRSAEUAABCoFNX+nYaLbSKe96xeU79z66Y+W+UAw9iTTKEWzT - bmUAUAiBMbmMJxVoqrHIgAVaJQybUb/fX6wP/PUXP/Wz7/2l87a+evngoR5t6Ni+b+PKeMmWXA5K - 78NoeVzYUimAIkhAERQAAYHUDpdXnOlY6wAWUOEKtYhSB2miNHVPFmTvf73itw/RznIr1R6i00tB - AkigMFKwIpp1V5WK9F6AERWhrsU4dAxs1d1gTv7IO37+7PnXdg71bCy9ESWJ7AEYYSPWCJPyNPJ8 - mgL+YuzB7HtRFHZlZWl+fj6EEEIQkar1rtNdPLTc6/WWh8MPf+QjVe0V8EGexeHMzKPRyBgTY/Te - F0URY1TV1equ6UZZlqoaQrA2f0WSZVmWZVmWZVmWZVmWZVmWZVmWZVmWZVn2QlBVIhbVwWCwb2GB - iKLIcTgBSFQscb/fZ2YJyi/90O6k9u3MzIy1dnl5udPrLiwsFEXhep2l0fDnfumXb7zlZgEAan0k - w1jdNS/4hLzQegDGUIjBAIOy86ef/NRbLn7j7GBm+dBit9cpnB2t1EVRhBCYD1u5852nl6fZmMfb - FPRvp7QuqDSj4Tvfduln//YzH/jQB5eWVurgRdfKRpRlWVXVanmLF8tRZ1cTUYyRyQBgZrZ2OB6b - TvG7//X3IqBRRZWJQwggg6PNFHuROuDxJL341R5LBF1rDxFE6F9d8df/9lf+9aZNmzStWWJCGmy/ - pbf/AE2Cz7Isy7Isy7Isy7Isy7Isy7Isy7Isy7Isy7Isy7Isy7Isy7Isy7Isy543qtMFbcwiUhSF - 9z6tfAQYmhY8rl/2KGiqK//8k+9493tjRNd1ISCitg29QTcqmlpZohEtKPzZJ/4Y9Tg9iBIikAKK - VL/tcsO0PSFMonbKsgwhpNL06UZRFCKSytF773N6d5b9IMu5FFmWZVmWZc+5SUS3POMgyRRmTUQK - jVEQYYQLGWwpTv7ZH/mlk+982efv/EdxNnRCNLEZ1kTKzCAyBLB8p2JQOnlTyqlsyzQaU1LWIwkD - pEyakiNZCLYgL7GObYvWDtj0cd/CHfdfd9+ZW85/64XvvOj014e6WVzSGTvTtz0DrPgVW7iiU6gS - oqgowI6ciIAkhcuyplRUAAI9tregkyxVhRAbAUAsTACENf2rEgBlBpOYaIxdCSu+gNvAh+p99++4 - 8/ZHbnz0wAPaGbU0xIxXw01smhg4ZWofYy0fAgDRaTq70iS62zg0DZjQLWGKwnuNFaE1pCwiqfJN - ertORKr6YlUZi/Ahht7MzHK9uCJDtyV++a6rH9l3dzNoA6AChoXayccOzyoxmxWROSWwkkJSyiQp - EeAjE6mBt811d3xh49tP3Nw9bSgrrmtaH5xx3X63qkbdfoGURpkSLSkAlrQF5XpBzy1RVVgqZ+3u - lX3UizQbP3vVlUthXyglHbmAEIR18oHUMaV3H3ZPZSWZHLPEJEoKBlet14J37HvghruveddrLu93 - t0mlhTrAMsgWFiRNU6FY2+bUH6DHnDD9/GMBAJ5k7pIwRCBKXPsw6MwMF6v5mflhzedsfuUv/MS/ - /6t/+sSB6olRteic6/RMHZpRU4PhnIMXgKfjiwVAIukB0yHJCp0cYxBGjFpYKhkqiDEEhu9C7DLP - hNt3X3fv019/47mXvP3CH97Q26LLtLG/sW0DKwMMIVVRaaGTIOBje8Wrp7lJ6vDkT2tZoIBERNEg - QVQVGmd6HY0x+DaaYHpFLP1iOLC/3fWlr1/9jSduH9JBsy0eHA+jR2/g6sqXACtDWQjRBCWR1YRw - BSmTWFKkYHgQHIwSE1FUjTFGCBgmokdlGOOEYsvLeuf85Ht++qTi1H4zJ2NYdqsvREmUVIhZidRO - XxEAAWk6byrMD075N9ZJ/LPCCgkpmJiVIsF7350rpfUVj9ty5cGn7tq3sqfm8CzbhgCV1XJwBEC9 - iNrSNk3odYwKbrv3xovOuuhQ1cyajUW3pKAaVKIXEKdz+eHV5UiPv5OFMqkRVTUUCepo596dQT1b - Aw3Tc20KTp4c5ulF8eHXkmvXHmAFBAKFEozCRBiF0FqeMQAoRFB0C0Rd8QvosJtxDyx97YHrvvHy - 7m0Xnf6GV5134Ya5jfBgz1at6xYSjFFrYQxYlSCqUcVrFCHDZA0ZMCNQrLUJFHgey7woRT3kPbc/ - dMcd935l98EntOsP0mI56ChpaOAUoVZtY2lNVFaITl+LUSFlVoJCWxRMiNQxZGuOS/ZH3/ihH7rw - J81o0C40BRvV2LaByRHR98PBqLwubR0MsFoaaycMPvrun/uTf/z9p/3jaiU2ba9XNNLyZLeyEmj6 - dREpGILUD1Z7j8IVFNmbgexf2vOZ6/7yX7ynd1Ln7I70hivtbH+G1dXtGOzYGorGWutjk3K7NeV2 - I72J4MGgH1r13gtIjLLjwFLJ0mAeIxnfs/Pev7nuyrp3ULhaaSpVGGYAShIJojACFoJCeK1bqvLk - IKXgLPkxjKBLMz3d8hOX/vT5J7yeDnVs6DBApEoxvUxSMx0oBFAhEQKUX6QL6ux7EmMcDAZVVRFR - URTGGFVUVTU/P//UU0/9zM/+7L59C1CUpWsa/6yfJX2HWpZl0zTpJ9baGKOIiAgRiYgxJud2Z1mW - ZVmWZVmWZVmWZVmWZVmWZVmWZVmWvWDSXHqJ0uv11n56eBjtcYKIut1uus18/E1KfFaYOaq0ddXp - dYNIp9eNMY6q6lf+7b+5/ks3RKgC3V5vOB6pCFmr4UWbrZ3ChxmY7w/+5OMfv+ztl3aKshqOOp2O - NTwajYjIWts0zfq981LJ5D5CmvjHirR2IiGFb1tXFGAzWhmef+65N97wpfe9730PP/EEQETU6/VG - o1FVVQBEZP1EqeMEEXnvHRNb04ZAhju97j9eddWXvnzz3NzM0tIKADKMIJP1NdkzcUR6N7A0Gl/x - 6f/xm7/5m+OVoSG21kI0xmjWLb1Jq7qyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuy - LMuyLMuy7LninBMRAHVdAzDGxLi6/E1oNShtQp+8+647br3p1W9+h5CMW2VrLLP3qkSOYAxRqK69 - 6h8XHtsBBGYAnB4/oW+fpnPEKsXV5ZaqmqrQxxiNMemHqzeyLPvBlKMpsizLsizLnmOrZU1Y+fD0 - 7nQ7JdUJAFEmIAYQASZGgJXZWMCoenYFEfsD8iOv+vDZJ17w2Rv/5r7Fb1C36bqeUlTVKAHMznBE - jF7psDd3k+dSQlyNw5xk+6W/ACnzFemHbCSld0MkSgApDBOJGfuKTFtulB3tnQ/ccOfJX3/Zm19x - 6etOu7jDJ4zGrbFFpMZzUytRBEvKejSIRJNE8RThvJq0d6yFq2T65ndd6wEKFhVlBSsMK4dIqvCC - CIPoqqeGj955760PPXXHvmrXyI3jbBiPRoOO63DZhiaydHuWRZsmmtUs3GMmSrwWFAqUDoiQMWIQ - K0VJvVJ6lgsRUVYiSkHYRBRjpBfjzbgAbWiDeu8DD0CmvuXhm67+6mfDYOwNIoHBLCCBMkWB8rFF - d7OCIDqN753E+iorSYSWJftWmNkLog33Pn3nlntPev+bP9os1xu6GwS6MKw2DeYHszNLK4uuY6EW - FDCJL5XD+1L23FBDrTYL46Vym1sOC1+547rbdtyoM8M4/RCLEDi1eToQj6ko1jT6nZWVIBChdLhJ - 8KEkhlgQUQ+Hqv033nutNcUPvfZylg60p1JoK05c6RzbUqbRtqSTUN40eh1nNbpSrDigzGoAEQgY - ADOVEk23242h7hXG8CzTGf/yR371n2759I7d3/ChVYlV0xQdFL3y0KGm1wHAILsWia2pPWX6TFbB - AhESQKyFimoAEYhIoR7w4mu/VBZoafTFh//2a0/c+PpzLn792W8NxfYizHRktqs9AkfxQMtFS9ZI - a3BsmceCI3cDA/AxMAMmFSuMSkoQIjusidmi18RieFD2fXP/A7fv+Mq9T94zopUxqsDiApc9IyJh - 7EuBQwFASFK0duTJyYsEUJBwemqhybhArKoSAwcVIi7YMSl7Q2MzT5ve9PJ3vPv1lw/a+W4z67TD - pVGvQqIUdJozz2IAhk4juikCIiQ6TcP9gWIUSoiAgpVSkDdDQUZH7bJyQ30Zx4Ofu/FvhsW4Ujg+ - 5iZaf3dSgMAKVWUDgKPAE5T9jp3fuP2BL1388suaduTrxsGRI2YDryKg1Y6hTMdxYTld/TifhJmW - VhaVAMOpeSmNHljL7TaydnBNr94mBSZlEnsMM80sZ4EVIRUiRJ4EYysBhBgDA9YxCo0x+uCZ0ZmT - b47vevzBh6559O9P2rL95aecc8ZJZ28dnNjDwEnH+qLxHi1TZEfWojAFsUHU0IZWRdWJOB9dG+3o - QLv/oZ1337Xjq0/u++ZQVlBCtmojlevzgeXaMDodE3xkRVFQiBFkIzEQAEx3HQAmkIVxxH5U921p - q8GH3/rzrz397f1mztfBETmmJkRD1nbL4MP0wDw82/xo0lnjODt82QiAyR4USmc0IjCFdlN3o0Px - 05f90iev+l32wWthhIK0Me1+tUiZ7BSmsd8ChPQZ7+SimWEVZGSlavsz5b52zx/9ze//wuX/C89h - ZuOGg0tDQ+zKsgnBEvc6Zds2xALI4dfbFjBVHTSKLZwrTa3VioyEo8xWj1W7v/bQLdfdec3ILAUz - oiKQh1EYZSUEI0JIqdosCoBVoCBlTRnlJJECVChgtiyosq4efPCdP33Bya+zw64NpRLHFGYPIQWr - gVqAgKgskUVJoARK+5dZv3tPyI4fzFxVlXOu0+ksLS31er2m9fPz80/v2vOLv/iLjz76ZBovm8YT - WxV5Jkf6eiJSFEXbtsaYpmmcc957Zg4hpC9Z09uxHNqdZVmWZVmWZVmWZVmWZVmWZVmWZVmWZVn2 - wkur38uyVKioTn5yvM3wAlR1tWSAMUZDSLnjL/Z2fU+iSlEUVVOrYVu42rdE9Bv/8T987vP/RLAE - GyGjcQWwK6xv28N++QXcRUwgBQTzs/1P/PHHf+TdP9yMxrWP1trCOd+0pNTpdX0IUcUYq1GwLrf7 - iJmkaY4wHXddbE1afCE4LLcbQGFtXVf9fl80MjA/O3PNF666/AMfuOehh1rR0WjU6XTqujbGMPOL - ntt91HY2xhhjfAyqGkOA4f/8u78DYHFpJd0hRmW262tnTJcwZOtM1nFMGjctS1otI0KMv77iil/+ - 5V9mBTHY2hgDM2O6R3S6CiPLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizL - vnciklbdMjMzO+eapolRQSnbKNC6ZCkBiK1qBIUr/uwTb7j4LU0whoookBSq7awBnLTaDP/8//1D - IEKjaEqnYgBgtaqqKTnlKFYXL6e1lt1ut6oqVU3biWlct4ikDX4BmijLsuNWDqjIsizLsix7zjEp - lJ5ZwJiyUQVTJAnqGdYZy8ptJF+JMWauPHFlYen0uVf8wo//u6vu/NyN919bhUNSeGZmmBhDiJEt - OcdB4lGfYZLzB2EFTTMCWSC0vvyKCLFRAUloUTowWfGiUFeYQHHFLxkLO+Oeand89uYnv3T7deef - dMFrz3rTGSefrTCGnUOhHuqjiIMolCw5VhaAlJWEQTIJAD7md6FpO3ma3ywclSKVJNp6DpF9sG1k - H6Tx2t77wJ0PPHHPAwfvGZtDWoxGVNWANZjdMmhX6lFdWcdCGFahUHQKDvHYouBS5RqBKCa53Sk1 - NnhAYRWWyoJ7rCVLWYROv+gBEBEietGriikBxvVnOrVfHGHprsfu+Oz1f111FkNRR4IQOE4j3lUj - nmWNLYJArdIkfzT9TwWlhREYwx5oqenNFbc+cIO0eO8lP1Ezq+e5TRuGyysOxaA/38SKlQSr2c9I - wZvy4rfi9w8lRBLTtcLNYly48a7rrr7lc8VGGZOPk6hoEMRoEGKoXRfq+UythTpPc+CVRAAHFKZo - m2g7tjIVuro03H/j3dfs33/wR9/6Y735U5b3Lc33N3a5bIfB2kIFUCZEnTwssTJwvPUHAQkr0kd4 - JIaZEaEwpug2rdfYGisU2cXORpqZ7W/46Lv+5Ze+fs3N93x5Zeng7OyGlbA4Wm7mZhFaMATUAjZ9 - GqjEgIBAOo32BZSYIApYQ1E0MpghUAClgzGIhMJR23rAH5CdX/j633/tvttfsf3Vb7/wPfO8dc5s - KNGhgBg9q5gUzHysLxnAaiDx9NeNMUISxccYInkw2IgyS9d40y42T+944s67Hr3lkQP3jXiZ+uJZ - nGEDG0KQBhCU1nS6ZVsFAEoCkkkSvAAp4VZs6gNKAkgEQFCRqABFY50hy5GoYVPbE4rt73vLB165 - /U2dZq6nM+R5VPteaZQhBGWV9PjKUCY1rEwKUBSSVOdMwKupyT8gCMIKAVbPXULMYkFCVtjEMS8G - Hl/5//3ZguxejsNyg43j8Mwr5SlBV69Dpl0oXaiQwlmuvLela2JQE10/3PC1azbObD1z03mb5rf6 - 5baq0TN91ymCF4UCTDpJWGflyfiAZ3wx9vxTkgghY0jVCIyKRgFTKz7YybFHEF6N3J5EMq/+PtbF - VHO6sXoVR8oMiSScfmU63qbLUWMARoQQQAaGQIImtNxbDn55GPcf3LPrgZ1f79NgY2/bpsHWU7ac - tqG7aeuGEzfPbRuUA0MWMX3/4SMkmliH+tDi/qcP7npq/6P7V57etfC4dNpo67bT1mgCSSTAwkd0 - emBljbBgY0lYo+hqzrrRyWCm3IpaVpaAuCIzbsOcn/uZH/+5UwevnYmb25V2pugww8do4dRQI7Wy - GpgXau8991gnCdwAhETTf2qNwhpjUbixnDl/7scu+5m/uuZTlatXRgvUTWPg5IIIhBTQDgILAAEF - KKfRDIBCvQ9ll9vgG1nmnvvU//xvP3bJhy962et6/dkCcxQtk6pSFSqRWExGOCZNZUAJahVsDcNo - 0NA0y75saE6quLxn5cmrbv7cg3vua9yyHaiPdfSwitlevxo3kSZVUEUBQuSWFDR51SxgRXqLIJHQ - jtAr+kU78743f+iiky+eDZu0Ml3bieKFVCgSYMRAbbrsVhahoKRCgBpS5uPpOiB7hrz3ZVky8/Ly - 8oYNG8bjsbX2wIEDv/Zrv3bffTuMIREFUBRFiKm8KB/TJSgzt22b8rnT06Wfp3dkTdOUZZlyu9u2 - LYriOX+BWZZlWZZlWZZlWZZlWZZlWZZlWZZlWZZl2VGl6GtVTUvck9UA2uOKqFhrVZUAIpKXfm43 - ACLyMfRnZqqm9m1jnfv1X//1Kz/zt2XZGTVtpyh921hrQwi+bYlZ5UWYhJnmkRJQFuZPP/mpd77t - HRqjATnnqvFYYrRsyrKMMbZt2+12Qgj07XO7X0K+NV/ZGGPZDIfDTqcjMUJ0fnbuL/7sz9//4Q89 - +fRuY0xd10VRtG2bJkodb0TEOBtVomrRKW1ZfOq///d77nvAlS42PvW0dDcgzVc+HoeC49ARY2YU - fPOJp758003vePvbofAxisTCOp2uk0qd6qV7aGRZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZ - lmVZlmVZlmVZlmXHlZR+zcyqqqpN03Q6nbpu1+4wjZqahG2nVXGG7rv5prvvvOOVr39rJI6CtvWD - gfMe0fvZjr3mC9fuffhBqJ/m4EwfRiUlaH2H7RGRpmnSosuqqsqy9N4751LEuPdeRMqybNvWWpvT - u7PsB1mO7s6yLMuyLHueHD1gTEgApFDJ9EbRwCoAbQQiNG7VGClIeNbNi8D72OnMj9uljp15/0U/ - ee7Lzr3ipk8uyNO1r21hmZ1GjTEyH72SihACQ0mMQgErYAUpoCkGkgWsJCk1MFiQwkWQZxCRQjUK - xJB2HAOsELUxDqp94bGDe3d+9cD1fdrw5nPecerGs7Zv2z5TzBk4hGBMzxVdqQHlaWK3QFMVpdQm - z7SKEysLhJFSewESpQBo5NBSJYWPha+wsmdl1zd37njw0ft3HniswsFYtu1sFESjKA2xQYi6sjB0 - xhaFCyzRwhRgDx/k2JPEp0iAtQzdTmGkiY6KLnq+Bou98NxXX3LBuwY0MDAalJkJFGMkTqW7XpTS - QqzGLQwXxS588bZ/uOXBG+reIm+QlRrGMqsFAiOwQiEmJQQf42ayTmNH14gSFIgqBGYYZgQCOnFx - vP/Wh65fOLTn8ss+cMr8GUuHDsx2NkpL46Zh4wAFAiA86TuTrMrsuSKAj15QL4dDf3/VZ+5+4qs8 - Hxf9ghYQgkxTownCypOQUWqP5fgFAdBJvCgTlCadyhgDIYpGonooFbCDsLi8+4Fdtz91xUPvvvi9 - l1x0WbWwXC+N5/vbmqo1nLZlerhqyqZNA8vxEs0LEiYPEkghMKwlIhtlJQmxgYncJVFuqqZjugPX - X1rwg8HW9731p7ZuOeULt3xu98pjZb8krmLAuhE9AABNgnhTY4KgHNKoSCqkaGslhu1YJYqtlwAb - IIKyQKjVGVhTiLAUejDuueXRa2994IbTTzjzorNed/4pF54weFknDkJV+BqFNccwIpKAFJDpvlj7 - VVVVRDaw1imbiLYJzUiGD+69+/5d9z345P0Hx7ulFzDwLcSH6Bz8MFpGv7RwCDF6idVwXBSTwHIQ - WMECKEMLngwxUThMRpiU2QwSUTYg9k3jueKtxcmnbTnrxy7+yFkbzp+RTaPlyjobVE1ZxmJS8Q00 - 6UUEZgXSWZIEFACJDIVRWFJMzkc/MNIFQ2QGQcAMFhgotaGKha+x8Od/+/HHDj3aDJrgtG1Clw6r - GPhMpHTqI5J3VZHyVY2zEgUWZqD7Djz591f/7dte8+7Xn/vG2e4GjbFqq4KiM6Xo+kGA130UfxwR - EqHgjEXNTjUEGhQdtGgpeANWWJGUaQyGApGhClo7rOSwBwMwbbfU5pERGAoYBSkbgUm/QQDDB6iC - GUahEQbOWq59Yw2cKyxJaOvlejQcLexrH79//+1Qo0qptJ8xxjlnrY3RS4wheFUFK/Mkjzx2grCo - igiELZMSCSC+UVcwggBsbbFS1W3AzLwNIRiFjQVPtjxEI0DLAR3TH9iZ02fO+9gP/8Kcbh2EOW3a - XuEM7w8hZQAAIABJREFUcWwBIXYc1HutjTFRlY68hpuEoK/6ljscL0jZRqPEwcSU2w0ACAIWMsNh - PdPrDIf1q05404cubT9zy181hY3aqk6+JkIqoZj6QYp8V8G0tKIySBEUjqHCUNiuW6wWNszop7/0 - p4+f9eg7L3zvGXMbmgVPoeh1OoEatpAIVhshgJkcR2qgiMrEAVbZqRbV3pWdX7v/1i/fd8NBd3Do - Fjdt6S0tj0jQK6mtdGVlZO1kw0gmB3g0YgRGAUnX8xyMpNHVCPqdvm1mf/xtP/3q7W+Zl22mckwK - biJi5EgQUmaxUENqQSGyFwpKgFoCQw2pphNB9hJSFIX3PoRQluV4PFZV6+xv/MZvfPkrt1mH4BUA - GW7bAFqt0XzU8fDoUi3X1RLPMcayLOu6BrCysjIzM+O9Z2ZjTM7tzrIsy7Isy7Isy7Isy7Isy7Is - y7Isy7Ise4ERETOvxmAT0fEZ3Q3A2snCW1UVmSR5v7ib9D1i5nFdFZ2OMcY5+3/91m/95V9faR2P - mxrgum2MMSEEZmZOk2zTpJ0Xeqq2YUDxf//e773tkktC9G1VDzpdJszPzfu2TUUcyLBzzrqiqhtn - J7OMVieSpS3+1hmEaaXD8eZb57+lqaFVVVlrZwfzo+GKMWQZheVTT9n+6U9/+off96MLCwsAVkO7 - y7JsmuYF3e7Dt3b9FOLVdlZVnR71u3fv/oM/+AMQmsanehyr9zfGiEj6O63rdUdfkfV9b7pq4Kg/ - nuLpXUQBAq688sq3XXqpsy603q5r3tV9QZrTu7Msy7Isy7Isy7Isy7Isy7Isy7Isy7Isy7Isy7Is - y7Isy7Isy7Isy7LngIioqvc+JVl47+u6ZuNSeXg62oI4EMG3YL3iL/70P513Ac91SVF0nPeo63ZQ - muHywp9+4uPQSIjMECUlnpSpl++yfDKEYK0NIbRtC4CZl5eXnXMiwswxRudcultRFC/1xcJZln2P - cnR3lmVZlmXZc+7blfr5dpQEbMgZG0lFRCHWOFVqW08FS1SCLTHo+t7L5y741Y/8xxvuueZrd916 - aPmQ6UMZQpWqgqCT1EwGwNMkSyUIGCRGESfhpmuVcVgRaZqNCijBukKCxhjByoZVNYIggEJEhYJa - UidVGA+xNNLlf/rqZ2Z588bBxu0nnHbeqeefdsKZAzcnNXc7AyOWxQEGOsncJYWQ8Le8D11XAml1 - s1MJH4kcNKXDTiLGYzRtZH9wtG/n04/veOrBx/c+cqjaV2mlNsROJWXbECIABUWQKgIYVJSOVKNI - jFEYnN6sT5736FWl1m3nurI/dGSgNSuTsnjqag8VG+2/+vTXXvKay07edJqO3CDOmWgjxKx7vBSM - fsRzrd99MtlTAdCU05vulUIolcLRu9IqEgFAmvIghQRQJQQT6qK9c8ctt999zRMHHwjdigZx/2I7 - uxlVDVKwAhSUZbqd0FTL55j+BAiiJCwQAgOiMAwRQIKCSWEZB5fGmzZ24mj4yMG7P/F3u95y4dve - /Mp3MBQou2YmBILSpK8KQxnKSlBI6gyprfSwzgNWTs8OQF+kYmHPLaFpADamx++6jjOtyzQ5uEBy - lM94JhnzKdgy9QcFiZJEDhWNbrn/xmu//vnQryu7aI0UXVQBmB4VpFCS1T1Jx9gT1m9DwpNQXrSh - KbrdRoNj+IiqDRtm3Wh8gIvw2ZuuvPnOm97+mh++6Mw3jPSAkmNxpAwlVp6OJyQwSjE10foWW/9c - BKSeMz2Kn9f+MBm3lARqhMBqUxsWpR02VVl2IrTb7dtox0ujmcGMDW75wOIbzrz05G2nfP62z979 - 2G1UUtRxNOlxJq9IIToZ2KdDUGrVaS8nC1G0QUSEBJ3ClKDggwpKS23Q2rfWdangEFt0vO2Zbw7v - fuy2B6++9R9PGpx+zsmvPP+012zffKrHyAilUHAoszLAlJpv0vKTpk2NLTwdo9bKxqmQqInCMZBv - w3hx+eATOx//5uM7njj46CGzf0TLwUYzD89tG8dEKEuWRuZ6zje+GQdbTh6t03MxxsnZSicx0lCG - pgx4BQWlw+JaicAKG1HE0la8wW596znvuuyiH9mkJ/JK2bSh52aiSmlNSxqCT7+bDqzJAAgQFCSp - B2uqUkbrYuNfykPKuvFE0rWBTmuxsa4dp+n0wSrBiBICx8gCioBAjRjvi/HN91z3z3d8ruksxV5V - oXId04YIHMP4QDhylFg9kIkgAmsohNawKvywbmfmZg6u7PrC7f/w8K7733rhpeedcr7xnXokXduX - iDQmkLKJViCppKdykEmduUmP5ZTlPBkT0qF0lGuS5wWpqicypErqWIt+OVtUhQFFKAikTMqsQSfh - 8YiE6ckOWDcmH0Gn128pJpkAozK5FASgiBHOQBkxQgSGLYNDCM6Rj9qE1nPrDJs+q7Q1glpVJgUU - MYgoCzMzQ6yqKk1PSZN2ExguVElUVVUkAgQSIi0sRS+WSETHdd3tll1HVVU7k7rcZGwhlXRyKdtB - r51747mXvPu1lw/8yV2dNZGsIRY0bWO4Y4xt2xolyrJsYmPVHNkWLzWre3N6QwAITNHp+KbulH2u - zKtPfWulzT/ccuWKayMHo9OGF6yOypMLSJpczKd95AgE9k0ktsqRCl3w+/qzg5seue6Rpx65+Kwf - esN5l2ye2bbiK9GaYTraUbVx0pEm72WUhA21MqKiXfR777j75lvv/9Ke5V0y40O36XTt7kPDuR4o - oK51tj8YjUbpwGYBGKLpGhBCKVQemi7hIIAYgQ3dvmz+wGX/4jUnXDLnt9mmC69KXiRMuz5PL+1S - +xx5ALxAx2/2XGvbFoY7RacJnkFC/O9/9devu/bLANL3lcaYKNHYIoYwfUNyzIwxMUZmBlDXtaoS - 0czMTAhh9StSTgNclmVZlmVZlmVZlmVZlmVZlmVZlmVZlmVZ9pxav6J+dZ5nmj1PCiJiIgZHyPEc - 3W2MIZrMe15dnP9ib9T3JITQ7Xa9SoR+4eqrf+v3ft8wKi/ErALrXPAeADOHEJ7LXbMuiviwvnG0 - OzIAwf/+v/2vH/7ABx1xiGFuZrapKiUObYgxdjoda60tXFVVKysrRVGoRBwegP3tpgQdh7nd34G1 - NsZYDYfOWCUx4LquybiXn3HW3336f3zsYx/be+CgxMggJUxyu+lozfr8W50PmSY0ruZ2g6goilFT - j+rqi9de9/DjTwoAgqqmY0pEC+t88Dha3zjKrMHVZzz8r991Eth3nv99nI5BzwwTouKqq68ejkbF - hg0CLYqirRtDh7VKzu3OsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLsizLnhPr - S7t779NfJUZM17WtrtpTpBgUggaAYYo7rr366X/9+Onz22pRIfZe+p0CzcqtX75+3wP3AyHFUikU - qmCFRigUMIT4bVYDElFaAmyMSQsYU0Q3M3vvnXNN05Rlaa1t27YoiuevZbIsO/4dv9UNsizLsuyl - JQVBrf51/e2j+ZZA2UkxGgZApKpKwK/8yi/+xq/9WoyevjWmL3sJ4GcY8DkNY07R1CmOmKBMapGC - t0mAkO6qFLwZox8e3X//9V+/+u6dX6vLZemHYWxdaaomWiJDNvrgDDnLrQ9inRomBNEIASmYQQSN - k3C9yeaqpERYXS3Lspa3zeu2Fik4Ob06ViY4jWTVWbXwVGrvxI3bt28+9Zzt523sbdkyc9LAzXGw - 0jKpcSg4klGeHiOsKesRqhqJCAwiVTNJgYzsQzluaFTHum5HB0cHdu174rHdj+5d2HWo2h/ZR47R - tEpxElA9jS1Mx4wRCBjTdFIAgAiJMjTF/U6CYG16UdPwbGGFKjFoWnNqujeZQoimsEQUVUSVyJBY - 9sY1boY2vPKkV731gsvO3nyuG3W4KQaduTo2kwhNfSaxcKnYFCsMKBoakUokCzWANWqNFKqxNbVI - KI0FEDApAaaqk3RDViGN2nLJrTZjGdkumRL7FvbsePqBf77v84fCnqYdignkoufg09MqSA+LdX92 - 1Xlokqqb9i6wrsTVJHkXh93BGUuBtXaFdjd3Tzxn+ysuPOt1Z5x0bhxRod1SujY69sZIYYSUKZKC - gtHIANRE4siTVEjHLtSxYx2kAdhHlGXpY/PSjdoVgoIJKKIooTWiBCvTZlQtjI1Roym8D102IAmI - QgIwEaUsXlURkoiGS/JUVTLmUsX4p55+4v6n7vnKQzdUdqmlJlAQeOEIPmyXYbpPoZP47u/5FQGY - RHgCUCDFVKfwYFUYGCcdbgrbdk6YPeUVp1907qmvPGH+lA76pQ6MOPaWg7VqmTloVBKjsppGHIhT - Z2iqeqbbUx98G8kUbF1EJG2fx/4wST5WgDAJvZ5+MkgBSIM5UhBpagFSUStDrTDAuBze+tCXbrjz - 83urxzGoR2EMA3JIgchgpyEaKJs0CkPTR4WTGO/JM5MyK5Om7F6EtDfXDz6Uhm4hZVbLYlisEcda - 2FicsfWsrbMnbj9x+wlbT5rvbyhMz4hhKYxaVovIkxRV5bQ3yUrQoKRkBEYbrUfN8qhdfvrAk3sX - 9+zc9/jeQ7vH9VLgFhwja2SJLMA0B5oC1gWvyjR7WAmRmJVZLKsQwvr0dwUiQwAPMKOwYIE0gKTb - ZKtO0cycueWVP/S6952//bU6ckXssZhp/utagruCU3gzVs+F0xNf2iKQQNN9UnT6S3UwwbrxxPq2 - KF3lW+6UtYiIlEQsChFiVcNKEjUEeLXBcxCj0QZP1eLwwJN7Ht114Mm7H76rwkrDKw1VwbRiNBgA - MApzLC20/kyxXiobKNOzOWHysEyFtGSC69vBCfOnnHniWWec9PJtcyfNFPMFOiV6LhbwhgIbMaRQ - BBCz6UZlCS2pzpTdajh2ti9EkaCkoEAKI9MrsecPRaIGaqzM1xyW7cGv77r+r276f8azi41rARjv - CjUUVciLEzg0Ok1b10lD8foNnCZ2J5MLmOmgjcMbVnitMZNpUP36Ly5Sz2ccfgEwGddYIkFJVi8V - aN05YtXqTSGASQQQJaJUwFQgMSopSi7VM8MQa6u1cuyGwbaVc3/iLR995dkXcCgK7ZtYRB+7thNj - pLUDU5REOKbXzM/oyuo4Nb3+Xz01AJMmZWNIYvSxtaVDKQdW9j48uusTX/ntqnsIjbFUikgkH00U - g8iAQoVIiEkNwahCUq9mBStJGnvTbi1MV8bG1uW23innn/KqV5114fYtp8xQ31WFQymqXlRUqbBi - NKCJpnps9467H77j4V33LVRPN3aIUuFiLSEyMO1FPMmehyIyQwghalCQAVsYMrblGIIYdSV5UQT0 - ebZbz//c5f/uRHfGZn2Zq3s2OGNI2AdplGnacw8fmdP5dNJcax3jhdhn2Xeybkes69LGmLqurbXM - LCLGGImIMZrCCLQeN4PZGd+E//w7/+Uv/uIvm/rZ1DVe/eBFVa21IYTVn6fvREUkf/2RZVmWZVmW - ZVmWZVmWZVmWZS+uNK8yfar/7ML/DPD3V1zxjksuccbEGHldRtvqTKQsy7Isy7LsJUEJShRVP/yx - j1735Zvi2rKFF3nDjpACpC9545v+4bN/ZxQMNUqqmubG6Lr51MfpFSmxqhqmEEKnKOu6BlAURdXU - 5Cw594377nnP+y9vgm98AJ7n9l9tHJ007Gq6tIIE2ul1m6bRKJaNSjTAv/qpn/qd3/7tYloBQURC - 6621z+dWvmQoQUBKuPnWW9//wQ8KwExeFESqhOm86NTEPJlh/60Pk+b/Te5JgAM+/GM/8Sd//IcQ - Ncf4rm398pM0FzfNMrWmGFVjY53tlk0MF19y6Y7HvhlWt2Y6kXX9TFABnHVt8GyNxAgARFAiYuvY - ty2BjDExBEtIm5l+fX7QP+20084888ytm7ds27Zt0Ot1ej1jTBv8cDhcWFhYHq48+OCDjz/++JO7 - dqctIFprmaJT1HULgJhFhNgCUJHpRn2XyPkXlzNWYiDg4//tD378Ry/vliVCYBy5DFGnE4/z8sQs - y7Isy37QWKWgoszB0Ac+/JP/fPNNooe9T3nm8tqELMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuyLMuy - LMuyLMuyJMZojAGQoihScjaOTDSaLNBLa0uFIergBm/78Y/8h//0f8RipiVHBNOO++w/9v53Hnz0 - AcTakYhC0nrelAWuQmsRM99dmvu9uoVZlmXr5SXrWZZlWZZlz5NnmiG3FhWpvD5nMUW9YhrjB6S3 - gIa9aav6rMGrTrjslPOeuOCGu6/95oEd3X7RtHW3U5BFjN46iErTiiok+ujBBGvBBqqIcRr4Ol1g - TAqh9OyyFoNIMnnTmQroCINWI/Qm2yws4CYQPNWFYYrc+pW6Wtq36/HbHrq+kF7fzs51N22dPXHr - hhM2zm3td2Y2DTYYstY6a21hCrKGiMDatm2Q4H0zqleG49FovDKsRnUY7ll6eqleOLR8cLlZbLVW - F8X5aNrQC0pBplndq1bbkDQFf66vNTTNZ502uRBAotTSJH6SV1vbORtjDDECKeycgkoMUhTW+0AC - y86pRbBWOl0ZXHD6Ra89543nn3xRp+nxsJyhGWd74+Wx6RTg8Aw7AyDrP0owApCqsoCh3ARvVUyP - QzFsaByAOtTWOSEYGFUlZVUFRBCrUNVxtDQ+tHdxz5P7Htu594l9i/uW/QG7LQ7dshJgYIC4LnpT - CFBEBk/jQp/Nn1i7DXzbf017SggrdTAGtvRR2p1Ntf+R3Xc8cluXBuefceGG3uYT507aMnfibHeu - Y7tWHYha9aBg1bMCUgI2sghYWprvb0SU1vuCqXRWRZum4Zf4O56Uk4pJTSvGNJmVLXnf1jIuZsqF - eq/bYIOEGBu1PG1hJoVIUFWhMGyWRqOVxdHC3sWndx54cveBpw6NDo11OcyOG1uTaExHPIMVRCBZ - twenf2I1wPvZ/gnATPvGak8zsnYfKtCE2GBU9FrXaZ9qd+y+/4mb7rvuhPmTNw22nbzp1JM2nrJx - Zku/6Bemy8zCIBWjWP2kLMICBi3Nzs+NV5Zs4E7Zh3LVNrY4pljhZ7G3jvwc8Igk4HUpsxIJRCiU - QyuDzux4VMuKu/Tl7zntpLO+eOv/vOvRr8wOnOeqaVtjoAwCmbKMjUeI6UNCJpCdFB3TwwN0lRDB - ayMdrRUOAwCajI0R7fQXAMBqef/S4oMLVr/JHLjk3qAzs6G/adCdn+nOlqbbKXod1zHsAI4xBg0h - tG1oRs1wVC0vV8vDamm5WqziyA3IU+PRRG4xEHAUFtXJCWaaKzz5nHQ1Kl5p7XaKHudJKDuvnozS - faLAlbBA28J7GELBVNrCD72LvXmccPGr3v6u118+S1uqfTLT3RijAogkICEVhhqdtMjaGXbdjjti - n7Li+yAXlpWjEpNaZ6p2GX27d7yn2NiLJMOmlhCib7xvqtrXvm7bto31gaX9dRwvjw7tXdyzMNw3 - jiux8HC+LZsAH7RV0pScaxWqk715rCMDvuNvpREj7fdWWy1gXBNQD5eXHj+04/q7r7Wx3DZ3Ut/O - buht3NTbMtffMNebm+nOlUXR6Vhm68V1OzMzG/tShb1L+zfNb4lVCy3WF8pMx6Y8n+ndpGRgAZv6 - ddcNTj3pnD7PaawiWrUwVuuq6Ze9TtFZGi2JwFjQdOScHt2TDinpCo1AOimlR9PcdKG1sXrN9G6K - ybVf6vyrf4WmgWIybqyO2AAYArBo+jdmBUFIwdMNWHuS6SOn20yGSMiyIcQYWg8ARQFDCOKNLdqq - JrH9Yq7L3ZNnzvzQ237+jPlzrdiqaax1TCwam+AtWV0tJZku5CYv5iWc2411ne2I9HqRADARGXYU - mWu7mU8yG+gDb/7Zq772d0O/HNEEarhA2XMrlScCgdgYY4xKUB8j4BhCjMPrw6bjaLmtXAnt1Lua - 0Z4HH7vtoes397du6W45bfNp8/0NM7NzxpVBdDhe2b+4f2Fl/0NP3FtjWGFFTCW9IM5HRRAYXot+ - n57dRAgKjQIycAUbUIwxeESJrnDWkg9tM9SOLWhst288+4Pv/6mTy7Nn5YRO7KsamZS1jenY/5aC - nKnh+PB/eMkPzt/fqqqanZ1tmibGmHI4iLjodkJo66YezM7GoH/4Rx//xCf+DArnnPfr07u/+85N - Wd1FUbRtCyCEkL6gDSGkJO//n707j7csq+oE/1tr7X3Ove+9iIycM0mmTIZMEsgkgSSZRRAULLXU - cqpqu1uhHFrbpJRSu6rUbkv89KfbqbVwqLJstey26uOAOGAriAyKIMggk6KIjEJmkhmR8eLde8/e - e/36j33vixc5IIk5RJjr+4nP/bx47w5n2Gefc+/de/1IkuxfjsZXpCGEEEIIIYQQQgghhBBCCCGE - EEII95qDgdb7g9wO/lVvMwHg9LQe5XWmZrNN0zSO44kTJ+bzecr5+O7xrZ3tqdZP3HDDf/+1/+Pu - 3tKFaZzX1erktJF7mG4GLfb0boJiulws+l+bt1HTU6594g98/7/fOXT42E03pZS8NlXtY4FEzoRm - cw8TwkAnn/qk637ge7/3+1/6g4tWBeI8GD+43sQk7oVtprcZswoAIFBKGccx5+Hoid03vfXPPvSR - D9dP28xyyqtaGh3AgdxuggJBWfVx72y1bs/GspoedumlT7r2Cc/7vOdec801F11w/jAMQpAUUlVF - pPUBZAJ3L95yzs396NGj73zXn7/yla981ate9bG/u8EBAablpIAmrdVFZBPafWaoreaUvZbffMVv - f+U/+wpwHd5uB9rDwd44hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC+IfoJd/dXVX7 - f/sP9B4Qth+5jV5tPhtqgzjU4HV63St/6+te9M0XPvTyJgAwS/Kq3/7NT330Q/BiJn6yVv3+7NfP - KLe7V60fx5Hkcrmcz+d371qHEP5xOMOD7EIIIYQQ7m/E3Xxrtl0bx7305Ad+8WMf+Mw/+6s3/tG7 - Xn1D+9u95S17svSENMAJKIaUE6W1Rm9s8AJ3JMMw5Kk0QB1K6W8vvaoLVH2dqIqTQYmAbAK89yMe - AQDmSBT1dWC0irtg2cpiOj5u50W75Vj9+CdW+W9uGuRG9crWmNVERERFRKgESAKotdKoKmJOAdka - nMRgs9Za8dJyE4Mm0pzijfuhs7ofVExB03VWt8h6LYQnS+es8xHZ4wZV1ZuBAnGoQ+j7+Z17qwkK - SYCh9XBChyRpqHmQObbTNGu3YhtHrr7siU+88qmXXfDwXOd6fERLznTcJ5FmOwo2vSulrARVoQ5R - Qj0pk0huog7m0WTk3y0+8Ptv/9V3/O0b3SZNsiqEiqzzZZWku5OteCm+ZKLNpNhqVRY87MN8dny5 - dKqKiKg7nQ6IwpxOwIl78xbwrbkCIAUutLpsx1e+dytv/ORf/63CEq3vWYOoGkw1J0hTurlaG8Uz - oNbGuR/+kmd9+aMueuzctuqyokxgmo+zqX36Ek+nOYfQBY2mVHGFCKiEL+tKZqhSbygf/+nfe9mn - po/XdiIPVlsDVGGAisPd3Z10Kldl2azoKEuuVmXX5nnr0NZiUdhsP/yVBCEk2I/pe7c9UNyo4tUb - ihZKY1qo2AJHjx7/mO2m9IksVKGKWBKVZFQBoBRzKFWYxLO1+bl2/gu/4l+ed/h82UuksKm3yeyQ - 19OokBbF97zAmDWNeXZo0nbcHzI8+mueecnjLn3C697+yg9+6j2zs4alLvam1nSqBaPMwQSpJi6K - RnqlOzQp1pG9Xjf9tgKp9fTT27zwpvDWyT8pgCrFt5wkK8Rl148d4w03nPiI7VmbmsJErNe82+9n - LHHzeWcD4OK6BTE5tlpqEk0iSoi7Ox0kxJwAexIzAMA3UcQQF0IAZa/JCIdTJ67TW9cr2MNuhwQp - kIptNdU0tbpkA+0wL3rERY9+zpO+4OEXXrH6pC+F55510d6tCzPzk0UfFdys+/2sAFlG9jLl7bw0 - 3mqf/Knf+ZGb5Iaj5VOWHHAhoEJTitKhTiWTwqUW1iIrH10zmby6NwACEaj0awh1utAo9+D5Imvv - msTh0OaySrk6yodWf2nLpHsJLuIg+znRiTrf2j6xRzSbyTCW8cLhghd95TcdHs9PdVtpQu3nfaEA - insstxsAobWNBhOtXqdMufDQBVc+5Jq3fug1s0Mn9uBNa9rBidVi94TnQbKA1TYJxT203gFQ9ssB - qjr2z/4uDqkU6MkOYM0F6/176oHfDrZ/URwojUrxU6so9sBsTb65m7jy5FP55qCmKNFDo+GNyZSs - ywJTbO8MQqxWUzXAfLFcnrM9zycOzU+c85zH/ZPPueZ52+2wNVutihJ0b4SqZk1nVP3Du4Gq9gtj - VXX3WmtK6bzhkmdf9GVnX/PAl7/5l27Wjy3TakrwVRGFenJ3kQaDEE0gIiVZIQA3hxBGNcLoEBya - Y5rgrepQLXFRpo+ubv7E6gPvuvktqomO1ihiZgZlQ8VWcWnUUqVSarN1D1p7dw2Yaz/iIN4UacBU - URyJboAS2zLIKLt1KcSs5SN2znB85zEPecLzn/Zl588usTK3OpRWiarWqFA0pUPSmZ7OHgDknGut - i8Vie3tbYK21UorXIiLb24dOnDjxu6/8vR/7sZcBUEUp5dS89r9frTXnPE2TiGxvb+/u7pLsv2yt - 1Vprre7eE7v7t7YhhBBCCCGEEEIIIYQQQgghhBBCCOE+xHsnSfhucuYGRZtqrynQWhvns+pt99iJ - Q2cdvuXYrTuHD33V1/zzD338YyKqpnW1ghnafTBQr09N6RnJlpKXmlV25rP/8OM/ceTQ4eM33zyf - z81suVziTN4X9wQhckq1+b984Qv/+E/e9MpX/b6DwzBMZTr1fiDB+24qhar2AO/ZbPayl71suZrE - 1iMkD5bH2G98q1oAtNYAqJm7g7SUhGBzAKbm3i664IL/7mv++Que//yrH/sYAGwN7qbWJ1rU1kSg - Vfc6AAAgAElEQVTVa3P3RhcRMUtm2VIpZWs+k0OHn/C4a579Oc/SH7LXv/71v/7rv/4rv/Zry1IE - WqqbWvWWktVaN+PZFNjEYZ+uVNWB1772tcePHz9re0dVhfx7apCEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIn5Ve+L3P/exl4d0dPdmszyCkr9O7AQAHAjbUCSwW/+8v/vyL/833WtJa - K7H6xZ//OUxLgN6a4jYz+v7++X09tLsvyS233CIifY5tX84QQjgoortDCCGEEM4k/R3hNFXxcQvn - YImk86c+9DlXPezq17/3d9/yV6+/xT8pQz262JMB42zn2C27gyIZzKAKBQgo4cXN1UX7e06KUxzi - YI+/1f4zAMr6ne2dlTtyZxIhWB0OiMEyeoA3EnQEMC15otfYUWIlkHXRrfVT0gXiogphw6Yaj0AU - IFblhKYsBlW6+ER3b60iba5khXCoAoQ6QMAFSu/ZtIJ1SG0PpPR1qqWSSahgFdYDWZVo6HGVmpKg - bwkRd2+NJMwh1VIZfbLtfOSqy699ypVPf+jZj8jTPC9mbMmbQMVV3Ehp1evQ5DOPHhS4gkBz2S9I - JKAqxIXOqiK2jRPpUzfhwy1Pbk5VqEkPeSRI6ZnLKaXiq+rNE8TAEY1YrBaDQKACEZH7vh4PdbWq - vQibCEREswJ1/+8rONctAqqASHUKYA5RKLKKKTW3+Y6fLTtT2oLsQZIK2aRObUW5C9v/NCSoACjS - sJ+rqi5Qy42u2XQmN/EjN6YPc1ipgon70d1KBYUkyZRSpTvcrYlBqVMrq71jgwqoIPYL4fUNfp+U - NxPXaVlVMQwqIs7WAEkuyWptTbAiGoAGF6hCFY1Abw8CpYgn45ixdeLozdie6nJlrVWXIW+ZaPUl - RE+f9uDQCt/a3lns7dVaD++ctVytlruLi867ePtBz7j0okvf/N7XvfG9r1kd/9ihs+jJJy91nWrp - qA7ABSKSLHk/StbRues/weFyR9Hd2O9eFJvwbAAUX7YChWSYwKC1TrXtsWHYyb5GCEShBlUsag9v - BgE2UCAEFOmwOUD34g11vZxq69Dw3ie7nOyAmkAIFYgDXMfBqqABrgAdAKigQiB0n6ACc7OWwTy0 - cZ5mR4YLv+C6L3vUA64+K53DY+n87bOwsuM3H5/NZg5HP8f1LS89aLaXUTutK6ndvfJgy+K7y2mZ - l202ffTYB5bn3FKO7BVxF5Do59BGkCoVM1V4BQCDZSPasmC1wjgCAAgRkFQqCaXcxcTVu4gqLr2d - OVBZAUxOAJZt03rQezxQRWS5qFtytG5ZktE9lcVQd0/UYdVaM69oKmgKv7e6OyW0uM9TMmmcXIhn - XfN5f/Px991cVp6P37LAuA2doSwxH/J0YhpEhdqP6E3Mdk/vBqDim4KAVFAUum7nd/LqgJ+SWE9A - lFA50EVw3YtgP+dbD/xV6OB+f3Hy9y6bC7D+w2aDikhrTVVyojv29iYTWJbWOIgeHnd4LF31wOs+ - /0lfdkm+bLY4XNtUvOSUcp57Q52qUu/ZRnVaMrP9sOH99G7by1s4/0mXfN7h5531S6/5T7Vyl0dL - a1tbAxrgZHO2CtOUUoNMXt3W5wVzoCUFxBXiZQ+lgQIkQFccgIQitqpNkwgMLkoVEZLuVbS5QHS9 - z+nrS/T9ap6+OfR7gvtU4YKUoAQdrcFZpIllzNOWHZvj+NbnP+nLnvfEL+Zu1qNj0twcjqIGEaF4 - 83u6Nwn3HjObpimlZGa7x/dSSjlnG/Le3h6or3vtG17ykn+rCoGImHv9+5/xdvr3sma2u7srIrPZ - bLlcttbcvb+HqLWq6mq1GtdnrxBCCCGEEEIIIYQQQgghhBBCCCGEcLoQuc/Hst8xEZymS/aZEZFh - GFarVc651mI5HT5y1i3Hjp119pEXfdM3/vl73k1AVUutgIrznk13PjAw3XHK4DCSEKhZKzUBg9h/ - /tmffciDLtnd3VWBmS0Wiz76qLXWR7Xdkwt6Junjo8zsR3/0R//yC7/wAx/+cJkm4L6ZA9AHjvL2 - L63irTXn33zwQ69+7R+OQ94rBSLwO9iPvZmoWUpptVp5bTnnWquXqoAAMxse//jHXf9t3/bc5z63 - TiVng7tCJCUhRUQAb+61WVZRNbPcxxX3QG8wqa4Wy2zpwnPOK60udk9c94QnPuPpT/+u7/ruH/vx - /+sXfuEXRGxqTSGt1nEcV6tyj2+7u8lqWhmwLNM73vGOZzzlqSZCkiISR0wIIYQQQgghhBBCCCGE - EEIIIYQQQgghhBBCCCGEEEIIIYR7QK21tTaOY4/HNrMDqdub6aR9+iHRiGGQqbKWAgFm899/+a+8 - 8Bu/eftcm4/jq3/7dz/53ndB2INpNMFrn+Z7ck5qf+Y7m/5bawUgIqWU/dDuyO0OIdyhiO4OIYQQ - QjiTKJXMSRNg0zQBmM/y3I7MJL/gqq+47lHPfP2f/+Gfvu+NZ+W9oqvjx3Zn4wxSYV6buyMJ8mBo - nKaWkvY6OQrHKeGlTtHNG8/1O9r17X49nZPvR7VW6eGCPc4PygZvbA5Ij/0mnBCBGUylrthjWU9W - ghFCQDod5OZVFOh3y+6+IultnS+sCk3wzRJTHHDnegGFmvbfMR8oAMTNMjsSoIBR1dyyJ6A29aZe - rQffQuhZjaX5ColIiiRQxYA8LC+4eOehV199zeMvv/ai7QfyuNixPNNDZemzcZ5ymlgmmVSloNRW - Bszv2i52UFzFXZ1AYyKqQ02srqZSKVsp0ZLmiroozVIDGwA6DNhPYF4upjwiZSlOlr7xexC7EFSS - pIJQKEk2BU/Z1/fOrXjOuo5VpzR6YwN62nTf1yqqECdZHEImNXNAGqQHCAvZgOLeWiu7x5fzVZrb - iDS4NhG50xjTM4GifzbEHtdNAZjWTVRktVdSE2gSIY2itlwVSwAa0AAoIaICheiJ1VItq2orjgKz - bEoonRVwE3Fw/WETSUAh9357AFx7bS13UVGCxNSwKk17VrTI+g4gCW/ICqEACgEEIqA2YTvn/HNS - GpaLctZwREuenK6kOpqeTnGgmvP2chLhbNTK5fJQ1i3Ji5uObc23BA/6wsd/7VWPeNqr3vpb7/zg - G1fpJptDRxShMJOstdLdjJbIWokknlQEoGs1VgV6lvtBfuCQ2ITw6v7/R4O7twZ3CFwVKpAstRUA - orAEKiBwgQNuvZmCAjEQQidFptJcVAlKVu0hsAK4tSJwCrz3RAKXU6qvUdTX+dwA3dVde9ftQogn - awoAWs1EkvlK5ISdOzzgyZc+/SmPfc65Ww/iKs+mWXZte56BnflWa1XWud3eDyKhsveX96fcbsBv - Xdw8zkehqeWU0myeMOhU18nvCohCVJIakJBRMfXtRGJqTRVptHHLyqqQFKg6hKoEKYA7/J7sH1Ar - Fb2YHtYV9kCyNdZ1jng/1wtEGhwXHN4pq+qtiU21TLM0qBOVyS21ZN5Ph5XiFXovfD5mlqe2dK05 - aa2unh9x/pVPeeTn/vbbfzkPbRz2JmLIrjPsrqZkaJj2U7b3CUFRAOylCwUQb1Cl39l3BZv47f0j - 3dED7F0hepuqfMr+NYb3u8mBY0QByP7FV+9A1Dd/IfplmxuxH6Nuourq7kk4zKR6m1bMtLGdc/H4 - iOc/+8sf/+Br/VadTYPCZ2ksXuDSyzJmzQBaox64mLuDwo7/6PQCowBIqmrOuVfYFFhabV1mV3/r - 5//b//La//ieG986nrvcq7cmQUoiTdxBONWcXhtTAgTa+uZzUMEEAmizQaGtsE4NIlADpY1jAuDu - 7vRNR6yA6roJ9dx4p7Cp15aVEBBwXecta7+idwzYBHsbZKZQZVUujHX7wYeu+OLP+2dXnf8E3jAc - siNCJb3KVE3ECNAr4JIkndF1b+/v9ruRzVeV4zAvUxOxYZgtFgvWtrW189rXveH66/9VzlImAgTq - qVV5PyM55/6OuL9QSmmxWAAg2YO6W2spJQCR2x1CCCGEEEIIIYQQQgghhBBCCCGEcFoRuR+MBrvv - TMvVOI6NlaS7D2ZHd3e3zzrrZ3/+//5vv/prfbBlaRVAHoZ15PM9isBmYseBUYgQAx1szRRwvPj6 - 659+3VOS2nxnx7211tw957xYLMwspdSHCQUzO35i99BZhxt5/rnn/MxP/+Q/+adfupxW5fbj7m4/ - DvUetx7jCqC0ambDmH/qZ34GQO0DU6UPIV8v1MlFEwCyP4QSQC0lWWJrA/CU65787de/+BnPeIa7 - s0yDqhKtNEkqEK+ttaKqQ87j9rxMjU7uP3efTaFKMqmCLKuViOzM5gBq9Qc/6IHf9z3f8+0vfvFL - X/qDv/Rf/5sIoGlarTYb78B4+z4O/zQb38jN3Kms9oY3vOGZT30a+lwLEQoivTuEEEIIIYQQQggh - hBBCCCGEEEIIIYQQQgghhBBCCCGEEMLdi2RKqVeAn6aplJJSGlJutfR4kc3MNu1ZMw5s5kA64Fgt - IPVXfunnvvU7/pdpeew//9RPQFoPIVPFZzGX1MxEpNZKsv93tVpFafoQwh2K6O4QQgghhDOMMLWC - pG17nltri+UewJzHNAwsw5de97XPftIXvfHdr3/ze/9YVzfSyon6KclqhualNZLNBDbAuQlMPbXy - Vc9JVZ4M6nYAXN+eDE0UrGMcB3VI6enRIipGoDWaGcSFEHFLAnevWDrHYZPUvLnlphiT9GhekLL+ - PYGp0QQiMF3f3x1w7Bfs6ovU82gVUO5nSJ4SyirEKYFwQsBdYG6AgI2o62DXXipoaoNgS2epKZYU - 6s44PzI+4NnP/PKHXXDlhUcu4ALtJsxke5bmUnRrZ7a3t7dYLGRQZPqqQtvMRrlr7+q1Byi7FAqq - qGzWw0S3ZvMmymEPDXVqSDbLbFL7avbUUhGSIiJZKSKgZJHmjgJVqJm7V3olZLMNXU7uWb/Xbxsd - fV9v4rrNoGo9qJL0HhMqgqRiol7g7NG/JCoEBqdI8qUNeWv78DydrSufploFZhCcVlHNd41QlMkF - DZkCwCF1Xf6JbabDTtpy7qq3Oi3TkAZTGClcHz4Cwnua7Sxnd5At09zdpyIiYjAR73Gft907t//N - vXFrSVtzd7BSBJYwqvaWTLK15mVd80oMSYSNBEGnoCkErnBFvuXYzYvF8mw7J9vOatHIJrPkaKdX - Y6ACaXViuT3P2+NsuXvLcrWaj8POsDVNupNnu7euLhwe/tXPedF1n3ryG9/x/739g3+6rKumVbV/ - ECnFi7uXUkUgcIoLFeLm636MggYVguLrOmcHOnyeGlwtcDgTJZtJEmEPSPfmFAVEIHSBE83hDhJD - hjc0oh+8IgTQnCknoQAQqogRdLK1JlDrKdFcZ3L3/+7XBduvDkaoq1NOxjYD6CHOQq1Fs8241MNy - zpOufOrnPPa5D965zPdGv3UwzNRRVmWAzrZG0vemRZ7Z5qllc7tfRu3+kt7t4sNokjgt3NOwXNQy - tWmamJAzQO2Zy5Wl9bOEQEQgqqoCtNbKxIIm0lKyg2XnXNy091A9Exr30O1sNrq7e6u1keuIZ1Ek - E66DgjeXFoA6do/vAshzlYSplsbR8raZaVNzMwekOUFxFwdcec82BpcGabVSVRQ6153plsUzHvPc - G45/8o8+8Kqt81rKq1sXmGW4Iw/mta0jk3W9Uut1lHWoNgXAOnvb+x7A+vpN6Xcegqvr7yTuqPCp - y/7DFPBNwUE/ed+e1ox+iumvtfkLXTabUQgCShUXuJFE01HG7TYcyRc9/ernPePK540nDvnN4znz - I1wuAZSpgUKi1kIXMzPLgMOJ+5OeNKyqrbVaq6qqKiloaIt6ePtcb/iG51//e+98xavf/RsYysoX - HGhJqGyAsAJIGUIoD5ZcVEq/aNbW6I2VsISck3tdFaxWVRTa/wkA9n7eCd/sBBWaqeWsGFiWoLv0 - C8iT3XVSQSMcStAFqo1MNR9uFz7tymc9+/HPPxsXYHfr7PlZbY8mSq1UAmikNwCSZFRV93r/6Z// - EROR/lVla21ra7u/SxmG+Zve/JZv+IZvJFELc86lFLPcWrurz19KEZF+vJhZKWUYBnevtS6XS3cX - kf52wsyWy+VsNrsnVjOEEEIIIYQQQgghhBBCCCGEEEIIIdwlZ1But4iAZ9gYtj54DM1ns9necjHM - xr3lMo/jO9/15//bv//+2of/EeM4ishyOYnIvbyK+3NY6MjJWm2J8ojLLrv+f/rWc846cvzYLRP6 - QKNhPp/3oXSz2WyaJj2DpwXcnaZpOnL4rGO33jrbmk/TdO0Tnvh9/+57vvt7/p1shtHe4QDRe5+q - OnDjjTe8/BW/AaCUloZcS7nt/fanBYFpyH147NZ8a7nYY6sPOP+C//37f+Bzn/Wsiy6+uJZVWa5q - bZatlTrk1Fpr3sxsHOYiMk3TYrEYhzn71JSTLyFKcZLOPowNAtIBqGC1uzdLeX7OuT/8Q//nC17w - gu/41y/52CdvzGrNm29SsU9zKgr6ytvb3vY2iqjIehJOCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ - QgghhBBCCCGEcHfrk/hU9WBCdqnl5CxQ2YRl7E8h3EzVSylVAK386i//lxe+8Ote97rXfeqv3gNp - 8AK4mbm320zr68/xaaJler7D/rKJSOR2hxDuTER3hxBCCCGcSQQw0eZlaqVAzCTNk1JJotgOE3eh - On/OFV/0tCuf/Z6/eddr3vZ7n6LulaOoNaXRcyteJkJ7QjROFpKR/Z97jCVuG/K6diACEFSKy+Cl - FjpAiCohIjZLmRQvYHNxSWZJTFXdfG8q0B7yChERFVEV5bRqAug6cHqTPUyMycSFrQc5U4AkIiI9 - utI38bR6MoLafZ1tnEAAuh8quY78hQO1r7MLJh36LwWeHAmAQIicwBW4ZKrb548PfviFV15z+bWP - vOSqvJrnOvImb81HS6ON7ph8WpxYjuO4tTUrtbbaxpRUBhDtLtWyYnLOHahYUCoFCofQvNWpmI1l - qkQxl5mkpduqQtRFfbPr+scNBJlSLqWg0cxMslDY6GSV9Z4lTvmQ4j6pgUZB8Z4pDlUowQZ3ttoG - AwAVMREA7u4TnQ2D9JzIJuu8dpImpKFUP3G8oWL0bDbTzNpWckbnPjKJj4pUTQlXrHreqhLSmjXg - eBu2ZRvp8JBbMWSb2pLi7FHcmyhbkTZ5qxVK5CTDYGhwb1SpIG+z6/sxdS+v6XpBMU0uPUgYoKM6 - pLkIxHsgKExFdB30TtINLnCBAz3kNru7I83HlEdZzJfHXco4zLPbYrE6oadHJbJOgTr5znwLbW/3 - 1mM5cxjG2lBLI1SZDo/jwhd7x/3Kc6+77FlXXvfo9/zun/3GjcuPHTtxk09LGWRMWkQdAEF1YIJu - Ku45AG3qhG+O982xIOiFBDcb48Ax0nrH20gCKiKAiCiI3gW7OBSqSFkFJk2SC9EA9PBuGDPQWiP8 - ZPU3gQCazN18nTTsQjdCNmHD60URXweKyzoYGIA6dH3GcghAm7XDR3D+ox/4uKc/+lmXn38l96zc - 2LbHoVYOWZKJzEchlotbHe3wWVt70wJwiJ4MM6Zuko/vR8i2WlW2cRx2VvkQODpSGqwUB0xg1FoN - rhCBEdoyq1S6qiYbUnYAUGmtCEiBw9EbICGCu5y5ehcdX61EYAYbREWsXxU4fEUAIjBANzU9KUjb - tmx1aU64zlDq1Ia28mVv8bKOIkYD2Bv5gRTqu59UsFhio3szRdYmVv2Csx/0xU/9F8f3Fu89+pbm - N2/bKhmYUaeWMAPg4nB3qzgYoC3rVGaha8/JFvgmS5vrK6JPe/oTUJziB7v7/uPJh3H/2w3t10j7 - UeIucHhT5/53FYRtgqL7D0nycllTzjtbO8tdb0f1Iedc+fjLr732UU87ezzfFpZtzDNbnDg+ck7S - Bs1ZRMQrGxobmrvIGVHz8O7US4v2kpE9crj/vrUybs2WbW9ndkiX+iVXfdXDzn7Ib/7xLx/NHz3K - m1aVTBCAjTQkVUwqTLq5QHQA4hC4V1VJqka6oy6bIo3KNNMm3qqTpPd3HBBFE6S0OXFAW2ttWnjF - VhoAKCrcZdPqXFCcAklpzDL4im2vHp5tXTh70Fc/80UPPvxIWY6Jc9Ph6N5uEs2qYJFGIaVSYaqD - YAC5vlYPZ4zbdThUAAKoaDMhsVgVd5/Ntt7//ve/+PrvWC4qABEppQDr7O3PIr27HyY9vRvANE39 - l6WU3C8oATMDELndIYQQQgghhBBCCCGEEEIIIYQQQgjhM3Smj1wbhkFETuztpSEvp+KAgP/qO19y - 07HjUPRRae5eShERfvrRhncHgWIzkK3/v8tDKqvaB3/+zE/95JBt99ajQ8qatLXWWnN3Edna2jKz - UkoUSuhExN13dnaW02prNl8uFl/1lV/58pe//M1v+7PWWy7vaB7QvbNsBPpEJACqdH/5K15xy/Hd - 1sch3n7KyoGRsSBqKSAEWC32xpS+/J9+6Q++9KXnHjprltMtN96gJjtb26YCwEE4TdQSSC+liYiq - bm1teesvrqraB5j1iUgmemD6DEFIn9FkhOlyMW3tbD//uc+99jWvfcl3ftcrfue3ZV3Io7dbXd8S - d17c4z6Tcy7TCsD73//+5XJp46iq+5NJQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh - hLtRD+02s3Ecl8vlMAwkTUXuYFqbghCFKFWkVq9lASSIYXHs1//rL/7BH/wBjCiTqtDlQKV6vf1z - fRqttVIK9sNKSHfvBepDCOGgiO4OIYQQQjiTEGhoYpYM7m1qruzB1eaNSUehGvNYZtu685SHHHnC - Ix//vr97yzs+8Ja/+MB7T+wd0y1XXU6ybMp1suMm/ZGAUNfBqKfmOq//ilNzu4GeIlwnQDEkCODu - tULRqLmVmiSllA2ku7t7c1fPSZpQCFLpdIiQFGTLJOE9X1YEUCEErVFJyLpYj4id3Babajg9tNKI - 9VKLay8pdfK9tLo4oJC6Xsf+HAJiAlUAcxihrkaoJy710HjuQy961GMufeLlFz/u3NkDx7oju2lo - KpUioirNuVpMIpKGDGf1Vic3s6S5leagmeGuhJlS0FSasCnY080BgUOgImMemsuUDIpJfJJWFaAI - VQCoYJ2u23dMsWSiCndvambqmGrBKKIQEa6tt+V9FWk8y1bdvZEVLj11FWpwhxCVdFIEolCFALXR - dZ1R2oQQiMO9ikhKaczjiFEmoRNgKWVMZ/ZHIRQ4wN7SAYX3yGFzDHnAUk2ssVapi1JmeYtuFBFx - qIj4OvtTACCPEMIrp6n2jFuSVNw+vbg3j3t7VQEAaVCSpVEIVfTSWiAlAQ530NcZvdpjRL3n1NI3 - Ie3V6V4BtOJ0mAzjOJ+madmmNKR7PFv4rvEhQTA1qSmLqBRvhGkeocNqKl6rZdu2w/V43dL5Y847 - 9LAvecSff/Atb3vvmz98wwf2lsdKnmi1amtWKZtUX1mnd7u4EFRgP6VbAEDYO5ZTiwr2roCA9GNN - gN4MFCKNBGwd3SoOojqUguoGUTWIg6RX93XBN1PIfkz2+skbQRftScNKSM+h35SHW8cGK7hfso2a - mhpVqepQmrWc6va1Vzz9msuuu+L8x42rLT2W5jLaAG+uQpZlJVTE3S2Jqe4udjUJT667K1TgWP+7 - v+idSNKs42xVVntS3ATJlq1JUqUSwk1UMwkQ8Cqw3Pd7q5UOQKyfZyHCfrVAgpsqf/doGvowrNtS - cwJcZ28TltF3sBKAch09TLIyrXdzymilNneVBKiwR8gf7On2L2zuMSx5yKVqaxRBLe2cw+d94qYb - jhy66Kuf8/X/zx+Wd3/yzdvn5WO37iqxNZ9PpbkAcAqESnFzUE5ej8l+7P2Bzc79202bvzOy6Rn2 - 73KbbsHFldp7FqW2k9Hg3u+tB2o7yoHHu8CIumiH8qE2SbmVDzn3iic//nOvftCTzh0uznXUPYUX - b42mYx4wIaXUvNap9aTqZAMMfj86QE9S1V4mUlVzzr3CprOOs7RY3irjUJerkYOVfM3F113yJRf/ - xh//0geP/8XfLT/GmUtOk1cUihs8AQl0iFMIOMQBT4OVUmptZqqqrbHRlTpNxRUGQMRkPzsc+7dO - OF0EOZll1ckBeO9bDrTAlKyuyCb0NKzGc+fnXffYJz39sc85vHvh9uqI6FBqrfRxa4CzsakjwQAo - QVGINW+1NotPrP9RUNVaKyyllLxhHMePfOQjL3zhiz7+8U+klGqtvRH2nz+L3O79S9ae3t1frr/D - yTn3OPB+QGET4B1CCCGEEEIIIYQQQgghhBBCCCGEEMJn6DYxwyRvnzt8euqjcXLOlnOr0Gzf+79+ - 35++9e0NgEMVJHput6IPL7sXx40f2IZlqvPZUJbTC7/+66+84or5MHqpBmm1iUofHWRmrbVpmra3 - t2ut995ynsbMbLFYbG9vw4nmSe38c8976Q/8wHNf8Pw+d+U0SWyepmmYja/4rd8EIBCYltWUhtym - cgf3JgCYijcqcO7hs374h37oi77oi6RVL9PucnH40E4pZbVa5ZynaRqGoZUKQNZDadGD3gEA6qA4 - HVQIBQLpgzP7HVpb53wDqLWqwr0e2t7eWyxrrReef95Pvew/XH755f/Hj/ywbwZgn9yktx2Cf1qY - aiGQgY/fcOOxY8fS2UfGPNy1qiQhhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBDCZ8bd - x3HsP8xms/7LUzKOCMDXiTUCp8PhoJnQpbGO47Barv7Tj/0wROAVbAJx0okeinNXF4lkSglArTWl - FLndIYQ7E0EoIYQQwmnkNmmpB4qDnH61PcJ9xNfvECmuypTZUxvdATFvMoGAM1MGjoqxrbauPfS5 - T3zy02+86hPv/Ju3vuODb/no8Q/pcLzmuvATSBQRdwqhLkIRSjOqAkDPy1ZNaLqqbUy5L+7Qxk0A - ACAASURBVIKzkg2AGlSQHGiQHi8sMAEJtJINQGksjnUWLHugbM+hJigq7A/qr4VNKaaT8ZkUb4lF - KA4h9nM6hRAxcTZSIScLY4mrwXuMKF3EBXBVESHXIZNtszwO0CEVo7qJwhVLG337iJ13xM5/yhOf - ddlFV1xyzqXa5mWluszURDSXasn7kiugJoB6c6WqGGBsoEAsrddhE7r8me3fSttrWt1WAMAkhFKV - SJZLq0hWE2/FYjGvyzkm1nk1cQBOxzpJVR2AUJ2EsBeuAh0iyAY0eA9aXcf3rjf8fdPNKKdsFOu7 - ls51gm9PmgTgbbOEBIQwV3WlVFUoACIRmaKtqjMp27TayvNSplpKHuSMTual1obiApcMIHkxAvSe - a08XJF2gLgffS1wKmJoUCBPodIdIz7Z1gRKtR70aepW1tsnavINgV96j8bufhqIMsp/43EgA4j0r - GuJigPWeYR06mxsS0aCqMDoAJTLNWpNWB1VhKQVpNFN3FsNw36zZHXPyBEFRd6FTIQbkCoVDUjY4 - nEodMGhVLzkd5bMv/MKnXvKcv/7kX7zp/X/07o+89ebyCWwti7sMYEOtSIIxmUqbKvKgFTyZwErw - QFS2EiLYdJ/iYEtw2Wx4tpPV8TZdRM9Hxjow2CW5w3vgd7+zrQ9liCMrhOD+P0FLLvAeDN7v1jsj - Ma3NG6AmbpicDiTKlm9LEVUkmizl3HT+tY982lOv/JyzhwekOtcTo3lSlUaiqVBEK9QBJSEGF7pA - zW7XDTjkjoq+/WNnsFKaJKEQyXVmJ/y4z0G6eLE2CKAOJaypou8sx+ZjblFwcz7j/mffvQlt8qQ/ - TVD03WATNX0wit61L9Imy1oaDACsQZlTYdGaM1DgkwwyM2SlgelAdruC93h5OiEU0kolspg6YTnt - 7S4OpUPLxXTJ2Q/9ymf9Dztvmr/tg284NAJKL2i6QAIcbNCGrKbU1loya+4kG9wVavDNZdv6HNo3 - xqftxwXQtk7+Xq+9ggQdLhBRaN+x2p/OBdUhIkkBiLClXiQRADYZ2wZRaU5SiDzPcyvzK8599HVX - PONRFz9hi+donY1l24sL3Sgirg2Ae4KjgWowUQPWudEiuA9PSPcVkiLrK1vfpJeLyMTJBlMnIE28 - NYfNzxse+g1f8F1/8u7XvObdv/PhWz9QdkoexJp56+16cjpJgYuION3dq4vAkolIA90aiUa3DBDN - QdIJEe3LoP1CTMB+DS8Qp0mF0B0CUJT9mlNpZl7bTGY62RGc/YRHPPWZVz3ngWc9jEdxqG6ZW9Xm - alQsUVQgQJKkDnXtB3TzAoHkfrUTzhjuPgwDydVqZWZmVms1swapRIbRqao33njT133d13/0o58A - UKsD2hoB3K6i7h3s/f23e/27z/6Q/cq8OedSSk/p7gcRNlndvb5qCCGEEEIIIYQQQgghhBBCCCGE - EEI4HYgIN6OkDv721On49z13HBx0QhLrCQun13LeOZqpC5ZlouDVf/Dqn/zp/9jndADwzUqQvFdD - uwEza96gCnjPQ56W00MecNG/+e7vGlJeLZfzNLTWoOthhKraW8v+kKEAgGSPr04pgW6Cslpcc/VV - 3/Ft//OP/PhPpGSr2vro7jSOdbW6p5enkaoqApJJjYKpFjHL4/ie9/3FG9/0ZgAEW2sppVpKH3fc - 57PklEstlrQ1h0NFBLziYQ//hZ//ucseeilqySmTzVRKKQBUtbXWh431UbDrFrw/8LKPmFcBQUEf - Qwmg0SFYT6IxxSaxXlUBV0hZLZMiDUOdyiyl7/qOb3/Ywy79xm/5Voo6fRjSVCZAoYp2mo1vFLi7 - AA0YBO973/ue+5xn91xz4LOoTxJCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCPeBaZqG - YegzyPrUwp7BfF8vV7gDso6RYE/Wc/e+pwgkBURqj0eCr7PKNpNZW2Of9zYt94D9QCrvP67n8ZLA - XZ4at1+Ovi9bb0j/sLW8/yql5Nwj6kCy56DfvZv04Eu4e6QJhHtTnFdCCCGEEM4wvXiKuAqlh1gT - 1gOpgXUMr1DMYdRUs2Du3h44Hrnwqgc/+bHP/ODNf/32v37Luz/0zt12bImla0NyVTor4JqEm2pM - JFgJgaoOOdG9RwmaWs/ndKf3ijcOEt7TXRVmAhV3981bGyXaJm9zP4FbuF8Aah0jC7jylKDrJnDp - mdSA9NBu7w/ryc4i68DYdZSvoBSIQBVmEBVSSG8VmsTX8dZwojSIYBDZkm0s6QWDzy/YuvjyBz32 - 8Zdd+/ALryw3Y9uOjCe2vYqQSAIjQUjjuh5X6y+thOLO3sXdtbo8SrhMCuomhFjZS5Rp9Va9Tooq - VbJQ2HwSUAjraZ10R68oBAV63upmAfoCK+DC9bY6HW4BKF2oINgTyDfLvMlRVa5XBL6JCFW49wRn - VcDNYa4mCU5vpdYVVZMCJn7XP0857UjdHBoA4Jtk+yasbUWvnn3yCQniKFyNTEZsPoUCgE1m/SnP - 6v0zJ/bQzfu+Jey3hwOr2BfeT1nmzRoBgKxTogFo76+o63B36ta4tT2b+94E92FMU10gM5mdXkmg - 4kSD9MMz9SzdTXks3xwg0pPalUhMO8PFi1v3ADz87Kse+qxHfPT4M9/2V3/yng+/4xMnPr6clmJI - g9W2Wp7YE0WeYZocBlXslwsUgYiJs28KUujiIMkG6h0cMZtsY3EAQhX6eq+pA75fz+vgQ1OCONzB - BhIivVNCrRBbJwpTkQwAWkOZ3AYks+qtLiEqQ0qjzNJywAKjzh584UOeeM2THvOgq87Pl+hyPizm - yry/M7nJFKfoOqh+nf8u66jpTVMRoidSK9RlP5H8/oEqkCFl9ADpweF1e2vrhOw2Aj2lu9egcygT - 4NTat1HvMQ7UblPZdNrryOx76XyxCX3vS6Un99/+su13FFBYNTMbEqfaRrN5nk+7dbWaXEDZPIQJ - gFABuec/jk0gIAfPd6LULR3r3upcXPgvPu/rL/3LS3/3dS+fsLe3OqaH0XgglJxUEbOhFlfLkpBM - Kd7QnNX3u0ZCdLPdRACy3dGyEEmsP6RH3cJBoQpUjGRr7iDQRERVVayZuXtrDWimPcIZJAzQBrjq - KtOHjDGn2VznVz/yqisf+NjLz3/0YZ437G0PfgiuZbelJIAJdB35Ld43iBG406upAPRDYJPLTqi0 - 0drYpuXTHvX8yx9x5Zve//rXv/cPPnn0BhtnVZZVTzA1X98ZAohBswkBKMnaaiOgMEMyaZW98YBC - VbqgnxuoJhRQdd17K9mDtTXBgeoutGQDq/gJbsuhoW09+gGPefbjP/+KC6+W4zPckA/pTm6t73FV - 13XA/Hp3Ow4c2uLejxFGYziT5JyXy6WqDsMgIie/KxVsbW2d2F2M43j06LFv+ZZv+fjHP/FZPH8v - vDsMwzRNAGqtItIDwltr/at0rEsk45SyziGEEEIIIYQQQgghhBBCCCGEEEIIIdzPUCCmJEXVvd5y - 9Nj1119feV+moysgaiJavAKAOwSWVJxo/M6X/OtzjhzhVMmevyy8Pw3v/YfYH2QrgAq++Ru/6ZWv - fOV7//oDgylSXk2rulrBDPUOB5LebXqphVrLMAxlKo1uZhBpwP/P3p1G25Zd9WH/zznX2qe5976+ - WlWHSiqpVCooNYAAdbTGAmNjkLFNFJI4I4EBApnkCxiH0HgEknjggWFgwJCAR0YY2B8Qw8YjYEgc - gi0isECyQoEQotQhVV/vNufsvdacMx/WPuee+5qq90rv1XtPNX+jxql3zz13n92u3a09///y1/5V - HbuwM9zMrKVnJxE3dfcWyK1l9WCR2pu/6It/9md+5uYzpxNzHYqVSnx5Hb5bh9rzX+m5BjJ2Vx4T - 7v3rvvavTKfTb/k7/+Uk5X4YAIDousvtbsZemFDH448/XkppT5Vd25EKIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCCGEEEIIIYRL13UdjlYaj9zu69a6FHwLXW65y2Y+61KpavD5fH5wsASQcq59DwAE - b49DbgzHLi3Sy9fpSxf/jKoOw5BSEpEWA98C4J/P5L3o5ZzXWQDu3h4jbf++IsMnopxzW2Sz2YyZ - l8vldDq9IgMP4TnFriWEEEII4QbDbg7mVcCtUwssZQMYDDcATqYMhxpMeaLGdgDQ/KTcdWrnjs97 - 3Rf2rz/4+GOPfPCRD7z/I+979OzH62RZu4MlL0o1F7ARM7OwuletZM5JiFG9mqk7iMEMCNiQnYik - lbNRd4MXd4cT8zrVdR2l2dK114HWLXB6c+poI2OYHUYgw/pk1gi8Kp1jcGEQOVpqL8AEAkkWM6vV - rADkRN6SDsXdKxKh6zqG1GquNPEtemb+OWdefv+rXn3/nfffvnPHFm1zn/A0HZ/vWDHVJSeekFQ3 - qmOMYBuNtfFkfpUy6BsTeLkI6DS5GfnECUkTObevEPHcIWXW5BOn3OtULc0Su67SZ93Wlwzo6EDd - eD2fnQzEq4Tka/sKuNDQQiUxLtZxxTAar3oQaCyWBICg5La6HsJO8Cww8c6LuGI2m6WS2UxVSZ1v - 9HpDzuSZwQnZCQYGmSKBjIipQ2bOU0KFLNEpkiBDieo6utsPN7bN4RIfRmba9bAm2CpvnjCM47vR - dLRgZhkTx2m1qrDDanJ2WGsACOQsSOzpicefcveUYYsBlmE+4TRoua6CQA1wYicmFzi3OUGoAABm - MLyl1cOpGhcHlqrI3PFc6yC78jn0qjtfed/XPPjNH/r4H//Bh977/o+8d1cfzzvEUx6or145t10E - zFwV5i26W1ucNkHAwixOIOJEMO3pMDX8cFTpsE0zdlhrn22dkN3G0rBaZK2w3pgUy4lI4HAncSTJ - xNrXg1IAgghYMN/C0KMWTeC5zOBZD5wGOTO56bUPvf51L/ui27bvng87nc5Ys5k5QamQM8At7Vyp - JS9nuBngaC0nH4Z2wwCwG8gABxUQ4PLiiQomQJDLoORla6cbeOJ7xTunhGkHOJGTA0ZkDG8749W8 - OZyLYHK0nR15Xg3b2NlgDDPYVdtfsIxRvmwwgMkNzgZzaonyvF4BHQzCQKplIKEKJMiiVvF67PQx - e1qVKzkz2ODkJCYAnA+bzCvPE9pOiQZAnZTAziBzgtfdg5tvuunxs/4Fd731JX/1c/6Pf/vuT9dH - HrePL/2AAU4MJ1MtdXBH7rKiursWNzIHmIkYbZ6Qs5u5wVb3lkRWy+9wl0gELpUE0o6j4OpMwmDm - oQ4AWEiYALi7qmpV8ZRZKIlRVaAamCCMbJxpnnTe9Vs7fPPdN7/8wVe87r67X5GRZmkulut+rdU7 - KcmS1yLUGUOJlKVtu+JHGhxsZLFv2Di6aYdbV3gJXd+cpS2ocXllNgaRkecstSyO+11f9cpv/Nw7 - 3/Db//G33/vIe/flcUpDITVAGRUYHO5gVyGYKjlYkEUAV7V+6R2tD8LFwQQYHEQsAMxJQaDW8sPN - kDP15iB004xCutCZHTtJZ151+0Off9+XvPolnyfLrv+4TXky62bkULd2XkAOts210ZygYmgHLeP7 - L5aW+bMGrQBYLpfuzjkBSCLL5TJ1uah+17u++72/9wcbf2QXWtAXboRrrTnnYRiIaGtra29vz91r - rczs7i3Pu7V4pRQiirvpIYQQQgghhBBCCCGEEEIIIYQQQgjhxczgfR0STyTnd37Xux574ikDRETt - 6kY4XwyB1LR1SgQAZphZNXZ8/kOf+01v/xtWFaYpiY/PQ4RL1dK7W7WDW2666Yd+4Aff/s3frGqq - /WQy6YceVz+03dyF2cyFpPggIimlwXRvsfjlf/HPW9/cLKLVzEAkgBWtAObz+bDs1TQlFlCt+pe/ - 4qv+yU/+xJlTp/Z39yTlROxwwC/UrRSX29XwIgMBjf2TW++11pGembA9nX75l37ZL/zcz3/L3/kv - GAAxCblDr81m9KyICA6HAZ/4i0+qapYUW1IIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEII - 4UbRspYBpJRaGnQpJef8nH8YrhV3NzMRUdWWls3MZUzCwcHBARGBqfYLFjn/8dYWs8UbhemPPhJ3 - br36S3lgrq1Cqtp1XSti/3wmLADu7u6t2n/bHltGwJXaJBeLxWw2E5HZbKaqRDSdTmOTDy+YyLEI - IYQQQriR8Hh+qIA4mVIibzl7NMZYgkHmzkpWiQAGQZgz5uzmVTHUTN00bU+3du556L63vvYr/2L3 - Yw9/4gN/9PEPfOqpTxz4gScbMAAmQgwVh8HEtZoLQRI4EQBzN4M5ltUZtZ30EpGvctsMq3NfPzwd - dTL4kWDpi1XAwSq9j8a0Qlt/sr3PeRySG5TgjmpwdzZlZ6YkDIYxKHNiiC99izpy8X22itNbJ++6 - 4567b7nv9fe9ZVa3M6ZTm6QySZqTC7OUg95gzMzM7sZW3D1Tp0aGvHGabiAA1oKHN8d/TFe8nJJA - 7BA3OAyppRuuZhH32jPgjuVyOV3MT/U3DUOlIrW2jFxbRYabEZy8lWE6iuBMY0LwdYEdhNoCO201 - 9zYym9tvePXZFTJChTM8kzPDcu2O8811l5Zb/bQmRnJXgNtlmhd0kq4oAthT+4c7lOE0rmZqgzjV - Ouw9eXAKt5aD3oqJiOtYNGpze3Hwan1ogxhnKWCE66rAmfEYXA1s5He28Wvjf3jhjJjcWpPY1moH - yEksTcrs1p07dJ/Zs4i4Gwvpxat2XTvs1KLTmSBoE0LMMEBBClrPDXfAiB1QAxsJZ6GJENWKOpT7 - T732lW999V9+49f8yV988H1/+rsf+fSfnq1nPZdC+y6VmRO7szjZmIY+ZqJzdatm5i3LnfKq2dnY - 3mxjdMe9DWNsxtlhrYWnVciyAwSn8SuIWB1wNYMbcUoHy6VaEUHXgRlucMXiKXRIW7TFQ/aDvD05 - ef/LX/3Ay15995m75ro11xOTxc5Ed9hFYYQCMSdbjZugpXQDDAa4LehV7nsbWYMLt1jYwwaHna6n - 1f8qczA4ETkZWB17dtv0zqeL9Lari7azESNUUQdaO9uCdDd3GS0onTZ26+QbEdt0FdsTdlp/7+o7 - eHN93ngfRmBHJ1ytmLHCMzEt06l0897ji7lw+ysD1rva9ndXaeTb2MIzYKD2zW08jcjcdXtra++J - g2M7pzrfStvT/+yvfdvvf/h3fusP/vUziyf6sjQxTwZWyQrBoAUMIU5EMHYnMiKjWisRExFTaodj - RkZEtY77QWeQt+92cpM8cXMHOVQdICV1KESouru6K5jBjEliiGSel1JrKQSZsSTOUEhJdsBntu+4 - 745Xveruh152y6tOTG/mIfuCO8l1T9Wsk46ZSinutZtNVLUdna6WGvu4yPiqLoAbGgHsDEABI4Yz - QO0QyAewd5M01X5+azf7+jfe9dCDX/DeP/6//sP/92+JnlG2PEPNRjQUAAQiInYorIJUiZEcicfc - bjdycoeDiYnBblYd5gYmEEEEBAioVJAxe8Yy8VJumd72mle84bX3fsFLT78y78/oiZx9Ms8TItFS - zJQ2rkCLAw5fHzaT+3gM3ULZmRxXeXsMV1jf9yml9Y00ZpYuq2qtmqQbav3u7/7u97znPQByzqWU - 5/EVLRdcRPb29tottOVyaWbtfXff39/f2tqKm2ohhBBCCCGEEEIIIYQQQgghhBBCCCFwkk6m6v4r - 7/6Vf/Obv+lCWPXAuUYjxDCtpmAiEVcFAQYhvOs7vnPeTQ529yZdFpGhFGa+7np8X2eO9n0d07vJ - cbC3/6Vvecsb3/BFv/Oef+9A3/ck7HbVO+O1shpCrKrMPOkmy6E3+B9+4P0f+sgjrW9x3air4e4i - 4u4HBwcEnNg5trt7tgJ/5S999c/+9M/MJrkOZWs6M9W+73PO9KzPHF1Z7XscIMdif39nNvuqr/jy - f/ADP/g93//fuZtWu+46no9j7L7q8P3YY48xs4i4Kl13oxtCCCGEEEIIIYQQQgghhBBCCCGEEEII - IYQQQgghhBBCCBfQdZ2qllKYueu6luS9LkIerjellK7rRARAy+1usdl9GVJKbQm2ivRJUtXDEKJ1 - /Mk5T799hg/DtRzxlpbGzGbm7hHd/bytt7uW241VLLr7lXlqcTabtYdMt7a21rFiETEQXjAR3R1C - CCGEcCMhd0YFmVFSiMNATN4in1vdFXNACUZJGWSc1EnVvHcBCyBeoUSe0pTRsc93jt308lMPffUD - /dO7Tz968Kn3ffT3PvbEhz/56McG3ZttuXRFsRjq0AmUYIpa3RwGMGVikqm7q5q5+zqVmzbyUAl2 - GPPpq2zXVQGdZ6uk42Bn8nbIak4wMidrw1KFO9hBhCRMTHB296VWFmNKpMRFUIm162yWhm47nbj5 - 2EvuvvNl9931ypfcfPfW9BgPQs+0gEsBZSI2l96J3BPNEhHBrA5mhRiSQORkE3FpIwNSJzgpYDZO - 6ZitSM4tGtTosk7yTcYM3Xa9wIxglByAJDhyldOTO77qgW/4kld+OUBFnXNnR2agAXC2dXT3ZrEl - RstZvI4uEGyM+xjE22bYuHr4kQ86oMQgYyg5HGJgZyUnLPM9N718y06iQhKb6aDFbMjS8Y1ccojc - ACS3KnBWZZArnCRncSTrTqXb/tM3v3Mp+6kSg0nSxhJfxdwSk48ZxtaucXjLOTaCsl836Zh0wTGx - w9XgaPkoX03OKv29rTkkmmiZp8NpG2QqHZGYDYtS8yRZvW6C6wEAZInH6mlOTuxCToCCCmgAFSfA - kyHDZnCZshCTQlXr4L1BIU7JmNg1HaNbXnPHmdfe8yV7B0//+SMfevgT7/+jJ/5gD0/3/VK1ksAT - nE1JFaps4MoEJBBABCJy9dZgtU3xHLrxDjvgIIO0rbXFrNIYmM2JDG4GhxkZMyELwQlVxDJLFrGq - 9UCzyVy2qE9d3b5pevt9dz7w6ntef+dNL53zcSrYGrIPVkEgqWKmRgBzYi/wZGRYrx++SpUe47rH - dallJLc1pI0aCIAeSSd/sbDd5d40d9OcyzCc6E7951/9rYu0q14m3IkTnI1Que1t4WAlXu2OxgOM - 1ZzcDHRfR3cDGNuWq4KMzm2sePzewy8d/0FOgJseyCQtvfZaiTCTblrnt6c7Uz8Xy07uMKMWP15w - ldsGJxjxah7aKnTclJkMzrS1tbO7tz+Zz7pucnbJX/qqr3vjA2/74Ic/8N4PvufPHv3wXn1ymBwM - tF/owBJAUDWpSBUZNPEOkgpyi+V2cyNztKvXltN46c8IDBjB3Z0xYOkJ7iCC8HjE4Aqwr8peMjtg - UIVB9xbPbE0nW2kbS8ZBOjk587JbXnH3Tffef8+DO92JnXwi8cQrbM9B1FGquzbL89xJ9VKteEIF - KgonkLsAYmTEDlbKANphJo5GsK8d2WLbEr/wLuOz2JjYDcDJAScUcWaVNJkd1L5Au9k2en5puu+B - L37gax/6hg9+5P2///B7P/L4h/bpmcm0T5MKKX2tk4ScsrtbMSsmxCIyoJjD2A0KqDsMIEPuxIzg - 3o7f1Zgg5DzlTDVNhvkdx+/9wofe9Lp7v/CEnB6e0fREnqap5KRq6iUxCbI5Fa4A2EFtKyCHozXj - DhjxeB7jfHjWEOndN45W6HOxWIjIZDIppSyXg5l1eeruP/zDP/xrv/brcKSUStHcTcuwBHDpizjn - PAwDgForgJTSYrFov2p54aq6tbWFVYh4u1kbQgghhBBCCCGEEEIIIYQQQgghhBDCi5AT9heL6c7W - U088+QM/9IPOVNV2tnfO7u0e7Sp5pMPeVWVjejQLJ60DgC4nHeqbv+iLvvZtbxuWi9l0Qg53dzIw - vXBBzTes9Rxq3ajbkyPz6aQv5b/97r/7u9/075llqYoXJGlaRMxMRGqtAlK15bKfH9t597vf7Vh1 - QXYDEwzuzkkccHMCEvHu7tkMetMb3/jTP/kTs5x8qCJysNzLko5tbxt8GIarGkG9em6lbR2t17Q5 - sLO1vb84SMLf9l//V3/6Zx/6uV/4Z53woNdhenfrGQwFBNjb24Pwlap/EUIIIYQQQgghhBBCCCGE - EEIIIYQQQgghhBBCCCGEEEIIL4BSSs65VRdX1clkklJqgb7hOtSeKCQid9/a2trf32/vMyfmVGtN - KZVhAI2F5VdJZoAfpnefZ/Mpv5Ef+RWepbL9Oqt7HeC9DhQPl6slrwNQ1ZzzcrmcTqfuvo70/sy1 - NQdA3/ct2oCIUopI5fBCiPUshBBCCOHGQgDD4TTGFrYTRSNgFYZnq8xjMmYgMYgYZE5uVqsbACLS - 6syJDaoqKc26U8emt5xKt939+ntLd9DX/Uef/MSHP/ZHf/6xP3n06Y8vdNe4WFInciElNrATm2nV - pUpLq8aY3+owA/PGGPv6zPfw/Led0Tq18D6sYqbHEFBa/ck6rHH9u2YiYgY2chUqREbuREYn8jHv - DdWp8ky2zuzc9JKTd5zZvvX+e159anbmxORM0nnSLmmHs8kHm6fkUHU3sAIOchAg4MRErtWMWbJI - Nh+GUoXaVGzOanI6ml0KXiVQXzblCrAhO2CkAJwMziAiMJSpl5umd93W3Q1NtcKYlFfBtOvLBr5a - K9qfn7MCXT91eJyPXOMgO8xpJgeOJDWzkx1GnyrI4NkIymoEm5KU6XJZ2OAwMEi4y8nL9TO1l81X - qduAiVmRcVFy28CdyRwLvvfE5w7Wd5WYuQBK6/VhnLctrH1cHzYDmcnJja/DaExnjKu9YVwNDNhc - KwxgJygJADED4ORGUAactk7Ph72SORvcdDA2gJzoeW6WV0drQ1YruXErSAYAzOde82OA2FGGJeBE - LIlzNwV70b5YERDbHCyuToNt0Ynb7nnJa17++me6xz/65J//2SMf+tgnPvrY048dLHZ7LCoNMqFK - tfJQqZi4kznB3Wl9FXJsPS468u2CJdP6p8PcbgBaff1bcvJC7krOZJSNhXNG54PPXnb1HQAAIABJ - REFUazoxPXNmdsvnPfi6u2+69/Zjd3U6l3461e2sUxSTpVNiFu91KDYQc+IMAJ7aN27MoJZK3NK7 - 1Qm8SkdmB8btaOREgABXM2f6+mOEPEnEpHUwVetx95n79q0XkVQgRmJsBGVrrbAROdJqZz7WoQMM - 8IvsROhqp18DOrYM66/0wy/diPvlFo8NL3mSFzAlmJfE6LwruzVLgrOKGrXDj3HX41c3CtqUK7uR - g52JDIACIHDOu4vlNE2muesXJSHtyOn9x/YI+bU3f/Fr73nD48tP//EnP/D+R/7wzx97+Kn9xysv - nQuxJicGsRFV1B4yERAcCncmAA6wE1MlI2s1+Kxt185OxsLqLg4iYhNykBEpXJ0hCR2DecxRZjjd - Pts+Pj91y+nb77np3rtOv/TM/NZtnMg673RKylbADkpERGpaa5nPd6h6v1hUUwgkCxi1DiJMZHCs - D/nWrf3mmmVX7ML7ZwNHO/Cm8Seq7UBUnMlSv7dMk5xyOtjdzZy307x/bHFc7vjil97+mnvf+Imz - j/zRx9//8Mc+8MknP7o7PHV6K9ehqFUmFwHY3axo5a4jtkRkBDdyqBvBYXtVKGXqGBmaoOyVWOXu - m+944L4HH3zp62+Z3zFZ7kzLdtdPtlJmWK1DQRERpdoPAyNLyo6WCG/cJgEAwM7a9h1OhPGYcHVY - cv0dnISLY+Z2t4yISimLxSJPZ9PpdOjrj/3Yj/3SL/1STlKKtgq8ZRgud/jthhkzq6qIlFK6rjOz - Wqu7D8MgIqrKzJPJ5MpPXgghhBBCCCGEEEIIIYQQQgghhBBCCDeUyfZ8/+DgR/7HH/3YJz9ZDQD2 - 9vZyzqVcmyoVDpeUxvIKAIAyVAHe+e3fkViMlEFqyklSl0spQvHI87NZRU0feZMcrtZ13Ze99a1v - etOb/s3//dvz+XT/YImr3xuTmUspSYSICFRrzTlX03/1r3+NCeoAAw4RMXd3N1UWcfcsybQK8MqX - 3/fzP/2zW7O5DmVrPi/9MJ/OALRqHZIvdX1oEebnvz4PBBzs7U9nU2PaO9j/ge//7x9++OHf+d33 - CqAvVOb9JWrFTdY/tu52WlWuXAmMEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEK4qlqR - 85a+3AKYN59JDNebVnC+67q+7/f395k5pVSrtdgbAGaVgC6nWqsa1plkm+ndzXnh3Odr6WzriIML - RBiIiJkxcxux9o909MnWcOla2X8As9lssVi02TsMw5WK1m4PRa6TBVpA+BUZcgiXIp5jDyGEEEK4 - kTiSojMAY9KkgcbAVAPgTAA52JHcOjMjq8Tq1asRY5LynJOqlqIdpSQT6aRYKbWW2gPeeT6O24e9 - 5bL0Z6Z3f+6Db8ZrfVH398vuRz75p4+f/dTHH3/k009+fLd/ptjCUIqUZSLyym4uLb21tuo2thkj - TYAb+ZE42pYGOv7c8msJcGuvDMANgPGwmvbV0JyJhJacPCXvuGa2LJ4zyQSzWya3nT525rbbXnLr - TbefOX7z9vRYpqkge08JnfSCFp0pEBiS97YkBxEReSYyApwNxbS0iEQSJk4OMktmlZOBegAtNHGV - PYkxCHZ1Pu9gG2fDZUQPKsPADq4QAAkVcHJ2sGoh5pxoUCefWgXbZCK5+iK5t7zxForYYkHXw7QW - h7mKRr/eOPGYw0qrpMYxcBQAcKRgEBNBnAlVoKKAZyVWr8YwgkDSrINVx9K8usvhWnhjMmIDMyEr - AJBDzNmNHbUWkiQQgWDIWbsMCBFQE5ycyMd1kRzc5ucY6L6KRyUzZyA9z3pUV8c4LsSAja/YqBi2 - Xp7kcHKAnAmQlvjucII6nHDwTJmkTCJlGNwVIimxmckLUH7scoivL9XxOovaAYM4JrDZ+DEAKCCT - BGYGqqrWAzVQ5pxlW9Xd3anl5qoD6uj0+Kl68uTWvZ/74FvLg2Wvf+rRpz/x8cceeWzvU3/0p3+4 - pP0ei8JLSxWsFaV6hRxJLz4ancuHa8oqu7mygSpW1d/a79nARonSGKhtAFg4z3ya+9TpdHt64pbT - d9z7klfefdvLbtq5bZ6O6dInMmMVL5UTd9nN9pc6MHUpdW09z5xExEC1VmcGtXhi47H1s3ULZ2Qg - XwXEjgHiDIYno7Y18JjqfT02ilcRUyplILU8ycbcm1XMWCam4z6Dx7RnAzmM2NNY14/G0O727/Xu - vQXu4iJFAK84J8DHY4X2zpFdxNGNW4zJ4EvOPM1JjIa67ImwM98qg7UVc7VKM8H4cAqvCiNzFCPL - RgRODiMowSgV4zyd9P3+fJaPz+Ts2bOQ6emtW7QstB/q0m5JN99+51e+5Z4vPai7e+WZP/vUhx/f - fewTjz3yF09+4unlU70twJ6SqvZMZuOcMGJ3diK4O4NaEwHAiYiEiLx3hkg7iFQnTxk5oyOVTNN5 - 2t6eHz+1c+qmU7eeOXPzyfmZlxy7M+sETolzRxO2hMquKFUnWSaTrtah1ftLWbrZZDmcJZBkmciU - jEopRJjmWUE1okoAIM7kEFcyc7J2JLC5WbayiW0xrd/k6/J45mpTEicjKKMAldyABHIIzyfTOpQy - 1OPdzN1KP0zSbEa59FUo37u1c8+Dr/jSV7/tyb3Hnjz72Ac/9IEnzz766DOf3i1Palr6pA6yBNyK - A2zuAMiRKAskee544ktCnzrMzxy7/XPuuu/ee+6/88ydN03O8JJgKS8mpKLFBh2MjMlBIGYnOBk6 - cxoUCkvrJkLHxdqOUNpxYGudDVQJjpbl7c9ykyxcX9qtx5RSzrmUMp/PZ9s7TzzxxP/+S7/8M//0 - 5wDUqpKT1goS+GW1tW01MHdvdz3bvbphGAC4e9/3La7bN4jIlZ7EEEIIIYQQQgghhBBCCCGEEEII - IYQQbgwG9H3/8J/88c//wv+qBgDCVMxLKc9aueDqak/RuzuIGERub3jd67/iy76sP1h0Iqrql9et - 6MVt7P+66l7pAJkRmFPf92D5+3/v+37n333twcGSACI2v7qdLs3M3YmImGBORNPp9N/93v/7qUcf - VwJoVUpjYxGbagucJuDWMzf9b7/4z06eOCFuIqn0w3L/YGdnu9Y6m836vj25c8Feyuc+F8AOA9jd - Dv/9bD1OVzOSjMDk5GgdFxlmhMk0u+tiv59Np9x1P/Hj//i1X/iG3OXFUD6zGXaFtRlLq+7VrTCN - Wo1+dCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEG0VKablcbm9vt8zgnLOZtYLk4Tpk - Zjnnvu9baHcpZRgGgAGhlBnK5KalDhXrcJExZmQsO9+G056KA9bJ3EcSzS792cjNxO7243Q6XS6X - V2BSX5RUdTKZDMOwWCyYeb1VXqnhb2ar+5j1bsyRTBFeIBHdHUIIIYRwgzEQWhQvATA6TD8jI/CY - JG3sYDdyFKppkhlkVWtVA5g5pwk7l6H03hMRCYQYDEHSZ3QqWzM+XtXKnoFJ+HjnyxMvuaveuTRZ - IJUBe8/sPfHpxz/x6NnHnjx4anfYO3v26bO7Tx/0+2o92CHu0BYPjNXpbiuX42Oo6uFZ7io7c/0G - bxTWITGmw+g+ZgchkadjW8e3p8dObp0+uX3q5Pbpk8fOnDl508npybltp9q5A2DxzH1yJ1JhFpgz - hJiYzczcjAgk0obuBncnV8ABZ27zl82tVnNH5jyd5Kp9i6oFMI7YxuhtTpHT+p3LSe8mdtCqThCv - k2W7nM2stvpZktypDAauECHU9Rezj2O1mttgiNNhXaLNXN7rgVMLYWanVi9IxopN3gI7V8nvAJxb - hCdByN0d5MIgQya3lmYMruTKAk6JlVSVcWOXHHKC+ToWt23aTI5ZTqqqbiklq9RxFreyGLgjI19n - LLODfJ1+7U5gkJONCdHUqqBdR2nWTuutSdavFyi05etXhreEz8M3AEyS5Cz9wYIZk+l0OfQ2fuQ6 - Wh8ItkpVJ1ttmGPr4QxPALfw0pZm2pZgtUqwxMxpAidXtgIBiSQnlDoYjIWFOzekYWoGJ+2kzNPO - 6TO3veymB3rs/bU3f+MziycffeYvHn36U0889enHnn70yWee2O13l36g7K3JcoJtNBdtltths9bG - tqLVKQO4BcY7s7FAxISchbqt6fzU8dNnztx8evvMXcfvunnn1uNbp8imVLrscyrZD3guU11WYheZ - mPX7+/ssPpnNTJOqm5UEYcBqNbAIqaMFt+PI7mNzr2JtB0SrdcJoDOr2FgnvjOusMXwBjBc0fShu - ANei0+lW6U2M3WEOciNiI2Izcm7LFACInFrlPGtp6G1NYMDBOIzuvrqzdKN9wLiWbvz73A+7C2WA - QWl5MHTzLmUZDg5k/WFa/++FqvZIdZ16vjqKYwAEUdXU5eVyMaDOZltV83J3kdi61E14Ukv1wbN0 - Hc+2cOL0LbfX24s+UEoelrQ8W84+vff43mL3mb3Hq5a+Xxz0y2FxsCzLUoq5qplDHeyuRAIBcxLQ - BN2025pPpl036dJke7KzMz++Pdk5vnNyJtvTPMs0IWV3Iees3Ww4xioAu69KZDIRkWQDMAxLd08p - tSvdi8Wi69jIVGFlmWmSUjKzWiolOBhkTnAHwcjBsBadfs6yvGAe/Itu022H++N0V8BWra4BDPZl - vy+UZpOpa+2tUmIG+4AuTxmZl0sr3bTb2pmcvvnkXQ+++fML+oXuPrV4/FO7H3vs7KeeXj6xqIvF - 3r6qqhrMmblLk1maTri7+eStJ7dO33LitlPbt8zSiWRT1CS1my277J3Bq1ew55QhZmZEvL7DAUFK - Sb2aVgaPrTHAh/Uu2cAAsfPYrgCrxvk62l+H5yQi6+Vea53Pt5984unf+PXf/JEf+VHVsZVtd7gl - kVa93Ha3FWnF6s4ZM7d7ae3W3arwKKE1/lFvNIQQQgghvMhtHm8/13Xfc47NL/Jxu/ggN67V+LN+ - 3bm/Pf/k/vl3knv2b77Qp+3Sv3G8Nnb4hp3/2/Wg6LxfHHHuWF7GaIQQQgghhBBCCCGEEEIIl4f5 - 2975zqIAMJtO9pc9gGvYcdZX3YeYyNQdPsv5Hf/J365D2d7aWh4cTCYTg9dayzBMJhOPYhqXhrw9 - PTQahqGbdEXtoYce+ktf/ZW/8i9/DThSqAJHIr+P3NGwVb8+vvxOtWbW5cwgc6umkpOa/cZv/IYQ - ioNbD1HAqraOpw7kriv94K6TlH7qp37qtttuE3itdTaZ9n2/c+JYWfbVTbw9YnLV8eqGU3tcpXVn - LKWknGeTqQN12d968y3/5B//+Le98ztbvY/rKGq+PTrF5OZwpJRExKNERQghhBBCCCGEEEIIIYQQ - QgghhBBCCCGEEEIIIYQQQgjhxqGqx48fb48i5pxLKdd6jMKzIaK2jMzMzLw9DEjsRK7FCGo1CSmc - AWbouQ+4sp9bj9E2kr/az5v/fO4n5kSk1tqixIdhWC6XLXD6+U/kixgR9X2P1cY4DAOAKzg/16ED - RLTOIPAX6pHSECK6O4QQQriOrI8FmeE2JmDFYWE4yhgAFGhldBgwG6MN1+VVDIARjBhAAltxRctG - TgDMHajmLau71ZgxM4KZwylJhcLcCUIweDITSl5gxKCpkwInT/BL7r7l1X6rmZMRiNxgvS4ODnZ3 - 988e9Lu7B7vVhmVZLvqDRb9YLpfLMlTvjXv1qqpVdRW0JkSUcyYiEUmpE5FWMkasO9Gd3krz+Wx7 - ez6fTuZbs+2t2fasmzIywGxEzsAY781LltU7AOBMWJURUgKI3Ff1hxgEJ3Osws+PZIKuk4/bZ9uP - pSo2z9jHgjvPUcbqcopceatNxAmKsfIRM8wIUGtJhyQwBeBdYsDIDy8TeIs9vPj4XEcVglbYzQgM - GIwdLX5+lVy++m17//CKiBkx6LCSVPtYEmI3kLszKggg8POoHnX9WM8cZcMqzR0wJ1RzECsx3Ijh - GBTgzA5jP2d92LjYNBpTsVsg+gs6Sc+FLzP6t80fp1bRCw6s1yIdTFICMBRlShiDTq/4KD9/Dra2 - UGhjvA7/1X7V3rB1xTwiAlgdqu1NhbQwVGvTz2A4yIycgSoEI8DYC7hwYpnQ3Itu0elbd+71Y4a7 - 3MkAFKmPHjy+tzy7u3t2f3/3oD9Y9AcHi93FsOiHhbpWK2ZWvbammx1ZOiLJkqZ5Mp9ubU23Zt3W - RLoT85Pb8+1TO6e358c7yeTsRuQsSOSJ9xkg8gRncgKxW00MwKHOJF3aAmBDyzCnlje/iqB3d2Ow - tb2hj/nNm5niTjau5C7e/spbevc6Z+jwb1882AFyrwoWh0F9yh2GImAe94Jt1o2b4cY839ytHN39 - AW1FfWG2rIu3Dxdox5ysggB3r7ljr8WB1HXqbbOy1fV4ZpiDja7uLnLVgLd11UCCcb4ZewEZmVFK - 5KmoOVQyA6jtGIUFgMHcSTAhzcnMq+ngc7KTdNtd05djajg1ToHRuPvYMB4xAuxkAK/KNa6SpZwA - kDNarT5lqrxqm3j8lcKggINsdZTk7mO6trQjSgcUDO4kQ8EQMOCsri1Hixir2Glu9Q3Zx5Wt7ZKe - fZ90acddn4XWC5SdQXAI4LYuoplJ3dV7ZkAIEDUQe7UCmFASM+9dIJkSFpjwfIuOnZrc9tLp/X6z - GWtbFud9KVM7TndmZ6oJldgS0JpuqlCMRxnUbnkxWnNCAIgAgxkIiVZrexvwuASdV+c1ACnQ4slX - q8B1dnzyYnW4FM5fQzYOS+Cutdat7WN7e3s5TwD+zd/8re/5nu/TMjYMwBj2p7Ve5jgYAPfxhlzX - dcMwtLuwtdaU0noc2j/iploIIYQQQnix842rNuvD8fMOk9cfsfFWExig1ev4CULfL7rJBLDBSuLk - MAdcNUvnbgCYkpsRM9wBgUOrtWsa5iCCKtjahQ0AqEVTFrPCcsUO3R1c3RIxALPxJpmqp/YVtjH5 - LXHBtZ3hqKqIABiGmrvODERggjucnEBaq6TU5o+Mg7GNIAZzsB3OX17Nxo2rFhc7tx2vbJx7wS2E - EEIIIYQQQgghhBBCuLjNS+utk9bYgbbW2nVTMyta5/P53u7+7Nj2j//kT37w4Q8BSJL2l70fPg9/ - LTpSEwCkyawuF1prAhJw+5mb/8Zf/wYR6UvPSYpWAETUpcjtfm6tx+tmny4Dw5GztDsgfem/8zu+ - 41fH6O7VHSRa3T8ikBuv7lU4wQH1sRfx89CJsKOYGjx1eVlLypNf/63/0331sBPQQruJyNrPWgVI - jP/m737XW970RqvVXEV4qAMJDbWnBAEXHVonVqweCTrq3PW5BZCf//rsxh7Eq4H5qlN6yqnWKiJW - VYS3cv6mr//rv/jz/8t73ve+CoBY3QCWlLTWlHMtBYfx6Bv3kV6AzY7ZzIQJ6js7O7UfDrvV+Xqi - LtQPMIQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQXljnBPS2YuOt3ngTud3XP/fDx9Vq - reM7XtvTea1eZdUxnOAwY+QwrWDtwj+e9zCcrYvdX2yUWqq0mbWc6fU74XlYL9/NjfGKz093d3dm - zjnXOqYgtW8RkXWwt5nxc2SYhHB5Iro7hBBCCOGGc/RU8CIxh5tnkhfM1Dynts46nfGcWMTxb8eM - gfO/imEtv48gYIZNq05q8eKkzupkYDdWMBG5kRkNTtZOgdpgieTIAHFYIIctpSFndMxMTlCQs3ji - QazYuX81uryobFxSMZxLHOaVyJIcF+g5S2f942ZI6gW+7kYsp7PKLl3/+2Kvtl6Tzw/vPDJPfMzJ - +CzAq2Ti1Y84sp2OWs7uBf786MfOmyU34upy1HrTeM4W77oK7V7z51hPz23tN/5wwwULpY0f3mgx - 2jsmF/gwAEDZdia3lm7Qrepkzk6sTm4+cGaDGYqZWfs6MoJ0nN0IRu4gG+Nd2USMEzoGowiWICci - Yk5qF2skj0TaXHifdeSTtpECe94MuUgozjlDuNh8+CzG68pyzgDkwruSjbl6QwckOx9d4ue8CRw2 - C5d4JPCZOpz/Gxs+ux1e6z86zucnKY9/7ONWfI2u6F3aWnF0M7zQMeeRKbwuW+jry+Gh4Grenr8j - 2Lxf4UeO5Hn1acE5n/sMPEcT8SwHY/6sx+0R2n1DaXe42y3tg4MD5mSG3/+9//C93/t9y+Xw/M/R - jiKidqtsGAYRYeb9/f2tra3PdOxDCCGEEEL4LEZjyICtjssJh2eShDGYgekw3Zs3P0MA0E1mVQcR - 6TgDGIYy6aaQrKqqlrvOAaMWl0AEg7sk0sVCugmbodSUO5jBAXPUmhKwNO575G6j+yTgvBonXO5F - AuqHPO1AgKp0HczBnFhQDCBwQjV0yVUpCwgg6ftF13Vm2q5Ydl0HQBjtRIMIQz9MJpOUUlXHZsr4 - OJ5tzAXjvGWsIyNwdArWE0QbP4YQQgghhBBCCCGEEEIIV07ruiMifRmm02mtdTKZfPSjH/2HP/aP - HMg5t0fi191vrt2IovY9AMDanYtvecc3dymTH/aBb6HCmzHD4Xlwd1OdTSavuv/+1zz4wB9/6E/2 - loVbt8GNucqr20OH9S4I9rxmOzm0lL5W6TpJgiSu5SMffeQP/uMH1vdMRMRsrKFA5F1OdagEvOWN - b/yud37n3t7eqWPHhuVS6LD3+5GnRS65V2Fbc85/fX5qrcxsZq2D3GKx2N7e/r7v/Xtf+/ZvTJKq - 1q7rhqFqrcRcr2ktGALcYeYM5JyZ2dUiqDuEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE - cB0iopbR2xJ5RUTkohEq4UZzbi36S33Q7QKp3s8x5HDjWkeDt6c4zQwAEdVaW2uwXC4nk0nkdoer - JKK7QwghhBDCZ8IkZzNTreZG5GACKFEC2FwcDjUza3VzxJlsTs5ERESbFYCIpJ0dtUjvw39TBcHM - ACZzcrhXggk2r57ESXIIIXxGxFj3vKMJ88TIQA4Y2IncBlNSx1gBjYgMcDIzaxXNGAIwzNmZnNgZ - EHd2Azs7GCCLQnohhBDCjeHcu1CrfbjhvLA5d1/2NaXE7LXaRz/60Xe84x37y4FwJSt/tpNHM1PV - 3d3d2WzWUsOv3DeEEEIIIYTwWWF1y0UBBWx1DC+AgA9TpxsGuSUYyOEEb9HU3IbTq4kwpCPACoQw - oW0UgMDGknMFDsrQ5c6AiqUOw7FuIg7JhuUuSGCG/X0IoBWqAOGp5bc/+NAxTjjo5ymJj99sYCco - MWCEypfcu1IMsyTLWs6S1e3p//S+96HLoAQ18ASUAEbKIFBmZatgAtJkBiBlqY6+DLPcATb0/dbW - zF3NMOkm3qsLp0RAHWcWAGKA1+EQ4wnJ4cja+CO1hO82aWOGOi6Q3M0M0HnnXyGEEEIIIYQQQggh - hBDCpRivT7sDOLu3e/z48b29PTDP51v/6Md//Olnnm5J3qtPOVaPr1+b0SWGGxGJE8G3p/O3v/3t - ZibR/ecz0Pp0bS7RtqBzzmY2nU6/9Vu/9du+/Z2XODRy8PPq7+WEyXzGpUjOQyn9wQHl9Nu/8/8A - cIAJRFJbcjyTiGipdagCbG3PfvRHf3RrNtdUANRaJXdY3VK5HlKnVTXnrKqt7kPXdWb25je/+eu/ - 7q/+8199N47WhtDDjeuaPdnUnuWazWZEEdsdQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ - QrgelVJyzi2jN6XUfrxmDz+GEK4RImJmVRURVW2PcLZfuft0OgXQfntNRzN8doro7hBCCCGE8BlR - Le5u5GMYtwMggImIjAE4mZg6wd3JOWMCZyZygJydAPP1K0O85bwxkUPZDEsfAw6EGAQhX6VPhBBC - uELIuXMSCJzgbl7d3cmI3AhCWOdjGlrQjzmZsRGEiABuJdsIpKZwBhGzsMNbyreVlCKKJoQQQrhB - XfTW9WQyKUVV7amnnvmb3/S3+r64I6dU6pU5YWs3z1qd0/ZaSgGQc74iww8hhBBCCOGziQOGI1nR - NP539JB+DPk2uMNbsAcd/grohPvqk0TkEFndjrEW+A0YEnBMEkoPMpCBGMsF+gIAfXnHK1+xM5l5 - KcyWyHJVHvRUntzP3D/11KnpDIuF2DiaLb3bGADYFTAev+o5XsnBrgacFNor/f/wwAMLQqWkJLWa - 5OlSUbr0U3/4PkyyoMhkjkKQCcjByIxMjH6BLnddbukmIgIHZSECzEEYJ9gTCPCjOdznhx603G6C - rpZFc/5VUV9PxfNYzCGEEEIIIYQQQgghhBACAEBEhmHY3t5eDoPkzMwPP/zwL/7iLwpLKaU9kW5m - 7n4tc7sBmAFwdyKC+5u++Etuv/U2dz/smhyuBHcXYnIf+p6Ev+Ztb7v91h/65KceLVf5e/cO9kXE - lRXe8rl/+Zd/Oefcl2IOc+26TlXVVFdx8gR8//f9/XvuurtfLKbd5OzTTx87dkxLvdBUXbPO5y23 - m4gAqOp0OjWz/cXiXe9617/41XczSyml66bDMGitIIJfi7xsP3K3iQg7OzvXYDRCCCGEEEIIIYQQ - QgghhBBCCCGEEEIIIYQQQgghhBBCCOES5Jxrre7eCowzR3xJCC86Oef2HDQAVc05D8MAIKU0PowM - AOj7fj6fb74TwhUR0d0hhBBCCOEzYgSQCxFRCyVogWrk6gCICD6mOVBL427vj3Hd1Qns8FXcAjnc - QYBWZ8DNOIs53Ft1JnJ3uLlRXEMJIYQriQxEDnIDyAAQEVNyMjIDE2xsdYnY3RlgRmvqN9M0HZh2 - M4W3Yn8KEAMElgS/dlX/QgghhPB8nBPvZ+eWF3UehgrwM8/s/q2/+bef3t1Tc2G5cG7386pN2m6e - Aairyq0iEieDIYQQQgghXITxOcHS6+NwAsSwEe9NgLQuaIQxXXr1J1R9KgQb86WLWe4Y7hgWSILd - fYgAhGqoilrf9cCruqGcmHZ1b3ee0mtMpS+1lE6SEDO8VvX9XThOTGRYHgh/hOmtAAAgAElEQVRD - efVd7cVA46i6nzf6F3w1UKWk8JzztB+2FtoNvXTZ3FPqlvt7knPZr//zKz5nWUvq8kGBpq1/8Ifv - R2IMA3Z24Ib/n727j5IkK88D/7zvvRGRWVXdDM3MMDDAwGiGYT5gQBgklu89lmVbyBLSH16Ez1p7 - jGUkJJCxkJHXaHd9kCwhodXKK+RjWUJ7jrVHi4TAIMBidXQsBuPF1pHE96dk9IEQHzPT012VGRH3 - 3vfdP25mVlZ3z/R0d3VXdffzo8jJyoyKuBmRGZ0RN+77bExRHCIIAUABXABBWMSHRNhyhTogEMBl - EWVeH9fd/+4mdq/Weqjrec+GUD/1WIuIiIiIiIiIiIiI6FwpgJRK205EZBzHpmlD0/zLn/yJ0b14 - WV27UwtYHGRu94IIirgCePnLX+7uk6bN5WKHSl+Z/LQKA7WTpQa0z2YzjXFzc9Pns+/++3//x37y - pwSQ2gFzttIE4med5Ay6rpOgKSVV3Zhs3Hv//R//+EeHlBbjgwS1YgIAqMA9ivy1p9/93X/vf4yi - GTabzY4dO9bP5nUs0noLHApZdSFdaiGEYRhCCG3buvswDF3XdbF5ypNve8Fz/rsPfvjDAFIaQtBS - /GByu5d8uXQxXH/tdYfg805EREREREREREREREREREREREREREREREREdGYxRgBmNgzDdDplKC/R - 1Sal1LbtOI4xxpxzznk6nfZ9LyIhhJ2dnRhjjHFjY6OUEkI46PbSlYbR3URERER0QVQBqLuXUqwm - bLsCaGJ0EwXc3QF3NwPENEZHMQEAV1ERADX5W9xRMwyA4KiPZyuACESgAhEVdYWCBWWIiPaRA6FR - s5QdNZNHRNzdzesJawGgUv8v9bb4MuXHRcSXu/JxGF3g7q6iCkBEILLKtCEiIqLLkJz5+Ktpuq99 - 9d5/9D2v/NM/+2Kdopg3sUl5f0rrhhBEJKVUDwBLKexKJyIiIiI6u/X4aACiEAO0np9bpV87IDW0 - ezEZAIMDARh7xAbmcDRwDA5k5AF9hvn3Pf3ufN/x67tpN+ZuTI/rh+u3NtN9J4qnyZjgnoGtrvHs - 89wbcO1kOst9hkf3suhXgiwiIgSAukJMHGdPjVhygYcAd0k+gTQZEwQfCsTKkB85jUPfF8PEsheM - 2+OjG+x4+omvu6lXKV2Hjc0T8P/jv/xXHNlEiAhBBCFnbE1zTtI0MAHCqc1Z/rpau/U4SJer3Nam - 0t3cbgMA10VQ+XKl89iGiIiIiIiIiIiIiC6EiEDlvuPHt44eCSH+3j33/OZv/VYTYiqlaaKZlVIA - 1IEedfj6AbTSARG4C8Rgj3/0DS968QvE3A806vgK1ratiAzzPkC+6+/+Dz/95jfP8sUddzMf+q7r - iru6p5T+4i/+4tZbb/2jj35kNDigjhA1Z3NAIaLu5j/9pp/K47ixdQTmoY1jP1zUFp6fnHPXdTnn - YRgmk8k4ju7edk3O+R++4hUf+M8fajQkK6WU+soOMr3bXAVwOHDjjTeambIbioiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIgOpdWYx+l0WquOqyrzp4iuKnWUcSlla2tre3t7Pp+vHpxMJnWacRzbtjUz - VX2IWRGdK0Z3ExEREdEF8bLIYg0iQBCVIFFESkoKxSL9FaICFRfvkXxZCGYRuiYmglJqKShbT2IT - g2qox0BuAoe7FxR356EREdE+MrG5j641tNtFwmpvnLO5QFwAiAQAEIhrqwJzuJoUM4cZABOEKFAR - URHJXsyKmZlZq93BvT4iIiJ6WMQBwNeDusUAiMgpBXMNgODkiZOv/sev/cOPfiKGaCWrRBFJOe1X - IdJSSkop5xxjNLMQAoCUUtM0+7QEIiIiIqIrx+J0nu+txr+4r461xOjFN3/48igAqF/+DVK8jNIY - PMEMyZAdqbzmqXdtnjz5qG6S+/kTs210LU4+gDFNYtO0Mm4fn8aYMyZR+1Q2G2wPKQIBsRHd7ucJ - 2GrbUkoAQgawygyX5a0YzB92joAYzIYAKGKASU4C05qLHfWBeX5Eo9kNGcVx7ebkqzu9bhQdy6Nj - i3G+feKBR03bn7v9KTtj2VEZNzff9ImPo4t4wOKkxTjH5mbBIsd8dxU5RBDWUrqxjOiu6d1h7REA - iyh0X61erY8tIssZmkBERERERERERERE52h5bQ8AdNPJfD7f2jpi5kPqf+Zn/3cH+pIdSCnV6Zum - qRUrynLQx6WnAZYtiIr7d3z7S48ePZpmfcmpXqj88LsGaMV2+1mA5Tp0MxGZdN186Oez2TXHjt34 - mMe++EUvet/v/K4DZa2z45Q/v0CTyUREtBQza9v2zjvv/I23/fpffeXL73jHO/7D+3/7Dz/2CcvW - xZBygZkA/9PLX37Hk2/b2NiYndyOTRBRd/diCAEAXIHFFWsHq1ZzEJFa3KHrupSSZ7Rd94IXPO8x - 1173lXu/tphUDCIH9lZ2ABCBOAR43OMeJyI4uBhxIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqKH - MAzDZDJx92EYuq7DMq+XiK4SMcaUUtu24zhub28DEJEQQiml7g12dnY2NzdjjDWb4KDbS1cavqWI - iIiI6EKt4l3d3czMHYCqOkxdvR7ZuAOwGvsqWMvnNnd1R9AGYu669rgA8OwmsijM5F4Xp6o8e0JE - tM9URBWuEDNzN6t72hAaWeyBBb4qhWbZJYhATAARmEAdEJSSxWRVf8zFGo0SopnB97HUGxEREV1S - p6d3A/qa1/zjD33o/wNgZoIgIsVK0JBtf6r91mNMVV3dAmBuNxERERHRGTmWmdC+Fgu9LM5fYxgW - KdQOyCJ8OtRfzeAFnuFFPKEUDP0/evY3Nifn3TAclfi4MT1izNN0cshlo2nybFbcBWiQh9GmjQ4p - t4IxlwR0EgXZVZLlViJcNkTKmAb4ZtBSHMs8axNxAVwg9Szjw+36EUFjiFAX6927GMZsGR4Byfko - IBnBUQPLT8z6EJBzORLRDGNwHG3DbD5uBTtaPIWm74cfvflJO10zU73f7df+5I+xnUPcWKREyDKO - W+rpTRUsArxrhLcDgIa10Iu1hp6SnrF3AuaREBEREREREREREdH5OnnyZGzb0MSh73/vng/87gfu - AaCAi7osRl7UDO+maVZh3peelQLA3ALwnd/50n42b4KKn34lEp3dQ8RD1xE3wzDA/Jprrpnv7IQQ - vuPbvv0//M7vXtQmlVJUtdY+6GdzCXrtsWOb0+kPvfafvOYHXv2pz3z2N97+9ne+851f+sqXVXVr - uvFPX/e6Ljb9zizG2ISQUvJi0+n0AN+iZ9Q0zXw+b5pmMpmklGKMqhqamMw2pxsvfMELfu033w5A - RJqmGdN4UL0+9aK+mnWuimPHjum59LgREREREREREREREREREREREREREREREREREV1Kq7juyWSC - M5c9J6IrWc5ZRFJK9eNfI7pzzlgOlZ1OpwBUVZXxRrT/GN1NRER0GNWjQlnEFfMQkQ41RVi9SWuw - wjJ3wADYaeWB1MPe0AJZFKlx1NyDPfOuzy+exfKu8dQJEdH+Ulczda8JPqbrGdsFAMIp+10xEbe1 - HbqL132+BAWgq38aEGAwceZ2ExERXWZqRU8AQCklxjjmHGMUCW3b3nfffT/2xp/8vd+7px64mQPw - YsWBtdxuO8NsH2KBIu6+iuiu9Vhr39j6LRERERERnc4BA4qXIApZpEWboX49jxEKlIIQMAxl0obR - vQgCJEhGLiiGVHDyJDT+0F13buSykdNtJTeWg3vwXmDRkRxRMOaEZfdNylDRIQMSh9rbIziZYUEh - poIROQgyPAAToJRF1nURFIFJKYKiRRytnXYS8iFfcAAKrAAesIPsDcTh5tE1QM0BhAI1QVaFpGnO - ChSBO1IqjejMUYI6ShyHxwBW0qgo6j/z2BtmTfhau/Wzn/g4mgZNQIxoGmhdLASAQwCoOvKIEhGC - R6wOhhadXYaoBSiAQwFEQZBzPVQiIiIiIiIiIiIiIsLpA4smk8mQUhmGGOObfurNBgjE4OZ2ymCL - Aw5FdjQhesk3P+Gmp911V0k5hGC5nGHCg8k+vozVNSZrm7tefJXHFDWUXL71W16y8UM/vD30BYBA - dbHmBVKwP2NyFAJzhwNoYwCQ+z6IuHmr4RlPvetpd9z+v/3oG+65557feu97nviEm66/9jo3i0EB - WC5BFFFPe4sqAPGD7FAxsxhjHbgkIqWUeluKtV37kpf87bf95ttVZTTPOcNxgN0/qupmbQjXPvKa - yWRScm5CgLvs3cCnv1uIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiC6xcRy7rquVxmt2r6qWUgDU - BF9ZhFSBuVREV6r1T3cN7a5qXHfdIZRSQghmxmAC2l+M7iYiIiKi/XTKqQsXMzFAXUwcgAbX3Qzu - 3T/YW6dmERFXb3kIRER0KairQdUVWAR4L2+XVbrW8jvhcNmz71bImUrmaf1b8dNKBhIREdHlo9Yh - FZEQmnEcxzG/5S3/+m1ve8d+zb+Gdq9yu6fT6YkTJ2Jk/wURERER0TkoMBEF4PCSPUa17LEVA5IB - QBMgwKQJMLRwjAPE0CcAGO0f3HLbY5tmo0+PG8ZpSV3JAWV1CrCGbZvABAB0cbLv1B4ch9gywFsA - EwSHCRQo2E3m3nOqUCCA1pOQ53IO0QATFIHLImzAsbhf3HQZXw6ouKkjONRheso8EIBoJRoUZgJ1 - KJCG/IipvPG2Jx8veTh69F999CPQiLYTc7QTaINSEGQcU5g0CnesFugohhAAQNVK8RBWr6zmkAQ5 - t1dKRERERERERERERHS6Gi1c3P/Tf/7QRz/xcVUp5nb4zkCLSC45At/6rd+SUtpoujym+vhBN+3y - I/5wA85rvZII/xt//Zve/p53N1FTMSuL3O4QQin5rDM5j+ZVKlDRVHKncXsYY4zf/E3f9NznPGdz - ulHGAw2SvzDiCBBxPOcbvnFj0p7sRwHMLIRQK0Fceu6uEACplNtuuy2qJncR2adkdiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiKifWNmXdeZmZm5e9M0ZgYgxlhKqQm+7t627TiONcn7oJtMRJfI6iNf - g71rYjdzu2nfMfqCiIiIiC6M7x6l+DLV1QSrNG4XB8zFAQgKZJXosDeuG2ulevbMUVfZsb6eGrsn - QVaxJw+ciIjOlQKqXvO3FVi/hdY99tre2wQGuOz+E2COxcT13wUHAF3WhzNxiJ1hz09ERESHz+nH - Vv04hhCm083ZrBcNb/mFt/ybX/wVX6TN2elxfef6j37tJs8510qm999/f4xxNpttbGxcwOsgIiIi - IrraaEZpDBEKVYzWNDrvxzBpXVFQAiTkhLFAG5x4AEGQxtc+7enTZBvJ7sx5c5bH8cSGNIJiYiam - Dsie3ht1rWf7AKy++QdgcYJw+ZDv7fMxAIJSY78B1GwDR2NAWRyDlMUlTPVI4yy3Dh+0hoKbGJq6 - LKmnLg2CAlOHABHoDA4k0SKqBoiZGGDREWuetwACW4aLG1TMtnb6a6b5WJ/HlH/8CTff79pvbf6r - T3wC5sjbOLIFCe2kG81VmwzMPUXVRiVGgRuKAVFV62nWGtdQX7sLGEdCRERERERERERERBdIoH0a - 28nGL/7bX+5TcoiL+CHM63VXIADf8e0vhbkozKyNTfFaMoNj5s/ZGVeZrG39GouuqlHlZS972dvf - 8+6cDQAEqupm+Uy53ernEA2+u9wHecfFoMlN4Btt2/d9Udlo2/nOdte0D/YnwClLP4zvjaBiOT32 - hhuedNMTP/aZz9aM7IPK7QYggLnVMVe33357rVNjZodx3RERERERERERERERERERERERERERERER - ERHR1a2OfXP3GGO9IyIhhFVE99bW1s7OzjiOTdOklA6yrUR0aa32A6pqZjW0O+dcdxdE+4XvJyIi - IiK6ILU4znqNHpNTn12FvjngYg+S4XamAjy7UXB7c7uJiGifLUI3fVEObXEri6waADXG0+pTLuKi - Dl1VT3NAFqHd6/8MLAt/cR9ORER0OZtOp8OQ+n7s2ukv/8pbf/7nf8EKYtRFUdcLVvvAavXYnPM4 - jgCY201EREREdE4crtCgAQ4YUDKatokAUi5pIwSUjNGQHGl83bOfM33gft154PHdRlcM8+GaycZ8 - OP7IdjofBxerfT0m0OUJwMUjq5yEtadWLTBBqB1GvvgVa+cLV2cexaFAcAggq0BrwBYp3me/NZFF - b5RrTQFXWF1iWZ2eFMARHGEVKC6ndkbVeG/1xV851KBFRIHGrZnno0Du0yMnem3AV+6990duummn - 637uU5/C9hwiCNpuTGEiChHJSIZQIFZS1GaRz+0IQBCcGm3+8DYrEREREREREREREdHpXAB3AJ/8 - 5Cff9773ATC4qML8jMMyDlYM+pjrr7vlllu6rs1jUoiIiC+6DM41K5oewqpwSb0jIt/4rGff8Khr - v3Tv1y5SuLTLGdK7xTGOYxPiMO/btj1y5Ejf99ltYzI9wJTrfaGqZmV7e/tZz3rWJz7zWXcE0eIH - dp28QBwegCh68803u3vTNJazHsrgcyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiK6mo3j2HXdqhq5 - iPR933WdiEwmk77vt7e3Y4w5ZxGOPCS6uoQQSik1p8Ddc85m1rbtQbeLrjSM7iYiIiKiC2Qm0L2J - 3QBQH3Os0geW+a96bjVg9s7W9oa/spwMEdF+Mclrv+nyPwasgrd3w2VMami3rldbW2b2+Gpa09VO - +/AVAiQiIqLTyZmriA5DUlVzvOu33v0T//KnSgaAtdzuC609amZm1nXdfD5399oZNo4je8WIiIiI - iB6+gAAAsJxTFMVE0/xEM+3gKeYRyfHANtD887u+vjk+ewystWHSBC1pNp8fmXTH+wc6xb3jvFMA - i1jueqpPAQNc4AKB1fTu9TDtyvf+bgKvpwzr+ULZna5mi9feJVlMZIb88MM5FIim9c5qDov1sAwl - keWrMMABFStiJQBAsNoQTaJa272M/fZlhrfC5+7TaXNynto0hIQbCtoSdo6PP/fEJx+XMDty5Cc+ - 9lF4jzaERkIorRvExlzaOAV0HMeojeoitVsAaM3wrutQeUUwEREREREREREREZ3V6qT3KcxsOp2+ - 5V//QoLbYkS6L0/qHyIhiBX7W9/8N6ddF1VHSzFIsbR83sThosAZEqDpdA9nLbm7uyvE4FtbW89+ - 9rPf9b73Nk1IuZiZADHEseSzz+jhWfXvnNK2bnNzdvL4ye0Hphsb7ibu7mV9+ocmp3QwHRLmTYjZ - xm941rN/5d/96kNNeUnezyLi7gZktzvvvFOWeOU+ERERERERERERERERERERERERERERERERER02 - XdcBEJGUUtM0AJqmqYOk5vN50zQppZwzgHEc668H3GIiulRKKZPJJKVU0woAmB2uEdN0ZWB0NxER - ERFdkJrYfVpuNwCoryVrr1V+MYG6mtjaLdRx+u36ckxw2MpIERFdWR58H1urt0Fqxg1g6nXHfk67 - Ze7DiYiILlcxRkA/eM9/et3rfthMAK0P5jzuy/xV1cyGYXB31cWBJHO7iYiIiIgePgHEzd2hEpsG - bhj7pmmwPYNlGP7eTTfddPRovPeBa10mZdzUCHgahqDYUJzoh0dOYrY8BWwtqcFFxRfn9QSGvdEg - p3TlmAC+TFBwYBFNrYC5qHiN/Lb12AYDVFDO68yhLmalijrn1XIXrXSgyG6AN5bnN8X3hD2YCJbT - uPjyRZnGxtN43zwd2WjmsxQFR7swG4ZHI+70J7fC9P7j6fW3PPG+Nv6bz34ajSIVmXQI6FQBn5fU - tJ3XdviyYeux50REREREREREREREF0Bi+MIXvvC2t72tjXGec7ECUdTI3kOT2iuAFwfw0pe+1N2H - YYghBHgpBUHlELX0iuK+6KAQQFT++xe/+N+/7705FQAiAvdSyr4tay2322U3vdvMct/HGEMIEKn1 - U8ZxVL28h7S7exrH6cb0pic+4aDbAgDmpqJwE+D2228HkFKKqvxoERERERERERERERERERERERER - EREREREREdFhUwO5RaTmdg/D0HWdu8cYVXUcxxBCKSWEYGbM7Sa6qsQY606gaZqUUo0tWAUWEO2X - y3ucMxEREREdLBO47AYqiCt2IxB0VXZncRzjCjETU9Sot7VbxxlvfW1Bi3kI1gs0mUudOWMOiIgu - 0O6+eu233Ryd+vhinx+Wj56SqVN/9d3Z7CFnfJSIiIgOPxH58If/y/d///eXUnJGCA1ccy57jsTO - Uu6zfg04cyDfolysO4D5fN40TYzsvCAiIiIiOjcBCoFZFnEUgwT0Iwb/p3d8/ZH57NljtC9++WgT - c8lhip2hiGGja4YhbbRhSzQPaXRsBSSHS+0AAtwgqD0+waCrM4CCIoBrViy+7bvU4wNdfutXKcvJ - DY7d+w/CzqWnR3253MUCl2c3BbZMiXABXAWAQNzUob4nOFxgYdUeQQCCw5cTjGmMaLYi5rMkgmba - /OUsPSJgB3le0GC2laHFn9A+8o2PvSE13eNuefo/fOc7sdlhEpCGZmNjBndIJ2gCgi0PmhwizO8m - IiIiIiIiIiIion3wi7/0SxnuJWsIxYrE6DkfdKPO4LpHPuKOO+4wMwVUFVZEhMnC+8t9d42KLEbd - 1B6T5z73uW0T52nx3lBR9wftrzm3hcpux4/u9nwYgG7SDMNcQ6ilUkopUJGwJ1La1zpL9vTgHOY3 - h5iVBOtuvOExAjRRktnB5mSbWwBuu/XJjzhytOayR5aiICIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IqLDp21bMwNgZmbWdZ2ZubssChmilFLzetdHzBHR1SAvh0i7e9M0AEIID/kXROeD6RdEREREtD9O - qY+zJ7d7b1arOADbcwusavWccnv66RDxxf/2r+1ERLR3N75n56uAqauJqathkdCjMBOo295MnVN2 - 27Y2EyIiIrqs7B7H6ac++bnvfeWrtreH+k+9iORSQpCyP0Vc4Us55+l0Wh80M2UVUSIiIiK6oth6 - z8kiM6Hers6w7e36OOVcmwB7o691z3RlQFDNCRCkhCG98ilPvW7M182GRxbrbIgQLzkrtmdoOsQg - /ZAmUVIqvZcO2AByWfbNrNKvl2cOa9q0rrK5vT6rjpqOrQ6I1zOHWHYAYW9it53+Gk9/mefNV2cu - YY5F9kN9KS4KW1/y7mpU300NV69NB4AoAY4hp0nb7YzD0KetqMkNwKRVFGsMxxqZ33v/E1rt5/3s - j/7gp++86wv99v/5xS9go4spHWmaAQKgAGFPXsX6KVX1U1aJA2IP+iwRERERERERERERXW1keb57 - nct87N/6f/3KdDo9MZ97KVDxPJ5hyoMmwN133z3tJgAmk0kek7mJ8uT3RbEI7V4rSlLy+ORbbr3h - +kf/5Ve/PIzZ3RHUytrbxKGrd9kFbxaXxaxSSjFGiKSUaomEfhy6rvNyoYs4cJPJJKV0w42PFSDl - fUpBP19Bg1vpmuaZz3xmHseuadsYg6jjYNtFRERERERERERERERERERERERERERERERERHSqVcnx - EEIIoY4+SynV9O4YY430rgPlRIQB3kRXDxExMwDuXvcVpRSmd9O+Y3Q3ERHRYeQOAURERMxcWJSG - Dit12DIZQveesvBlrsCeii9iy3yHc15Q/a8tFmq6Nw6c50uIiC6Qr++c5ZRyXWaAixngwJ5b2bv7 - P/UP185kOaM3iYiILrlT/2k+y7/Iquj7PjQxaBM0plTayeTzn//j7/7ufzCfj/W4S1VzHiEoLudy - JGZY6+0OIZhZvb/qDxORWqd12Rh+eSAiIiKiK4k5FqfXAAAaagqCr0V3r24dEJjvBiQYXCEBBiuA - QJBzik0HVyuuKhB4LGIDxgEDXv2kW6/L/lSTJo0BSdwGtUEAIBi2AAwo4o2gFAcQgIzF0msLa0X/ - 1fJ1t7vHaqsWj/hqmgJgPWjjXCMB9Jx7emy5fvakgytgu8Hha+TMTbK1I5saqb1MVC8Qj9CShlYB - wG0RuW3JAKjAs08ElqyFNchlNrujbX/sSTf9ZdCf/29fkDZONjYQY65JFQBKgQqKIQg8Q9SBAgk1 - /tyXud1uywbDsDg0YncxERERERERERER0dXJzNq2Heb9pO1QPFlxFVP99+95705Ko42Q2rngcDQh - ppIPqql1AHwpZXVSWwB1vOC5z23aiGLjOAZRcw0S6vVCdcoznNWn83JKIRJxBAEs//UXvfCtv/p/ - C4CgpThig1IQBKUI0EaB+VjStGlLOYdsbfHdvqG1jagARNUBOEJo6qZu46m53Q+23S88QfzisQIN - wcVU8ITHP+Fzf/5nourL/O66+mvzz+9NffpL94d42lGsKGApP/95z2tjYykHVS+LP/K1qweXa5uX - 5BERERERERERERERERERERERERERERERERHRwTil5HitRl5vU0qTyWT11MPJ7RaRGu5b7+O0EXZ0 - SNTtvqpC7+6quhhkSpe/pmlSSvX+6lMZQjjreNWmaUopIlJKWb0lcs6qqqruHkJwd2FwI+0rjrMl - IiIioguivvh5MA642OJnPxYHQJn/SkR06RiWpbuWoTWn3u5yfdAfIiIiOuTEAHRdO51OSykpJXf/ - 8z//y1e96gfuv++BYUiArvcpyLkXzXX3GCOA2hMGIMY4juP+vQYiIiIiosuDrP4je3O7AQAucEAE - /ayv38JzyWPux3GEBCRDkdh0wzAASVEwZNx3XGY9Ts5e+eQ7X/+kW5802mPm4zXD7Ij1nWWIFUVW - FFkEcsveOASX5c9aI1cdQLVrZjXNnglg6z/Y83Np2Om3pzdMH7xJvvf+3uOcxV+tr4oz9ogJMAnY - atAM43V5fHyfX/e4m15z5zPwwA62d2JKPs4dxaMkGxDUUwE0jTmNo2sUjRAAACAASURBVKdFhsps - 3kPX3xUqUJ5XJSIiIiIiIiIiIrrKuRdYaUJ0dzNTVUAlhl/9f34tmUsABCKAIyjyweV217HxiyIX - qqIKkVrm4nnPe56Y55xjjMVNRLKdQz40XaCS0otf+CIFGhWYQ4Ba76AUOBQo2VU1xjjmdK4zF1/8 - XIWaSXfALaiffQDAM+5+eggBQBubg2wSEREREREREREREREREREREREREREREREREdE5qnXL5/O5 - mTVN4+413fnBpq9jqdx9lQ1c/+QSNZfOkZmtcrvr7XK0LF0JUkoxxvqpBFA/lTWT+4zqZNPpNKVk - ZjXnG0D9CMcY15PdmdtN+y4edAOIiIiIiIiIiIiIiOii8YfbBznm1DRxNpu1zaTvx1L8B3/wBz/9 - 6c+uJlBV91Vv9Dk3pGmalFLt96r9Zymdc71XIiIiIqLLk9b/C5Zf0QVF4AKpjy+/YDss1akzNtsJ - HCnNJm1riAWxAKFpU19i1Nh1wIBxjgeGX/pbf+cvPv/fNkVv6Wcbrm1Jm03YSf0UUgQA1GFYxE4X - KACXS5aufeVzYBDdHu3IpBuzPTqEI2Mav/hXb3rSbZ9JJ3/pS3+mW42LPWB5EjcjVOIEQNPEGhVe - hlno2m6qg/VB23o1V6hxF6hvGqtvCiIiIiIiIiIiIiK62gRRM1MNpRSIaFAz/+PPf/4DH/wgABFx - dzdgMf78wEpLhBByzosmldogALjh2kfdfddTRSSEUEfawyFydWY9H4AYo+X84he+cNrEnZQBhKAG - dzM4uqb1NArQhCAi5rVngh5U/cTV+xsbG1gWg7gYzjDf+tDaNnJHVLn+2utvueUWM3P3VUEKAMve - JfYJEhEREREREREREREREREREREREREREREREdHhJSKz2WxjY6MWLV/P8T2jxVhFwN1rzfP6V6sk - bzpU6qZZbSBVrdHse0fDnR1TnA+nul1WH96cc9u27v4Q6QOqOp/PAUwmk5xznTjnbGZt267eLarK - jU77jtHdRERERERERERERERXoocd2l0nVnVAVOIwpMlk47te9vLf//0/CEGsQFVdtNb3BHB+RVpr - V1nt61LVWq43pdQ0zfnMjoiIiIjosiK1OP7aJaC+rJTvsLjnO7YBGoIAgJWmaR0+64d2smVAAJo2 - YLSQTkIL+tkbbr35xlEenxDgLZqM3iHz1E/bxsy8FPVFaPdiubz8bL8Z1EvYiE3JsJxCsaPuXQz3 - nvzynZtHfujmm3/685+QJl4TW0wLgJQ9hCCONBvbjSZ0jSOriMoZD+LMYcLobiIiIiIiIiIiIqKr - UgjB3XPOIqKqquolv+03fh1A28Yh5cVlPAKzg4zDroPq18fAi6qYPfOZz6wPTtputr09nU7TMHZd - Vy8cooutXu519OjR22+//Q8++jEBrG4pQAFL43KDed/3R7e20jAeZHMPvRrd7e4Q6bpOFcUOLu/c - AUBVn//854tIyUVFUkp1p8EOQSIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiK6LPR9v7GxAcDdV2Mq - Y3zQfNX6bC1sLiI15dfdmfJ7ONXxp/W2bdtxHGOM5zHI9CHS3OkALQZdAqs49nEc669nnN7MVvHt - fd+v5lA/8qvAghACmF9AFwGju4mIiIiIiIiIiIiICG4iIQBZVV/1Az/woQ//PmqvFVCsOEqdTEQE - 7o5z7ahsmqaUUkoJIaSUamc2+72IiIiI6Krga6HdAggcENjqarIC6CLIGw0AGERTGhtRoHGzrcmW - ONKY0UR4Qh7Qj//klluPjbMbo0Qbr4nTIc8ducCzQNqwPaQCbAqCLwMYoA441GCs17+PFMiukhUY - N0OTLSWgL7ONqWp/8nEz/OwT77ovxH/x6U9hvoMWceuaNKBt0G615iZAcTOzNnTAnrdKWf4WcGC5 - D0RERERERERERER04AweQzB3uD9w4sQ73vEOAOOYIRBRd4PA7SBbWMfVi0gdVx9CEBG4Pec5z0kp - icM11LH02JvwTReVWRaFqnz/q77vIx/9WIyxH1KtdxBCgLtZFsfT7rozhJAzc7vPbvUevnhv47Nc - lVefFqB2UeXyd771W/LYN9AQAswBrPoBV3eENUmIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6FCa - TCYAzCyEMI7jYnzig6sRv03T5JzrgK9a6pzRzodTHY4XQlDVcRxFJOfcNE1K6aCbRvugbdu6KWvu - wGQy6ft+Ndz4jHLOIlJKWX1m6+e37/u6N8g5xxjHcWzb9tK8Crp6MLqbiIiIiIiIiIiIiOiKtMoB - fFileWtvZYztj/zI//ye97w/RrhLKXv6m2s3p9n5dELX/rOu63Z2dlY92bUP7DzmRkRERER0malf - onWR2w0grD1jgEG1ltl3AHBBaCI8lJyLeKuKvm804Pj9KOmVT33qdSnfsD27rgnzE31UnCjzIzGc - yEUbmMOtSMSRJlqfl4tdKGK2drRA+2IS4ljGAMmW0KBR9AnDaBsFW4DOhqnn/+XxN5981DU/8+mP - SD9vdYrBMImlJHGPofHgu2+O5d1l+AI3FxEREREREREREdFVqpSiSykXc/uDj/zRH//pF5YnkBdX - 4CgAPeD0blVd5XYDyDkH4OlPu/vI5tbOzg6Aruvqy2E9hUsmhIBSvNh3fvtLv+Vv/m1V1djWcgZt - Gy1nVTEzL7Y57ba3t9vYHXSTDzURgcDNRCSldBgKuWy23Tc869kxRjW4exPjMAwaFWu53URERERE - REREREREREREREREREREREREREREh1Yd8larlK+SeutoxDNOb2aquqpwnlKqf/vQgd90UOrI09VW - rhXpz2OcKaPZD6dSSh1WPJ/Pp9NpzjmE0LZtzvmM09ftWP+qThNjHIah67rpdApge3t7c3MT/ETT - xcE8DCIiIiIiIiIiIiKiK9vDyvDuU46x+Rdv/PFff/s7VJEzQgAAUXV3WfZU1Z7O8yMi8/l80SbV - Ugpzu4mIiIjoaiGLW1/9tkxmFkUAHLp4sNQHl1EbjQI2jidaBe7f/uHb7742pa9XGXZOhCac7PtH - NZ2lwSKOeymKoEgDYkAQ6ee5BRxaL1srgiK1TL/Bobz4cN+Yl3mBxa4ZDbMRXcRWp2luABToSz9t - po8JTfOVr77xplv/ZOh/+U//BEePYjZrJlMgwBRuriIicEAMAkAFCMztJiIiIiIiIiIiIrqKiStM - VMXgJjArv/723wCgolaTus3rTdOEZOUAm7qK7lZILlmAren0ybfcElWjqrgvUsZVzYwD5i+ZUkoe - hsnmxqRtU0qNwEqOIUixkjKCxhhFUKtdHHRjDzt3x/KtO5/P3SEijn3rcjuHGTkEEOD5L3jusWPH - 1OFucubiI+xpIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLDq+b+ppSaphmGoW3bOgLxwcYhhhBq - 7m/TNGbWNA2Wed6Xstn0MNWcdQBmthiFyi11BQkh1I/tdDqtmzjGOI7jQ4wjXn3Yu66rv3ZdVzO/ - RWRrawtAfZZDX2nfce9DRERERERERERERHRF0nPpBVBV/be/+Mtvfeu/KwVmiFFL8SY2vrTq2sSy - P/tclVLW879DCBcSBE5EREREdNmQxdfzvbnd9eu6whWr3O5VOX0RFUWxMPRt6tuc8MB9P/yMux4z - 275h3k9PHj/miiFNY/SUFCiO0QFFHrHZSgMR8wC4aE3sTovcbjPhl/B9Jg7ANhrth2SGjQ5RMZ9Z - PSQrQIQgj+0we7SXm8bxKe6vffrdOHE/zDCOSAmAqC7CHmQR9C7A+Rx3EREREREREREREdEVJIRQ - s3hLKVAp7r/9/veLiLkJxN1FpG1bLK/GOUCrzOB6dVAM8fGPf/wNN9xw4sSJGGPbtsMw1CuOzu+6 - IzoPpZSmaba2ttRhOYs7cgkQKSbmkxibEEoacs7MUz8nIjKO40G3AgJ88zf9DQVyznAPIeSca6EZ - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqLLxWpgVNd1IlJDfB9s4prb7e7jONYQ6NUdOoRW9e3r - Nqqj8zie8Yrh7vVjWz+GZx3y7O6rDzuAYRiapqkR3SKSUqrTtG3r7sztpn3HtxQREdHhIiK1WI1I - ve8islakn4iIiIiIiIjoPOz2VM3n82uuuWY+n6tqCE3OOec8nbbvePs73vSmNwMIAaUgZwsxjjmd - cXallAdbUowx5wygaZra0RVCKKWs1+dd9YyyS5uIiIiIrgYO1C/QEWv53AJAXZBSaZsAxziUtg0I - KIICtA70A8Ye/fz1T3nyNWqPzbmFjQKVqI6JxJCsVt8vBVOFFKgDowTAIIAWwAXLIwJTR2Ry974T - wGHJWkEBkAAgisJhixhuiHtACQLrt4+pTk6cfMPX3Xpyuvmzn/kUNifFXOPEDGExtcIcDghcUYCw - nA8RERERERERERERXVWGYdjY2BjSaAKofvCeD96/fdIX5/0dQK0uAaCY4+IPP6onq/20hwRiZvXZ - kpMCXsqzn/nX8pi6poV5sdw0TSlFfDfke89sHFh0atC+qQPTSsoAFKoaYBZUxOFucLh7EAXOvFHo - FGYWYxNVh5RmsxnqelPBxV97qmpmqwvzuq4bh0GBl7zkJbVkiRcThwjMCnuViIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiOgyckpA70Pn9dZUbxGpIcEAVneI6BJbZQ2sfwzrh/Shp69qgPfqI19TvZns - ThcPIzGIiIiIiIiIiIiIiK4iR48eHYahbVsz297eDiEcOXLk3e9+zxve8KNm6LpmFQmXcz6PPqpa - HlREUkqrZO5hGPbxJRARERERXV4MKMv0bGA30cIFBdAmDGMG0HYBgpwRgHbM2J6hH37w6259061P - edxO/+jZMN3ObTHATFAU6giAwWo+dDCoq0AFCqguLwqqWRcCC47VjzB/Yf84YIIaSBIMwRFNgy82 - QN30VrPUAXV0xbqd2Y0p3zgMr77pZmz3YWcmfV8vMJz3gwNQ8ZwA5JSY201ERERERERERER01ZpO - p33fG1w1mOE9732viMrhO23s7jX7ubZNRUMId9xxx0G3i3bJsnvo9Ft6+MxMROqlcYsL6y5VbjeW - 9SZCCMMwNCovfuGLtjY2Ya4OEVmfhoiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6MDJUh0B5+5t - 2/rFH5dHRHS6eNANICIiIiIiIiIiIiKiS0IMQEqplNL349GjR0MoIvof/+MHfuT1/2w+T7EJ/ZAA - hBBKKee3kLZtx3FUVVVNKQEws7Zt9/F1EBERERFdXhQQmAIOCBaZ2i4wYHSLoqGNBfCcVTzGgPkc - fcJY/tc77rpxlrYsb4Q4juNU4YYiSGoAxFF8kQNuiGuXHy6CAgCoQ5a3smyMreeI0wUzQc3pFkdw - q5tbAAdK3dACF8Ahy9z2VhEUdvLkLbF78+OffG/X/vjnPgk4Jl2YduNoXaPWNY7SKDzPETsss9iJ - iIiIiIiIiIiI6OpRKxGoBIlh7If3vf+3Y4x9Guuzu/0BB2ItIFhE1gsluHsp+RlPf7o6xOECLFOi - 1+8v/pYFFi6a5bp96C6G2sfBvqOzqxHaLjKO487Ozv7XBjnbRzqlJCKlFAGK+Xe97GVbGxt5HCGi - IlaKqorIKk3c2L1EREREREREREREREREREREREREREREREREREQHx8zq+NNasj7nXEfOEhFdehx1 - S0RERERERERERER0RVrl8e3piVRVdz969GgN2P6jP/zI933vq07OhiY2tdcgxlhzu08pqvswjeNY - 55BSqondwzCwN5SIiIiIrnIBEBgAl8VP1YrWpOfgY/RRLWOcYz5/9dPueP1Njz16/P4boDH1aRwj - EEMDhzqCm8BMLasVQRF1wETqTxEUdRNATGAKWyx6GSbNb+f7zNWhvrgKa3EtVl3bNbcbgPh6ggms - IM/HY1GPpP7R/ez6B07886fcgfsfwIkTbUpd0H4YHQAE7iLh0r4eIiIiIiIiIiIiIjosUj/Uy2/G - nD/7uc998a/+Kh/ui3BEFuHDDcItN3/dAbeGzsTl7NPQGdXr7kopx48f35nPF4/KRV+h9dI7VQVQ - L+drYnPNkSMvfP7zFKK1H8rh7iJSJ3ZhhyARERERERERERERERERERERERERERERERERER2wOjIu - hBBCyDmLSM65aZqDbhcRXY3iQTeAiIiIiIiIiIiIiIguPllU41RVVc05A/q5z37+la/8vpPb8xhi - ysmhgKpGwGKMZvk8oruXM19IKcXIzggiIiIiuqoJEABAC3T1DTsAATDLAhMvQEHJmPWAvPaup97Y - z7pxvCZ2PuwcwaTAxwbH0zARDbDGUARDBBzd7kwdgAvEATcFViHdReCACVxQBIug6XP+sk8PquZz - q8MF5ovEbl+Gdofdta11k2xqTDYOqTSCHNI17US/dv+bb7v73s3NH//D/4prppNJk0sfQ/RUhJeW - EhEREREREREREV2tQgjuXuBm/q53vQtAtiKqvieV9+Ajeh2L8+Ai9fy4X3fddceOHUPOAMSBvYnR - q1PodFGJK5Zre7X+zxjdvT4lndVXvvKV3V/O/fq6s6hbYe9cRURVzUyAeqXft33b373uuuvGYQgi - bg6R2htlZhL0Yc2UiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjoYhIRAKWUUkrbtuM4xhhTSgfd - LiK6Gp0+/paIiIiIiIiIiIiIiK4YBuzmdgMYhmFjY2MYhi9/+cvf8z3fc9999wHIJcemqxnb4zgC - iDGaWQjhnJdnVkuFNk1z4sSJGGPOuZSyX6+HiIiIiOhyZ2u3KhB3WEE/Ynv7e++46/U333Lt8RPT - kzuPbKVJw1SQ0RfkeRpiEwDAEeqPAYt8aDMxwAATN0VW2PJYALY3t9uXOdO0b8QUpssNe0oARnVK - aopZidCJqit2UtnZ2bmulSMn73vUvV99/e1PwWyO+RCLoECabkzGS7yIiIiIiIiIiIiIrk5d183n - 86ZpQgi//Tv/rwHubqdnBR+GM//19LgvTpDffPPNNWaYDg+X3RhvpnSfBzNTVVX90pe+BEB1UTTk - YqtLyTmrKoB6Jd7LX/7yWqxEIe6uDhHx1SeQiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIjooNUS - 9/V2HEdVzTnXSvhERJcY67oSEREREREREREREV2R7LR4OABQ1WEYZrPZK17xij/9sy/mYk1sgjYp - pVwKauy2at/3AJqmOdelikgIwcz6vu+6rpQSYzyPCHAiIiIioiuJAw6E5Y8sH4EZSsbJbezM/9kd - T78t4/oHtm90dEGH4gOQPXeNZJSjG1FKUUARBTF67DK6DABFATGIBbcAq8HegkWwd5FFVrc4oqEr - 2hbVUzM96PypQ93UF+nd4hBHLIgFwQAgC7Ii6f/P3p0GS5bedX7//Z/nOSfz3lvVq4RQC9BKC1oS - tIEYvxiPX40dHibCM3YwNmAWB1s4RhLLgBCSB4lVYgljRjNEyBMzFrxgDTtmCEN4gMFgeQLDgNgE - SKDFaGOQ1Est92bmOed5/n+/OJm3bnVXt6q6q7vuLX0/cSIr8+TZ85yKm+d58v/TlFzyJFW1jTy6 - bmy6Y5EPelv5RqUe5M29qwvf/Vkv0iNHOpo0RliKvm+3eBcBAAAAAAAAALfGHBUs6eMf//gHP/jB - 7djHJXefBpaSJA8PhaQHHnig1iqJJolbyo6H40Rn3w27AG87MeDJRMQcj/2Rj3xEkl+ja97Nc+ID - mVdqkjwkheJLvvhLXvnKV07TlHPevmtmZq21E/30bNtYSJo3AAAAAAAAAAAAAAAAAAAAAAAAAOBW - aK3Nj/OP4CLmGpzP6M/zAODayq3eAAAAPm1d5xcAvicAAAAAAABJku3uEkS64XlPzJJzuXjx4mte - 881/9t4PZCksDXWS0nGzpczc3cxyLnOA942tKsLdY1cj2IzSnwAAALjdHGdM2NUvrhovl9JxY58p - zWHdZkom34YkVG1G1fpNDzx47zB+pje7dOm+rmw2Qym2qbp72R9uxjTFstflVe3z9mtByEyRlRTe - dutNIdmTBWCkkIXm7wZzHgNulscc9rR78Hn8nIkQfhyKUVRM7WgY+pLXQ+s6NcldtqnP7fI91X/g - RS996J67f/zP3m3loO86l5qUToZmxPELP7na3QoBAAAAAAAAALeDoU57B/uX1+v3/Pl7jzabmEN8 - Ncf3bu8G25zl/ezfGr56pWbmuxFF6XNf+rJl16tV55716XPjnc8gSWaWUmrj+ImHPnk8JjRfgVc8 - 9bT6J5jTwy2naG5SySVa/Yp/8GV7XR/TuOx7ry0iIpmHwiPnXGvV41qvAAAAAAAAAAAAAAAAAAAA - AAAAAAB4lqWUJM0l6+ca+CklorsB3BL8whoAgFviCf/6jwizLClCOecnnxgAAAAAAHy6MNcc9KeQ - +XbYSo+9228u82ThbZJ5ay2lJKWpWVhX3V792m/9rd9+V+lyk5QspJBHNMklD6+SR7S5iOcTKaVI - 6vt+frm3t3f8Vmut1jo3f87R3RGUAgUAAMDtw6VJPsnnP6Hl20r6LjW5H4+XK1zhcqmGXBpdoVCE - PGlSHXQ0vOHlX/jCC5vnX1rfsR7uNG2mKsk89pJWmzGZZBonLUzmCnOXN7Uqb/KQkstiW3w/5rWa - 3HapHaG0GySFyeUuJ7f7mRO2PS1cHuZJbvIUblKKbWJ6yJNsIXltJcldKdSZ9qQ9L5pWzw1/zurS - tzzwUh19wg4/meXDrtk4oimkOiezKObzTm0OCp+k6elkQgAAAAAAAAAATpNUymYYS7f4f/7db10Z - a8d3+f3Kb47i2bs7bLtBIUVSJEnemiTbhnf7i1742ZZCijDNw3beuDJsN9z0mGlws4RF2LbL2fFh - T4/9FOaPaZ4STybMavNc+t///T/sS28yd08pHV8FOnkVPqXz2a4MybbdApOkMCkpd8lb/Yx77vrv - v/zLc2udWR0ndy+lVG9hSmWb222hExdWPKv/QQAAAAAAAAAAAAAAAAAAAAAAAAAAIEly9+Og7rlM - PbndAG4VorsBAAAAAAAAADgrtklt1zNpazXnlHM+ODgYhsnMUsoRes1rvvl3f/ddIdXqIbXWZMpd - udFNqbXmnMdxNLOc83q9NrOU0tz8WUpJKR03gppRWxcAAAC3jyu9bY4L8ds2vNukEzkZLku7wdSk - PqmOqY2lDbZa6fLRdz/44MHDD78g5YVv8jQoZFlK27Dt41L6J4X5bthtT5x891OU3if64lnwmIiR - k+np0jbq/fEf05yWsWrDPflg0aY7x+Guo8Nve/nLNY06Wu035XDJzXJI6qw2351yAAAAAAAAAIDb - U0jKKXXld37nd+YxOWfFY9sBbtW94ivrNdOJDkIhveAFz/faaJI4hUjofprM7AMf+MBQR1d0XTeH - 1j9uoqe0ZEk6kdd9PDan+apvrZn0NV/5Vft9X/goAQAAAAAAAAAAAAAAAAAAAAAAAAAAgOtzw1Ec - AAAAAAAAAADgFknbEp2Rrv2++ZVJS6m15hrr1aX9/XObzab0e29+85t/5Vd+TVIppbVmZmbm4a3W - p7A1c0q3mc1PIsLda63u3vd9ay3n3FpLKRHdDQAAgNuJSZ2SaRe/nBQm3/693rSLZDZlKTVJpsjy - rKqWNS5curT6Ry99+WdMfm5zdO/esq0vnOsXEW2YaorcvBX+gr59hWmUJKWrk7fD5GZLlRbuquds - 2WrT0fStL7n/x//8g9psdO5Akvq9zVDzoqSSpKqQZFIKSy51km5dRgsAAAAAAAAA4OYyM5MuXbr0 - B3/wB7d6W66Lmc0Zwy984Qtbayk/QR8n4CwyC9Ply5f/6j/81TyilDLV6ZldaUjNpW3zTzK9+tWv - jog6TLmjRAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwqfG7dwAAAAAAAAAATr1INxq+ZmZ939daz58/ - v9lsSunf9ra3/fRP/5ykrsu11ohI6ak3E5iZu0ty9/lJa01SKaXv+2macs6Scs5mNk3PcIlSAAAA - 4NkUspBciit/pydJckmS2y6RuUlNqvO0EYtoiyRduvytn3v/y13PW136rKLp6MjdvQ2rqXapT91e - U3K69Ny+3FSTalK7+kteSGPEKqZDXy/UpXG0Yf1Zee++wf/xS174LQ+8XBcvqYWalssi0xh1e95F - mZ8kyeS2zfMGAAAAAAAAAJx5EdFae/e73z3USVJKqdaacr7V23VtERERks7vH5w/f97sxjo7Aadf - RLz//e+fn5vZZrPRs3Ke51IUMumrv/Irzh8cWCif1v8HAAAAAAAAAAAAAAAAAAAAAAAAAAAAgNOG - Or8AAAAAAAAAAJwVpkiKx9zb911A4NVjm0x5mlpKJXeL//Unf/Jtb/uJCEmapradxt3dTZbTDZfy - nJO/5/DvlNL8cr1eR8R6vS6lSKq1ShrHseu6G10+AAAAcKrNf4PPf56HzGUhSVVq2zdMSiFVKaQk - LbzmYdQjR29+xRe94HDdLl9YZuWx3S3du5+T65yUPY6GtXIXhFncvkIK0/wRp1AOZd9GwLtpsbdw - KWVL0e5Vv3c43LUeXuDTCzaHb/z8V+jSSuNooWG96a2EkqzMM5tkckVThOwaXxIBAAAAAAAAAGeO - u7vit377/5276Li7pDke+5YI6drrvnqT7rvvvnEciRbGbSYiwvSH7/5js3Q85mYuX4pdX0A//leu - UJvq/nKRXK999WuSzN3n7nkAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPiWiuwEAAAAAAAAAuA1FxDRN - 58+fPzw8/MVf/MUf/dEfnSuFmikl5ZxLKXPxUDNrrd3o8nPOc/K3pHn2zWazt7dnZvPj4eHhXIHX - jNRBAAAA3HZMktrxn7ohhUzJZKEsmSwplKUiLaXyyMNaHemR29vAxAAAIABJREFUy9/+uZ93z+Fm - fxrPL9LQ1KQmPbpqY6grZdC0l8siJ7tloRt4xplkIZtDu3fDNvo9NPhoJX2ijV3KWS7f3JnycuN3 - TeO9h4eve9nLtD7SZjjf9xbuUpPCJJtPQn+CyBQAAAAAAAAAwNkTpkiWc/6jP/qj4+43qeRwv7Ub - duyqe9K7LTTpcz7nc6ZpossQbifz9SjpD//wD2u4ySJC9tjc+mdCSsmkOoxf+d/8t5913wvO33GH - mY3j+EyvFwAAAAAAAAAAAAAAAAAAAAAAAAAAALg9EN0NAAAAAAAAAMBZEElxA3f1J2+p64ep/bvf - +u03vOGN0xSSui6HrLlaa7VWSSmleEr1Q1trczJ3RKzXa0nL5dLda63zAs+dO2dmwzB0XTevCwAA - ALhN2PbP8xwySUlKkkst5SimMqiMSpKsqa/SZq3w17/kZd//ufe/qLodXe667qi6llalvrPzvS36 - dNHrJJ1b5HFc5zgtqRu46VKouHpXDiUpS0nKoRTqOinZ4P7cg71qbVDNSu61hNJG+9Pwouzf/ZKX - 6pELGiabWkhVmqQmSVUxJ6PYDX15BAAAAAAAAACcWmamlN7znve01p6o5SAeE6F9i5wM6n7e8563 - 6Do/NRHjwE0REcM0/d7vv8ulppBUuu74XZOeblj93MijK8NutCcpu/7x//iGvpRHP/lQX7onWw4A - AAAAAAAAAAAAAAAAAAAAAAAAAACAE6jTCgAAAAAAAADAbSdSKb27v+td73rta187jp6ScrZpaifr - 5OacIyIiUnoq7QWttfnJ3t6epIgws1KKmU3TNI/p+z4iSik3Y68AAACAUyGkJjUpbH7pkmRXiug3 - qUoylw/aHOlw9cYXv/h5ly++oMbearVIiohsediEUj6c4vIYQ/OaFEmb1eqcnn5pf5xqSbK46kNO - oRQq0mbtOevi0XrTWjJr8iqFtEhaSoujy89dH33fK1+pi5dSU3Hp+LyztEuSL5w/AAAAAAAAAHB7 - aK211j7ykY/MIdgpJW/NnlI/n2eamc29kkI6f/783t5ea81OQ6g4cJNMra7X6/e87y/yrgNerfWZ - XqlJchWzr/rvvuI5d9297Bf7e3vDMPR9/0yvGgAAAAAAAAAAAAAAAAAAAAAAAAAAALg9nMaf6AMA - AElmMrMIqtQAAAAAAIBZOjFckXOepmmO366Te9NysT+Oo6T3/Nmfv/a133x0NEqSrLlCcvfjeVtr - 882HkyOvseKUjrO3j59si+1GzPO6+3EFXkld12lXk/dkWDgAAABwO2nypipVWVXa5m2nUEhJaj5q - Wuvo8M0vfOFnr4d7xpqmI0uuZBbqatrXQl5MnVJpkplSlie1W71feKZZKO0it10KyaQcsqq9JHN1 - WcqaUoxJU9KkPDWZaZG0N413XL7wfV/woC5eUp1SeN6derKyC/AGAAAAAAAAANwOFnvLP/6Td9fY - duw57q5zjUlNz9r94dgNJ3lrkkyRpM+67wWr1Srn/CxtEHBTzReambXWWms555RSRJRSfvOd/3eS - 2u4aTOlm/kjf5qWZclcsS1LOVkxdShbxhtd9Z7ZUhzHn3Jrzi0MAAAAAAAAAAAAAAAAAAAAAAAAA - AADgOhHdDQAAAAAAAADAGVZr7fs+5xwRfd8vl8uLFy/u75/76Ef+6qu/+mseeuiCmczUWkTE3t7e - U1iFu9dac85d19Vaz507JykiNpvN/KS1dnPrkAIAAACnnEmrzcbkSdHqOI3DOI2RNTW5tJCWobze - aBxe/6IXPr/Vc7V2cz6zvLgX15xWEZLbnN+csiu7QmrpsXEXuP241EzVVLUNa5/Tu3MoxTZdZT49 - 3GQpp9y7q01y6d5Fd/DwQ9/74INaHXWHh8U9ScNYxymmieR3AAAAAAAAALh9rNfrD3/4w8c52XNo - 95wrfArZrnljsViYWaK1A2eTu7u7pMViMfeXm6bJzCzn333X79UTufVxUwO0w71fLGTWag1XSvIW - HnL37/jWb73j3Pll1ysiIlJKrdEkBAAAAAAAAAAAAAAAAAAAAAAAAAAAAFwXgjQAAAAAAAAAADgT - /MRwFTObpmaW3XV0tF4u9z/+8U9+wzd84+XDlSV5qF8s5yqh6/VaSjfaOtD3vaTW2jRNi8Xi8PBQ - krsvFgtJOeec59jBm1yNFAAAADi1avO9RZ8lH8dSuq7vu764NGaFqTRptdbh9LoXvOTFy37hw5Q0 - JI1JMi3cF+GumKy5tbCQeQ4tW1q0baKzn9LQDdwcLXlNXpPGrCmr7sLak1RCnSu7TDLJQhapefNQ - k6W+7C/yMKzvXablJz/2phe/TEeDxqkOY86l66zLWSGy3wEAAAAAAADg9uDSe//iz11KJu165tzK - /jnzzesnEIp5kjvuuCPJ6EeEM8rMUkru3lozM0kppVJKa+2d73ynSS6lnGUKd9lVl8TTauKLNA3V - zGRmSSmllFSSPue+53/TN3zDsu8ULslrSyVv/1MAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8KkQ3Q0A - AAAAAAAAwBnW933OeZqmudztwcH5ixcvf/03ftMHPvChWt1d+/vLzWYj6Thg+0aN4yiplCJpGAaz - K6V1a62SWmuSpmkyoyQoAAAAbn8mdSkVS3LL3UJhw2plESnaMqmMow4vaT187+e/6kWR2oXLbZKb - apLmJGYpyaUqq2E1rEqeY067SNmTeUqEWXwaaLYdwuSSpCQlXQnt1pUn3pVScn841sljajpabz6z - L3ddePgtDz6oy4ddWDFFjbZay/1W7hUAAAAAAAAA4Obpuu69732vJDfFcWj36Y7ETrK77rrrVm8F - 8LSUUiJimiZ3TymllMZaP/Kxj/75+99nyST5rjnm2v3lntI1mnOOiGiecw5XrZ6l5nrNP3z185/3 - mfKo45QtuXtEpER9AAAAAAAAAAAAAAAAAAAAAAAAAAAAAOC68NNcAAAAAAAAAADODvPHDJvNZrPZ - 3HPPPZIi7KFPPvzqf/iad7/7PXNxzr7vV6uNlHLuWoucu6e22pxza22uNNpaq7XOz0spwzDknN29 - 6zonIg4AAACfHpJUp6lKsqKaFv2BPGxYZT/S+oI26+992cvuvXhpuV6d60tapmZJkUpL2VWl0ZSk - HAqTm1LIwqvkUlbqI1nQped2lrZJ7ZLkJjfFLtbBpdgFOlgou3J4rxjGlcI7Ky3ynef3z2XZOH1G - V/Yeeug7XvQSHV5SXafc8mIh5+QBAAAAAAAAgNtERLzvfe+TtO2Sk66EBF8rLvi0uOuuu8zs2pHG - wKnXWnN3M+v73sxqrRHRWnvnO9851ZhP7Iir0rlNSk//F/seOWdJbaoKlZKa62980YNf9ZVfMW7W - 2dLxZeXujX56AAAAAAAAAAAAAAAAAAAAAAAAAAAAwPWhVCsAAAAAAAAAAGdY3/ellEceeURKOZU3 - vvGNv/07v7dYdM0laRzHruu6rmutSXoK0dqlFDNrrcWOmZVS3L21No7jYrG46TsFAAAAnH6lFEt5 - qgo3qWgzSKbV6us///N/4IH7n7c5XPr6IJWxttXGLbZZ3UkK03Etf5tHhsLUkjebR1Jq/3ZmoTxn - cvv2008hSSE1yaVmaqaQ0nzaSH1Jfdbo03LR1zo9ennVmsLVpnpP1n1tfNMXvEoPP6JhUvNTndYC - AAAAAAAAALhuLrXwjz/0yXjcW6fxTvAuqDsU58+ff0ywMXCGpJTm9O5Syhza3XXdYrH4pV/6pZBq - eEgyWUqSwv1mXY8e3uWy2waF+3JR3vSmN915553zyC7l1prlZClxiQEAAAAAAAAAAAAAAAAAAAAA - AAAAAADXiehuAAAAAAAAAADOAnPZNQL8hmHouu7cuXO11u/4ju/41V/9dZMNw5RzTiXLNNVpmqY5 - YPsplOystaaU5tnn5O+IcPeUUs657/taq6SU0tHRUUq0OwAAAODTQ0SEJSkVtUUe66Su6OLlVz// - RZ9/tLn7kUsHddjrbMht9DhY7veurrnkLlnIQnNKd3EVlyQ3hcnNq9XJPK71xz9uD0kqUr/79IvP - p0SSUlNqlsaUakrNkiuZkkmXq+8tuyzVzfrOsn9Pd7DX7bsU0jiO9+Rp76H/8F2v+kIdbmSlpdOZ - 2QIAAAAAAAAAuGHr9fry5cs5maRT3i3HrkR3a39/PyKOxwBnS0ppvtxaa3Nut5l9/OMf/53f/fea - W/skmT0T4dnTNJlZKcVd7voHX/Zlf+tv/s3DS5dLyrueex7hOWeuLwAAAAAAAAAAAAAAAAAAAAAA - AAAAAOA6nerf6gMAAAAAAAAAgGuLNA+Lxd5qtYmwH3zrD/2rf/1/KFtTSGqtzRNaSn3fD8Ogp1rD - N6U0DIO7m9k4jmZ2XJxUuzjwiDg4OLhZOwcAAADcarvk7JCuWXXfLJkkNVeW+ixdvPTtD37RSyZ/ - znq4p8ibao1han3pW2sW1+ijE1IKpZCkMFnM6d3y011pf44ev8b4E8PJkY+ffeZPb2fnGR//eIYk - KcWVI+ZSmB6f2R7Svmm1mrJkCq/TZlptpiGrK13KWdOq3R36jHF4/Sse0NGlPFU1n8/bkNrJUziu - nNJXTu2bnysBAAAAAAAAALg5Lly6uBlbPZkQHEqWTuedXZNJCqkUfrmMM8zdSyk559ZaRHSL/vLR - 4R/80R9dPFpb3rV0RSi2LS7zGNc1WnluyKJfeNQkq7Wa9PzPuPd7vvtNdZq6rouIOas75+zuT9hc - BwAAAAAAAAAAAAAAAAAAAAAAAAAAAOBx+AE8AACnl5nNZTUAAAAAAMDtKD1ueBzz4yGal5Rbja4s - TDmlMnkolxYWVt72z37iJ3/qp12qLVLu5lhAr02haD6Om7k6qHt9kjKhXdfNTxaLxfEYM5umKSLm - iO5SyvH0OefjubiJAQAAgDPOj4eQx/FLd/l25DzdySzvSerTZKtHdXjh+x541ec89Oi9Q81TW4Vq - lkILJXN3b822wczHw8m1SrJQiu3jsxC48fh6/sdB2tccTs6VlJKSRZKSRbLYTpBCOZRDKbZz2S6a - +nj5c4S5bSdI1VIo+TW+HH2KwU0hXXm0K49PuAsmXZ0vbif2fbuDj4sefya4VKW6++jnTzzMw1zy - JC/hxb2EJ3mTN6lKIZnJpKaWZEkRatPk3pSyupzL6uieCw+95f4X66G/1jD4NEma552k5lKcOM1D - TWrbzXHSuwEAAAAAAADgVLK/+thfh2wbEOwuj2zJ/bg548T93atePGOusRaXXGYxxwlLJp3b34+I - eLpBxsCzyY+by8JrzmahYRgWy731NNli8TP/2y+EVNvuAoiTl8OV6/GqS/IJmp2ubq5Ktmv/2oxr - S8nDS7Ys/fD3/+Dd5+/slFNYhFJKNTwiSsrRnORuAAAAAAAAAAAAAAAAAAAAAAAAAAAA4DoR3Q0A - AAAAAAAAwBkQEWbW9/3DDz9cSu/uy8X+Zj26xy//8i//Tz/2NjOFlHNurZVdCPf167pumqY5mXsY - huVyKWkO7d5sNvMGtNZSomUBAAAAt7OQfJsk4QqXtiX1XWpzjf2QuaZ1U6hX1bjRVN/wqlfeefHi - va6iOudAh7b53Ck8h8s8rlWa/zjGe47rfhZCu5+akwHeu7jxOb1bYUkn0q+1y9LWcW53bDO2JaWr - ArNd5iZPu+iOOWPgeh6PE8HtcTM+odhGiadr5aP7M53XfXJDTM3UTiS4P0baJaBrd4YcH/8wnYyZ - T8lKSd7kU1OL53X5jocf+dEHv1CXDpNLXi3m1G9l253BktLxqq+c4QAAAAAAAACAU+gTDz8Uuyxg - k5nk8Wze0n6KUkp2+rcSeAJ9LuvLhxFxxx13HG3WqZQLh5f+z1/7t89wFr2XUtw9Z4sWX/qf/edf - +l/83WXfbzaba11Mu/Y6AAAAAAAAAAAAAAAAAAAAAAAAAAAAAJ8KARsAAAAAAAAAAJwB/WLvaLWJ - iOc+97nDMAzDIGl/f/9XfuVXvv3bX5+SIiSptZZyrtN0o8ufpqnrulrrnN5dazUzSe6+WCwk5Zxz - zvPEEac1URAAAAB4ilIoNalJTcmVFEmRlFOk1JRCqUpNUpOquj6rVW0mHQ3f8oKXPefCYD4exrAq - qknF1blq0pQ9h/fu+dQXzz+Zaf2YQXN09xy7barJa/Ikz/Jmqdn2WDVL1VI1NSV5p+hMneYhilQU - yZRMylKO7dC5SiiHW3jSdT3m8Byp83nQPGRX9uNtTimSoihKRKcoiiRtg6vT8RBXpX0f54ufIc0j - IkLqunJ+rxuG4WC/b48++l2veFCPXNQ05PCFwoYqXdnzaj6pZtUshVJTIr0bAAAAAAAAAE6nj33s - Y5JMJslOfRr23J/IpJyzmdG/CGfU3H3OzNbDRsmGafy/fv03V+vNDS8odM3Gp7hq8NjlcLu7SdHi - s57//P/5x35ssVgcHh7u7+9fNa8pTvv/BAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDpQnQ3AAAAAAAA - AABnwDRNi8XC3TebTd/3i8Xi6OjoN37jN173utctFtldi0U3lw311tIuY/tGVyGp1jo/pnSlEWEe - 2VqbJzv9tYABAACApyDmuvgzk2wb5h3HI7bvucYjTRtdvPTtD3zhi9T1R4fFY7HXP75cftLtEIts - 2qZcH+cL+HxkzMM8LIUl1zwUKZkiRTRFKKSYU7dl3uS+W0gOFb/q4Pj1PfrJj2ln/vZikSx2sesy - af7qYruo7jJv5BzwbUpJya5OKG+mdnY+sJD6XPqSVkON2mrVpdV4z3Lv3vXhd77iFTraaD2oeteV - Eyexu1oo5le+Ww4AAAAAAAAA4BT6xCc+cas34YaZlFI62e8IOFtWq1Xuu1RySqnv+5zzO97xjpye - 8QYkc09Sln7w+77/nrvuzrKSsk/1mV4vAAAAAAAAAAAAAAAAAAAAAAAAAAAAcHsrt3oDAAAAAAAA - AADA44PnJElxsoitmeVpGt1dKWT5/e//82/7tn+0Xk+S+r4Mw/ykH8ca/gQLfGIppYiYn7i7mbXW - 5jGSSinDMMzZ4V3XuTsFdgEAAHD7CGmOMbYUUpGS1LTN3DYpJJP6+a/jInlTNK2GN73yP3rew5fO - pVh0XVUdVmMpslBNslCJE3HXpywNOh63PfbE6c05lCTfzVVcYZqyuym7LLZZ1yW0+5LgOeSmmqQ5 - oiOUQyHVvF1XDnUu7XK4H789T8JNkrfdy7Tbcgttv1vZPD75lcWaK4VZ2DZXIbuy3KSipHnbpGZe - k0wqfmWxp1mR1uPUJVuY5HH3+f31eu3DcEdMi2zf+eL7f+QD71PuPUVKnZJkHvIkl+RKc/wDAAAA - AAAAAODUOjw8tF0bw3E3ntPoxLbN0d1m5hGyU9ZAApywbcjbnqRzM5dLSqU35VprWS4uHh09dOGR - f/+u32t+My7Ax18QceUdk84tln/3S7/0v/57f39YH41DW5TOW5PZ4xry6LkHAAAAAAAAAAAAAAAA - AAAAAAAAAAAAXC9+nQsAAAAAAAAAwBlgZpJyzgcHB621D33oQ9/4jd948eJR1+WUNI51nmyaplLK - U6jV6+6llDmxO+fs7u5ea3X31to4jovF4ibvEgAAAHDKZCmf6EwTUpKSZHPCcZPcm1xWNQ0/+rf/ - 9vmHH71P1rdhnDbJWjYVV95V+bfYxj+76RSHaXxqSUoqWcVVXCkpXUkyMJlUwrNc5pJbeI5tXLce - l8kdpmbbkcfHduZ2vYOk2A0zkyy2C0xSDrdwk+fwtE2qjjCFhZuaqZm35M2uXkjIIp2tCJFSyiKl - yWOv76cWj15etRpWW2lTPrz4wrDv+NzP0zAlkxTHxzormdL29TY7/dbtAwAAAAAAAADgia3X65RS - KCTNj8lO74+C7fge/mlOGQc+lcViMdYppTQMQ9/3P//zP1/d45lv78vSHQfnfvitP6Tmfem6lMPd - rpHbDQAAAAAAAAAAAAAAAAAAAAAAAAAAAOAGnN5f6QMAAAAAAAAAcFvzE8OnlvvuaD0s9g4uH60/ - /OGPfN3Xff0nPvFIzhqnti18K3VdFxEp6TqX+RjTNElKKUkax9HMzCyllHPu+77WOr97dHQ0TwMA - AADcJo4jjV1lV/s+h8ou7DhLCslcyZOaatOlo/qBDzxv0XUxJvmyWGtRirJvA66zq5mmtI2aPm2O - M7C1jax+8slTyEI5ZGFJUtJ2NyXl0J5r2ZQkT8fZ50kquZXUirw0pabUJIWyb1fXJD+ORb9BFski - 5UjZlUM5VPTYIcuzPIebPKlqFy4+P2nJa/Ix+Zh8Mm/yeV8WNS1qSmckAuGo1uVyadIwjOf67s5l - v7/sk7QoOtepXH70ucP0NZ/92bp0WVarTZJMyWSSScmUrvsrKQAAAAAAAADgFthsNu6uE4ndZqey - 4UHS1dHdpHfjLAqlUFqtVq21rutTyqvN8DM/9wsh2dP8Pb5daZG8piT1ufyLf/728wd73qYUSmal - lGmatleVKXZLOPkcAAAAAAAAAAAAAAAAAAAAAAAAAAAAwJMrt3oDAAAAAAAAAADAp5TGoe7t7R0e - Hg7D8JrXvOZDH/pYzmpNOVtrISmlNE1Tznkcx6ewAjPLOc/53HNud0TknCW11nLOc0XdiDg4OLip - uwYAAACcAibNERKxDZbQLlHCTNvU5xxyt+pajd/9ii98wWbyYdooliVfqi13miZ1UpYs5FJLClPy - TxmMfdq5lCTXdk/mlybl2IY+z/HbgymkZlIo1FUrU5Kn3aGQWtKUt7MkbQ9LKPkNpgtYeO9e3C2U - w4srR+RQjjmoe2s+6vNrk3K4S2YKXflww648P5m34HE2Ag/2ZZvVav44aq2TR5JKUnM117Lk5bR+ - UXdeNTQNJZKySSXs6WVLAAAAAAAAAACeLeM4hkJSztmr63RHd8/OeKsIoL7vlexotbK+vPOd7/zg - X/5lyDz8mV7v133NV/+n/8nfito2m83i4GCz2bTWFotFtPZMrxoAAAAAAAAAAAAAAAAAAAAAAAAA - AAC4jRHdDQDAqRMRczhWSikiTn1RHQAAAAAAcDOZ2TAMe3t7KaXDw8ODg4NxHEsp09QWi+WFCxe/ - 9mu/9v3v/6AkD6Vdbrckd5fUrqNSZ9d10zRJWiwWwzAcj6m15pynaZpvTRxPPwd4d12ns1D/FwAA - AHhKXJa2Ad5+daxEtFCzFKFq46SjeMPLXnnfalpOVRYhbVrrTNWVk5qnOcc6pJCaPEn5lu3UDcuy - UFTJJEu2jYK2CNWIyClFRJTYVC2Tck6b6h5y0xhSSqM0hit3lvqVNB0sV4qhttz3m4hxufyn7/59 - FZOKlBSSmUqSSe6K687yaFWbox/60r/zyF//ta+Hbqr7qRxYivV6GVKryX3ZlzZNkkLqi5UpJI2h - Lsu1jQ9vTUXKu5c52cZbV7qICH/G0xduiqbQcei4RydJipCFFgs9MkylL8/ZrL/n/s/7ng+8V33W - QdeyYpdPP4x1kZPc6UIGAAAAAAAAAKfTer1Oljz8uEdQXP/t9GdRytlbc1cxKTRNU0mp5Cw/jVsL - PLkWnpVTSiG9/e1vl8wVpZRa6w0tZ+5m90TXgElmilApqVZ/1QMPfN/3fG8dxmS2t1y6e1+6CCe3 - GwAAAAAAAAAAAAAAAAAAAAAAAAAAAHiaqLsKAAAAAAAAAMAp0ve9u0/TlHM+ODjYbDZ93w/D0C8P - Lly48PrXv/5P/uS9mhPuShnHG6sHql1K91xLdBiG5XK52WzmJO9z5849+uij7h4RpZTW2hzaDQAA - ANz2QpLclZJty+hvNVcJkyua1UlDe8PnfdFnbqbzoxf5mBQmC0nbx1Dy3QIt5tDus5RKsVLcvb9/ - abVKUldKTiZp3IxNOujTavQkedbeflfX08Xme7K8v/+J1VFaLKacY9Ff2GzSclGju1TrP3vPu5WS - QlJWVyTpoFMusryL7taYFFK/O4DXpU3q0nf92q8qTClpHJSyxvFbHvzi813XLh/up7SISEr7XfFh - mup0d99vxnEvp6H5JPVFQ9W5RT4a2mKRi+XVZhy97fX90TialO1Tb8WplUImHQ6646A7GqZ9t3YU - b7j/89/6vveqerTJ+q6F3NT1ZRzWfckyP04ABwAAAAAAAACcHsMwzFndx4nd7n5Lt+jajjdv/jci - zCwizvLtdnz6ioixTm72x+/+09971x/MI2u74X56T2K56DebMSdTlle/89zBj/3ID2ub523S2Wpg - BAAAAAAAAAAAAAAAAAAAAAAAAAAAAE41orsBAAAAAAAAADhFVqtVSinnXGut1ft+aWZ9b0dHR9/5 - +jf821//TUuaa/COY50TuG9o+dM0nUzvrrVuS+WaXbhwISLmuO5aaynF3VMivw0AAACfRprUTGbq - 5jDpmBRSalqtdRjf9eL774suby6ZIqQUcm3Tu/Oc/m1qJoWZorgktaRm23dPv4NSNptNSItFf3kY - q9RJZrrrXLl8ue4nTa4qXV5PRfnOvb31EJdrunD3c4ZFt9lMl639i4/9pUpW7mVZdVK/kEIpK6Sc - lExK25Rok6R+XvENHR/L6vZUFpI0bnTHOck1jf/krz6oWlVDU/0fHviC3uM53bK07lzRw5uLd6T+ - sI373cLqGK4+xeHQ+kW6MLSkdtClafJxHO9ZHAzjOMZ0quJE0onjM2/Ykyedm+x8Sq26VfULHdTp - eRdXb/ic+9/6sb8se31I1WTSEN4vuiYl6TTtLgAAAAAAAABga7PZzE9CIclkcWO31J8lc3S32Ta6 - e5om298Pd+P2M84iS9lsudx7xzveMdShSVJSSPKbs/zQOI4lW7T5utYP/+APfMkXfXGuniIsYntF - 7VqFrpqVSwoAAAAAAAAAAAAAAAAAAAAAAAAAAAC4QUR3AwAAAAAAAABwipjZnNudUtrfP3d0dJRS - mlq89a1v/Tf/5lclRWi57DebUTqu0nljpmmSNGd+11qEgFAGAAAgAElEQVRzzq01d2+tlVIkDcOw - WCwkkdsNAACATxNzlfuQfJci3aRiri5pXMubNvGml37eZ642d3cq3WKc1s2S5HN6t3ZRypG8WZIp - 78K8I9RMblelL59atdZJ6pKtx7HLdn5/b71em+LRy3XPZCbPupA0Lcre/l0fvXQY/bKe23/LH/2+ - lp0madmrdy2y3GRJy14mRTQpWXKlLM253ccH40oE9fUnDaSkSDIpKfrFGM0tUl6YWt/1qpO6xdvf - 9z6NVWOTp+981asWOTZdXjQdrdaLKB7TPctzbbMaB1/22Uq+sBoPShqq+3CUb+YRvQVcCkXz5oP2 - s1qdWtPzF/sx1dfe/8A/fd+fWkmbcTq3t1csTaqhWIqvfgAAAAAAAABwGs2dfM6Aq7swDcNgZh4h - I2cYZ1NO/99f/uW/+sV/nXNXWw1JyRQnmrienmQWbRvD/TVf+eX/1d/7+xaRTKTdAwAAAAAAAAAA - AAAAAAAAAAAAAAAAADcd0d0AAAAAAAAAANwK5leex5WYtOVyefny5b29A3dfrVYRERE//uM//jM/ - 8wullF3e9nbe1tqNrjalNAd+p5Tc3cxaa/OY4yDwxWJRa51DxJ/6DgIAAABnxVxnP8kll8ouRbrJ - k1Uz6cLw5vtf9UKVva6r0+XLar0l13a6HNtYbpfC5n+36dTzckxnI7dbUpX2+m7yNtRYJnv08qpK - dy+6/WhT81TOPTKtHrnz/MVFPhrrOz72IXW9UlLJsiIlmclcLWRV1rTZaLGQpSyptZxCliWPXVD0 - 8TG8oeBoM8mkJpmsKbe06MxDKRWFlIvksqaQDvY0tR/56F/IRx2tvv6BL3juwf5nDHFH9WnTirr9 - xfKh4eIi58m0Tn7u/N768vpg2ddhvNmH9mnZBsOfCGuIJw5usFCTDvq9zbjuzDYt9rPatFq63Xe5 - 6GhUiTv3FzGum6XSdS7d4CcAAAAAAAAAAHiW2NmMvp67PJ3Rjcenp5MtLyabpukd73jH0WbdpFR6 - V+jG++nt2l9cuirze2/ZbzZjkrpi97/4pT/4vd/fp1xStmgm+RPEgz9J2xAAAAAAAAAAAAAAAAAA - AAAAAAAAAACAJ0F0NwAAAAAAAAAAp8g4jmZmZnNodynlp37qp97+9n9pSbXWUoqkWmtKyczc/Thv - +zq5e9d1rbXW2pwF7u611pxzKaW1Nk8wr4gqugAAAPh0YZLUSS7lkKRmnhXypmH6ib/zX95xuHZN - o8ZOOl9saJrzuO1K/LSSlMJlaS7B30wWbqEcZ6aYvkljq1OL/UWJZCV8v+8vrsakFGV/ndKjd971 - lj/7Ey165U4lqxTJNHqYLKex1r5fyKtS0jRocaAIyWRSsu1ximSmmJPOd4fOJUlpF3v+5I8h2Zx0 - EFJSKaY5DNwlqbWUUrJF0WKxXh3und9vdcq21KL/lx/+sDZVR9P3v+oL7wzT6siHoz73w1jPHyzH - o826rSWtN2N3Rj6vawqTh8ZWc9Ina9zTq1aNPp0vyzRu3vyyz/3eD/6Jsix3peQWbpZv9SYDAAAA - AAAAAK5tuVyefBlPkOl72hweHrp7SUl+NjYYOCnn/OGPffRnf/Znk1SVWq1KN7PpaM7tNinL/vnb - /5d77757WG+iVdNZbqACAAAAAAAAAAAAAAAAAAAAAAAAAAAATiuiuwEAAAAAAAAAOEVaa3feeeel - S4ellL7vf+7nfu4tb/kRSe7KpW/u4S4ld+WsG83tnk3TlFJKKelEUvg8vuu642WS2w0AAIBPE2Fq - UpGsKs/p0FlJLnetpY0+8eEP3bssNmz2cp68TTVMlqRmCm2HucJ+52rmboo5utuUXUnyM5LenZOF - mSmmqa5ddxwsDo+GfOe5j3v33Fe88nX/+89psVC/UOnkVYuD5jJT6mWmmhQlHw5Hy8XBpLC+W4Zk - 28OrOYHAtyua07sl5W1s9zbGO13HY0iT15KLzV9bIrXWci5zrHfu0pUFlq4ppbJozXI2LZv6poPp - uz/6Z3r0wrf+jf/4OUM5GIaDKelwc1fqapssK6U0Vdfp5k96OhUzzzFMes65vg1jc/VSa5sudfeE - vfGBV73lPX+q/YUOiqbo+kISBAAAAAAAAACcTgcHB2YWEcmSx//P3t0HSZadd53/Pc85997MrKru - mbEkS9jGrJFsvSBLRmDYJQgwu+wGsd6Fjdh/wUbYhAOvjeRXJGFJfgdjY4GxBcaLbWFLay9swC7g - xQTL7rJ2AA6wsZFGMxpp9DbWaGY0M93VVZl57znn2T9uVnXNq6ZH3dPVM99PZFTfvJl585xbJzvq - nnPy/M5733WE5j764+Pj1pqldKtkjQNnjeP4C7/wC59++MEm9blfl1FhuzGqa/E0Tzepd/34j/2t - 1732tZvjdUpeSzFPodOPuUtPObxofLAAAAAAAAAAAAAAAAAAAAAAAAAAAACAZ4zobgAAAAAAAAAA - boZwWVP443anlI6PN4vFYprqv/yX/+o73/LOPu/211IkeUqt1mEYttt1zrmUck1va2YppflVc253 - RKSU5reQlHOutc53Se8GAADArWoOjZbaSd7zLmHb9LiU4vk5TR5nl7lvzbxqnHS0/c6Xf+nttdi0 - TinWpaWs1h5zjGayk9fOh/dQO4mmfhbr+D9rj4tz9njMQx7SLjJj91CzdnWXJClaTBE159bn41oe - ifAX3/Zdv/YftLogc+31SlnFlHN0w0ZKrjS/0tSkoroYlnUXX6AiRZF3u5P8uFlKdpo9EJLtTukz - /JmTh2pIMjcLN5OplJLmPG9pzi/p+uVUt10aPGlqCk+WPHduHnrpi9519/u1rX/2C77w5Qe37Y/9 - +uhoZd3C8/G07k/PZMjlTQo7OV2hdHJiT/Y//mxfreMTItuf5snXUbitx5I6u3RlHKTO1UIRsjrd - lpJt7C1f/vof/PAH1KaUF1fb55mixm5H08nn5rEtBQAAAAAAAADwXBiGYd4w2w08mOw85mGbKa6W - ahzHCLl7rec9bhwvcPM4ztnRnCa7dOXyT7z77zRJsrFsJLfsMU/S+5wH/uxkxOVr/8zX/Kn//k+u - j46XQ+/upbaIOk/VMzsZ0ZyLF+fvIw8AAAAAAAAAAAAAAAAAAAAAAAAAAADcOojuBgDgfJnjsiLC - JHc3ew7X8gcAAAAAAM+h5D5Ntcu51pq6HBG1VjNLfbdery263/jN3/rGb/rmMI1FnmyO05bUapO0 - 3a4lPU1u92kmd0S01iS5e2stIkop7q6TrO5SSkrJzOadp/u1WwYUAAAAuNXEboRtMoWUpBzS/Af1 - /DevnTzNdrs7qY6RO1NIpUhFU9HR0Xe//NW/c7Pt2tZVasiSVE8Cjq3NwcYeOj28Tsb25vTu+aGm - x+c33wDe5nrbLgPD5tjyXUT3XAyXPIXNWebVVFrrsh+XtuyUzMexyUz9cFjtchoePRj+1vv/kxZZ - uZNlWZJLciXJ3KT+ahK4ZOqkpORS0i6U203WKc5mPp85D/45REGfSWB3SfOFS8759NFkLimkIQ1N - qpK58hwRHll5X5L2F1rVn37kQUXTZvrO17zu4pXNxU3dz4ts2zpFkwZ5VSx9sbU21e18zZRP6lil - rbyZLNrZTO5dVLzkUguFuU7Oydw8mrVrSu9+Yvt5mpc3U23KyVvzzuVNLVqzlqRlqI6blaaLfdY4 - qUvqOslUpGRhak3Jdp+LUM2KueS+q5TH1ZwIAAAAAAAAAMAN13VdqIVUWk3Jao1QmMnO9BLvNu3s - nRvrbC9xzL390eb07vn9H/zMZ7qum8bidCjjHCttWi6Xly5d2r9wcZqmqbbVarUdy4+9+29f2WxD - VhSWs0WLMsp9N9hz5rP2xAZ+9fMYSim1Wvuun6YpFMlTbXUec/l9r//y737HO12xXC7qNG63ZRiG - WnfHizg50lO8CwAAAAAAAAAAAAAAAAAAAAAAAAAAAIBn7tmvgQsAAAAAAAAAAJ61WqLvFnOW9jRN - 4ziaWc75kUceOdi/+IEP3Pnn/tyfO15PCnlKtV7zwroRYWa11tbanGA353PPsdwppe1221qbHyWi - GwAAAM9XcXZrvmNP8rCFcjZJYynqXQodb7/1la+9uJ32pm3fSo6zWdEe1qTmcTVBOWx3O3X2oeeA - P/ZfiydJd3btAr6bWotpmTuTDhZ9bbo8tu2y/1TEIxcPPjr4991zz9+6+x4dHByOrQ570Q/qslJW - cqVd6HY6jdA+iXM+3ZNOtnWy5+oz7erzT0LQn111/bNOfJrfwiVTMzWbc9SbJIVr9HzJNO7ta3+p - Rf6rd73/rXe+/5NDeqjrP1MjBu9yntR6X1xq623d5r47PXI7aVBhu5iGs2+qZ1K4G2lOcM9NuZnJ - FK7wkEzqZPueusuHb37l79GmaBrlVdlai7GqnLSZo6MrJqtSU/hjm3B7kjcEAAAAAAAAANwIMQzD - 6aSepnhcj/rVjujzNPEnpOPjzTxz6WaXBXg6fd8fr69cuHBBap67xWLx6KXDBz7z0Ht+/r2bmJpZ - k0opZuZdp3aNIySmWuvQD+M0zrnd0apLnfvLXvx5P/m3/85y6KO2adpGxN5qtVmvb0wtAQAAAAAA - AAAAAAAAAAAAAAAAAAAAgBc6orsBAAAAAAAAALgJ3H2aJjPr+761tlqtaq3b7fb22z7v7rvv/jNf - +zVXjo8lpZRqjWFYXuvxzSwiTrdTStM0zavimtk4jnMZJE3TdF1rBgAAAJwHTbvM5pO4ipOs56pW - TwOcpSyZNEnhUqjPLk3aHP/5L3j5y45airbNKq4mpabcZE/Iwz4PLJrmuO6m3Lyr6ppSXC2t7XK7 - W1ipVkJ1v+9KmVJpbTOVasMdL7o/dR+7bfWdH/yPP/HJD+n2Qb2r6w5uuyO1cxX5cc1Oo8RP0ral - kIWStEyD5CVMy6WWne5Y/uB9H/51Lw9dvP0BWxy2vJYutfViNayl1qb5lG7NN+Zr860rrLmaSVdz - 3EOKXQs7yXC4umnx5Knq11czSa2PNuyCt5urNdMl6WHFYRsP3H7HI9tv/d2vVZ2kKaxFtpSUk6Yp - FLq42k/yKq+aw7+J7AYAAAAAAACAm+BgtXcy/UfxhH7ac9txe+nSpbilhxbwwrDdbPpuMU1TrXW9 - XpdWD267+AN/5QcfeOShlPL8HDNrtUatn/Vo8xjUWWa2GbfJ02JYRKvaDVrZ33zX3/jC3/EFETEM - w+m8vpTS9a8hAAAAAAAAAAAAAAAAAAAAAAAAAAAAACnf7AIAAAAAAAAAAPBC5O7jOHZd11rrum6z - 2fR9b2Yf/shH/vSf/tMPP3xZMed2V/e83W6v9fgRkVIys1LKHM6dcy6llFIiorV2cnDPObfW5hhv - AAAA4HnCJGsmJXk6s6eeLJofc6R3SFIyyTRO49Bl1UnHx7py/KWrvdUjl5VKm1Of5/TrkNSazt0f - z2GSmsst5sJdLaGFUrT5fjNVl6TO7Mo4mfKYvC32Llt8ejv+yIc/rGWn3tV3xTt1eTvVZfZoSueu - xtekSVLMCeR+mkPuUqkyC/NeqdappNWgy4c/98B9ujK95fVvePThR17U3+7bw+Pj7W0H/fpwNJ9f - 52EKO0nj3jWMJw84vynZJHOOeFJL0mmURJhqVkpaebdd15d2abxyrM1WdbT9VK0vm/XQL7veWgl3 - U2s2/+J3ud1+a0e4AwAAAAAAAMAt6OLFixZKrha7AQ47t121sQsZN+nhhx82s2aR4rO8CLiJ9vb2 - jo+PPaVWtbe3d7Q+/tBdd//9973XzJtUopm7pIiI1sw9Wrum40dE13Vl2tZtdcllWXrrW97yX/9X - fzxqbaWMZbMc+itXrgx9v1wut2O5MRUFAAAAAAAAAAAAAAAAAAAAAAAAAAAAXtCI7gYAAAAAAAAA - 4CbYTpvl3mLO5DZLKaVtmR566KE3velN99//gJtayN1rjdaamcW1L2Vba5U0DMP8LvMCuRFRa00p - SZp/SrLzu6wvAAAAcO3OZDPvYpvVZJIppCqls9nbc3q35F0umnJs9ej6+173B/cOL2er3pqpWbis - zfnFLrlai5sTyfz0UjSTh9Tmn6Z0kt9sUkglVEzVVKsOpf72i/eX7eWF/80P3Kkuq++VszzJPGqT - e9f5JKV8knR+SzPpTJC2SRYaXLJUa61yX+xXKW4bskK5/OBdH9B2/eaveP3tj4wvWyy3R0duKuZu - 8qbU1OzqSZn/9dBpYsMTm4fvHrzhKehzjvichtLU5sJUV5i6rO1GW029NE6P7vnizV/88h/9+Ec0 - Hvvgq2FQreFpskjbkhddOnvckOIcR8IAAAAAAAAAwPPRS178YklnZw2F7QY9zhezuZRNCumhhz9j - Zidp48B5ZKE2FQ9l78ZW1ttN7vvvevvbq9SiRW2SckrTNO1e0J5Ziz774bQ2le28z6TO7E9+9X/3 - HW/+1suXHrnj9juOrlw22TTVxWJVyjgVcrsBAAAAAAAAAAAAAAAAAAAAAAAAAACAG+KGrwYLAAAA - AAAAAACeqOu69XrdWlssFjnnaZoi4tu/7Tt/67furFURWi6X01Ql5ZyfxfHnNO6c85zbPR+ktSbJ - 3Uspkuaf4zhev2oBAAAA54Rrtw5+UzRJsghFu7qm/smcmZMF9NvmOJe1xuntr3vD8NCDF5PUth41 - hVIoNZf8HMZ1z9rVgs1RzW3e4yf1C6lI1RXS5Lq0v/fwwYV7+/R9H77nb95zt/aX2ltpsazeN+Vo - 3qXcmVxhZ499q5p/116lqjZJVaqSTKpSUbKULJWiKh+Vj+TRD1oNGtKPfuC33n7Ph+4NfTqsrfar - ucJTtKTWxS4ke/cecfWdTttJu0mnr2tKoSbVXTa9qqlJm43uWKVeymbLfrHy9oVT+5ZXvErNtV6r - 1qiltpqT50WnUJJ8Tqs/bUaP+RcAAAAAAAAAcGO97GUvM8lCppPO2dCTBHffpH7bJ33bkB566KGI - 0JkOc+AcWq/Xi8Wi1ppz7rru//in/+T/+tf/ryXLXSdTN/Sek6SUkqS5SV8TT0nSYrEwmaQvf+1r - /85PvPvypUsX9vYffvDBLuW+72utw2pVSpnn9QEAAAAAAAAAAAAAAAAAAAAAAAAAAAC47p5N2gcA - AAAAAAAAAPgcTdO0WCzGcZym6Xg79n3/Z7/mjb/6q7/W9z6OzczX67Xk7u6WS5RrPX5EpJRKKTnn - eeXQRx99dM7zNrNpmnLOc2R43/fTNHVdd/0rCQAAANwkIZl8zrGWJNstpj9HEKfTVAtTNblkUVJK - 2o7f9Yf/6B3rzed1ebO5shxSHauFklxSkZo9LsL4XGimMFWTmkwKNUkp5shlSRrlxVVczeSh4zR8 - dLH68Q/eqZAWvcw0DGF5lCzJmjpTK9VN2UMRUpHnq2Hnt6JwWZPUpFCrkkkutyQ1aZKSOvM2aZqm - xarb1KlPKR0caNyq63/gEx/9ttd+xfTQw59vXW6TSz43rVA1l6Ro9oRW8bjQ7pM2OGer38C62knz - btr9xpspJJku9rY9rnuuwxabuonkt48tjpIub/PFfU1bWyyyWUilNknZ3eYjzr/9UJyzxg8AAAAA - AAAAz28ve9nLHnP/SXO7z4OTVGOTheIzn/lMaS2f07ICO/sHt62Pjiwndz9cr9/xzu/pun49jTlJ - 0jSOMpPUWrOTtv2kx4kn3jFJarXKtNlsDpaLF128/efe8/e77L0P43Z7+8XbWqvbzTZ3+ejy5X4x - pJzrRHo3AAAAAAAAAAAAAAAAAAAAAAAAAAAAcP3dyovqAgAAAAAAAABwy5pjs909IpbL5dve9rZf - /dVfc9c4Nne57zrwI2KcRnd/Fl36rTUzK6W01jabzf7+vqRxHCUtl8vtdiup6zpyuwEAAPD85Sdp - 3TNLUpKbXNrFXdf5kTJpnHR5mz9yX785VtkMpjZWu/qHuFvIQ3UOyT5PPGQhCzVTNTWbw7xbsxZq - TQqlqm704SgvHx727l/t/fhdd2l1oIMD9b2We5PlrRRSaXJXqc1TkjVFSO2Wz2qeyx/ucj+TadLU - pKpWlKSQxnDT3tBpUvZO8lDX8kKLhfb2fvjX//333HvPQ4vl5b4b3ZsUcxC47XK4Y3fM3d32FI1k - bk52g0/pHLBdbS6ke7jkCkVTlUpT75KUoq0UF8byl17zFbp0pGSyqjJZKGW37KHHZnWfs5YPAAAA - AAAAAM9vLt1+8bZOZlLE2eGOc9pz7+5mJunKlSu11ptdHOCzOL5yZRiGMK2348/8zHs+et8nN9Mo - tzJNlrPc50z6iHDzp8rtfjomC5kUEf/L+973eZ/3eaUUk7qUt9vtZrMZhqGU0nWdmc1z+QAAAAAA - AAAAAAAAAAAAAAAAAAAAAABcd0R3AwBwvkRcXchjzu6al60BAAAAAAC3KHdvrUVErbXrutbavH9b - auqH4+2Y+uG73/m9/+sv/u85a36wNZXS5j78ua/g9FVPNHcdzD/nBO6U0vzQaT/DXID5IH3fzzuH - YZg3yO0GAADA84+dCRwOac62NskiW3M1taomlTnhuG7Uqjbb73/VVxxcOuy6iIXVUApJaicBGC5Z - 7KKanyqY+aawUNfUNdWm3PvUFKaWVFzbpLWayXMMYXuHi/233PuhH/noh7TaV9cpdzX3o9SkOaR8 - cCUpJ1c0mclN7kq3/vyiJlVZUw7vpCwlNVeThbLkTd7Um0wKJVcXSk3W5MpqWWnQHbfpjr13fPzu - D+0Nl4fF1ruN1O0tj2tT0iTllOfm1HVdC7l7fcrLuBsrpMk1uSRZzO3Wc/MUPjZz0yS1plXTomiK - 6iovOh6/+TVfofWRosilcTSpSpM0zYHdIfluotl5avsAAAAAAAAA8Dz30pe+tCnmjlk/7a2P0x83 - 0+ML4B4RLVpIn37ggdMYb+Cm67pumqaISCmZmZm11qZa8tBvy1RbPPTwZ77n+743pO5kZl3UojMT - 9lo8+ajP6YDkPGHvtM0nTyZTk0KLLr/7x3/iNa96ZbJIslprazVJfcqllJTSPLXvdMofAAAAAAAA - AAAAAAAAAAAAAAAAAAAAgOvr1l9aFwAAAAAAAACAc6yUMqd3r1ar7XYbEcMwlFJWq9Xx8fEdd9zx - /d///e95z/u6zkp5+iM9xQKgEXt7e6cp3ZJqrZJyzvOjrbXWWs553g8AAAC8oMQulnnmCqlIVe5q - oSQltVyaDo++9dWvHS5dekm/tFqurNsiKyX5nN4t1dM/yOPmh2E8kcskDUnrTeuSTKpVYVJOY0qb - vf1Pdenjff7uD35Qq5UiKWeZqu0SmdMuzVpJkprU5JJ5KFd1VTmeR1OMLPykptqluntTavK2CzDX - 7hycqqbRsrqFhu7H7v3wx1aL+1fLo9XeQ8frwS3GtrcYtrWYyZNfGacuJ+nJE8/no8aNDCtppuKn - 6fK7wO3UZOFhKu5zqncfyqHqalaX6/XLWlMJTZOODtWZ1KZpunpQO7nNGfY3sPgAAAAAAAAAgKu6 - rrv94m163DeBz2cvbWunQyjHx8eHh4c3tzjAqfV6fXBwYGbjOJZSIiLnvL+/H6bmykP/tW9847aW - vsvjOCqueSTQzE4n5s3p3a1WRQzJXfqWN735f/xT/8P66Gi1WE7T1HXdda4eAAAAAAAAAAAAAAAA - AAAAAAAAAAAAgKf1/FlXFwAAAAAAAACAc2iO0B6G4fDwMKVUaz0+Pl6tVuM4hvwn/+7//Hd/6j1h - mmqEdHp7rCcP7Z65+9HRUUpJ0jRNfd+nlNy9lCIpIszM3bfb7fwcAAAA4AUhrv4MKeQmV7iqNDa5 - alU2eSu5TKpFY7vj8Oi2/cXReMVLXOhUpHWVSSlUvRVvTS1MJtk5y+4O08Yi+rypWkmL5KlpKaWi - cVs33eoDXX3bp+764U/fpduXap36g/mFKZTVcrQcJUVJKqESUjVVeZHXJ7k8uQXZST75aZZJ7HaH - VKWqqFJY2+W8n2R4h6u4xjnoukk1q9/XcvWuT3z4L99718f7XPf2+9QP0uXNtpkr+Vjb/nI4KlW1 - WZOHPGRxps3Yjc3t1slF5VwTnVxPJik1KbxJzbydnIzRVb0NKqvDw7e+6nW6tNbQR9uM02bVdUnq - 5gOaiqlKpmZPe4kKAAAAAAAAALiOhtx90Rd+oUluaqe9s+ew7952fd+ekqT1uH3goQdvdH848AzN - U+m2223f98MwSNput5cOL7dQt1z+5N/76X/367+eUreZirvLTkaJnsIT5/hFxGKx6HOnCAuZtBoW - SVZr++r/5o9/yzd/07TdrBbLabNdLhZHR0eSmqmd+YBYuD31OwIAAAAAAAAAAAAAAAAAAAAAAAAA - AAD4XPBVXgAAAAAAAAAAbqBaq6RxHIdhMLOu6y5evPjgw48M/fKXf/mX3/nO73eXpGhyf5pO+88S - jRYRkoZhGMex1tpaM7N551yGYRjcvTUi1gAAAPCCEdLJX9ImSa6QTEou01RGU/OoGrdaT+/8sldd - nMr2+LAqkqSwdVHX7Q4zZ0ucTZjwc5aKYabW2qrLk7QeWwtVdRr228UXPbga/sZH79bS63I4zqbV - QtN8VprUFE1q0hwuHdqdMT+TNH3LC6nMydN2tj7z9dccxW6xOwtN2uV2yzVJ5fRibH6GXKmPlHWw - /yP33HV/9kfNtpb29w6Oo/XLRUhH6203Nzg3PTboPey5iFMx6TTdIUxh869Yc+093EJhXucqm2TK - qe23evDgo9/4JV+mUiy7u7U6pZPQ8Xpyk3ZnCgAAAAAAAADwHDCzl7/85fPGZ3nqze25PZmkdDpb - 6f777z/dBm6ulNI4jjnnnPN6vZbU9/1yudy2cu/HPvZDP/zXTkcDW2uKeEwu9zPg7pvNZipT8tTn - 7NJmu5Hi9a9+zc/9zHt6T2qtlDLHh1+8/fYbU0sAAAAAAAAAAAAAAAAAAAAAAAAAAAAAT47obgAA - AAAAAAAAbiAzK6VERM55mqaIePTRR1/84hf/0mbZhK0AACAASURBVC/90lvf+ra+91rkppRSa80s - 7TLidtrJ7Sm11vq+b62llLbbbdd1ktx9Xv02ImqtKaWI2G63n30ZXwAAAOD5wXY3Pw1rnlfYn0KD - JlO36DWtNU3alm/5slfubY73XUNKfXLlrkZamo2T6pz3fHq83TL952u+jYW6plbalVbqst/K27B3 - aPnjpX4w21+9+z9p1Wu52jTJupo0dSaTTmOqTbKr/yQpSVnKoRy765Nb+kKiSVUqJ+HTYZK5wiU3 - ucvTycZ8ORZSNY273O5maqGTsxDS2CyvlDqZ/urddz16+x2fWSw/fXw49PnBw2Pvu6HPOacWUguL - Mxd4Jyex3eCz6aHclJtkrVlr1sJatSa13FrXWgo1aevaJlkoNTVNra0/X/piDTraaio5efKrbeQk - rbtda1gFAAAAAAAAAOBz0Wp91Ze90qS5r/pJJ/5c3Xdz+2/NJLXWwtSkT3ziEze1NMBVEeHuXdet - 1+uI6Pu+1jqW0i8Wb3vHd336kYerNJXJZJKb0snL5uEkSbvhkccMktiZoZ/WJOWU1Vopk0nLfvEl - X/hFP/fTP6tSLZTMs9ve/qrvuyuXL4Xp9LY7WJyOQgIAAAAAAAAAAAAAAAAAAAAAAAAAAAC4zs7X - UsIAAAAAAAAAADzPpJRSSsMwHB4e5pxzzq21f/tv/+23f/u3j+M0bpu7um6otZqlx7706RK7T7n7 - OI5mVmuVNE3T7sWtzauCppQkmdkwDER3AwAA4IXG1KTmcwSxpN5kKqaqUS5tjrXevqTUFy8XV8aN - 2mhm4zSNtaS86HNXTc2UQul0ufzwc7h0fpOyy8wPN6NWe4+k/Kmhf8fH7/2xD9+tC3vqFlt5730v - qyHv5+sCl81J1V7lVb6LmQ4/mzxgt3hutySXks5EaM9sfsRNrjO53WefmNSSlORpfr6rTpOGLG+l - Trp4Qfur737/bz2wWmyGPrJ32aZawnQ0VTflnJ84MetG53ZrjnI/22J3b9qktqtRyKV2pm3XGvuL - nDQtNttv+cr/XGNonHYJMDafCrka88wAAAAAAAAA4DnWWnv1q1+tk2579yfvZb7JPfm7YYerpXDp - 3nvvvVnFAR4nIiTVWltrq9WqlFJr7bruF/+3f/CP/ukv9X0nV8gkmdmzmF/n7pLUrk726zy952d+ - 9j/7Xb8zhVRqn7K7P/roo+M49n1/veoFAAAAAAAAAAAAAAAAAAAAAAAAAAAA4JlgSVUAAAAAAAAA - AG6g7Xbbdd00TcMwzMt0fuxjH/uGb/iGy5fXCsvZW9N2u9Xjl/58RrndkuZ87oiYM8IlDcMcBG7u - Pj+6Xq/njTneGwAAAHjBmOOKZToJtTCtS2vzvSuXFfaOV752dXh0fHh4MEhNWblXXmixnsq2tmYK - U27KId9lIfsTY6BvrjCZqzYtLS+8v+Lpkym+/6N36+JCe/0UJnWDhlxNY/Xa0pmKnIZ2h7zNud2S - oklFNsm20jY0PfMrlHPIQjlaHy1HS9Eek7weUsjm20m2iElJpVcZpCE8N9mc/O7SMo1etiplMCWv - m1F7B3/9Qx966SteMUXznNx9vS0XF0sLbUu5Gnz+3KamzGnl6ewv7aQopmbaVTk1WSiFhqTNdlKf - x7JZPnioo6bNKIVSk0uhFOqkJEntPLV9AAAAAAAAAHieM7NXvOIVfjrKYbuu3vMsQpI+8tF749oj - kIEbYY7uzjnPs/jW6/XBwcH999//HW/5S6v91XqcWtNu6l1EtGYn4yqPb8FPfMAk283fkxSK7HmZ - h/f+3M+//vWvL5ttK6XPebtZR20XDy70i6FGC9PpDQAAAAAAAAAAAAAAAAAAAAAAAAAAAMCNxlqq - AAAAAAAAAADcQF3XjeNoZl03bLfTb//2/W9849c99OBhmNy9lNb3WdKc6n26jue1SinVWudlRjeb - zbxRa53DvJfLpbuf3gUAAACe/04XzT/NaTaXKUx956btoKqu/4ZXfNnBdnNbSqusWmWSRW0qnSdT - 6AmREmGnAd43VjM1m/OVPYVb7Pa0OZIjlMIt/DSFegpVs63sStc/0Pm77v2IDpZaDTUv1K8kj7GZ - KeeU09XJQmerYk85i6jd0rndOyG1x1b48fdO7seZu22X7X26s0rb2Erm6kOWbr9NXdJi+cZ//i++ - 8c677kvp4S75YthsNkXay11IVWq2O8bpxo0W0vxb8ydUOa6m2MvmJ4RalZnGMl5Y5hfX+q0v/1KZ - axqfpLhPklMBAAAAAAAAALhR3PXSF78k+W7CzxzdfdMTsR/feRyS2bxrLmGV7n/gIbvpBQUkSV3X - tdZqrWGq0VZ7+5ePj9/xvd/78KOHh1eOQ/JkpZZSS5e704/bk4gntn5JsuRm1qKZ1Fv6sXf96B/7 - qj9yfPmw67q+70spfe7c/cqVK+4+z+sDAAAAAAAAAAAAAAAAAAAAAAAAAAAA8JwhuhsAgHPkcavS - zHdZkgMAAAAAgFvCvLDm7uremqy11nLOYy2p75Ty8Wa8fOX4z3ztG3/7/kdylkLTVCWNY5HUWmut - nKTiPUkw3snauyap6zpJZ3O45+2IiIhpmuanPS6om9xuAAAAvECEVKSqs2HMXk3FVE2utpJ0vNal - 4y+a6nI8ynVUlZqS1FpJ8rGtkzWP5iELza/d/ZluzW9wmvUc0R0ml2d5lid5mIpLLjcN1kltLy8l - 1ZCSun55Jbrf9u7+i3s/fM8HtTdoMYzWV7nJFLLskuSqpmq7AOY5rjtJSfI5kXkerjSXzY90Umfq - bu0pRiaZy+dK+Wny9K6+Z286zaX23UmYz9Hu7KiTr2yZlTolU5Zc7uoHHbxEd3zB937yvk/srY7d - +uy9NJZpNNXONyHrbQpJqk0pdTe0umGqalUKkySPXT73nEVepaYW1nb7pWaqnvOwbE2rabO/ufT5 - GjWutd5oW+fPUpiqVFUlr6Xc0PIDAAAAAAAAAGYWamW6cLD3Jb/ri10acprnGsUNHKP4rGV6Ytf6 - btDEWih2vfDm6QMfvKuEokkt1MJlp1+SetLvSVnI+PoUPjdhu5ukkwG3ZmplnHLO41TCXDmPZv/o - n/2z9/3Df3j6Sao15kjusWxLm2JutWrx2AHBJE9yk7vllHrJlZIkd0WEm5L0ve94+5/66v92e+nS - hdWy1lKjWfIaLSL6vi/j5LK5tZ9t82eKDQAAAAAAAAAAAAAAAAAAAAAAAADAC1ff9/PGMAw6WY0f - AD53t/K6ugAAAAAAAAAAnBulFHefA7wlmdmcot33fSmtTG29Xn/91//5j3/8E+56FklnEbG3t3d2 - 7dpaqyR3N7NxHM2stbbdbt3p/AcAAMALXdvFdjeF1Fzhkqo0Kcq40ThpnP7ya153YbM96Praqp3E - GEsKa2FNaq5dvPG8WP/pQv83NLd79x62ix33qxHbu/ubphrFZJfLkXvuB7/SdF+tl++44x333v3X - 7/yA+i7c12ZNLinpJML8jNMdj8utvrprF1jtmgOqb3VPUs+ndxLy/dgX2plUhqvPSdIqKXVa7v34 - B+/61DA84K5+SOZNaq31ncoYJiVZco+IdoPTF54q4OGxkRWne11hV9bri12yGr00bI+/5ZWvUpFK - Ua1KGmsxyZSitZTzMz6NAAAAAAAAAIDPSc45or361a82aTclSSY7f720bS7byb3W1tvtpx960E9E - RGstIsyMqU24cU7H8ObWOA//zS1wtVrVaKXp4/d98lu/8y+FXVs7NMmVk3WSWmu1FD9Z76OW5iY3 - fcPXf90b/+zX7C+Wy2HYHB+TRg8AAAAAAAAAAAAAAAAAAAAAAAAAwDM3L7afc5a03W5TStM08b1U - ANcF/5UAAAAAAAAAAHB9mFmttbVmSm7ZuxxupbQ5w/sv/IW/8Bu/8Z+m6VmmtLn70dFRSknSnAie - UnL3eVlbSa01ScMwuHt5FtngAAAAwPOIzyvym6SQNYVSKEku68y1Gb/nD3/VYrP2UprsWLrW1flv - NI85LbpJrSpCytVz05woHqu0VqRFtzYdbltcuOPTL7n97R/6j1q4Vr2WC/WLpK7WSNIuFWAXP747 - D+cv0+NWNp/b3uWuvb0f+uhH7uy6h1N/FM1CvUmTLHR7v+qtW7c26Xxdr7mUQwdpMUU7DtVBQ8ov - vjS9+eWvkVUNrUXpU05yj5gvPAEAAAAAAAAAz415FtAf/kN/yKVSm+YA7zgfgcBz9/hjdzSFpFBs - t9t77703nlBUM7Mnix4PUzB6gc+Znw6NSZLmaXpmtt5uxlrCbKrlrW9966OXH21xbUMeIRW1Kaqk - nPOwWLRxsghJfU4W+uo/8Se++x3vzDltNut5dt91rBcAAAAAAAAAAAAAAAAAAAAAAAAAAM97Ztb3 - fSllGIacc63VzFgCEcB1cb7WHQYAAAAAAAAA4BaVUoqIWmtKycymaZrH81prwzC86U1v+jf/5t9L - 6vscevbr584L2g7DMI7jHBOeczaziJgzvOehxJzz9aoXAAAAcGuzq7kRJtVxq6jabOOT911otXe1 - UOf9uZqL5yEPWewyAJrmqYKu8Chy16PHJa90PE7blNbL2+/elnfd+QH10sX9Gk2pb/Iy1kU6CSS3 - k9vsfCR6PH9Yk5Voa3W5Kml58FP3ferTw6Lu395MrajvfGF+NB6PdTzo+/M289NCUp3qdlOi621s - 2q63X9AtXzRWbTaaRjdNZaq1RlXiYhMAAAAAAAAAnlsR8Qf+wB+Yu5bPc7b1aSC3ySSF4p577inR - 5hlN8xPcfZ7ddDMLiheYkOTW973cIuJnfvZn/8k//z9D8pSvdcRsWPRzYH1EbDeb5XKI2ixUp/qV - b3jDT/3k301mV65c6bqu67paK2n0AAAAAAAAAAAAAAAAAAAAAAAAAAA8c621cRzdfbvdllLcff52 - 6s0uF4DnA/4rAQAAAAAAAADgOpjzs82s67qIKKWk1KXU5dT/xW9+87/+1//f/DS3HHLza047a631 - fd9aSyltt9uu6yS5eyllXtDWzObg8OtbLwAAAOD5IUwurVLSleO3veo1B5cuX1B0ik0Z5efrr2gL - dU1d0+TzrVVr1SzMu5Rq0YWl2iQ1bb2/b2/vx+67T3vLsn/7upkvLkieQouUUsgkmcIU3sKbrD0m - wxvXSdVovYe8pUVRp2H/B97/Wx+2mIZl13eXpraNtpf6JNuM46Lrb3Z5H6dJbW9YJqlLfVTt953W - x3ubzZtf8+VabxXVc4qULCeNTY30dwAAAAAAAAB4rrToUvqSL/mSC3ureTDD3e2xHf3x3PfaPu1b - hu0evOeee9zdkoepaZfeLam19twUEy80FrLTlhmu8JBLPpZJ7lOtd33o7u965zvcrUlTLdd6/M1m - k7PPk/TctVmvk9SbvfbLXvmL733fkHKdyoX9/dTl483aEt/fBwAAAAAAAAAAAAAAAAAAAAAAAADg - Gswp3fMXUd29tdZai2D1QwDXAV/9BQAAAAAAAADgOoiIeQAvIlpri8VitVodHR39yI/8yD/+x/9s - mmpKZrLNdpNSehZL0Lr7OI7z0p+Spmma97fWzGy73ZpZzlnSOI7XtWYAAADALc1kLqlJ1qTjjaZ4 - cY2L0nZbsmmRF/Pf2OfKaeZGzMHb1tocNVDqhc611Vh03HcP9Pmv3/V+ZZtSt5anvJJUtlKTS3Xb - JFW1qjZJVTp39XxeCDV5mtQk5STrpHDtX/hrH/nwZxbLB0pZLrsqrevo7i6VsSrO15StJtVas6WH - 19vBc6oxxfog2+eXqsO1SmkxbWppkrqs8/d5AQAAAAAAAIDnq1qrmS2Xy9e85jW7XS3O4RIDZjaX - 6nTDze+88053d/d557w4gpnN6yYAN1rYyU/3Gm2q5ev+/Nd7TkoeUtd1z+KYpZSIkFq0tuyHZd/f - cfG2n/npn75t72Dajhf29g8PD8dx7LruHH5OAQAAAAAAAAAAAAAAAAAAAAAAAAA4z06/gmpmrbWU - Us6Z7+sBuC74ijsAAAAAAAAAANdBRLh7M23LVGWpHz7x8fve995fePe7f6rrrDaVGp5TPNue+Tnt - OyJSSiklScMwzOvzHh4eDsMwTdOcONj3/XWsFwAAAHArsnlOTGQpyzxcIalO2pa//Krfky5dWplu - 620ztT6nFucrijhM89zAFLJQmKqrWQtrEbLJ1GS5//RtBz/0sbu012lvlZWXNfUhq8ouTdIYqfdQ - m6O7Q61dfYObVbPnK6+SK0drVpU2Uif1S/X92z9094MX9h9ZT7ct82Xp4VaXy2WX8nmbsNXLzGKM - +pL9i7m61ZIkK8fDI4981+/9fRrH3nyZ8lSqTM38arY8AAAAAAAAAOBGioiIaKV+1R/5o3M/f9zc - Xv54ylGGOZZ73jazprjzrg+ut5upFrlZ8rOPWsgYrcD1NrcrOxlfO5X73nP3jnd+zz0f+eg4lWmq - XddNZbrm4ydZktQW/eDSNG57T//gF37x1V/6ijJt+5SPrlzZX+1lT7nvGgNyAAAAAAAAAAAAAAAA - AAAAAAAAAABci1KKmc1x3X3f11rnb9re7HIBeD44byvBAgAAAAAAAABwS6q1uru7m5mZbTabX/mV - X/mBH/hrOWuawt0l1RqSPpdxvpTSPFgoabPZzBsHBwellK7rUkqllHYmkg8AAAB4AZpzu23eMlVT - k7KKpu03/u6XHzx6+ULOtWk9RjZtN0fD+YtSrqZq0kl6t0uyZiFX3iqV4bYHhv0fuvP9GrL6FG5R - IkuqUpFCcqk3mZp2VwfzOXH5LlSDyYfXj0mdOoW5uVxKUlNZSAf72hs+GqG95WfWpeu1XGiz2Uxl - e7OL/HhhcTyNWfnoypUaU+edTIp6m9ve0bGubLUtqdWc0xTNcrrZ5QUAAAAAAACAF4p5JlKt9Q1v - eINOvg88T0M6h86md0fEb3/q/itXrozjqJOKsDgCnhtzbneYwtSk7TT9q//n/37Pz//ctpQmhVRa - ldlnO8wTDttaRLj7dty6tOqH9/38e7/iy1+3vXK8NyzKNC2Xy1rrer2mtQMAAAAAAAAAAAAAAAAA - AAAAAAAAcK26rouIWmvO+fQLqiy5D+C6OKdf0QcA4IXpcatyzEFfLNUBAAAAAMC50vf9NE0RkVKS - NF+8t9bCLdzccynNLf+LX/6X3/Zt3+GuqSikeWxvvsz/rON8dkJSzvnszlrrfIT5UKfL3Z4+Led8 - btfnBQAAAJ4rTW1U1FJVpGmeH7Nda3v0O9r0IjWVqZpkaqYsszpJ52g2XjPJc5PLTKEulKpyk7ua - 5Ue74Tc7e/vHPqTVgfqFzEzF3STJpCwlKUveZMWlpMiKTsqSNameq7o+L4Rb8xRZavOvIHpVqZjU - 5/d+8mMPLBZHfVp2i7qRJXnnzc7R7yBMVXKTSUluSmPUNl9rlnGvlu/48t+rS8eKVtTMvJH8DgAA - AAAAAADPFZe5zMx+/+///QeL5bzzHC4xcPrVp3lq0+nd//Abv5G6LsxKKZLcPWqzk15mC51uzynL - wOeuRkspuaftZrSU5X7p8Mr/9E1/cTOOklIyM0V7uuGOeSbePAfvdIrg7rGI5HIpy3767/29/+IP - fqXKlFNqpXYplXF093l6IVP4AAAAAAAAAAAAAAAAAAAAAAAAAAC4JtM0SYqI+XupZsb39QBcL/xX - AgAAAAAAAADANTg6Ojo4OHD3UkprrbVmZsMw5JzX6+28/Su/8ivf/u3fUauexUq5OeeI6LpuXsS2 - lGJm83qg857lcrcO77zWLQAAAIAnMjMpwrSpapKVrabp677oiw+mdR+TpGqaw4ldzXU1JeKcmGpJ - KZUWVUrJs6s0TWaPDOmBC6t3feKjWqzULZtcahGTrMiarMkln3O7W0imSFKSTFKcTBMi+uK6i5N8 - B2vVNEmSmhQpqe//yl13PbA8eHBdl/3QakzTuYtUqaaYG44ipGptjkjpsvVluuN41NQ0Tr2q1BrJ - 3QAAAAAAAADwXKm1zvOFVqvVV37lV7rJzW+hJQZ+8zd/c14WoelqMvfVFGTgunL3sUzuHqaplH65 - qNE24/iWt771vgc+nXMKU6m7YHn3p2yHp0nzemwafXKzUCttNQzv/omf+C+/6o9ZKLmft3FGAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAGfdMl/RBwAAAAAAAADgPMg511o3m01Kqeu6OcN7s9mYpaFflqn9 - 2r/799/0Td+8Xo8h2dWlcv0Z9smXUrquG8fRzPb39yVFRCklIhaLhaT1er1bDDQl0rsBAACAJ9XW - G0nm6pLS/8/enUdLdtR3gv/+fhF3ybdJVZKwh7UPqxYDMtDAuN3tmdPtadpj3HPc7enxYHawMKvx - wiYw4w2YPh522u623UZgQBYYM41sMMZuxj5g0xhoA5ZYzCKxS0hVqvfyZd4bEb/f/HEzs16VSkIq - 5VtK+n5OKpV5M9+9ETfj1sm8ETe+AKxgu7t/XTclASgKhzriwUyzVkclkGI1dLVdmSSbFlRRp217 - dSyv/NJVGDUpNhBVaQAXAcShBjUXK2IFOOGngss8WBoIgB6s+t4ZzH7wqUMz4LCAXCOJA9mxtvKq - L3/xptFZWyXWMdSAHqQIBxO4wARDnP3wtAhckIoHy+v99OUPfSim2+rmMGfrISIiIiIiIiIiItor - qurFoqgCj33sY81hbm4mNz/Tf4pFy3c7NiIw4G/+20ebUSsiIQQRcXf3g3SKnO5csqWqCiKSczZB - 1dTTPr/tHZdf8UfvdgDQResLUa3cWlMcVgKgqqpFA5biCjSV/vLLX/ZjP/q/1lFRzN2B4bbjzx3M - 8yYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiOiAY3U1EREREREREREREdDuEEPq+jzHGGCeT - CYCmaUaj1fHWBMA111zz3Oc+79ixbVURETM7jU2IyLChra0tERmNRsP9dDoFMMR1m5mZhRCWWTci - IiIiojsLbUeeMwAFmpyQ8s8/4Pxmcys6TADAoXABZk8PGnFkLw6/abrtQKmqrZWVL6P89te/gpUm - h0pqybN07qECBsABOx4OoPNXBQBEISiCLMgChgUsk8AFvtjTgMDC7NMA2hYhQuL/9Q+fu65tb0wl - hIM1Xkvn6REFKDCFic/CxSXA3euc1voexbE9rQCDn84PXSIiIiIiIiIiIiK6/SoNZqaqXdf90A/9 - UAyqgOxBRveSXHXVVaUUERlGQxk7KGg3hRBKKVBJpWgMm+PxF7/8pV96+csd0KhdTg5AoUFKubW+ - DlUdMuZFJKU0i5x3B7BShRf9/C8+/nE/tdK2/bRT1dMbH0hERERERERERERERERERERERERERERE - RERERERERHvmYE0FS0RERERERERERER0wA3J2U3TpJRUtaqq6XQ6Ho8PHz78la9c88QnPumGG47E - qKUMU83q/DYwwCAGucUpO6uq6vseQM4ZwBAQ7u6TyWSYFVRVAajqYpJQIiIiIiI6gStEpaoDELsO - OWPaHSq2LkEwBHafEGphByzKWhwCjJqY4JWGqHWqVz5fyWu++hWECKmiaDSECp0bfOePDlWoQMP8 - wWy5wGfBzMhAmWVK03I4kIAEOCCOGqhnDUyzm2uF0GB1Davh5V++Km0cylLZARuyFRwAUrAUTNxq - Q1Wght6wtjFK3sd++oIHXYRpQcoH6mAhIiIiIiIiIiIiunMzMxEZ7u9973uff/75AGKM+1sqwa2G - h+94+VvXf+dL13yluJnZMMxJVYcYb6KlM7MY45C0nXM2s6c89anjvhNIzgZgGHfn7vBba8RDGreI - hBCGJTFGESjw7Gc+6xmX/PSoqiznKmgVg+oJKxKYDEME2R1HRERERERERERERERERERERERERERE - RERERERERHQwHKx5YImIiIiIiIiIiIiIDjgRUdWUUkppNBqVUlR1bW3t2muvffazn/2Nb1yXs5Xs - AlGNpzHVbEppMe9nCCGlVNf1MOXukBpuZsP0oMO0vMuuHxERERHRmU/gou5SUicKjMfPOf/8Vc8p - Zd0ZOywOHKzQ7oELNGKzy20divi2y42CN3zu82hHGG1AKgCWHEAMmsyBCgiLAO9hMNAQHb3jBsCG - R4EDhpbK5q1IHDCgGHx4FlybDKQCRMVai6b6ZttcXzdJw/6W+SRD+e2ERqPqaCq98dikDojen2WG - 7DAPELYfIiIiIiIiIiIior0xRBHnnNu2BfDD//xfAEg57Xe5bisHPvaxj4kIVIbobpHZA6KlU9U+ - JwNW1lZjXf/szz3/C1/6ogNl6AkZ2t5taH3DkDx3HwbpVVXV9704nvKExz//Oc9dadq2brrJpJSy - GMhHREREREREREREREREREREREREREREREREREREREQHFmdSJSIiIiIiIiIiIiK63UIIIYTpdDqZ - TDY2Nq677rpnPvNZV1/9BQBt0xrEIEPS9glkFqB364Z5P1V1WEPf9znnUsowca27L6YHXXa1iIiI - iIjuDBwoQBGJcKSEyfRQylXQECGOYFCHuAHmt+H7+d4zwaSgqWF9cfcbg6VDZ8Eb+AheuQoCtBZx - k1w01BnqUEDFsbjBF7HkCkCA4IiO2hGHN9CS6LB7ARTAMlAAZMQeqkDuoRHHxjeVrkNbv+bqv//a - 2up2Ffe71MeZoAhcUBsqAwCbNSfNnbWCpg4xlG68ia1NmErXH8jjhoiIiIiIiIiIiOhOSETMLIQA - IKf0mMc8pm3a/S7UzCmGQd1skQJ/9Vd/JSKqCsDdF3HIREuXSq7r2t03Nzcvv/zyd/7RewxQ0aAB - i+F2Iu4CB265GcYYh+F5Q1tNKcUYf+RfPebXf/XX1ldXvZjl3DTNyspK3/fu7oLFbXDbBgkSERER - EREREREREREREREREREREREREREREREREdFeYHQ3EREREREREREREdHtk3MGUNe1qrbtyvXX3/Cz - P/cLn/zkp1WhotNuCmCYM3eYdvZ2Geb9d9cHBgAAIABJREFUBDCkd8cYAbi7qorIZDIJIYhI3/ch - hKEkRERERER3eg7zYQb9HaHUJ+RTn/AEvSEAEMFk/OLvf/jZXbHxdgRcYAIACpN5gvVux1gP0chF - MMQhD0sWNwzF8bh4yQUhIDs6wWZVjb/3br/28Y+hHUGrIUigAC7Z+qmG7zLt/7xq8x8mbrDdr/Bd - j95sDNYQ92CGtgaA1bX10I4ggrZ5w9VXdVrBo0F3NgNxFZ/nr+9IdLDdz3YYIirEEXzYrhkMQFSp - g0wmpUHYiNUvPvpRSF0VHGYOlCGlHDsOvR1Na7bM2d6IiIiIiIiIiIiITp+r9H1fVVXuUy3h4Q+9 - +HvOu1ulYfbqzlOwB/J8bAb++9/9XV+yuwOAuYgsBkcBx6OOie44kVBcXOSar37txZe+FEAIMbtl - K6o6NMKqquAOQORmAdsyu6WU3F0hCqlEI/CDj3zk7/zmf6w1wEyBvu/NbHhb0zT7UtlbNxxZOzPF - eawRERERERERERERERERERERERERERERERERERER0V0Wo7uJiIgOKBGcNB8NEREREREREe0lRYCJ - mQFQ1RCCqDuKu4cQulz6Yghx0qUXX/qyv/zLvwZQDMVtmGi2lLS4P37zkwMFb242Uy0AwMxyzqWU - xZLRaDQ8qOsawBDsTURERER05+awMssSPp7ePSQHp1l4sAEGM/jsPbVCHMgJhsMFG32/bliDFkHS - IQtZgyP4LFd7l8uPPiCrwlWhs8BmhSmgKC6OukDbuGo+nz2/oFgct+vfWll/1VWfweF1rFaoAYEA - imxwbSqIBVj0ea6AnHzbUTMFFKJQ3CyIgO4QAcKwRwMQFBogWgERiDp8XlAoEBBbtCPE6nvvcX+X - 1lxDXSWBAW3dZJhCFaqAOtQhgEEdugfp3cAiYH6W3u1i2T1l39BaJ6gn/VklIR1D2oKXAmRg2/r5 - X5tbXvz4dViCldkPYTuAaTFEREREREREREREZ4TiuV1pSk7IpYbW0Cc/7vGwAuxIHlbBXp3795vd - bu1lAMBnP//5o0duyrmEEGKMEFMYxCDmMuvWcYE4hCeTl+2k5OaTwptv/dWDwId2MSueLW4iLuJD - l0QQVYjlIiIS6j5Zl8rTL3nGTeMtAySEoVkVK7NY7q7H0LPmUKCt6iDDhfZDV1qABAhEpAoS4Or2 - gw972OX/+ffWQwwCmCskiAZRL1ZVVUrpxDLr4rbb+ycGEZiVFHQ25jAXc4hoSMXMURzQUBwGScUO - 4EdMRERERERERERERERERERERERERERERERERERERLQHGN1NRERERERERERERHQKZjYkdrt7Siml - 5O4iAqCqquGBm7zyla+88so/VV1yEpmqDvfubmY787yJiIiIiO6aTvhOPJ9ZXk+1UIAIoJsi2fMe - /oh05MZVCSNgmg0YcrshezBf/slsCHhWQBwGmAyxGh4hCpnmaZQQFDDApa+abzfNv//8Z9E2nYRt - SPKhjrMc5zKs1Xfc37qdqd60VMej01UhOsSkhPny+S4fotMVsX7Sn//Zt5tmstLeOE1FoRE3dt16 - bBUi84ZpAscQRLH75Z8HohyPUxGYQIO2TTu2PsAPj9Zkc+tnL7oQky24GazAGq3hQDHILBNiaIq2 - s0ny5ywRERERERERERHR6TIzM/NiVQgxCFJ5zA//L7VUCjg81DUAuFdVBSAEOWg9AA6k4n/7iY+H - EHLOZibmZnbCew5aoenAK6W4+zCuz90nk0ld14B0XVe1o5dc+rLPfPZqQEOIXd/JEHJ/Kk3d9Kk3 - N1UVVQBwh7uGEGMsxQLwkAc96G1vfsvZa2tR5KCly3ddF2M0Mw2h7/tQxWnqb9ra/M5NRzbHW8cm - 42Nbm0c2jx3d2vzO0SNd6ve7vERERERERERERERERERERERERERERERERERERERE+yPudwGIiIiI - iIiIiIiIiA6i4lkkDhHdIqKqKuruxfJ0Oq2atu/yb/7mb/3+71++3O2GEEQk59w0zebmppkNS5a7 - FSIiIiKiM8iQgjzwHcnTi1EvQ9IwRAHMJ83PsIJpPnu7tABUi0MMwSACnacLA7PHu13+2mZh4TqP - SfYhVSOjBmq3CEzhDteE1RC3Q7xB9BWf+wTWAoo3sYbPc79n/1kBMjTufQQ53UG14HD9oq9e/aL7 - 3u9ua42ULnf43tV2azytEAvEUBVxF3MxE6jbd1/nHaA+T4Mf4uQBzOO2u2yKsiJN8txNts5dXx1P - pvCA1GtsmqEtFoM7ECQo3DAP/54dqQcsxIKIiIiIiIiIiIjozDIMGXJ3VS2lFEsXX/yQh1784I9/ - +tMlJy9leJt7AVDKgTsnK4ABH/jAB/63H31synmIWz4pupt22y0FTu9cfjAD1GeFmpVz6BEzAHXT - TCYTERERg9dto6rb29uhbt575ZWXve0yAAZDcQAhhFzyKdefSjGgqZtp3822EUIIIfVTwGrgAfe7 - /xVXXHHueef0066O1a5W9jSEGIuZaOxSXjv77M9+/nOP+oF/UuDDDtN5Z+jgvve4x8c/+tH9KSgR - ERERERERERERERERERERERERERERERERERER0b7i7L1ERERERERERERERKcQQnD3UoqIxBhV1cyG - IO2qalTi29/+9te97k0iqKqwxBllSyk55xjjsWPHqqpSVRHhlLVEREREdNemAh0Stw0ogA2T9Rtg - s/n6C5CAeUKFoe9g5Tn3O3/lpu1DzcokbWfAAgQIBvHFgBnbEQW+a6V3RENlsxLPArwN4ohAo2ro - MjoX0xhqxK1im3V7bclYX0Vbo6mRDAn9dobP4gkEalCDzkp/IAMV6NSCoq0wql71xc993T2FqgrY - Gk8FKLACFKgjiAc1Db4Xn22w4xn2Q/C2CVzQ1GE7J5ch2jvZ1vjshOde8BCIqGXkXhwQRQhdyQYM - Q9GG41IX49LYOImIiIiIiIiIiIhOl+rsVKu755xDCDnnxz3ucTknhVgpEAlRc7YYFYuM44NhONsM - 4CMf+UjXdSEEAK7iKjhgRaUzy5ABn3NW1WFw3fZ0sra+/uVrr/npZ1wiUANEVEKIVZXzqXO7AaSS - IdLnBKCqawBWSuqnTVUr/AH3u/87/+Dyw2efDSCEUErZswreRqUUqDSjVkK4afPYa9/4hgSPdT30 - 1GSgAC4QQAUPufji/S4vEREREREREREREREREREREREREREREREREREREdH+YHQ3ERERERERERER - EdEpDNHd7i4i7p5SGmK8C8RVLr/88l/5lVeqwgy21Gk5VbWqqpTSYskwzegyt0FEREREdGbxWYCD - AQ47vnBxvyMm2GVYWNB332N+LkS7LkARZLvM3jr/G9ub79niiA4FHFZmPyBUXGWolJkAEQiVjlPa - hvTtWde01e9+62vQCqgnfUalqFCPIoYcZFdAFYr5nPt0RlGUgNhitT567tnHig9pJaNas1gWmETx - WHscubYFdUHYzQATcSh0yLMfYlRs3qK6vlQBBR40toiroueYrE4SJr26B1H0/XAMeojzX8Y6T5eH - ABDl+DQiIiIiIiIiIiKi0yYiZjYMHNIYQozTyeTHfvSxbYgrbR1DhPnQ3ZCzhXDgOgzcIYIvX3Pt - F774Rai6e8kOP95X46LiEOZ4747bvm/PrE9hPB63besuZpCgfU5V3Xzzum8/9elPM0GGVVWd3VLJ - KaXR6sopV+IAoA41A6BWCoA6hggpqb/vPe/1h+98193OPbdt277vm6YSPXA7SGM1nfbTPkH1K1/7 - +pvf/o6VtfVx3xdImkd3myMD2fGQix9q332VRERERERERERERERERERERERERERERERERERERER3 - QpwalYiIiIiIiIiIiIjoFEopAIapb80MQIyxaUYxxj++8n2XXvoyACISghQrdVUva7tm1nUdgLqu - U0o55xhj3/fLWj8RERER0RnJdZ7SDYGFxXI5/v+weOYFk8kzL3jgWkkxd45OYSn5xmrtQBG4wGUe - Lbz70dc6vxVBr8hiRQCoWhRgDIQIidjuc7uyMmlGX1e85h8+i7aGNm5SNW0vGPcFMk8xl9nO4Lif - M1WMgKJpf/dTn+mkzjk4MO4tK3JwE1NogAZI2KdPWR3iGDUag4wtT61zmFrJ3eTu1QgG9Aldj6jI - OecytE3sCK04XuwDlxRDREREREREREREdMYYortFZHicc66q6vDhwz/+4z8+nXYlZ1XN2VQBoJQD - Fy2MoQrAhz70IUCg4gKRWUj0bnfQ0J1VVVV934cQDJ5SGq2umtmv/torPnXV309TJ6LT1ItqCEFj - mGxv3+rKXFQ1hFKKwBRQ+APu84/e8+4/POfQWeurqyWlqHL06NG6Xtr4wGWpqipUtQSdpP61r3ud - CI5ubcam9iAQuECDIIgDAlx00UXssyEiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiuyZO4UtE - REREREREREREdArmWdSHCXBVta7rArlpa/OvP/LRX/7lXykFIUgpXooD2qe8rO3WdT0khZtZVVUh - hGHhstZPRERERHRGEgyjXIaIbsDgBjHoLIQ7OIIhGADAM0o+azJpkYBuTZsGaIHpuC+CrMg6W+Uw - P73tctkNMKAAWZECJhG9qroIBKorbTyacSzjrLXm6HR6w6h9xZe/gNUGbQtEsWjAFIhtyAY4YHCg - zHeFAnIQkzjoFrmgU5SmRglAc597PTDnEIC6kT4ih6wwgRmsAOWEOOzdKo8BLnDMUu3VZ8eU99b3 - 3lSQqC7uKOfFUTpy5DkXPARdRiW5n6KOsQ5dLrPjyCE3H5HGJAgiIiIiIiIiIiKi0yKuQaK7i0gp - BUAI0k+3n/60p1UQBeoqCKAiort+Pvl0iGR3AH/y/vdlKyrB3aHDiWRe3bzrxI/f7sh79svOsu0s - YTNa6VKWoFBNxcaTyVve9vbLLn+bQTTE7FbVtZkVK+63WisBADcTQOF1iJbT/e51n7e9+S33ucc9 - 21BNxmNx5JwPn3NO1/e7XuHbaby1rRpdwpe/cu073vXOWLcQpNS7G1QAFIeZA2jb9oEPfOB+l5eI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIaH/w4nYiIiIiIiIiIiIiolNQ1RCCu5dSRCSEant7 - ++tf++Zzn/u873znqCpK8aABwHC/LF3XLQqQUhIRADkvLRqciIiIiOjMI7N7hSowz+0GZEcKhc9u - YoZUnn3/B50LoKQC66wbIiAqRVEkhQEmNvyt70mosANFUBRZYYoiAFShBjk2zaMWbYPrtzrdOOv6 - NqKtEWuXCFEANk8ZVxlinM1gNg8cl3nF6UwxRLk7gNggNE/7wAeOrq1Oq+ZY5zL7rBPQGywDGciy - d+ndC8ERHJUgABpCZ9bDM9xKOivEQ12PvqDr4qiy3HV9bmJYjEILQJgftUMWOBERERERERERERGd - nlLKMH4JKqWUtm2HJd934UWPftSjKtXUJQBmbrbfZb0F7gghfOxjH++6zgTFzQ5sWekM0XVdqCoX - cUGsq89cddULXvLiofMtlQyRvu8hgIibid7ydfQiEAFgloNIKfke533PWy/7vQsecH/kXMUQNYh4 - CGEy2RpG8R0obbsCIOf8qle9yoFJNz3+2lBrd3fEoBura/e+572U/YlERERERERERERERERERERE - REREREREREREREREdJfE6G4iIqKDZZjIQ0TcoarufgCn9iAiIiIiIiK6K8jJYqhzzm3bFsh4Ojl6 - 5NhP/uT/ecMNNwEYppDN5g7NVk5jWsvFT35V3XFCwAGEMMsCr6pqeBBjvMMVIiIiIiI6gw3pv+Lz - pGqZJQMLII7cFwggBs+YdOjy3YquTJNJsgAADsTh9VmWsA1Z2kM0xG6PnnGZb3e+ZLHFZGWtDtMp - kqFeba+z8qarP402AkEcCCgRAjRADagY1KDmMMx3wywIms4cAWhhyBkQqOC8lRd86TPXV1XTxFWF - dxjVYkilslxpV4UuwHa5x3hnbvdwlAkQABiioMvFI0qEBXSeQylnT/rnPuhCBHjupdZYx5QtYJ7X - bZB5Ez2eU05EREREREREREREt5+ImBlUzCzG0KcOcHGPimc+4xIzG07nDpcg4eBdfuRwB1KxPqc/ - ft/7SikxxlOOg5r1AdFSmVld1znnEIKZmVlxc0GX+lhXfd+LiIiUUoa37Xd5T1ZKjnFWclUtpbiL - SHD30WiUUi7FbtocP/6JT0qWE1CsQODw2bHgDmCItdcQVHTRYxFDBAAvEIcY3KOGw+sb7/qDKy48 - /wK4B1HLRcXhriKCsD+7YChtjKWUYUihqi4+KXdPOX/qU595//s/MLwUQg0oJKA4VOGIglLsHz/i - ESWlfawCEREREREREREREREREREREREREREREREREREREdE+YnQ3EREREREREREREdEpjEajY8eO - tW1biuecbzq6+aQnPXnI7V4Kdx/m0zQzdx9mQR2iu4mIiIiIaCdfpP8OjwQOFMChMCB5rIKbQQz9 - FGaXnH/hespNMQGKHs8PxjxZWAAXZEVSAHsRBWECA8ShjlgQDEOhWgRP7kCScAT6yqs+A3WECFcY - siADAagBuMEBNRcIoMMqnanIZyJzzzECxVHXaBusjW5aX7s+5+kEhyuolQJYSZPUIahq3NUP2WTI - sz++RAHFLL1bHeoYou6HtPuAsp7K4ZyQi8xTxdu4YxDavFkytJuIiIiIiIiIiIhoiXaeyPVi/9M/ - +2f3ucc9Gw0AQhDowQvuBiACwOEGXHnln1RNnVPp+36/i3VXEWPsuq6UYvC2bYtbVVUA1tbWptPp - yspKztndV1ZWjhw5MhqN9ru8JxtiqlVVVQE0o7au61RyqKobjx4xeNU0T3zyk75x3bczbq3TTEOw - UswMwLAHcsnDcphFCQqsNPX7//jK+z/gvl7ybE1ie1TP7yalFELo+374QOu6bpqmz8kEqvqSSy/t - S1/FysxKzqGqYQ4H3AWIGhS48PwLYox70SdKRERERERERERERERERERERERERERERERERERERHTw - MLqbiIiIiIiIiIiIiOgUxtMuNm1fDEE3j42f9KQnX3PNVxevOtTv2Dn2GGMpZZhXNIQwmUxERORA - zqJLRERERLTfZhPJz6OAC1CGJWmW+oAAQ0IFTLvvmeaVlCsbErJnb86AAeqIBnUAyIqssy/3ezBT - vTqCoy5oCqKbwAEXSHIbVaMuts397ovVFYzakvohXkAAAaIDNsvtHuqiQATCsEcOTGwA3UYOZFiB - I0rues+ANq/65N8e3djwURgnTHucNUI0nFc3Nk2SXHx3h3gt4l5kHrm9aFUyD7wvuki7txHSet+j - 7+Geu0mxUnoLiz/ACeEYTIEgIiIiIiIiIiIiWiIFFKhjWB2NfubpTzMrAErxGON+F+1UTCDBAIf8 - 2Z9/8MiRI2YmGlxOSCKnXZJzNrP1szYAjMfjqqpEpEtpPJmMJ5Mu9dvTicawtT3e2NhIKe13eU9W - NXUqGSoaQ5/TZDLpui6EYGZt2zarK7/yil/70Ec+HKqYZx1rp+6W8GJwtG0LYKhmrKqqqjwXcUT4 - 3c4+9IfvetcD7nc/cYQQgOO53fNRgrq/1+O7e9u2KaXRaFRKueGGGzY2NnIp/++V7/3Ef/+EAe5D - 3LiUroc4xMVcATEX4GEPu9hy2cfyExERERERERERERERERERERERERERERERERERERHtI0Z3ExER - ERERERERERGdwurqainF3cdbk2c+81lXX/0FEdHlnVbPOQ8PRKTruqZpzOwAToFKRERERHQQnBhP - rQYFVAAI4Eg5GUylYLz5cxc9+DxDU1yA4AgGF2QgC1xOiO4G9joWIhiCITqiG2AGE6ig/U4q17n+ - /J//BZrK+hSaEWZx5KgAuA2V9nlguUDhOgsyF0CZ3n2mETF4yTm2tYQIUayuXqe63YezYhwFbE4Q - gO2+W491o3G3B3gNid3i89xtgR1vbBBHcKhjkaSi6FeRn3/Rg7E5jbEKKk2lsJMPKOXINCIiIiIi - IiIiIqLd0fc9zP6P//3frY1GbYgA+q7TqsKBysMWQDBkiqvopO8+9F//UmKYRSPT7osxStC+7/uc - oBKqajyZrK6uhhB+4id+YjKZnHf3u08mkxijmc2ynw+SUgoAEQEQY4x1JUFjXXepR9APfvCDv/Ha - 14tgmjJETG6x/EPVhtF6qgrAzHJKK00dgJWmfetb3vywhzxY3MWH1RysrrcQQlVV0+kUQN/3qnr2 - 2WcfO3asy+nXX/XKAsO8xFWMw58oRAEBshuACy+80OxgVYqIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIaM9wglQiIiIiIiIiIiIiolOYTqd11fZdft7zfvZjH/ukCEpxMzjUj59dtx2326eqKgDu - nnMOIZRSVHVYSEREREREN7fzO7cOQ158ll3tAoXDDEHP6ru4tSmAA8GgpgYtokVgguCobJZDPARp - D2ve7QzvRVbAkI4sgMIAmyDZ+tpNKyu//sUvoo6oG8TarMxqWCBlVuECLVCFhiG3e7iJDs8OVhQH - fTcBQaGmcIFng1ZoR7/9958r1iIHL1gF0KAXlFJS6W85bGIJ1CEwdRuOCwBFUGQWDY955LzaLCN+ - CJwPeXp43KEzZBMzADIEfg9Z8gLMMlnYNomIiIiIiIiIiIiWxUxm45SqEKuqWl9ff8pTnpJLFgEA - K2W/S3gK2c2hxU2Ad7zjHTHGZAexnHdK2YqqppRijKPRaHNzs6qqad89+7nP+eSnP92ldOzIkaqp - NQaNIR+8zyWV3Ixad59Op1CpqqqUMh6P27a95tprn/bTT3cgO1zgcIjM+iT8ZjegqqohurtpGgBm - 1tRN6vrzztp4x++/9eLv+76qiu7eNE3OPU7408F+9niUUoYU8xBCzjnGOJ1O67Z97Rte//mvfLkA - ZR5znnMPGMzdDEAQAXDuobPvfve7xzruV/mJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiPYX - o7uJiIiIiIiIiIiIiG5O3URELr30pX/xF3+pCh8m8YxLi9ZOKQEwM1UFICKLhUREREREdGoCQOGI - 0DDkbUcUR4iVlR5dhz6Pum5NFTADgmtwuGDISAagDgWCIziiDUHF6rI342d02JbNAscBQdbwjW58 - 6KEPxqhG23qopKo1BOxM45ZFMIAGqLjOngsAZKCckBxAB51ABQJHVBGB1JotoQpYXT300O+/Aa1L - U4Bxh+KAeoCfmFy/fDqE2TvE4YALsiIp5sHxs1eHNwDo3Cv1te3uP/3Yv0FxtwxHSj6EQ0AwXxGH - phEREREREREREREthwtsR3BwVVXT7QmKPetnfmZjfcMdddPAdvdk8ulQRSkAHC7QD//NX3/jW98c - RknRHjCzUkq7MlLVad/XbRPr6tWvfvUV7/4jA0ajUVVVZtZ13XQ6XVlZ2e/yniyEAGAIq3b38XgM - 1dHqyjT1P/VTP7W5PQYAEYQgdTUb3ncqIjLkdocQJpPJsDD13cbK6M2/87s/+OhHN1WtEMv9dLp9 - ANunu+ec27Z199Fo1HVd0zQf//jHf+M1r3bFaG3FAYMvSi7ze3cHcMFFF2pUv+X9Q0RERERERERE - RERERERERERERERERERERERERER058b5UYmIiIiIiIiIiIiITkFVX/ayX3r/+z8AwG2I1Qspl2Wt - P8Y4BHWXUobN5ZyramnR4EREREREdzIOzAKtTVFmEcJTRapRgCCK3p/9oItWBWZTF7ioQBUKqAHi - UD8ehx0claM2GFBOjLvYDQYtgiKaRbuALLOSlFG1tVI/573vRKNeNxMINHZdBwACBCCgCAxQaATE - 5iHOAujspYMXxEHfhSJ4cnGB23aeWl31xRGrp/7XKz99VvXtUX0MALA+irlYjNF3uX2KIzh0NpJM - i2hSzTIk3atAg2kwDDd1lABRnGfhW5+8CtmCiGeLtThgsJ0/mwUnxNATERERERERERER0R0njun2 - JMZY1/U555zzjGc8I0btu04P4LgjN0BF1YAC2+6nV1xxhSqva94jo9GolJJz7rrO3Uspl19++ate - /ZoYxIBU8vBZjEajnZnWB4eZpZSK25A+HkJYW1ubTCYveuGLr/r8P6TsUDgcpXhxiMIhOMVNIUOw - 95AFHmN0+NlnbbzlP//eDzzykV5KHeNNR25YX1+v61oCXIabuqhDHALfz0Y7HOzb29tDBvmwW37h - Bb/oAnNsjbch0BgcszoOR5jM+xAf8YhH9DklW9q4RyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiKiMwsvcSciIjrQdjskgIiIiIjolinvz5B7IjpdYsfvT6CAmuCNb3zTFVe8q+tS01QOF4jDg4Zl - bb/v+xgjAFUd0rtjjO6+rPUTEREREd2ZzH4DD/Pr7/jWrAIHAjK2t5HKecV1OtH5O3webL0ID/b5 - befCvaIOQEwAExgwDXoD4is//RmsrmLUJiBCADTNaF5+lHlpBSdUHMcrwoCLM4874hCgYlbHGoDG - Ck2N1fimaz57Y3CPcXVUTScZgGGPficuNjPk3AOwHQdLmMd7m8AcqUcFOxwV21soJqqpMwFkdrCy - n5uIiIiIiIiIiIhoydQxjxEe0nk151xK+emnP/Xs9Y2gYint1Rnl28yBMDtjbEAM8c1v+f2+7+EC - QBwyL/AQlkzLNZ1Oq6oCoDFKVX34o3/zrOc9z4GuOICqqieTiYhYLqoqcvA+APOmqkMIfd9PJhON - 8eixm97zX977lre/3QFVKQYAWlWwE7pTfMc9AHNr6kaA1PdBtOR89sb67/727/zQP/2nTVV7MZhv - bGxsb2+b5ZPH7w1t9BSDDHfLbIM7SlFKMbNYVy7woFpXl778lz551dXZZ/2dGsTMFm+e/akAQBBc - eP4FQbSJ1Z5VgYiIiIiIiIiIiIj+NPv4AAAgAElEQVSIiIiIiIiIiIiIiIiIiIiIiIjoQIn7XQAi - IiICsIgPgIi4F3dAoDGknJs6ouzuBB/D7Cq7lw3m7iKy2MqwoeVO6bIo/GK1w0ZP+eZlbXixiZ1b - FxErt3M9t1AgVT1pdw1Pddn5Czt3mrvrLs+kv3NzONVnt6z1n7SJgziL0O0hIqfeY8ubAOiUx9HS - 29tJzOykJjEcR8v6F2mx0xb/CmGp/9ydcs1nemOjvePM06GD7yCEUvP+Ntzv4YSARGc6nx07i8Ru - H+770q+srGxtba+trY3Hk5XRyMze+ubLXve6Nw3fHaddAuBwwLOd5kEXY8w5xxhLKSGErusWXx1F - JISweHyHKklEREREdGcksIACyCzbWoF5D0s9ZHOHAssvu+Ciu29Pg5cgam4uw7f/IcTCFlHEi/Bv - BwxQmM1StXePVoid9RoC3FYrTDt0QTfr9RvWD6M5G6EFEEuvoZ6dOZTZnQKL4PJZH878XoAABABQ - /pA4wwgcEAGg0dUFBSiCUAVIyXVlKVnKAEZ1O+6ncZc/YBfYosMaFh3uEMAF5cRDYwjzViAGHZc+ - CF74/d//f1/1WYyaGFWGw3HW/a3zFRIRERERERERERHRaRp6Q+YhvrpI862qOO27dmW0Odketc3L - Xvyin3vBiwJgQBWrVIb4YQUQQijlhKtc5v0nAHY56dsBdZTkgIgUoDO79uvf+OCH/r/H/M//PAaN - IUynk1BFQ6mqqsspetjVEt2Z7LwWabgaqArRzKKGruuGxG6R0KdUr4xyTn/3d596/FOeXESLmwIC - dKlsrIwUUooFkSC73F12Yhz1CeWXne853j6DqOWiUCuusXKRT1999c+/4IV56OazWYefpTQfmT/v - DxQMl+Ytrh3p+k4EAXC3c9dX33rZZY96xCPEvViKUc3ysLkTD4lFSfRmS26HU16qgxMHCs6uozEr - pQQIVMQhqipxdjir9H1GRNXU/+G3fvM/vPnNQ5fNUCLLs004hitoxOebdcfFD3ko+qy17tqFg0RE - REREREREREREREREREREREREREREREREREREBxqju4mIiPbd8djOEEIpKYQQK5RSzCzGWNx2e+KZ - RT707q1/51OZO2n2n9O2iBYeKjLMXbIzTPckS58d/qSsaJHbGcV6CwU6aSqWRZ7xsj6sk6KgF/tt - l+degplhxwe09FC6oV3t3G9DC9nVRn4rllXBnfU6vs7l5XSelOC+2JCdbirhbd/uIpl+0cIXBVjK - +nGz/bZLUYhLLDYRERER7SEHsLq2cuTGo4cOnbO9Pa1iU0p573uvfMUrXrXcXxE5Z8y/cm9tbfHb - IxERERHR7SKY52sLxI93r1hyDYJUYGg2tw5JRIh9ycOrw0T/Clt0f/iO9OtFT9VuBxGIoyBttOtj - 297u0WQ4YFJvIr72bz+BtbWSu1BVQ7C3m0JwvCvg5HXdyjM6wzggUDgEgKAACpGmfcUnP/Hr973/ - yLGmUUKIoqcXBXH7CjNvTCcdDi43f6pwdbgAin51MsVkinZdg8Ix79re0WHqbKlERERERERERERE - d9Qi81gdJkgpiUjf91WIzaj9kX/5L9/4+tdf841vOCTn7PDV1dXxeIL5FRz7xjyEUNyGCzoyYLDL - /+Cdj/kXPyzQlNLKykqfk5tP+olqdDkh3Zluo6qqSinb29srKyt939d1XWnYmmyvrq71Jeds199w - 4xOe/KQjm9uLP9Hj/3cMGeuA+Mn9AvtLVc1MRIpZbKpvXXfd055+yU2T7aFNH28pp2wzO/oEY9CS - TRwOnLuxftlll/3Aox8t5rDb2NpO8yCKMbr7cAzuvIBruMppcSnN8B53jyGEIDHWqtr3fU4pKETF - BVBFDBrD697w+l995SukjrnPszrerBIODyGUUhrV9VF7z7vffdQ0uU+L6++IiIiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiO5SGN1NRER0gAwTcJhZ180eqCqgQN7V7d5KyvVy7ZxnBMtOz12sdkjP - 3ZvI4ZMeDBOm6O2Md7ilvbAzanpnYPeypkpxtxM/gVnqsO9ydvds/XJ8PqX5fltOvTTOJq9ZbM6H - nPLdrtctRYMvabMShvDpxdbc3eEQkeUEeM930eIDmsVdL2HVt87nW5zdzaq2C/8o2fxAWuI/Pou4 - 8cXTkx4QEZ35jPdnyD0R3UYnHTJi4gA2j21tbGx0XSciqvrhD3/k+c//BdWlfakLIYhIznmYEBNA - VVX80khEREREdDspILYIEnCIoABoBMWQ/An3e9DDY3PjeKsG2ii5HKxgB4FPpuMJrF2TrbEXYBRH - /8O9/hE2mhSmVd2m1EW4xFqEOcd3fnKzHiQZmjiAaYd2lNbXu6Ob8FwmOQDL+4W6BAa4oLiv1mE8 - LU0l0IjJGKOzYMC8AQ8VnPUIMr2biIiIiIiIiIiI6LTszFEezrjacA7WPVaxuAEopdzjHvd45jOf - +YKXvNTFVYO4b4/HIVSqmlLasT7D0i5xuE0WF0BABMNlI6X86Z994CvXXHOfe9wzCqbTqQvqpu5L - xh4X7gy3M+NcHOPNrUOHDgHIOaeSU0qrq6ub463YNjceOfqvfuRHvvntbw1vrutY+ryPe/rm6eAn - 5rUfv7ZoezpdWVmByHi8VUW95Gee8aWvXjtbyanWvFjxSdf3lGzDq4c31i677LJH/eNHpmlf15WI - QMIdqMp3MZ32mF+1NwxNlPnxC8DM51fAqaqIDCHfmnI2M5HQjlYBbE8nBkH0b3/7+he/9NL/8r4/ - CUH7kkVu+XARKaXEIFbs/PPPb5qmqqpuMmV0NxERERERERERERERERERERERERERERERERERERHd - NTG6m4iI6AAxs6qqSkluqBsdjUZ939d1vQfb3e1NLOwM2F5eBPUsq3tnKO8wc8lS1n9Lhv02bH3Y - 1iz6+pYinG+nk+o1WGIk+bD+xePF+nc7PW7xAZ203WWtf2cbu/nC3RPCqefrWWJ7OGlts522lNzu - W/gsltjebn27i9a+G83vpHXubIHL3cSi/DvDvImIznAHIZGa98ztJtoLbdsCamaC8KlPffqSSy4R - gdnSvtQNcd3DgxBCzjnnbGYx8hQ9EREREdHt44ABYfZt3QBM4au5R1/OzdrAz1lZ2Z5uH7TcbgAC - baraq3TTVjm0Eo51fr3iBX/xp0CWerUAIVQiQPHkJVaBMcd3egKURVz3PIbCiuvKGrDd3vve25uf - PxxGomU8mexnQU+lmFVRuz6PBOZ47kUPfv3XvpRSqkI1pHSXeVr37GhlgyYiIiIiIiIiIiJaKlUV - h0IAn25PDP7EJzzh3e95z4f/29+alypWKCilzIYtiZwcZbyHhjKIirsXtyA6yd2//39+47fe+KYo - MDN3s1ws56ZpbD8Tpc9g0+n00KFDXdflnKuqqqoq57w53lpb3ziydezf/uS/+/q3vmnmVR37Pvd9 - 3nG1lR3kk/jr6+sp5+3pZHV97YWXvuSvP/o3DkDh323w7FClRR+FKmA4fGjjLb/35h/8H/+Ju9dt - 23Vdcd/VC8/qttl5KZDPLzaZXzwjOr9qzMysWNU0025bEGJV55y7yXaMsW5HNxy58bde99r/+Nu/ - c3Rrs22b7a5zIKiUWxjiKCIODyGUkh/+8If3fR/c67rmdS5ERERERERERERERERERERERERERERE - REREREREdNfEXBAiIqIDRMTNZjm5fW8pJTNz992eBGVvopp3Ph7uU0pL3MQiMXeRNLzb0d2qOkyY - spg5ZSjJsqYyWey3Re2G+2VFKavqIhp8L5OGF+U/KWR9WQUws6Feu90ATrKI4jvJso6vnfP17AyK - tuV9cDuj3Bcb2u1/H0IIOze39I3mnG++wiUepztLjvmuW/yDQPRdCAN3iWhJdnfmQKI7OxcAuVgI - RUSu+co1j3/84yeTFGIsOS9rIyGEUsrwK+zYsWMAYoycB5OIiIiI6HaSebrxnM9PskVguzunF+8m - Rz0FRYjiBynawQXuelOaqmNNINtFRmsv+OLfY8UxqhQ+nmyvtisAoIiKAg/gieY7t+H8sBow5LQP - H7eIQiOa5kUf+LPfuODib934zbMEKjhArRkA0LbtdLq9Vkekgq1ps64ouRrVKLN6OWCLI9YPcuoH - ERERERERERER0Rlg52VFMj9lXEoxuMYQYwxVFJGXXfrSf/1v/m2fc8qpbdpJNwUA6PHc7p1na/fk - 1LOKFjdVnV1I4l7caw3v+qN3v/CFL7z73c6LkKqK4vr/s3fv0ZZkdZ3gv7/f3hFxzrn3ZlZVK8ya - FkV8gZYw4/ia6Z5Zs5btCxkUBMEH4qBLpBV5KCriaNsgojyktEFF0Xa0fSvS9ixLxZ7xMUP3jLS0 - gNgKWCLPgqrKzHvPIyL2/v3mj33OyZM382bl45x7T2Z+Pysr6mTkvTv2jthx7j0RO/ZXITgih5iW - Sk8ofUBW9tZoMOzbzt3rup7MplVTT7p279TefWfPfNM3f8s7/uqvkuUMpD5plJV89OVo9mO6lH/x - A3Jy9DF3Qdt1raU4aH7lN379Z1//870bgCOeqVo8SrbyF1msEcPOzui3fvMNdz7q0wF0XXfuzJnb - b789df2lHwRak5wyLnzqpLwOqjZ/9MSWz56YSDubVU0jLm1KVV1Px+O77777jW/83d/7/btnfZcB - 1TCetQ7Udd313VHbFQdEui5F4DM/4846Vm3b7o52uu7IbyEiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiuokxupuIiGiLuHvOOQRxd3PEWO3tnT44OFdXlXqZ2/yYliIBMHdZV5nueVlaee2e63qw - lvJLfLZIcM9mALJIEPE11v+o7QIOqIi5i7sBKpeZNuYqlXTh1aDuMi/LuhKplxHXuDCq+Rgizw+t - WW9e3bL81WKPIRJv0/utBPsdyll3d5UIMbhe59JNRFwQHNlNgCwIwBpKvvwyJxd1N4GYZTiyICzX - XH/5dTUorVttqXsWhDW2opTmJo4MV4hver9xySWXXG58SUR0a9EQBNCP3PuRpz71q2ezDkBKqa7r - dU1VmXMGYGbll/m2bZumyTnHyEv0RERERERXSOGALC7Fy/m1EYaD/e/6bz77kzQG9wDUTXVu2g+3 - KyrYYjPo2hQcsQ77bT5b1RhV2BtCgkKGw5EBqbW6VvOU3aLWJ11n2rgL7i2VKHoJcEGoMBh+yNLD - hnvtdH+ogG9TerfYpJ040PcpO/ZCPKUV3JBboIaEktu9RRUmIiIiIiIiIiIiuumUR0tEkPpUNTUg - B/sH/9P/+E+f9rVf/fpf+EUFZu2sjpUL+j5DBJt/pOKSzE1KaHHZvirMejcIXnXXq1/76h+fHezn - nKsQd0aj6XQqyvFUV0cdANrJNDZ1CHEym95+xx3n9vdjXe1Pp9/xgu+++9+/KYSQHA7EKqQ+hyDI - K/1hfY9BrV3nOdb1297x9u9+4fd0bg7EOvYp+REjnXXlha2sGQ4Hd99998P+8ce5+2Q23RmObrvt - tnNnzmx6/J7NH9cCgOUDXADalMpfzX06mZw9e/aBBx44mIzf94H3f+T++z7yoQ+/5z3vedvb3vbe - 930AQB1inxNE3L23HGI0s8vkdgMwsypEy8mBO++8U0RijMztJiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIqJbFp9jJyIiOnHnJwOJMaaU3N0MdaPT6XQ2a6uqgcMEcMXxLQUiwNpKc4hIEFVBABQm - 7tJnhzj8epeWXdQF4jA3ERWFhiCWfKN7KfUWoqgEiLiJA4Iwn/ZmLRbR3SVO+3yS92KuluvkZlgk - jZub6FxKaS3lH02W5jVxd3dZV7sWE9ssyxQR0Y0HdOQjprJaV2p43ycsJ+sRWTZTReACXO/SF73B - HeWE1VCpIiUDFmkoG1gaoJBQVYCZAa4iQcShMv/H61v25mbZXURcVQViDjMPYX37DSqi7m4OQFRD - CDGXqaw2tt+45JJLLje+pBsLjxrRFSuf2ExWp+UEoO44e+bcU7/mqz96/1l4+XhuXbe2D0ey+B2+ - 67qqqpqmMTPmdhMRERERXSWFL26S6DznWGANesQYz55tEFTDzFI0G4TFLbitMWkntw13DqbjWfD9 - 3d3vfdtfoB702auIrp91GiHVsFEH2slsNBosLnTTzUyW/yu53YCnJDG61lLJK/76nT/4aZ/yMR0E - J5WociQHbt/bme2PG0iX+yYnzKZogBihYouuu/H7c0RERERERERERES3gEveMFBVACGEvu/NrJvN - hk3TTWcveuH33v2Hf/D+D3y4Dtql3gEJKgLLFxVRruEey/XnnHPZnKiWYVS94Zd+5Zef/5znPvKT - P6lvZ92sA2o34XDIq6IOcXFBCLHr+lDF0XDn3Hjcme3sjJ7z7G/7jTf8lgGWswOD0XA2nQLI5nLx - nTQxwOHHdwAulRguAHxxd8GBUDUf/uhHnvHNz7x/f4z5s25itvzaxdddyC56vbOz85znPKedzoZ1 - k3MWoO/7EMK6nmM6irnnnFNKOeecs5mV/j+bzWaz2XQ6zYdqr9KbKxCDisyHOU5zKkVVsYJbSqYh - wAAR4OITey7nHAR7g9EjHvGIlNKormeTaag4ZJGIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - bkV8zpaIiGiLlMhkVTWzvrOXvexlr3rVq0QEttm5cPb29g6tWe/kIyVBOYRQVVVVVcsU6jVuAit1 - LhuKMW56CpUY47JFZf4UEVFdW9OWZVZVVdd1VVUiYmZliqXrt9w/Zlb+GmMMIQwGg7WUfxR3DyHE - GMvmSjXWGN297F0libx0hnKM1lL+UW677baNlm9mZb8tW1TWr6u/HToEy/NoXf3tKMvzSC7Mql9X - u5aHvpxH5Z3BzERmaynf3ct72uoJWyxmSOOSSy65vAGXTNQhopufHprSdv/c+GlPe9qHP3xvmQmz - /DoqIuv65Fom3ARQ13X5pXftH4qJiIiIiG4R5bf5DKhAAJiha9Gm2+s6jw+AvFOHts0ANnyb6Grp - TqjH06kCZw337g1x+w4gVWzgXlU1EJe3MUajgcNk5TML3XQWR7t8NJR5bjcAidEdWSXWEYPm/ibe - lup+3Ol29Wc0Ue/dH//Xg+HBbFqLatd+y2Me81Pv+mvE5AhYnKpEREREREREREREtCEhhNlsFuBV - VZn7fFQS5LZTp7/nBd/1/Od9Z8qmgGhIObsAricyOjSKJjcBYqz61HtKEqOn5ECo6h995St+5KU/ - fPvebtWg7/qqqszMt+yS+A1BVYNAQ5i0Mw+6s7f73Oc/79/86q8ZEIJ2ZgBKbndsmtS2F5dgAjhO - fOevVsAEXU7f/K3Petd73mNAVYWU86ztqyr06dKR1ct7Ew7IYgng3vvu+8hH7yv/VNYooEDaUDMW - Ln4iUC5cKYBquefpGXBzVUCkzVbqGTSYZQBN3cy6FgAkWM4A4H6ZkzqEYDk94hGPGI1G1vUppbqu - s/PuDRERERERERERERERERERERERERERERERERERERHdihjdTUREtCXOT36RkgFwx3TSTyf9MWz7 - zNnxMWxle5z0TDKHnfjUNocI8+OIblIiqOtQVVUIYe1pkSX6vZSpqnFhjZu45Ebrui4tKnGYqrrM - a19L+aVFyzD7suvWW/7yQJTKi8jOzs5ayr/MdkMI5QCVFpX16+oSy3KO2tCGDIdDESm78VBN1qWU - X1VV6XUASvbqWgo3s9IBlp152b3XUv5RVje0eh7lnBfBQdfrkufRuo7O8s3H3UWkHKNjOI+w8l63 - ie5d3mdKi8pWlsfoqG8pdTCz5Q4PIaS02UkFU0qqWnrp6hvaunaIqvrCGos9ytrfD4+y/HG5POWX - Z99ayg8hlJ6w7EhlW+v6+XWU1cO0erDWuD/LmY6Vn6HufrXvk8kySn62p3bWN00TQjh37twzn/Ws - d//d37WzHIKYIWcPIeR86fk9L68cyvLGvlyu7ofyBZt+hyciIiIiuinlZCGqAK14BcmzaRMErT/3 - 0z/zU9Q7zPaqGsgZaBTua7q6sSZd7mOoU27zcPeVf/2f0QTEBkmWI3ciEBxwQLF9t7NozZZZEeXV - 8q8u6AwwRFHUctdb//zlj35MO+kagS5THM5fEzuxiHoxq4GUUhPqs3m2V5++rUuYdWjqaT8bVrsA - +j5VVYQbBGAUPREREREREREREc1H1IScswDu23stXIAy/krLC1/bYNFrc+gCa3kCJaUUY/QyqgqA - u0IcMLOnftVTfue33/DHf/pnBmTLqmrw1aeWFgUdR+VtERXc93054p4SAAk67dpf/vVf+5ZnPnP4 - qZ8yjDXQl0GJx1GtG5aqppTqWLn7dDzZ3d21PgPIOSPqtGsHo+G47V7yQz/8+v/9l8q3dNkci9NN - UHK79YQu3Jfee/FTS1VVt23b515VR6PReDKpqgqKf/niF//f/+HNGYCg63NVBbNsR793nC9Y5m8y - ZeDeash1abgB+RJnxZpdevjkoZWr4d4l1dt9WfMy4hHArGvndb+yMZlmpoLHPOYxQcSyuUgGoNv6 - tktEREREREREREREREREREREREREREREREREREREtEmM7iYiIiI6aVsVHEFENyOBONwdfZ9TD0hr - GWuPrSlbWb7WgJyP4w1ORUW9tEggor6uZNLVFm3CofJFIJi3BVJas5HlhhNpj2isXOEsYde9IYio - wxVibgJxgUKsrLme5Wq/Ujm8ZhNUNETp+2tJjb1aggv6xhqPVwiymleruuaecHFpIhDBpo/O6uYu - WY21F1vaddSGDq1XRQgIIQwGgzXX7EIluTyEsJwrs6REr+v0WEZchxBWg9I39Ea2nFz11KlTmyh/ - dUPLRpW9V2La17XfStr06lZijKq66SlNR6PRxbnd63VxZxCRq03XXgZym1mMcTgc3nff/e985zvf - +ta3dp2pQjXm3ANybQ2pqqrv+1LbsolrqCQREREREV2SG1QVBg1QSOfdaFBj2qLt72hzv3/uoaO9 - M5N9BW5vYt+ndNIVXmWAwZNZh/qsRjRNVw8rDxIESACqklJg8xRnA3R7I0voejnUYHpBNIU6DMCs - T3UVAfSdVxE4tfv+afvwuqlSL2aYX7tbXIo/oS6iDhWcHlTnZj2A24d7Hz7YP6076BNS1zQ7qe+r - WA2q6GbmKSjHpxEREREREREREdF5JxuDfbVE5MZ64iNqEMEPvfglX/pljz0zngBwc8BP6q7DBaPi - VvLa3Ww4HLaz6bOe/W1/8qZ/vz8Z33HqdO77Pm/VHZ6tY2Y55wRpmmZnZ2c8Htd14+7NaDCdzZrh - YDKbvfyVr3rlXa9e7vnl+P5t7skHBwenbjtdpdSnNJ3NYlOb+2+94Q0//TOv61KOVTCznN3dIcgP - ehtQLlqujNrPK/fg5qHmvrHl9VjU/NqU20l33nmnu4cQFCjjVP1GegMmIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIloPTo1KRER0Q9hsxNqt5lhmmymRCjfk0regDlxyyeWal6LuGdBsBjjggC6W - 698KYA6xbKpxo+1yF8AMAnOHAO4Q2NrapSG6pbKVjdR/PinXfI27AUB2oIQby4aW5bhssF0ugImE - 1TXuF6zZ3HYXfWAxlZovX1/vUiQse3gupxH0UEuvf1m2UtqSHZY2/nO51N/P98mV3riWX4lcbCVl - Oa+t4CO5H8dveyJSJrU7lK69rkTpi8t5kHZdOI9edlhG9jw9O15PhR7MattVjy86fe02lMV+zEpC - 92r/PM52XTyt7rom2j2qX11t+eJwv6DTykqnFQ0leFvkGvdX3/clKD2lFEJIKaWUzGzT0elERERE - RLcEhQBw5D6himYGFYynz//UT39Yl/ZGzZnJ/qiuI/xc2w+wfcHXGrq6mg5GL37bW2ERCGPLdYgV - tLQLNp/E304wQIOOy6U+dqoBdaWzNu3UUaK41tJOX/3uv3vppz6yTnkIw+ID/onn2rhhf9bvVo25 - PDDd3xuNpOtf8Kmf9vIPvjfAQ6wA5C6rIsSY+j5WzQnXmIiIiIiIiIiIiLaAiJTcbln8/USr8yDm - dXUvr09qWNlRG75k/q44cuqruv7ET/iEl730h7/tOc/t4QYPIWTLK9+8kape3jyGeD7+FlLpdDaF - 42/e9be/8mu/+tVPftJ0NrOcQ+A4q8upQhSHu0+n077vb7v99nbWqurZ8UE9aLpkL/vRl7/qX/2E - l5GEIpYXB3v1oG/XIEkBEOuq7/uu75PbcLhzMB7f8w/vff4LvqtPOVZVGdQXQgBEg1hedOaLG3LU - m8qF6+ejB+XCf93E8vpdEOC9Oozy8Jmy+oUClDGkn/e5n5tSCoCZhRDWVCciIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiIiIiIiohsMo7uJiIiINkG55JJLLrdn6e7L1yIClEmXwur6tSxFAgD3+Wubx2Bu - bunzeaXmM02teb/lnJclL/eb+wX78HqWa9//V7hcHJfVvecipZ/IofXXtMSi15U5C1Vk2d61lH/p - 5eoxWm3vuo7XapmquuztZrbGVrjban9230jfvni5ODqr69eTvWwrWbvLOTfdXdY04aZfKov4kivX - 6+JNLFq05k1f8Y5yUcDhQOlEbsgl42uDpx3gEIXbIllcAIfZ2spXOd+iZVscm23R8S/LsVtjmZYP - rzm0Dze0XPa9S66//vJL/d3O77Gjtvjg5QDuiFFTmr9H1XUEkFLKOZdTT0RSStd88pbvFZFjeEci - IiIiIrp1zD8RwEKUDv0wRKQeobpDQt2OO+tHdUwpJbehIi8/n26NDJ9q+IdKsTdCNVTEQUCCZSAA - 4qvXFdZ2cYa2mBoQysfV8ol+8Q+jJvatqZqrhOEO+v5ek90YxOYfVN3hDpET++ApgDh2FF2fTHTQ - 1OPJpBHcMTiFPpfLUikjiqB7BlIAACAASURBVCJIzn2sGAVBRERERERERERER9j60TWLkY83jCrE - djbbHe085clP/v0/fNPv/LvfdWAedXwSDTl0u0YW90M8ZwD1oJnO2n/xkhc/9rGPvX1nR0NYvV9C - FxuPx7u7u2Wc22233/7AmQeGg1GX+p293Wnbft/3/8Drf+7nQtAumxtwccL7kXvXFkOIT4ALqlh1 - fV8PB9a1Xd+PZ9P/9RufcXYy9kX3ERFV7fseAlF1u75baTfUSX1tFBgNBp/4iZ9oZlGCuyPbrdBw - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIqKLMbqbiIhoy5WpTzg7PxEd5cQmSKIbyGp6x+Zi - PA6VfMx5Iaol59g3tN3VYte3iZP5+X5oBsHSHPcrTwh+cCv9bV1FXslGr2799TgUR72WMst5Wnbd - apmbPpUOFb/RsJ/VM3TT7Tq2mTI33aIrL9bz6l/mS195vaHloe2KiIj7PJL6epfnT7XVtsyP7xrK - v3gpooAdw367YB8aRATl/Fh3+ct9JeLzVPXNt0iA5V5193Udr+X+cZtv5fxb1tXU0AERCOa53THE - PqeuO5/SraqqmlKaT/G52smvQFVVWPyk6Pu+rDSz8rsKERERERFdDwlwh7hBUSNYNwvJ0SWZTUcq - USX3KTsUQIhmaeumnncdB73rv7wNwxqhig7vcmykBTLQSPmoUz5WqQC8/n9zW+meF4RhpIwAr2qF - wJGAiFqnd9zef7QX6RZfdSKB3RcQIIh2QPKEJINGquRxMsEso0HbT+u9IUxQPhSHsG2nIxERERER - EREREZ2gTQ32Xav5iMfFWER3P6n7DrKysy7KYb6EnHMVYlQ5OJi94kdf/udvectHHzizP5sA58fg - zUvGxes2YpnerVAAZSBb0Crn1HUdgI/ef993ffd3v+bH72pChLtsf/84OTvD0Wwy1RhE5GB8MBqN - TDX3edx23/GdL/jV3/j1Dm75Sk+x478XJWX838qWl7266zqtapW4P5t8+/Oe+9fv+tsgkt37vldV - d5+Px3N4vtQg/Cvv0Md/Lvsitf5Klke7sOJ2ya+V8y/k4Q9/+HA4jO5B9MTewoiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIiItgCju4mIiIiIiG5yR01wt/Zo20Opw8c5sd5qlPK6bDg++cgKbzpy - eNmWS2Z4b86xtWtDGy2Tvi03tOzta9xvxxZrfUkyj1y+dPdYi020q1T74q0c2z4sW1/75o4q9qjj - svr2e7zR74fLX+SzrycCOoTgC5fa7vqX7uv/aXKxGKOvwLqP1MXlH/v7SunAWOzV9Ryd8j688la5 - /Ner5g5f/CBOOalqjLHruvI2aGZmJiJ+TbMEp5TcfTgcTiYTLJrP3G4iIiIiouvngAHtrB0NA+DT - 8bndwQ5S9zWf9mmfW1V5NqkABQYhukif+wyEk67zKlN0lY6HETHmemAdqgixDMTF5ZjyZ5nizM8R - Nz9FOeIXqAMEAiRYFoPNWm32fvotf/HST/jHuOiC5Amm2xiQsjUyEPUZWmRoxu4gPv9zPu9Vf/XO - ZmfnoM27VQBMgtqWnY9ERERERERERER0gsr4nHJxc72DMNfo/KCrDY993QRxBNUH7rv/9D+6o07p - Na95zf/yxCdUGnrLJ7OvF2nEej7AGxnIKcVBA0up713lN37nDV/yxV/4xMd/Oa8nX17f93VdmxlE - 6ro+mEy0rl3w7G//9rv/8A+6nG3RgUMVLWW4P1getMs8Lb1868ncopq17e7pU22Xupxe89rX/h+/ - f7cDKtgZ7hxMxsuhgzHGnPP1vm/44RDsq4vWvvoQbgHcr2h5VebfcvS71GMe85iUUhXC+aGqN+B7 - GhERERERERERERERERERERERERERERERERERERHR9WN0NxER0dZaTndil5lHg67FhiZVebD5bI60 - bcd3Gye/oss4joBJuvksQmHX038uCgtZS6lXsXVcmFCyrsjh4wlwvdR2j2kPHsOGyqEoy8VEi8dq - jW3MOV+y/HXNYCbiInA/fwaVko/thDqUjnyjRLlfHOq83vIvs91DLzZUfrFsztGbUyx6y6FvXX/l - Vreq4YhQ7fXI85lCZZHSPm+O2UZ+fh2blJb1l+UxWmNlDpW/3HXr2m8PatGONXc/Myz3mMj5dl3y - /fkygoZsubwIVey6zsy7LqlGEcm5L3WXoALYVRYOwN13dnYeeOCBnHMIYfmLyqbfl4iIiIiIbgXZ - 0Awb89ZyvzvaRW/ofTdW/Xi/DogZGehyklD3jlgB6aRrvKIL+GhIP/q2v0CoQ9IQYD10WB+0+1Wz - I0AGghrm1ySV92pubrIafCGAn/8ULY7ZdDoYRSDBa212EIHhcOaWzcNKXsbJxitUimQQ95STK0RR - B0zb1h44h85RWd0EOGAWQjDezCIiIiIiIiIiIqKFEt190rV4cBePqDzBS7LqsDIit4wSPLom4lDx - qo6nT58e7+9Xw+E/+R8+/wXPfd7LX/1jWHnkZRmhfVJHogwCS7MWYgBy9krle174os//3M972EMf - ekKVujGUMyjGeDAZD/f26sFglvqvfdrX/dGf/JkLRFHF2HUJMh9he8nOIquDF5clCxzH+jzRauVi - jGbmKr9/9x+8/JWvcCCE2OXUTaYl9j3GYJZSSrh4vOWFLbn8mTrPkT//3fOBiVcYrX1Vy6Vyrl3J - 8kqsPG14+Zb6Z3/2ZwMwM4Po/K2M4xiJiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6FbE6G4i - IiIiIqKb36HY18UUcmuLzj20oeNMQr14Wxvd+kZzXo8nqvk4A6EvFSS8Waud+jjbuIlyyppNT/W4 - upXV1PD17r3VE6ek7W5o5s0riLheD9USlX3p4PC1u9qtHFtC8KHjuPbtrvacTeztE8ntXlrdXRvt - S8fWUTftqP5wtR0vW44hunu2nNrzydylP4uIqmbL1xDaXcQYx+NxVVUA+r6vqsrMypsGERERERFd - p6AlcEI1Vp56caDvPtat8WSODhjG2KYEz+XihssxJWCLA1AXGOBiwSGLFI3l9lsND+wMMGgQazgg - 0AqtdYNmeBxVpG01T0gQYBm04BiMGiC7QcwQqiSI6mdDOKuyC6/Pf3eJ1TiZXBW3EvGSHFYPB+10 - lhwx+EOqgL6FDB3IjhCiWwqKReoEERERERERERER3Vrkogv1BrfjDAe+Vm6yiLldyfA+9DXHlYFr - V7MhM0tt2/Z5MBhkcwie+5znvP3tb/+9N/2hX3hN+eQT1EUAxKrKfd+bf/TsA89+7vN+61d/JSz2 - 9MX9h2KMItL2fd0Mpu3s/nNnn/LVT/1Pb3uHBMnZ4ch9UlURySmHEC45EM4Xf0ofmPdk12Md831h - fUyQUv+f3/FXz/yWb8kAIF1OpTZN07Rtm1Kax2xfZsTgFVRfLvwqufDFepcbUo7a+ZsufsH/y6aj - qLt96id98qCuvE+AhxBsQ6O3iYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi2HqO7iYiIttbK - hBicbmbtfGPLa64MEdEmXTKzcxNBnjdHOOhlbLSBN1bU9Ha6+Vp3Ir1i7RtdPXE2HVG8oZIP2b7J - 6y6oz0mdCBvoOWsucKvc3K3bhKP22DXsyT53l9lKvuLQblUt72llMlB3DyGklNw9paSqVVW5e/my - tWfbExERERHdagRQIGdTVSigwHjyLz7zzodNx1VK4nDEcRIVhWcVwDae211yMoKjVCg7UoALxCwY - hgH7Gc0gdCnPEmyw8+P/5V0Y7ULDcs7+GvWFecYKAVx10WS6iS2Orx5eo/P/JA6hgCAACHrX373n - hZ/yiDA5qJLHSqad11KJiHkP2Lw3mgJwMdt0br0vwjyQg2jbOrRJ3ipwqj2H9iy6JtS7SZGARhWp - R2RuNxERERERERER0a3C5+NkDl+mzMm0ihAdT2aqIduVjtI5fgJ0XSciqprapO5N0/Q5lX9dTew+ - hmzpqwoId4HGkN01Bs8WgopZDfzsT772f/5nX3DP3/9DhmdAgqTsEGgIns63Qa4gzvuqW+zzhQHL - sY6+UlDq+vkLxx/96Z/84A+95Pv/t+/zlKOGqoqTg/FgMLCUV8dflX3iJfobvjwKF++ri9dsXxz4 - +Sou6lZ2T44xppQ0hJxz1dRd14nDtepTqpvhuJ194CP3PuHJT/rbe+6BwM2XJZmZAAGK7IDOw65F - 3BNUIYYMUcnZJagLsrsCcFcRu8r9s7o/58flohNk9WvKFlOypmmm02lVVXDJbiLxo/d99Glf/w3T - 1LtodgASQsi5b9vp6hYvMVTYL/O3Szrfz4+nO9jiFHjQ5dWWubgjZMuj7w4B3K0J8hmP/DTruqaq - Utd3blUdPG/dCUBEREREtM1yziGE8kyQmW3t80EppRBCqVipZ0opRk4pRkRERERERERERERERERE - RERERERERER0HudFJSIiIiIiIiIiIiK6CiEEACIiIiXAu2manHOZGDTGqKpm8yk+t3BeHiIiIiKi - G5E4YlBzQzIRhUpzbj9MZ3UGgCySRctv4eobz+0GADk/5kYAAVzmed4RGGfsVjKb5ZRRN/VHuxbV - ALGB6vyr59+lguUKlPRuYW43lYB6nfcHqKOpp6dOpRDM0HW+08Spd73lQxEjpU9uuv8vUzdMADF4 - BKID4pBJ+pHP/xwAYRF84X2Chs1WiIiIiIiIiIiIiLaV+Dy11wWq6jKP3c2WHcDVpgQfo5wzoO6u - qiWgq6w/FAV9Vbnax8PczedgrpA6xKaq3/Abv3nH7acBVKo5O4Cqri0fX4C6r/w56gsMePVrf/K3 - fvu369HwzHg/mQ1Gw7ZtVfWouz/bF8V9vUpLB4NB13WqCvec82w2q6rggmwWYj1L/f/7lj//wi/6 - onffc8/5XXqp3qii7g4RNwt1DTMYYhVydhFMZhMENTOREELI+fB9h/W2C8BsNss5l9zupmk0xlnf - QaVN/dOe/vXv+/AHQoiqEUCIMR9j/9wov+Ll1ZZ5FAE+8RMefsdtp6uqSl0fQhD1lNJVboSIiIiI - 6Fa3zOoWkZKNXa4SbJvRaFRVlYjEGM0s58zcbiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiQxjdTURE - RERERERERER0FXLOZlYm5w0hNE3Ttq27p5S6ritfUNK7y3TDRERERES0FimbhAohoO8xnQXD7YOh - SQnG8EVSMHAs0dclYGC5VQXEEdzMIAEOTHpvAED2g/xXj/w0jtCh6yAI+t4Pf9hMTu+NZkCX095g - V+f/Nu9cLrDjDSkp8SEKC27qEGBYY3LuAGY27QOQskuM6PvjqxMRERERERERERFtKxE5NJZma8fV - ONAvLmyqahkFdLJVuioluAuLPayqVVU97GEPe+2/es3te6eSmQJNHfu2UwFgyz8Pmq59PJ7zvOe9 - +c1vPnXqVJ9Tn/NoNJp1rQnswts/slJTl22MUb8S8x0uvvxTWnru7EHQCq6Wsbuz01R16nKMtcTQ - Wf6FX/rFp37NV9935gEB6jqeL+sCZrDsNhyN3AyA+PzmVuryoFJ37O3stpNpXdchhL7L8A3ezSqH - r67r0XC0f/Zc0zRd102n02Y4yO7f8YLvfMtf/KeoMeWUchKRzJzp6yCCz/iMzygpgzlnEVHlrUoi - IiIioqtjZsvP1yWxuzxAdNL1OizG2Pf98jpAjDGEsLWXXIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - TgqftiUiIiIiIiIiIiIiugolrns5r2XJ7QYQY6zruu/7Mh1PCEFEekaUERERERGthSBEzSXCoO3+ - +ed+Xq1y/2y6CM82iAMK6PFEM5SA5EVwuMkivbgSxBhjLQYkQKvRA6rPufvfgRPi0zVTIMhvv/8D - 4zZNZ2kYMEnouvZQYr1hHkxyDOndq/kowRAM6ggGyYjZkS3EEIAqCADEeFQ5REREREREREREdOso - IVIA0moir2xj3nLQ0HVdSb3FSs1vCCVXrISK+YI6Utd/4Rd8wYte9KIICJC7JMAWJpI70OX0tKc/ - /b3/8A8mCFUcTye7u7s3aDL35ZVG2aWatre3VwaeqepkPOu7LmcHkCx/z4u+97te+N3jdpbcM9B3 - qYqHo+NW7x9MJ5MQo6imvi/32UbDpu+tEuSU9vb2ct9PJpOqquq63vR+VpHJeDwcDkMIEoILoPoz - r//ZX/71X9MYe0uigfly188cn/VZn9W2redcVZWZAdjCiEEiIiIiom3m7uV3aTMzs/LXnPNJ1+uw - cpklpVTXtZl1XYcb7VIGEREREREREREREREREREREREREREREdEx4LzARERERERERERERERXIefc - ti2A3d3dlFKZ4haAu0+n0xgjFtPfdF1XVdXJ1paIiIiI6KZhBoNg2sLQ7I+1ywNU8zwDMXEDBK7q - UMdxzD3pMEEuYcmAwARwx6xP93YehoNKRvd3/X1VhaZG4BAdulYCmKMK9ek7Jn2GxEGQEETkcEc3 - 6LENBrNFTLjA1C041BEcNYBkyBYMCuSug3IqWCIiIiIiIiIiIpoTkdlsBkB1ey+bi8gyursMCrr0 - lzlk+0KG3cVdsIjpcne4u/ugqqOGr3vqU771mc8cxgpAAGI4f5Pj0AVnX/lzfJUHDOgz7j9z7rGP - e9wHPvihZO6i48nUBctU6bLny5/V9TckccANMMzbUv50qZ917WA0cpFkNhju7J46/d73vf+JT/qq - 1//iL2QgA3UVY1QAfZ8vPmDzl2JSabbePQ0HQwEGMXTTtgJec9eP7412JgfjrutOnz7tgslsupYG - HTovLjhGrt2sr+v64OAgxiqE+Id/9KZ/+ZIXGzBNyYBkeTCo3TMYNXcdFPjcz/4chQCIMaaUHMhm - D/qNRERERES0FEJw95RSuYJR1/XWPhwUYwwh9H0PoK7rrutKgDcRERERERERERERERERERERERER - ERERES1t7wQHRERERERERERERERbKMZY5tw5ODhYzs9rZiIyHA5F5ODgIIQAzh9KRERERLQ+ZqaK - jIy6wsH4dvOBqsOBxXT/cqzTzasDgEEXYQOmDnGowoA7mtgl23f4zqmf+Ju/hmUIh+jQtRLFsIHj - g+NJVw/blJtqcLbvTGyZdXFh99JNp8WU4pfp3bpIdQnAMFQvfdzjMJuVr5x2LQA4+z8RERERERER - EdGtzt3LQJpz584Jtn1EzWQyKS/K0KAbaAiQmfmCLAFt2/azto7VD/7ADzz5SU+K5cJy3rrs8WZQ - G9Dl/P4Pf+TxX/6ED3zog81wUA0ag9zwKd0XWV7M14ue9FZVg2sI48lkOBq1Xf9v3/jGL/ziL/qT - //D/QEXqaMCsT4tvP2K/CLSqPCW4V7GaTaeVhpTyQMNPvPrVj3/c48Rx2x131LGaTCZmVga8bVQd - Yl3XbduORqNZ1773fe971rf+82mfMxCrUDcNgLZtAYQQbqDzbgs94hGPqOsaQM5ZREIIxuhuIiIi - IqKr0XVdCCHG2Pd9CKHrur7vY4wnXa/DQggppXI1oHwKCCGUF0RERERERERERERERERERERERERE - REREtMR5UYmIiIiIiIiIiIiIrkJKqe97AO6ec+66TkRUNaVUpuvd3d0VkbZtq6pKKZ10fYmIiIiI - bgbuDlgFQddDMJx2qZuKqs9DtFFeHW/ah7rAAMAACKBAdqiibdOk77q6uS8I6gZ7e1CmC9D1CGiG - P/7evz+nsYk7s9n0tkENhS1zShwQUxxf6IIDJSVFYbLY7swgOd333r9HzjB0Xb+7t5dz2voUHiIi - IiIiIiIiItq4MqjGzM6ePXvSdbkcgaSczo0PDOdjrUvlbwwqLocq7ICPhsO+7wPEUn7NXa9+yld+ - ZYmLlvm/43xzBSd4UXc26xxACBm45/3v+/KveOJ7P/DBNicXHE7vFoM8yFVx8cN/to24KUy8/IE4 - Sk3brh+Mdg6ms93Tt43b7gde/JKnf+M33Xf2XAY687ZLsQoAUrLhYOhwWRy384dOAMD6HqoaQt/3 - USBuAfiJV736q574lXuDUe77/fvujzGKSHarmmuMdrs4VX3elpX15fXBdNo0A9UwmbXTtn3GN33j - vfefyYAo+pTbrm2Gg9J761g5o6aviQIP//iPG41GAEQk53wMoexERERERDefkn5tZlVVld+rRWQL - nw/KOVdV5e4lZbzrOgBbWE8iIiIiIiIiIiIiIiIiIiIiIiIiIiIiopPF6G4iIiIiIiIiIiIioqug - qliZULiuazMDUKYxXaZ613Vdpr852doSEREREd0cQhC4W2oBfO+dj67byU5VJ8t+QkNfDApAVjZf - IsRdkR0VMBrd/oDiZX/9TtQ1RCEcokPXTLMDIaKpztVVZzKS5mDWlZQLW4Re6EriiG84VcUEWZEF - JhC4LhJddipFSnE6gzk8NXWVcw7xGqM+iIiIiIiIiIiI6CYjIu4+6zssBt6InFxG9GXNuq4MBxIR - g99A0d2qWvbqoTq3bbu3u5tzrkNsp7O7fuzHvuorvkIWDxhfcBhOtq0CjSHnDCAEfdff3/MlX/ql - f/OudxvgArtUSvQNTQD1w1HpJgh11fZdaOp3vvtvH/+VT3jN635q5mmak8k8cb1P2YEqVtPZ9EG2 - 4W4pRw3ugPtrX3XXVz7xieqoqwrmw+EwpVQGuc1ms401dG4wGo6nE4hoDM961rPe+vZ3zIPjBQCa - waCdzUQkhDBrZ0EZOH1llh1o0Zce/ehHhxByzmVAY3m9te+3RERERERbK+esqimlGGN5fdI1uoTy - EFOJFS/PMZWU8ZOuFxERERERERERERERERERERERERERERHRdtnGpwKIiIhuOO6++gBbebztBOtD - N4flA5xVVQFg2BsRER0lhIDFT4ry42M7ZwEgIiK6EalqCKH8bC0/c0XEzNw9pWRmVVW5u6oup7st - H+Jk4QQrT0RERER0kxHxOij6dqfvT4eY++6CLIN5hPCxUkD8ggyLlCGCiNj1+dwgYqCIVeL4HLo+ - KgoVNNX+7iBJEJdBhd5QAi0MACCu4seRWWICF6xkaRhgDnWgTRY17KrAM9wBaAgl3oaIiIiIiIiI - iIhucSKiqmfOnFHA4Vs7qMbgogHAmTNnXGBmZhbravVrxCFbm+VtLo6Lhy1VVdzfPxdDqKoqQKoQ - f+yVr3rCYx8rwKCpFBCgrsrgKMABOZ8H7McZ5+1qyUXEgS6bAx/6yL1f+EVf9H/+8f+V3SWEyawN - VYRKNkCCu5tZGbtVctTKEK9ts6whgBKiZmYKQbambtIs1bFx92RZq2hwrRvT8Jqf+ukv+OIvefNb - /ryF94CH4LjgYHSpLy8qDQGioirze1Ll/xoE5sNB7ZYVeNXLX/GUr3pSE0NQbaezOla5T6qqqiXm - +WrbdZm7EqradR2AWFcugIrBu77f2d1tU/8jL3/5773pTbYI7XYDgHY2A7DcUdny1dbnViMXPjJQ - zvoAPOYzHy3uwPwdrBzfrX3XJSIiIiLaWuUDZghh/iElb+OHlOWjTKtrtvOjMRERERERERERERER - ERERERERERERERHRCeLUwERERGsgImU+EXd390MZXUTXZjmLfd/3dV2nlLB4yJOIiGiVmcUYU0p1 - XTMEhYiIaL3MLOdcfsLmnEtQd5naJsaoqssfvsKpLYmIiIiINq3P6BO6dEpEc1dr9HlmsQIQL4Ng - HCVa+FhqtEzmWG6uGWqXYHCtB2cHFZoK5qKNc4gOXQ9H7npU4TVv+8tZkAlad1QVgHmK9uoYsGO4 - RuyAyfJEs/JfFnWH5NQ98ABSDzPLCRflRhAREREREREREdGtqcTxnj17dmszr5eyZQHOnTunqq6y - TCC+oaWU9vb2zOxgf7+qqtR2t+2d+om7fvzxX/Klqe0r1Tpo7vPe7giOqgrHGNZ9EZHlQCwD+pzb - 1D/la77253/hX0sI9aDJjj4nCWpmZTRXSklVY4zL1Odtk3NummYwGJjZbDZLKZXnhlR1cnCws7s7 - m81UtWma7JbM/r+3/PlXPulJL/yB7ztzsA8NGWhGo3mU9aUGqWXLDnd3cwtBmqZyAxyePAbpZl2l - +vqfft03fP3TVXUymWw6e97MQgghBFWdTqd934cQQlUlty6nN/7uv/2xu+6qQnTAHRx2d83Oj11U - BSAiChjwqEc9qq5rXWT4lS9T3q8hIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiolsVH7UlIiJa - g5xzScoUkZKv7O4i4kTXoUw2FELY3d0tMz2FELZzFiEiIjpBZZq5MuVc13Vl4jkGeBMREa3FYDAA - oKplmlRV7fu+/OQtH9NyziW92337ZxUmIiIiIrqxpWRQRZ+f/d/9t+3+uWEc7Fvb1I0A4vPRLwIT - mOE44iRcDDBxiMMWScYAplMbDYeNjs7MZj/zV38JSajrrk+brxHdzAQIgwZVhFv1j+5IqLoEO58U - owYooDimS8Ny+C/mpQ4BVdTTlX7f538eujaGmDI7PxEREREREREREQGL1Nh7773XARUtg218W8e7 - BtV7770XwDIQuqwv9wUufr39Qght2wKuKkFlOGhm08kdt51+/c+87uu+6sluBjcABweTEKTvM3D4 - dosfy/0XwOC5PFBTtpiB1iwD//KlP/y1X//0s+ODad+FpnHRbD7r2qqpq6bObn1OBheRLRxHHUI4 - e/Zs27bD4bCqqtKvcs5uMhzstH0nQc0x6/oPf+S+1/3s67/syx//Z//xzRqiwZNnCCbT2fx58Esd - hmYwLFHdqsjZ27ZXgQB1UGQ/PRr8m1/4149/3Jftn30AwO7u7sUlrLc/hxBms1lVVSGE7N4Mh33O - 4+mkHg3f+vZ3PPt5z0/ALCcAIjhi5J0BW3cct5SIu8MXEd3AYz7z0eWpRnEEUc+GRYw3ERERERER - ERERERERERERERERMbtl1wAAIABJREFUEREREREREREREdEtKJ50BYiIiG4GIYTxeLyzswOgqioA - o9FoMpmUqYWIrk2Mses6dz84OABQguIY3U1ERIeklMoMbm3bhhByzmZWVVXf9yddNSIiohvebDYD - 5jNalkldy5oYI4C+78tFgBDC6l+JiIiIiGgTYozoe5w7GE273aaZtLPTTX3QTqOUoS8KN4EBcIEJ - 9Lgmny+3A+fRAY4oaNse5r53CtZjOAJ0UKlcpgiiK9D3XaxrGTR/d+7MI4fDPUU77kxLRIcCsONN - sFCfx9WXc80ELjrNpp5PD0bv/dCHEII4LGUJHJ9GREREREREREREyDlLFd7//vcDcHdHyWbeuihZ - VXUzd//gBz+Ycw6i7n4TPBzk7iml4XBY1/X+/v7e3p6InD17VmP1qle88uM//uN/5BWvFEABddRV - 6PqTfHRlNWO4vMrAufH4d+/+vbf807f85Gtf+0/++89XoGpqS30ZOx1jjDH2fS+iVVVt4aM3u7u7 - ZjYej0WkaRoA2U2rqoedmxycuu02A37nt9/40pf9yHve+/cZMMBzAgBdpFuHgHzpewHT2XRntDOe - jM0QguTsdV33s86z7dbNb//6bz7ykY+E2UM+5mMPzp5LQBXjRoPnS250Gd/eNE3Oue273dOn/u6e - f3jaNzx93HeDup52XdVUbdsDgAO8l3b1VHUeVO8OQAAVPOSOj3noQx/at10VVERKsHcZ/SgSTrjG - REREREREREREREREREREREREREREREREREREREQngVOjEhERrYG77+zspJRCCCklVZ1MJiU786Sr - RjewrutijKVfAXD3vu/LmpOuGhERbZdlSnfOufwGwtxuIiKidWmapm3b5WexpmnMTERms9lgMACQ - Uooxdl1X1/VJV5aIiIiI6GbWt6nqWoRqp0+aPYoetF0d1ea34xSwMM9vUAdMbKPp3TLfqplAAXHA - IcCoqcdt1nr40E/6BEQBMG1ng2awwarQrUAsm0UoYvyxd73zZZ/8SXncnQ5oDSYKF6AsoJsP8FaH - LU4BuTBKo4kwQz+ZPOT222EOt0oiXJm3QURERERERERERDnnWMd77rkHQEnsVtXsBt+u9G4zEyC7 - v+997ysR413f3wTR3WY2GAzatq3ruq7rlJK733bqdJ+yxPCcb/22h3zMx37v93/frE/Z3BZ3X+ZX - d+eHqOyEzV6FXr2c7CUBWgDzEKM4ouoHPnLvE578pG9+xje+8IUv3B00dYx1jOPxuDRNVeGYzWZV - VR0u2YHFtfTjN5lMTp8+3XUdgNFo1LbtdDo9ffttB5Opwe94yEP++E//9IUv+r63vv1tDpSbTiXe - PoSQcgoxAsipvzjsvqwQwXg6diAEsewCtLMuAB/3kIf82q/8yqMe9SiYi0g7mYYQQghevmjFevdP - yYnvc+q6bhBj23eDweC+++571rO/7e8/8H4Hpl2nIZaOVtd113WHmrZd7wvbah5yv3gXFUiM4c47 - 7wwhtLO2qSIcJbf7fMg3EREREREREREREREREREREREREREREREREREREdGt54afMoCIiGhL5Jxj - jCJSVVUJWmZuN10nEUkpiUjO2RcTqTC3m4iIDgkhqKqqigj4GwgREdG6tW0LwMxCCO5uZjlnERkO - hyJycHBQLgKUH8RERERERLQ5VR0RK3R93fXZkkcFkN0U84huhSoggAEOhW9wSIw4VnPBTZapyeja - vkceIz3jjW9AUHMMmgFv79B16nNqBgM44IIo+zV2dirLUAdcAXWoAXZcn03VoQ5xBFtJ73ZJCTCM - VLXtsT+GQ2MFMGGDiIiIiIiIiIiI0DSNqr773e+OMr+Ab7Z1ud1LCtxzzz1ljC5uiqFBZfhTSsnM - 6roGkHMej8eW8/jcfhXiNz3jGT/3s6+PAgFi1BNpsABRNKzkd7s73CFIKSXLs74T0RCq1/3c6z/7 - cz7n53/hF8+ePZdSUtWS212ewRkMBidR/cvZ3d2dTCbl0bPZbCYiOzs7+/v7oan/9D+++XFP/Iov - /Yov/8u/ekcGIMiAwctwtZSSQHKfrE+DqinX5C8+OnVduSMEydlDkLqOCnzKwz/xjW94w6f8/+zd - eZgsWVkn/u/7nnMiMrPq9u0FGmRnWHph6Ub2xQUQQUVw/DnaIKiI4DKjP2kVFxREUVnVBxVnXFFg - FkFHZPmNMzTI1giO7IsNgo+2YCvQS92qzIw457zv74/IzFt367739q261be/nyefuFmRWREnTpyT - 3XUi8nzv9u+8L+OmLV1faw0h7MLd5sO5cPfRZFJr3bdvX875hb/wi+9673sLgCAGlFpyyePROPf9 - TpfnTDXEcgOACACH97lceuml7h5CEJHhjkcAw9cNTm9piYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIhOF37VloiI6BQQkWE+iyFfue97d885O9HNMMxTY2Z939dap9Opuw+ZcERERCu1VjMzM1/N - vQVwai0iIqJTYvgTLIRgZl3X5ZxVNaU0/L0GYH19XUS6rhtWnu7yEhERERGd6QyX3+e+Y0cIYV5K - 26oZAAigLtgWVLALAcYCqMNkFUmswyMhrrXrc3XUDiGpaMk5xh0vD53BHKZBHS4OQNDoDVK3tvK6 - QhziMtwAZrpojbuQpyLbHoum7wJAFQq42SgoJmOYw9z7vPMlIiIiIiIiIiIior0uhDCdTj/5yU+a - L3JkfU/mdg/34rrgU3/3d8M3O1JKp7tQJ0AccrR6NXixOh6PRWRr64BZWVsbN00MQcejtgmxn3df - +9Vf8+a/eNMdbn/7Wm3XC77gboDLEUPdqW3c3YHqnmsx4IvXX/eTP/szT37yk9/ylreEENq2Xd3c - NZ1Ot//usepkNw3fO2uaRkT6vm/btuu6v/nbv/3GJz3xsqc9/Yp3vis7erfQxOwY0u3NLIg2McE9 - iEbR3HcKVahuvxYggKDrMwRmDqBWL3153GMe8/Yrrvh3d7v72mSyNpn0fT90uuEmc5eDj1N8pA4Z - ruXN56tDvvbaa//gj179h695rQSBSjVvRyMHHJjP5zj0usP246KbtPr6wMoDHvAAr7Vpmlrr6vsF - Q4A3EREREREREREREREREREREREREREREREREREREdGtE+cGJiIiOgWG6XhU1cyGiUXm8/loNDrd - 5aIzQc55mOmpbVsAw3Q5p7tQRES0hwwzag1LERn+h+R0F4qIiOgMUWsdlu5uZiml4b+5MUYs/15z - 96Zp3D0yi4+IiIiI6MRtSxo2uEIOzR4+LIjYy9mxbaedlTweNRvzfq2B9KZAliCuFTb8hu5WAIML - HEPegwFqgCHfYLLv4ouxfz9c4SEEhTNdgG4uhaA6JCDE2o4Qp1agUAGA4ttTR3a4vYlDAZODu/Lh - qUBENHg05On06fe7z2v+/u9hIm2zg6UhIiIiIiIiIiKiPeawjORVMPDWfPZvX/rSVZ/5dAEMCKrm - piFYqbtfyBvhAjjM8ff/8Ll53yfRZjSutRvib8VPfdTx7miaJucsDhEZjUaqOiQre62xSaWUNiUU - PORBD37b//rLZ37/s9/3/vc7YI5dzrxe7U4g23ee+x6C8Wg8m80c0OWbP3LV3z3jWc+65z3v+UM/ - 9EPf9q3/T4xh1s3Ha5Phvq/TntiNZRfocg+Ved+14/F0Y+MPfuOVr371H336n/6xAgVwoGli35ec - C2RxuUtEzMyLK6CAuQUNZsdMvE8x1FIViCLf/33P+tnnPW+couXiUbbmcwBN23ZdV2sVEezkiXWB - mcUmGbA53QpNevf73ve8n32+KEp1CEKM824OIKVUclZRc979frJEMPRVIEb1YhdfdEGttYmpn5eU - koYAoJoP7yUiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiuhVilAgREdEpICIhBACrTGXmdtPN - NzSnIbf7sJVEREQri6kARQD4MWdjIyKiW6ohKHpYmpmZxRiFEyjuohhjztnMVHV1CoaXhr/XeDqI - iIiIiE6SAwIDAAsAljPyG9SBMKQpDIHAYoCJVORZyjYqUoEYRABRBAAwFy0CmCpMAbgpdnaK/yHT - wAGTVVK4VkF1u6Hxn3vH25DGaCZu0GBmRYS36NBJEkDgZqYxogi6epe7X5i/dGUGBBocLhlYBtdj - t2JjHC5qYu4QQKQAqNC++riJUXGud+g20ZwFw9BRiYiIiIiIiIiIaC8Ql2EU0Q9ZNfx7yDuXg40n - MN4uACpijKph2s2bppWgG9Ot0WQcQnrlb72qh5sghFisAjDbY3m9ArgjaK32b1/+8nuufN9jv+ar - s1XVUHMZj8d5PtcYculijHaS4cfH+5WQof5PNHz6WEPEXi2IYjj1jlpdNQKQaGZFVa2WJOKl3OE2 - t3nrn/3Zi1/84l//zd/IDgMkqrnXahjGpTVUq0GDoZoZtu9xaEiH/AQcVqRtR3RkYW3xlm1v2vZ0 - NpsNK2z55gTM3D/2mc/8x+c855df+rLv/u6nP/Wyp9zm3HNVVSECExFVrbW6lRijuwzMbLjvevgR - gKqKo9ZqZqtbwoab94bnqioiqxoupbh7jHF1X5mIKKTW6gIRgWgIwQRd7ieTyZevu+5DH/rQ/3j9 - n7zpzW/dnE0DpAB1eXx9X4ZTc7AqzARwuANDsrVZTZKyV0AhIjCHj8btfNbBAXd17GubX/j5F37P - 056ujiRag1evMQYAVkuKAW6CoyR3n9zFhdS011133dn7zzKzmocrBTWEEEKz1c1HKWloPvF3n/q+ - H/jBopKHKxmO4Z0Acs4A6lFzu3lT/PEQMzMNQRyo1Yudt++su975LuKecx9jdF90pqHzs1KJiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiI6NaJ0Y9EREREREREREREe9RqDtAhOnoIjXbaLX3fd12X - c16diMV0lkREREREdIos47lXCQsH4xYWLwMABA7LUJFcE7SBXr/VnbUu0/nqrVYFVbSKAjue2w3A - oQfvupFFtoQLwlmjG8TQJqxPXCGAm6n4CUWbEB1GEVTVHHDDeP2nX/+nYTyeAQ5ZpWkohhR53bX7 - wVzgUNsWvuLuk8l42pcAhPkUtexOSYiIiIiIiIiIiOiErNKgTWBDlrMsVqoPI40nL8YoIltbWwCq - W9f3k/W16v6PV//T/3jD60ej1hyllEVG8Z69D0cRUvjxn/rJra43s2qWUtrY2DBBrbVtWwDT6TSl - dLoLemqILx5qCI5geO5zfuy/vea1tz/3NglixawuMqoFiyhrF5hZCCHEeMzNnnhJ/PjShX35yIA2 - bQUycPW/fOFFL37Jgx/28Kd9z3f/2Z//+Reu+Zfx2pqLzLp5bNJoPO5zhooLqpvBRURjEJHq1nVd - 3/e5FoOrqgRVVaiIyOq5mfUl933f5R6AxqCqxWrf98WqBNUYqpvGoDEYvMKL2xe//KVPfOpTz/6h - H/yaxz76W77921/3+jdszKYZKOIIJ5rMjuy1SY0D7q6qIcb5rGtSFMCK3fkrbvcHv/f7T3/KU0cx - iZmVeuJn4MR0Xbdv3z4zm8/nqtq2bUpJRKbz2WQyqe7/8q/XXPbUp23Mpr055KTiwelYFteH1Eq1 - WlMMClxyyf2iqrgfca1ITqpHEhERERERERERERERERERERERERERERERERERERGdCY75rXgiIiIi - IiIiIiIiOu3cfZjktNYaQuj7fpXhTTttmF3X3YfoblXtuq5pmtNdLiIiIiKiM5QrABEoYEDA9gnk - DcWeeuGFDxKpyD1svdUDm3bWBD7dvgkTX+YY7zgzAENhhzgTmEOv7/oDSSHL+fAVVi3EXYpSpjPY - 5ubm2vpZCIp5hxQ2+nybcdPNFvkowCLMZEj52NFe4ALbFp2yyqUXh4j0fa9AhJyVGnQdJobAMAgi - IiIiIiIiIqI9yI9/4O6ERvhmfRdjHK+v5VogIjFMp9M4an/t1399Y3MzpeWNNyIQWYy17zVmAHKu - /3j11S97+ctf9ILnW6kI2ozapm2m06n17u779u2v9YSHY48/qvmEU51vhuEwtu9xPB4/6lGPeuMb - 3/ijlz/nvX/zgSZoX4oDAjjE4bVajLFYRXENYUiJXkQJLzdy+Nk94oiGFTdnCNkBl0WRmnZU+vmB - +eyKt//V/377O87bf9YDLrn0cY973GMf/bV3u9vdgqhpMIiZDbnXImoYbg7T8WRteFprNXc4VMQd - NuTLuzugqlGjqkoM3XSGoDFEh4t4hRdzWBVVqBarV3/+8+9+73uvuOKK9//N/73mS1+Mor0bAFeY - wYHqYvUmzvGRLwss5y6IVLdagQoAuS9R8NWP+qpfe9lL73anO7ep2Tqw2aakqtV3tovVkmNQdx+N - Ru7e5d7d3T2EUN36Ur7nmd/7hWv+ZfFuFdzUIdMJEVVfBqKbGYBLL720lMILk0RERERERERERERE - RERERERERERERERERERERERE2zHfhYiIiIiIiIiIiGiPyjmnlEIIAEIIOWfmRu+yvu+HOu+6TlXb - tj3dJSIiIiIiOtMczGNwHEy8PuRlhQHz/jYSkLcATNrRPM+bgOkU42Uqg/oQBbFLIR+rYAF1dTF3 - AGaimxr+y2c/B7das4aUiwUdbs7hJPl0s6yvr3e9tVERBDH0MXx5sxtLUocBEOiup10sYsK3rxHp - Sj0rNeaIfYUm9J01LVs/ERERERERERHR3qRHG1U3AYY45EWc81HfdUzteOTuG5sHzjnv3Otu2IhN - 2n/OOX/82tf80X99XUpxnvsQQq1VRHwP5nY7Qoq1lBi0FhPBb/72q25/u9s+65nfh1qgcmBrM6U0 - atrZbAZgNpuN2nS6C33qCZBz3re2ftG9L3jtH7/m91/9hy99xcsVFlKwimI1hGBmpRZRFVWr9XQV - NaXU9R0AVZ11c4W0KfS5CPClGzbe/q53ve1d7xLgdrc591GPfOQjH/nIe97jXhdccMGd7nCHrus2 - Z7PRaJRGjeW82c1CCEEUAgdkaP8ii9huEQAVcKtmxXpT1fGozTlPp7PJZLI+mfzTP//zxz/+8Y9+ - 9KMf/vCHP/jhD11zzTVdNQAOBNXODIABQ5S2BAgUJ1VvDndfZMYHDeLVHD/wzGf9zE//9GTUNinN - Z/OmbUWGwPGdNR6P5/N5CCHG2HVd0zQppWIVqtdv3PBzL3zhBz/4oWqITexz2Ytd/gxQK4AYYq0l - ABdddNGqxRIRERERERERERERERERERERERERERERERERERER0YDR3URERERERERERER7VEppiI4u - pcQYY4yz2Ww0GgmnVtwtTdMMp2AV2l1rHcLUiYiIiIjoZhmSD45YrcAQU1AFQQDAgGBAsf21tuIF - fcmWDVEwadU6MyhcAYgvUkZ2OojAZbEXcbgAriYWHVXQTdaRWsQQglRAo4q7FVPeoUM3w/CnaErq - GRICNPr6ejUvnUdbxOcAEFeF2s4H2K+6mDgMUEAdDnSlTlLKuRcN82s3UA1tMoEcrbMTERERERER - ERHRaXJjg+i2fSzPBeIAhkH449q0YN71k33rjftW14UUDXjTW976nMt/HECfi4rWWgHs2RBfKxWO - UgxAcSjwohe/ZHNr9qM//J/G43FIsZ93W7Np27Z91+/fv7+bzU9sB3tutPTwkztc+xBgtrmFoOee - fdaPP+fyb3jCE374R37kgx/76HARx6y6AyoArNaYUunz0ba8PMs3euVmePHkKqZUXxyCBIiZ+yyX - JoVSaghaq5kjKv712mv/5I1vesMb39SEmGu57bnnXXrppRdccMH555//Fbe7/R3veMe73OUuk8lk - PB7HGAGYO7CKvhZVVVUAfddNp9P5fH711Vdfc801//TPV3/+85+/6qqrPvWpT1177bUaQq21+CKx - 2wAVVKCYqWh1AxbZ8G6oqMPzEzhah4pidc7c3OrZ6/te+cpXPvEbnuC1RtFuNh81qZQSQuq6bqfv - czMzACGEUorGoDHM+67LeW3fvlf/8Wte/drXVcCA2heoYOejxG9tjvwgvf997xdj9G2p8L7nPnOI - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiHYbJwYmIiIiIiIiIiIi2ruGlO4Qwubm5vr6+ng8 - Pt0luhVxdxFpmqbWqqoiMp/PR6PR6S4XEREREdEZSgCHyPAvsD3HoRpybg9saqkKkaTqFhxdZ2kV - KSF22KZ21CKrwQHosrSWg9wQAlJrWwe0aQAr1doQZYdzEejWwAEXaAJqQule+N4rX/GgB6a+CwJf - JJocjFcRV5edjb2xVWSPwAB1iCOIxBhzzuMQ7rb/rJ+49Ctf9rlPl6YJYBcgIiIiIiIiIiLac4aE - 5qNyWQz9ARLgQ4T38SwBmKAvWVLc2toajUavf8Prf+K5PzXtuxhDVyr80KFL2XNpvu6uqkMg8Xjc - zmbd1nz+kpe/7BOf/NivveJX962th6CjZtTNZqPx6IYbbmjbFjje+rmlZOiKQ1THKZl7MTMrF194 - 0Vvf9Obf+b3f/ZVXvGxzNk8x9LnCXFTccVhutx0cr96W3r0jBYW7IwSYmVWIhBhqLn2uIUguBkCA - 7HCHAhplXgqAf7v2y//nHW//y7dfgeXYugMBsra2NhqNmqZp23Y0Gg0x3jnnvu/7vu+6bjqdbk63 - hmF5hZRl8w0i5u7FFondy8OujhAE7nWIWFappSCEGGPJ+cRyu1fcRINZVeDxj3nsr//qr5133nle - a5ua0vViDqDWutX1a2tr5eR2cdxKKYuwc7N2PJrO56o6Xpu8/k//9Bd/6UW+vGSnqs2onc9mO1qY - WyeJ0XMptbQxtBrvdre7WanhFvJRQ0RERERERERERERERERERERERERERERERERERES0OxjdTURE - RERERERERLRHlVJSSl3XtW27vr4OwN1rrcN8l7TTRGSYhzeEACDnPBqNVvONEhERERHRzXFoEIce - XC5TiIdIAxnWVrv8XhddEFLSKrXmjKYJB+b1nDaWznyZAKGLX90NNuzJh6QNcWgV64L+1if+DqK6 - fz9gBo+hAWDVNXKOfDp5IYTqBlEXiAKiaNMG0Kg2lk2WAfLb0rt3lG2LCl88dyggItPZbF3DNPf9 - dbU97xyoCFZJJURERERERERERLTnHDFytxzNXg7X+/CPwI9jWQXNZLw1m8YYP/rJj//yL734Xe+7 - EoAIcqmjUTOf9yLi7nBIUN9jud0YkobNUko55+msAyBB+up/8db/728/9JEX/NzzvuVJT572fUxp - czpbX9+Xcx5+7Xjqx4FFwvn2PZ7WOhjSxIcyLJ87gJxLCGFrc7NpWw1aS79/39oPPPtZj33cY37i - p37yve/7QAAAmNm4HfUl11oB+CENahlgfXwHeJLV4I5aAWhK1udqBkGIsZaiMYhIzUVEQ0At1hVP - MdWS6/CLgEAKHIBCKuT6rU1sbS5LryICwN1tWwC5QARSYICrqLsBKO4AHJJS6nLvkNSkUoq7VXMA - sUlmZrUCQK2lVgChaWp3jGhtOXrVmVsAxG2Sml992cu/9cnfEhRNDF5q6frc9+PxWCHrk7W+5Hnf - RQ0nV6/HqYnJ3fucSymxVgDjtbX3/83fXP7cH5+bxxi6Utu27fpuPpuJqtedjHK/FRJxMxGBO8zv - c9+L27atXR+Cnt4PFiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiPYWTohIRERERERERERHt - UTFGd2+axhczomYRYW70rqm1quqQ3m1mQ82z/omIiIiIdsS2YOshyWEV9isOVD9Xg24eCDVLUHP0 - fd0XkLtiqxRtANil6f5Xe1zedqMm0qvOYkQMGLWWCwCF9mXuDg3M7aabS0VrRa6AAzFgsr5htR6j - ZbnsYF8wOTTKZXshNaoI3CeiY0hbhmIcI3eEiIiIiIiIiIiI9oztIa8u8OVIoAuqwgRVjmvpgmu+ - 9G9vfOubv/6bvuEJT3zSe953pQgAFIcEmc97B9x9lYiMvRfdjSHOOWcAIUgIUqo7YCr/+IUv/OB/ - +o9f9TVf/bu//3v/+sUvjibjaTe346uZ1fKWYjQa9X0/Ho/bpgmQIDo/sJVEL773hf/zT97wu7/5 - W3f9ijs0kAj03TxCDj8yOeTSz04Zmk8IcFifNQRRBVBLgYjVWkuBwN1LMQdC1L7kChhgIg4pcAOG - JxnmQAVE1EUrrPdavGYYIDZcsAJs8U6pQHEbtrbYJrzLPQAX9H1vbhpCiBFAyXkVVC+qmhJEat/f - 9NEtDTWaVAR44KUPePsVVzzl27+jSSlApJoAtZT9Z59da53NZl3X1Vrbtt2BSj9E3/fD/Y3NqM21 - agz/ePXV3/t9z7x2Y1MVXakOFKuLA9qT/f0WzyylBKCYPehBDyp93zTN6S4TERERERERERERERER - EREREREREREREREREREREdHewogRIiIiIiIiIiIior1Lts1rOsyxSLsmhIBlVreq3tTbiYiIiIjo - 5Khvz6pwCGACAYpbIwozzLs25zHgQDVThQBWoYvYAoMcEiuyC8yQkohpV8u4HW+Vfo46iwFNQgga - RoApMIqN4PBwBaIT5e4QMYMIEIBSEGKZrKM/ADf3xeiBwA0eY8z1RtM+Th1xQA42cCuLTJPOPEFT - rdjaasfj3SkMERERERERERERnZxhgF01uLvBzczcNcWu5Ngk4Jj3zOScR6PRfD7/7Gc/+9nPfvZj - H/vY+z/wgXe978rVmGEFbPlDrQdjexcJvrZHR899OepZq68iqIdE867i05/7h+e94Oef/4Kf/8pL - HvDwhz/8IQ95yB3vfKe73/3u+/fvr7V2XaeqbdvWWo/csrjVXJoYa61D4HEpJWiotcqR4de+2O9p - UXMOInCUUgAoICE4YLVG86d+27d9/WMe/Xt/8Aev+s+/ff3mlrgpANE61NxQaQpUAIhhcbwAVNXd - T3GEc1lUtS2S1oQGAAAgAElEQVTqXAHAbfX66oSWYkPx3IFhIXA/+AYDHChuDgjgyzUVftgahy+2 - s3253Pty07DigC9WLluEV3Mz+KJpiaqIDIVXVbMCIGiwWgXDVSZPMdWSVTBuRy/4ued951OeOhmN - reSkwUS8mgiaGLvpVJc3GYpIKWWnm4+qllKCxJTSdGvLgGc++/s/d/U/O5CXZ6CWsjzyPdrlb+n6 - vg9ABO53v/uJSM45quDQz5BV1yQiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiuhVidDcRERER - ERERERERERERERER7SpZJhXYYasMJtCAAk8igKEaNKRqASjLN64cPbJih2f+V0dsdN5bRJmEdms+ - rW2LyehXPvpRxNhDE0y2Z5lwIny6eRw1dzW1YwCzeRmPWsxqpwEqUQARkaCi4mawvuQjEl52xLL/ - rvqxhhBE5EDpzh+Pr5/N2hjRtLAKDbtRICIiIiIiIiIiIroZ+r5X1dik0Xh83cYNb/hvf/LGN71p - Xnq42tGGHDc3N6+//vrNzc0DBw7M+16AJqUu56MEVgPY8ZH7nbHtwK06BCJwFTGvwP/9yIc+/NGP - vPI/v8qANqX19fWzzz57//79k8kkpXTU6O7g9uAHfOXPPPe5o9Foa2trSOwupYQQTnGa9akjDpdF - TQjQhjjt+jTS25597nMv/7HvfMpTXvmbr/qdP/z9CCluguGSTsUQ9e0OW4Z/q4YQSinurqpmdqO7 - 3bnjOcbSV4vjWt74dm7aclsxpZKzAxqCmw3VMm5H827ehAig1DKKTV/6JoSvf/zXvfiXfvlOd7hj - CnE+nTYSBBBHCAG1AjhqV91RTdNM5zMVbM1m7Xh8+Y//2Hve/9ergPPD7dE2fovlADymVPoMwID7 - XHRxjFGrb4+uJyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiRncTERERERERERERERERERER - 0W7bnt6t2/IvVIdXRWH5wIGkDbpet2d8L2f2t2EhEIcstwPfjajsvrcgGMWUawlAJ/qlXNCOoeqA - QcNpyEegM5aKtm3ss0OlGcXazUNKv/ORD7/iTncSg8GBGlwAgYj77rU9Xaa2DGqtUBkHXD+bKTDf - PIBS0WWMIkRvdEtERERERERERES0W2R7pOvBgbu2bWutXddVM1X92Cc+8bZ3vtOBY0Vxy7bxeAcc - yLXU5Y9nHlEFYNXcTAGFGLy6haBiNs+5u+6662643t3t2McvQJ7NoZpzTikNCdbVLWhEPfhrsus1 - OAzzDvv1bUPM4n5YeWouk9F4c2NjNBoFlTucf/4vPP/nvvM7n/LLL37JFW9/+9yquKUmQjXP5wih - SU3uewdsmUsNIIRwlOjuE4q+PvhLJxBRfGPbPv6939QQ/BGvH7OEDpScF2+qVWCjpq219t1cAatl - 6GKl9Pe6+11f+IKf/+Zv/uZuNre+n9ZZ1CCKvu8UMhqP8uxYPXVnHdjaXF9f35xutWuT3/jt3/6j - 1/3XELSv5thWEWfkJ8KesepKk3Z0r3vdy6sNVb/7HyNERERERERERERERERERERERERERERERERE - RERERHsWJ0UlIiIiIiIiIiIiIiIiIiIiot3lgB+RXiCAOwAF3ItbTpMJgB986ENtmQ4igDrU4XIw - PWK1nRPIZ7h5miaKw7yY1bZtittWO0ZK0GEmfF0+AEYS0KngVpokKaBUhHaEEIcOsAjPdq9eHdXV - d/92MAEMMCDG2FspFQ60qVkbtU+74EJAd69nEhERERERERER0cmqtYYQQgillPF4nFICEGPEcgDw - sEcdnghMFmuyucgZMiR+5FG4u5sNLxlgcBFtmravVhwuqEA2Lw4DXI5eaQDW9u0Tkb7vU0ruDiCl - VErZ3eM7eVE0z7v18UREai5RQxvTfS+86A9/5/eueNvbvvWJ3wyrpevzbN42LUrNfR80NE0jIgCa - pkkp5WVe9Wmwww30pnK9DxdCENUY41A/ue+81gA0IQgQga8479wXPf/5733nu5/0jd+0ce21SUQh - o6ZdG4+bmIYeurW5OfTE3Tcej3MtqW3/4i1vfv7PvxACGz4EjiiMnHjl0PGwUhUC4IILLhiPx7VW - d2duNxERERERERERERERERERERERERERERERERERERHRdozuJiIiIiIiIiIiIiIiIiIiIqLTYZne - bVhO2B8EDrPaiEZVlIJqkrMpisAAdYhj+4zzQ5L3tjBvFd/x+2EsW1BxdxFMu5mk5jpXpBayCh7Q - g0EcRDeXDwkuAGJAqQ44UlRIAlIMIQRZRHg7dJfyOYaYjWVnUwC55LW21SQGHMh9N5+fm1oUP0Oy - eoiIiIiIiIiIiM4428fbSylmllJC0FK9OhzoSjHAb+whEI0pHfzxTLLtOBVDIrHG2ITYGLQ4Zn2n - IUDEfPFGiIgqRI9VYznnUkozGvWl5JxVNaVktriccNgVkN0+3MV1lsPXbF8/L/MqtUqtlgGoqgoU - vr42vs8F9/4vr/qtD/71+575tKeOo6DvAwCgWs19P6QL931/lNzum5HqfKONc3lSjv+XjyjUUYp2 - 3DtwmMNuvGC1ZrdiVpbXymRoZ1brOMXnXn75le9697Oe8b1tCJ7z/rX1ICJea+5mW5uz2ZYq2vEo - pHjkmdqdthRS7LruY5/4xI8+53IDqiMXO8M+BvYy0cVVGgEuuf/9vVQRGWLgiYiIiIiIiIiIiIiI - iIiIiIiIiIiIiIiIiIiIiIhohdHdRERERERERERERERERERERLSLbjTMYD7dCioCs9xBAPNYaxUU - XeQNhOX9Lqt84iE4wQQGdSgWj52jKnFubgYHzl6fTHP3R1d9GiFBEIa8bgdch2O0G0+GIDoOGtSK - D+ktEgShQbVqpQJeqkICFIDtdlM7pKNVWCklVx+vRwkIQfZpg41NOHsAERERERERERHRXpdSyrXU - WkWklFJr1cXwuxxVjDGE4O5mdpQw5jPREIhbSimlDBHdorHW6u4AVDWEICJmZmZHrzWglKKqqppz - bprGzLquizGe7oM7XqPxeG19fTqbqWqMcbq5papercw75Hr2+r473vZ2v/ril37igx/5vu/6rnPW - J0N6d9Dgy4HilNJpLP/O8hO+HjScejMTRxMi4AJMmvbpl132gSvf9//+8A+fd86547apXa8QKxW1 - KKRNTdu2IpJzzjmfxqjmzc3N8dra6173umtv2DBAowJACIdlnsvy0h0zpU8tN4sxDu3u4osvdvcQ - wpGV7AKTg9dViYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIhubRjdTURERERERERERERERERE - RESnkTp0EWZgPpqsAehLpynADX0fRKsiKwwqiwdMFhPNb5/m3wU4LA1gh5ivx0YECmxsTmNqEJoh - NllgilU2uQKGIcyb6GS5FwAiMuQtOGBuSHHUtAEojiEGBtil5r8igDjUFYALxmns7sVww2apgpB0 - vrGBdsIeQEREREREREREtFcdHMEekpXdPcaoKYpqWQx1+1EN8d4iEkKIMcYYRWQVz3zLtRjdB7Ac - cB0uTLhBXFcZ20NmuZkNOdxDYnetdRitjTEevdaA0WgUQuj7fsg+H35F9bR9z1cccuhJczn4WDLA - XMzFDmxtbs2m+885u8851/6sc87KXa8Qr7UJYbqxMU6pFb3dOee85Bd/8aqPfeLlL33pwx76sGrV - 4VGDADnng8d788e05UYfwxEdelqP+Pnomzn+Vw/doPnycTwlLKWEEIKow0stZ5911g8869kf+MBf - v+IVr7jLXe4ybluFe7UmJqsZXpMGN+vm85Lz0O8MtXoZzs5ih37wsdPW19ev37ghpDRUQC4mQVHr - MqqbdlwpZXhyySWXiIj64sNcb/EfxkREREREREREREREREREREREREREREREREREREREpwy//ExE - REREREREREREREREREREu+jQTAOFDRncABAEalb7UWzggi7DfTTdjLbMDjlKnsJhbur1U8Aqal/6 - YkjjVIC5CoKiSYDqcDfOIrrBnOEEdLOJRECgcEHNVQBRhcZ0/u3rpBmau6tVwG03k7vhQ1A9zAUG - dHmuqikgNahBulybAOT5MX73uOJSiIiIiIiIiIiI6NQ61iCciKSUqlnO2ayEKAD02AOOIiIiAGqt - qxjvHSrzXiAQhw8puaKK4WBFhgDvRVauqqoOueZyVMB8Pq+1inub0nw+d/fJZLIK3937JpNJ0zQb - GxshxRjjgY0NVY0xjkajUoo4mpisVBRrYooanvH073rT//yz97zjHc9+xjPOmowVGMXkZourKMc5 - LCw3utxTbiLl+5DBcXEEoAHE7Xbnnvuzz/3J97/3PS/+pRfd9pxzxd2rWSndbB5CMLMQgqrmnFNK - o9FoaGnuPkTFn4YjBVww6/q1tfVcCwABVOBmEOFQ/+6IIQIYN60CF110kRw7r1vhyrNCRERERERE - RERERERERERERERERERERERERERERLdWp+cr2URERERERERERERERERERER067UtzFpgAYCrCyq8 - WmlDBABpIOVH7n6ne1ppa40GxTLBG1CHAcMU9L5aI4ZFHIBhJxmsacOsq9f32feffT0EwSCAqwBV - oALRwtBuOhUUgEOHfPsmOZAdghi+/91X/spFF52TPNRsMfa1JBEY1Hc4fWHR72yVjVLFHIDD3a2i - mpYULMUQHKGHFEc4bBvbuqgqIH5wy4uNEhERERERERER0Y44OHAt24YS53k+Go1coVGrVStFATv2 - WKMfOg45pAif+sKeVsvjMRyaLu2rixWHHrEdvIhxeP2s3t7ECLMYYu56hTRtu7lxYJXe7adpaFRu - 4tQdbDNWHEATWzjMkVILoFgFoDEAyLVARTXUWlPQWkqrcunFFz/gJS/5yec85x3v/Kv//t//5J3v - fc9QUw4M136G2hKBxGC1AtCweAIAurxAJEAIi18QiKqbLbYCG5YiQUSGc6Gqq5MiIjfSRI/1gurR - 2/Zqy0Mmu5kdLI/KYT1HVL0ui7GMgVcgAAo8+qEPu+yyyx796Eff9ra3zbmvs9k4JTOD1SAamqbW - KkGrAVDR4Ymv0svFYcVl2znavVbkIhoMi5PjgDtCCNUq4NsH/N1RATmOlHY6IbWUUZS+7y64x93X - xqNaikJVlpdwZNnqFvXOq5ZEREREREREREREREREREREREREREREREREREREdCvF6G4iIiIiIiIi - IiIiIiIiIiIi2j2HhPMOeb8QAAY4EFQAwL24Rw37xc8RqctIg+15A3rEBP/q2OnQ7kFMstnVs9aa - 6/r6xdns1z/3D4t4ZRcs4hEKgGVQBGOI6WYamtfAF+0qKEbjL4u2NU8EG10/bpIWQ7WdbnDiQ7rD - weyRoWOGIEE0aujh8+rNJHnpIIAbtvWCG0vmcPYWIiIiIiIiIiKinTWM5h0Z1TyZTDSG+TTDBIC7 - CxADvO7KsPutgwAKaWJyd1UVkVKKu/d9r3oGpuqKIwKllNL3GuMdzr/dU/7Dtz/5m564NZu96S1v - /l//+y//6l3vmfd9UgGQzeGwUgHEGIcs82bUunvue2AZ4F0rgNi2XmstRSUuR5UVGEK9fVW928PU - cVPp3Ud12BYOWz8EeA+7M/gi010VcLiLyJAs7tUESDHVkgEkDcXKXe9w52/8hsd//zOecbvzzjvn - nHMAlFKSqMRg1Y41Un66wt2PpdaKEGNoFCoiBi9D4PpQzm2VLduWdKoo4OYKXHK/+4t7EAXczPXo - NW1M7yYiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiWydGdxMRERERERERERERERERERHRrqqA - AGEI61aBoAIORIhAYA6VIAFetXrXzeMem8s/Z2+APO21SdY2aBQlYzSGAw5ZlXaPFZtuqXzoGAcJ - ZEi8GI/HvnGdOc7dN7nhwHSkYdca3SrhwQEZYuurA7XAgjb7YvOlja3xWoN5xj4IdBXRMZQwyKEZ - 3jJ8GuxW6YmIiIiIiIiIiOhQW1tbzagF0DRNX0uMEYBVKMftTp0AlFL6vo8xDnnPqrq2tlZrPdFI - 6VsKVW1Uzay65XnnKqOmHY/H3/2dT3vqZU/5ty998T3vec+f/tmfX3nlldZ3UTWkZtZ1tZQgam79 - vBu2k1LKJcOhMcC8dB38YML3QEREMNTk0UK7DTiZCzeiOgQhDyndw8oQgpmt9jI8iTGWWlDqMPyt - 6qKCujyzJUfgNuee94THP/47vuM7Lr300rXxJNbqVvshmxyLwstwJLcEKcbZfO65BwwOASKQmjTP - GcuPjlvGkdxiDe36wQ9+sLsFVSs1hejVAMAVAMSwDH2XM/NjhoiIiIiIiIiIiIiIiIiIiIiIiIiI - iIiIiIiIiIjoJjC6m4iIiIiIiIiIiIiIiIiIiIh2lQFheLaYI159SAI2E1VYhqF4TcCobSZmfelO - X2GPogkIqrX4rMt2ztmAYZTcTESBIcpYgUVgA0NN6GbxxVIPT7dQiH752uvusm+fHDiwcWCaRNqU - +m5nO4s6VoEnhoOZG+JDhI81kmbWly6fu7a+UfMPX3Lpb3z+CzgyDcIhR03vBnM8iIiIiIiIiIiI - ToPJZOLuJpa7vlq99JJLvuupl5k7IBznPlXE7b73vnfTNLVWERnynt291qp6ZlZy6bOIhBBCTCJa - zUopfc4ppTalO93+Ky77tv/wlO946oEDB973/r/+q3e+853vfPff/8Pn5v0cbqPU5JwdLpCSswAC - 8VIBBFGD1VJCCL407HGoyaFuT0kAtpvVQ4e4RaTWunouDhfAvZYiQIwq5tV8SExWIEAuuvDCBz7w - gd/ypCc/9KEPXRuN5/O5u+fZNLbNsKmUEoCcs7sPB3XzS77TBA6rTUqPfMQj3D1bNTODm7uqmkB9 - eBvtlJRC3/dRwyMf/ggrNSU1c9dbQOMhIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiItpNjO4m - IiIiIiIiIiIiIiIiIiIiol2lsEXUh2B75oeqAgZViCR39DNU63O/1+b1zxUw793Hk33XWca4QTVJ - ejCIeJkQcbpKSGce8WXAhStEgQrB2bc9r//yF5Mv3uC1DBEhu+Cw3agjKooBnieSMmRj60DbNm2p - i6zvw6IiZJHeje0vympBREREREREREREu0ocuRQB4D4Zjb/lm5/075/05JRSrXa6i3bmEEfpuxjj - bDYbj8cAzCznnFK6RUQ1n4SmabzUmosVqKqqNiHGELquiymlGLuSy7xba5snfN1jH/O1XxNC+tK1 - X/7Upz71jne84/+87W1XXXVVcQc8hWBmdVVNbgrEoH09GKs9vDgsj4z0HlauIrePkyrcFyPYiwFt - B9wFSCm4eykmgKoEaKkmgBYDEIHb3ea8Bz3oQV//dY97yEMecuc73nFtbc2rmVkSSIp930/Gk24+ - G0K7+75396ZphkLeUtqD1Tpqmic+4fHf9ITHhyaJiASd930IAcuLZLLtUHbpAsatRq41hACrbWrm - 8/mw0kodAuyXhuf8JCciIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiKiWy9GdxMRERERERERERER - ERERERHRrpJVerdsXwMHrNYgCjN0PURHbapbHk5raY80bkLX1xHSTHRDDEkQt5VxCCFYRpPfMtIV - 6JbCV21KYD6vtjXv1oDzztr/xY0bpJSw803uRhLpZ0ADjJt0oJvu06ZA96VmVd6j8EPW23L7DO8g - IiIiIiIiIiLaZTlnAVJKxepsayuk1DTNfD4XUQDqMOHy5i4BnLW+bzqdNk3j7rXWtm2HkN1bSlTz - ifJSAcQYAbi7V6viIrK2tpZzrqUkDSkpRJCrmAF27r79j3jwQx/x4If+zE/99IEDBz75yU9++KMf - +chHPvLxj3/803//GQOaEEstBtRqui2RWLYNLdsyonv7aLPVeqKDz24HN7J9CaDmKkAj4u5WvcJH - Gu57n4suvvCihz3sYQ98wAPuete7jsdjcYiIiHiubhZE+q6rtQaIm6mqmW2voq7raq1DnvfeFzXM - p7MYY0ix73sDUkpJ1KthGdp9WJ3vhZ54xiwbDbXUtm2mm5tN04gjiLZt2/f9aWgNRERERERERERE - RERERERERERERERERERERERERER7FaO7iYiIiIiIiIiIiIiIiIiIiGj3yCr6d/jHFbAhb7i4aQhm - pg6YPfWe97x4c/PcJnoup7HAR5r3daShmGzl8tqrPgOvCGHed6Omha+SkxdHyRBiOtUEUIgh4Py7 - 3LG54VrM5jds3DAJsdYSQihWd60otq19Z8Nt2qZ2/WY3bYAUdbObI8VFkQ/j255si1MBcKPh4ERE - RERERERERLQjoqirAAghJHcRqTkroAIAAohweXOXAK6//vq2bUejUdd1ZpZzBlBKGZKbzzzuLiKr - 5wBEoCrz6UxVQwjVzEoNKYYQ4G61RBFt4lA5Z6+vfdUjH/6oRzyslBKbZmNj49Of/vRHP/6xq666 - 6nOf+9y//Os1n/nsP/QlV3MAAheBOxxoYiilDsPPqmJ28tHoQxdwx7CJAKiqm0UN559//r3vfe97 - 3uMe97jHPe5///tffOFF65MR4GamkKZpAJS+r2Zt2877rm3bNjXz+XzctkHD9Tdcv7ZvfWgJTdOo - aq1VRCaTydAw9r6UoruFEMxNVUTEraYYaq1YhZ1vq3qXPdETz5hl26TNzS533qZmPBr38y7nbGYh - hKG2t+GVFyIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiK69Tozv89PRERERERERERERERERERE - RHuWAIAOc/WLLNK7RaCiDqg43FHqbZt2HOf9dB50+e69YRRkXmtAi9EEDozGAEZNe9jbDokkJjo5 - sky5loNrHBBRNPGn/vzPf/Ve9xQVMYj8/+zd3Y4kaZ7n9d//MXP3iMyqmp6ent5dNLMsCI54WQ0I - ENwDEnfATSAkhMQBZwhpD5HQHnARIOAKGAEne8KLhJbmZdCoZ2d7urqrMiPczZ6HA3OPjHypqqzq - zIjoys9HLkt3c3P3x6LsiQMPK/tWT9bRP/qILpPx9epDRnJ7Op6SfWVf08vjzU8Ph3/Sey57cDey - tk38uydGqvKUpjgAAAAAfIqqj5vjzTzP825elmVd1+vr67uE8PbFoOXvskzy7NmzMcZXX301z/Pz - 589fvHhRVVdXV8uy5MdotOpjtD56ZarqlSTruh4Oh22Xd9O8pkYffawZY6pae+/HU6p21apaln5a - l2masqxfPHv+Z3//7//rf/ZnrbUxxu3p1ObdL//qr37xi1/84he/+Iu/+Iu//Mu//OUvf/nll1/+ - 9V//9W9+85tf//rXy+jp4wdXi0fy2bPnP/+jn/385z//O3/n7/zpn/7pn/7Jn/z0pz/95/7Zv/cn - f/InP//5z3vv6/E0TVNrbVmPLWlt6qkxRl+WJFO1w2G/LMvhcBhrf7m8nFKnm9u1tc8///zmeHsX - 7V7XtbWW5Hg83vXOn7ibm5vtv8XpeNwd9q21ly9f7l6Pdt/9ga/Xq4nwFObjj2D58usX14er3nvv - /avf/Ha32z179mxd1/HDU/UAAAAAAAAAAAAAAAAAAPAjJN0NAAAAAAAAAMDjeXX1+L6Odapd75lG - T+/txYvr3fwE8wSndTy7fvbbl/mqrzkclrSxLLt5d2+TexkIBW9+R9W3tkUlqZakJ1Nrqcp+dxxj - zahq67q2ysfuMdRISypZL2u2gfVKS04jVTklGetUuT3eTp89T41l9KrW05PWkzkZI7v782LkCc50 - AAAAAPh0bE3l3W6XpC9rS7VpXo4n329/WL33XH7Ot7e30zQl+bF2u5OMSqrGSCp9ZJyTzrX0JS09 - vfeeSiqXJ8dUlZwfjtGT7KaWjIyR7a8vvZ9/jFPro//tP/7Z3/7jn/3b/+a/cf9zq6qqTqfTl19+ - +etf//q3v/3tixcvjsfj6XRaluXm5ubm5mZZlt77tuUXX3yRpLW23+8/++yzn/zkJ1988cWzZ89+ - +tOf3r3n/f73uZ18PE7J1CqjZ+27tGSk95bc/8p7++87xkirSo2RatNIlmWZpqlfdqeqtm/4f1+6 - 3UlqaiNZR59287Yj27F93/a7pWlJfwTTNPVlTdJSbbfLu36ZjN+bowkAAAAAAAAAAAAAAAAAAD4W - 6W4AAAAAAAAAAB7BXXuhkqQnY1fTsi67NufFi6zr88rt1y+uWrX+tK7oP7f86uWLMX9+2u1yWvv1 - tJ935305ZyceeYT8KG2R7LH17iuZWqb0ujxMMtLrowcwto9q9+rduVQ3ep0jEFu2ZBqpkfQ+V5as - yxhTtUp60ipja5eMV3n7du/9AQAAAAD4cThHub/ry99RqZE20uvSxh7nlXcb3O9n95HpG95qXZZp - nq93+8NP/+hnP/nDu/XzPCfpvY8x3uhk361J0i7eCCHf//r9bm/uBjm2r+t5l/5+xwDfSzngAAAA - AAAAAAAAAAAAAADgPUh3AwAAAAAAAADwoM6h3p4kqS0APFpSY+xqzkjSsh6f7aa5j7mqP7HYwRj5 - yeef/T9fnU6HXa6fJVOS5XSq/ZRkai3JSHoyjaR6XmtJwPfz+tF/PpbWZJqS1tZq28oa6Q/Uve65 - TN8kda9WsoVJeqVtlfFt/eiVPmVUTT05jlNl2lWrvF5VGSldEwAAAAD4yO6nXreMrvgrH8/I+xxk - 5++bayRp/fWvubeXvvNYbZU3v0F/9bKkr2P0jNGS1tqW6D7e3tRFO0e7xxijqlKp1qpqa3j35bSO - MU3TG+/6xsCStJF+WeatOvWnEKt+ex/v/1fjI6qebH9iPfvGn/n5Cb/uAQAAAAAAAAAAAAAAAAD4 - FEl3AwAAAAAAAADwSMbdIkk/vjzur55nJPOcMXI8zlPV+ojje7d15MvffrU+/8k/+Ef/aDv95nhz - 2h8Oa/zjvlcAACAASURBVPrdNe/7/ReMByoq8+NW9w6kkaRaWvpdsKT6gyV2ts/pdT607yIcNbK2 - jDp3SrLV60eyjjalr8fRpn3tRrJmTGYFAAAAAAAX37cl/y1b7uddkt7Pf7WpkdF7kqv9YYyRZFte - 3qh670lSGec/XI2WStXbH3F/TRtv3gEAAAAAAAAAAAAAAAAAAOCpke4GAAAAAAAAAOCR1GuJ6/31 - 9fLyOO/3GcnIbj2NU1+SemKF37ml5na7mzJV0naZaz8vL2/ybN+3gPFjj5Afk/PhNC7Lu3p3paoy - WtJaekbGeIhjbyTbcX6/RdIuI2wj6zbskRqZe3Ja8vWLXB/meZqrjll6apfp448UAAAAAHjTFh4e - 975JHL7R5tH0Nx6/z9H4Pm3v0+1xi3O31qoqlz/crOua17vdVZVkmqYkW8D77tmqqrdGeP9b+P6O - 0bbvHN79fXz/TvlT9j578X277LyPy5G6HaXt1fr7R6Zf8QAAAAAAAAAAAAAAAAAAfPKkuwEAAAAA - AAAAeFCV5PWr80/plfTTab46JMnIf/Rn/9ofvjx98cWz9asX44ldyn9Nbte+Xu0zKqe15l165v1+ - Sd+qxu3u+vj1VtQBfoB7U6CSyla335Ii50h20t7OnHz4gVT6yKjXkiTtEivZqht1GeeUTD1Ze3b7 - LEvWNVf7XbZR34tI5PyCJzbRAQAAAAD4nWzfZtf4HvngD9J43u/3W4F7+xq99749HGNUVWvn76fv - Vp5Op3rd9trRv+Nb93G3j2/+4QsAAAAAAAAAAAAAAAAAAIAnQbobAAAAAAAAAICHteULKmudewaV - JL3tz93u3B6n0/L5vPub37z4fF85Pa3ewRgZld+ebrOfso705LjmMG3h5K3ePS4BY/gw7k2ClvR6 - FYlvIy2t0pcHqYNs3e6RjEqNtJFKu6tx17gsRyqZRv7Tf/ff+0/+2/86z5+lqn/1sn32bE6tpggA - AAAAPA3f0lTe8sm/Y0SZ+96/YP2jUe8+hN5MYm8/mX5+Rdpbb/IuY9Q73irJaV23LPdW6e69b/db - VTKqthePZGymqW257m1N7+ek913k+5uMSsZ5eR7qu+bL/f/uP6YJ9e378s6j/ce0+49u+wlffs7b - RGhvPg0AAAAAAAAAAAAAAAAAAJ+87/j/xgEAAAAAAAAA4EO6XJR/XLrdLUnadjuexhjJfm7LqS3L - Hz7bnY5P6yr+W2Ti6rPPvq5kmnJ1yEgOU/paadOlYXxPVyjmhxuvt7jrvJhGptGSdpqm26leBRke - Y7qMe8sar25JKv2X/9cvst/leEyb2vWz9FFJjXvJiHtViXckVgAAAAAA+P306rvi9/ru+hzKbr/z - F93tYgtyV1VrbZqmLdS9ruu6rnc972machfxHuP+9t/+KffDySrJ30m0GwAAAAAAAAAAAAAAAAAA - eBTzYw8AAAAAAAAAAIBPSSWj3d2dRlIZqWSqZKqqltTSWk01clq/I4zw8Eam5MWLm/53f579vPab - drhKWk3TtFWWWx/p6wdIS8A9tc2UVM6B68qUU774e//8i3/8j9tXv7k+x7PbA/Sv20ivV5mNnn73 - kdvHt2RUlpFkuc4p6zHPP888ZVSqkj5dKiajtnL3/TcAAAAAAD6WtwPD39nT1STmBxvfeHi9+VXw - 3YatvuOIe+vpd3yrvAW47+5UJcm6jm3jqje2PG/81ju8Y/07x/ytw/sxe/+dvftZfVI/n4+v5dt+ - h/tDJQAAAAAAAAAAAAAAAAAAJC51CgAAAAAAAADAI6gk/Xzmykjfyr3jLuI7Rl/6muXUd+8RDnlI - LWnJ4erZf/U//HnWpR12a/rNehrJeTdGqzdfAb+b6qms2/GVnkpGsozsrv/D/+6//6c3N9nPqfQk - rR7mkGvjfEsy6tVte2qzrZnHktFTGdUumZO7GZ+8akd0kwUAAAAA4BP3pP4kBAAAAAAAAAAAAAAA - AAAAwO87VzsFAAAAAAAAAOARnOO9920l4J68fHF9uJratu5p6ckxuV1OOeyz31dqpFfVGEldwsTw - oVwOqnG59cv6MXqSVB2eXbfdfBqpaT719fHGCgAAAAAAAAAAAAAAAAAAAAAAAAAA8FRIdwMAAAAA - AAAA8CSMkUxJX7O/Wo+ntaeSw35+7HG9aT/NY5pzPKYqSWWa21yvot33i+ROzuHD6JflyLnnXfsp - Y81yPPblq5c3NWcdfbx+/AEAAAAAAAAAAAAAAAAAAAAAAAAAAHyaXB0YAAAAAAAAAICHVf2NvnBL - WlIt2crDx+M0+r4yt7w4Lo81zHcalZdj+fp0yuEqvZal99FHxrqO+5tVUt/0FvA9jXeuraQqh33b - 707JaLX03ubpgccGAAAAAAAAAAAAAAAAAAAAAAAAAADwBEl3AwAAAAAAAADwJIze+4uXGUmba6RV - 1p7r6Wmd39IrY94tc8uyptU8z7uaWmqa6tzrluzmo3k1GSprT+aWr367v76a921ZxrzfjfHuzDcA - AAAAAAAAAAAAAAAAAAAAAAAAAMAn5Wld2hgAAAAAAAAAgE/GmyeuVGvt6pCvv/73/5V/tfXRe+Zq - x7U/yuC+yUg7JsdU9vvjze3peKxk7eu6fkMyeTg/hw/pLg0/WlLJF59/+ZvfrGtfk6pan9h8AQAA - AAAAAAAAAAAAAAAAAAAAAAAAeBQuDQwAAAAAAAAAwKPbTmLpWY45HKbTcpjaXHk5+q5Njzy0162V - mxrT86ssy/76ere7SrJr0zTVd74WfphKtk73dif3M/HH4/X19e6wq8p6Os3N+WAAAAAAAAAAAAAA - AAAAAAAAAAAAAADS3QAAAAAAAAAAPKKRXJrEqaRNWftVa31Z15Gr1NLHd7zDwxqVZa5+2OdwtQ2t - L6OPfn760u9uzsvhg6rLQXU+xEamJGNkvz+dTmPt1TNVq/HkDrwx7k1hgXsAAAAAAAAAAOD3RNXT - PeFpG9sYY57n+2sAAAAAAAAAAAAA4CFt1xvsvSe5vb29vxLgiXhqV2oFAAAAAAAAAODHrb9z7chI - empkjH3vld7PXe+npVdu1v6f/fmfp6+tkpE2TVO1kSFLzEcxzre61+1+WyVPsNsNAAAAAAAAAADw - +2Vd1yRVtV1AsLWneFrWGOPq6mq7s0W77y50CAAAAAAAAAAAAAAPZqt0b6fgHg6Hm5ubJNsJrgBP - xPzYAwAAAAAAAAAA4BNWyasS8cjo6X3qaSNJerUxxnhKJ92NtFwfsp8z747L2Ldabm6m613VNJKq - XNrkl4t1PqXB8/vtm1P2LamRKRkjT2q+AAAAAAAAAAAA/H6ZpinJuq5jjLsw9hO0LMs8z9tyXdfD - 4fDYIwIAAAAAAAAAAADgk9Nau729PRwO67pO03R1dXU8Hvf7/WOPC+CV9t2bAAAAAAAAAADAB/TN - BeJUT8/ce93VvCtP6hSXUVmnKbe3qdrtKpX5cHV3ac67PXuil+rk91F96/FU51b3Nkm2hjcAAAAA - AAAAAAA/wBhjXdfe+zRNvfft5MAxntxZWafTaZ7nJFW1XeVwXdfHHhQAAAAAAAAAAAAAn6LD4bCd - cHs6nR57LADvMD/2AAAAAAAAAAAA+ISN+1nintEz+jRGaoyt2/3ErnjZK7frkmfPs5565mlJpoze - l9HbNN9vjJ8LyiLefBDbgXRvOvRkymsP23hq0wUAAAAAAAAAAOD3SVVN0/nMrNZakt57a+2p1bt3 - u91WFr8/2m2ojzswAAAAAAAAAAAAAD4pY4x1Xed5nqZpO7V1v98/tZNvgU+cdDcAAAAAAAAAAA+s - J29eHXJbVUnGOVLck0pGWkt/8BF+o5HUbp+1Z3dIkik5neqwm1t/QqPkR+wSgx/b/UqvpzRDAAAA - AAAAAAAAfm9t9etlWeZ5HmNUnU/YurvzRLx8+fL6+npd12mabm9vW2u73W5dV+luAAAAAAAAAAAA - AB5SVc3zuYr79ddfz/N8OByc1Ao8KdLdAAAAAAAAAAA8vHfUu89Gb+NytzKSPr5p08fxq99+mf2c - 03GdrqaRtGn0vtZazg7kIxj37r+6+uuW635aF4MFAAAAAAAAAAD4/bZdJfCu2z0uHntcb7q+vj4e - j/v9fowxz/M0Tb33u4seAgAAAAAAAAAAAMDDOJ1Ou90uybquz58/T9J7n6ZpXdfHHhrAmVPtAQAA - AAAAAAB4WNVyF+6uJOlpI72nTUn6uuvHNjKSUcnojzfQd+hVf/Szv5WbY66nfZLeM7dqfcp0ySgL - ePPB3R1U/bVV4/5T6ZUar20DAAAAAAAAAADA97Kua2utqpZl2arYW8b7scf1mnVd9/v97e3t4XCY - pmkbau99S48DAAAAAAAAAAAAwMPY7Xbb+bfbabfbmbe63cCTIt0NAAAAAAAAAMBDaiPpSTtnu5Nk - JGvmSp9Sefnl52MZI71NLUl6aksUPw2jff2iZ77OumRK9nWz3u5yGH2dzxHldt6xbdjj3n7C91d3 - ke7NSCojmZL0yqjRq3pNSdpY1+F4AwAAAAAAAAAA+MGmadruzPP58lxPrdudyyAPh8P2cBuqbjcA - AAAAAAAAAAAAD+/u/NuqeoJn3gI41R4AAAAAAAAAgIezdbuz9awvZettzSk9Y2Ru0+mYtUZqWdan - 1iEe1Wo+JHPSc3p5XI9t2vWMue2SS2BZrpsPaLz7fo1ktKTdPwfs6TTuAQAAAAAAAAAAAAAAAAAA - AAAAAAAAHpF0NwAAAAAAAAAAj2qkJZUcMufm5j/4l/7lXbVpZFft2f7Q17SnlCMeyW9ON9lN6SP7 - wzTtliyVOo+xkkraOUou4A0AAAAAAAAAAAAAAAAAAAAAAAAAAAAPT7obAAAAAAAAAICHU0nbzlk5 - 162TcV5ZSfZXzw5Xx5tj72uNjPU0P+po37a2tM+eZ27Z79JHUpWpb8+1c7p7JP1xRwkAAAAAAAAA - AAAAAAAAAAAAAAAAAACfMOluAAAAAAAAAAAeVG2V7lz+qXPzup9OWZZ+e/rs6up62s+p27Uf9tMj - DvVtPe0f/s//Y0YyRqb5tJ52maa0ZWQko7ImPXf17p5S8QYAAAAAAAAAAAAAAAAAAAAAAAAAAIAH - Jd0NAAAAAAAAAMDja8lu3qXN+3m6ublZ1yV9zMm6rjUee3D39JYc9jnsspuXte+nfaUlaSO5RLuf - 0ngBAAAAAAAAAAAAAAAAAAAAAAAAAADgkyPdDQAAAAAAAADAk7AuS0ZOL2+fzfvDNLVkeuwhvW2t - JCNzOy3rPO8r03Jc3j4Fp7bzcoaUNwAAAAAAAAAAAAAAAAAAAAAAAAAAADw06W4AAAAAAAAAAB5D - 3VsmSaa5JdnP82k5jrVvyevTmlHvfP3j6GmZ5yVt3h16RkYOu7mvY3r9NJz22p4BAAAAAAAAAAAA - AAAAAAAAAAAAAAAAD2d+7AEAAAAAAAAAAPBpGZc7dalb9yTJdHlmrrmPMTKSTFNGf+ABfpfWelLJ - tOW5R6b2qtP9qkg+Lrsq4s3HVFVVNZIxRlXdm2FPQtW9CTBMBwAAAAAAAAAAAAAAAAAAAAAAAAAA - 4CFIdwMAAAAAAAAA8Di2jO/4hp7vSFJPO/U73lFJbnfdbgAAAAAAAAAAAAAAAAAAAAAAAAAAAOAx - tMceAAAAAAAAAAAAn66R9KS/mbru2z9rZVT6k2x3T3m/bveTHDwAAAAAAAAAAAAAAAAAAAAAAAAA - AAD8iEl3AwAAAAAAAADwoL41Zt1f3aun2r0eb3W769wg354933JJeT/NvQAAAAAAAAAAAAAAAAAA - AAAAAAAAAIAfqfmxBwAAAAAAAAAAwCenki11fSlct8q5eF0j7RFH9l1akjdGWFlfD3nf2TZU7gYA - AAAAAAAAAAAAAAAAAAAAAAAAAICH9JQvdAwAAAAAAAAAwI/ReFW6rsv5K1sSO0l7ZwT7SRmpu12o - pDKSvqXILxtser6x6g0AAAAAAAAAAAAAAAAAAAAAAAAAAAB8JPNjDwAAAAAAAAAAgE/JWyHruty2 - pyqpS8N7feCxva97+1B9XPrcLenJdH6i5bJ+etdbAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB9Je+wB - AAAAAAAAAADwKanLnfHqxJV276n7ae+7hvdTVK/25d7de48r7Y318MPcTYF7h9q63R+tjZakn7fr - jzG+bzO2AVdbz4+TtJG2jbVvOzSS0crZbAAAAAAAAAAAAAAAAAAAAAAAAAAAwAcyP/YAAAAAAAAA - AAD4xNQlRTxaKuMuRjxVWtaMnlEZSao/1fT13S6kVbJL+v3q8GXQUzKpd/M7qp7zUdQuR9mSZM08 - ZWSdpmVelrXN6Wt2U5b1Ecf6tlGVZMlUS1JrpikZba2xNcanc3P8PHvKbAEAAAAAAAAAAAAAAAAA - AAAAAAAAAD6E9t2bAAAAAAAAAADAhzPy7pz1tn5UkvRKr15JjQcd2/dQr/aiLonuultfb2wCH9Y4 - 5pipZcm0O9Q8VWWe82J57HG9rpJ+vE2r9L4mU87N+0qNZCQtPVu9GwAAAAAAAAAAAAAAAAAAAAAA - AAAA4MOR7gYAAAAAAAAAAHjyxrl7naSndtnndMxhfjn62rMsWZY8mx91hG9pI9cjWZLeDkmmZO2j - 9z7622H7UVkfZZQAAAAAAAAAAAAAAAAAAAAAAAAAAMCPjnQ3AAAAAAAAAADAUzReC3ZfVt2d9LU/ - ZG7jel9Vc0trOS4PP8Zv03q+qH1e3ObmOPXk9pTWqrWWbLdKMpJKKv3tnQUAAAAAAAAAAAAAAAAA - AAAAAAAAAPhBpLsBAAAAAAAAAACeqDVtPXeu73etW89Iq0zjP/+f/ryPmpJlzTw92jjfaRq9/+ar - //jf+nfSpkqy22U9Jr1Vq+S1wVZG0h9pnAAAAAAAAAAAAAAAAAAAAAAAAAAAwI+MdDcAAAAAAAAA - AMAT1e+C1uN82/6dt9h1G7ma+lTzNKVyuz7uYN80jXw+zfX1y/SedeTmReY5laS3pHI/Rp7+2iMA - AAAAAAAAAAAAAAAAAAAAAAAAAIAfTrobAAAAAAAAAADgKXqzZn3vQUuOx1P2U1qWnnUZy8j11f6h - h/itauQqNZ+WjJ42cn2VcUr62u81xodkNwAAAAAAAAAAAAAAAAAAAAAAAAAA8IFJdwMAAAAAAAAA - ADxtr8WtWyXp2V/tRkvmVrspyVx5cXN8nOF9g5beT7fP9rv0nqxpfakxkmrTuzZOPfwQAQAAAAAA - AAAAAAAAAAAAAAAAAACAHyPpbgAAAAAAAAAAnoSRkaSqqs4B36qUli/cuTcdpu1+a2lj1LT20Wqa - 2pM7H2yuaVlOmUZapVLTtCYj6W9sN1LOZgMAAAAAAAAAAAAAAAAAAAAAAAAAAD4QFzsFAAAAAAAA - AAB4ilreClpX7mev1ySVcUl613i4sb2nUemVVFJ5d7S7zkny9lqaHAAAAAAAAAAAAAAAAAAAAAAA - AAAA4IebH3sAAAAAAAAAAAAAvNt0Tl235FXa+i7mfde6fnrN7rOerJVUG+dud7W0e3vwqkteSUuv - 10vlAAAAAAAAAAAAAAAAAAAAAAAAAAAAP4DrnAIAAAAAAAAAADxFlbRkuntQb27Q0jPSc+57PzW9 - MiqjkkpPS9Iu+3E+ca1ey4+/tX8AAAAAAAAAAAAAAAAAAAAAAAAAAAA/xPzYAwAAAAAAAAAAAODd - zjXr6kkbbzyxta57koxK7nrYT04laUlPa0ltwxzJZdi17eT4ppcDAAAAAAAAAAAAAAAAAAAAAAAA - AAB8P9LdAAAAAAAAAAAAT9JdzboyzpHutC2FPS7P3mtgPzmjpc6h7kqm88pknJvk/bLhq6dylysH - AAAAAAAAAAAAAAAAAAAAAAAAAAD4gdpjDwAAAAAAAAAAAIBv0JNxLlyPVy3v/urfntF6r5Gkxjve - 4NHVaBmV0c63beyXoY7XHgEAAAAAAAAAAAAAAAAAAAAAAAAAAHwA0t0AAAAAAAAAADyouusPV79b - ObYWcUvSa/SW3tJHMureqz7OEp60Ss6zoFcynde2V+urT71NPbuxTtkS3i1pfVtWLstv/ZD3mxG9 - 0tPubpfTz77xJLSWTL2mnmRNLecpv/0GqIxKS+r+61/tLAAAAAAAAAAAAAAAAAAAAAAAAAAAwA8n - 3Q0AAAAAAAAAwAPaGt1buDd95Fzv7skp53R3S6Zxbg33VI3UaC3t4yxT49wLfq/b6N+4a/DBbV3r - attMmUavkUobaSMZGZnXjGWX6Wq0w7iEvdv8cvRRbdodRtppjNTU5v3tSE87jbTWlpGqmqapVVpl - qstH5ZzWrjrfshW7K2vSR3q1sdv1autITbvTyNR2GXW5JSNjZIysyRhjnzaOt8ltcnNaj9vH9J6l - siY12jRS6cn2auezAQAAAAAAAAAAAAAAAAAAAAAAAAAAH4BLnQIAAAAAAAAA8AjWLGvWcwd7ZEoq - LaPdP6Glp2XMo1pyTnx/jOUlN/x+t/pgPwF4T2ObC+nnozBJ0nuWviY9+/lmWW/S+5S0jGQ/tz/+ - /Ivel9vjy977bprWdb09HZ9f7fvo81S995bs9/uXy9p70tPv3nmkVVWrtWft6X0rcCc5l7zHGOvx - NLXW09vILtXXU2VsT1aS7V5LWkbVl+P2D376R1lvRpZ5mo59jJ6as24TcFzmYbaBAAAAAAAAAAAA - AAAAAAAAAAAAAAAAfADzYw8AAAAAAAAAAIBPSZ1va8ZIn9IrLWuSXLXKMlXf9cxJH6O17EaqZ6Qt - jz3us54W9W4e0Ejbyto9bapzQ74qU8uUdlrWXdXLufVnn/2qf5VjPptyc3vz9e3NVXJKnl3NX94s - X1zPNzfLlzfH53NOy+jJ58/2v35x+6zV6JeGfZLUVrLv45IIrzof8FVJ2kgbvZJ5WXeZ5jF6xq4q - aevo/bxl1sqo9GRMfdr95P/98svsr3rPSN+1Oh77XC1T2sP/NAEAAAAAAAAAAAAAAAAAAAAAAAAA - gE+DdDcAAAAAAAAAAA9oCwJXWpZ+t+YuHdxb0kampJKWtHtPw6eoRqZqa5L0VFI9aSOpkbEsu3mX - r47zdP3b3/zq+fVhHbdzq8p4/uzq1y9urvbTzbLMc/36dpmv9nPl65Hs69T7iz5OU5brZ+s6RlqS - MUaS9dLsbq2Nyt36bZn0ljFVLcfT1f6wLEtfcn3Y997PY71M8V4Z1dfsbjNeXF2lpnXp+/0uI4dD - Wy9B8LvZvf0j5g0AAAAAAAAAAAAAAAAAAAAAAAAAAHwQ0t0AAAAAAAAAADyskfQ+t7xqctel95s+ - Kr16MraIcNVoo59fVR9h+T21/JBXwQ80kjWpTC2j2kjvlwOwraPVPssp43B4Of20/qC9vDlMu9Ny - 09K+fHHs2X+9zjd9WXbzcaoX6zo9e/ZiXZeW6XC1tvzNr7+cpum2eq+MMe7S3dud4/Fm+6Ax7p7M - msxX7Vcv+rPKePHikPwLf/ef6cfTOB13adNIjUyj51zxTq/5tuavrnZp+/1+dzoeW+1rbqdltLnO - 9e7qmt0AAAAAAAAAAAAAAAAAAAAAAAAAAMCHJd0NAAAAAAAAAMCDG1s8u50rxLXdRmrdut0tS42M - 6qPGq20+xnKcG8Pv6XttDB9AvXtda5XTmqkyzb/az7Uuu/31ze3XV5/95Hg6ZWpjt/v69nb/+U// - +uuv/ov/439PzameeZdK1vVf/Fs/OyTHm5ub12P0495HbEntNWlJT5KsSX/Rr+fcLGlJS/6b/+V/ - y/E28y4j6cno57d49aYtuzmZ12XsdlejJ5V5riQtPdVz/n3Qpo/wwwMAAAAAAAAAAAAAAAAAAAAA - AAAAAD5N0t0AAAAAAAAAADysLUU8tm53SyVzH+kjvc3H0U6pZdfTkiW9J+u70sUfcDDt+2w+Pupg - 4A2VMaVfQthzMuX8YJxOtd+N6rW//Qd/8b+mnzIdM1WWSs3pa+YpaanKcclhn8yp5OubPH+ePn47 - P/vL5Sbpbxz//fyxW077fKcuA+jJ1Ha/WU4tbaTv0tIOeXadaXr9DS7GyM1t5jnVpmnOSCVrz7Ev - +/kuCP7qNaYXAAAAAAAAAAAAAAAAAAAAAAAAAADwQUh3AwAAAAAAAADwgCqjUmnpLSNpbVTWc5u4 - t2StvuWxK6lxyXy/nhD+gEt4ysa9ZZKkZfRtVe12qRyTujrs65Tdbm3Tmuwybz36kdwej4f9db9O - H1nXdTfv2mF3Oo3dof1yOSW7eWrrette721f6t1vzo9RSdromfbPj8fjbn/91fHYD4fWsiyZ5/MG - /d6Yp6S2qndr6edPaS2HNie9zhvW9qFTAAAAAAAAAAAAAAAAAAAAAAAAAAAAPgzpbgAAAAAAAAAA - Hs6aLEmSQ6ukjWRNklZZp9EyWmr/cr15Nu3T12WsUzJlWnpvVW2el9OxJ9e7/c3pOFXrSUu+ZTlV - 3V8zqtL7cfRDm0bVaV0O09xHr/Gtg77n/beE390WtZ4ro859+6r2+gatJdntkiSjXV6UtEoO++uk - bQ/a3JKsybSrniRrKst6Svr6ro8eW337jX53ramxnm7T6nS6TaWm9JF5l1xmx/Rq6EkypnZ+1Fr6 - XRK8t7tE+Dbc7//DAQAAAAAAAAAAAAAAAAAAAAAAAAAA+CbS3QAAAAAAAAAAPKgt1TvSkraeo9qX - 4vDa/mp/2P/sj3/11U2W0+c/+ck//Zu/OVxdX10//+qrr8YY0/Vht9t9/fXXh2dfjPHujPYYo+pV - cPju/jRNL168+OyLP0iyLMvpdPrsD356c/OiVW1N5PdZ/pOrQ6bp4/6A4M52jPdUy1QZlxVbAHsk - LalL9npL1FdeJbzvtrnoI1XnJnevjFd97m866t8xnp7qly22wVw23Zrgr23eTkmSaUt6n9+wt/vv - XU25GwAAAAAAAAAAAAAAAAAAAAAAAAAA+LCkuwEAAAAAAAAAeDhTMt0Vtyv9Vf633SaHz774L//v - c3zgZQAAIABJREFU/zM3N5n3STLWtMrYZR2Zpj/5/Nk+Ob7MLjm9uP2+H13JlCxf3v7Fb1/k9jaH - Q06n7HY5j+L9At5Ty373gX4Y8B7Gq+WW3b7fx66tel0tIzUy3Qtq31W57xW1e41KjYxMI5Vxfrd7 - H/GO5dsjGmk1+vn9e8ZIvWPTcZ5alyx3vT6qtFcr31UJBwAAAAAAAAAAAAAAAAAAAAAAAAAA+MGk - uwEAAAAAAAAAeEAjWZMk7VzrrWQe5xUvb5frw1UOuzVTah7LsaqS1qZp9Px/abvd7nQ6JZnmua/r - ewe3M5JW1Xuvqv78etnvdvu5cr0syzRNr9eQv0PV9OF/LPBN3jNqXfd62/X6+rTLEd62qXB3wF+O - +5b31bdN23j1IZcO96sI+N2bj7f3oPr9EW5Tv729GQAAAAAAAAAAAMD/z969brWNZAEYPack2TDJ - vP+DdjKAJVXNjwLj5hboBhyUvVcvLSPLqrJ1cZLOygcAAAAAAAAAAAAA8C9IdwMAAAAAAAAA8Mlq - tOj/DRlDi1giWmTE5TDGPMduWmqZl/g27bJFZI1YImpkjXYTGbsxD8sh3xTuzmg1IqNklFzGYc2I - dVnGcbxrib9l/m9IHcO/kCdN7ohokRlDRLtvcdeMiCz322SsJxHsu9V3Z2y2jCxZ1ozIjJoR5Q3R - 7FYilv6a1vfdSrQWkZFPXBR58hfUWtTsA90Gw0vL+6K4bjcAAAAAAAAAAAAAAAAAAAAAAAAAAPCO - /CPCAAAAAAAAAAB8rrxL9Wa0iJYRUSOWaBHzGuPw8+p/UWKaYl6jtYhWDjc3Wco0xLJEtpjndrEb - MqNE/HI5ZJQSQ0ZEXO7HWqOuaxmGiBjGcT4c7qZVXrcs/soNn6ZFrFHXrPdp63bbuS8nxeu76yii - 3T24W//I3dOtRCsREVnvYtqvWtbbWfWJvKTPcIgYopaoNWqLu7HuXtl3otsNAAAAAAAAAAAAAAAA - AAAAAAAAAAC8r/HcEwAAAAAAAAAA4E+SEaVERkRdIpaINWI/zVFrzIe43C3r1cXltNwGvluWrDV2 - +2/LUpd1GMZhWZbdbnd9c3htQvskL3x1XYdhitzP8zpNw9XVzeXlf/om2aJl+eVSZJhPtt49GPJv - uezM+1N7jZoRQ5aIyKjD3/fQTq6U1iLz9iwurbRYW6uREa9cRrSMNfoFExHRsrZseRsTf3R93E04 - S0REjRji7i3koy2zb/K66xoAAAAAAAAAAAAAAAAAAAAAAAAAAOB5/p1TAAAAAAAAAAA+VU//ttM1 - EVEi9lNkG8dpacsQdV3nYchaaymxrm0cSmttWeo4jofDkpl3f/Xl4TJjyMyM4fGzwzCsayslpnFY - lnp5sa/r7Uxavmp5Om04r4ed7P5zi4gacVxGRs2oGXH8L1rftp6+NNuvl7dDnOzrfhdR73fYTirj - 7X6Tcj/Y/d9be/guAAAAAAAAAAAAAAAAAAAAAAAAAAAA/rXx3BMAAAAAAAAAAODP0pvbGWW4DwFP - EfUu7Dvscooow1AyIkuJiFL6pjUi1nWNyNb69qeJ4ttli14Lbo+frbXdjpkxDHk7CfhdZcQU5W8/ - P3gQJSKG0w3a46T9qRaRkdGiRmZrra97bZP+fruM1iKiRInWMv8e4M6T7Us5TvR2de92Z+SDmT89 - YQAAAAAAAAAAAAAAAAAAAAAAAAAAgDeT7gYAAAAAAAAA4LPdFbuP4d5ymuzNJ15xVCPyyWL365bH - 18LX8PByeOryyIc/PNftfictMnq5OzJezH7n6cPy1EoAAAAAAAAAAAAAAAAAAAAAAAAAAID392H/ - PCsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB8LuluAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAANkK6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgI2Q7gYAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAGAjpLsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADYCOlu - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAANkK6GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAACAz5aZxwettdbaeecDbIZ0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0A - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - ABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBG - SHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLd - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - bIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh - 3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcD - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABsh3Q0AAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACw - EdLdAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbIR0NwAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAABsh3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBGSHcDAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACwEdLdAPA+aq0R0Vo7/tjX/GkOh8PxQf801nU964x4T8czfF3X - dV2XZTnvfAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeGM89AQDYglprKaVXjfvjUsq5J3UG - tdbdbnf6YF3XYRjOPS/eR6+w9wN6PKy11sw857R4I8cLAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AACAbZPuBoB3sK5rT3eXUjKz96r/wGp1/xCWZZmmaZ7naZpKKf3DOffUeAf9fL65udnv9+u6zvN8 - cXGRmVLQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwO9DuhsA3sE0TcuyjOPYq8a9cDwMQ2vt - 3FP7VD3hPE3T8XFm/mn98g3rJ3k/vsMw9CP7BybqvzqpdQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAALZNuhsA3sE8z9M0tdb2+/08z6WUYRgy809L5GZmrXUYhnVdW2v9Y+m953NPjXfQj+wwDD1R - f3Nzs9vtHFwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgtyK1CADv4BioXpZlGIZSSkSUUmqt - 557ap+pvfF3XaZqO5fLW2rnnxfsYx/FwOKzrut/vr66uLi8vI6LHvM89NQAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAIBb0t0A8D56pXscx4horbXW/rRud0Ss69ofzPMcd9HuYRiO6/nSlmWZpqkf - zYuLi+vr64joxfpzT4036BcmAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAbJV0NwC8g9babrdr - rWVmrbWUkpnnntQZ9Hd9LHbXWltrut0b04/y9fV1j3brdn85f+bdaXsk2AEAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAJ4j3Q0A76CncPuylHLu6ZzNaUpWsXuTTg+raDeckQT715KZrbW+LKWUUpZl - 0V//itZ1HYahL889F/6hWmsppV+A/V56c3Oz3+/PPS/eZl3XUko/gv0G24/suefFm83zPE1T3N1g - 4+4i/ehx+3B99H4KffSIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwCeT7gYAAIAPdKx0l1JqrbXW - 0F//gnq0OyKmaZrneb/f39zcLMsi4/219Crwuq7jOEbEuq77/V71+cvp3e6rq6vLy8vM7LdZx/HL - qbX2cnbcfS0eA94frY/SB83Mnz9/fvv27RPGBQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD6NdDcA - AAB8rMzsteCef97tdrXWZVnOPS/eoHe7I6LH129uboZhOEZn+Sr6Nbjf7w+HQ2utlHJ1dbXb7c49 - L97m2O3uN9JxHHuDma/l+M14zK4Pw/AJN9XWWmutfx1HRK3127dv0u8AAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAACwMdLdAAAA8IGmaZrnuYef13WdpulwOJx7UrzZOI7LsvTQbF+TmbrdX04/fL28HhGt - tYuLC8fxK5qmaV3XzOzH9H//+9/l5aVD+bVk5rG53hverbVPqLD3IYZhuLm52e/3Hz0cAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAcBbS3QAAAPCB5nne7XaHw6G3n5dluby8vL6+lpj9WpZl6cfx4uLi - +vq6rymlnHtevE0Prtdajwn2iCil1FrPOCveqpQyz3MppR/HYRj+85//jON4elj5/bXWeoK9lLIs - S2b+/Pnz8vLyE8bNzGVZ9vt9T4bP8zxN00ePCwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHwm6W4A - AAD4WL3Sva7r9+/ff/z4cXV1de4Z8WaZ2avA19fXpZTdbtcfLMty7qnxBj3dfXw8juM8z7XWzDzv - xHiTnlrvh/JYXncxfjn9AtztdofDISKmafr+/fs4jv3Hj9NPmHEcI2IYhj5073l/6LgAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAADAZ5LuBgAAgA90mib98eNHRGTmMAwqs19La21d11JKz3VfX19HxLIs - Uq9fS48973a7dV3Xde2X4TAMvcvOF3IsdtdaSymtNcfxy1mW5b///e9ff/0VEaWUXuz+6G53H+v6 - +nq/3/cTpte73cwBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABgY6S7AQAA4AP1uvM8z5nZWhvHcVkW - 3e6vqB/BZVl6IbiUkplSwV9LP3bHNnBPPjuIX04/jsMw1Fpba73h7Th+RX/99VdmllL6TbUfyo+W - mRcXF621PnSvv3/CuAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBnku4GgLM4DYM9Fye73yaf3YZP - o+X2hfxu14uT5ytpHz7C73Z+8klauz+5RLu/ruNx7IXgz0nM8r4e1J3Fnr+ofuAcvm1orX3yTbW1 - Nk1TZvYRl2XZ7XbHZ+d5nqbpeMPPzM+ZFQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPC+dAQB4Dfn - yxreREUVAAB4Sa11v9+XUlpru92utVZrXZalV70jIjMPh0NmLsty7skCAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAA/8R47gkAAC/7HTvE7Y3b5wfv/1P8jgeCL8LJAwAAv4txHNd1vbm5iYhe787MzCyl - 9A1+/Pjx7du3/X4/z3MveQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABfTjn3BAAAAAAA4DMsy9Ja - G4Yh77TW+sqrq6vW2vfv39d1jYjW2rknCwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPxD47knAAB/ - svqKZ0tEtChnncm/9fvlzt70eX7shwMAAMAnW9d1HMdlWSJiGIZaa0RcXl7O8zxN0ziOEbHb7fqP - Z54rAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8HYf3QEFAP4l3eiz8+slAACA7djtdhHRu937/b61 - lpnLshwOh2ma1nWNiHVdW2u63QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPBFjeeeAADwS/Xj69Hq - 1C/Kc08A+DTtowdwvz2veu4JAADndzgcImIYhlLKzc3N9+/ff/z4MY63f1Q+DEOttZSS6beCAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAA8FXpxgHAlyA1Cm/Uyu+yBAAAfiellHEc13Wd53kcxx8/fkRE - D3Uvy9K73X3L1to5JwoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD8U+O5JwAAf6ZfpLi/fbv8+fMq - Inb7cripmRlxHww7xsMy8/UhsV9u3ENlp9v0NY/HHYah3fmIcZ9b2fNprxz3NRM7jvKLHT4KMGdm - KWVd15f3/3A3T43y1oOYmbXW487/8UfxwrillCc/k5cH7XM7ferfH6bT0+DJU+LJLe+UaH9bZgwR - 2SKfXB9RXnj2dP3pmuNrW3tixLtlRNSI8spl5hBRW8s3veqt+48ora2n60sZ+7gP1h+3f/JV7/u+ - npvbOy4z24v7j4fX7fEci4yIdnIrfrzm9Km+Pk/218/S2paXX/vAm66i4zV4ev/5uN7kK0d5fDN8 - efv41fX+z7Z80/a/vMee3u7+/Q35hXGPX1UP9v8vxyql1Hr/S5HTM0egFIA/Qa31+FW4LEt/UEo5 - fhXO8zxN02nDu695/AsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD4ky3LMo73bVwRHOC3It0NAL+R - uyR2/fnzKjP2F+P19VKGqOuTEeXn4spP/I7jNb8PebzBo5x2ZJaI+nKy+pe7fW6DzNuZ1vqgIB6Z - JbOtaz3dMqL1ZZ/V4xDvSZb06XHvSqXPfm53bpu+p6998CE8Tti+kL5+pce51uOcHxTjemSu1nq6 - 5cs7f2GD51rmj7PuvSnbP4rXJ9V/+Tk8+S7e8nmWvz+4XbZo0fL59ffPZmTE0KIdn+1rMjNzWOt6 - 3PL+tXevioi/LW87wbdjZWbE0Fp7MIeIyLzb/7re9aNLZmaOfbksS+aj/T+zt+NYEZE53s62tdZK - a+3u8nk8bpyuL6X0cU8vtyfHejxua+042z7Du3GffL/lOMPjKMf5P7k8fdd9y1JK/5xby9NnSyl3 - e+432L+9i9NRbqPOz9w4Hme2+5qhDMer8rjNabf7fuXp+RwtI0/D3q/JeJ86ViRPL73WWr/bv/56 - eVP0+sGN7vS1fT5PDv3WPwU7HeV4q3m8n+futPHiV95z6+++fx/eY5/z79/m6bhx99E9udvXJ8xf - 9sId9fXfHQCwYf03kP0XEqWUw+Gw2+0iYlmWYRimaerP3tzc7Pf7B//nDwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAA/jT9n+4fx3Fd12EY5nle13Ucx3mezz01gFv6IgDwG1nXNTOnaTwclhZxfb1ERK0x - jdFatBb3SdnWImIc7oPTfYO+vmQc45u95tlztc/Jk+1PjWNprd6P26K1GhGlRJaezG6tZm2t1dve - bpb7wm+L28f5TAl0mv7P3r382rbld2H/jTHnWmufe0/domRFiJIhcjAg2UkEJIAULEEj4ZFGmQgI - AkWkYYSsCAUhOSSQwgKKBMcBRBy75Qq2A4SH6PAfoLTppIfSpYlibN97zj5rzTnGSGOsNffc67Hv - ubfOrXPqns9HV7PWHnPM8ZqP/Ti11ncopawm1UcaKUWkWNpsPUy3TyBFikg5Wj2GnUY67W0X23ic - OHzabsahtlLm9TjbzfrH8LYlNTxdTcZtbb33PPr3lDt7PRL4dqRuPat2LdU1IuJTw9Qvk8WfsLp4 - HpJ612HhPWA+VrNe9l6NE74czusMY93d2Sz6i1rLulqcrva4EYF8EUV/rD0Mw7K3tVZrbavyU2GP - J49ojyKK10u0Hs+q1+POU1PnA1gl5h739n57EPtSeHn4p1oqnx21nKOeTbh0kXN+HAL96dfVZ+r3 - Ihr5yvUTq2V5/cle3gXrvOda53X56nw9XMPrdtJD9PsjS8z2krHdS8rFeV8Su9cB3kvX/fpa9n6q - WynU9SJivHexLk8r8zzHd+bypn68zlf6XZe//hm9TJj+1GMvn6KfNar81tW+fH/s7Z22qZQWq2fO - +rH5hvpNV79c1vPs4fya8d6XEd1nz/lefhbTHteuNwD48hnHMaU0TVP/prn8+LpEdH/yyScffvjh - brebpqkneQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwHtrHMfW2n6/v7u7K6VsNpuPPvpIbjfwThHd - DQDvltba4TBHxDjGb/ktv+Vb3/rr4ziWae6JwqWUU6DvMaqz67v63lMe7UMAZ0T0va9evbra6X6/ - 70f3OO6e6dlaLeXYZylzra3W0stbi5ZaROoxx6XMpdRe/6yFPswXH7+4EqAdbZ5LKfM8l1pLL6m1 - tVZTyjVqa9FbLmXurdXaelDsut8+wqvt/+qv/tqtfmtNcy6lnNJL01PbnKLWOEWktyXzdBjSWbjy - Kc55fUKXo45OYeqPTvrV8zIMqdZHIbZXq6UUOaeUUikP0apnTS6j+ozZz1fyk0/X1aOy1d51pPf1 - ZtMpy/0iUjpauznyWC3UrVGdvFbg9HLEPF+vv17P08jPo6DXA74V2ZtSWsdUL9fhKjr3WCsxZNcF - AAAgAElEQVSlIaW2Hs/j+ucln7q9uPD6XXYWCR8p5ZTaMt9lPBH5cyT25pxP934PAD62cxat3ecb - UXsE8nq+T1+lywo8znR/VHIWZrzMfX1J55wjamtn6xbR+oRzRF1v242SnMZe0lppkS5rtih9b7Qc - UVOkq6HdSzT4mXpjOZZw5fV3hNNqPKzA5wi9vuVq+8t2HWn/Ov3e0iPkL/s9G+0TdV7Hp056qbBc - /6eH+fGrx71/+khuRbDfCh0/u/HWi/90R7esc9bPwtGvPlE/9xkEgO9d83z8Of/58+fL9+7+w8mr - V6/u7u6eP38+z3P/l7+3OlIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHj75nlOKd3d3bXWeobRfr9/ - 24MCeER0NwC8Q1JKOedSymYzTHPZ71/9zt/5O/f7/W47pvooDHXJ4FznmC6p3pvNZkn97EljPeB6 - GIar/Q7DUGsP0K09PLi11Fq5u/ugtVJrtFZaSz2GtqaaYohUo+VItdXUokTLLcqQNym3aDnl1sv7 - dojhLPK2b3Mea51rjXW/JcqQN739pYVWU8otp3Hpd713qX+2XY9zvc1prG2uJXpJH3Ofy5X6EfM8 - l1LneV5WtS9pzrlnpS+56UuSek9666+XzPUeXbw+Zd2LFy+unpfD4TDP82W/2+22tzZN0zRNvUJr - bRiGq/1O03QW+Nq9fPnyVr/1ZH3V9Yy6Wus8z73f3nUvX6r1CvM8Hw6Hq+2/fPlyne+7XMy9tfVi - riNsW2vlpD6ONO91lmOn6XrW9Dq8fH301cjwXnmVGh49tD2lG7vOGl3JOeqy51Q1YhXUfIo/blHW - 5euI5IfyVQtPb4fhIXJ+6eVRv6fy1mpb93u8SCKiXqn/aXJq6/ku7eS0Hsz5fNeJzE/3mE7rtb6m - IyKlh7OzVmtEOwbGpxStna6E4231cOxxtdOpzXRl247B3g/b2uZVSTvbm+KyhUd56stleSsAMudl - io/cCFd+SCu/ekmvDn9o52q/Z1Zr285a669fJ+N9fdStbtftXM7icrTr+/d12n+dvesKOT/EXS9D - W19IS0nf3lqGW+t8NTY7rkWz99f9+86q3+tZ5p/aUf8z5bJr2buOYH+6QQD48hmGYRzH/X7/ySef - jOO4pHenlJ49ezZN02azGccxIrbbbf/ybQ8ZAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADemp5f1l/X - WodhaK1tt9tb+WUA332iuwHgHdLDiSNimktE9CSwDz64m1/d18eh3T3Ws5Q5ncQx4TVFpFKmOGV8 - nsLG2jCkVVLnuZTSMETEkHM+xYXm/f5+XSGllFIbIs1tjh7He0r2TLmlyLXNUSKipHYMOTv+RpTO - w277trUSx2DUIaWUUm6t5TZMdep9LS33/cdY3XTcpsiRI6VTTnk639ZH43zYtjQt+cApt5SObaYh - Ivoarbfx4d2zngm9JLf18v473lovX9awj3nJwF6i05cQuLMGz+x2ux5T3bPAlwOvhdfmvmvd1NJv - j5e77PdWMmufVz88VgmypZSeLn9Wf911SmlJ0d7tdlfbvzWAJRp8SebuXfdI8nicTx+niNnjddla - v31qrZvxer8ff/xxrCJp1733uPElF3yZV99VSllyypfD10NaItKv9jtNU621lFrKfIr7TRGt1uOE - 5nma59L3tlaHYYxoEak3XMo8z6XWUmvr5a3Vvu3zePnyvpefbff7w5Iaf8r/TksL6357yynlY4J4 - q72897tus+/tLbx48fJWv6XM6357y/2oPqO+rbXVWpa9PQ55OfZw+GzJwZeh7IseO70EPPftWWT7 - RXx7O4adn7b9aboOgF8avyx5iHBOp+Mf2nmovB7Vredz+fRE7NWgb6/ZZw1iHsfcWr9KHwXVX4vT - jrhIu192rSt/jjDoW8ncEZFzyjlPU/lM7V+er6fVGksg+pnLqbV283m+PH7j8cPnVv2zR02cnnVX - I9KfiNnuz/N1neXJdln5ai74E/UB4Eum/zrQf+Vpre12u/4dvP8isN1uSynDMJRScs5yuwEAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAeM/1D/CPYwreEBHjOMrtBt4porsB4B2yJHCX2sYxhmF4+fKTzWaz - zblnUbfWThmirUWk3PqLs9TOlI/hwCkd66fUc3fLeZcREVHmdfTpQ0roMJxFih5bGx9iXFext48i - SI/B2HGMN74e+bkcXeujCQwRKc5iUU+5vg/HHrNI2ynC+fWlUx7vcRDHyNV2K0J1ejX3GrmHWLfW - I1Tnw/54yvrXp1//pmk6BhGnlCLGlGI4G+Gj9bnlcP9yGfApoT1FRIuHoR5PQS0ppVpKW4Wt5ojc - L4Iyn3p9WIGIGG6sW52niMgRQ34Iho+IMQ3xOP/7FOlaUkSKY9Uhp8hDjEM59XtmGIbjoj+efZ0O - vWyIpbmUUpoO++V1H3HKPbr+dN2mNOQcKcZxaC3fut5+3UfPHy3ashoXM1pn5S6HrKPZ2+NLsUdw - 37oOh2GotbaWap0jckRNaWitDMMmpRaRWyutpYgakVNqtUav0/dG1L4357HXWUr6NqVhKV9vh2FT - 69xaaq1cbees37PtUn/d5rrf9XjW23Hc1jrXGku/y6yXftflZ+0sx47j9mr7v/Zrn6zH1lpZWq51 - LqXN86GUtsx9GDa9/jwfpqnM82FZ4V5nfWwp5TD36/Y8kvzXfu3jdXT6Os681jLPZZ6ndWB5j2Bf - ItLneerJ7z1K+eEJ1lqPjb/116JPXr5YX7Trq7TH1c/zPE1TKaXXWdpfUud7fnut9ey50bc90v7S - fr8/C7PvbW42m3We/VJh3e98zH7v3xj6rbTcL1d7ezCOUesSfB5LC3ERoF1qq60M43m299MZ05fR - 491ZlPtZ5X5zLy239lC/J7Uve59I0b66q5/Hy4fSaVQ5Vuern/2zEO4neoyI2tPXL4axPK+W3nPO - Z98EzzK/AeBLr/88E6dvoKWUJb17qdB/7L/1myMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALw/elx3 - KWUYhmmaNpvNPM/DMDzkiwG8baK7AeAd0iPBSomUo5QopTx79qzV2lqNaEuI8jpCOC5iNdcpYu1k - HcB8qf/qsgSIrjNir47wsqNb9a9Wu1pnGeSjSObHbbZTQPjlUnwmdZXs2pt6Irc7jhHpkU8x5McV - apGHtE5Sb+04nnEzxDHvrQeu31yEU/T19aTZPDyctR6Ju17+0+GnkxUxbvKp32PFU8Tv9X5vRbn3 - fOxT48cJ11r7ddJOSeenllIeekmtrbW6Okc3EtVLneLahZGHs9TYWluLFsN4nMVpPY/rP4xDH1iL - KPVhLimG6x1HunrBLGV9VWKVJX/1SuvX5/qKTek8z/vRfI/XcxuGIaWUUl/GdDqhfW+NiJRaSmkY - ImI4tXYsj0i1zimlU/3zvWc50xFpng+rWcS639PJXUfP534dttaTp3vL6az9Xr+1dsrSjsvt4fBq - 1W/r18EpITsijr2fro9c67yeS84550f1z7Zf/epXTifuSgT7Wch6f57knPsJWp6HOeflvlvOck+q - zpsxIqLlSHW9TTH01y3KujynsUWpJXp5HiLFkIeYp5py60e1mnr9s9zlPqre7zhe/9UspbQKTG8t - RWrRUuRI/XWN1kuGlCOnMs2RU47UtzVaK7XvXY5dt7a0c7bdjpu5ljqXPs805GVv77Fvl77qXJbX - fW/Utq7TeyyttlJrtPsXL6/2W6Z5KnOZ5tJqP7aPc0i5RqtzOczTtD/MtSxB8+uVKa32iPY+68v2 - 71/cl1b7SJaV7ONfju2zXnrvc59rmQ/TYZ76+Mc89Hb67OZapv3hME9Rr9+Qn3z8cX/d134pb7WW - Wss8H6apllJby6dbrt9wcynT4dD3togh53rKDO97yzxP89z3XvZ7//Jlba330ktyDxtvrbZWS+mB - 9nMpfe+Qcz+21LrsLfXaI8bW9jveArxr+s+Ny0+MEbHf7/vP8K21eZ6Xnyrj9OvA2x4yAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAC8NbXWHqUXEeM41lrHcZzn+e2OCmBNdDcAvBt65tcpxrDVSBFjHmop - Yw+ObvkstjliiRx+FBh2nmYbp+Tm2xmJLY7ZY6djbiaQfY5wstdM114Cks8i0K6+/nyJ3VfbfK3W - Ws8ePh39UH7RckREnFKk8xM1T133o25ETbf12Twb9HL4Q/Fn7ffsyrlR59j1kId1a6czcV7tYZS3 - FzXdqHBxHtK65jLZpYdaep1bQd2X7b/uZbO+Qi6POgVav+7VmNJSobV2Oc1e59MbW5LFz466GcH+ - eBLrls96We+9vM4+692WHyLb2xMzutXjp9+OT99Nad1gba2Pp66CuiOiLV/GavopxTjmaKdd/cX5 - tqV4XNLmFHU4Jt4fy9tch5SXOimW17G+PVspETGkGMYlCv1yYtFOSek5RU2RI2qK3FqNyBFxKkmt - 1Bpjjppabq22Y0DukI57W0S6bO3Uztm2TPuWYkiRep1W+rGpRUqrfnuMd40hPbzu0dNndXq/kSOn - XFPcffUrNUVuYfs9sU21TbWUw7Sfpx5VP0RqOY0p972HMpfDdChzj6rPLeZWU20tpyHSVMu8P+zn - adl7tn314mWJ1ltejqopotS51TrNhzK3ucyt5hbL3lTb3Gqby36eymGaatkOY+83hjxEKtH6mOs0 - X+23HMp+mso0ldaGlCLn1Npc62YYplLqPB/muZUy19rviyGlfo/MtbZS9tNU53kqZUipt9BSGlKa - a+3lh/v91X6XMS8r2ecyplyiLXsPZe7zXbZ976HMdZqnWvo6LC2XaH374uP7q/f1sm0pxhQlImrU - iCGePPvtvIV+7Lp86D8r1Yf2h7hS5zTOuP3Ii4gYhqj1tb77nL4PPvoyHn+nuFp41TjmUurZsbeO - Sinq4+87t77Ff+pIcr5y7NL12RxzjtV3sLPvodd9vp+aL1u+HOHTjV/O91MP+Uxuzf3q2e/lZz+8 - pZR6HvMTP3x87t8+esuXTa0K88VBTzXymUZy2fvZ3vVvXk83NQxDO/nUfl/HxTqc7+27lvzsq4df - qP3n7X53bDabaZo2m900TSlit91N0762R0+dJyaz/JS49N7zvJexTdO02WzW//jXS17nt2kAAAAA - AAAAAAAAAADge8vyfrS3PZAr+rucaq3Lu8bWrwEAAAAAAAAAAADgu6PnCwzDEKdABLndwLtGdDcA - vBU95esytfC8PLf8ZLwyAF+E+oVtP7PcbG3f8nYYh6GlOuS7to2IiOghpvM8r9/M31pLKeWch2Ho - 0afL3lJKKaX/kfTSEtG6BLX2A9flcfpcg5TSWeNLhSVith/b+6213uo3paHWWkpZZtQPH8fxMqq2 - 93v2gQW9Tm+/97vMt9Y6DJtb8+0V1rOotY7juF7MXnP50IRYhdpeTbRddi1pspfWB/a5l1IOh8PV - yi9evFi3vG6kHzvPc59Ib3az2fRmz/b26+Ry/L/68cdX+53nnn4+rwN0+/L2rueTXmE5X31Xn1Qf - RkSklCNaRGqtthat1RcvXvaSs+3hMJUyl1JrLb2k1x+GsbVaSp3naZ5LKXOtLSLSkCOi1VTqVObW - t7XNtUSkGi2fbT/5+GX0+PrTdtlb21zmHsveaptbTS1KTmOkWkvMPci91GhRW+T8MOpWo8XV2ay2 - 8eTex9uUo9WIHhz+eG9Kn6ff3trxqBvjSRc1+/+u9y79nrd2sR3HXNvxHD7UuXElr7KWHyWLtxuH - PGEcc2utXxutxbrls5KT1/mpIKUUp8dCWZcuD6Jar7Szzim/2NXOouJvZWmfnmbTWcnSzs0R3+h3 - Pbacr49/afXsCblYr8OjA08vttvxcJhSStM0RUSKtD/sI2pOOQ/RWivldc/p436P4+nP2MPhsN1u - I2Ke52EY+tMvpbTf73e73TzP4+hP7gAAAAAAAAAAAAAAAPA9r79jKE4h2W97OOdyzssI+4cbjuO4 - vCkVAAAAAAAAAAAAAADo5IgAAAAAN/Vw61USbVqilJdc1R6bvUR6L5WXTyK4lZ991vL64wCWSNel - kXWidh9AP6r3u2RI9xGu879v9Fv7wNYp4H2+/cuzj1E4C7hdAsLXA1s6TSndiuZtreV8bHyZb855 - ng/rkSzrvETVLlOOa/m4KfUKkdL1+eace2Tv6dhca651+PDDZ1fr/7pf99HS79Pr0Lf9Ojkb2BIl - vpQ/5CUPNz+lYn0ql6N6tPly9pfez0ZyNuAUw1lgdi+5jNYeh+0SvL0c1aKsXy8tLLNeFuBTY7Hb - KYy6rQOoo6WUl5KU8tJwaz1POrdWa229fkqxvO5t1lpKqT0q/Wq/H3/8yWW/vf1ayzyXeZ7WgeV9 - W2s7xa/PpdTW6hKC3rdLzPl+f3ii39Xpetjb+z0LSu/tLzNaRnU23z6L1urLl/dX+93vD72FWkvv - N6W8XHhLrnx/TJ1dY0vkfL+Y15fTsv3kk0+uXrSHw6GeOn50Baa06rTUekynzjnOIrS7YUgP6dqr - rvvtckoWfwgdz+mhkXX6+JWmIyJiuxlqrUt89XI3bzfDaYnauoUesX1q+WFm6/KI1Vxu9DsOqbV2 - fKivQ9MvavYY9V4zRYu2Xs7Ilwecut1u0+HQpsO8247TNI/DMJd59+zZ/f39OI7zPJd5NebPmN/d - nz/TNPVnTv+W159LvcInn3zy4Ycf7na7aZp6kjcAAAAAAAAAAAAAAADwPaoHdZdSdrtdf+PnrXeq - vnWbzWaapt1ut9/vW2vzPD/xfl4AAAAAAAAAAAAAAHg/ie4GAAAAblqSsONxePYpoPqhsIdtX76r - v38wwToNd63WehlEfdb7upeeiroOr13HZscqZPfpD0To/fYKfeS95jLfdb8Rsdls2mPLCJ8Y9qUe - Dd6PWqf89mjYeLzItda+nmedPuFWnWma2ipwfUkZXyLSL6fwOoXLKt36NIezsO3FE/0u67NcYxEx - z/M6ZXk9kqtd9HMbUSNqyrm1mnIPn64RNVKcbQ/Tq9ZKRE6p5RwpWurpylFTamlIrfXc4OPwaq09 - /vdsYrfW/3Qeaw9BjtO21jnSsrBliT/u67O+pI9J4bnP7thsbjnllofhgw/vrvb70VefXx1Vzx6u - JXokecotxZByi5b7dgksX5cswectSplbbfM4bK9Goec0noWm9/Ihb1qUy37PXi91ztrv47na4zqC - vcxtqdnHvH4+rG/e9U0Rq9j4s+t5/VS5us7jOLbWSimrkxUR0aPrL58YLz65r7We5Xz3mr28p4Av - FdaP2b63V1gOWT/NSin7/f7qOF+9erU+tl/Jy9Nm2bWsw/oxux5Yf55fPrJevHhxtd8lE31pOVbP - jVrrNE2Hw2Gapj6w9feX9cAOh8PV9l++fHl/f//hh8/3+/3hMP/rf/2v9/spIu7v7+P09Dh1erWB - TzHPc3/x/Pnzpamcc2vt1atXd3d3z58/n+e5XwafpwMAAAAAAAAAAAAAAADgndHfF9bfhTcMw/J2 - qnft3UPjOE7TFKc3zLbWxnF8+k27AAAAAAAAAAAAAADwHhLdDQAAADxleZd+f7Fk3C6F6wo9mrp/ - uUS0rutcbfzp9O44fdJBrCJUL6ud9ft0y+tY6H5I7+LqZCOif37BumQdartu59Ta9ekMQ2+212wR - x3GWMi09riNm1xHXOS8Dvhrj3Uuuz3eJAD8bc74x0Kt52OtI47No84dhPT7wsv3TNK92+9DOKR47 - zqKUz9q/FW3eWmmtpjRE1NZqRE/arv3ijTjfDsMQkSPyUrO1nvMdrT2UtFZSahGxHcZ1pw/juXXi - a2mtXU56PC7Eo3jm1tp2s1nNpS9IPASFLxnSKYYhx5BbezKCPT2M8JiIXOYUMaQcEWnMKbWUckSd - pjlaS2lI0SLlnvAeUee5RKsRLaXjSPKQTjfN6aJbb6NGq5FyRIt8HENKqZQpoubIES0N+Ti6FCVq - 1JpSpNpS5JQixhwRtZ76PeWdt6jRar0IX+/b2ubWSjo2/HC3zvO0PCLWwfDzXPojqrX+DOlXZqr1 - +nPm1v1VynR61kWsLu9p2i+driLC4ysffdhDqddR3/2DUdaXQTx+EPWHw9pSP073Wo+4PoseX/QP - XlnHY6/vr3WudncZYX52Ia3L28MFcbFqj3PTl6fcPM855yXgvI8q57z+PrJuf7O6L9aGSIfDIedx - HMe5tn/+z//5T/zEf99HOo5j/66x2+16ovnn+IicYRjGcdzv95988sk4jksLKaVnz55N07TZbMZx - jIjtdtu//EztAwAAAAAAAAAAAAAAAO+O1to8z8Mw9PfMRkQP8L71/qm3pQ+yv6esv2FtGIZ3bZAA - AAAAAAAAAAAAAPDWie4GAAAAbjpLco3H0d2XsbLrOpclV9tftuv6Z4nIy+FL5PZZhPbleNZ7P7Xr - pZclLvpsIkuw99lEaq1nqeGn4uudnkXYLoXrXOF1F7399YG3prOk8N7Y+6j3dftX6w/D0JZ86JUe - Yb7KIT6uTA/HTRf6x1I8PfKzWcS1K2d9fvs10C1ZvOvyiNpTgCPasjMiIpaI6/Nta32cJSJae4ht - rrVHPre+zXnoXSyRzHG8PI5nrZTrkc/DMMS1FZjns/XssdVpLoel8ZRSOgXNr8OVl0vl6pnq2uO8 - 9pRS/2rImzjuSq2VWltrc4+CTimfgpLnWmtrh4gYxzEitdYXtq9Vj1efr67nKfu8RERK/dy11mIY - csTQWuvnopTa+727u2stt9b6SFbnok+tRKSl34jIS3r3435P4+nr02/w0lrbbsc43Xe1ltZarZFS - 2mw2p8Ja68MDYbnfz9fzRkR6P3Z1Uo4ruPTbt73r1to4bh+fx35szPMhVs/DpclS5vXzob+MSD1p - PqVYXaW5P8OujnOeD48ftseHxjyXpc76cVTKdNZCenjWna9NSst9dCm1Rxdtr9x2u81yDZ8u7VJr - XYLqT7M+zvdyPKd5tbu7u3mur169GsfxG9/4xv39/V/5K3+1tWMWeK21PyvWMeGvr5TS08Rzzq21 - 3W7XF3Ce51rrdrstpSwfviO3GwAAAAAAAAAAAAAAAL6npZR6BnZrrb87af0+1nfH3d3dq1evIqKU - stlshmE4HA7b7fZtjwsAAAAAAAAAAAAAAN4torsBAACAm3rQaVwEXY/jeJZ+epa6HY+Db299JMG6 - zrrBYRguOz0bybrHdSDrus2bkcbtGNG9BOL2mONh6DmyS0fHfk75sutdx35Pxz6aeEop0pUpL9G/ - y7h6wym3x3M69jIMwymFt4/jyhxXk7053zJPq5k+yPl6/Vrnq5Ht2+24jLK1uiQWj+OwjHldfms8 - +UZybi2nqPJVv6tGWmutllpPi7Ab19HItUdLt9auLn6PwL7a76NVXS/UKSr+OKvTxMa8uj5r77jF - MeL62rzmK5HGKaXdZru+nltrLVpEbIaHqOlo0U6R3ZvNpsWxTnp8Dd20ZC2vjpqnY+R5TyOOFCn1 - CPZpyQqPFOMwxCnSvt8OEZEiXbR9Lue8pDWvh9ojz3unOafh9Hg57O+XmkuFdIx+P17/p7TpaCla - a+naJfToejvdNyliPjxEy+eIdEp5L9MxCjpF5FOnKaVjRP1lBzcin5eJxCkVuy9WWUXd55SG03qW - UvrA+nr2JW2tbYZ80UmLaCk/zCcePyKWRpY9+fZ9l3JanZfWWk0RLc6i31scT3WM+TiwpbtefiXU - PB4izy+1Vo/PrhbLZKO1Uh7yvHNKKR+Dulc91oeuI4Zbz5PN0OpcpvnubjcMw8uXr77xjW/86q/+ - 6s/8zM/u94eUI6VoEZvtdjocrrbwtGEYls/Waa2VUpb07qVCrfX07QAAAAAAAAAAAAAAAAD4Mujv - rVve5PiuvXvo1atXu91uv9+P4zjP8zzP2+12edssAAAAAAAAAAAAAADQie4GAAAAbprnh8jbs5jt - dpFi26Os+64ea7qEm96KdF0OvOz3Uz/L4KzC5ZBe8yMGlmzmq6Hj617OKpwVroPAW5S4ES18lr29 - Xq6lzrL3LPL8GLQc0T/u4bL9y5Xslszgs5neqt/HsN7bjz1FOD+qFhGllPWkzlbmsvFbUe59nJeD - XC9aX4Fj9HIpV09Wyums96eHtI6oX5+I3n7fu9RJKbVSH3W3pErfnvLVwr5u6ytnWc9lyssAenx4 - PL6wb/V45qzaZrOJY6p1bS21ViJyRM15jKgpDa2V1lJr86q8ReSUWkpDRI3IvU5/fbatNZY6ETni - 2P44btfHLv1uNrv1SForpbSIsvR12lsjcrSa0hCpRstn2xRDi7KUpNz66yVS/SEh/fS8Wq9PPx23 - ztcTz5P18q6r9cjn5cSdneX1IeuB3RrA0tHZjRa3H1+3xrm+p554kqzn8vSz9PKJcavrdafHmPPH - o7q8HV7nST5N03a7a6V+/PLlRx99lF4d/uyf/bP/9t/+21/8xf9zmus45rnUfhY22+20/2wB3qWU - 9be2iNjv98Mw9PHP85xz7hVec7QAAAAAAAAAAAAAAADAu6y/9Wye581mM01Tf6fha76b77tmGIb9 - ft9HGBHjOJZSljdpAgAAAAAAAAAAAAAAnehuAHh35ZxT6gF1AABvxzpy+yye9rLy1VzqJ+q/Zr9P - u4yXvvXlpauB3J/ay9OtHSvfaOnqglyGlD8xpLMVfk1PB0s/Mc4nSj51PE/ED79OBPJlJvdZzafa - qSniLB352NKNflddHYuitchpjIizn8dbRIq8PqqvwRPt3+40rbp8GElKw2Xh0njf9fSMzpyt0zE5 - vaVIKVpOKaLl/jpStJoi5RQ55bQKxu6zrq32o451TkddbuOi5bQ+dr23logUl+VLO2ettcjRIi62 - LVJEXkparcfya+c3Hp/3R0t57WJPN8ovHe+J45WT0tn6t/UF89D4WZ2r0o29r3n49Swlk64AACAA - SURBVPq3Jvt47+WYzzxdYd3gw41zGsutgb3mRd6iDcNQ2xwRu91mv98PKSLaX/pL/8PhcPilv/9/ - pdxijjLNm912OhzW36qWZ844jvM83+qiHu+Zh2dUD3pvrY3j2NPZ+7ct0d0AAAAAAAAAAAAAAADw - 5TAMw/Keo3cttztOb3Favyvq9d+ZCwAAAAAAAAAAAAAA7w//b3sAAAAAeJ+0HC0fX3yPbuOzbnl/ - 9JjtWkr55jf/xz/xJ/7oNLWepj3tDxGRVpYPo3kit/sJwzD0z9zp7ZRSfLoNAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAvCPGtz0AAAAAAHizvuD03PbFNs+bJk35y61eLRnGsdb6P33rW//fv/k3/+Jf - /N8tRYo8z7W2Y/3WWg/w7vHbn0Nr7dmzZy9evBiGodY6DMM8z+PoT+4AAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADw9smtAQAAAADgS2Wappxza+3nfu7nfuRHfm+do8x1GNK6TmutB3h/jvY3m03Oeb/f - 73a7JfxbbjcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8I0SJAAAAALxpLb/tEfAFaqm+7SHwmbxr - 96Pr501qx/O7WtVUI+Jus5nnaTNs8mbzsz/zv/3Yj/3Yv/yX/08tLSJyzimlWmuP3O7p3Uv89mua - pikihmEopYzjOM9zL9xsNm9oZgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDn967l1gAAAADAd6ie - cny/uC18Pq6f75J5nlNKpZR5np89e/btb3/7P/6PfntEpIhaayklpZRS+tzt94juHvhda805L4UA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAWze+7QEAAAAAfNelLzg9t+Uvtv3P7N0aT2pfaOtfdGh3 - bZ8/7Zc34EuRff2lmMQ7Ia9f5PawsC3K3d2z+5f7lPI8l6997as//b/+L3/uv/3z/+pf/b/TXOOU - t92zt1NK/cXrm6ZpHMd5niNiGIZSymazub+/H0d/cgcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIC3 - 793KbQIAAACAN+SLS++G74Sr6M26vp455/v7+5xzRGw2m1evXv3m3/wDP/e//8zXvva1u7vtd95r - SmnJ7a61RsQ8z9vtG2gZAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+M6Nb3sAAMBNKaW3PQQAgC+p - lt/2CL7L3q2o4Pbd+Dn3izzF7Qtsm0/1vX/3fu/P4J3z+BF3esLntCx1zTkOh1ff//1f/2f/7J/8 - kT/yX8zTIedhmspmzPNch5Tr1aZua+34ICilrAtTSrXWlFJrrbXWs8Nrrf0FAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAA8N0hLwQAAAAAgPdB/frXv/5P/sk//upXP5rnEhHzXHfb3VzmN9jHMAw92LvH - dZdS5HYDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAd5nIEAAAAAAA3gsppR/8wR/8xV/8hefPn6UU - Ocf+sN+Mm4gaUd9IF621Z8+e1VojotY6DMM8v8locAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOBT - ie4GAAAAAOC9UNs8TdMP/dAPffvbP//8+bNaIyKmeXpT7W82m5zzfr/f7XattV44juObah8AAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAB4HaK7AQAAAAB4L2w2Q4vSovye3/N7/s7f+dt3d2NKkVKkiPQm - 2p+mqdY6DEMpZRzHlFIvfBNtAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAK9LdDcAAAAAAO+F+/v7 - zWbIOe8P97/v9/2+v/23/9ZuN7b2xtrfbDYR0VqLiFprznkpBAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAL5rRHcDAAAAAPBe+OBuG3WOMqdaxk3+A3/gD/y1v/ZXh+G4N0Wkh7r5c/z9fJqmcRxrrREx - DENEbDabeZ7fyOABAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACA1yS6GwAAAACA98LhcBiGoda62+0O - h8N2N/7oj/7oT/7kN99U+ymlHtTde4mIeZ632+2bah8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4 - HaK7AeAdlVLfprc9EAAAAPiSGIahlJKHmMthk9O8fzWM6U/9qT/1F/7Cn3uok3KKSFGHz/4beWut - vyilLK9ba8MwzPPcWuvB3n0LAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAfEFEdwMAAAAA8B5L9c/8 - mT/z5//8fxMRw5Bqq7vtLiJqq2+qh9ba8+fPU0oppYgYx/FNtQwAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAABcEt0NAAAAAMD7J9VINbeaW/3gw7sf//Ef/7Ef+9OltJRif9inFMOQ3lRXrbVpmiJiGIZS - SkTM89xfAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG+c6G4AAAAAAN5r0zSNm/wTP/ETf/JP/rHW - IiKGIZfS3lT74zimlFJK8zwPw9BL+gsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgjRPdDQAAAADA - +6Hlh/+6VCPVTU5R5t3d5pvf/OY3vvGHI6KUOo5v7O/npZRSSkR89atfLaXUWnvhm2ofAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAWBPdDQAAAADAe22/3+eca63b3fhTP/VTv//3/97WYp7rm2p/GIaI - 2O12L1++HMcx57wUAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG+c6G4AAAAAAN4LKSJdK3/2wa5F - mab9brdrrf3sz/7s7/pdv/0N9jvPc855v9+nlLbbbUopIlprb7ALAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAYCG6GwAAAACA99p+v99sNtvt9v7+xWY7bHfjz//8z//wD//Wqznfn0+P646Iw+HQv1xK - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgDdLdDcAvKNaO8Z6tdbe9lgAAADgy6BFPPyO3fLy3zhs - 56nWac4txhRjirvt+Pf/wS/9wA/8xnFIKWIz5ogYxzEiD8MmIj/8l+Lhv9tKKfH4d/yU0vJla61X - CH8HAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADge0FKqdYaEdM0RUTOQnKBd4unEgAAAAAA77Welp1z - 7nHaKaVxzB/ePfuH//Af/Ibf8OsjYp7rMAzzPI/juGRsf+e22+08zxFRax2GISLmeU7pyQxwAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAB420optdac836/32w2PcZbejfwTvFIAgAAAADgvdbjupfo7h6k - vd1uv+/7vu+XfukXv+/7vjoMUUtJEfN8GIbH0doton3Ofud53mw2EdFzu2ut4zhO0/SdzgcAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAL5I/WP2I2K325VSUkoRUWt9q4MCeER0NwAAAAAA77X+b3ittb6t - tdZaWyt5iK9//ev/+B//o6985XlE9NDuUsqb6rfnduec+z8f9gH0QgAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAeGdN05RznqYpIkoprbVnz5697UEBPCK6GwAAAACA91pKqbXWk7Nzzv3LWutms9nuxh/4 - 9/7dX/zFX/joow9q7dHaQ0SNqKejc0SOlqN95r+3939ETCmN41hKGYah1toLAQAAAAAAAAAAAAAA - AAAAAAAAAAAAAADgnbXZbCIipRQRwzAMw3B/f98LAd4RorsBAAAAAHjf9ejulFLOuad3p5RevHgx - juPhcPj3/4Mf+nt/7/9IKba7PE3lTXU6juPS+2632+/3OWf/lAgAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAwDvuxYsXETGOY611GIZSyjAM0zS97XEBPBDdDQAAAAAA51JKX/nKh7/yK7/8wQd3EfFbf9sP - /tN/+o9ypPRQpUbU76SLeZ6HYai1RkQp5dmzZz1B/DsbOAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - fLE+/PDDiHj16lX/jP20/ix/gHeD6G4AAAAAAN53KaX+L3m11lJK/7e9V69effjhh621/f7+o48+ - +u2/4z/8m3/zf372bBNv6J/8UkqllIjIOaeUWmvDMPgHRQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - 3n37/f7u7m4YhohordVa3/aIAB4R3Q0A76Ke0rXZbGqtIrsAAADgC9WDupcXS5B2amXM8erVq2fP - nt3fv4iIP/yf/8FvfeuvjzlSxDjmccwRNaV2d3f3nfRba+2vW2u9615+f38f/okRAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAIB3z263Wz5dH+AdJLobAAAAAACuWJK8c87jOLZWvva1r/3BP/Sf/eRPfjMi - WtR5rpvNJiJevXo1DMOb6ncYhlJKRDx79qwPI2d/zAcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIDX - Je0DAAAAAACu2Iy7FEOqbd4fhkjTq/2v/MovP3/+/L/8E3/sL//l/67O8fzD3TxN0VrOUev8Brse - xzEiWmullJzzNE1vsHEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD4chPdDQAAAAAAV5RSSikppVrr - MAwffPBBKeXVq5c55z/9X/9XP/7jP/bixT6liIha6xvst7UWESmllNIwDBGx2WzeYPsAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAADw5Sa6GwAAAAAArqi1zvO82WxyzofDISLu7u7u7u5Sajnnv/gX/+If - /+N/pLVIKXKKaO1N9ZtSiojdbhcRrbXeNQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPCaRHcDAAAA - AMAVu92utVZrHYah1rrf7+d5nqZps9lE1Nrmn/qpn/qjf/RH403GdkdEtNZyzvv9PqWUUtput9K7 - AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4PWJ7gYAAAAAgCtqjZzHeZ5TSnd3d9vtdjvmHHW/3x+j - tXP7G3/jW3/oD/2nwxD5C/hz+zAMKaXD4bDdbt986wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPAl - JbobAAAAAACuOBwOd3d3OefD4TDPcymltRYRwzDknHe73TRN4yb/9E//9I/8yH9Sa6Q31G9Kqda6 - 3W5LKRHxwQcfRETvGgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPhUorsB4N2VUkrpTcV+AQAAAJ/N - OObD4VVKaRiGiEgptZpSDJs8TK/2Uea7zThE++j5B3/37/yt3/27f0dEDDlS9AzvHJFzHlMa+uvj - f333qdITpmmKiJxzOulJ3q21HuPdWqu1frFLAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAN+DRHcD - AAAAAMBnUGvdbDY552maSin39y9+/a//d372Z/7uD//wb+sVhjxExDiOny9auydzR0TOudY6z3NE - 9ATx/X7fY7yXAO+e5w0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAsRHcDAAAAAMBnUErpydmllO12 - u9vtPv74469//evf/vbP/6bf9P0pRaklRR1z2u12Sw73UYtoN9pdSSn13O5hGCJiu92O45hS2u12 - h8OhlFJr7XV6BQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAGAhuhsAAAAAAD6Dnts9juNms7m/v+/h - 2S9fvvz+7//+X/iFX/iNv/HrETEMaX/Y7/f3n6P9zWbTc8EjopSy2WwOh8M8zxGRUtputxExDENK - ab/f92oAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAQnQ3AAAAAAB8Bj08e7/f94zteZ7v7u6ePXv2 - y7/8yz/4g7/57/3/7N1vr2RbXtj331pr7111+nTf2/MXPJYGBJ4JIILImAEmInkAgtiOYMIDhCKw - zD+hGGyQha0EC5kkY5sZQAOMwQzD3BGZwbJkJe8gsuQHeQF+kDcQ5Y8UnAy53adPVe291sqDdfvM - zcxkuOdM9/Tp7s9HpdKuql1rr31O36tdVaf29zOvff3Xv7PWPlbOOSJaRHv87ByRo+fo/7/vz6/r - OjYxTVNEbNt2dnaWUoqIlFJKqZRycXEREbvdboTDAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgCvS - 3QAAAAAAcA211mVZ1nXdtu3OnTsppcPh8OjRw1fv3zscDu9973tfe+3T9+/fjYjdbm6t/YUDfqne - +9jQ3bt3e++Xl5e995zfeEs/pXR+fh4RYw5Pbs8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgRSDd - DQAAAAAA17Cuaynl7Oxs27bT6dRam6Zpv98fj5c9ai7xLd/6/s985rV3vOPV03FNX3hei3hLGe9p - mtZ1XZal9/7w4cOISClN09Ra670vy1JKSSlFxDzP0zQ9nb0EAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AACA55V0NwAAAAAAXMPZ2dnrr7/eWpvnOeecUuq9n06nUkprW0rpeDx+4AMf+Gf/7BPn57sbjL9t - W0ppXdfR556mqfe+bVtElFJOp1POOSLGo733J7pzAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8NyT - 7gaAW2Q0twAAAIDbbNu2ZVlSSrXWiOi9p5RyziXSUqZ1Pe73y6PLhx/87r/6h3/4z6cpdkseL/hL - KRGRcx4LX0F/bGxu3Dm2OKreOedpmlJKIxw+njLWvFoAAAAAAAAAAAAAAAAArqXW2lob39kZXxp6 - 1jP6Msa3k3rv0zSNe1JKrbVnOikAAAAAAAAAAAAAuLne+/gr2XHtj2OBJ+U2fisAAAAAAACeO6fT - qfeecx5x7mmaPvjBD37iE793OrVlyRFRa41orbVa619Y7/5SI9EdETnn1troc4969+FwSClN03Rx - cXFrTwkEAAAAAAAAAAAAAAAAt9npdCql5JzXdZ2mqffeWpvn+VnP68vb7Xbbti3Lsq5rRPhKEQAA - AAAAAAAAAADPqfFHvLXW8Sey45z/6t3AE+FP7QEAAAAA4AmY57mUklrfjqfc2/HRxbKb/pO/9oMf - /ehHtq2VHCliNy8pWiml1nqDTYwsd2ttlL+XZZmmKaW02+3GCufn5/G47f0Edw0AAAAAAAAAAAAA - AABeeMuytNZOp9M8z9u2zfOcUhph7Ful1ppzPp1OETHmeXFx4ftEAAAAAAAAAAAAADynrk7dfzwe - c86994jIWW8XeAKmZz0BAAAAAAB4EfTex8d4tdbdbjdN0+XxuCzLj//4j19cXHzkIx9dlnw6nUou - W603qGvP87yu6/jgsNY6z/M4vU5ETNNUa922rZQyzrbjo0QAAAAAAAAAAAAAAAC4gWVZIqLW2lob - X9sppTzrSX2x1tr4AtG2bdu2nZ+fP+sZAQAAAAAAAAAAAMANjfPwj+tpmlJKl5eXZ2dnz3pewItA - ugMAAAAAAJ6AbdtGUbuUMs59M005pX5aDz/7cz/9d//uf3E6tYjoUXfz1Nt23fHXdR3n/ZmmaWzu - 7OwspRSPT7XTe08p3cIzAQEAAAAAAAAAAAAAAMBzYXxbZ13XnPP4Fs+t/bZOa23Excc8D4fDs54R - AAAAAAAAAAAAANzEuq4R0XuPiFprrfXs7GzcCfBVmp71BAAAAAAA4EUwz3OtNSJSSqfTKaXUc+q9 - T9NSa/35n//5lNInPvGHrcXWr93tHq4+L7x79+7Dhw8vLy/H/dM0bdu23++3bRunB9q2bZxzBwAA - AAAAAAAAAAAAAHgreu/juznzPLfWUkq11mmaxpd6bo8xq6ubDx48uHfv3n6/f4ZTAgAAAAAAAAAA - AIAbOz8/v7i42LYt51xrLaVs2zbP87OeF/Ai0O0AAAAAAIAnI6W0rmspZbefe+8pSq211rX3dPfu - 3V/8xV/8d//u//qX//Jftd7muZzWeq3Bp2la13VZltPp9PDhw7G5UsrYaERcnQ9orPw0dhAAAAAA - AAAAAAAAAABeVK21UkprLeecUhoZ74i4Wrglpmk6HA7zPPfep2m6d+/euq5OTQgAAAAAAAAAAADA - c+ri4iIi9vv96XSKx2fdf9aTAl4Q+VlPAAAAAAAAXgTbti3LMuLZvfeISCn13nPOKfXTeliW5dd/ - /R99+MP/6W5X1mt2u8f4o9I9Pimcpqn3vm3biIVP0zTOB1RKefL7BgAAAAAAAAAAAAAAAC+68cWc - nHPvfXyF53Z+VWfbtv1+P75StG1bREzT1Fp71vMCAAAAAAAAAAAAgBva7XaHw2H8TWzOWbobeFKk - uwHgFhlZrysO/QEAAOA5UkoZXe2cc2+pt1RrzTnX07qb5ilF2067ufzmR//p9/2H35NSRIr8+E36 - lEopc0ROqUTkN13+P/pjETHOqjOMWPjjTHgqpVy9yXC12rZtzr8DAAAAAAAAAAAAAAAAf6Gr033c - zvN+TNP0RcvjO03PbkYAAAAAAAAAAAAA8FU5Ho9v/tvd2/l3vMDzyJ/aAwAAAADAUzTP8+hqt7Yd - Do9KSZ/+9Kf+o//4e1L/wmd+0zTVWt+c3L6u8fQx4H6/HwsPHjyYpmld11rrNE3OvwMAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAMDLQKUDAAAAAACeruPxOE1T7/3VV1/dtq3W+ru/+7vf933fU1vf7eaU - Yl2P05SvSt7XMoLcKaVaa611bG5UwO/du3c8Hud5LqVExOl0erL7BQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAALeQdDcAAAAAADxFvfeU0jRN0zT92Z/92dnZWSnl/v37H//4x7/t296/butYbdu21rYb - jN9aW5al9z763PM8j/tzzr333W7Xe9+2LSKWZXlC+wQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC3 - l3Q3AAAAAAA8RdM0lVIePnxYa333u999PB6Px+O2be95z3s+9ak/+qZv+oYekXKUklprKfWIFtEe - Pzu/6fLl5ZxPp1POuda62+3WdY2I1trYbkSMqnet9WuxtwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - APCsSXcDAAAAAMBTtK7rtm13795trT18+HCe55zzbip//v/831/3dV/32c9+9t3vfts0pdp6StF7 - v9lWUkoRcTwel2UppeSct23rvaeUcs4ppVJK733btie6cwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AHDrSHcDAAAAAMDTtd/v//zP/3yaplpr732e53Vd799/ZSrplXvn/8N//6/unZ+nHsucU8S4RLSI - 9lYGb62dn5/XWiNinufT6VRrba2NYndE5Jwj4ng8ttamaXqK+wkAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAC3gHQ3AAAAAAA8Rb33UddOKZVSRlc7Ii4uLo7Hy1deeeU973nP5z73uXe+89XT6S21ur9I - Suni4mJUuodSSkS01nrvy7L03nPOu91ubBcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABebNLdAHDr - pJR6j4jIOfexBAAAADy3cs6ttRHSTimNxnZKac5lKVNbT6nVf+9b3vepP/7kK6+cjaekFGO1EeHO - +Su9mT/ePRjX67pGRK316tGxPLY7z/PVWw3btl0tSHoDAPBS6b3P8zwWxoH31eExAAAAAAAAAAAA - AAAAAAAAAAAAAAAA8GKQ7gYAAAAAgGeg9z563mP5Ax/4wB//8R+/8spZStH7GynuWteIaK2NhvcN - 1FpLKaNHuN/vx8KDBw+maVrXtdY6TdNXToMDAMCLpJRSSlnXNSJSSqfTKSKmaXrW8wIAAAAAAAAA - AAAAAAAAAAAAAAAAAACeJDUOAAAAAAB4BnLOI6S9rutuKuvh8oPf/Vc//ju/Pc9pWXKkGEHteS4R - UWu9wfgRkVKqtY6nH4/HUkrv/d69e8fjcZ7nUQQftUIAAHgZ9N5rraWUcZC8LEvv/VlPCgAAAAAA - AAAAAAAAAAAAAAAAAAAAAHjCpLsBAAAAAOAZ6L2PfvayLMfjMSK2bfv+7//+j33sY723/X5qPVKK - dV0jYp7n647fWhsZwtHnvhoh59x73+12vfdt2yJiWZYnuWMAAHCLjVB3a20cJ0dESmkcdQMAAAAA - AAAAAAAAAAAAAAAAAAAAAAAvDOluAAAAAAB4Buq23bt793g45JRSSrvd7myZLx8++PB/9sP/9Df+ - 8eGw5cdv4U9TXtfjdcfPOZ9Op5xzrXW3240YYWttmqYRKRxV71rrE90tAAB4blxeXh6Px4iY5/lZ - zwUAAAAAAAAAAAAAAAAAAAAAAAAAAAB4kqS7AQAAAADgGcg5L8tSaz2dTmdnZxcXF5eXl6+88sqj - R49+9Ed/9Nd//R/2Hj1iWabe+423klKKiOPxuCxLKSXnvG1b7z2llHNOKZVSeu/btj25PQMAgNur - 977b7bZtq7WenZ3tdrvee2vtWc8LAAAAAAAAAAAAAAAAAAAAAAAAAAAAeJKkuwEAAAAA4BnIOX/+ - 85/f7XbLspzWw9md3TzPjx49unfv/HQ6/dzP/Owv/Z2/nXOc1q3WmtK1x2+tnZ+f11ojYp7n0+lU - a22tjWL3mEBEHI/H1to0TU96/wAA4JY6Ho855/bYtm3j2BgAAAAAAAAAAAAAAAAAAAAAAAAAAAB4 - YTj1MAAAAAAAPAMppbOzs1ENPJ1O27allHLOh8Ph7Gz3+oM//+Vf/uWf/7mfiYi757t+o/EvLi7S - m6LfpZSIaK313pdl6b3nnHe7XWvtie0VAADcbjnnlNK2bfM8t9ZEuwEAAAAAAAAAAAAAAAAAAAAA - AAAAAOCF5ATEAHBLpRRSAQAAAPACq63V1qZ5XrdtnnYpSq015zzn0tZtP0852q/8vV/+mz/5Y5cX - x9QjUkTEskzj6SmliDzPu4j8Zd/t771fXa/rGhG11qvnjnvGnfM8R8TpdBr3hxiy7QAAIABJREFU - HI/HsXC1DgAAvDBaa733aZoi4s3X8EVqreP11LZt455x87k2XhVe7VHEDT+SHs968387rbWvenZP - xZjY1e/u6nUxAAAAAAAAAAAAAAAAAAAAAAAAAADwwnP2YQAAAAAAuEW2bVuWpbW2bafW6kf+61// - P/+P//1f/5v/KXqsW5xO27JMEfl0Os3zcrO6ds651jpNU+99v99//vOfv3v37rIsEXF5eXl2dhYR - 67rO8zyun/AeAgAA3HqllIgYoffee2st5/y817tLKcfjcbfbbdtWSpmmadu2lNJ196u1tt/vD4fD - PM+11m3bRsb7dv58xu9uLJRSeu8ppWc9KQAAAAAAAAAAAAAAAAAAAAAAAAAA4KnLz3oCAAAAAADA - F8zzfDqdWmvzPN+5c+d0Or322ms/+IPfv20RKXa7+XTaTqdTRNys251SGt3ubdtqrRFx//790Vfr - vY9Q97ZtYxq63QAAwEuotRYRV1nr9KI4HA673S4ixkvCbduWZblBb3t0uyNiXdec8zRN41Xqs96/ - L9Z7zzmPbvdYPp1OSbcbAAAAAAAAAAAAAAAAAAAAAAAAAABeDtOzngAAAAAAAPAF67revXv39ddf - 77231pZlORwOH/vYx9bT3/8f//W/OR7XnKO1KKXU2ud5vm7Ae1TZtm3b7XbH43HbtlJKznlsbpqm - iBjXy7I8jR0EAAC45UbsebwyenPp+QaV61tlv99v2zZNU611nudSykhuX9fhcCil1FpzzlcJ8Lh9 - P5+c88XFxdnZWWttvOxdlmX8BJ711AAAAAAAAAAAAAAAAAAAAAAAAAAAgKfOeUgBAAAAAOAWmef5 - 4cOH0zRN09Ra27YtIt7+9rf/1m/91t/66Z/+t//2fy5Tyi1tWy2lbNsp4tpptFJKSul4PI6bI0SX - Uhr17pTSqJpFRO99LAAAALw8rl4c5ZzH66MRfn5zxvt5dFWtHtXt1lpEXC28dfM8r+s6Fq7GvIU/ - n1rr+fl5PG6xR8ThcNjv9890UgAAAAAAAAAAAAAAAAAAAAAAAAAAwNeI3gYAAAAAANwiIxE3snAR - sSxLa+3Rg4evvvrqn/zJn3z7t3/LuvbxUK11LFxLSqnWOorg8zyXUsbyNE0jR1drLaX03rdt0+0G - AABeQimlUsp4fXT16uy2dalvYJqmkdweme3e+zRNN3hdua7ryHW31mqt454bjPO0lVLi8STHi1zd - bgAAAAAAAAAAAAAAAAAAAAAAAAAAeHlIbgAAAAAAwC3SWhsJtBFUe/To0bIs8zxv23Znv//0pz71 - 7d/2/lZ7ybHfzSliXN66UWi7Wq61zvM8mm2992VZxhwiYp7nJ71zAAAAz4dRpC6lpJRyzmPhBXD3 - 7t2U0n6/n+e5lLJtW7p+kjznvG3bsizbtkVESunVV1+9hT+i8Wo35zwmOV5rj98sAAAAAAAAAAAA - AAAAAAAAAAAAAADwwpPuBgAAAACAWyTnXGvtvc/zvK7r2dlZrXXbTrnENE3vete7fv/3f/8973l3 - RByPaynXTqyllHrvpZTRWouIsblRL6u17na7nPN4tPf+xHcQAADg9iulRETvfYSfW2vPekZPQM75 - dDrlnFNK27bVWnPON3jd11qbpul0Oo1XlxFxeXn5FOb71dq2bbfbres6fonjJfCYMAAAAAAAAAAA - AAAAAAAAAAAAAAAA8MKT7gYAAAAAgFuk9z5NUzxuodVaU0o559yjrsdlyn/5L33d5z732Xe84/40 - Ra09InKO0SF7LJcyf4XxI6LWelWeu1oYVe/W2siHT9OUUjqdTuPR4/E4FtZ1fdI7DQDA185Vpve5 - SxGPQ9OU0rgeh803cHW8Pa5rrU9ujl8L27Z90S9xBIl5Sm5Qtr61rv7Zv/mf0M128Opf3S3/L+h4 - PM7zPP6/MU3Ti/TbBAAAAAAAAAAAAAAAAAAAAAAAAAAAvjLpbgAAAAAAeA7knHPO67qWUr75m7/5 - j/7oj+7cOYuI3a60Fr2/kV0spYzw9s02McLhOef9fv/w4cOIWJYlIi4vL3e7XUSs6zrPs3o3AMBz - 5ypYm1I6nU7jZnqu3LlzZ5R3p2lqrW3bNg5Wr2vbtpRSKWWaplLKnTt3cs7Peueu4c6dOyNFPH4O - tdYbV8wBAAAAAAAAAAAAAAAAAAAAAAAAAADgRSXdDQAAAAAAz4FHjx4uy5RS37bT6fDouz7wnZ/7 - 0//ubW+7ezzWiOg9Rr2v1t5a3CDdl1Iazb9t20b5+/79+yPo2Huf5zkitm2b5/l0Oo2bAAA8R8Yx - XkT03pdl2bYt51xKebazeutyziNTHY8z5MuynE6n646TUhpHs+MAeLfbret61TW//aZpWtc1pRQR - o2JeSnmO5g8AAAAAAAAAAAAAAAAAAAAAAAAAAABfG9LdAAAAAADwHLhz5862ba21lNJut9u27Zu+ - 6Zv++R/+/v3752OFdV17TyPa3Vq77kcAo/a3bdtutxsLEZFzHqONYcf1sixPcscAAPiamKbpeDy2 - 1sbNeZ4Ph8NVz/v2GzNflmUkt+d5vkG3OyJSSuu6TtM06tfH43FZlnHc+1wYB+rbti3L0lobP4Sx - LwAAAAAAAAAAAAAAAAAAAAAAAAAAAMCV5+bUwwAAAAAA8DI7Hg67ZSk5n+33l5cXta73773yPd/1 - wY9+9KP7/ZRzjNrgtm27ZXdVZLyWUsoIOo6bo/+XUiqltNZ677XW3nvv/WbjAwDwDNVad7tdzjml - 9OjRo4jY7/fPelLXsCzLsiyn02me54hY1zUiSinXHaf3vtvtRgC7lDJK3s/X8e00TaWU8RMYP5Ob - VcwBAAAAAAAAAAAAAAAAAAAAAAAAAADgBSbdDQAAAAAAz4F5nl9//fWIuLy8PDs7670fDofe+w/8 - wA/8wR/8fmvRWux2u4g4no4jZ3gtKaVa60gYzvNcShnL0zTlnHPOtdZSSu9927acfb4AAPCcSSlF - xPF4jIg7d+7UWp+v3vPpdNq2LaV0Op1GdHxZllrrdcfpvR+Px1JKa63WmlLqvU/T9BSm/FSMA/XW - Wu99WZZxz1gAAAAAAAAAAAAAAAAAAAAAAAAAAAAArkhrAAAAAADAc2Cey9nZbl2PyzKt23Feym43 - j9Dghz70od/+rY+VHMfjcUT71nW97vi991FzHMu11nl+Y/yrKGBrLSJu0AUHAOCZyzmv67rb7Vpr - p9Mp57wsy3OUrB5THceih8NhNLxvkKweB70j2j0C3rvdbtu2Jz7hp2QcqI/c+Lquo7/+HM0fAAAA - AAAAAAAAAAAAAAAAAAAAAAAAvjakuwEAAAAA4DlweXlZSpmmadu2nPPjRN8pl1iW5cMf/uFf+7Vf - y6mdTofdnCNaRLvW+KPSXUrJOY/yX611NLzH8m63yzmPR3vvT2MfAQB4elpro3s9DupGwfr5Sj5f - RcfHseuod193kBG9johSyjjWPR6PT36uT01KaV3XlNI4LF+WpZQyfpsAAAAAAAAAAAAAAAAAAAAA - AAAAAADAFeluALhdHpcGovcopehgAQAAAEOZp7VuPUUqubc0lWVd11JK7i3alvr2Mz/1N//OL/z8 - PEX0U4ooJUWKVHKkiIicc0SMWOOXNd6FqLW29kbzeyyMruFYHkXAaZrGwtWatdZRPfRWBgDArTUO - CIdxjPd8uaqMt9bGYeeNDz7HUM9XtvzKl+51772U8kwmAwAAAAAAAAAAAAAAAAAAAAAAAAAAALeW - dDcAAAAAADzHSinbdlqWZdtOv/Irf++n/9ZPbluUErX2lHNvLeU8VpvneV3X646/bdtut4uI1lrO - eUS7I6L3PnLd27aVUkopvferRwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOBZke4GAAAAAIDn2OFw - WJbldDrlnFtrv/qrv/pjP/YjtcZuN/fadsuu1zai3TfodkdESul4POb8xgcKVwsRMc9zrbX3frXm - 1TIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8K9LdAAAAAADwHNvtdq21lFJrrbXWe/+N3/iND3/4 - b5yOa0Qcj8eIGNHu3W53g/FHjbu1NjZUShkx75TStm2llHmeI2LbtlprSulJ7hsAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAABcn3Q3AAAAAAA8D3r+wuVNtm1rrU1T3u3mWutut9u27SMf+cgP/dD3z3Mp - JUXEbjeXko7HY77+xwLTNI3rqwp4Sun+/fsRcRXqPh6P0zSVUr7qnQQAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAICvlnQ3AAAAAAA8xw6Hw6uvvno4HB49erTf7x88eJBzfufb7//O7/zO937v97bWU4pt - 22rtOUdr1x5/27ar64iY5znnfDgccs5X949eeES0G2wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - nijpbgAAAAAAeI69/e1vf/DgQSllmqbWtmnK81xef/318/Pz3/n4b/8H3/nvR4/e+lSitSglXXf8 - aZpyzjnnsdB7r7Xu9/ve+/379yOi1rpt2zRNtdbR8wYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIBn - SEIDAAAAAACeYw8ePEgplVJyziOh3Vqbpul4PLzrXe/6zGc+823f9v6co9bIOWrt1x1/27bW2hh8 - 3Jym6XA4RMTDhw/3+/2oevfedbsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC4DVQ0AOA26j0iIqWU - Uur92kktAAAA4OUxTVNE9N5HYLu11lpLqZcptVZLyX/6p5/7xm98b0REjxQREfNcrq4jYrfb/YVb - Gd3u1trVckppmqZaa8651vrmdzDGahFRa621juk9sR0GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACA - r0i6GwAAAAAAXkA554cXr7/jHe84Pz9/7bXXvvEb/3LvkVJExLrWeBzYTikdj8ecr/15Qe9927ac - c855v9/XWlNKo+E9ct3btpVSSim99zQ2DAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAE+fdDcAAAAA - ADwX8psub0Hd7uyWRw9fz9G+/i+9+w8/+Qdf//Xv6D3mKZY5RUStPSLOz89LKSPjfV3zPMfjBPiy - LL333vu4fzS8x2oppatlAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeNqkuwEAAAAA4AW0bVvOOecc - EXfu3Hn/+9//2muvvfOdr25brGtPKXKOUsrDhw9rraWU646fc962rfdeSqm1jm1N05RS2ratlDLC - 3tu21VpTSk9+DwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAODLke4GAAAAAIDnTv7y7/CndnXZny3T - nFvbItrx0WXU9r73ve+Tn/zkvXtnZ2dzRLQWrbWcc6SorV53Bq213nvvvdbae2+tlVJGovsq1H08 - HqdpukEXHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAG5MuhsAAAAAAF5Ah8PhwYMHKaX79+9HxLqu - KaXv/M7v/NSnPlVr7f2N1Vpr87LE49j2tczzHBHTNI3r1lpE5JwjYtu2iNjtdmNhPAQAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAABfA9LdAAAAAADwAppyefXeK9Oc/9f/7X/Z7eZ5LstuqrV+93d/96c/ - /elpyqWk3ntErKdTXKW837J5ntd1naZpxLmnaRqj9d5HLLzWum3bNE211tHzBgAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAgK8BqQwAAAAAAHgxreu6ruv9+/dba621y8uLXKLW7UMf+t7f+92P55zL+JSg - R1y73B3rus7zPLrdu93ucDhExDzPEfHw4cP9fp9zHj1v3W4AAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAC+ltQyAOB26b2nlMZyKeXNNwEAAICXW/uSy5fo+erSI7eecppajdrWXGIuKfeW+rpM+a/90A/+ - t//NPyoRKWIqj999yClSzLslIqdUIvKbLl/Guq5j4Xg8vvme/Ni48+rNjVrr1Tq9X78WDgAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAG+BdDcAAAAAALw0Upum6fLyYpryT/znP/5f/lf/IEeUeCOtHb1H - SuvpFBGllIjY7/c32EgpZV3XUkopZXS7t227GnPck1K6uLh4MjsFAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAbyLdDQAAAAAAL5GUUq0153w8Hn/2Z3/2l37pb6fUx0M55bPdPnpERO89Ig6Hww02UWvd - 7Xa11tbaCHVP09Raq7WeTqdpmiKitXZ+ft5ae1L7BQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIN0 - NwAAAAAAvETWdb1///6DBw+maeq9/8Iv/MJP/MRPRMQyl9ba5eXlNE0j732z8UsprbXj8VhKGfek - lHrvOedSyrIsx+Pxie0MAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAfAnpbgAAAAAAeNH1fHVJKT16 - 9Ojtb3/7uq4RUUr5+//gV37qp35yXWtE5BzbtvVeI1pKPaV+7U31vt/vR/y7lJJzjsf17ojYtm23 - 29Vac87ruo5HAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4AmSxAAAAAAAgJdISqm1djweW2vruu52 - u2VZfu0f/uqP/MjfiIg3t7RzzqO3fS2ttcPhMJ5Ya+2955yvhp2mKSJKKRExz/MNxgcAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAICvTLobAAAAAABeIiOkva7rnTt39vvl8vJi204553/yT/7xj/zwX69b - SxH73Zwiaq0554gW0d76+CPOnXM+OzuLiFJKa20EvI/HY0TUWmutY+WU0lPYRQAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAF5q0t0AAAAAAPASWdd1WZbee2vtcDgsyzLPc+/1zp07v/mbH/u+7/veiDge - 13kuEdHaNaLdw7ZtI9d9eXl5dTMiUkr7/X4sjBWe8I4BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABA - REh3AwAAAADAiym1L1zepJSybVspqbVtmvK2nSJaROtRl930e7/3ux/60HdFxLrWnKLkiIiUUjxO - bkdEzn/Bhwu11i+92Xuf5zmlNEbbtu2LVljXdaz21e86AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - LzPpbgAAAAAAINZ1TSm94x3v+OQnP/mt3/q+UqL3aC1yzqPV3XuvteacW2vzPN9gE6213W6Xc+69 - L8vSe2+tRcQogl8Fwi8uLp7ongEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPByke4GAAAAAICXW2qR - 2vl+tx4uT4dHy5T/xb/40/e975sj4uxs7q21WiMi57zf70dse13X625kmqbW2vF4HENFREpphMBr - rafTaZqmiGitnZ+fj60AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADADUh3AwAAAADASyy9Ecm+vLw8 - Oztb1/Vtb3vbfr//xCc+8d73vufyco2InOPs7Ky1djgcUkoRMTLb17JtW++9lJIe671v25ZzLqUs - yzKq3gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPBVku4GAAAAAICXRP7izwUed7sj+vnds4tHD+7c - 2T969PDOfnn/X/krn/3sZ9/5zvvzXFqLy8vL3W6Xc46IyGmr281mUGstpfTeI6KUMk3TWN62bbfb - 1Vpzzuu6vrEhAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuD7pCwAAAAAAeMn1iDgcDlct7ePxeDod - vuEbvuG11167c+dOznHnzp3j8ZhS6r1H75HSDTazLEtEbNsWEbvdrveeHo8zTVNElFIiYp7n0fMG - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAG5DuBgAAAACAl0r+sp8OtG27d37+8OL11tqyLMuyHI/H - 7/iO73jttdd2u92jR48iotYaEZFS3CitfTqdIqKUMs/z8Xi8e/duROScj8fjGPyN8SPSjdLgAAAA - AAAAAAAAAAAAAADw/7J3v7+WZeld2J+11t77nHv79rTHjlGwcYDx2HEINgaLwZExv2IlOLLGQY5k - LBKcQKJYCsFyooTBFjiEH/FvO2CbwcN4FAcSAm/yhjf5C1CEUZSXyb8QA56+fe89e++1Vl6suqer - enp6qqqrp6qrPh8tbe2zzzprr32lkq7OU/f5AgAAAAAAAAAAAITobgAAAAAAeOWliFiWw5tvXh8O - h1LKtp0iopS8rqff9/u+9bOf/czlxSHneJCn3XqKp4nWzjlP01Rr3bZtmqbr6+uISCkdj8dxUkpp - rT2zxwIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOCVJLobAF44vfeISClSSik9TQ4WAAAAQPT89nig - PTQenROptijT0lvqvZdSat1y7FNuva7f/olv+8W/+fOXF4cckSJKytFTROSpROSInFI5Hx8dj2it - 7fs+zs8nvfd5ns9fg5yvD9u2jTnDB/KDAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4OUiuhsAAAAA - AF55/dF6QWpvj2gR7Q/9oT/40z/5E4el5IjU+1SmiGi1PpieUkT0nubp8BQ3b60dDoecc+99WZbe - +wj5HqneY/11XVNK7wj2BgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgC8kuhsAAAAAAIiI/MWqBiml - aZq+67u+6y/9pb909dplj17rNk1TySWi5Rw5jw+2bT896V2naWqtnU6niAfrpJRyztM0jUTw6+vr - 3vvhcNi2bZqm9/GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvBJEdwMAAAAAAGc5IqK/XT7ovbfW - tm27uLj4/u///h/5kR+JiB697nutNSJaa/u+j5jtcXwi+7733ksp6V7vfVy8vb3tvV9dXY0b9d6f - 0TMCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwMhPdDQAAAAAAfIH79O5SSq21lBLRWq8/+IP/0Z/7 - L36olAcR3csyRURES+lB/PbT3W3cYoRzl1KmaUopXVxc7PseEdM0RcSyLNu2vd/nAgAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAA4GUnuhsAAAAAAIiIfF81eKR2MNK4930/nU455x7tz//wf/lnfvBPH49T - RNRaR3p3SqnW2lp7ihsvyzJuERGHw6H3nlLa931d13mea63jRr33eZ7f3zMCAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAADw8hPdDQAAAAAAvJueo+fWWinl4uIwz6X3uu/rPJcf/bG/+D3f8z2XF3Orfd/2 - FBG9RrSIc3T3w+dfwrquEVFKmef5dDpdXV1FxDRNI9K7lNJayzmPEHEAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAB4b6K7AQAAAACAL2rEZt/e3q7rmlKapmnf931f//pf/2t/7I/9sVLO0yIicn6aukPO - eZqmWuu2bdM0XV9fR8QI6t73fWxgzOy9P4tnAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4GUmuhsA - XjgjmCoics7ncwAAAIAvo3yuIKSUWmvTNOWcI9oYOeeU+0/8xP/wR/7IH+o9RoB3iuitlZIiWjwU - 4z1N03vfrLW27/s4P5+ML0bGfbdtG9s4f1WybVtE9HvP9uEBAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAD4UBPdDQAAAAAAxH0s9+NO7r1/xUc/8nM/93Of+MTvPWdnl5JqreM8pVRKiYh93w+HwxPvprWx - SETknNd1HVng+7733ud5Hu+u65pSOgd+AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgOhuAAAAAADg - Ye1xYrznnG7e/PxHri7/p8999lu+5d9MKaYp19oP87JMc0TUWmutx+MxIk6n05NuYpqmcz53KWVZ - lt57a22appHnfX193Xs/HA7btk3T9DQPCgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwMtIdDcAAAAA - ABCPmdh91nuf53ld18vLy89+9rPf+I0f2/e2LNO6rdu+RcQI3t73PeenKUbs+75tW0RcXV2NrO6I - yDn33m9vb3vvV1dXtdaxk6dYHwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgJeV6G4AAAAAAOCJlSlF - asfjcnNz81Uf/ejf+7Vf+9jH/rV13UtJ81ziPlF73/cWPXJ64vVLORwOEXF9fT1NU0ppBHinlC4u - LvZ9j4hpmiJiWZYR8g0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAhuhsAAAAAAIiISC1Se/zpvffb - 29t93y8uDut69zVf8zWf+9znfvtv/9pa+zm0e57nlFL0Hr0/6XZqrafTKaVUSum9Hw6HEd297/u6 - rvM811rHtN77PM9Puj4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvK9HdAAAAAADAvRHg/Rgx3ttp - /aqPfmVE7Ps+Yra/7uu+7ld+5Ve+9mt/6763eZ5zzq21/uSh3UMpJeecUmqtjfVHevc0TcuyjAmt - tTHn6W4BAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAS0l0NwAAAAAA8MRKmW9u7mqtOefj8bjva637 - 7/ydv+OXf/kXv/Irv2LfthG5HRE55Xjy/O7x2d577z2llFI6nU6llBHUve/7yO0ek586IBwAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAICXj+huAHixpJR67ylF75FzljsFAAAAfJn0/M7xnkaE9lRK9N7a - XkrKsZdUf9c3fcOv/upnrq6OKeLB6PlBPSKnlKeInFKJyBG5lHmc3I9HtNbGdyMjwDsiRlJ4Smma - ppzztm0ppdba2ExEbNt2nu97FQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgFeT6G4AAAAAAODJfWG8 - d2qRWinpGz/+sU9/+m9/xVdcpRRTmVpvOVJKKXrvreVSSikppYhc6xOp/OfQAAAgAElEQVSna7fW - 4j44POe8rmvOOSL2fe+9z/M83l3XNaW07/szeVYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+RER3 - AwAAAAAAz0zOeZqm7/iO7/iZn/mZ3qP3HtF61HmepzLlHL3tI2Z7WaaI9qTrT9N0zucupSzL0ntv - rU3TNPK8r6+ve++Hw2Hbtmmanv0TAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8GIT3Q0AAAAAADwz - +76XkmrbvvM7/+Av//LfbL3Oc0mR1nXd9721NgK2I6LW+nTrb9sWEVdXV+elcs6999vb29771dXV - WLn3/oyeCQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgA8T0d0AAAAAAMAT6yl6ih65v6PWkNo8z7m3 - kvp3f/cf/4mf+OvbVnv0Uh4EbffeDoc5otVaSylPet9SyuFwiIjr6+tpmlJKY92U0sXFxb7vETFN - U0QsyzJCvgEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHiliO4GAAAAAACemdZapHZzc7Ms07rdfe/3 - fvLHfuy/LSVa7SnFNOXe43Q6nfO2n3T9Wuv4eCml9344HMYi+76v6zrPc611TOu9z/P8zB8QAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACAF9z0vDcAAAAAAAB8eLV3vJ6m6e7u7vWPvHZ7e3s8HnOe/rM/ - +5/883/+z3/1Vz93OtVIbYR1H5bp7rTt+xqR33WdL2YkdkdEa633Xmsd6d3j4pjQWss5P0UuOAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAC+B/Lw3AAAAAAAAvDx67yM2u0xpXdfb27dS6v/1j/zwD/zA - n7y4mGuNUiIitm2LiJyfuE5Rax136b2nlFJKp9OplDKCuvd9H7nd5808w0cDAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAADgQ0F0NwC8WEag1EiVyjmPDKrnvCcAAACAJ5FS2rYtpZRLlCntdU2p/+iPfepP - /Il/PyJ6j5yjtTgsU28tpR7R3rFCGfneX0Rr7f4rlD5Oznne0zSllFpr4xsV0d0AAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAC+snHNEPBzYd24+P7rN7/seEa21cX0czxd1pAfeg+huAAAAAADgA9fa/t/9 - lb/8yU9+d2vRWqQU67pHRLqXcx5l0bivdz6pUsqojI51aq3nBQEAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAeNG01iIipVRKWZYlpTRNU611hHnXWqdpioiccyll27ZSSmttNKLPOY8M73EEeAeRFQAAAAAA - wBNrqbXUWoqW7i/1/EVHxHFeUqs/9zM/9Uf/8LenFBExzyUiemvRe++9tdZ7fz9h2733i4uLUVtt - rZVSlEgBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAF5kpZRaa61127aIGOndcZ/n3Vqrtd7d3UXEPM+j - p33Oudbae5/n+e7ubswHeAfR3QAAAAAAwAdu27accynll37pl/7oH/3O3mPb6jvm9N5HpTOl9K6L - vId5nnPOp9PpcDj03sdFJVIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgBfWyO0e56PP/NXVVdwHeJ+7 - 3B+Px4horY1W9uu6llLG+fF4PK8A8DDR3QAAAAAAwPvSUrR3Zm3niJwizmNeSsp9Xe9ee+21n/7J - n/z2T/zeiCglUopS0rmu2Xtv0fsTJ3fHtm2ttVFYnaZprLZt2zN4PAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAPgDn1O3D4TBNU0RcX1+nlFJKtdbD4ZBSaq3d3t7Gfbb3uq7LskTE6XQaHelLKc/tAYAX - mOhuAAAAAADgA7fve+89pVTr9pGPXH3mM5/5tm/7PbVG71Frr7WO8mdERO9Psf48z3FfK22t5ZzP - FwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeAHlnEsp8zyfTqfW2jzPOefee+/9eDyO/vPzPL/xxhvr - uo6I7mVZRuD34XAYH+xP1eIeeOmJ7gYAAAAAAJ5Ci2iPXskPjYiI6PnBiOi1LdN8OBxGvfP111// - qZ/6qW/8xo9N04PJrbUH0d0R55PHt23bNE2ttYgYFdN5nvd9f9qnAwAAAAAAAAAAAAAAAAAAAAAA - AAAAAADgg9Vaa62NxvLzPG/bdn7r7u5uWZYxp/d+OBymadq2bXxkxHW31g6Hw/PaPPCCE90NAAAA - AAB84EqZ13W9u7tLKa3rXa3bxz/+9X/3737mox9943CYH5nac29PHN2dUhr11FLKCPDe931UUgEA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAeAEty9J7770vy3I6nVJKI5M75xwR67pGxDRNo/l8rXVZlsPh - MM/zmBYR+76n9MT97YFXgehuAHg2zr98D8/k9++c8/m3fwAAAIAXSu4595x7nEdEe8fo6X5ERERK - ZZ6mFFFKiWj7evO1v/Wr/7d/+L9cXCzLIUdEa22alogcPUXkSPFgPIbzVyi11vN57/387UrvfUR6 - jxs90x8GAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMCrZWRm11ojYrSFL6U86SIjnPt8MmK849GW8vu+ - PzxzdKEf9+q9T9MUD/WrP39wbGzYtu28uHBAeHWI7gYAAAAAAL68UjuP3/bbvuZ//Qd/f56nMkVE - 7Ps+T/OzvVspZZQ/c84RUWsdJwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPJ0Rnn2O0I5H07I/uJvW - WlNKx+NxBHhv2/ZwzvfoRX86nUop+77v+957n+c5IlJK67qmlEYWOPDSE00BAAAAAAA8N6WUb/iG - b/jc5z53eXkRESmlbT8dj0tEix4PxvvTe7+4uBjl0tbaKJG+/50DAAAAAAAAAAAAAAAAAAAAAAAA - AAAAALyaWmsppdZaa21d12VZ5nmepumDvu/I7e69j5jwUsqyLDnnlNLY1Zh2OBz2fZ+maZqm8db1 - 9XXv/XA4bNv2Zdgn8CIQ3Q0AAAAAADw3tdZat2/7tt/7S7/0i6+9dtF7zTnu7m6e1frzPOecT6fT - 4XDo/UEMuFIoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMBTyzmfT5ZlWdd13/d9378Mty6lpJS2bcs5 - j6zulFIpJR6K7o6I0Z2+9357e9t7v7q6Gmnf5671wEtPdDcAAAAAAPDB6zn6u1Ql5rn03nNK/9Yf - +PZf+B9/9nAs+e1Z+aHxlLZta62VUmqt0zSllMbFp14QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOAV - d07pHqHdKaXee84feE7uNE1xH7894rrPod0ppddff/08c57niEgpXVxcjN2Ozy7Lol89vCJEdwMA - AAAAAM/N3d3dNOV1vStT+sN/+Dt//ud/LuUW6QsnPmVFYxRER+m0tTaKteMiAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAABPIaUUETnnaZqmaVqWJe5TtD9QIyZ83GvbtmVZaq0jkzvnfHd3l1IaTel777XW - fd/XdZ3nudYaEbXW3rt+9fCKEN0NAAAAAAB8mfSI/uiVy+MxWmttb22fSvp3/53v+u//yo8vU6SI - FC1FnEdEfmg8rm3bpmlqrcV9pXae533fn90zAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8Wkopo+v7 - yMn+zd/8zZzztm0f9H2nadq2LaU0orjXdX3ttdfGTnrvEXE4HMb2cs6llIdjxVtrOeeR/A28CkR3 - AwAAAAAAz83pdJrneVmW1vZt20pJ3/d9f+JTn/rUs1o/pTQKpaMUGhH7vo/iKAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAT2eEdkdE7/1wOIxg7A/6pvu+l1J67/M8R0RK6a233iqljPOIOJ1OvfcR451S - Ghf3fX94e+Nd4KUnuhsAXlzjN3UAAACAl03P0R9UKEop+76n3qec55LavuYcf/oH/8M/9+d+aJ5L - RBsjp0gRyzQ/8a3uq5611vN5733ct/c+gr3HEQAAAAAAAAAAAAAAAAAAAAAAAAAAAACAxzF6vNda - R+heznnEYz8cpB0R0zQ92/vWWiNi27a4b0c/rrTWznMeDudOKV1eXvbex1bH8Tzh/KmxyHBe/JwC - DnwYie4GAAAAAABeNO2Hf/jP/6k/9QPTlCOi5NJ6Ox6O2749qxv03q+urlJKo2T7zOu1AAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAvq9basix3d3ellFrr6XQaSd6ttVprKaX3vixLSmnf92VZntc+53nO - OW/b9tprr83zHBGllJubm/OEnHNEnE6nUsq+7/u+997HzJTSuq7jEZ7X/oH3Q3Q3AAAAAADwgesp - eoqI/HZtoufo71KnyBG5x5TjRz/1F77/P/i+pURtdZry3elmmacUkR7Men/76X3btogYpdyI2Pd9 - nAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8N5qrcfjcQR1Hw6HlFJEjNDr3ntErOu6LMvhcFjX9Xlt - cjSlj4jT6dR7TymllC4vL8duW2vj3cPhsO/7NE3TNI23rq+ve++Hw2Hbtmmantf+gfdDdDcAAAAA - APD8vFt6d0Tc3d0cj8tf/vEf++5/749HxL63ksu6PVxSfV81jlHyTCnt+15KGVfGCQAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAA7yHn3FqrteacI6L33lrrvY+o7NH7PaV0Op1Op9Nz3Oc0Ta214/E4zsdu - 53kerenP0d1xHzfee7+9ve29X11d1VrP14EPI9HdAAAAAADAl1m+HxER0XN6x4g4HA61bqWkn/3Z - n/7O7/jEUqJHTZHeZbEU73r5vdVaR6XzjTfeqLWOmui4AgAAAAAAAAAAAAAAAAAAAAAAAAAAAADA - e1jXdQRgp5QiIqV0jr4upazrWmsd8diHw+E57nPf94gYgeLjPKW073trLaX0+uuvn2fO8zzevbi4 - GDOnaYqIZVnGx4EPHdHdAAAAAADA8/JF6xS1bbVtuURE+/Snf/kTf+D3txY9+rO68blMe3NzM01T - zvl8EQAAAAAAAAAAAAAAAAAAAAAAAAAAAACA97AsS611nI+g69HyPSK2bRt53iPM+3Q6jQzs52JZ - loiotZ63N8LFIyLnfHd3l1Iab/Xea637vo9U8vF0tdbe+0j1Bj50RHcDAAAAAABfBi2iPfw69TFy - 6jnGeGjycVlS7/u+p5Ref/31v/W3/ta3fuu35Pwu6zydfd9zzqfTKaW0LMvDtVsAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAN7Dvu+llFpra20kYbfWaq2jA3ytdaRfj2Dske39XKzrOk5aa8uyjN2OWO7R - nf5wOEREKSXnXEqZpmmkfZdSWms559HKHvgwEt0NAAAAAAC8aPLd3SnnMs9zSunu7uaNN17/tV/7 - 3Mc//rH7CSPAO0e8I/P7CZxrnKNcmlJS9QQAAAAAAAAAAAAAAAC+tP4Fx4feOY9ne8N3X/aL3qa9 - 5wAAAAAAAAAAAAB4v6Zp2ve99z7CrUckds55JGH33u/u7nrvIx77+faBHxuL+6zuWut4OXZ1Op0e - 3ue4uO/7yO0eK4x3gQ8d0d0A8OKSFwUAAAC8dN5u79LTF4yH2sdM5dhbqWu0WqdpimjLlP/hP/j7 - H/vY15USETHqlIflIiKnKJEi3u17lPQuI6fIEVFrjUfLnCml88ve+5gQSqEAAAAAAAAAAAAAAADw - iunRzuNLxWC/HYbdH7300F8ltXhowT7m98ceEfV+PFj2i8x8O9u7t2j1weg1+sMLCPAGAAAAAAAA - AAAAno1pmqZpGufnMOxzBnbOubW2bVut9dzv/eFsvvGRczz2PM/jZRn96J+dWmtrLSK2bRtXxstx - HN7Rtf7y8nL0q08pjePDk8f8fd+f7T6BZ050NwAAAAAA8MLpvUfknHOKEhEppWnKx+Py9/7+r33N - 1/yruURrLed8Wk8lT72/W2r3U1mWZdQ4W2ujKLvv+8MVXAAAAAAAAAAAAAAAAOAVkL/EsecHxxTR - 88Mx3V9stfSOtm/pcUePSPefyPfnEY9kg0eK8VdW95HhOVJ6MOL+GP5OCgAAAAAAAAAAAPjAnVu7 - j37yI9u7936O9E4pHQ6HuE/LPreFHx9srdVan9fmI2Ke55zztm2vvfbaSBMvpdzc3Jyf6+7uLu4f - 5DnuE3gcorsBAAAAAIAXTu89pZ5zTin13kdhdVmWr/7qr/7sZz/7W37Lv5JztNZS6rXty7xEjy/V - 3easRbQv9t6+7+cKaES01qZp2rbtmTwUAAAAAAAAAAAAAAAA8KGQIlLkcewRPXKNqJFrxB65pnh7 - RB6Z2dEj9SgR+X486MU4/vSp5+gjvTvHgzUfd0REiX2KrcSeYovYI+2R9sh75PvzeHu0aHvKa5r2 - 84hpj6nGVGPqMfXIXQ86AAAAAAAAAAAA4ANzbi8/QrjXdd33vdZ6juU+nU5xn9VdSqm15pzXdc35 - wX9xXJbleW3+3Jr+dDr13kdE9+XlZUqptRYRx+NxnIjuhhef/zYNAAAAAAC8oHrvkdqorfbeI6K1 - 9rGv/x1/5+98+iNvXOUcvfd5Lut296zuOHK7c86j3jluOi4CAAAAAAAAAAAAAAAAr6AUkeLtTO7y - 2J+KuE/e7vdX+7vOfbylHtF7RI9+HhE9RU/RS/Qc0SJ6RItojy7ycOO5d6SDAwAAAAAAAAAAADwT - 27allEopEVFK2bZtWZZpmkopI+t6dJ4/B2DXWs8Z2K218cF1XZ/X/qdpaq0dj8dxPtLE53nuveec - b25utm3LOe/7fu5pD7ywRHcDAAAAAAAvnJR7j9qjRkRKKeXe+l7bdnFxqLX+rm/6Nz77mb97eXks - JW3baZ7P7W7yO8aTNo7Ztm3ccZqmWmsppbU2LgIAAAAAAAAAAAAAAACviB7RR+x1vw/BrpHuR2lR - WpQeJaI8HK2dHvw1U/vCSOxHX6ceqbfHHBEtYuox15j2mNeY1vvjFvMWc4u5xxx9jj5Hm1PLS4tD - i6XF0mNqMY3d9nHftzfc7of0bgAAAAAAAAAAAOBZmed5BG/v+x4R0zTd3t723uO+Ffzw5ptvjjDs - aZrGu9M0jY+fz5+Lse2x1XGeUhpB3cuyXF5ezvNca52maV3XEewNvLD8EwUAAAAAAF44KaXee+89 - pZRL5JxzzimlN998s5Q0zfmbv/l3/8pnPp3SO4us79O5Ctt7PxwOp9Mp5zwKtAAAAAAAAAAAAAAA - AMAro0W0Hi3Sfbx1eujNfn98OPI6Pcj4bvHIxUfG29fPEx/n+GDlIT+0cH6PXnJjxnmH/ZGRHroM - AAAAAAAAAAAA8GyllCKilHJ9fZ1Suri4GFfGcRih17XW0Wq+tTZysu/u7nLO4/y5WJZlbOwcy917 - L6VExLZtpZSU0ng5ZgIvMtHdAAAAAADAC6qn1tPbnWpyzhfLIfdY1/V4PP6eb/7mz/zKp7/ijdfu - S6zvWvXIEbk/dgeZfd9LKa21iKi1XlxcjATx9/kgAAAAAAAAAAAAAAAAwIdHS9FGXnaP1lPrufXc - emm9tBgjt8gjgTsiWqQ94u3RY2/xyJVI9+PBlYiUH3dEjogcUSKmiKnHcj+mHlNvpUeKFil6ajVH - za3n+9vlh26dWqRHM8gBAAAAAAAAAAAAnrV93+d5Pp1OKaWrq6uI6L3v+77v+zRNp9NpTBvB2L33 - m5ub0RA+pXQ4HMa78zw/r/2v6zpOWmvLsrTWSim11hHUPfY5RMRzjBgHHofobgAAAAAA4EV0rji2 - 1mqtIz87pbRt29XV1eff/Jevvfbad33Xv/2jP/oXLy+XZ3jTWmtE5JxTSr33UsrYBgAAAAAAAAAA - AAAAAPCK6JEjUkS0iBHT3R6cR42oET2iR+sxpkREfnikh1eKHqnff66mqBG9R/TIj32M9CBNvEW/ - P749znto9zcdueMP7hhpbKA/Mq1HivuHBAAAAAAAAAAAAHh2pmnqvS/LMtrLb9uWUpqmaZqmWusI - 527t/P8e4/LycvSH37btrbfemue5tbZt2/Paf0SUUs7J4hFRa805r+s62tePDvYRkVIaed7AC0t0 - NwC8cEYWVEoP4qnG79wAAAAAr5Te62gBM74bOQdp73W9uDzcvXV9dXG8u7mu2+mT3/s9P/7jf7nk - SNHmkqf8IPD78vLyofVy3DepGeOL3/fBm621cd57f/grmtvb23Hx4YIuAAAAAAAAAAAAAAAA8NLo - kWukGlONKWKKyD1S9MiRc+Teeo4ckW/vThG5R24tR8/Rcuq59Fx6zjHlmFJMESV6iZ4eCvaOFJEi - p4jU4zGPsffoEe3BOj2lyGM7eas1Uo6e295Tz6VFqZFGzHgfGxvnKXqOvT54xvs/j8oRKboAbwAA - AAAAAAAAAOAZSvciYp7n8/WReB0RIxh7zBxJ2OOYUrq5uRmd4cfHx8zzaudFxvXRxP687LNSax29 - 6M8J4uPluWX9yBqP+1b2I638fOUc+D2unE6n81vPdp/AlzQ97w0AAAAAAAA8rpTSuq773i4uLlIq - 27a98cYbn/zkJ9966+av/tW/sdc9InIp83y8ubmZ5/lcznyfSinbtpVSLi4uIqL3fi7oAgAAAAAA - AAAAAAAAAC+TFFEi9R6jwWOvUXKKeNDtccpl27aU0sXxYt3WeZ5TTtHP70dEpB7RI3q7P/aIEZXd - IiJSix6PfOBLyhF3ayyHaPVuOx0vLnr0Frm2Wua5Rc8p5VJi3CeVSD1SiZ6jtRh/CTVaPU5zq7X3 - PSJKzinF9fX11dXV+/2RAQAAAAAAAAAAADytcyZ3ay3nPJrA995bayPSe7x7zvOutU7TNIKxR6J2 - rfV4PN7d3T2X/eecx5Zaa+dt11pzzvu+T9N0OBzGSUpp27aHs8yBD5robgAAAAAA4IXTY7SDeef1 - FDFNU93vbm5ucs61bjdvfv61i+MP/MCf/PznP/8Lv/CLKUWv7VRvIvKzyu0epmnqvZ/rneqaAAAA - AAAAAAAAAAAA8HLqkWqkGrFHHCL2iCUiYj3V2veLi0MqueSSos0l5+g90n4f3p175N6jtWgtao/e - o7ZoLVp/kOQdEdEi3T7BfsoUOcdyiNu7OC7HwyF6u727OVxc5Zx6tB5x2k9znlOPknPkdFr7tORI - sda0lNj2Puc0IrzTVHJE9Nj30zTNV69dRrTo+YmSxAEAAAAAAAAAAACeoYcTr0sp67rmnEdz+JTS - uJ5SGr3ip2na9z0ilmWptY7A7+eV2x33meLnZPFxXkqJiGma1nUdW13XdVkW/e3hy0x0NwAAAAAA - 8KExyqXzPJ9Op8PhcHl5eXt7+9Zbby4Xlz/0Q//53d3tpz/92YhectlbLWWutT6T+56LnedKp7om - AAAAAAAAAAAAAAAAvJx6RI1IEUtEjzRFtIgcy1Kip6j71Huc1ugt5ym2U0p9yiXSSObu0Vr0FjWi - tj/7Ld+6tLbUNrU2tZYjUo+eekstoj3mdu6m6fr42md//dejzHF3F8sU+3a5HOLzn49SIlrM05Ry - 1DVSRI2IdFiOe0RtMS+pR0xT6hFri5IjRZzubo/LYZrn2GvkErVFyR/gzxMAAAAAAAAAAADgi9u2 - bZ7n0QG+lLJt27IsEdFaa62NVO8R4z0yvEf/+ZHwPaKyz7Hfz2X/Oeda6zzP+7631uI+wHvf91LK - siy99/FQd3d35ycFvjxEdwMAAAAAAB8a0zSdTqdlni+Ox9bavm1TKfPFxc3pbpqXv/Cp/+Y3fuM3 - /tE/+t9rq3OZ9rpFPJuWMSO0+3A4RMS5uvlMVgYAAAAAAAAAAAAAAABeLCliiqgt9jUOS69rmkpE - i1rj5q2YlthqtBx7i9N11PjUJ37/dHc99T0iInrqkaOVHrm3391z7q30yNFS7/FgRrQU/bG3s+d0 - d3f6ax//+tRimee72/V4PP6L3/z88SNXa0qnkn76//5nUXLMEW2LwyFKiX2bpuOUY28t5XK3bdM0 - pVy2WpcyXxwvWq3RS7TW1y0dDx/ETxEAAAAAAAAAAADgcczzvK7rsiz7vk/TNE3T7e3t8XjMOW/b - Nk1Tznld12maUkrTNO37fv7sNE3btqWUnldud0TUWpdlWdc1Is4J4ofD4Xg87vtea805z/O8bdvx - eHxem4RXluhuAAAAAADgQ2OaplF3TCnt+957f1A0TbmUdH39+Z/+mZ+8ubn5x//4/9jr/iVXe3zj - RqfTaWR4j/Kn9G4AAAAAAAAAAAAAAAB4CfUWfYvYI0ec3krRY63RWuwtevsz3/jxq8iXKfpv3lyW - coj4rbVdbnf30d0PMrlTRO7RW88R6eG1I1pET/cv0pc+thSXeY2IWmP8RdPFvn9lW6e3bk8p/cv1 - 9qc//q9fR18PeV+m67pe9/if/5//N5ZTpDzlHCm9VqZoe6QWOW+31+V40WtrPfI8pUkzOgAAAAAA - AAAAAOA5SylFRCnl+vr66urq4uIiItZ1PRwO27bN8zxNU0T03kcAdmvtHJg9wrxHD/nnsvkRPf7w - BlJKp9Mp5xwRh8Nh3/fW2jzPI5v8uWwSXln+yQEAAAAAAC+g/I7XqUdErHfbMh16f1ANLaVEtNvT - 3bIs274eDoebm5tf+IVfePPN//Sf/JP/s9XYanvnwuemNk+llDKKnXK7AQAAAAAAAAAAAAAA4OXU - a9y8Gb3HHnHa/qtv+/3lrduL2r5iWsq2fvPpZs4pUo2InPJp23JE7pFbRES/j+XuEb2/HcAdEeMv - nXqKliKf870f41giUkRKMS+ptV73aO0mRdTtrRbx29/46P/3m//ioxdX+21br+/26GWZf/Zj3/TW - vq8R7fLyb/yzX4/DEtMSkWKe5uUQd+t0vIgUNWJrkSKW/Ei+OAAAAAAAAAAAAMCXzb7v8zyfTqfD - 4XB1dRURvffRi34kXkfE7e1tSul4PI4A7Lu7u6/6qq8aF5/39g/RwcMAACAASURBVGPbtrgP8K61 - jijxcYyIWmtE5JxzzrXW3vuLsGd4dYjuBgAAAAAAPjR679M0rdvdqCne3d3lHNM0tdYiIqV0PC7r - uv3tv/3LP/iD//E//af/17O6b0qptbYsy7quEXF5+f+zd/cxlmTnfd9/zzmnqu7tnpl946soiuLu - cim+LGkqVmIigQNEBiT4ryBGEihOBMeiZMaBRMuiQAuWKZoQrTebBmPJEkUSCgIkAoz8kcABEiRI - AiQIYFhGkFiWaDlQEkeQEolacmemu++tqnOeJ3+c7ru9s6S4u5zd3pn5fnBRqFv3VNWpuj1AT586 - z++o1srQJgAAAAAAAAAAAAAAAAAAAAAAAHBXPJdkHS/47IUzeOJrfnrH3nbHZ3a5nUsuD7kULne5 - JJdLbf2Bd797O8/Dsj46Hj327Mmj42bYLznONoqkyEpn3iJrXdpYFK4iHWYaZcnt/OwhWRxCu1M/ - 68XZXwJvurbVyS5M2o5pXjybUlJxm289u5Xybj9Jx5YU4euZKR6zqGV89vSZTz/9vq8s6zxtzkpe - p+1nf+0f6uhI7pLlcchmypKSdFh+zV684Fanw2VebvbV5lz90UcGAAAAAAAAAAAAAAAAAAAPrlJK - RIzj2Gu/r+s6DEPfmFJy95TSdruVVGvNOUfEdru9efPmMAxm1hOyzSzihc+hvkp6bnfvRq01pdR7 - lXPu0d3DMKzrOo7jfr+nvj3waiK6GwCA15yIMFOESimtNbMXzE4CAAAAAAC4/91ZeiZMklKxtS2W - kqTmnnKWFK6c87rMOVvONuaUhvJLv/SLH/7wh//R//q/9waSlEwR5yVwvs7Q6QsLwYSkdV0lpZTM - rI+/ttb6AK0uRmT7IO43du0AAAAAAAAAAAAAAAAAAAAAAADAAyQuZhPl/t4vzS2ynrednsv2vrxD - eq5Zb9AuBXSbpPBkikjhSkkKheTNS5ZaVayqTftZLq31o+97/7AuR1Zst3vKWgnPrry/lS3yfJok - M689ddtbSOFKpliVQhHPzxOP827qYmJUvzC93AjrYtrvVUySltXNFFK7iAFPppB7KC5is3t3Ulse - zmonJxtLrdZmaY5bP/vOd91W20/l5379H2swWWiz1XjkSikPiuThKaXalPPl78HrOpeSJVN4pNRk - ptSvyC/VxzF5UthhglgkyfqX+LIuHQAAAAAAAAAAAAAAAAAA3P8up1kPw3B54+XC76UUXcRj55wl - tdZSSsMw9KjscRyXZSml9Le6KCzfm/UU8F5Mvidq3y29iL2kXrXeL56GPZylN1jXNee8LEu/xl7o - vrfv1e/vYpcAdER3AwAAAAAAAACAe15E9CHGdV0jYp7nN735DX/n5z/zfR/6gd/8zX8mU8mpeqSc - vYalFKov+thJ8riI+u6jqn28s2d1z/M8TVPvQ292GOYEAAAAAAAAAAAAAAAAAAAAAAAA8OKdx25b - UlwEP5skHSojZl2Edl+uTHix7pIpQi6ltq7DMCRL+/1+M21CMpdCti7JTGez5Krrv/P442/YTOPZ - 6Q3XN9V6HIpluT5O6zp/1R6251dETKHnRXZfJe8Z4Yek8B7inUIpfJDnkKShDLdvPfvION6edz/5 - 5DtuW6xH0+/P83/6f/zfaTpWqsophankkodocpOS1roOZSjD5G1N2WTJZPlSFHdf8/OVZIdVAAAA - AAAAAAAAAAAAAACAuy0iSimHcvHrus7z3FrbbreXc7t7jHdvVkrpKdr9bWtts9ns9/sr6f84jhFR - ay2lRERrrUeSA3gl8K8LAAAAAAAAAADc81prKSVJ7r7ZbEopt2/ffstb3vL5X/7c93zP9/zz3/m9 - 1lyhUrKmvMxfvW7OJb1+T7q0LjNLKfVY7tbaOI7u3tO7l2XpWd0pJTP7GscEAAAAAAAAAAAAAAAA - AAAAAAAA8EdxKZnMpDgPfg7zJjW5em63pEgytazoZdRCkofkckltXcZhlEfJo1wKbYaN5tWGqjpr - karr1v6j3/7HN6e7rfu/VFLe7YrC1/3xNNZlztK8zPlSBvY9x00hhZ2nd6tHjEs5tK7rkSyWNo3T - zdPlxmban/gb0+bT73j/mfuzXv/mb/y6rm01ZqVkmymnPEd4tiZVpZynFsqhdB6y7ofpV5JyJEWS - kiw9P1udJG8AAAAAAAAAAAAAAAAAAHA3zfM8TZMuCsh3tdZlWa5du5ZScvdlWXo+9+Uw79aau6eU - riq3W1KveN9L6y/L0i8EwCuE6G4AAAAAAAAAAHDPi4iIGIZB0tnZ2dHRkdZ6enr61re+9Vd+5Ve+ - 98/9ud/5nf83Z1uWRUoyU7y04w/DsK5ra01Sa20YhmVZ+kc9vbtHekua57mU0tcBAAAAAAAAAAAA - AAAAAAAAAAAAfF0mpYsI6HaeCX0e9exKukigPg+DNldSXLTMdv5RVpI8D6Oay6R11dI0buTSuqqe - anfyg9/xL0+n8/bm7vHpyOfTx8rRbndz0uBaS87zft6amrQtad/8hf28V6SQmxSS9YXcztO7N2Vo - dc2yedk9mjf73brNZW6ng+Ihlcem4afe9c5b4/Dou5760f/q72t3quPrU8lKJaKuHpEH69nqTQop - JSWXWk9dl6RIivNT91eYpHTPxqADAAAAAAAAAAAAAAAAAIDXHDObpmldV3efpinnvCxLj+Uex/Hm - zZt9o5nt93sz6xXmezMzk+TuOee+/dXXi+qv6yqp53b3QPFeaR/A3UV0NwAAAAAAAAAAuOeVUlpr - +/1+u93O87yu6zQNOednb375iSee+PznP/+93/u9v///PSNJ8mTJX1R093PlddbVx3FclqWUUmut - tW632/1+HxFm1tO7T09Pj4+P+wAnAAAAAAAAAAAAAAAAAAAAAAAAgJenT/3pc3vSeYr3czN9IrlL - plakUAqZeZH33VKoRk7JpBQqodtfVpi8/vi7n7q2zG+PlL2N4eX02aOxnCw3j6wka9VjbnVKUsil - XXW7l7OmLTREktTC23l4tsIUobO6JilLG427titKg6eNDa5l3Ljvdm8a88PLcvJr/9snvuntcePh - v/6Pfk3HW4Xs+HgsWaHaXClJ58nc7SLF26Rskft3Fc8Fh/eSlvmQvA4AAAAAAAAAAAAAAAAAAPCN - aa3lnA9B1z23W1KP5d5ut5IiYl3X7XZ7Rz53KWVd10Oe95WICEk5Z0m73W673eac+1sAdx3R3QAA - AAAAAAAA4J7n7sMw3L59u5Sy3W7dfZ7niHjooYf2+93b3vYtX/jCF/7dP/u9zz57qydwv4xT9FHM - 1tq1a9dOTk52u52klFLf3tO7JfXR1lIYggEAAAAAAAAAAAAAAAAAAAAAAABeLJMO5QbjIv1Zkvft - kc7Toc1dKeQmpYsdL4dCmxVrq9ad3LWbf+jbP3C8LNv9/k27/ba15ipJcuWkk6W94drR2dnZqWuS - Npvx1n6ZTEeb8Wxe4tW8+FfA+eymkKRm5zezJWXX0TQu+3VRvTEe7ZblLPZTSpZ0c+fXknxpW+n6 - MC6RTm7e+rn3/bFnIuKRR3/mf/6fdO1Iw1ByUs5K5SKOu38PKaQmSyZLF1+epIvQdWpJAgAAAAAA - AAAAAAAAAACAuyXnvN/vN5tNRLh7z+2OiJSSpP1+PwyDmQ3DUGvt7d29tdbL1JdSaq2H2vJX0v8e - HO7uPWhcUq2V+vbAK4F/VwAAAAAAAAAA4J63rus0TUdHR6211lpKacyllLLb7cxsyOXd3/ZtX/j8 - L3/f933fzZun9rzaLy9KKWVd1z6eenJyIsnMcs59wHUcx9ZaH2EdhuEVuD4AAAAAAAAAAAAAAAAA - AAAAAADgfhdSk3qMd1JLqhebz8uluZRStt5KUliYlGSKIpPUXMuq053q/KNPP320P3trm49bzU2t - aXM87naLS8OU5HGU0u+dnD1s6TiXfVuWqia1YfOl/T6ZRlO6Z+O7k9SjzV3nQegtnc+oWqR5v2zH - tC5xqmWnOk3j6tVX3Tgabp2t17flZFentqTkY/PS1uRa9/uf+cC335J/6td+TduNsvTIjbDBlHKk - bEnnX51cnkzWw9QjmZ0newMAAAAAAAAAAAAAAAAAANwttdbNZrOu6zAMPZZbUkqpb9lsNr1ZL1lv - ZrXW/X7/2GOP9cL1V9r353VsnueeOy7ptdAx4L5EdDcAAAAAAAAAALjnbbfbk5OTUsowDD1F293X - dTVLEVGGstvtPvCBD3zmM5/58Ic/vCy1+Us7fq3VzNZ17fncpZRaa8/tzjkvy3I4b0REBKObAAAA - AAAAAAAAAAAAAAAAAAAAwEvh5+HScZ713POnn3P+qcL6h8n6LuEKtwi1qnX90Hve99DZ/pF5/mZf - t63aXK8Pmpus6PR0KUU5p3l2k0r2G+NUa13aMuRxjjDleVmncRO1KrwnX9+L/CIGPSSX/NJ9TEk5 - 27y6TKu3PKazZSklR7LTfZ3GfGtXr03DMq/yupXM162VtS3rs18ZS/659z09K47e8eRf/h//O5sm - aVTKsqSk/NwXdnHfzKWS++qrewcAAAAAAAAAAAAAAAAAAMB9rJTSl+6eUnL3Ukpr7VAuPqVUay2l - xIXtdnvz5s1hGHqSt6ReVf6q+t/7MI5j70Pv85V0BrjvEd0NAMBrl5mZ2cW8MQAAAAAAAHxNtdZh - GCS11iQdwrOLKeW8258O47Cuywc/+Cd+/uf/zvd//39QSqrVzZSStRY5Z7PcByklPa+qzsWfZy6P - nh5amlkfgl3XNaWUUrqc3h0RrbU+LttXXo17AQAAAAAAAAAAAAAAAAAAAAAAANxjXHKZlJLiPOfZ - QuPhQ5NMEVpbSylLSk2yJDVF0zxrv/7ou99z/WT3ntY2bRm95XCTwnTqiixzlaTUpOqTkqTmmqO5 - WSulKlKoWMqhsjT13Ot7Nm46TLMkye2ick3ILu5q1Mj90jwUMSa1CE/Flaz6VpbmdnxxqBYRWrOk - tJaWvKVrsv0Xv/gTb3ni2Wn6zBd/Q5uNplGW5FkekpRStNlSUsnhVU2WS/8GAQAAAAAAAAAAAAAA - AAAA7paLmL/zJO+c82F52NgbXF4uyzIMQ4/67rHfOede317SMAzrur7SPa+1HoLDL6+80ucFHkzp - qjsAAAAAAAAAAADwSlnXtQ959kHQcRw/+MEP/sIvfMbDU1KEWothGFprtdZxHF/q8Q953n1stUd6 - 95Ha/X5vZqWU09NTM0uJQRkAAAAAAAAAAAAAAAAAAAAAAADga7NQkrIrSSbFeaK3QmqSyZLGktvp - WWmeqmtetZv17K0fePsTn3rvex/9g99/2zK/YT59uK5H7kMohdzUlFzJImVPOVKWipTVT+JhLvOw - kIUsTJEVWZ7kV307Xj431aSa5HYeQG5SdhVXjue/XClUXIOnoaXiyWSm84vv977vXlyD+7bVbVsf - mtdv3i9v/vJXPvnud//7b36zbn1Fu532Zz3xXDIbJpXBW5gly0lRr+5mAAAAAAAAAAAAAAAAAACA - B12vJ19K2e12wzBIOjk5iQh3H8exZ3j37b3UPID7SbnqDgAAAAAAAAAAAHzjejD2pYI45pLGqUS4 - tWjLLNeyzsfb6bu+67s+9alPfvzjP+Fr5KzW1pSSlJZl+SoHjq9z4h7L3VrLObfWxnF0dzNzP+/M - 8fGxLrK9CfAGAAAAAAAAAAAAAAAAAAAAAAAAvqqQ1p78LGVTPszrMbXskmdJNaaUdbrTsqj5j7zr - vW8Ie3qu4/zstc025l1IVWpJLrnJlVJkU+TwLDdJUpK7VEIWkhQmN08hmcJUQ9K9HNx9wXs8eSiH - LJQlu/RpXCxNSuGDXPJQVHm/gXHR2kLpeXOsUpIPNr/JYn3mD9947fqnHn/H7w/lP/rtf6b1TDce - WiQPGywlJV/XNJgsZH4xBQwAAAAAAAAAAAAAAAAAAOBVZWY9vXuaJkkRsdls1nWNiP1+v91u3b3H - eC/LcmgM4P5AdDcAAAAAAAAAALifubtk7p7zkFKa59lK/rf+zT9zcnLy0z/9s61JITOPeDnFX4Zh - WNe1tSaptTYMwyH/u5TSWqu15pxrrcMwkNsNAAAAAAAAAAAAAAAAAAAAAAAAfG32wnfuzbKSuYVr - rbp5qhj/xnd+51d+759fj/bm28v15kPsixT7apJLLamZQnIlKaWIFJI8LvKqeyx3z/HOIdd5NHWS - 7GL7vV5w0ULJlEKpR3f3iO6LT12y53K7laSsJqlJJg9TSO3i2zjsleL8nYV71SAN0nJy881lzKv+ - 0uOP/8Fm/M/+yRfHGw8pZXkomaUsa6/upQMAAAAAAAAAAAAAAAAAADxPRJiZu6eUIqK1VkrppePN - rJTS47rJ7QbuS0R3AwAAAAAAAACA+4v5YbXW6u7TNEVEq2spZRiym1pbP/ShP/8Hf/AHv/Ir/3Fd - lZK5y0x+vmu6qMDzdazrOo7jsiyllFprrXW73e73+4jo46/zPJtZzvkVuVIAAAAAAAAAAAAAAAAA - AAAAAADgfpAkmTRIUnouwTv5rHk0a/uT0aQz1+J/+73vvXH71iN5Di1myZJKGousrUtILvNQP9oY - sqh9plCYlqSw52YNJclCOZRDKZ4XGx4Xu9yjelR5n2XV88j7pfhFJPnlipJuUmi+uDE9zzuHzBVS - mNzkphQpJIuU++1N7ibLSk0ey6NN25N4c0t/5dve99O/8U80jdoOirVmlZLVw8EBAAAAAAAAAAAA - AAAAAACugrvnnFNKknrdeDOTlFJyd/fnitKbWUqptXZlfQVwtxHdDQAAAAAAAAAA7lullGVZJJlZ - a7XHaUeycRyXOv/wD3+klPLZz36+tejZ273Kj6QXn94dEZJaa9euXTs5OdntdodT11o3m02ttY+/ - 1lpLYWgGAAAAAAAAAAAAAAAAAAAAAAAAuFNcpHdfipV2qW3NtC45knbLx57+9uvP3Lq2P3t93ta6 - rK7VPBfN67KXbpTtWd0lZUkWSnIL78HVSVrtebnd0p0p1nekWV9+ey+ykC7mSsXF1d2RR37eJuSm - Zufr6lHfcb5vU8pxeS8Pk4WqK0ytaRylVWPoocFOTk43++UTb3/imWvT3/nib2iTi8d5cri5LAkA - AAAAAAAAAAAAAAAAAOBVl3Oe53maJknDMLTWjo6O9vt9D+0ehqHW2gvOu3uvKg/gvkE+BAAAAAAA - AAAAuG9FRCllXdec87QZ3N2U3TTPu2HajEP5yEc+8qUv/eF/+V/8/XWtOefWXlpRnX7wcRyXZTk5 - OZFkZjlnM1vXVRcjrK213viVuEYAAAAAAAAAAAAAAAAAAAAAAADgnhY6D9VO0kW1Q5e7atXZqmX9 - K+9+7/HZ6Rv381HWsClf2e8Gk0mDVFeNKe+9VWslF3dPh4Ruk19EU/fA6RLKrkOCtEthqZriYpOF - LJJ06SD3ppYkqfU3kXr29iG8PEkWynIL9fvtJr9I77aQKfU25dJ0qzBJ7iaZqut4e+322UldbRxy - Ct1al430SK1HbfeYtp/4lrfdunb86V//x9qYsmsziDqWAAAAAAAAAAAAAAAAAADgikzTZGYpJXdP - KZ2dnfWS8q211lpE5Jxzzsuy9AxvAPcNIiIAAAAAAAAAAMB9q9Z6dHS02+16wLa7h4eZTdO0XxaL - ZRw3f+Mnf3K/3/83//V/W2t7GcfvKd1m1mPCa/Vaq6Qe4N1a6x/19G4AAAAAAAAAAAAAAAAAAAAA - AADgwXAREh1SSKbLyc1xkRN9acthzeVNtWptmpcfes/TD53svtls2C2brKjrftU0SS2pRrZcks1t - vTZOp8tc0vkR7dIx3RSShaTz3O7eKqR0EW7tSpJLKUmynt59uIR7Vb/rIcl66vad1+OmHApdvvtd - +iprkoefR4BLm2Fz++zkeNzul31dPcm35+HoEaGj/dmmjOXLz378Xe/5w5T+7j/9omxWCaWeCZ50 - cZzDse844VeN+T60t8Mb8577npRIBgcAAAAAAAAAAAAAAAAAAF+VmZVynt7b07unaZrnuZeR79t7 - hvehwZX1FcDdRnQ3AACvXYdfxwEAAAAAAPD1XBrCjOcKwuSU5v2arKQsbzJlS5Lkq5eUs2xd55LK - 3/qbP/Psl7/8D/7BP3TJXTlZayGTIllKEaGIO89yOFscSr6kWv2iOoy31nLOEZFS6undEdH/4FNr - 7QO0tdaUUkrphYcFAAAAAAAAAAAAAAAAAAAAAAAA7ll+EYotRVKTTEqKpAiZeVVNoWylrW65WOrp - yzJVLXvt9lrjM//qvzb/P7/zzmUZvIY1ZZ+lnHTcZLOaPEwtqkLZtK7zaM9lO1+Oou7rKSQpTB7y - 588SMqm4ZH7YLZ1fwj0shdzOl5L35PL0gjb9hoQpxfktkiR5b9k/Pei53f2YXpfRUlvnwazfqxZS - b2+qrlTr61Nabt96JKVPPP72T/zWb+joWJa0OVZOzZWS/Pzb8abVJClLctdwMeHqvPjO4buTmpSk - 0vPg5TJfFSEVKd95fQAAAAAAAAAAAAAAAAAA4L5Va805X076c/fUq8pfJABGxDAMPZC71trb9Mbz - PPeVXkD+8pHvbm53KaXXpe8d6JHhLzxvL2t/F88L4ICHjAEAAAAAAAAAwAPH3VPIzKYyRLQh2ec+ - /9k//h1/zF05q7WQtD06khRh50VlXpR0eaUPc/bR2c1m01du375dSlnXtbVWSiG3GwAAAAAAAAAA - AAAAAAAAAAAAAPeZkEIWPXnZzkO5JVnITPu6JKVkKSJyKSmpLW10L3XVbqe5am4/8e73xv/5f73u - 7OyhdXfc1sm9hFLIQlL6Ruqn9dlCh5ekFEry86U8ye/13O6uR3H3TO6v+uqeuwkv+Ei6815dOvLh - RvlzLaVmCilMOXxq9fq6PjLPr9/tPvGe933f29+uddU86+Q0S6enSz9Pa140ZGVFi2glpdX9PPg9 - Ll72XBq86XnZ7EluFNQDAAAAAAAAAAAAAAAAAOABY2a98Pt+vz9saa2ZWc/eNrNSSmvtCkvB55x7 - YnetdRiGYRjmeS6l9HVJ7r6ua2+5LMtV9RO4v/GkMQAAAAAAAAAAeOAMwxARkna73Wazmed5GIZf - +IVf+Bc+8LRXTdMgaX+2S0mKlvKLj+4+LzTTR2H7GG1rTdI8zznniLh+/Xo/Xc5ZEuOgAAAAAAAA - AAAAAAAAAAAAAAAAuM+40qwyqzSlZlqyWpbkcjf5WEpSah6yvJsXSSWZ9rOeualbyw+96fFPPfHu - h2/v8jLvY52TVlNxbZo2Tdm1JO2zGhXU7h1D8+u3Tx+f468+8R7d3kmhulw7HiWV0GQlLykvaYxh - DMuqUm3yJikkd5lCqlJIWco9tzskS1IqyqPsxU8AAwAAAAAAAAAAAAAAAAAA94Ge2+3um82mr5hZ - r/1eSumf9lr0fXklWmvjOEoax7G1tq5rD/Ne13Vd14jokd49bry3BHDX8eA5AAAAAAAAAAB44Oz3 - ezNLKU3T9OUvf/no6Ggcx4cffvizn/3s009/27yskvo4pSRv7aUc2yW513EcI6KP0Q7D0D9LKUXE - NE19NFQS46AAAAAAAAAAAAAAAAAAAAAAAAC4/5hkUjqvdFZDq1JTbi2qlNZlKWmQNI1JtanO2p39 - 7e/67k++/anHW7zudLkxz0fJjrabltSSrGc2S5KaaU26shqKeOlK+CNuxye3H75582fe+/7vf+vb - dHqq/a4sq5rUJ2+lJE/m5rUOKZlc5y8p3OTW1w9MCimSPMmTgpJ6AAAAAAAAAAAAAAAAAAA8KNw9 - pVRrTSmt63p2dtYrwJtZD+2WlFLq9eFTusqHDHs5+mVZ3P34+LhvNLPe23Ec3T0irjBfHLjv8Zwx - AAAAAAAAAAB44AzDkHM+OTlprb3xjW9clmVZltba61//+l/6pV966qnHy6Ce2y35NA0X+6U/cmzl - UA7GU0rLsqSUWmvTNK3rKsndSyk9zLunereXFgoOAAAAAAAAAAAAAAAAAAAAAAAA3ANyaHJNTeay - 8FFR5FW+SFUmpXHcLPvZVJOqYq/96UefeCK++MU3L/vXL/ORTm4o1NZlt08hSS618xjnJKVEccJ7 - SgpZXd60PXp9tu0zv//eff2Jt71Dt050eiqtbk1JMsklyzlyjsiSyWWu5JIrfJQXecibXSTDR/+Z - +KPnfAEAAAAAAAAAAAAAAAAAgPtNrwNfSmmtDcNwdHQ0jmNKaZqm3iDn7O7LsozjeIXV4Espvdx9 - r05/enraWksp9bjueZ57MzPrDQC8EnjUGAAAAAAAAAAAPIhqrTdu3Ki13rx5s5QSEeM47vf7Rx99 - 9POf//zrXve6Ycg5WynlMHL5UpmZpHmex3HMOaeUaq0RYWYppT4OGhG11rt6ZQAAAAAAAAAAAAAA - AAAAAAAAAMCViouo7ZDkh3zlkGUb5v1OoXHImvfa7/XszR98xzvevM6P1Pmo7V27h9JUzJt0Yzua - JKmZqlSlMOXw4rIrvT68JBYKqe7ObJ1vKK7PJ99c17/+jif/wjvfodtfSW0vnxWhVhVSHhQlKSVJ - liQpkkIKZbmkkEf/+nuA9+UVAAAAAAAAAAAAAAAAAADwYBjHUVLOudd7X9dV0jzPvTh8Z2bLsgzD - cFWdPJSgP8SHm1lESFrXtQeNp5R65/t2AHcd0d0AAAAAAAAAAOCBU6unVE5PT69duzYMQ85Zrc5n - p5vtOG2GN7zudf/53/t7N64fe4tkcalsi0v+Yo7v7sfHx30cdBiGZVlaa+7eE7slpZQkzfPs7qWU - V+QiAQAAAAAAAAAAAAAAAAAAAAAAgCthUnIlV64yKWVFLjFMKiV0tNm6Fs2nuj3/6Nue+tRT73ni - 9Ozh/T57q/JxzDd9vhXtSJp3S3FZqCbNRWtWMy/uo3t+UbN88JoQpqNp26QkuSKNsd/dev3u7F37 - +ePvfI++9CXVnWyvTYqi/eqR7CLrPcmSlBRJnhTJ5C5v6SAl6gAAIABJREFU8mbnid0tqZqoVQkA - AAAAAAAAAAAAAAAAwIOjR2LbhR56PY5jKSUiSimHEvGSejD2VelV6HPOOWdJEeHuvbfu3lqLiGEY - 7ggdB3AXEd0NAAAAAAAAAAAeOOM4ttY2m82yLLXWPoC63W73+32tdbPZPPzww7/6q7/62GMPrWtL - SS8ysfvAzE5PTy+PcfYBUXePiHEcIyKlNE1THx8FAAAAAAAAAAAAAAAAAAAAAAAA7h/myk25SQql - HsOskJrUpKWm3aJ9/fi3vetNN08eu3nr0XkZXNNoi3SytjXJsqzXSAtJclNIbpKUJAoT3nNO511K - pUqpaPG4Nmlb643T26/78jM/+f73f+gdT2p3qjprXaYpVZdLruSSlGQ6/AglpSxJCnkzNVN7qVO/ - AAAAAAAAAAAAAAAAAADAPW4cx14EPuccERFhZr3mfEqp1to/XZalp3dfoZ4yHhE9pbvr1elTSimd - Zwr3OvYAXglEdwMA8NplZpfjnQAAAAAAAHC3uHtKqSd2p5QiIudcax1zygqPutmOjz/+9s997pev - X9/2cG2zkHQYYR3H8Y84fkQcluu6Surn6vp6/+PPMAy9mS5GT/sKkd4AAAAA8A3qD6Ee/jt2+D8X - AAAAXgXufngA8vCXcGYHAQAAAAAAAAAAAA+OkFZFlUtJTQrVqiYpSfOJzs4+9tZ3/txbnnzT7dOj - dffIkOTypJMWVtSy3LSG1pCksCQpu3IoB6Hd96oitahr0SxJalVmyqEbLd50cvLEV05++G3v0NmZ - 7U7NFaZZ0R/7m/dNpuqSSZbMlSMVuUlV6m0YjQYAAAAAAAAAAAAAAAAA4F5Xaz0UbO96qfaedd23 - REQpxcwOWw71Bg9bDnv1t71K/F1USjksJU3TdHn7QS+/cyjC01o7dNXMDondh6TCO3YHcBcR3Q0A - AAAAAAAAAHDO3d29j6e21t73vvf94i/+4mZTJPV478MI6x3Dty9Jay3n3IdCN5tNX7l9+3YpZV3X - 1lop5TBoCgAAAAB4efp/sno85LquwzBcdY8AAAAeIP2v3PM899/K+i9jfVITAAAAAAAAAAAAgAeB - Sy6rUgu3lJYapSiHa3+mZfnok0++5SvPvnm/P1p2j2yGm0v1pGZqpkvDiqm/LJQimZRCFkohNzUS - vO85pkPueopkcf5dZ+m4+hvm+c2nu488+U656/Tm6HWr8LZaaNzkZvKilhQuRZJLoSTvPy9ZSiLT - HQAAAAAAAAAAAAAAAACAe9shxHq/3x+2tNbMrBeuMbNSSmvtCku455xrrZJqrcMwDMPQa+yklPr2 - 3kYXZe3jQmutX8WhGYBXDakPAAAAAAAAAADggZXuGCvJOaeUssLXZTsNbZ3/xL/4HZ/+9Ke3R4NM - rbVpGobhPPXt5ZwvJV2M9bbWJM3z3IdOr1+/Ps/zMAx9SHVZlrtwfQAAAADwoCqlLMsyDIO796da - eUQVAADgVbbf76dp6rOhImIYhoi46k4BAAAAAAAAAAAAeJUkqSgPysnKvq0xuHzW7kzPnnzim972 - +DNfeSzmTV6rYmeuzTAPpSmlSClS9pQ85R7ULSUph7Iru1JIUtj5C/eQ/n1NTZuakmeLIXkJJU+a - Xea6Mc+Pn+z+6tse136v3Ymd3NpmJdNcW5P2VaFLAd0hC43SKGXJGI4GAAAAAAAAAAAAAAAAAOAe - 1yvVuPtms+krZtZrtpdSDnVsDssr0Vobx1HSOI6ttXVde5h3j+Wepqm3SSmZWa31kNidcy6l9Gu5 - qs4DDyz+1QEAAAAAAAAAAJyLiP1+/9BDD5lZH++U9N3f/V273dnHfuzHJM3LqpCZIlRKeanBb+4+ - juOyLDnn1towDD0CPKXk7tM0RURrrZTSR14BAAAAAN+I/nxtf+LW3ftDqwAAAHh1TNM0z3Nf739O - 738hv9JOAQAAAAAAAAAAAHiVmFKKttQqLZthUJ21LD/w5FNvPdl/8zK/fsxfWebkGrL2u7ao5Vym - SIcA5hQyebqU1Jyk/gSY23NbcM8w5ZAkC4XUpFDyvsF9kDbZrpXy5f3ZW4frP/7Ek1++fvR3v/hF - 7fcafFOGXY1pMJOsf/shRZJcITNXJJHjDgAAAAAAAAAAAAAAAADAvczdU0q11lLKuq7ruh4dHUVE - Ss89MZpSKqUsy5JSaq1dVVd7LZ1eSOf4+Hi/3x+61+vt9BL3h/aHS+hXd3kFwKuDJ88BAAAAAAAA - AAAkc5mvdT6+tj07O3N39zoMeZqGs93Jv/Fn/vWf/umfWtcoRTKZqae+XeycXuSYS0rpMKY7TVMf - OnX3UkqPCY+Inur9Sl0mAAAAADwY+vOsnZnVWs0ozAkAAPCqcvec8+U5QpcnFAEAAAAAAAAAAAC4 - 75nZUGwcspadnrn10W956qnb8xvnefD1rM2paDZtLB/JXjdd27QYXUMohye5zOP8mS+XPOQutXQp - tzu+5nnxGpRdQ2gISWrmbtF6Nrt0pLJV3re4Na/TdphPbr91Xh+/efaDb327Tnfan6nO2+xRI0m1 - SknKUs91j4tEd54QBAAAAAAAAAAAAAAAAADgXtbrt5dSWmvDMBwdHY3jmFKapqk36GXhl2UZx/EK - q7iXUnp1+l5V/vT0tLWWUjIzM0spuXuPIT9cV2vt9PS076uLovRX1X/gwcQ/OQAAAAAAAAAAgHM5 - 53Ecd2dza+34+Pjk5CTn4caNG7vd7k//6e++efPmJz/5U5Jkaq31YdGXocfFzfPcx3cjokfKmVlE - 9G5ERGuN0VMAAAAAeNmOjo7Ozs7606v9idX+JO5V9wsAAOCBEBHu3n/76r+SjeO4LMtV9wsAAAAA - AAAAAADAqyVcy5ySNJ+p+V97+gNvuX16bdltFSXbaQsryoN9aW6PqWhZk1pSCXmSPLyl545kobgc - zBxJkkvpPLQZ94a4WLpJ5klukVJoVq3SIBunfHtZh9BmmUeP8fq1H3nyqb/1278lM01pU6a1yga1 - Htvdc7tNUiK3GwAAAAAAAAAAAAAAAACA+8A4jpJyzocMbEnzPB+Kt0sys2VZhmFY1/VKOtnryUs6 - FDbs3YuI3qVDCfpD6HhEHB8fu3utdRzHvryKvgMPLvIeAAAAAAAAAAAAzuWcb926tdlOkmqtR0dH - ks7OToZh8Nr+4l/88O/+7u9+4Qv/SR+iba1Xejm4vP7VS/+4+/Hx8enpqaRhGA4hJSmlPrDaB4Pn - eS6lkNsNAAAAAC/bMAxnZ2c55/7Erbtfu3bt5OTkqvsFAADwoOizmzabzbqufZbRsizTNM3zfNVd - AwAAAAAAAAAAAPCqcFeTzua/8Pg7H7p18vjR0TXTXpFMS4QlrVU5DY8eD6enp8dJo6cW56UMexKz - m2pSmCyULl5SUqQe7O1Bevc9o5lWpTCZPIVyeApJ7qaQ0mY62c1DbdH06DSt86y6XLt1+02mH33H - u37un/6mUlbKKrnJ+oSrkGRyky7mdJHfDQAAAAAAAAAAAAAAAADAvavWWkrpudeHrO5xHHvidSml - Z2b30u5Xldvd9c7knCW11np5eXfvnW+t5Zx7bre7u3sppXe4J3aP49jbXOElAA+a9PWbAAAAAAAA - AAAAPBgiYhzHeZ5zzuu61lrNLMt6vsgzf/iHP/ZjH/vwD/z5CA1DH9T0i9eLYmanp6d99LTrg6Pu - 3k/d07unaerZcgAAAACAl2ddVzPrIZEdud0AAACvpj5ZaFmW1lpKycyGYSC3GwAAAAAAAAAAALi3 - xcXrq25/bpZNlVetq3anH/nWtz+x+JNlGk9u1vlsK4WpuSSNKa/reuv0NJd01mqYn+9/mHZjCpOb - 4lIms8VzS9xDXMktNUuhJCmF7CJsu0ln85yzzPJxKvM8KyVJpa2Pyt+w1B9599PaLTo9HbwOalky - JUlNqSpVySW742fz0pu4vCUkeZM3OT9EAAAAAAAAAAAAAAAAAAC8dozj2Iu355x7GLaZLctSa00p - 9XLxkpZlGYbharvaQ8Qj4pDb3WvL97jxQyZ373kpZZ7nYRiGYeg7HmK/AbxqiO4GAOA1x8wiZKac - s7tfTnICAAAAAADAXeJ3pm5HUqRwC7ehTOvSSilm1oc2pzKs8zKVnFP66F/+S//en/2369pM6kVi - pmk4P46ZpPS1hzz7uGlf9tiSHiOXUtKl0VZJ/FEIAADcXf13j/5c12ELj2oBuL9d/i8YAAAAroS7 - 92VE9P+ZAgAAAAAAAAAAAK9lfYTr8srhwcvXlEP3Dg+IvhoPSsXzX89LRA6FK1xq0qo2a3eq3dlf - e/KJJ+bdQ/NJrmfJZFKVqstMKWTRksWYFO7ZVF1hCjs/fAolV2kam0pTCqV+UnOZJ7mFp8O0ILzm - JXkKL+4ml+SmZmqmkLKUpRQKry2qpBYepiqFt4fm/Ztvnf74t75Tp4tunaWlqrlC6xq9hp5JSR7R - +jyxO4K647DxUsD8qraqOT8/AAAAAAAAAAAAAAAAAAC8kmqtdzzj2h+Cvfzsa0T0avCHLYfHdw9b - Dnv1t3e9jk0p5bCUNE3T5e0HvW78oXp8a+3Q1Ts+umP3Ow54x2EBvAqI7gYAAAAAAAAAAPg6aq1T - GYZh8HVprX3yEx//zj/1r1iSmUrRPK+SNkeTInSp/tGL13cxs5zzOI5mVkp5bVZ3AgAA96hhGNy9 - 1ppznudZkpnx+wYAAAAAAAAAAAAAAAAAAMBBSqk/b5lSWpZFUs75rlf3+8b1yoPzPA/DsK6rma3r - 2jv8CrIXbvDo280i1FpVq1pXzauW5T98/InHdvONZZ58zVElP0/mvnScHsh9kcl95/FTKIeyK1+0 - ueASud33niRP8nSR+x6HmO2v9pPgpjJaksa63ljm15+effxbn/zwU+/U2ayTU9U6luweKRStmtyj - hnRRqlOSZAo7/ymx56fOGz88AAAAAAAAAAAAAAAAAAC88sysp1nv9/vDltaamR2qsvdi7CldWa5u - zrnWKqnWOgzDMAzzPJdSUkp9e28jKSJyznGhtdav4tAMwGtWueoOAAAAAAAAAAAAvNb1QksppVLK - MNh+v//c5z73oQ996H/47/+X5pqmYZ7X/dlO0jBu1pdV6ijn3LMzDwPGd/cSAAAAzCyl1Fo7/OLR - 60tedb8AAAAAAAAAAAAAAAAAAABeE/pjlimldV3HcVzXNec8DMNV9+tO/VnQcRwllVLcva+/4kyy - Hn7sugg/rkpuUk6+RDbp9qxnb3/s/U+/Tb5t7dXoFe5TyxIhhWka7KhIu9vXFB978p0/89u/pbZ6 - 83EaFVIUyZVSk5uSheSulGRaJUmDLoWEW5I0XdEVAQAAAAAAAAAAAAAAAADwQOmF1t19s9n0lZRS - j8Eu5TxINyIOyyvRH8pdlmUcx1qrux/CvCVN0zTPcw8Xj4je4PKF6NK1AHjNSlfdAQAAAAAAAAAA - gNe6eZ6Pj49ba8uy7Ha7aZqWZfnZn/3ZP/knPyhpXtYetD0Mw7os5aWXZDrEZ+pihPjatWt38wIA - AAAkSa01M+vLXqjxqnsEAAAAAAAAAAAAAAAAAAD+f/buLcaW7L7v++//X6uq9u7d55zhRaQulihZ - HF5HtCXHfnMQBA4S5CEI8mI9WIksSElgSDJF24qkSHIS2bKiq2U5gWRZSRA9SAEMGA58AYQgjgPb - Dw4chRZNkxSHlBlSDC9zO6cvu6rWWv88rO59es4MOZzb6TNzvh/s2V1du3btf9Xep9HTa9X/hwfL - uq7DMJjZbrdLKeWc7cEzjqO7m9k0Te5+fn5+/05QD/CWdJngvaqMahtlnaz/3Z/493/uW//IN5T1 - DcuSW7l/VeH1xcOT0jZvxpSWtS7n51815LeW9U3PPPN93/wO3TlzhZZFS5NJ4bWFJD+Eyke7GjB/ - 8aHtn9twNVe4ghZ8AAAAAAAAAAAAAAAAAAC8Wnq+dSnF3dd1PTs76+nXfSps38bdh2HoC9dYag/q - Xpaltbbb7Q7r3X2eZ0lmtq7rIc/7UO1hzWEBwIMpX3cBAAAAAAAAAAAAD7phGE5PT1NKklprtdaU - 0lve8paf+Zmf+Z7v+Z4P/s6/SslzHvf7vaSyzi92/4fc7mmaaq2llJOTk6vjxwAAAC+Tu7fWIiIi - +jLzugAAAAAAAAAAAAAAAAAAAK7qffRyvmjMta6rrlz08aDpM0LXdY2IQ82vouhxyKqmKrn8ogq1 - I0nzqqfnv/iOdx/ffuKrsklzKUpcFoOXykJS89IW1SlrKWqx1rJ+7XT0hqX92KPv/olPfkTbraLJ - N+sc45Sq5CrSZUp3aDBVqUpmnqx/hqWe8a27CfQAAAAAAAAAAAAAAAAAAOAV5+7LsozjWGsdhmEY - hnEc13WdpqnnYaeUaq19m2VZrqvOnHNv0NrrOT097cX3lPGePt57xbfW+kO11v1+v9vt+gze1tr9 - mMoL4GXgnygAAAAAAAAAAMAL6EOhvaWRmQ3DcL6sp6enb33rW3/1V3/1u777uz/0oY+Uspf00oZ4 - 3b0Pwc7z7O7DMNRae7jmq3A0AADgYdQnePXfVVprfabaYX4YAAAAAAAAAAAAAAAAAAAAzKxfP3L4 - tl9R0tvtPThSSlengN6n8qxJTZLkkh+WcjQtq7745I+/8w/9gRKT2ljqIk1Jtd2PuvA61UalnP20 - KIemycvaJleZz/J89jXj0fd+w9f/9U99SkdHCg2jSUr9eeZSU3N5MymprXKpSZfp3QAAAAAAAAAA - AAAAAAAA4L4Yx1FSSqlPyu2dUed5NrND93UzW5ZlGIZ1Xa+lyMOk3FrroaSIiIheUp+pO8/zNE19 - g4jY7XattVLKOI79/jpqB/CVIrobAAAAAAAAAADghbl7RJRScs5nZ2fTNFlO+/nsq97ypr/xy7/8 - nd/5p3/3dz+ZktW1vIQuLj0RvA8bD8PQA7x133onAQCAh0BE9NxudzezeZ51ZX4YAAAAAAAAAAAA - AAAAAAAAusPVHIeegIeFB0QpZbPZrOsaEa213gqw1ppSenVf2KrkKTxJxdR6WPJS9cXbf/nb/uiN - 06dC7Wgz3tm3fHEaH6zzhtcSk1SfLPXW8dHtk7Pz2nZ5KG21rGzaxNl71uFH3va2n/zk47rpy+LD - 0WDRc7tdJsnVJJO5pHYZIu/JLnYeJq7aAgAAAAAAAAAAAAAAAADg1dPbufd5uYes7nEce+J1zrn3 - RB2GYVmW68rt7noxfSJurbXndve+8X1NSqnndrfWWms5515wT+wex/F+zOMF8DIQ3Q0AAAAAAAAA - APACzGxZlpxzD7zcbDa1Vkl9fPSrv/qrf+3X/uaf/JPf/rnPfaFFmCxeZGuhvtu+MM/zYRT5QWvt - BAAAXrvcved2S6q1TtM0z3NKqf9WAwAAAAAAAAAAAAAAAAAAgIhIKUXEoUtgrfVwlceDI+e83+8P - y8MwSLoP/f5Cl2nHITONTVqbzuYff+x9b7j91CNjxKJ9WaZB+1VjS1J5tUvC61VIJXRz1O2TM5Pf - 2u7Oz88kJZOaatOtqe7n/Z959NH//pO/N043VXuSvEu6m95tkprLpSYp1MJcpnaxEendAAAAAAAA - AAAAAAAAAAC8WsZx7FNwD71Pe6d3Se5eSulzdJdlGYbheqO7e4h4j+s+NId39z6p+DBHtyeOu/s8 - zz3J+5BBnjO5wMADza+7AAAA8CWZ2QN4/R4AAAAAAMBDqLU2DEPvuHQ14bIu63acWmtf93Vf9+u/ - /uvHx0fjmK/mdl8dVf0y+mjxYSEi+KMQAAB4ZbXW+n1fmOdZErndAAAAAAAAAAAAAAAAAAAAB4fE - 7t5o79B677rrulfvD9irLaX0zoD34XUtvNUmqTalkFbpmdM//03vuDGfH/kaNTxpkfZNWVnNLGhx - hpeomUrSvmoyjUr7/WKWzXOEXBqSnp7bbmPvWvUj3/hOnd1WOVcrfW70vK/hKqEwhTxLo3xQk7RK - vbUnud0AAAAAAAAAAAAAAAAAALxYpZR75qz2HqdXe6pHRM75avTeoffpYc3hWf3bVzy3uwdpH+K0 - e8z21TXdYebwoc5Dqfc8dM/T79khud3Ag4957QAAAAAAAAAAAC/ROI6llD5a/I3f+I2/+Zu/aWZm - cvfNZpNzjojDUCujpwAAAAAAAAAAAAAAAAAAAAAAAHhtclnyNOzXOXnTvOr2Mz/0nve+dZ53ZZ/j - op1ZXNysSUE2Ml6GkBSykOmiNaeFPJQlCx0f+3K7jCd33jKffe8f+Bqd3lartawRGjepSsVVpdak - cDWZlNSkpp7bfT/C7gEAAAAAAAAAAAAAAAAAeF2x3oFd2u/3hzW1VjPradxmlnOutbpfW05uSqmU - IqmUMgzDMAzzPOec3b2v79tIioiUUlyqtfajOGwG4HWD6G4AAAAAAAAAAIAXw9rdm1pETRZqZZ33 - 73nXO//W//Kbu91RSmm/3/dxWUkppauDsgAAAAAAAAAAAAAAAAAAAAAAAMBrS8jn2oYxqZ3r85/7 - rx5771tPb+/2J1OtqamFWihX5ebFY/Vo110wXrs8lKtS623ymmyVrSlaCl+bRstx0rZSGn2K5dF5 - +bU/8e/o9tNjkklzqVWa12iS2ZU8+dAojT23u98AAAAAAAAAAAAAAAAAAMBXrOd2t9Y2m01fMLMe - g51z7o9GxOH+WtRax3GUNI5jrXVd1x7m3WO5p2nq27i7mZVSDondKaWccz+W6yoewKuE6G4AAAAA - AAAAAICXaJ7niDg+Ph7HMedcSnn00Ud/6Zd+UVHNbLPZrOsqyd37EPJ11wsAAAAAAAAAAAAAAAAA - AAAAAAC8aCE1aUqe1r1Ozn/02771jU8/fWN/541JY2u6G4XskkxN1mSEd+MlsnBXTuq9L1uKZmph - rfVPWk2D0o20TaG0lDc33f7Qh6Sm/V513mRrJTajeY/u7tpFCLiiKSQu8wIAAAAAAAAAAAAAAAAA - 4MVorbl7KcXd13U9Oztz94gws0P3dXcfhqEvXGOppRRJy7K01na73WG9u8/zLMnM1nXtm+lKtYc1 - hwUArw9EdwMAAAAAAAAAAHxlntMzaJzyMKYnnviC1NZ1Pjra7I6P/vgf/+Pf/u3fvt1u9/v9MAx9 - FFlSzvma6gYAAAAAAAAAAAAAAAAAAAAAAABejrbGucq5vnjyo3/wPV91enZjOTtSs1p6I7Mwr5ar - qVlL0YbWPK65YrzGWQ+MlymFUqi61tTchpAXaa1rW9sts6NBU4v3f+M36excy7nKvPHa1pakViSX - kmSX8fJNsssbAAAAAAAAAAAAAAAAAAD4yrj7siw551rrMAxHR0fjOLr7NE19g5RSa21ZlnEca63X - VWfOubXW65F0enpaa3X3HjHu7q21HkN+OK5a6+npqS7byLfW6CcPvM4Q3Q0AAAAAAAAAAPDS7ff7 - o6OjeZ632+0Xv/jFdV1/67d+6zd+4zfOzs4kretqZsMw9OXrLhYAAAAAAAAAAAAAAAAAAAAAAAB4 - 0ay1Tal65vaPPPa+rylt3J8Niuk5XczCJCmFPGREd+OlClNTVClM/XN0SIKvijs6H8fdqriVN0uN - k1W7pG/K4w88+g7tZy2ztbpNVleldLlHv4zrdtflPgEAAAAAAAAAAAAAAAAAwFduHEdJKSUzSyn1 - puvzPJvZYRszW5ald2W/FqWUvnCIDzeziIiIdV1LKb3aeZ4P6d0Rsdvteu741T0AeN0guhsAAAAA - AAAAAOAlqrVut9s+5joMw82bN//JP/knH/jAByJCkru7e611Xdec83UXCwAAAAAAAAAAAAAAAAAA - AAAAALwkS9Xnb/83b3/skXXf1lNJPuUT6VRqPRA5Wo7i0TwuIpKBl6xZW1MrqVVTM62u6spNuSkN - ykP+wnK7jOmLZZ+GYTMObdXxnf1bT84+8Oi7dLrXusiq5VavfBDDVFzFVKQm0rsBAAAAAAAAAAAA - AAAAAHgReqC1Xept2MdxzDlHRM65R2X30O6e6n1dekP4lFJKSVJEtNZqrb3yXuc0TZJaa6WUnPO6 - rrXWnk0+juMh9hvA6wMpEQAAAAAAAAAAAC/dvF/dfRw3d+7cefzxT37gAx/Y70tI7t5aM7Occyml - lNLXXHe9AAAAAAAAAAAAAAAAAAAAAAAAwKWQTCH1i168p27HIXy7KZpK6Hz+y4+97813TqpVi9ht - N2fn+2xyV79cxi+TkO3BDUX2uxf2WPMXqtLCw9SksKaLePKLe0nN7h6mhSSFXX2uwtTkYQpTNW9S - czXZYTN7dgFh966R5HdLj7G1FPdemtRMzz4Ql9qV511sdbUwv1wVpmaS7tnDZRlXQ6/vres+aVI6 - fBhDHmqmUsoSGjc5FE0qspNlOfZU6voGy7YsH3j3e37+Y7+rGunoqETIk8JlRVKVQnIpXdMRAQAA - AAAAAAAAAAAAAADwGjWOY4/rTin1ZGszW5ZFkruXUnqe97IswzBcb3R3Txnvid295ohw94gws57n - 3TfLObv7PM89ybuv6ffXWD+AVxz/pAEAAAAAAAAAAF5AH/dNKbXWZM3MotkwDMVba6001dI++7kv - fMd/8p1PPXXHXbWpp3RHRB+jlURuNwAAAADcN+7e/y/sMLV3HMc+tRcAAAD3weH3sb6Qc26t8Xdy - AAAAAAAAAAAA4IFzkcncVqnJTUpSblKohizJrFpddLb/8+/9lq/dn01RQrJQmffZJOm5w4CHFPCr - UdYPAG9KF4drIalZ61HcXZgUd6OsLdxkESrJVrUmbVwb87a0ybSE3HVSlU1T9mVtk3mxqC2243i2 - LJOSciqy81KWnErOe7c1+WyyMd8+O7+5O4516Qnf3SGEO6V0dnJ6dHTUas3mapHdh3m5cX5+070q - ao2Qjjbbs/158mTuh8uXitpgnpRatGHa3J5Pb4zbiLpflyFZq7HxiwDzIq2m1nO8eyq2JCnFRXz7 - RR65FFK1qxX6ZVT5qzgE7CFdVqdDXPpljPdk0lLeuIeIAAAgAElEQVQkZVcry2haos5S8nZznW2e - /9Kj7/nRD/1L+ZA3U4RqLTnJLJLqPuaNbdVk/qVeHAAAAAAAAAAAAAAAAACAh0Lvu252d8Zna61H - XEvq6yNiGIbe0K87LPfNpLv92Pu3r3hu9yFOu0+YnKZpnufD+sNmPTu83/c6D+1u+rFcPdL+9L7Q - c7sPa8jtBl5/+FcNAAAAAAAAAADwAmqtZnYR3S25+7IWSc20rvV4d/Mzn/nMn/pT33H79p3NZtzv - iYIDAAAAgGvW/w/uMJs250xuNwAAwP3U/5zeL3PabDb7/X4YBqK7AQAAAAAAAAAAgAdRNJn8kOIt - KRSL0kaypijan+m87J65vaklRbuy3Zfe5YMV2n0QJpNC0WTNL6OpZbrsy3jY0iWNPtxp+ylvZXY+ - rz7ks33J0hhySVVv2o4npT691pSHvScN6c7p6ehJx8en8xLDENNwWmsZ8s/9zr9QbRoG5SyX5Gqt - 13LxolfPWKlK6eI8l6ZSNQzf+75vuTkMT9Uatbg0er4dLYZxO+S2zMMweGtTHjams/15VdtNm7P5 - dOvJajmp662jzX6/3055ncsol9TULkLWTUOTNzW/COo+hIjr8tt2pTzrhy+1eHXfaH/OJy3s+ddL - aqZxSCml2C9vCOn2+S//m//Wf/5//VMNyfJYa80+KKp5Gy0lSeR2AwAAAAAAAAAAAAAAAAAeembW - 06z3+/1ms+lraq0ppX5vZu7e87yvq3VMSql3FCylDMMgaZ7nnHNr7ZDb3QuOiMOCpL7g7j32+1qK - B/CA4EcAAAAAAAAAAADAC4iI7Xb71FNPbbfb3dGNZ555RsnzNC5LGQZ/8sknv+u7vuv3f//zkmpd - csprLdddMgAAAAA81NZ1HYZhXVd3Tykdvr3uugAAAB4iPbFbUr9f1zXnfLjeCQAAAAAAAAAAAMD1 - C0mth0ZneZJaTzQ22dRzu1fdOVHVj773D79FQ27z9db78rSkJin14OdQSNVUTWHeD9ziIpfawqW2 - tv1G0jrn1t6w3Tx1vnfpq24dnz1zctM30drt82XRuG53X5jSnYho7m955HYrv/o7v63tKJeGJHO5 - qzalQeFaFg0bnZ7p+EjWpOeL7pZ0cqqjnZZFx6NCKvWvf/KTiqYIlaJoKvGfPvbeo1I3pRynlJf5 - 2PP5fD5IbzqayrrM896kUVW1HkvnZ/smnc1lN26WpYSsWeop5ina2CSphop7lQ6fikNh0U/O5Zl8 - MJPZS9PtZXlkSLG2XOYnP/0ZlTNVtXWejm4oJHlSS1Kta4TRhRMAAAAAAAAAAAAAAAAA8JDrud2t - tZ7b3SO6U0qSDrPsegx2v78WtdZxHJdlGcexlNJaO4R5S5qmaZ7nWqu7R0Tf4OqB6MqxAHho8VMA - AAAAAAAAAADgBbj7PM+73U7Sk08+udlstse7J5544tatNzz55JPf/d3f87u/+3jfchqneXlN9yEC - AAAAgNeJ1tphju+yLMMw9MnBAAAAuD/meZ6maZqmZVnc3d3J7QYAAAAAAAAAAAAeID23+2K5B3Yr - HR5ty7K/Mw6Dmt7/zvd8fWk6O0l+HXW+ovzevpEuuUKyfkLkdwOq1UwWUmsuzefzraPjs2iffOZk - m8b9MO73e8u34k1v+MTp07/0sY8qJ8WoddXRRoMUVVNaPRXJlG1wk1qp0/FkIY23JIUUFgprdqjA - QuEyPTK5ZONUlprHpFGSZFqXMkxJrai1v/H441oX1aJStMw/+N73fvWb3nr65BfuzPNuSG51HNLp - Wb2VvZU2ZK2h86o7yz55lsLCFOZyCzU1lyyyN4U1yePubLsmyZ593q6t++aXEV5LfePmqJb9JmeV - Ni7773/7O/7apx73zVGs+0iju9dVZpFSujcrHQAAAAAAAAAAAAAAAACAh0zPty6l5JzXdV3X9ejo - KCLc704Ydfecc+8eU2u9rlJ715plWSTtdrv9fn8ob55nSWa2ruth+8Mh9KO7ugDg4cS/fwAAAAAA - AAAAgBdgZvM8Hx8f7/f7cRxTSk8++fSNG7eeevKZH3j/n/vn//xfDIPVGiYrLUJ+t3URAAAAAOA6 - mNkhtzsixnFsrRHdDQAAcD+ZWa21X/IUEdd48RUAAAAAAAAAAACA52FN0a9/uZLIHT2WeZWt42S6 - ffvHHvtjX/vUaSrLsSdFuZ5SXyFhqiYdgqhDCk9hkpr1VO8wyS8uC2pKNpfYWp7yuKzrvNqpJ3vT - W57O/v+dnHzjH/3WH/p7f0+taLeThcZRJXS80VoVpmGUpSGUTU0qTebKOZ2vMQ52vi/bKWfJZJJc - plCYSWoyC+3383Y7LUsZh6wqVSlJVYNnSdGyjRbVbOOypmVRG376939Pp2fy/Ff+3X/vo5/4xJu3 - uzg93+R5Xdeb8lRaSJsb6WRfUysWcrnCLUxKqwapJckjWnhI7cpsO+9n48ppXE16nhz06+TSsU/r - fm+mk1jdlTzeWfMH/sA3/fxnPmW7bXNfpGHwKFJralXZCfAGAAAAAAAAAAAAAAAAADy03H1ZlnEc - a63DMAzDMI7juq7TNPU87JRS7x4zjmPvIXMtcs49urvXc3p62ouPCDPr6eO9zWBrrT9Ua93v97vd - rsd1t9bI7QYecvwIAAAAAAAAAAAAeAHruh4fH9++fXu73Y7jeH5+vtvtTk5Ofuqnfuof/sN/PAy2 - rjGN07zMpZSccynXNooMAAAAAJCUUupTbGutfblPuk0pXXdpAAAAD4V+XdPhty8za61d71VYAAAA - AAAAAAAAAL4El6lK3jOto6nNKmdq9T9713veftLemnPKaZ3PrrvOl6v1aG7Jeuy0uV2GT/fc7sut - 5GohnZWwnE5yPq1RjnenaXiyrr/0Ox/UOGgwScpZ47FSkuUqRarZUyQ3d4ViWc2SZU+Su+YSOds4 - WKmapmw9NPqQfn2ZIe2STNM0tRrjmBUqreYh9fTusg8bzTe2hMowuHLVstkcJzUtq4Yj1fjh/+0f - yV37WZZ/8H3v01Lm0zubdd5s/M5pyS5Jqb/RUsibWTNZeIraY8tbLyO8J3T7xYrWT2Czu8HeD056 - t4U8YpDPUaZpOF1Xr/XG6fnbtps//ejb/8ff+71Q881O6pHtISYTAgAAAAAAAAAAAAAAAAAeeuM4 - Skop9V4xPfp6nmczi7iYI2hmy7IMw7Cu67UU2ZsKSqq1HkqKiIjoJfXc7nmep2nqG0TEbrdrrZVS - xnHs99dRO4AHBdHdAAAAAAAAAAAAL6CPwuZpzNP4uS88cfPmzWj2Cz//i7/xG3/LTGuJkJrC01Br - PYzjAgAAAACuSyllGIZaq7tLyjmfnp7udrvrrgsAAOBhUUrJOe/3e11e3URuNwAAAAAAAAAAAPDA - Cqn3MkyS1ORNy/JD7/7Wd56HnZ2WlGqtqUce25fd0QOsmeIiKdslNSmFelJ1NTWpuSR5NG9KUpVn - H0/G8QujPp/iVz78QR3tekq3atU0yrPclmbLWrej1qqSIqvlpDqfT3mw3E9nrXVN0zhlzXUZ0jSm - akplndMwyNq9dUprXYc0KFrIS12HcZDKUkpL2Y+zpDlKhE2WTDZqWvZzmjYtsuekJKUmc01V6/rT - H/u47pz+wn/0H3z29x6302feNPlUS1qbh1xqanNSNaWoFmqXud13A84lu1xoclMrUjNVlySr9+m9 - +8q0VcsmTa3GOq/TmBTV13qzLN/QRp2d56Ob5Wxvm40lLSWyzF+zH2YAAAAAAAAAAAAAAAAAAF6+ - 3h+md4Y5ZHWP49gTr3POvdf6MAzLslxXbnfXi0kpSaq19o7xrbVefK01pdRzu1trrbWccy+4J3aP - 49i3ucZDAHC9iO4GAAAAAAAAAAB4AZvN5vz8PI3DPM9vfvObz87OfvV/+LVf+7X/WVJE79qjZSmS - pmma5/laiwUAAAAAyN3XdU0p9UnAEUFuNwAAwP2Uc5Y0juMwDOu6RsSyLH35uksDAAAAAAAAAAAA - cMkkec/t9p5obVJUzet//M3vfs9peUNtq+RWe6uyByup+cUKl/nFVUBqksJaC0mykJsivJpWz/uk - MJs9P23p9uB/9SP/QruNpkEyDZtWw6eNZKushZnbZkxRNSS58tz22cdxmhQhSbXJPaVRaq20TU6t - rp5MUYYh9TKea0qDJJnXVnMe1lqGlIcxr9KqZVDO5slcUl3KOObNZrPMbRxThKqUJl+KpiFpTNqv - esOtH/gHf1/etOz/wnseuzWvx1a3pQ2tSq1Zk12eAVO9jO62kKypyXWRcO1S7RHe0uVpfICEKYXm - OruS5K2EQkkqy3rj9jM/+I53/fS/+lg+vqnQGkpj7jnuhHcDAAAAAAAAAAAAAAAAAB5a4zj2Tn0p - pVqrJDNblkWSu5dSep73g9A0poeI97juQ3dBd48IMztkcvfEcXef57kneR8yyHszHAAPLX4EAADw - YDGziGamCPUe4u4WD94FSwAAAAAAAK9LOeezs7NhGHLOtVYz6wOxVTFsplJaa9Gq/te/83d/9md/ - wUztOX+3ecHcbrOLpi4R0YdsJbl7a+0wPi1pHMc+RA0AAPCK67PKDr94AMDrUmsXLU37/4X1ib+H - /yMDAADAfdAvcOqXXfXfx8jtBgAAAAAAAAAAAB4kXqPJfG4aXb7KkuRFy7nurO/Tbrs+2do6ZrWm - 9toPOXaptrrJU2ttbmXIktvZEkdJuaqEtpHPkz+hNt88+myqv/KRD2uYlAa5KyXZKE8Kd7/Y4dC/ - HCKgq5Jp1EahMIWpSZ7dLrdJllWby1WbJJlkfrXCPsHNr5zq7FnSkLJCJo2m8dkHlcaLJnLD5CHJ - 1HtPjlkhWZKOBrWm6Viqatuf+fSnNJc/+/ZvefNaj9Z93p9sa7u5yWfnJQ1apbnKTHlQNJWiadC6 - tkEXuw3Jwr31mPf2oH0kqkuStUhKNULWZpOkG3Mb0vl/8c3v/G8/8QkNXvPQIjLzCQEAAAAAAAAA - AAAAAAAArzullJTS1Z57rbUeca3LvnwRMQzD1Zakh+W4jMrrrfwO377iTWMOcdq9Q/s0Tb21+2FN - d2gh2CuptT63x+A9u+0LPbf7sIbcbgD8FAAAAAAAAAAAALhwfn5+8+bNeZ77SLC7m9kwDHNZaw0z - m6bpH/z9f/DjP/4XL8dnX5w+7nuI5S6lmNkhsbvWmlJalqUPZt/t5QMAAPCyXQ2sXZZlHMfWWs/w - BgAAAAAAAAAAAAAAAAAAwMMmJFkOKbtMcoVq6OxEpf3X7/pDx7efvLGZTvfrVkqmnCxqvKSLaR4c - bWO5lP0sHaW0ljorNklNqsnXZufT5glrT9/Y/cyHflu7UVkaNrIsuSIpLi7zCZN6unY/HXH1FSRd - xFxXqV2GeieTwtXvJZnLLuKvn+v5A6XtMiP8eR5qCjd71psTh3tzJW/yUJZakiy3X/z4xzWvKuc/ - 9N53vMXafHr7xqBa1ExHk6+1zXu5KSWllMpaw1TjbrkueetfH6xPRLt8a0I96zw3FZeOpHQ+v227 - +bPf/M2/+JlPbo6tGs33AAAAAAAAAAAAAAAAAACvQ2bWW4/u9/vNZtPX9M7n/d7M3L23QG8vrc36 - y5ZS6vncpZRhGCTN85xzbq0dcrt7wRFxWJDUF9y9x35fS/EAXqP4kQEAAAAAAAAAAHBhGIZa6/n5 - +dHRUR85XpallDJuj2qJiPg//9E//oEf+MA817gcu5X0pXrlPFcfCV6Wxcx2u93JyUlE9MHgnuq9 - rmsfun7VDhEAADykDjPSImIcx3Vdh2G48vsMAAAAAAAAAAAAAAAAAAAAHi4hrbWOltxCuWo/a68f - ece3vHle3nx88+T8mWGwVqM0ueJ5Y6NfQywkFZNuTHktxU03B/fqT9dSh+HJKT+xG//qRz6szaSU - lCf5Zdh2XERwh7SaWk/jlrJLh56VrsPlRWEXidb9+4vEbZPZxbeS2uUT7MppfYEzbD0LvFl/4mHX - 0RRSj+62iy3tYmd2WZ0vkuRJUnYdZw1F6einPv24bj/zFx5739vOyi01t3b7vLx5mrJmd5WiUup2 - tFIipHpZbQqZPNTaZZD5A6Wf/+IyaWzKIUlbk85uf/3xGzXPSpaGseTxS+WkAwAAAAAAAAAAAAAA - AADwGtVzu1trPbe7R3SnlCQdsq57DHZc38zQWus4jsuyjONYSmmtHcK8JU3TNM9zrdXde//21trV - A9GVYwGArxDBDwAAAAAAAAAAABdSSsuyDMOQcz47O4uIzWZzdHS8zOX8/Pzxxx//3u/9vnmuPVn7 - pQ0t96HrlNLJyYmZbbfbvqaUEhF9eVmWV/KoAAAApGEY5nlul/0Bh2HY7/fkdgMAAAAAAAAAAAAA - AAAAADy0TBpSSi5TUZ21zj/+rve95WS/LesXTp6sqmkaW9PNwYchLQ9kTvOLEiY3PT2XO1VLtqea - fSba+Rvf+Nmbux/7xEf/6sc/qt1WKS9pLCkXc4VLkl3cmt2Trt1kkjd5k7WwFnZxilxKUrp8apWq - WpGKWpOamh/it+3uzfTlbpJCraldOR5J7cq9Lqq9CPW2ixZzIVNLh52YalbsttqM2o564xt+5vFP - xLse+9S4PR1vTOnoZI691Kodj1OTyhIWl7s1Sa2/iF8c6IOivzshSU2KFPKQej695KYj+Y11/i/f - +Zieuq1WsqpdPZkAAAAAAAAAAAAAAAAAALzG9XzrUoq7r+t6dnbW06/NrHc+l+TuwzD0hWsstQd1 - L8vSWtvtdof17j7PsyQzW9f1kOd9qPaw5rAAAF+JfN0FAAAAAAAAAAAAPCiWZXH3aZrWdTWznPP5 - +blZ2h3ffPzxT3zHd3zH+flsph552Zqe3WKmSXd74TyvYRh6LHcf1u37l+TuZnYY2B7HUdK6rn0M - GwAA4OWrtU7T1JfPzs6Ojo42m831lgQAAAAAAAAAAAAAAAAAAIDrYqFUpaS17Yey11y+86u//tvi - 6JEyxxg55Tzl85N9lpbaIrSb8ry8hrschmmWzNNRHudsX2z1ZDN9PutX/9UHZabdDfmgSMrjaCpS - lZJJPQj6MuTZLgO5/eIyoiZ5XFxTpNS/9quKQjIlU0hVamqhiwjtpHZ3d4c09C9xLdKBmZKaKe6+ - iknhF3u43FV9Vj1K/cmtZSuy6E9KzXS215iVNxpCWr7vn/4fmuuf+dpveNtmOyzlZr4Ry/72crqR - u7UWqj23+0qRL1TvNagmD1loUFF4NRX3orZNaVnrqqr55G0afuw93/oT/+9HVGdtbsoeoPRxAAAA - AAAAAAAAAAAAAABeDndflmUcx1rrMAzDMIzjuK7rNE09DzulVGvt2/RO6dci59w7tPd6Tk9Pe/E9 - Zbw3ae9Z4613gXevte73+91ul3Pu6/sCAHyF+JEBAAAAAAAAAABwwczMrJRSStlut7VWSUdHRx/9 - 6Eff//73P/HEM32znHKTtdYiXlyfmZ4I3gd6U0rruo7j2Forpazr6u6Scs596JrcbgAA8Arq087m - eZ6m6ejoqNbaf9UBAAAAAAAAAAAAAAAAAADAQ8qkeR0mk0nz8vajo/TEkxsNt+e1SWdLGV03NuOd - 8yVJZ3NJ9sK7fGBV871UUqo5PxX1maPdX/vYh7UZNI1KqTZXs5RHFa2t+eQeV3K1JUl+Gd19GY3d - JIXU5P1RqUlN8rvp3ZJZj/ruEdHuutxWff9NcqnJXHG5/CXuTZ4ulg+13V0+JHYfXiB6wHf/opC1 - /uQ4W3yzlbnCqppvdk1Kw/Lff/ZTunPyw3/kj94+3W/q+ua8K2WfQ5I8VE3N5FKLdpnifXjBB0P0 - N6K5FGq6eGu8VptDm2mIsDrfuVUnnc+6sVGLy2xzAAAAAAAAAAAAAAAAAABeD8ZxlJRS6o3Qe/T1 - PM9mduimbmbLsgzDsK7rtRTZc7slHdqi9vIiopd0tYFq3yAidrtd7+U+jmO/v47aAbxWEd0NAAAA - AAAAAABwIaV0WFiWpdZ68+bNT3/697/v+77/Yx/7xDiMtdbSYq3N3e/N7f7K2g9FRGutp3dLWpZF - UmvtMFwtqQ/95sw4DgAAeMW4+7qu0zRFRP9NI6WUcz5MWQMAAAAAAAAAAAAAAAAAAMDDpUk56XzW - 08/8hW/7tq86O3nkaHN2th+kXbY1QtLZ+eLSrVtHTz1zdt3lvizFfZ62X1Tsj7c//8Hf1u5IyTVt - wzwipR7KHYpowyipeDQzl5QuU7clSU0h2d2MbJMnXQRZyzwkM797kVFIV+OhDxnbl+nednfP7nZ3 - +bn3drnQI7r709uV59tluPhh14skKdvFDkKpSlUaj2+0ZfXskspcp21KIbniyG134688/i91vv7o - ux9L5+eP5NHXNdrd+cbNJJNakxQPVJR7uCt5XER3z67iklpqubX2xs2Nz+3vSLqxOTrO+Qf/4KM/ - /a8/qdSI7gYAAAAAAAAAAAAAAAAAvG70XqM99/qQ1T2OY0+8PjQgHYZhWZbryu3uejG9IXytted2 - 9z7tfU1Kqed2t9ZaaznnXnBP7B7HsW9zjYcA4LXFr7sAAAAAAAAAAACAB0WPtGytDcOQUtpud5/7 - 3Be+9/vf/+EPfyxnX9altmpmOefW2gvv7jn6uK+knt7dw7kjwsxqreM4mllfzjnfGw0OAADwMvTf - cCTZpZ7hfd11AQAAAAAAAAAAAAAAAAAA4BUWl7fLL01qcXG7wovqqn3583/4j73pZH7E9PTZfho0 - mmqJWtXzpE26ffssX3e7smZXbnJJKZRCzVTNm+7e+vZ2caheLJ/l4alp+vQ4/uQnPvHzH/6Xunms - adM2m+rDepneXFdFyAZXK1FWc7sIwY52cR/t8mReDdW+h4dUDye+X0gUz7o1qUrt4pG7u2rypotD - e+79lXfz8oQ8Z+HitS63vFufuS7OjEu5Si3lXtu0GRVShFI+H4/mYavtRpP/pY996NNHw+/n9ETy - 1f1wPsPUTNUPud0uebuS4W2Xt7vv2sXrvuofIAt5SP3j3kPNQxbKSnf2d3bTmJJO9mfl/ORr3b// - m96uZVZd+/v77FMr3X2v+r+dex8FAAAAAAAAAAAAAAAAAOBB0zucS0op9TBsM1uWpZTi7qWU/uiy - LL096TXq3VAj4pDbHRHu3ruyHzK5e+U553meh2EYhqE/8RD7DQBfoXzdBQAAgGcxswhFyCR3N7OI - l5ICBQAAAAAAgC/DlVprTdXd+x9hWpTW2n6/brfbk/N9eHJP5/P6Qz/yo//sn/22pLVc/pUmain1 - eXb67BYsh5TuiMg5H0aCU0q11mEYekb4YQD76kBvXz7sAQAA4OVzv9vtLucsftkAAAAAAAAAAAAA - AAAAAAB4fYmLEGfv4dBJSiFFU2ohNWmtq9s4eCr7GCZTmnXn9q//2//hu79wnrRvqsOkO7N2PX3Z - fA03ye0+dT65Orc1rqy9SLm2i5VNLvPctFWbJZmd15Y1pJRC1VpTyKQx2b5GldZh89ncPn+0/ZWP - f1LTIHe55MlNIfVscklpvHzhlO1qczbTs2Kn7357z8q7X/3qsVw9Krt49MunWNvlHq7eH55ul09/ - bstJ6//FRXp2OqwNSX71dS09u2KZZGOvLmcdSSq/+KmP6c6dH/mWfyM9sz+u+0GRkz9VyjiqVmVT - aq7wZgqpWfPeLScudllMzRRymauZKcJ0T874K8jVmiRTCcnk7XC2W5VcXtdlkMwVEeX8/K2bSfMd - JcknTVOTQipz24wXJ6lZk2pSk1JPT/dnv5kAAAAAAAAAAAAAAAAAALzaekb11fahrbVDxHVfHxHD - MNR6t2v6Yblv1p919dt1XV/ZOnsP9kMn9mma5nk+rD9sZma9H3uvpNbaCzscyz2NUnv31L7Dq2sO - 6wHgK/Tl528DAAAAAAAAAAC8DvXR5ZRSRKzruq5rH68dx7GUcnx8LGmZyw//8A//1m/977o3lfuF - 5Zz7cHUfAC6lmFkfza21juN4daCa1EwAAAAAAAAAAAAAAAAAAAAAAAC8Ii7iu5+9ci3r+brPaRg8 - tbUNo6lVzSeK+vkP/t9v1P6W1KOr86iQQmryuNyTSfZir655kXrqc3/JkJpd3HSZdW1xUYb3LSVJ - W7da49bxjWlItc5hYR5jSpL2Utlub2+PP7vd/MTHP/4rH39c20nTpGFQGmQuuT03jNkOL3j1dhGG - /fy53c93LPd+f+WV7IVuer77593fc5949+GrK+3eZ91baD/PIWuqUh02MUwy061HfvKD/8+nj4+e - unnrGR9mpVtH22XRYMrZmrVmTWoW8nCLu7ndr/KH5fm5mtQOEe+XH6cW1sKah1LIQynaVMuNdflz - j75T53tZU+jk9rlJw+RrqJqq3d3hNR0NAAAAAAAAAAAAAAAAAAAys97AfL/fH9bUWs2sNznvnc9r - re7XlkubUur53KWUYRiGYZjnOefs7ofc7pSSpIjobeG7Q3T31XhvAHg1EN0NAAAAAAAAAAAeOjVK - WOtDzmbm7m7ZdJHkfX5+bko/93M//3f+zt+Nl9RcpY8QL8tiZj0IPCJKKe5uZsuy1Fp7bve6rowK - AwAAAAAAAAAAAAAAAAAAAAAA4BXRs6azlKIHYrvCxzyNw5Hk6zO3k7lMWs+12ve/67FHxp1pOFOt - UisqpUd3exyipu9XcrFLJoVUTcVVvCeIy5pS09A0Vo1NU9WmKYefS6vGKeUnT+5sWtlaRClDblFr - Sv508k9Y+/Rb3/ATj39Yt25oSErpPh3Ja5NbS1aTWpRS1qbNI2qD3vimn/70x//c73749x+5+WTz - dl7fKG2r2hxhCm9DtDGUon/ocsirLqOvQ6ZmKrIa1i5j5R8IU42vmdP3v+M92p9J663dVmfVpbm1 - VSqSq5lc4Qqlyw8nAAAAAAAAAAAAAAAAAAD3U2+i3lrbbDZ9wcx6DHbOuT/aW53HS+ul/kqotY7j - KGkcx1rruq49zLvHck/T1Lfp7dlLKYfE7viYc0cAACAASURBVJRSzrkfy3UVD+AhQXQ3AAAAAAAA - AAB46KSUIqLWamY5Z3dvrfVR583mKKfxl3/5l//m3/yf3JXzSxxM6YPWKaWTkxMz2263ZtbHgyVF - xOnpqaRhGBgVBgAAAAAAAAAAAAAAAAAAAAAAwMtkF7HdMklN6tHdpmh+frpP8iyNu2NFUV0kfecf - fPcbT4u3eltnKfm4zaVqM3q7jF42ye9b3HJcpCM3UzWv5qEcyh7ucr+MJHfJ1ZKaSaEhxqHU9uac - Sy1Z2g1aZvmYvljbUzdu/NS//uQvfPCf6/hI2422Ozkt176Mpqixnre6ppw9TZJru1tsiO2xHrnx - kx/7yJM3j5/2IdKmhjZJKS7SrEP9iikzmZTCvJn6zUMekh6s3G5JuWl3tv+65lqK5jO1xYeQtMlu - kt2t1iVTkNsNAAAAAAAAAAAAAAAAALjfWmvuXkpx93Vdz87O3D0izKz3P5fk7sMw9IVrLLWUImlZ - ltbabrc7rHf3eZ4lmdm6rn0zXan2sOawAACvBuaRAwAAAAAAAACAh06P7u5jzBGxrmuP8V5q8yH/ - 7b/9t3/2Z39xGFIp/z97d/9jSXbf9/3zPedU1b3dvbO7FCXSSfRkSZQYi7Ic2fk5CALkB/8BVmQF - sBGJpghZCvRAUYaQmKLtUJRkW7AjBgjgn2THUGArcn40YsdJIEOQTVEmxecHic+UuMvdmem+t6rO - +X7zw+m+07taPuzu9HTP7PuFi57q6rp1v7fr9KBnzqnvR7W+lL4wwzAsy6KL6d5Sym63iwhJ/XVb - a33+eJ7n1tp9fXMAAAAAAAAAAAAAAAAAAAAAAAB45bkXMOyK/lBIytoeHeUq7aqSS1XrXrfvfuti - r10Vbd5Ld5vf3deh6GzvzZIrKS5O9gBTi6M/TKHsMguzyEkpKd37qiR5SE16dr9b5LNqJJ2Gtjkn - 09Ous69/9ds/9F49vtV2W/PQrNTzJ+LLCMmbDYPntEiedHvf9iYfS1NSOdLx9u989P2feWL7maGc - 5mFuKq4htGTNuWe9JymZkiu5JTeFSaYcyiEL2U26ADl8VP26tf3cN79Od/bS3IZo3kpoko/yi/HS - Q+xvXPQ4AAAAAAAAAAAAAAAAAOCRl1JalqWU0lobhuHo6Ggcx5TSNE39gJyzuy/LMo7jNfY5L6W4 - e69H0unpaWstpdQjxlNK7t5jyA/vq7V2enranyvJ3fsGAFwRorsBAAAAAAAAAMArTp9F7jO1fU63 - lDJN22EYfuOf/+ZP//TfGMe0rm0YsqSSX/SU7bquZtbniXPO67qO49infiOip3q31iJimqZ+GAAA - AAAAAAAAAAAAAAAAAAAAAPAS3cu1dsl7gLLM++frKkkaRq2LVLWu//13f89r5rUspyXF0XYqo42j - RdOmKOw8trtnLT+wwGW/yO32895oyeK8TWNPTm5SNVVTlZpqFI1T2W6mtaqUXJI+u/rnxyG97vU/ - 9+536/iWyiaGjcoYDzR//OFkkodCpry2atJmm/tukyKkcVDyd37kg5998uTD7uurXrUkuVST1vOc - dw8pLiKu3RQ6Hz0m3bS7pyw0yOzuM9/Y9Kv/1X+tdZ9Vi0nN5a4I62/dkixdDEDSuwEAAAAAAAAA - AAAAAAAAD9Q4jpJyzr3h+bqukuZ5Nru3LtLMlmUZhuG6iqy19o1DfLiZRURErOtaa+3VzvN8SO+O - iOPj4547fvkMAHBFXnTUBAAAAAAAAAAAwMPOo6aUTMndU0qllNXj2bt3fu89733rW39W0rK4yda1 - jcNmWZeX8BIR0U/eZ4v7BHBEzPM8TVPfPiC9GwAAAAAAAAAAAAAAAAAAAAAAAC9XyEwhXWrJqN2u - nmyK36npqKhI6/JXv+Vbv33XNvv94xrvqN7d1X744yebL93dD1kKJUUKuUmmdBHjfaVccpNfpIZn - V76I3A5pTapJYbJQjr5nnWf9qWnKsljKXZs++arNL33w/Rq2SllRtCYbUjHVWlPOl/tU4nlCyYft - fnd2PE0nlpY1quSmbbG8XlyGxx7Xsv/7H/mQ5vrmb/rm7xiHx9a1pj42qqRmyaUUSZ7O49flkrLk - UpLadb29F+BJ6fFx89R8+5mPfFirW2uKHlYf53n1KVVLTSpq3PoFAAAAAAAAAAAAAAAAAHjAaq2l - lL76sYdhSxrH0d37l3ri9TAMy7L0VO/r0ovpjdZba73vurv34ltrOefemN3d3b2U0gvu2eTjOPZj - rvEtAHi0pesuAAAAAAAAAAAA4EFLKeWcI6K1ZmY5D2dnZ5/59Ofe9KYf2e3WnM+noiUt65LTi56v - PbTyOUSDS+ovN01TnzY2s34Y88EAAAAAAAAAAAAAAAAAAAAAAAC4X0wKReg8gPh4U1pTOipS1Tzr - dP/Nyq/2OFLaa3H3cdC26KjYs3f3m5IUyaQcMnmY4oEEXofJTWFKoRyeQzk8yU0KeZO7qaXzR3+P - yfX4kb40z/s0PpOPv3jy+C996BMat5o22hxrmFQG1SZXyckeQPb4Q65Km+2RXHKN2baDHRdL0ZRd - aoqQJR0daTPo6LFf/fRnPn/02JemqWnInkyKJJn3RwolT8mTlLzHd+s8lP0mibvL2WOmo7r86Hd9 - t+4uWvbKF+MkkiLV87jxG1c6AAAAAAAAAAAAAAAAAOCRN47joYf5oav5siy11pRSrfW8ifqyDMNw - vaX2EPHegz0upJR63PihB3uvvJQyz/MwDMMw9CceYr8B4IoQ3Q0AwM3i7oftw78cAAAAAAAAcH95 - U05DrbWUEimf7ne3n737/d//39y+fSaptZDUQqEUUvX20l7lMDd8mMPus7+H0G4zS4nJGgAAAOBm - 6bO0fWVq/yipf8RD5HDJ3L2v4u0X9HqrAgAAAAAAAAAAAAAAAICrYhePSJJcaheRyRZKUiuSmk7X - t77+z926u9uuy6rqPVC5yapUY0hq4YenJClMD3jdVQrlUPEoEaYINZdX0xKaphKLBtcoDU1HVaWa - jo4/OQ0ffvWtn//Yh7W5pc0t5VFZS1FNUjGpqudRy7/6y7+CFSn1vPeQJItqWmU10qriSiaZIitv - tRl0cvQLH//w5289udZh00pKilCRhpCbu3kOGyJb5J7eXR/4QPrKwtQUKWkXmpJee7dqV5WTLORN - YVKKkEshJSWx/hAAAAAAAAAAAAAAAAAA8LIduuHN89w3Wmt9/+FLEVFKudw6rx9z+ek95+7w6bqu - 97fOUsrho6Rpmi7vP3heq8bW2qHUF+zi+OVO+LzTAsB9RxoEAAAAAAAAAAB4xZmm6ezsLOc8DNPZ - 2dnZ6f4Hf/C/ffrp2/fr/BHRU7p7RJyZtdaIiAMAAAAeCv0X+MMqz/7rPb/PP3QiYpqmiEgpmVnO - ebfbEcEOAAAAAAAAAAAAAAAA4FHWo7slKfWt3mIsJMvKcu3nn/yzf/7xp+/cqnVMUU1zUth5SneS - oid5m+twJrnbIcv56t/BeW635/Akj/5IcmkaNN+tm6ShyZqylC097fpEjp//g4//yoffr5MTjVKW - spr18PIe1x0yXWSR44WZlEOm82+gwg/7e3y1kpSSlBRapChF2+Nf/v3fv/P4rbtlWKtORu1dEYfh - dP7dDqU1qd285XuW0+I62pbJ7eRs+cnX/afyuiw75Sxv4b6EklSksztnCgYPAAAAAAAAAAAAAAAA - AOBlWde1d8Ortfbs6lprSqk3P+xp3GZWSmmtpXRt69ZyzrXWXt4wDMMwzPNcSkkp9f39GF1q1di1 - 1vq7OBwGADdEue4CAAAAAAAAAAAAHrSzeVHKeZz267Iu7S/9pe//7Gc/e/hqvOxGPKWUPuft7jnn - 09NT8uEAAACAh0tE9F/jD7/M81v9wyWlNM+zmdVaSynrum6327593aUBAAAAAAAAAAAAAAAAwJXo - ydp9rVtWCskiyVSTslfb77TWJ549+8Zhiv1u17QWham4LJQkvziPhZLcpEv7Ls5+ZUxKoUsL9Tx6 - aLSphcai3ayjpEklwkeVO/Kny/C5x8Zf/MSHtNnKJiVF0m5VGSWpqGaFZDKrKi4NEgsBv6zoGe+q - SZKXkCJJLstZCmlRSpaKS6Gxh8QPGx3rb37gPW/73v/stZ87W+Y4GTU3mUvhLu+3aLmdjx03patP - f/8auWlublLb1SzdGrcnci3LeLKRXMPQLEmKVZui6ehE4YrEAAIAAAAAAAAAAAAAAAAAvGTDMEha - lmUcx2VZJI3jqIsY7EOXvIg4fLwWrbVe4TiOtdbeZf2Qxj1N0zzPPVw8IvoB7p5S6m9El94LANwQ - /K0EAAAAAAAAAABecbbb7W63q7W2Gj/0Qz/8kY98wkw5W2v3Zzb6MItsZvM855zdvbXWp8YBAAAA - 3GQRkXM+rFWNiNaarnX1Kl6Cvn5XUinF3YdhcHdW8QIAAAAAAAAAAAAAAAB49F2kC1skSZKHqim0 - xo9/53d/09lZj+UuRYupmYqeH6hscovDSZQu9seV5hbH5drVc7tbUpjM5K7HhuSr71Wzims4205P - PXn0i+/5HW2PNUytmbL2rjxKUlZNvd6QLH2Zl8QlJtl5XPr5p5EU6d5X+5fs4tokLdI4jXr85H98 - 9++88zv+jN29U5q3Fjn1w0JqfWiFJV0aVDfEZhzHIe9Od4PSvJwWH37kDW941/vfpynFMNxd1mkc - tkVapSSFKzGQAAAAAAAAAAAAAAAAAAAvXWttXdfNZrOu6zAMZtZ3Xm6Rl1IqpSzLklLqXRCvRW+x - 3vPFj4+P9/v9obx5niWZ2bquh+PTxRK7Wmt/O4cNALgJWAcMAAAAAAAAAABecfb7/Ths5v36Yz/2 - 4//+3/9eSopQaxFKlxoK+aXHi9MjuiOi1ppzbq2llMjtBgAAAB4K7q6L1aK6+PU+53ydNeHFi4jD - Et6U0rquiaaZAAAAAAAAAAAAAAAAAB5pl5K10732YuGDQmenOpv/4xbHJZ9pLmO5U2Wh7FL0m2dS - KJmUnnt3jZ1HgKer7lcW9px7eKLvMYXJQtHkq495uyjvxqPPj+lDqf7t975HTz6hMs37NWVrOk+a - HuX5kDMeSZGyxCrAryzkVd7kWRqkUDpP6Y7zSzNIY49vzy5zSTkplFRGHR295Q8//PGvf/KONtOw - XVOas2qusppVh3BJfsP63aVQrMvZ6U5JLaftOHx9ya9+6rbuLloWl47GIbd+Y5nHMov+oQAAAAAA - AAAAAAAAAACAlyfnfDm3293NbLPZTNN0OMDdl2UZx/Eac7tLKb0lY+/BeHp62lusm5mZpZTc3d0v - 9/prrZ2envbnSnJ3crsB3Cg3aykzAAAAAAAAAADA1UvJSkS87W0//y//5b+epsFdkoZy36K113WV - dJg8NrPDTgAAAAAPhWEY1nWNiL5UtNYaeKjM87yu636/7xe0/7usLwIGAAAAAAAAAAAAAAAAgEeU - my6tkrKe5h1aqqre8m2v25ztl3rXTKdL3WYVV3GZFJZc6i0eUzznjClk0TOxrzy9u1lqllwpemLy - IYrcNUhJ6U7btenoj4f0qW3+lU9+XLdu1bJZZp+mI5MkT1LVonA16ynTsns12wu8Ji5LkrJkkUyH - 8XPOQgqpfzBJbu6tVqWs42Ntx3d86ANPPfnEH4UtKcuUQzn6CdxCKdJ5svqN4aFsymWsre6XOe3P - XuP2s2/4XkWK3VmSPELeNMi2k8QAAgAAAAAAAAAAAAAAAAC8XLXWYRgiwsx6Yre7z/Pce+V1ZrYs - yzDct37pL6HIvnGIDzez3uJvXddaa692nudDendEHB8f99zxy2cAgBviZq1jBgAAAAAAAAAAeADM - 7G1v+/nf+I3fTEnzvA5lkNJa2/06fymlB3X3qeWUUp8Rv1/nBwAAAHB1cs7u3n+H74tWU0r9N3w8 - RMZxrLVuNpvWWkSUUmqth9W9AAAAAAAAAAAAAAAAAPDI8fNHSKGQqrT03c/ufuoNf+FoXodYn3js - sSbZZGvT4Bo9pUguraZmUs/qlnQe09wDmJNkccXBxa7klqqlptSU4uLVLDRkc6nYKNs+m+3Tuf39 - P/yAjoo0+Gkdx0lSm/eDmvvZRkmeFEUqiiSj0drXxJSKUomiSDqkdF/EbWcpehi6JKVISUkpVCzt - al3yULe3dHLr5z/83s98w+NzTttVR01TKEwtyUIpbtZ1cFMklc00L8uk9MRYNknDsj/e7XRnLbFJ - cyvFYghlr22pjS6iAAAAAAAAAAAAAAAAAICXpffEyzn3nni9e7m7l1L6l/qe3sn8evsfllIk5Zxz - zr1yd2+tmZmZ9ToP0eO11t6SvbU2jqOkcRwPsd8AcBPcqJXMAAAAAAAAAAAAVy256V3v+l/+6T/9 - 9Vq9T1HXWiUlu2/zJsuy9KnllFKfIe6T3/fr/AAAAACujrunlEop7t6XfrbW+gJWPFxyzhFxyGLv - 1/S6iwIAAAAAAAAAAAAAAACAqxOH0OUmuZRU5bNajJ///DccjbbOt+/cyVm1RjKZZJId7ncxTxfb - boczSXJd/uzKuPWHh91b62Uh1TBptrg95E9P+V2f/ISONhqKTOOmSFJEHkaTbdOYDnWGetp4/5xu - a19FXLrOdv5d62nwfzKz/fzyeJU0DmNVNFkbRt06+Xvv/w9PbY7vDMNzOm6a33uSST0KPpTjfPhd - XPrDE9IDuGIh3d7NT2yPZ/npUt01eXtyWX7uu9+gZ2+nbE1ao4Uil5ILIwgAAAAAAAAAAAAAAAAA - 8LJExDiO7t57G/ZGeZJqrSmlWquZSVqW5dqbH/ae7RHRWosLKaXeZb2XrYvKSynzPA/DMAxDf2Kt - 9XAMANwE5boLAAAAz2dm/R8Y/R9CZkaoEwAAAAAAwFfWO7P09kCme915WmtHR0d37p5tt9vVo7WW - U/6N/+M3fumXf6UfsFbXRV+iFi8lwu3wnzkppZ7wt65r/4+d/tXDDPFhJwAAwA0RzEI9/Pgl8yqk - lJ63wbrPh9ThB+RwBQ/XFAAAAAAAAAAAAAAAAAAeQS6Z3HR3iWmypCjaa779P7z+u75Raz5bsny0 - lJpy9Btq5PKwi5DkS5nX0r37cy4Fab+4W296KnNcWuroX2nZoycPs2ihYaN5r2RKshThUpKdDsNT - R9t/+KkPa0jKWylLh1jpnkKudP7HpaVi9gLJ03gB9gLb6ct8PUmhZEORlMOPlCSFqeUpH+f/6aMf - /6nvet369FOvbk0hC3mS5XAPReoR4cN5Ore7tEphF0nrIYsU/ZVNL3bIfe1SKEuD6Wx/mqxUyyna - FPXWOvszX3jzt33jrz7zdNM0RjGFzGurJY9EwAMAAAAAAAAAAAAAAAAAvnatNTNLKbXWcs6Hnnjr - uh4O6Bvurks9Qg8H3C+llFpr/yhpmqZ5ng/7D4f1duuHpuuttV6YLqXpPe+0fWOapst7DvsB4IZg - ETAAAAAAAAAAAHhkjeN4dnY2DENKZZnrUKb/+//5N295y9+4X+fv096HbUmtNaLgAAAAAAAAAAAA - AAAAAAAAAAAA8MDF7u7dMG0mk5TqrLNT7Zdby/6orqPXHEqRLFJvPubPzdV+/rns/CH1Y68qRLlL - oVEph4ai071SUgp5CymF0tNKn57y3/7Q72kqy3gUVmTpPKnb7iV3W8+DvrcHL8bl75vd2/GCB0pq - Sq13sXOXy0JNilKU0y998ANPT9u49fiY0yClomrn/UTtPJe7J8efJ3ZbPOeVHsDVMymHckiSm61W - 3EzSIH1DstdE0zIfyYtlKdV1KRf9UgEAAAAAAAAAAAAAAAAA+Fq4e865tys3s+eFXj9IOeeez11r - HYZhGIZ5nkspKaVDbnePFY+InHNcOER3X473BoCHEdERAAAAAAAAAADgkbXfLzkPpYxnZ2ellHe/ - +90/+qN//bzRy/0QEYcJb3ePiFpr3McXAAAAAAAAAAAAAAAAAAAAAAAAAL4WqWwfu7XuqlVlKXvT - Xj/z7X9mqj2BO0lyUzNfk6/pK+V2X1WB8WUfFkrRNqnsqoakYciSxqQ1tG6f/PzRY2//5Ef05FbJ - xvDW2oMvHpfZRQO7MClLJoXGkEl6bKPBPmv+6dN9jUHSflHq4808h1u4y5t8NTVJUg4ll6RmaucB - 7LIrvkOrjyBXclNYbSmqqUpntT1Zxh/8T75Jt+9orc2jDGNt7WqrAQAAAAAAAAAAAAAAAAA8Kty9 - 1ppSWte1B2Bvt9tSynXV01obx1HSOI6ttXVde5h3j+Wepqkfk1Iys1rrIbE759zLvsbiAeC+ILob - AAAAAAAAAAA8so6Pj2trZrYs9eMf//gb3/jXdrt1HO7bLO80TbXWYRgkubu7l1IOYd4AAAAAAAAA - AAAAAAAAAAAAAADAA5Gqh5Q2mzJklVhV249847d9/S6Kh/ebXSKFyZPcFCa/SXfApJ7+3PRY2bin - 3dyqaU1Wj08+4uvf+fjHtd1GmcK0rmsppVa/7pKhJLlSKOkwlsIVTWP+R5/65LOvevyPI602Hk1j - Sdl6druUJUktqZlaknQvvj1MYS65yaUHcYnDUiiZmuQ9ODyblf3yLW5aqpY55dxalDzSsg8AAAAA - AAAAAAAAAAAA8LWIiFJKb10eETnnZVlqrddYUn/1ZVnc/fj4+LA/pTTPsyQzW9f1UGRK6fITL28A - wMOIdcAAAAAAAAAAAOChl+RJLnOZhylMoRRKp2f77fb49HT3zO3bP/ADf/lLX7qt0LLctynePqns - 7j2uu7V22AkAAAAAAAAAAAAAAAAAAAAAAAA8MMWypLo2tVXrrP38p5b4uqYhPJQUSVI8mDxkSeox - zM/ZY/FlHy6FtKrWWrdlCimm8VkrH/P1Fz73h7q1UdpK21MlH6eQhkz/tBuh53AvppokucIVSXnS - NLzzwx/4wuPHX0xlP6+2a9llusj4tvPh4SaFJNlFerdJLo+rH6duaiaFWSiH53BJoRSWcp1fuzv7 - B//Ff9lDxHMaol11OQAAAAAAAAAAAAAAAACAR0TOeZ7nUkprzcy22+0wDNdYTynF3Xthkk5PT1tr - KSUzM7OUkru7+yGuO6XUWjs9Pe3PleTufQMAHlIsPQcAAAAAAAAAAI+sUso8r6e73Q/8wF9+9tk7 - EZKUs321532tzKzPf/fQ7mEYaq3TNN2v8wMAAAAAAAAAAAAAAAAAAAAAAABfk1A0ldG07rVffvTb - X/cNKW8jLM4jtN3O76lJoRxKcZ3F/kmLJMtZ2tWdbbdfyuXTm/GXPvUJZdd2kuVl8cG2c2uSR9Tr - rhf3hORymUuSJbm0PdJm844PvO/2q5+w6TgOod0X7GL4hZ3HdNvFsAxT+xO57/e94Naju6UcXsKL - K3lRpNnbrc30WF3OPvEx+apljmU1S7phPy8AAAAAAAAAAAAAAAAAgJvJ3cdxlNTjsff7/bqu15je - Xev5ksveR12SmUVERKzrWms1M0nzPB/SuyPi+PjY3ZdluXwGAHhIEd0NAAAAAAAAAAAeLZEUSUpS - ysP0R1/84l/5K3/1U5/6XGsxlEFSa/etUUpE1Fr7HHPf06ec79f5AQAAAAAAAAAAAAAAAAAAAAAA - gK8uJMlXD69KoTunr60t+3zm+zD3S7ndOTS4ij8/Svm+c5NfvIbFvajmFxQm5bxEG7KS8t1UPpXS - 3/3kx/XYkY6PY15dGsc0hR6zySQ313niM65Hv7ZNcqlIWd7UA+GTVMKLxiN93a23vffdd6cxaUg9 - lluHwGwVV4oeoZ2klJRKKIdaUkv3Bs9VcFPr49MiR4yuEsoySUPK+/08So+patlrXSwPdW5XWA0A - AAAAAAAAAAAAAAAA4NFiZq21Q6/ynPP1pl+XUnoZOWdJEeHurTUz66VKmqZJkrvXWksp67q21noG - +TiOh9hvAHgYEd0NAAAAAAAAAAAeVen27ds/+ZM/9b73fVCSyda6Skrpvs2P5JyfN2Gccza76s5F - AAAAAAAAAAAAAAAAAAAAAAAAwCU9DnlIpqa6vvUNb3h8XrwuqUiXeo2ZZKGkK8/tfgnCm5ma+26w - zw35H/zBH+j4yMdJIRuzZc1zU5NVSUpG/7Rr1vuJJilJOZQlyZtcFiq5ms5axDDp+Oiju7Nnx3FO - pZmaKaQUyqHcw7wteZL64Lw4c7v6AdpfwlSz3JRMpRdgKZKpSENdf+R13yEPuZeSr7wgAAAAAAAA - AAAAAAAAAMAjobcoTymllNxd0uUY72vRg8MjolfSpZR6VT3Pux+WUiqlzPM8DMMwDP2JtdbDMQDw - MGLpOQAAN5eZmdn1/pMJAAAAAADgodD/F8WUl7kql7m2cXM0r+uP/diP/+7v/l4/JhQmM6Xwl/IS - fZ5bF8nf/WOfSNZ9jQMHAAAAAAAAAAAAAAAAAAAAAADAg9fbI7p7v1HlJt8z0nuS6KK9Y69cJsm1 - rtrtXmP5uNUmRZaFkiuFUshC+aXdWnP/ZFmSvGc/J1ulJiXZJCnk0+ZLw/SLn/igTjahMWlUylJI - dZiykpSlSHaeGY3rEVKTXDKXXQyo3C9JspbkSSpmSsrjuz79sc88ubm9nc5kLQ2ljCEVs1XKSfbc - zjpuclOYrrrhTookKYck7z8ckpJk7jlrL4X7f1SKbn9J3lRuZNw9AAAAAAAAAAAAAAAAAODmMbNa - a1/hmXMex1EXCz6vVF/yenih/mkp5bBzWZae3n3Y87yq+sGSpmm6vOewHwAeUqw7BwAAAAAAAAAA - D73WWs7ZzE5OTiRtpqNnnnnm7W//W//23/72fr8kS0MZJJlZySXZi54fGYbB3d09peTupZScc8RV - d4ABAAAAAAAAAAAAAAAAAAAAAADAA9J7FJ7HYD8vFfsm6Q0Qe2ER4e79PpcwadlpWX/8+/788vTT - R0mbomVRDuVQT0Puud0uuXTl2chfxplic3TUo7tLKZvNOG7GGhEhy/kL3p65daJh0jBaHmNxWZJZ - U0irTP0R9E+7OeLioWRKLlulkLL1qo0XQwAAIABJREFUyPWqW0e/8J5/94UU6YknT5vv1pplLWKU - apPJk5+fxpVCigcQkh0peUqeUkhSk6r6prtrrRoHqWq72/3Ef/4XtL97Xh8AAAAAAAAAAAAAAAAA - AF9NrTWlFBERUUpZlmWz2TyAfub9JSJiHEczc/dxHGutknp/9ZyzpJzzsizLslx1PQBwc7D0HAAA - AAAAAAAAPPRyGtalSam12J3N+/3+f37Xu/7J//a/7+c1pDyU6i0kV9S2eNQXe/51XVNKpZQ+u1xr - 3e12N7D1EgAAAAAAAAAAAAAAAAAAAAAAAF6a1pougrH7pymlYRiutagXUGuNCDPLOaeULpoqVqkq - udb65L6OUoQX19aUQ0lK8qSa5DKtSWt6IAHJL+S4lP1+H9I0jXeX9dn9crZfXCrJdmafOtr+8gfe - r2GrXVOT5SRLVcnloSqblWpITpLytTKpSFnqY0tyRVKYwkyWpSRF1VJDR1sp9PitX/jIRz89L/s0 - bI5vrYqc0+Zk26QU50NUUjM1k4UsdKXDM0lD2NDDuk01qSZfLVy+zbYt2q86ORrz3fXxeVUKzWc9 - 7x4AAAAAAAAAAAAAAAAAgK+slNJTtM2s1jpN036/77HZV8rMzKyHhfcC+kZErOvaNyS11sZxHMfx - qusBgJuD6G4AAAAAAAAAAPAo2GyO3H23m4+Ojv7xP/4n7/rV/zUl9e5Iy7K4u9l5w5acXuIUde9k - ZGYR0Xsb3a/iAQAAAAAAAAAAAAAAAAAAAAAAcL16V0R3760J+/a6rnbD5Jx7qa21iBjHcV3XnM3W - Wa29+du/c7xz9/FNmWuYK7kuWo25dB6H7Ca35NcU3V1rnd2HZLtlGbI9+dhRLhYl37H8x7n8ykc/ - rM1WNihvtFQ1NakpXQR1VynI7b4RLq5B2EWAtydFsVCsEa5SlLOFqW1OJNNmeub4ZD0+fmq3X2S7 - 5p+/u9tOyaQkl3Q+Wi/CvK9UjwY/zwtPauYtRZjCtG+xrxrG/MzZ8kS2fOfuD3/v90guJ7obAAAA - AAAAAAAAAAAAAPDV1VpzznbREX2e594p/ar1FbC9j3q32WyWZTk7OxuGIaXk7pJyzuu6zvP8AEoC - gBuiXHcBAAAAAAAAAAAAL19almqWpmn6F//i/3zHO94pySyvdZVJoZSSmbXWTEopyduLOnuf2O7z - yuu69p3uTno3AAAAAAAAAAAAAAAAAAAAAADAo6TfLXJI737e9k3QWjOzfrOMpHVdh2HQOquF9vOr - ans8pLUNSUXlzGvq4cqS6ZCInFwphXqe9wNWpe04rN7mGptkX7pzVqXpZPxSGv/me39Pm63K0M72 - +ehIpSgfEqLzIWo8pCblB186nqdfG/NZMmm0JJdCY7Y5qUlDirPdftxu83SiuvuHH3z/W1//Z0tt - j3m25fTxMe1mL/acUdivssW9tPYrYgpJ3v8wt1CYPNJkMvmytK00qLz2+OSLz97W7dt6clCmax8A - AAAAAAAAAAAAAAAA4KsopbR23gJ9HMdlWQ5dza/UMAzu3pO5U0qttWVZNptNzrmnd/fDWmsPJkoc - AG4O8iQAAAAAAAAAAMAjII3jWGv9N//v//cTP/FTtUXOuU9Ol2HoLZN68Laktb7oWepa67qu2+22 - 91qKiIggtxsAAAAAAAAAAAAAAAAAAAAAAOARU2uV1G9LiYh1Xe2GKaXknHupETEMQ61VSjo7+5HX - fddJ9bLU2iJCO6/H47ZZaiY3hV1ELUdKYV/pu3CVTFpaXaofTcVKLkW3jsan9vv8rd+ixx/XkKo8 - nxytS1WRQiZlqSjl/qfMyO2+Oezili2l89jtJrmKvLWzpHa83ZispUEnR5rKO3733z1renaZy7iZ - Zy9JbmrpPF0+h1Iku+LQbkmShzykdvG6WW7hYWqyyKVJkjXX2ZeeffxsVoTaNeTcAwAAAAAAAAAA - AAAAAAAeOhGRL/RVqQ/Guq6tNXfv7dP7otOIGMdxHMeUUq+nr0E9hIsDwCsBkRIAAAAAAAAAAOCh - l3O+c+fOf3jf77/5zW+OUEpqrVlOitRquHvP7U4pTdNgL76zUEQcHx8/++yzfTr5MOV8398IAAAA - AAAAAAAAAAAAAAAAAAAArkVvVlhKkZRzdnczG4bhuut6vnVdI8LMel9FSTlnuavaq/ftMVPydjJm - SSE9tezWrJrck9zkPajYLcW1NSDLvRuktK71zm6dpun0bBmfePIt/+pfKSWN2Uuak+yotKyWlEMl - lJvkRRpCpe95IAHP+IpMVR7yURolSZHVNjpdz7LaUcqx7NdlWZdo0mxFm42ONrujqZWytiopJXlS - TecR2kWphNLVX9kwNXk1DyWFxqaxKYckLRG7Wk+OtqGYI46HzWtdP/MdryO6GwAAAAAAAAAAAAAA - AADwNToEY+92u1KKvYSu6C/eOI7b7baHc9daI6K1ZmZnZ2dHR0d9iewwDPM8qy89BYBXDKK7AQAA - AAAAAADAwy4ty/IHn/zUm970pt1u9VDOWaZw18WEdCklItx9XdeXkLhdSjk9PR2GIee8rquk3n3p - /r4NAAAAAAAAAAAAAAAAAAAAAAAAXJeUUly67aTfOeJ+bXm9F6W4QnHvU5Uhh3lEJEtq0urWmpb9 - j33rt31di2Fdk2leWpPC0mZ8wejxeADpyJ2FLBSmlhQmSebh1S0rDTZJt/fr2ZNP/PTv/q7GSScn - q6yqSTqrremiTZq7IvXvg0uSK8hRvhFcSZLp4sKYqrTZHvUha2UcxnEznt+F1cw1TX/vA++fp3EN - HWVTlaQwhZ2P8CzZg0rvdpOULJJJ549QLsVSOjvblVxMkcPLsnxdKlpn1bW/zbj846nLQ9FFojwA - AAAAAAAAAAAAAAAAvLKZWc65L0kdhiEi4iV0RX/xlmXZ7Xa6tPa1r4zt6d2llNbaNE2bzUaXwsUB - 4JWA6G4AAG4oM5kZ+U8AAAAAAOCVKt2bxTA/PDyqpfCoHrWUYmbLUlMqn/z0Z/67H3rjF596tmd1 - r2tLlhRStPAqqdbaT9a+Wv+TlFL/P5nDf87knGutEVFrdfc+1f28ZkwAAAAAAAAAAAAAAAAAAAAA - AAB42KV0rytXv6/k8p4HKSTvqcDuCnfpokWiNy2hGhFyqUo1adlrOXtN8qN1n1vLkplCSvKoa3Ev - ruRKfhE5bC5rz40cvs/czoO6s1JWaqaa1Hp0tzTKqmnf4ng62uejt3z4E3rVa7TdSqmoTBqKdFzy - cLi/KCVlKcnO9yRZEk1ZrpdJ0qCU+41gJpmSNPZrZFk2KBUpmVSkEktKuQ2Tjh7bfss3z9lai1FK - LgspkptC7vIi5XgQlzeFLDzJm6kdfmRaNbmZ3Gu28LYMprLs3vidf1r7UzWPqiY1KeRSi/P0br9I - lPeeMQ8AAAAAAAAAAAAAAAAAeMVa1/XQwLy1lnPu231jGAZdrFO9ioi6Q1h4z/Du271J+zzP/UVL - KZcr7BuHzO++cfh0WZb7XiQAPEhEdwMAAAAAAAAAgIdGzrmUUkrJOc/z7O7b7fbzf/RHb3rTmz/3 - uS9IiohhGHLOhzndF3t+XYR299nlaZpaa30KuZSSUjqc+SqmtAEAAAAAAAAAAAAAAAAAAAAAAIB7 - Qj1j2/um3BRJUm09aljronn+8de//rguo9ccz7mnJoVyeA6l54QJ+5Xmdus8FLm/qIcUdggzTkVF - iiTlMT+t9vTRRpuNjiblJJMpZaUsZfUw6Is/zj+5tAc3wEVg972rc3Fxkiwd2tyZPJuZ0lwk6Sf/ - 9f/1xTJ4GZo0uLJLkpuqnY/LB9YdL8mli0j7iz2HH5Yk7wcUj29Q6O4dVTeTV0la69oPi8MfIYXC - Dj+tAAAAAAAAAAAAAAAAAIBXopxzSmlZlt7qvLU2TZMuhWRLiojj4+NDfvaDN45j77Kec+792HvN - tdaUUv8oaZ7ncRyvq0gAuC+I7gYAAAAAAAAAADfQCzcAcvd5nluNdWnb7bGUnn32zg//8Bs/8pGP - lVL6Ma21Pv08DMOLfdXWmrv3GeKc8zRN8zxHRK11WZZ+QE/vvsb5bAAAAAAAAAAAAAAAAAAAAAAA - ALwCpMtbSWpSU5LU1pbSoKbI0sa01FetMVxtGPeLlkMmNVMz75/mSElqarO0iXRnbn+4Hd/xsQ+q - PDc8GY+eSIpBNcbqmrKOt2/7xEf/KNaxlKRUvJjk5tXSaul8LNyk8VBc5WyVFS1LrBqTSmhMY3Pv - P52hdIiTJ7cbAAAAAAAAAAAAAAAAAF7JdrtdD73uHyUNwzDPc297Po7juq6Scs6np6eHYx68Wqsk - u9Cbro/jWEpx91JK7/Tec7t7zQDwkCK6GwAAAAAAAAAAPEymcStpGKY7d+5E2Bvf+Mb3ve+DZchm - JqnP6UpKKfV53xelx3VHRGvNzHpudz9tn8/OOffDzIypYgAAAAAAAAAAAAAAAAAAAAAAAFydS3nA - 93K5k4ZSNgopy5O03P27f/Ev3prr0G5YXnAkhcLkJpNSyEKSqmIzDndbrMdP/PLHPqbtoMEU7brL - xVU6H8a5ZNXlTJa1Pf7jcfyCV1cqOh8bLcmT2s2Lvi7uj63xM9/z55TCsuTSKq81p8GVvP98RlKc - t/Xzr3g2AAAAAAAAAAAAAAAAAMAjbLvd7vf7iCilSFrXdVkWSe7eWluWZZomSb35+fXqsdy943rO - uTdd3+/3lwPFzWxZlmEYrq1KAHjZiO4GAAAAAAAAAAA3j/m9R9d7l0Ra19ZkYXmYtm/+0b/+27/z - nu12WNfW53R7gPcwDGb2EiaeW2vzPEs6OTmptUZEP0lE7Ha7Ps/dE8GZKgYAAAAAAAAAAAAAAAAA - AAAAAMDViedsuuQmJSVFVksyybTs7yjb6R9+MnZnxW9UXnC6eEh2HswcJpeP0m6tdzfHH22uMmi7 - XaMpxb3biPAoWmpElszLZqNpq2H7zj/4yFNPnszJkpRDOZLkLjVLzRR23RVfkkNHy3oyr1pmtVVn - i5JSGWp1HX5ULwq+/l6qAAAAAAAAAAAAAAAAAIDrs9/vN5tNT7yWlHOWVErpedjDMMzznHN293Ec - /foWf6aUlmUppbTWhmHYbrfjOKaUNptNPyDn3LPGx3FsrV1XnQDw8hHdDQAAAAAAAAAAHhpm2d0V - ycze+taf/a3f+i1Ju90qaRiGnHMP8I6I1lpP2n5RSik9kPvu3buH5G93N7Ptdmtmd+/e7fPcPSMc - AAAAAAAAAAAAAAAAAAAAAAAAuCIuSUkmV0ie5RYyM2UpSe7bUjQvm/nsNaXkuFnR16Hz9OUUSiFJ - bh6mKs1l/OJm848+9Skdn5wpWRmqnMDjR1hIeTA3hbsUCkmmk1tP33rsbEhNLslC+V7E+83qj5dD - 27Bpv3vz932f1llT0VIllVxC8uemd3PXGQAAAAAAAAAAAAAAAAC8kh2ir8dxlJRSioizs7O+szdR - b631bO+e530t3D2lVGtNKa3rutvteqlmdmjAnnPuPduvsU4AePn4KwwAAAAAAAAAANxskRTJLbkl - pTJujtzj7W//W//sn/3m6ek8TUM/al3X1pqkUoq7S+ofX5Ra6+Xw72VZzKxPHvck75OTEzOb53kY - hlrr/XybAAAAAAAAAAAAAAAAAAAAAAAAwPPYIQ845JLLm84Wr0mKVbv1r/3p7xh2ZznaTUsMdpOk - 7Cp+XlqYatJs+c60eedHP6Tt1iNn5SarIrn7EVelkDxMGtSkUjQd/8pv/87tSXP2dp7y7iXc4sZ1 - x7PwUTqJuLXMmvfSqinV/XJ52PY4cpmSlK+tUgAAAAAAAAAAAAAAAADA9esdzg89zNd1HYahtTZN - k6Scc8659zx/CX3U76Me0e3uvTB3N7Ocs6RSSv9qr7N/BICH1E1bnAwAAAAAAAAAAPBltdbu3r37 - 67/+67/2a7/W98zzavr/2bu7H9vyvL7vn+/v91sPu6pOP42JLUWy5CRWAgZhUJKL/AGOcs9VEmJf - AEMyYsLEAU9AWAgnQk6P8hyEhWNILqJYIiNfWYoHkI0giSAZa+yZAeMhDGawA5PuPg9Vtddav4dv - Ln6196np7hmmz5x66NPvl7Z2rb322mt9l2rVkbq/e30/FsJVy6PHbLfWjgHe70nfz7FjPY5j30lv - Eh9TvcdxdPeU0vM6LwAAAAAAAAAAAAAAAAAAAAAAAODduanPC3PJZVFpClWSSU/2f9LjibzU+zUS - sVlza5JMwaRjwvEWw8PTk38SpWmSZMl6Lnki7PgDwKUYBpUqk4JyCzp75fGYnowqoUkteAiu6M38 - LkeRvqumYjmPjx/Lqzwr1jSPV6H0TwVZP7l3vAMAAAAAAAAAAAAAAAAA+MBorcUYU0q11j7SXJK7 - L8uiQ7B3T8i+W2bW87nXdT2uqbWaWZ/Nbmb9LI5D4AHg/Yh/wgAAuF/MzN3N5K4YY4+JAgAAAAAA - +KDxgxDCkjdLQ6sKliT7O3/nUz/xE/9pzu6SSxaSWzimdB8XSilfY/+9Gfw2McbWmrv38O9hGHoB - x/9FMwyDDr3kd90DAAAAAAAAAAAAAAAAAAAAAAAA8Fy0q8DrICkek4DtKsA7qWnZ/8i3/9lXL9dW - ZHc/v/EdrBVvUhjCySYlk5ouTb//0vxTv/2PNEwahloVpUkxKRgj0V5oSS2pqUkxlqQtaEhRGv/z - z3/+/wvagsvaOMyL62SaJdl9Grrjpr00T+mVqu//F/5FaXPf+t9k/8N8+uepIL9fxQMAAAAAAAAA - AAAAAAAAbtkxljvGeBxm3ked99xuST0M+/rA85RSX98/3p+PK29iKHop5W0ZeX3Ge58Pfyw7pdST - 9fqaXr+uDYTvC8eX27Y991IB4BvB99QBAAAAAAAAAMC9E0Lo/eBa/OTkrJQyjmOt9Zd+6Zc+9rEf - cvcQZGYxxusd3K+fu0/TpEPvuWdy99xuSSmlEJ7GgZPSDQAAAAAAAAAAAAAAAAAAAAAAgLtxCAPu - Od4y5aLBFEuRt+nJ+atmDwbL9W6rfBfDELLk0r5tk4atyVJYdtN/9Zlf08tnKlVSCoqSSlM1kXb8 - 4jK1oGZq/bdcpHL1RtS0W199Rbsxyi63/avT2aN1GZPpnt3RNU+DWtuV7Y+Pg2r2vMmvTiccxvk9 - vYRdXM8AAAAAAAAAAAAAAAAAgOv6qPOccx+r/ujRo91up0Okd4yxlCKptVZrTSnVWo+T0ltrfWZ7 - f74T4zj2UzhOhg8hbNtWSgkh9GdJ67qO43hXRQLAuyK6GwAAAAAAAAAA3DtmtizLkKaU0uXlZaty - 16c//fd/6Ic/7lJtqk3zfFKru3t8plbxuq5mVmuV1HvPpZRSyrZtko496WfIBQcAAAAAAAAAAAAA - AAAAAAAAAAC+QeH6YjO5KcqDhkFWpZy/+0/9S6/G8Hi92Ge/u0mMX9WytJdPRpcGDSHFxXWZhj9w - 1ysvnQdpN2mTclOTPOi+BTXjeTNJLlnry0FykwZp2L3+mc8+WdfSfAq7y3U7mdJ5uV/3dDXTfstP - tjzVerJt2rYQolwu9Wu3P1oP7L5XpQMAAAAAAAAAAAAAAAAA7hMzM7Nt2+Z5vry8zDlLcvc+FD3G - OM+zpFKKmbXWWmsxRjPLOc/z3OO970Q/tB304e3jOKaUerJ4n/fec7v7eQHAPUF0NwAAAAAAAAAA - uHdCCNM0LcuScz09ebDb7T73uc99//d//+PH58MQJZ2cnOz3e0lpGOqztordPcbYF/pBU0rjOOac - +/pjQ/q5nRgAAAAAAAAAAAAAAAAAAAAAAADwdbB3i7NuUq2uda8lf6jVnco37eadVOu9Cww+OQlv - XW6uFqI9Kcv00stvxOG//cIXVM3CTiZFKQSVrFpJ7n7x9evTmqwlKUlVUgyaZsXdm5JO54u2ZrWt - thTvtNR3M87zaYqDt1P5X/iXv0X7Rd76OQVJalLrP7iYAQAAAAAAAAAAAAAAAADv1OOuU0o553Ec - W2uSYozuXkoZhqG1VmtdlkVSCOGYjV1r7cvLsvTZ6Xelx3L3ye0xxj68fVmWEJ6m4vZg8mEY7qxK - AHgHorsBAAAAAAAAAMC9c3mxzNNJa+rd4s9//je+53u+7+HD82macq5SuLxcZC0kK2WVtfe6/5RS - SklSrXWaptaau/fn/X7f3yqlSKLFCwAAAAAAAAAAAAAAAAAAAAAAgFtmUpDCMY/b+isFtRhNMejy - 8kNbLcvlw/3SpBju3f0vjy/bq6dxiL7WbbTpy5frF1vVdKphF2UX+0XRpaYQNEZ/16ByvDiCLFxd - 1tZibclVpUUqIWk8ff2Lv/dPa12ihWC5tFrvut638bDtNzUfYqjn+3/ek0pUzgouydTkTX7tHjcu - ZgAAAAAAAAAAAAAAAADAV6q1Surp3ceVrbXWWoxxXVd3DyHsdjtJZiZpHMdt2yRN09Qnpde7+4Jd - CGHbtpRSrXUYht1uN45jCGGe575BjLHWum1bjxu/qzoB4J2I7gYAAAAAAAAAAPfO2dnZW2+9NY7j - uuY33njzB37gB/7gD748TcO6riEEM4sxSmq1npyePsP+SymllBCCpN6Qbq31Pe92OzM7Pz/vh+j9 - aQAAAAAAAAAAAAAAAAAAAAAAAOA2mZq5JLlJIfSBYSZJReePf/g7vuObYkimIA3j6WXNbvdrpNjp - pG2rF9Uttury3enP/t6XNE6yNMlOT0YFV94UrFrbWvG7Lhg3yhWqQj28UJNJTSqS0qRhevTKKzqb - N88PTl8KV8n190WQksXYwlrbK/Pw8uq6XBWC9zNRk1zHiu3aMwAAAAAAAAAAAAAAAAAAkqQYY2tN - Umtt27arKeuthRCWZTEzd885P3r06Bh9fdxsXdec8ziOd1h/L7WPds857/f7EIK7m9lxkHuMsUeM - 9/HvAHBP8E8SAAAAAAAAAAC4dy4vl2napTSmlL7ru77rd37ni2Za1zwMU2ty9xijzNIwXF5c2DO1 - YGOMZjZNU865d3wllVLcXdLZ2ZmZres6DEMp5TmfHgAAAAAAAAAAAAAAAAAAAAAAAPA19ERgNUlV - 2qSqoCrVJjWdTDp/MuQ8mbJU3SfN8ns0Uiy4atZl1jyqWQsp/XN/8k9pnDRMasH2i/aL51W7sQY9 - 2ZYY012XjBvkUu3X8LUI+tE1SYPUTHrplU/85uce2ppd7XLbabJ7luUe3KdhLFIteXxy8aPf8a/L - 25LXq9xulySTguSSk9sNAAAAAAAAAAAAAAAAAPhKy7L0uegxxnEc3b2UklKSNM/ztm1mFkLoo9FL - KcMwHNdICiFs23a3p9Araa1N09QX+ulISin1d/uM9/4MAPfEPfqePQAAAAAAAAAAQDeOs8XhyePz - f+e7/70vfen3a5UpxBBzziGEGGPvIpecdWjWvle11lrrkydPUkohhNaaDs3dnLMkd+/d6966BgAA - AAAAAAAAAAAAAAAAAAAAAG5Z6/HdT1+7LYu29dUhtWXxqtMx7POaVWXtq+3k+VTyjjt4ml2tNO+P - YB6k0BSayV27pCY9qvrybvreX/jfFGINQW4aRo2jpVSkovBgmoMqYccvtmtXZ1BofVXsP5PqMCjF - R/MUX5pWr1XZFepXXF3Su12EVzu84Xl65kqyy3x5sktr0WvzLpxfaL+eptRrZJ4fAAAAAAAAAAAA - AAAAAOBrm+e51urufRx6H35ea+0vx3GU1MO8t23rY9jXdc05H2ew3/mwdDPrxazrelxTazWzfhZm - 1k8qBL5XB+Ae4Z8kAADuF3c/LocQ3P3ZoqcAAAAAAADeL2KMvYdqZqWU1lqMQ65tWcsP/sX/+P/4 - P/+vUuVS9VZalVprpdYsNa9NLrlaqV9j533BzPry8ViS3L2vbK1d7+MOw6BDD5j/OQMAAAAAAAAA - AAAAAAAAAAAAAIDbZlIIbsHVSlkGKUpbLVLR5cUP/ek//aq3KqVhcg1FNcbytozv56uZXKEpmMsk - mZrJTW6SFKSkkBSCgjy4hWayoGTKm7bTl/+T3/kNvTxoN6tJ0RSCQvIQq2RqsSlUk/8RNeB9LUrx - OPauhX5fmFwuZalKsvhffu63vpzmaikobLGVEJpCUIgKQVeXXDPJZJL18HiFY2b8jdYfZEFatrKb - teSLs+RaVu2LXFXJFWXyw98gN6QBAAAAAAAAAAAAAAAAAN4pxmhmfRx6fz5OaO96OHeP8W6tDcPg - 7rXWvmUp5frg9L5xCKEPWu/Px5U3MVy9lHI9Yq8XKcndj+t7JPnx6Ne378v9I5Jyzv1lTzR/7tUC - wBHR3QAAAAAAAAAA4M601rZtk5RzTikNw5BS2m9rCOnHf/wnPvULv6RDL/nZ9l9r7V3kY3f52Mdd - lqUv1Fqvd6YBAAAAAAAAAAAAAAAAAAAAAACAO9dcS95cZUrJXDW3YUzyqq1+07ptTy5enU/Ot/Vi - Wx/sBt168PUxt1s9Z1wKh8TiXkqo2oouNbw5DDqdy24upqubeEzqoctS1FWEMz4ITIeIeTs8/DAL - bzcrDW9YyPO4qfWU7vB0w7u9/6uZ2S6Ma9WS5daUl//gm79ZW1FTldrVeTSpkdsNAAAAAAAAAAAA - AAAAAPjG9cHsOecejP3o0aPdbqdDAHZP8tYh+jql1Get9xnsrbWe4d2f77D+Xvy6rsf1IYQ+Lr6f - SI8ev4mgcQA4IogCAAAAAAAAAADcofDyy6/u96tZzLmW0qQwpOn111//+Z//eUlmVmvtDdRnaPEO - w+Du8zwf15yenkpqrU3TJCnGGGPsb/WjAAAAAAAAAAAAAAAAAAAAAAAAAHeu1jYPY1JoJUuK0VrL - 2vLH/sy3jdkfjMPD5fI0zWfjycU+X8Uh35jgsmPEsksuc9nhXpx69WhVrVkztdDCIGkYzj/04Cc/ - 92l5TbK2FbuW0h3Uhh7dHYIi89BecPX4o12FvXuUByVX2jRIqlUp/He/+bkn3hSG2MLQmnnzQ7b7 - 1UX4tqB3az0wWzf8N7D3dWtIWnECAAAgAElEQVT5pTlGaRiGIaZTJdVeTesR40+L4DY1AAAAAAAA - AAAAAAAAAMDzYGZmtm3bPM+Xl5c5Z0nu3oO6Y4x9AHspxcxaa621noSdc57nucd734kYY69QUh8I - 34fA11pjjK21cRx70Likbdvuqk4AHwR8VR0AAAAAAAAAANyZEMKbb7750ksvzfOcc04ptdZ+9md/ - 9q/9tZ+pte52O3cPIZjZMAzP0OLtXeRlWUIIksZxvLi4OEZ09x3WWvuWZvY8zw0AAAAAAAAAAAAA - AAAAAAAAAAB4VjGG2qpJ0UItReZRQbJX4zCsdd3yaYyllHXb79Jt3BQTD1ndfjhaT+9uJjcVUzG5 - 9ShlSWpKlzb8fqp6aVQa5BqHdBVp7JKaSVd7ssMDL6h2iN+WB11dRS33PO+iGFRLURo0Jg3pfJwe - tWpSOlxKfojEDodI7GOYd3DdQm63pF0cXb7m6lV5n8NaTi2oNNUW1XRI676a68fFDAAAAAAAAAAA - AAAAAAD4xpiZu6eUcs7HlOsYo7uXUoZhaK3VWpdlkRRC6KPXx3GstfblZVl6cvadOJbRh8wfB8X3 - UzieYx8UP47jXdUJ4IOA6G4AAAAAAAAAAHAnghTcfRzH/X6fcx7HuTV98pN/6/XXP9G32O/3klpr - 7n7spL63Y4TQG8attXmet23rQd09pTultK5rjLG11tvMz+/sAAAAAAAAAAAAAAAAAAAAAAAAgGdn - Jq+SWwgxDqEsl6pFawmP9g88vTRMrbWi4nK7+Wli5gpq4VpA8lVqsgd5qBaqtWqtWZMUvQVpUzpP - 43//G5/VEBSiPORSn0Ya98TlJrlcqofkY7yo/PoPa/WYth2k1lPhTTGq5UfTsJ2euSy5gtRM1Zrb - u9z5dRX4bk/j5G/OWrcYY3WFpDnYznVqUeuiWqJcak0tKJDZDQAAAAAAAAAAAAAAAAB4Lvo09Z7e - fVzZWmutxRjXdXX3EMJut9Nh6Po4jtu2SZqmaRiG407uhJn1GroHDx70MPJSyvWFHt3dnwHghhDd - DQAAAAAAAAAA7sw0TaWUHpudUvrUpz714z/+49vW0hD7Br3dK6mUMk3Te91/a83MYoxmtixLay2E - 0NfXWrdte4Z9AgAAAAAAAAAAAAAAAAAAAAAAALcgpdiziZf1Mk2Dcv3eb/32BzE1X1RykE8hpBhK - 8XZbwdduale3+wTzEK6tv1rrMqla2I/T43mnlDROqlVSc3eTXPImNflV9nIjt/sD4BizfVhqV5dS - dZliSq7gCjp96b/+/GffDFbtKufdD5ua94RvXfuwwq1cOm4KFnOt7vKqMQ1ec3vr4V/6V/81VZc3 - expNHpzrGQAAAAAAAAAAAAAAAADwDYsxttYktda2bYsx9uUQwrIsPf065/zo0aNxHHtE93GzdV1z - zuM43mH97u7+9Pt0/VxCCD3PO8bYI8nnee4D6u+qTgAfBER3AwAAAAAAAACAO7MsWwhpzXXanf7y - r/zqD/2lj2+lSsq5hhBCCO4eYzw7O5O0rut73f84ju5ea40xbtvWe8mllBBCjHEcx1KKpBDCxcVF - CPRNAAAAAAAAAAAAAAAAAAAAAAAAcC+4y10lV5mmaVLN2sruYh/WPGkMMneV1txbk9Jw4/X0HOWe - UdyucruDebi+RXBFV3Btwb4c409+/rOa5k1Rw9RKtjGdr3tJT8O67fgTL7IgpT7zLkrxKrQ9SVFS - NLnLVJubDarSND2axi0mHSK63a6y4YNkHppCT8juOfHSrVxAwYp0Mg8p6PG2nU27P57m6cmFWpEX - uaLUpHpb5QAAAAAAAAAAAAAAAAAAXmzLssQYzaxPU+/D1Y9x133iegjBzNZ1LaUMw3BcIymEsG3b - HdafUiqlTNPUX/bobjM7OTkxsz4u/jh2/m5LBfDCI4ICAAAAAAAAAADcGTObpsnMPvOZz3z0ox89 - P19ydjNJaq25ewih1np+fn5s974nOefWWoyxt43d3cx6a7nWKsnd+/Pp6elzPjcAAAAAAAAAAAAA - AAAAAAAAAADgWZnJTGkYvDVTUatat28KwxCsqK1exxRdkslNpd1SVS65hT6+zKSrJTWT7JCj3KQ1 - prdOZ82T0mRKkoVhKF6maSe1Y7JxTwHvOyHt+AVmUuy/4msh3FGSNwVXsi3XGKIUNM6K8ad+8/NL - CuWQ8O6HhSM33fIMPTerV3eraWeW1yWU7bS5SlV1ef8LZKwfAAAAAAAAAAAAAAAAAOD5mOe51uru - PfTa3VNKtdb+chxHST3Me9u2GOO2beu65pyPs9z7MPa7UkqJMfZw7hhjX2lml5eX/eUwDKenp9u2 - hRD66QDADeE7vgAA3EfukmRmZtbjowAAAAAAAN7frNWWe4R2CEkKvWlaWttK+dKXfv97vud7Hz58 - 3LcN4aqte2wJ65Dk/TWOMAxDX5im6bjm+JHjfo5rjq1ZSc8QCg4AAAAAAAAAAAAAAAAAAAAAAADc - nNYkU23VQpCa8vbD3/Zn54u9e83mUSqlDn1KSVBztRu+P8aldshdlhRk1iOZY9yaTscxNDVXsOAx - 7VP6b/7R5zSN0jAoyoMURktBUuzDz4IsSKGHdnNvzwvOpSa5qpSl1n/jTXLJTEHDECXJg0LQNGpM - T6JdytxiCKG4zJRSyse0eA/HS9FNt5Bc7zWPQb2S4D7KTHWw9p/9m/+WtqJWq7cgtVxdTAsCAAAA - AAAAAAAAAAAAADwHMUYzCyFI6s8xxr7Q9XDuY+71MAzruppZjPE41P24/TE/+7hw3IMdPN/6a61v - W2itmVmttT+7++np6fXjXh9E35eP4+Vzzv1l/+DzLRXAi43obgAAAAAAAAAAcONSSiGEUso4ju4+ - jmNK6eLiYp7nN95448Mf/vAf/uGbh+6nan3PLc9hGHLOvcW7rus8zzq0Uc/OzpZlaa2VUszs2GQF - AAAAAAAAAAAAAAAAAAAAAAAA7q0Q5K4Qo7eqmuVKjx5/KA6yVoKu337jepqofUPc1OzpQZ/GJqu5 - 17Nkj/ebSbswZg/bMJ1Po6akMUpB7SptuUd0u47xy9dCu5mg+MHg0uHXH75ypaR+QQSPQSnud3M7 - O33UqimOY9qK1lJO0iA1uwdXS1AzlaHVL//2b6tUNQ8WTFcZ5KWWuy4QAAAAAAAAAAAAAAAAAPDB - sm1ba20cx4uLiz6evdZ6cnJyTLnu+dnTNNVaU0opJTMrpUhy92EY3L2Peb9Rx3pCCH10vA7Z4f1d - d1/X9bh9CKFXfkwi74nmN10ngBcJ0d0AAAAAAAAAAODGPXr06OzsrJSSc26tbdvWFF565bU33njz - z//5v/Bb//h3LMglCyENwzPMTsk5D8NQSult3Z7SLcnMHj58KCnGmFIqpcQYSe8GAAAAAAAAAAAA - AAAAAAAAAADAPdcnEzbJTGpSLn9i3rX1iaRqesftMXc2T6zUthX/Y7s5ybZWH6u8ofalvNcYiwVZ - kIce0B1dQcoKm0Lt4c2uqwcTFF9sh5B2u0rtDlJQvzyu/fJdqlKVFMPrn/6/34w63Z25m5caTeOc - Lkq+fqW02818D67gklSlohblYysvx6TLRS5z7ZetSWo+ROb7AQAAAAAAAAAAAAAAAABu1TiOfWGa - JjPrGdiXl5fHxOv+7rqufVp7KaW/dXZ2ZmbbtvUx77dQqpn13O4YY688pRRj7LHc/RR0yOqutfbB - 8uM4HsfLb9t2C3UCeGHw1V4AAAAAAAAAAHDjXnnllTfeeGOe53mea60hBHd/6623Pvaxj/3mb36h - bzNNU2u97/ks/Yucs6Te1i2l9DZw313P8+79YF3rEAMAAAAAAAAAAAAAAAAAAAAAAAD3k7vMFNS0 - Zu3Xj377d7bHD3ey4M1NblehxeZXj9tkcsldzdVSUpMu9kuRK80+nz3ZTf/jF7+okOrbT0nm8uuJ - y3672cu4QyaZghQl9V/7Ic9bfvXzaSB9kE53bwa7LM1dpXhKuljKlPqbzdTk4TbH6PUKe3S3m5rU - VKK3cHEhS6qSNM+jDje4AQAAAAAAAAAAAAAAAABwm/pg9mVZ+nKtVVLO+Wrs+7XB7Haw2+3M7Pz8 - vAdmm9kt1DkMg7v38mqtwzBs29YLPqaMm9myLL3mGGNf3yvsX9I75pQDwNeDaAoAAAAAAAAAAHDj - zCyEUGuttY7j2FueH//4x3/5l/93SeM4tqZ1zWax1mcZt9M7qWYWYzweqzdTjy3VaZqO3WIAAAAA - AAAAAAAAAAAAAAAAAADgPgtB+2W/LotiVA3T4/OX59GVo3v01kzV1EySogfzEPy2R4q5WjUtRSe7 - lKV5ONmky5genkxKQeEwwdEka7L29NXVx69rwgutB71bD5u/WnMI8JakZmpBTWpRkgWN8XyeFsUx - zEnmTSc725en148OEdq3kP5uruAyXf3F9UOvQTXU3Zq1NS37vCySgjSOqc85BQAAAAAAAAAAAAAA - AADg1qSU+rO7p5TM7PrCkydPjlvmnN3d3ff7ff9Uz8Petm0YhpuuM+fcg7ePh+4J4mZ2/egPHjzo - lZdSri/0UvszAHydiO4GAAAAAAAAAAA37vz8/OTkpPc1t23b7XY/+qM/+rf/9qdCkKRt2ySdnp66 - u4UQU3qvLYzWWm8A11pjjK211loppTeGa605Z0kppRjjMcwbAAAAAAAAAAAAAAAAAAAAAAAAuLd2 - 0zjPs7aqi3WXa3SXPHiLLpfqIUXYXPG2bpcxl7mCZGpNaqZhUq4lSuf5cnG7iPGn/v6ntZtkilef - kUtVV5HLUYrH9OVwPYf5lk4Bd8mvnu36Snv6M0rmfSn8zD/4jNJwUfZBUtO6+jxcbWaHq8XtaZz2 - TQv9709BUjOVoGbtpTT86Ld8q8Z5mGeTcq611sB4PwAAAAAAAAAAAAAAAADA7eqT3nt0d1/TZ7ZL - aq3N8+zurbW+PsaYUhrHMeccY5QUYzSzPsv9pvUKa61nZ2c9QdwPjtv0UkMIPc+7Fyxpnuc+kf4W - 6gTwwuC7vQAAAAAAAAAA4MbN81xrTSnlnFNKP/mTP/k3/+YnY1RtT0erXFxcTPPsrdVSnuEQvaEb - QpC0bZuZmVlf3zu+fTN3Py4DAAAAAAAAAAAAAAAAAAAAAAAA91PzsiyLmiT78Df/mdfGab/uqxRd - qUmSm9wUXFEhyuzmo6/taWpyk5pMbrrctGwaUxjCVFNchqTT2dWkY3R3q1KVqsntqv4gNalI3m/0 - aTdePO4Xv4rf9qeh7U1+eJg0BE1TVtjZaQyDmWRavnIi6G1eNf067WP7qqkFuUmmUEqsTdsm6eJy - iUNU4OY1AAAAAAAAAAAAAAAAAMBtG8exL4QQtm0LIdRaeyx3n8q+rqukWmtrrdZaSulp37XWEEJr - 7Xpy9s3pk+rHcXT38/PzXl5KKaVUSpmmqW92TBk/OTnpA+fdvZ+CDjnlAPB1IrobAAAAAAAAAADc - uJJbdavVx93J3/gbP/cz/8P/lJJq1TBEd5lZj9zuXc+Y0nsdnNIbq6211tqyLDFGd++J3b0xnFKq - tdZae3v1Js4RAAAAAAAAAAAAAAAAAAAAAAAAeC5ckqV5t5NVlf0fG4e436egEBWl6HpHOPCN3y/T - I5aDa/AW1KRQFVyak3aTbaWdty3P8yf+wWcUkoadFOSSNUkmhWOJLl3lf0tkdn+QmPS2C/cdl3GQ - B5lkpjDJ9dDq5WD7tu2mtFWdTHKpSW5N/SEFV7jV28WCdHUZB5d5PZ2SJK35dDe3omgp53qbBQEA - AAAAAAAAAAAAAAAA4O49lluHrO4YY3/ZTdPUM7D7xn1Ue0qp53b3bfq7N6qUYmY5536slJK7l1JK - KTHGqzH1MR7ruby87C+HYTg9Pe2p5MeccgD4ehDdDQDA/XUL/xECAAAAAADwrMK1hyT1WSfNS205 - DSGX1cyGYdjv9yEkt8HCpDD8/P/6t/7q65+orlKVhtinkLjbVVvWXWq1lK921GO7t0d9SzoulFIk - 9cTuEMK2bW/bIMbY26v8XxcAAAAAAAAAAAAAAAAAAAAAAADcZ00q0iq5LUrbia+nXvdN1STJpNiu - HpKqWrHmdoMp2E2hWKoWkmuQRikqNBuaT0OxsLqkPExvhKZxVpqaoivI1O8/CgpRIR7Dm00yBSke - 702y8M4YZ7xI7Hgnml17PP15uFXN+kKqmjSdfuILv/HG7D4MeV9ektqqYtqCalCz5iZJ0RU92A2n - d7vUTE1BUvSQmvojBldd5FU5q9YhKq9lSNPNVgMAAAAAAAAAAAAAAAAAwFcys+Pw9mEY+sr+8vpU - 9uvL7n5xcdE/2Ke7u/v1oe5vW5CUUuo7OaaAPwM/0GG2fFdrfdtCa83Maq392d1PT0+P6eNve77+ - 2R5G3lrriebPVieAFwbR3QAAAAAAAAAA4LlJKQ3D0Fqb5znnXEp57bXXtlpKqab49375V/7if/TD - uSjF4K6e2/2euHvvj7bWeoM2xti7pCkldy+l1FpzztM0Xe+VAgAAAAAAAAAAAAAAAAAAAAAAAO8X - QUrSrGCqKlvcX6ZaXnsw56YqudRDi5upmdx0g6ndB83MDxnLVzxJwd2bNEyzTdNbKWkIqv62+WbX - kprfntlsuv4ePsjC8ZpwySXFpDE8StrXMg1jX9kU+nWop8/hbRfmc+f2lQsezI+XbfO8fe+3fatC - UKt5K8OYarmFv0gAAAAAAAAAAAAAAAAAAJ7dtm2ttXEcLy4ucs6Saq0nJyfH0e498XqaplprSiml - ZGY9advdh2Fw9z4o/kYd6wkhtNZ6AWb2rofuAeSllD64PoTQg8mXZbnpOgHcZ0R3AwAAAAAAAACA - 5yaEkHM+f3IZLI3j2Fp78uRCHh48ePBrv/ZrH/nIR9yVUiqlSRrH8fC5pq9vQJCZHbukvd+Zc3Z3 - d88555xjjDHGYRiOnVEAAAAAAAAAAAAAAAAAAAAAAADg/cWaYpYuNl3qB77z35irbU0PnyybK0et - UVtUCSpB9VZyuyUFd6lVU5aK1KTgLahpTC3aft0ut/LTn/2M5iSL0QnjxjfEJG2bhphTDGnY5y1I - Q4jHDYLLriK+5bdTUz9iX7Sr47bqZ9POLjfVpubDlGSKA/P9AAAAAAAAAAAAAAAAAAD32nFE/DRN - ffa7u19eXvYh8CFcfRFuXdeUUimllNLfOjs7M7Nt2/oo+Fso1cx6bneMsVeeUmqtmZmZ9YVeWw8X - 7ynj27bVWt291jrP8y3UCeDe4qu9AAAAAAAAAADguVmWZbfbvfLKK+fn533NyclJSunXf/3XP/zh - D69rnqarTmpKadu297p/d48xppQk5ZxrrX25G4ZBUm/f9r7p8zkrAAAAAAAAAAAAAAAAAAAAAAAA - 4DaZ5NKyaW368sNZ4eWUXprTNKhJrWcG9/Dg24nItiZrkmpQMdUe3a1iqpe5+Jgu1cp8qnlSCIpR - td5WnDJeXNMoa+Hs1MbJpRSnfatBMlc4XF2mw1+E3eytZM2uL7fjy2GI65OLD42zlk0xuJRLcy5+ - AAAAAAAAAAAAAAAAAMD9VkoJISzL0pdrrZJyzn20+zG6W5Id7HY7Mzs/P+8R2ma38R3WYRh6/Lak - WuswDNu2lVJijMMwmNk4ju5uZn2bXnmtdRzHvhxC6G8B+MAiuhsAAAAAAAAAADwPHuRhGncX5/ta - 6zzPtXprurjY/+7v/u4P/uAP9mbquuYQQoyxB3h/pT4m5Y9Qay2lTNN0dVh3Sa21nHMpxcxaa71f - +26HAAAAAAAAAAAAAAAAAAAAAAAAAN4PvGg3aM2vKp7E4bKUbSlDUWqKfvVsLnOFW5km1o/lUjUV - U1MzVVNJo56suU0vfenyiYbQhqQQZPHmK8ILy/ol3aqG9Ilf+buPlmWczx7X9SRNxxDtfuVLcmu3 - FGAv9dvf3OSSXOZquZ7N07wUKaiuVS0m0+3VAwAAAAAAAAAAAAAAAADAs0gp9Wd3TymZ2fWFJ0+e - HLfMObu7u+/3+/6pPv5927ZhGG66zpzzOI7HgkspPUG81npM6Z6mycxijMeVXZ9aL6lnjQP4wCK6 - GwAAAAAAAAAAPDc551prT+Y2sxBCzvn7vu/Dv/tP/mmt3huWrbW+zfX+5dep9zhTSuu66tAo7Vnd - wzCklFpr4zi6+7ZtvZkKAAAAAAAAAAAAAAAAAAAAAAAAvM9Y0yj59r3/yrectbBfLmdplAYPQTK1 - 6IpNkoLfRjnBZWqSdJXeHWpobi1IVhWn8eEYfvpLvydXkbXqRBfjG+QuxUHRdDLXacqyqHBZVvN+ - NR5y613qedq3UJIpSOZyu3pIKpK2Mu43ZVetVZvLcq63UhEAAAAAAAAAAAAAAAAAAM9o2zYdorv7 - mp6HLam1Ns+zu7fW+voYY0ppHMecc4/BjjGaWc75FkrtFdZaz87OeoK4u4/juK5rzw7vZZjZbrfr - pxBC6MnifVr+8RwBfDAR3Q0AAAAAAAAAAJ5Ze9tgEzN78ODBWmqzYHE4v1z+7X/3u7/whS8Ow1UP - tcd1p5Rqrb3n+kfu8zp377ngKaXenX348GHP83b3nHPfp7v3VG8AAAAAAAAAAAAAAAAAAAAAAADg - /cjrKvNT+bjm184enEuDgnu7ii522SG3u2cY37RDXnKQgpuaqQVJCkVb0z9L0hw1zkOfbGYivRvf - CG/uakpJKW1peLRubnEISddCu28nt/6qnqeLrUntcHmfzVOt6zeNux/+5m9RNFNr3oYh3l5lAAAA - AAAAAAAAAAAAAAC8d+M49oWech1CqLX2we996vu6rpL6MPlaaymlp33XWkMIrbXbycNOKeWcx3F0 - 9/Pz815eSqnX3EO7d7vdPM+Scs7DMJycnCzL0j9CaDcAEd0NAAAAAAAAAACeoxjj5eWlmYUQlmX5 - yEc+8g//4W+kFHKuksystdafJfUY7/eq76GU0lpbluXs7EySu5vZMAy9rRtC6J1dAAAAAAAAAAAA - AAAAAAAAAAAA4P3Hm8lUyitVc2mPz5+cpbiqNamaqsmv3ToTpHAVqn2zgit6P1Brph7gncxKSD/9 - 27+l3aTs1hSildpuuhi82GI0C0EWJD1sVSe7TWpu/Wq/Cq2XJB0vxVtghwGe/WdwLcs6Keny4oGZ - ag6tBgvFxaRPAAAAAAAAAAAAAAAAAMB95u49lluHrO4YY3/ZTdNkZv2tYwZ2SqnndvdtbmEUfCnF - zHLO/VgpJXcvpfQy+qD7/X6/LMswDH2b/X7/8ssv9+KHYej133SdAO4zorsBALinzHT8rw4AAAAA - AID7podzl1KGYcg59/Zka63KmoVgKVj66Ef/w1/91V8LQaU0XWusunvvql5vwb7rIcZxPL5MKR0b - tP3orbUYYymlrzl+6m0LAAAAAAAAAAAAAAAAAAAAAAAAwPtMk0rTfv0TcZ5VgrTU6sGWoDVpTdqi - SlANt5RYLMkkcwWFcG16oUury04eSMVT0DAoq5YWE/PN8A1pTS5VBaXhp/7xbz2Wr9duIuuuXYk3 - HhV/+ENrQU3W7BDjXaV5GGY1v7xQUwohb/vAnW0AAAAAAAAAAAAAAAAAgPvNzGKMPfq651tL6i+v - f1vv+rK755z7qPlpmnRI8n7bblNKz7dUP5BUSukr3znovtfm7imlWqukGGOP/e5Fbtt2fQ/9433L - 4956ovnzrR/AneOr7QAAAAAAAAAA4D1z9/1+P01TrXUYht4H7QHeIYSc84/92I/9wi/83d1u+Jrx - 3F/VMAzuvm1bjFHSPM89oru/jDGu69paa60dI70BAAAAAAAAAAAAAAAAAAAAAACAF4S7Svkrf+7P - 7R+9caZxCrEFZfcW1ExukuSHBUk3PU/MXMGfHsMOx3Mpp/mf7fc6fbCae62KijHkrXzVfQFfhxDU - pKVkxUExbvOsaer52dfvJbvxyO6vLrjMZaYtL1J5bTerZJU2j1O9w7IAAAAAAAAAAAAAAAAAALgB - OWdJ7t5aG4ZhWRYd0rL7Bj3M+5ixfYeO4dzuPgzDNE3jONZax3EspfSJ+j3GO4QQYyyl9EH3IYSe - aN7PDsALg+huAAAAAAAAAADwnrVWXn315WVZtm2bpmm/36+l7s4eXJzvT3Znf/X11//n/+Xndyfz - 5T6HGF2SwnvqSuSczWwYhtaapGVZYoy9Z2lmx46mDs1aAAAAAAAAAAAAAAAAAAAAAAAA4AXStFw+ - +sM/2I3DE+1b9CZVl5oFV6qKfpUcLOl2homZZD0p2VpP8o5NOYQnu91/8YX/R9mDjZZMQXnZxiHd - Qkl4gW0lS5rSLAsKerOVFtNWv+JWsna48k0KNz3n00M7ZIaHq7++IIVhHJqUtW3Lhdas6tv5ZWC8 - HwAAAAAAAAAAAAAAAADgxTIMQ621R1xfXFy4u5mFENy9j4tf17Uv3Hl09ziOfbh9Dxp395xzSmma - puOacRx7PncP8+6j72ut7l5rnef5bk8BwPPFd3sBAAAAAAAAAMCz2LYtpTSO4+Xl5enp6W63e/z4 - 8YMHD37u537ur//1n0tJl5eLpFpreKZZI72Xeey59nZmKaW11lqLMfYebUqpd0ABAAAAAAAAAAAA - AAAAAAAAAACAF4RLKWrbD0NMCpe5xRSqNIY4Vg1NY9VQFW93tqEruDWpRVd0mavY8P821zRp2gWF - 6qXmZZjHq1MAnolLMQ2STEFFGsfLOe1rSWE4btNMbnILPUj7Rh1Du9Uz7F3BFaQgPVrzfDJNStHq - v/+d36naxt1Jz7kHAAAAAAAAAAAAAAAAAOCFUWvtk+HdPcYoqbW2LIuZtdZ2u90wDK21PjT+2ebS - Py/btg3DME1TL3scR0kxxm3bXn755WEYzMzd53k+lto368shhFrrHdYP4LkjuhsAAAAAAAAAALxn - wzBcXFxM09Rac/dt29Z1PTk5+eQnP/mX//JfGQYr+WpLC6n5cdJI+Dp7E2YWYwwhhBDcn45Ocfee - Bd43MDMzu9sWLAAAAAhM8tgAACAASURBVAAAAAAAAAAAAAAAAAAAAPCcedO6hpJXXzdrKWlZ22tn - J62UsWlqGqR0iBBuUpP8hrOCXaFJ1dRMQYpNwZVDWl99VdMgC0EeU4hjUqu1tJutBi+66rmptVyV - Bo3xZz/3OY0pt/J0Cw9N4U6us+iK7eo2udfOdm9dLlklyKfalKsasfUAAAAAAAAAAAAAAAAAgBdN - jLG1Juk4Fr6U0uO6t23b7/c55xhjKWUcx77lXdUpKee8rqukcRy3bZPUZ93v9/vWmplN09TH3V8f - cV9KMbPjTgC8MIiyAAAAAAAAAAAA71lvK+act22b51lSjPEXf/EXf+RHfkRS3lzSNE2S/Fn7o7XW - 1lqPBj9mhEsKIZRSpP+fvfsNlmw77/r+e9Zae+/uc2buH9myI9uyY0uyZFtywMRUUsnrVPIyb6mU - TWJQQhz+KZg4/Atg4hCwAoYUAVJQpKCoVCWppEJeEMpl8ucNlRiMLAE2xhgCli1Z1r0zc87p3nuv - 9Tx5sbr7nJk7V9a9d+ae0cz3U+f27LN7d/fTfburus96+vmpn/YlTwAAAAAAAAAAAAAAAAAAAAAA - AOD54ZLyV+Ux1ZpCco1J8zwXpXScHda/tOOm0FOPCg5LrhRKYR4mC5nkSksa/szf+TsahmWeIyK8 - yUI555L0lKPE8XzLlotKiSyXclKxfW1DGW4e0+PqTe/Gcy1MiiRTClkoKSUlU7qa9zlrlnJJ23DN - 6/GlCQAAAAAAAAAAAAAAAADA86PWmlKKiHVd+55SSt8YhqG1FhGtNd323PjWWh+bb2Y5516MmfU0 - 8c1mk3NOKa3rWkoxs15zSmlZlmEYenp3n4cP4LlBdDcAAAAAAAAAAHjLaq09S3scx1rrZrP5iZ/4 - iU984hO7uW3PBzNJmpdZSpbKYQjKtfSrrlBExGazKaXknCXN8xwRpwXOvi5bSlnXdRzH0zItAAAA - AAAAAAAAAAAAAAAAAAAA8Dxw/e5v/djLl7UsbZDOSw7Xbm1eUpUOP6aa5KaW5E8/uzh041as70nV - isZRJeWzrUwWrvD9/pLcbrxDS1slWU6KpJxkLZVca+1Z9Tck6RCn/bSdviSXboSFz2vkKYUpZ1su - rpQGMa4TAAAAAAAAAAAAAAAAAPDcKaVEREppGIb9ft/DrW+Ojo8j3Uj1vpU69/v9MAw9Sjyl1BPH - e0n7/b615u45ZzOTNAzD2dnZfr8fx/FUP4DnDNHdAAAAAAAAAADg7eijdpoipfK3/+6nPv6b/4MH - 95eUdHW1RsjMFMlSCve3N/hknudaa1/XlLQsyziOfQl2u93O8yxpGIZ1XYdheJJ3DAAAAHhhxMM/ - X+ZFri/ZT25uS5LfOH3LZRwv65LH8UfyRw56uOBHb/Ex9+vhu/e4W9Qbb+VmMdc/b1rG4/d8+ecC - AAAAAAAAAAAAAAAAAF4IDzehhXTolHvoXJe3l8zGZTmzZNJ+aWOxPjIsTC41uw4Sfktte2+b2+HE - JIWqac55V7IsHXKMw+UuabPdOh1zeGeGPLiktSmn5lKZ2tnZUnIcY7PDFLJ4OE7+qTJdP69DalKT - n21K3fs0aN3tX05ZrWpejJZRAAAAAAAAAAAAAAAAAMBzx937xPjNZmNmrTUzG8fR3c2s79GNPO9b - UWvtp73UlFIP6q615pz7zlJKL7Wnd+92u5dffrnfhT7xngBv4DlDdDcAAM+c/l68B1yZGW/BAQAA - AADAuyJdrxqYn35SlkfNxSxFbYvssCwayco41JCH/cIvfu63/Ie/9fV7VyF5Ow4PCpMU3pPt+t83 - Hkm8k45/CemnfT0y59zPiohxHPtGRPRj+h5J0zT1DXK7AQAAgMcEQseX/DkGY/cBmaefeOxlT/+G - dPMwKfo7+2iSL3V2eYvqUb2th53zrm8cTqXdbtcvW6ufrqRJq7RK7Xr8qEvuak2tyV1Ncrn3TxJx - o+DrbG95yFvUfm6TavSRiFKoLcvNUYmLL/3qFl+O0eBN4ZJHPR15HR9+DO32w2N2HHB6Y1Tqo4U9 - 9mHsF2YBGAAAAAAAAAAAAAAAAABeTHHqRjvmdR/b52qoufuNrrMmLfI5WazhVZLUWpSk8Cp52KFX - zUIpZPL0aFPbE+ZSmGReQpMUWes4/YrZg8E0ZElZnk1KW/kgKZFcjHfGpRpNU5Ypx6CW/sinfvK1 - MbspS25qyb2nd0tuT/cJd3iVhaTkKlJxaU6+ZrfqW1da9FLJm/2s/V5Tbk+zGAAAAAAAAAAAAAAA - AAAAbsVpgPwjv/bB9Tf39Oi9WmufLd/39O3Twaf9pZQnXmpEuLuOSd49qLu1dnNnHJ2SvHPOZrau - 66nI0/X0JMG+Pc9zP/cUE/7E6wfwZBHdDQAAAAAAAAAA3tQ8z5vNxt2XZdlut2Z2dXUVycxst9sN - 43jv3oN/53u+53Of+/xxQTQ9lAJ+7fGjfyLi/Pw84no0Sl+eTCmZ2bIsZubu8zw/spgKAAAA4B1I - j7x171v2cNS0pDjOMTx0O95M7Q55eJJkOaoPZQpZtpKspDyE5Xmex2mSZSnJ8rJUSdvt1t3dvZTU - WvRrzlJ+5FNESB55aaWpVE/VtDS59+mjp4vY8ad/4jD5oSGyrlkyVw6lkEJ5HCWta+uR4SWNtXnI - SholuUxmshThVsbToxSSK91MKz8w1yMP1a867jFkcV02AAAAAAAAAAAAAAAAAODFdaP/7BjhrbXN - yZIv4XMopLqqrjn8kNJtCpOk1Pvibuh7Uuip5nZLknnONruSK5pC6d68xMt3/9RnPiNLvTyFZEnG - l4DwBGQpmh+7RZPypJSuhlzTIUj+0OMa71JnZrr+1ySFqSY101x9O2SXbG0vD+N/+uu/W/v9u1IR - AAAAAAAAAAAAAAAAAADPLnfPOdda+wj6lFJE5JxPE+mnadIxP/s2C72RvR0RwzBM0zSOY611XVcz - 6+Pxzay1llKqtU7TtK7ruq49dPyU/A3gmVVuuwAAAAAAAAAAAPDsGsdxnueIKKXU1aW02Wxktix1 - GrcPHlz+xt/4G3/+5/+ZJEUrpRzXF/sokl994k9K6fLyMufcWlvXdRzH1lpEuB8u2zemaYqIWmtf - hgQAAABw02MGDn7pGYRxOCQfDnPFjXxqs57lHXZ4T591fWa+cTXZUquKtZWpzHufpiRpniONipSi - bKs0L62UXJLyOHpIUu87VMjCFVnuFilLMkWKZpbDzF2rNBe1fobrvCinNUnS6KF2Su0Omck8TKY8 - lqTQmAdVFUlVJlUpD2pNKedsqqvWVjebEqFladOU533dbHKtKiVVyex0d1OfdHr4dGPXD2zIXUmn - 4HA7PqSnwYw3/xdcZ6In2WlmIwAAAAAAAAAAAAAAAADgBRKS9w6y1COur7vJsiynHO5pSAppqbL4 - Dd/20V/7lMO436owJWkz6XKWN9/ceemXllnFlFxKhx65Y09d0CuHd8CkHEnhkmqrtfpGoZKXktes - 6gqTScWVQ7m/vq77P5+im09sC8m0HYu3lqSlyXOLpWoY85e6DgAAAAAAAAAAAAAAAAAAnn9mdjrt - 4dw9/VpSSsnd53nuG7ce3T2O47Isvc5ez7qud+7c6fP5Jc3zPE1Tztnd+yj+YRjcfVmWftlxHG/3 - LgD40si3AAAAAAAAAAAAb6qUstvtJE3TtC7N3cdxXFtM03S1mz/+8Y//g3/ws5JysnHc9CPfhr70 - OE3TPM+n222tubu7m5m755zJ7QYAAACemD448LpB8ZhOfeAyHVOrdRqjqdOePlUzlLIsZ0nJFCGT - pjErpLUqJVUvctVQqzIprI9Q1H6vcUz7vaZJy6q8kaTkZlGyJFdzLfot3/qdL5Vp3q91O/zXP/n/ - 6M4w+qpxVL1RrPX74GaSJXlTLlqrps2hPqnkQYuKu4YUaytDLkNprpQ0TNmlcVPWNVKy1qSkON5Z - u77DKQ63ef0opcP2cU8vxPz4oKXTo2s3h48yiBQAAAAAAAAAAAAAAAAAXmAu5d5IFjL1prJkanVp - ZUyxupUkDzV/RTnHs5XdvVvWu5txt182RferLpb9/qW7SnHom7Pr01seoIjnQEhSLqXWGqZpM2pZ - tSyy3CyFPLmSlCL0ruV2xyP/9q5RLUut0t3BzGNea07Suubt9mmXAwAAAAAAAAAAAAAAAADAs6wP - ll/XNeecc9ZxFn2P695ut7XWdV17EnbfeVulLssyDENKaZ7n1lpP4+4D880sIqZpWte1H9N3Xl5e - np+f98RuhucDzz5epQAAAAAAAAAA4GHRo/hc0jzP2+123q+txjBM+/2+Vh+mcb9bfvtv/x1/62/9 - 7WnK8761Frvd7sbS5pe7wNmzwJdlyTnP8zwMw7quKaVaa78qM2utse4IAAAAvA1vHEFokuSSK/Xs - 7qRIp6jph491qWWtUgplKZ3e5ff5hjqEXFvzFhHTVCRXJLVVl/eVpKXKQzlrXpWz3NX89/7L3z0/ - ePDKtNFSp5zu3Xv95Tt3W2thaqYwb8klz6Hi/mHl9mAd0tD28V996P2rhcqwW2ukIqUUnl0lPIUs - 1JKWULmzved1X/Sjn/mUiuRNw5m0VRQVU8iSydtaaxrHRSalUMg1DclCisePCw2T9zuulCTJs2Ry - xSk7/GYmtz+8laKfa4ejEvndAAAAAAAAAAAAAAAAAPBCOmZcP+Z7N2WaFLKSJCln3Ztf2rehvavl - /arOpvFivwxSCY1Dbtn+9N//eyrlcLdCUgqrLiWlN/QlAm+FqXrLKedSXNortiaNZ2MaFMnCtyGX - Vqke+zItesvmu6ff3Plm6/v9vEZK2oxDbiFLWhYNIw2jAAAAAAAAAAAAAAAAAIAXVs55WZYebt1a - 6+nd+/3e3dd17ftzzrXWPqb+Futsra3r2n89FdNH7qeUzExSRPRZ+maWcz4/P5dUay2l9KH6DNIH - nmW8PgEAAAAAAAAAwJfSWutLg8uybDabzWbzS5//wh//5Cd/7Mf+j5LTvG/TNMzzKinnfIzu/nKl - lJZl6fnckk5rk+5uZvM8T9PUlxtPK6wAAAAA3ilrkh9mYlrqYdXXswoPUwKTHY+xG5HW+TAw1LPC - XHLPktZVMq0uhVrTuvzIv/Vvfu4Xfyl58938njt39vcfjO6j9J5lvTMOyxe/MEkbsw+en19c3DOZ - m1pSWFhc51rXVodB8nle9R751VJDsmRzLi4NrhQ++CEUO6TV4nL/YJtVz6bf983v981Uh3S1Kmnb - 0lgt/txnPq2clGIYi9xzMrXQOCmZltpCeRxVQ9muH4d4KGc7HbK3+4PTD7CH5yrG8TLeU7pdMqXQ - IfzbmEUKAAAAAAAAAAAAAAAAAC+2Q2Pajf60cLeQt9XyYFlaF1l5OSy/ta/pPHV1WV8537ar3WVT - LnlOJpM246FLLpLs0CwHvHM55VC4u6VsHkom9/P3fpXf/2IKmZSlKun4lHsXY7LD5RaykKSL/W7b - +0ddF/OSp43cZXbdrAsAAAAAAAAAAAAAAAAAwIun53NHRI++luTum81G0jAMp9H3km4xt1tSa22z - 2ez3ezPrM/MlmZm7l1Jqrb3gfm5rzd17pLekU1w3ud3AM46XKAAAAAAAAAAAkPSGWT6RJJVc1mW1 - MpRS1nk/pvILv/i5v/pX/+pf+cv/fc7Wc7pbi3Eca62n4O23cKvukiIi5yyptTZN036/l/TgwYO7 - d++u65pSyjmT2w0AAAC8qTdMHHyzqZfH/T2Qu08DdNn1WMCHJ2aWfq25zzc0mVzhUityedNVlbta - qNbf+oGPvKy8CZuS2TpHW96zrnfunFnY7rO/9N5pky2W/Xz3zna+2p1NtinD65fLfHkhKac4RIOH - iiuHJLWkMurerG3RZkr35zpK42Zzf79PtsoUUjN5Psw9lOSuV7ZDC7//YP6aO5uL1/fVtdmOoXu7 - tZU8/Mlv+oa9a0llHYZ9Hv74T31G06BUNQ2aSh7y6ksaSo52GIlqSZbi+CA8/CCmw+NmLqUmSR5S - T+zWMeT7+oKmeMznLgAAAAAAAAAAAAAAAADAC8ROPWjmsnRK77aUJNXVh1Fr+FBMa9W9i/IsZXen - kEfcv9ydS4OsZptz0jQqH7oNb3QzhpTexRxlPI+iv0qsRdS6TmXQ2nRn+H3/54//yPu/2bT20O4s - T641KUzpXQmNd/MUxxZcSdJZGa3VOXw7pK/ZnH/+8krNNa9KWfndKAkAAAAAAAAAAAAAAAAAgGfQ - MAySlmWZpinnXGvtSdjuPo6jmUVEREgys1NI9ruvlLLf74dhWNe1B4pLcveccy9vu93udru+v2eN - 9/2ttYjolRPdDTzjeIkCAAAAAAAAAIA3ta7rZrPZr7XWenZ2ttvt/sbf+Buf/OSfkuSuiEPw9rIs - PXv77ck5n9Yj9/t9RJjZ3bt3a619bbXWmlLqBwAAAAB4h1wmpSS7ORbTHpMArtSTqavLm5kUVdUV - Taqq7fu/4zvP1mbr/rzFB/btjmS1pSRFUsplKLuLnat+3fbug92DIZUp53axG5Nijct5ORuk/snC - TEomt1CyMMlCFlqr7mTlnJbFh5J21ZdlUZKZZcWhUFPokN6dpHm3JunVpHqxf0UmJb9azLQJnaW4 - 2q8hKUWtcdnmP/qBD6znZ6+n9HqOv/DTn1G2QdJY5JKSclI25WKWQlmWrAeM33yYTKFkoWwKJb/x - uB0GMsbxIY/U488BAAAAAAAAAAAAAAAAAC8yO8b9nnK7e6NZq3XYbJdWc06S/ycf++gHtts837+t - Oh9rSHZehrbURb5G+FhUBkK68bSY2lyHTRr6jMsha64aS00lVKR6euZlV7ybT0NzheuQU5/mug6y - ybSufrU+ePWV92hddDa9iwUBAAAAAAAAAAAAAAAAAPAs6rndkty9lNJP+6994HxrrSdkR8RtjaDv - keF9DH4vrNbax+b3A3a73Waz2e/3ZpZS6iP0JUWEu0sitxt49vEqBQDgGWJmEXH6tb8RNzsNyQcA - AAAAAHhaSim73a6UUkrpy4GHP1Pksl9rTkNErGv963/9f/89v+cP5Gy1RcThrxb9+NMi4puxYyxg - RJRS+mJk/3vIKbd7Xdd+VX2h8bTcyLojAAAA8GV6ZGVxXuZpnDxcHjlnSaEUSrOvUyomeaslmZn1 - HsHcr6S5NVdOqqHatK7a75SLWvudH/nomWLKlva7j6w+em2pmtrknqSW5ZJFUYvWVJSTbN3tNxr6 - rNGkcho6quoWClNNkrXsSiGXXDJTDm2bJLXmZlrDo2gxl9LgssiS2/HeXrdYmhRpdUmarf+e3EKm - B6uUioUUkev6knSn1vZgfiVZzfHH3/91NamZasrv+8YP//t/7X/Ttmgq2mSlVMsQGs1SsWzSPK/j - NDTpyn2T0hhSkzXlIrmp2KES3QhCD1ckuWTHHwAAAAAAAAAAAAAAAADAi8Rudrs9LGQuhRQ5SS6v - g1osO3vGxo2ER11qsSHLH1j6wn5WylWlqCoOLYxJ4YdIY5rl8A6YJOWxyF3mZiUUNp2pXs6WVsVG - ZorVYo0YU2rupncpwNulrOund1aWvIXMNIa0LB//db/uz//MT6v4m7/oAQAAAAAAAAAAAAAAAAB4 - /o3j2Df6CPpTOPdpow/J1I1h9WdnZ+u6RkTO2d37qPxxHJdlSSnlnNd1PSVnP8FSe3y4jknej4zc - 3+/3N485FX+qsOd5n1K9u1prn64/z3OPMO97TvsBvGt4yQEAAAAAAAAAAF1dXd29e3dd11prX+dL - KY3juFtWSX3B8id+4m//4A/+YITC33LQXF8L7KubkmqtZnZaVkwppZQuLy9LKeu6DsPwNO4jAAAA - 8AJy9+atpKysuqyllFC4bEqDpGWt41AkzesyDWN4NUlrNXdF6GJRHrTWT3zsY/ny4mzaDEv9+st5 - aOt2HGO5fEnJ1NbmbkohT5IpJLOa4jBj1K6Tuk+OUwgjJalfTqEcslBLkpTikEGe+iTPkCQ3SSmF - kisdr/Y0lvQ0bNHNb9xKxDEoO46HpEPmtw8huc4O13w4u6Z6/6d+6k//S7/mnz54vX31q3/i731K - ZsP5RlGVSyyus+005RYelqaUQlqrhiKZ5FIyVclcOYXJj3Vmk3SzMAAAAAAAAAAAAAAAAADAC8ck - KR3ayR7+ak4pwy5qsWJRpdjmlLzpMT14t8ZCWVZSeeCz52mX7S/+o59VSi5J5dD2d7yHh19J78Y7 - ECYzKVzqz6VU5SWlNIyulEva1XWOON9u97vdlFKLp/568d4oe2xeTdfjN5PkbrJQCh+8yp/kPFAA - AAAAAAAAAAAAAAAAAJ57PdD64uJC0jiOPTy7j7Lvud2nnGwdo75vq9Q+cr/XdsoRd/ceSd5a65Hk - pRR3d/dpmtZ1ldTH75dSTscAeHcQ3Q0AAAAAAAAAAA4LdbvdbrvdmllrbWl13bfz87uXl5eR/FOf - /vS/++/9pnluwzCs63pMm+unv/pYk1rrMAzLspjZ+fn5xcVFRNRadVxiXJalLxMOwxARfa0RAAAA - wDu03WxNmud5GsYyDHJPKY2m5motxqHMSxuGXIax1qUk09WlUtKy6v793/Ov/Ov1i198OeX3RTsb - 0uUXX59kL42bcSoXV/c3Q27rqj6F0OS6nrqZjundh0jvR9/d+80tCxWXlOw4pjPMm6VmWuM0oFQW - GpssUg7Px6sMKY7B2324px8/pVi4TiMRb9TQd/SA8HbYlSSpB35HMtdLo3z/4FtS3n/+3h/9Fz6U - X37pc+v6Iz/z05qaDUVXl9pssslcQx6qRxvzg+ZnOcmVeyWW2lzTpoRSk0Jq8mQqdrNGAAAAAAAA - AAAAAAAAAMAL5pEoazu0ybmSJLPIUpZpvw9fcopnKblbkppiTGnyfJFt2W40DO3iQXp1uu4gNBlh - 3XgSQlolSYPJFKqypJZSSXneV1O+X/ebYpNsnndNWiSzm1naT7M2u/nKdCm74vTUT+GDu8J1eyNB - AQAAAAAAAAAAAAAAAAD4ilNKkWRmpZSIyDm7eymlh173GOwe751SWpblFkvt0/V7uHivOaXU07sl - 9WH78zxP05RSSin1Ef3uvizLOI799BbrB15ARHcDAAAAAAAAAADlnJdlKaWUUi4uLsZxnKYp5/za - a6+9dPeVn/nZn/34xz8+z2spqS9Svg09jTvnfHFxYWabzWa/35tZX2LseeE552AoCQAAAPCEeLiF - LKWSssxiWd09T2OYLcuynUZVPzPTusqrvKlVrctv+th3vFRje3H51blM0c7C2rrXom1SSVr2u1Wa - klTbzffuYVLPvzZZSHZIyz6FbIfJHncqUwlJHsdQaz8eH6YmWShJ5inJJZmUJeuh3cco7jjmdp/0 - 2Yt2nHHac8F7zvcxzvtwoCxZSJFSSDJFrEudhthUvXfc3p938Ssx5vLD3/Lh14ouzjf/zWd+Sq0p - lxQhzWWaVi05T4vaZsiHsqQ8ltMk0sMNyVvPNX9C/38BAAAAAAAAAAAAAAAAAF95TunddjhJUpLm - ZR7GbZaruXKeLx9EhJnrWfqezZjyZd1nDTvFF+qiIeXtyyHp4Ya5azTM4QlLSsnvnlX52NqyrNNm - aMtyW68SOzWy3niuJ3nxkPubXQoAAAAAAAAAAAAAAAAAADzWzazudV1TSmZ2Cr2WdJppf4tKKb2G - nHNP746IlJKkYRgiotZqZtM0uXvfb2aXl5fn5+c9sbuHlAN4N/GqAwAAAAAAAAAAcve+ALksS0pp - HMcHV7uIePWVr/q5n/u57/3e733ttQfDkNe1J81dX+5LXuv1kcOQ+7pmX1Aspex2Ox3zvHtcdz89 - ZXg/8fsIAAAAvGiyJZmW/TxOk0JWhiy1VmsqeTNqnbVbFKGLS5l/4ls/9Oo0tauLj222db8rro0U - ocv97ixJLnOtimlQNKVQxCGWO4UUh88GWZI9PCb0+MsplvuR0xyHBqZVksmkwZXkEWqSjjNJsyT5 - KrVjgHfoxg0di7l5izd/u3mkrAd7J0mKZMePLna82iSpxRrazVfn06aFhuXya/XSez398i/9yp/4 - 8Me+sJ8vFD/6Mz+tLLV1e3daNCclV5Us57KubRgGozcLAAAAAAAAAAAAAAAAAPAYvecuyQ4NbOG+ - GSdXqIa+eE/JXr2zzVeXfsujBR+VrCS1ndbY3l1fOlPJktaljrkcQsgPvYGEduOdMmnoG70HNPVX - jKTyw3/3//39H/zA17T1PYNd7pexpFHJ3eMpP+tMSqH2hlvpBTZTMplkoRyucN1WojgAAAAAAAAA - AAAAAAAAAF+Baq19NP0w9BZCLcsSEe6ec95sNvv9PiKmaeqD9Hts9q3UmVJy917A+fn5fr8/ZXj3 - uO6bEeO9/vPz837ZUkpKqW/cSv3Ai4nXGwAAAAAAAAAAOERo11prrdvttrVmZufn55/97Ge/7/u+ - 7xd/8ZdNWteWUhqn7X63eyvXnSRf12ZmfS0z57yu6ziO7l5rjYi+c57ncRzNjNxuAAAA4ImICJP1 - 3G55SNaurvLZlOedkutikccPfNd33bm6TF/8le+4c15fv7cZ7PL1B3eLwtWWKuk959v5crfdlGVf - z7bD67t1mzW7phsR3T3C+/BfqJm7HjpPkSTv6dqPnJrUbowmTHG4xM3BhjfCwT1MNyeRWk/wtpRC - fZhpH9HoejPp5i/28IGhJHmxVN2nIW2H8sWr/cY0mdLuvhTfNJzd+5Vf+vq0aZvxP/+Wb47tdP7N - 3/g7/+aPjYM0TMpJOc91LcO2KrLsUF70YPAUdrrrAAAAAAAAAAAAAAAAAIAXj+mR3rtDy5wVyVWl - MG3vfv9HPvQNlxfelJ6x6N/LNm+Gycbhs3X+b3/yZ5SLzMcy9TvVJMmzko6F0y+Hd8j00NNoDClM - Z+PV+VCs1csl9zhtb3arT7feGxrWI+wjhyviS3WzAgAAAAAAAAAAAAAAAACAh5VSImJd15xzSknS - OI6SUkoRIalPsqU4rgAAIABJREFUuZ/n2cxuK7f7VOqyLOM41lovLy9PQ/XdfZqmeZ4jYhiGnuTd - 9/d7dIrrJrcbeJfxkgMAAAAAAAAAAIfo7h6bPc+zu7/86nt+4Rd+4ft+82/6x//k/5OUkjWPMO13 - u5Szf7mrktepeH2NsKd3S1qW5bQzIiJis9m01vryIQAAAIB3zszWeRnGUbXKkszy2ZnWWbsL7Xd/ - 4F/91+bP//Kdpb5nHNxjXJbmkWTbrKiaioaS69LuXe42svv7Okjz3k1aI00511ZrNknWlKSWXOoz - BpPikZYkl9KNYaMPDSKsSatkUnZZXA8pDJMrhSn6cNKQhXJI0pIUdvjVpHzcOEaHy6Uw92O4uMWN - TxnmNyeZtiQdpoWeSpW5maV5da3LaCqTWWidYzvYvF7dSVpj7/t5Gkub29VnfvqPff23PSjlh/7+ - 39WdUdtxGvOiVmWjStGNuYvWbyhlppECAAAAAAAAAAAAAAAAwAvr2EAWcinZqaVtqamMupi1rOMa - g1kZwpdbKvJNbPN4f53ndR6/9hWlnkR+aOCLQ8/e4f701rlEvxzerji2d5riOM9ScqlJ4XVIl7vl - 1SENZldLSzm7e7LbSbvvDatxu+HhAAAAAAAAAAAAAAAAAAB8hau1llKGYZAUEa21nm/dJ9j3Dd0Y - p99/ffflnPuA/WVZhmHIOa/rWkpxd3ef57kf00fxl1Jaa73UvlFK6ff0VooHXli85AAAAAAAAAAA - gCSt6zoMwziO69rymD//uS984nf+rk9/+qclJVNrcT0b6C2sR3oPzzstYvb07pRSrbWvd/Zf+9l9 - NTHn/MTvHQAAAPAVyyXpFD7dB1we/vWbOw/vvePGnMvQMBS1Km+KpnlVxG/40Af+RV/v7i9fqnF3 - mqz5JsJN637ZFltnT0njYOsaVW0yvZrSvsX5sK3r2iKGMkbE3NYxlXoswA+3dojZ1lvsYGwmk8wO - ydyn0O7j9mGaYTKlh1K/DwHeJ3bjlk9jEK/Pjeuz+mRGP33GuR6EKpMspXDPllKx6m1Zwl1T0dqi - SlM2tXCF79ds2qbSri7PVT75Hd/5z3z+kz/3DzWVsaRx3MhCyrJ0+D9ip39Pd+NGpjgAAAAAAAAA - AAAAAAAA4HkXD0dZ39z2NCRJY9EyD6pDLstuLc9SFHCY9m3ZDmNb1zqH8ihLyrm37lmoD0JUJBKM - 8QSFLHo/aaRDn6gN1nLJaVm9SZvNeLFfppKsPd0RnDcaUR+SQu3Y39tMJt+0VXV5q/20AAAAAAAA - AAAAAAAAAAC8yHr6dUp9pr31jT45v8d1R0SfbK9jgPet6JniPX57XVdJ0zT1xO6+vx/Ti2ytmdmp - fnfvh91W8cALi1cdAADPnIgwk0KEVAEAAAAAgHfg0QS4HlNnZu4u85SSmfXw7JBqW8btdp7nYiks - z7v1D/7BH/q//u+/1S/rOgYEussU4fqynA7ziEMs9zAM67q6e7/l0+rmaYM/iQAAAACHuYKS5Mfo - bklJIaVDmrW5p+SKJm+Rh9bbgGooZdWIMCuSXLsrZWlZtJt/98d+zdnV/tdHDHVfvFko7XYWqm1N - UjK1Fsmk0Fqjv0NfJDWXaa1zT572ViVlSy1q/9TRc69TnCYRuuyRDyR+4/TRYG+XSsikFNcR2kne - j7ZQkiIeGuNZ4npP6vHeD19n2KGeY1y36/QZ6caR6TRD8bjnEK0dTSZTeFPqF0yyJkmjaa2HiyWT - pBa1lbpJw3Dv6iMp/Zlv+uAXa331I9/6/T/217UZtB01DFVlqTGlMR/uVZO5qpSn6sr5RgC5PVIR - 2d4AAAAAAAAAAAAAAAAA8Py47pJ7qEsw7T2lYmNI65XylfxinteXSnL39Myk/7qpmhT1XGev70a1 - qXrynMNiMpMrh6RybILsjXB0weFtMqlIIbmS5ArJXIMUoVqGfUpmvaX2ar+8ktNa3XXdifqUuB1a - XhWSHU6bVg+ZKw15qc1r+2p37Xa6W6Xp6RYEAAAAAAAAAAAAAAAAAMBzpMd139wehuG0x8xOv94M - 8B6G4RTp3Y3juCxLSinnvK7rKTn7SdXZ87n7qaSe231zz80iT6P4e4b3uq59Dn/ff0or75cl1Rt4 - SnhpAQAAAAAAAADwAnH3nLPMWmvurr76aFZKWdd1GKZWIyI++ck/8T//L/9rKaXWU0zgaWjOW77N - /k9rbRzH1poeXtQEAAAA8CZujK08ZErr4vLq/M5Zkrx5NDcLlUEyk9ZWs3KsSx5Gc2ldFCGX9vvf - 9tFvf/ni8uuaf1Wo7vfpyxhNeN1U+HCY9M0hmo/MA73x6xs/O7zpp4k3Gyr6JYaNvvGsx96dL39c - 6duo4cQkd1lb75jMve4u3jucf/bTn/4j3/Shfz6lP/sP/77unpUyljzJW923MmSPmsZBRYpWcvam - kHqP6PEG+8N8CG5/NAkdAAAAAAAAAAAAAAAAAPAVLdLhSzrhipC55VRD2ZTHScvrQ5tffeml5fX7 - k6Uv0YD37oukOUVL6XWFXGXYzDIpQmEmtSRJ6WbnIf1veCf8Ou3eJCnkllzKpiGlFNamabvOy9xa - n6bXnn41182lIUkW2oyDm9Za3X2W7k7jg/tXP/Td3/37/8k/fZrlAAAAAAAAAAAAAAAAAADwonP3 - noetY9R3ROSce263u5/ius3sCUZ3v1UppdZaKSUiNpvNa6+9dufOnZ4RkHOOiB7jnXOutZLeDTwN - vK4AAAAAAAAAAHguPTqXp0fZebgdp5WYWUoppdTc16VZyfM8D2X6s3/+z/2Fv/iXJLXWTsNy3qGU - UkQsy6Jjbve6rmbGEiAAAADwBn2yXx9YmRSSqVp/i+/bO6Op+tLyMBw6f0ImretcxqnKU0nSqvv3 - VEZdLb/j2z/26tXu63e7r9kOy26/SiXJb61j8DmUQpssNe1DoywUrbWv277y+m7e7ut/+a3f/dl1 - +dF/9A807HVnKGdjlUnb/RrTYNGWkiPlkDSvax7OTlfrUihJNUuZ9G4AAAAAAAAAAAAAAAAAeJ4c - vqyTZFI0SVlyyatyXTW3l2x88Nr9V261xsc6m8rPX9b6tZs/9qnPaBrkGltrOdaUsylLvemxHUKN - gXcmDondLmXpEHivpKyLWBe5S/d2uzvjZmy2tHpbZV4sq0lVmoqdT3mel7tn42cvL2+rHgAAAAAA - AAAAAAAAAAAAXiittT5sv0+/r7VKKqW4e0/CTin18fi3wsx6bvepsFdeeWVd1x7a3evvs/pPGwCe - OIa6AgAAAAAAAADwAsk598XCHpvdV+bcvUxjhJ2f3f3Lf/mvfPJHfjRnO60yHj2aBf6WuB8uHhGX - l5eShmFgCRAAAAB4Ey5JkfroyrjeW6VoXtOYI3y3n0PykDdthqlEHVstVw90dSmzj3/wg7/3wx9+ - /37/vnX9hpzT7vKOdD7m+o7e2uMx1qrNOOVksyKP0z3fX+xeG7W8T8Or9x58MOwPffg7vv/bvl1X - iz7/+bIuWmIcrLlyGWWH/4dlGKSqQ1C3kmTXid20eAEAAAAAAAAAAAAAAADA8yOOP9Ihxnu/XwfT - UKSwT/za785Xy1dN22L5Nqt8gxTaX9b3vDS9blVnWeaaF0ul2CCpSUrH7rdDCxzwBITUpDBFf4aZ - ZF43YxrHPNim5HmZm+IW0+K3Ob18tn1pM9YaxVK4Lq6Wl+/cJcEeAAAAAAAAAAAAAAAAAICnp7Um - aZ7nlJKkq6uriMg5D8OQc16WpY/ir7XeYm63pFOg+DRNOiaL95r76P7TrP5e9q0VCjzXmOsKAAAA - AAAAAMALJOcsySNC8oi11tqamUXYUKb/4X/6H//QD/2wmY6h3ad1hB4cqHcyMSQiIqK1dn5+Lmme - 576uCQAAAOCmUGpKoXR6B26hEhpDG6V5necUi9QU2+3kpqUpFVfMenBfl3td+m9//wf/8Dd+6AOv - PXjv66+/z3ytV5ZqkpR0b2lTkjEK8Mmx0FZ5N88Rtkpzq0POw/a8mea0N+3T7v5X73ffdP/qh9// - gf/u3/i39csPSqslNCS5tMiqhr27ZEUqqhY1u5fwMTRGKipMLwUAAAAAAAAAAAAAAACA50RIkkvt - 8F2dQ8D1+WZY97Ufcbb6dpWtLaI9U/1+OfSqpXyxxuWiZlLStNG66vgFpEhSlqR8/KH/De+cSyGt - PR5ekkxj+fOf/snLeT/P0Vobx2lurRSLW3rCRfP7V7s6L1la5/XOdppM+6vd7VQDAAAAAAAAAAAA - AAAAAMCLIedsZtM09Yn6m82mtbbb7fb7fWtts9lIiohpmsysD+e/xVJLKfM891/N7LTRi+/T+9d1 - Hcfx1qoEnmvltgsAAAAAAAAAAADvnlqrpJRSX5CLiJxzmTbr0n78x//mD/zAD0rKOdXqKaWU5O5P - 6qYjYlmWnHNrLaU0TdOTumYAAADguZOkhydW9uGblrbDpklNLZqk5vO6GUZdPlCElvb93/aRV+f1 - m9Z2Z1mKz0Xyy/tn0uW6JulsnIb9HJbD2mNuE29LmEJ5H+3lcbTwFr6utc1XySKlVGTZ140Nd9z3 - V/vyj37+D33jt/xnv/jPtY42DTnnVNJlq5u8DTXJFK6QwhVJctkbngkAAAAAAAAAAAAAAAAAgK9w - IXcpSXFsEDNpLCUizLQNFW+ljOsT+07PE1PDz8r21XymVTpLYWFDjlptSJLWY2L3senxxj0E3qp4 - zKYpyVw5NOa02Qy+y/lst98lyePWgu5TSlPEHHFnM13s58urecw2ZOb7AQAAAAAAAAAAAAAAAADw - dK3rmlLKOdda3X0cxx7R3fOwzSylNM+zmbV2a0M4b976MAzu3pMCTrndkty9V+7uKaXbKhV4jtHa - CwAAAAAAAADAc8jkkuIN021aazlnU44IszwMQw2/uLj4qU/9vf/4d/3uksvqted2f6nQ7rc+ySQi - 5nnucd1xQ18LBAAAAHBiUg5JaqYkWRwnV5pqlUUqOZVIyk3L5ZCzLl7TvPzAR779pWTfuKwveeR1 - Hr0OUkgeSllTTnONL+7nsYz7ukyWkp69iZ5fsVrSnbyt3h6syyhthuzu5orqY1KVrC1Lq5Ms9pfv - K/mPfssHvziOf+zTP6U7Z7adzoexSRFZ0iGx+8QYWgoAAAAAAAAAAAAAAAAAz4s4npqkJMmVsppM - dWllzFIovF5cnin3ZjJ7xlrIqtRa5H1TK5KtZkO2MPVvB62SpOzXfY/AO3LKgD99my2kSMohay08 - Qg/2V5PKOOV1mW/rW2p791fOztarq91+3pTcFJLm3e5tfAUPAAAAAAAAAAAAAAAAAAB8mdx9GAZJ - EVFK6UP1a6055x6JfQrw1sM52e+yiDjdekS01oZhqLX2Kf3LspRScs77/X6z2XypaAAA7wDR3QAA - AAAAAAAAvEBSSjlnb2qtlZJTSpf3H3z+c1/4/v/ot73++v1+zDAM67qWMtZan9Ttttamabq5Tklu - NwAAAPAlxBsHVoZySRZSk9ZVqmpVl/d+23d91/uulq+7uCiuTcqprqOuh2COY3qweLEoeVSKWn0q - k9r6bt+f55q3pTaZ7O5Y3H2/tmJKoSxZKEtrq+cmV+zbulF771zP8/BffPQ7/0nUP/eP/7FNrWTT - OB0GlXp6aFxpML0UAAAAAAAAAAAAAAAAAJ4XIdkjTWEmqQy5LmsZTetyVsqm6V7d5ZQV7XbqfJxm - skhXSV/7rR/QIEVryvlwf5LkD92vvpP+N7xDoWSKm9HdkrIpZ6WiWLZWhmG4mq+GpNuKyt7K9ldX - STIpIrx5Mp3dObudagAAAAAAAAAAAAAAAAAAeDH0PGwza62llFJKy7KM46gbY/B7QvZpzy3W2QPF - +9j/1lqvqpTSWut7NpvNqX4ATxzR3QAAPEP6u+GTW3yzDgAAAAAAni/Xf3NwdzNr8jQUl3bz+uD+ - 5fd8z/d+4QtfPB2zrqukWpdf7coeoy8BSkopRURftnT307mnDf70AQAAADxWSM1Ua2yyyVWXtWwG - hdxlRaGw3LS70uq/66MfPX/w4Jt93ax1DM8ha95Du72/czftmuesFiZvOTTKVNtjcsHxdlloUNLh - /1ptSRpUpewy1yplKUkt5KaU5O5nUm677aWNpj/wDd/4h3/2Z3RWlD3KdpZGyUxz1TTI/HQzt3gX - AQAAAAAAAAAAAAAAAABPiktKSqdv58SxQay1VpRVUlvWGus0ThfLPN089LY1S2vJX5jsD/74X9OZ - aSiDLEseaqaQ8qnZzRQmlxLtb3gnjlH3/VlkfY9SVZSSqjdFpFBb1iHJ7Naiu1svq5fcW3lDXtvt - VAMAAAAAAAAAAAAAAAAAwIvhNOW+lEMm7yO512Y2DEPf7gPze8J3H9S/2Wx2u927UGcf2t8jurvT - 0P7TRs75/2fv/mIly677vv/W2uecqnu7h82ZoURSIkWRHP6TKNt6iYM8Bshb8uoYfpFjhSIsJQwl - WrQoO7HkyJIVOxFgG3aQP4BjWMiDYwcJYidBgEiOLMVxAAWRYsmwY1mGJSsWNZzpP7f+nLP3WnnY - VdW3e3pmODM93be7vx8c3D731KlT+9Q9BVT1XrV+kk6jBfDQ+eMeAAAAAAAAAAAAeHT6DOI8z8Mw - ZditW7e/67v+4D//ra8+rONnZp/hi4ie291a6/OCAAAAAL5+42DbzU6mYT3KVGvzIpsXm/fa7DTX - r3zq0x+4deeD+/k923nVoqRMdxt0Zu/uKVm4pZeUZbiqa3FVy3j9R8bbEMdFkixlebfZaB7/HHns - CWlm12wo8+bFOr9/v/0PPvyhH/js79LtO7bbjrfvuCSTuyTFQt9GAAAAAAAAAAAAAAAAAHi65D2r - IU8pI1brSRFqcf36eUj7ZXa/WrHXabJxuLDU2rW2cM+UUm6HPmZ26mhmh/MC3hGTJD9mwLvuC+d2 - z0OwN1cbAAAAAAAAAAAAAAAAAAB4Y2aWmT1COzO3262ZnfK/ATzdhsc9AAAAAAAAAAAA8G5wST2Q - Ly/N/C0t57o899yNW7dumQ//9vd87v/9x/+0zxfK7mtf8mD9WK+34zAMtVZ3j4hSysXFBfOOAAAA - wFtiCrW5lGE8K+ma5yjFczRlVZ11++KPfeY7nr+z+cblzo0yKpcmmSkkmSzdUp5uMldayhSZkiKl - MLXeFJMGhQ9Pc1UdPilZagh5yvLYflTSIdPbpbD0ZnHhbVv1/FSWqNM4vC/s+m/9zk989DMvn53/ - 2b//S6rbLD7Z1KqVqWTI/EEPDAAAAAAAAAAAAAAAAAB4EtkhbPhyKZ8V1Tt3htWoWrfz/kyS2zSO - Oe8f1zBfy1L7zf78fS8oo1mRbJQUUqSPFqf9/PRDfKcI79Tx9VIku7f8tf/ar7Qmeb7r5bGXA8Lz - WDgKAAAAAAAAAAAAAAAAAACeCJlZax2GITMljeMYERHxpncE8BSgsSsAAAAAAAAAAM+QaZrGcdxu - t0OZPve57/ml//v/OURrP6ReOLXWvmJm+/1+tVpFxLIsD+foAAAAwLNhcJPa4CZFURsU437RZvdv - vv+Df+Iz3/6+V175FumbfLK2nPs4FpcU8pCnPMybWTPF8V1+z/Xua3TBfOg8ZZL1uO5UCZVUufRk - hzzN0/qKmmtvOjuzubWlKrb1PREfGob33nzl/S+//JWXPq6vveL7rTYXxUKm6iStAwAAAAAAAAAA - AAAAAMBTwUImWUjyS2VmplTGcHam2v7g7/lOKcai1Wq8ubtCud2SJL++vh5LqIbLFYroocpmqSJJ - imMkuSUVi3hnLlW9ulTy/o061ccqidAGAAAAAAAAAAAAAAAAAABvzMzGcezN8yXduXOH3G7g2TE8 - 7gEAAAAAAAAAAIB3j0u61IhE+/1+mqYW8eUv/9DP/53/Q1Ip3lqqdyjpvUtep1mJHY6m+4552TiO - y7JkZq3V3VtrpRR3f+DOAAAAAB4gpXBFVZFpGYq02WjbfuhTn/pXYnhuu6tabi3LaFoPvquLSYN5 - XHq7npYpVVOVLCU7xEv78V18GGnQD42lVik/9hvtT+y9T29IaqZ2DOEui9xzbjqbhpjrsORem/Np - Gur2hcX/449/6mvr1Z/6R7+qutN7b4SVkJdHfVoAAAAAAAAAAAAAAAAAgHeB3ffvsfgvQmGqWoe5 - VFP7zf4bzs/3u81jGOTr2+12q/P3SqM1uaQipVqN4i6T+/HrRpdr6Ujwxttm/YLyw0WUh+hu1+VK - zUdXEtu/fpeXLul8/cv7DW4CAAAAAAAAAAAAAAAAAACP3n6/X61WksxM0mq1iggz678CeLoRlQEA - AAAAAAAAwDNktVptNruf/Mk/8zf/5v/Ut7SW0zQ9rOMvyyIpInpcd59x7BsBAAAAfN1MGtSkfdXt - i+/+5Cd+9JMvfcOrr7ywvWi7O8+dDaVIgy5qSDaUtSRXnJaSYRlSpEV4hKmZmh0bYupRdil8hvTc - 7tDh2e5P+Ok5Nx0C1E09sVvuqrVOKlMpkto8D5Hlzp0XN9vnvvbyVz71abVFN19eLZsS9TGeFwAA - AAAAAAAAAAAAAADgYUlFSr24zCW/nOUdof2ySo1jqaG11PazX6V6v2baT35zmeWDwsy0X1RNProk - pSxVLkd1G7ndePtSalLrRa95KQnejjdLcajVjORiAwAAAAAAAAAAAAAAAAAAb2i1Wu12O0m9J3+t - VVJEvMndADwVhsc9AAAAAAAAAAAA8C5IlyR7wJzfT/3UT/2Vv/LT41j2rUm+ms728/ae7iSXOpgc - N/ilm/1S/Nz9hmHYbreSWmulFHevtY7j+E5OBQAAAHi2mLdQkXSx1273w5/5tm9vs27fev/ZsN/O - 61FL1H2TmsahyMdN3ZunpUrKpHJ8J9+kTDWT5ClXWpMfOn5ae4N39XhLmqsePzGlyQ7Pf0gyuxvX - XfLunyaqqnR9XNU631YbW7u+Gnb7Og3jPmInfeO158qrt//UN3/kAx/+lu/+2z+j59+nM6q8AAAA - AAAAAAAAAAAAAODJlsfSvaJLOcSH20wh2Rh3tsXdpbNh3NbFpbwygcRL0Z3r05/51V+WVw02zzGs - fJYsdWZSSO2YrOyqknRvkjfwFjVJuudbbTKlDrWaaX0JO1THPoaLLbi+AQAAAAAAAAAAAAAAAAB4 - QkTEer3OzIjo/fOnaSK6G3hG0NQVAAAAAAAAAICn0eXQ7jy1KPH/8r/4r376r/7XEZr3TdIwDPt5 - 6+6RX//s4BvtOc+zmUly957ePQxDZvaNAAAAAKRjw00dGgjebdyXLpNalNa033/Xt370QxHftCy2 - u/18UdvVUnRn0dmg1agWyszdsjOzIsnuHraveirssN6Pn6ZIl+SHZoZ4OHrH1M5N0inAWzo+/z01 - vf85Ura2cZ73KV1bD/t9vdjXQdrUxcvgZvuLixfkQ6T/+q//5Mc/8Ud/4zdl0jCoFJkf/qCXrqJL - jxSSUq7X2+fysI+f7pzWqAAAAAAAAAAAAAAAAADwSKT89MWcu3V/aTLXfifFOJbNxc3zUftlObOy - zytU7xemiwy5aTXJVFYeUoaG/r2lewvRQkp5eRzjxNPBpFGSFJKbTl9NO/wbbjEoPSS3kMkvVU1e - BSVDbVZb5CXtcv542L1x5AAAAAAAAAAAAAAAAAAA4BFw92VZxnEspZiZu2dm5pUqPwTwbqF+FwCA - q8vMCLUCAAAAAABdWIRFmE6L5PctlsdFqnU+O5s2uwtzH4apNUsb//v/4X/8sR/7yd2uKdVnA2ud - ZRFZe3zcPcslqbhvcb87xTCOY/9PjMsR3WZWSjmtP4rnCAAAAHhUXvOW+TVvp990aVIopYt5F4pQ - zahSLPuqedHL/+LHP/6RT+5vvbC9NeW8du2aWipDZyY1WZWnPGM0DUrPQ1Z0Ss1UTc0UdgjwNoVl - mJppcS1ui+4mTeOd6n+I4bh4hmfYpbhuHUOyw/qfXWEt1czkprqvRXI7dJZU1NLaJFlGZmutPdfa - j37so3rld7Tbap5bqEnbTRwPqjj9MaMqQtFC0fptGYpQRt+1f5o7jTykKlWuBgAAAAAAAAAAAAAA - AAB4RDzkoeH0u6WkkLnMtT5TqX5mNo1lGCRFXrHyrrTBJ2lUbVI0KaVzaQrJlEVZqkqVhRRFIrcb - 70jKqqxJ0izNp9pIhWSa42z93oxBnmXy4lbf/Zj7NOW9X5LzfN1lbFV3bmm/Va01D+PexxyHCs9+ - ig+qSQYAAAAAAAAAAAAAAAAAAF+f1lpmRoSk/rO1FndbNKrWKmme59OWy83zTyvvNjuSNAzDfbcu - y9ITxGnmD7x7iO4GAAAAAAAAAOAptF6vNpvNOI7uvtvthjL+3M/9wpe//EMP6/h96nEYhrOzsz6r - 1z2s4wMAAABPoZ7nfGm91Tib1ttWU24y3bkz1qaLiz/7u3/39Ze/9s3Fxlpt2UfIBzc3S52W3tfv - FBF9OSX6vkZ+nnJFX2QkNT98r9d18bI05d2mjXHfX6HfdDiaomT4cYdVi/dttj/60qe/56WXtNmU - VtuunZ0di75M7lpa3ey2Kq6WMnvgB7M8PeTx+qBuDAAAAAAAAAAAAAAAAAAevWPtVtwT2GuSFym2 - 24vW6p1tvbaa8spF+vq8D6mojJJCsbQ0ab5YUmqHJfup+aWSSeDtOJY79qsrpeyFkP1lMa2m688N - Z9c3LS/2YdIgPbiA8jEZMr78L/9e7bdyW1o9bPRLxZtXabQAAAAAAAAAAAAAAAAAADxxdrtdKcXM - 3F2SmdVaSyn91x7XPQxDrXWaptbaNE2r1Wocx1Mv/R7s/W4bhiEzT49bazWzHuA9TZOkfhaSttvt - IxgP8GyiBSsAAAAAAAAAAE8Ef83/6selRZJkcVikzW4rt2ma5nkehuEXf/EXv/CFLyxLOx7ntUd7 - O2qt2+3W3fuEH9HdAAAAeEbYaxtK2hsvIYvelVImuVRCXgeLEjmVdQvrbQW/9JGP/viHP2Ivf+25 - 82ne758Xdxs6AAAgAElEQVQ7G8dxSCkzW/B++1lkqed8/eIuP/q1iy999BPa3FyVnaxeaGnKfiGG - xbReLYr0Ig0mt/6hz1zucq/yJlff3ntYhiw1SQMFZAAAAAAAAAAAAAAAAADwqNwTaH2pEjGqlNKy - vO/6c+fjapC2+3l5DAN8Ez4UKbXf1xYuH8wkTefjqV7yUJCWh3XSu/H2HQpupeO1VCSFlIdfvviz - P/NK262vD6uVas11WT3mAb/Gq6++qjKottUwuLTU1tRatsc9LgAAAAAAAAAAAAAAAAAAngbr9bq1 - lpmttXmeex52T+Pe7XbTNGVmRGTmarUahmFZltMWSRHRk7PfbbXWcRz7CK9fvy4pM08bJfWs8f1+ - f3Z29gjGAzyb6LwKAAAAAAAAAMBT6OzsfFnqUKZM+yf/5J9+/vOfv337wu2hzQucJhTNLDP3+72O - 03sAAAAAXk9KVdFUsyd1Z1PmFJqW1O3Nv/fSJ7/51qsf2O/et17d2sxLVda22ddp8KkMBHc/m1za - z5vnrLw/8yNt+f6Pf0wXt7TfXFMrlmoto67ca9ubfFOj2eFeSklKU5VC/YKTjtuVh/TuQmtUAAAA - AAAAAAAAAAAAAHhU7oZbn8KuJUlepP1e5svtO3W/W43WpLOhPLaBPkiampnMtFqXUqQYXG0Xl/c5 - nFAe0ruBt8+kojSPY4S36VADuY+qIo3aFLvY10wV6U7bP+YBv8YL731e+1kyl2rVaiiDymhF1AQD - AAAAAAAAAAAAAAAAAPCOtdZ6V3x3n6aptdbXI2K9XmemmY3jeOPGjXmeSymSTrutVqtTcvYjYGaS - Sil37twxs7OzMzPrueM9erwPqZ/UoxkS8KwhQgMAAAAAAAAAgCeMp/z+Dh0hRR462yilzcXu/Oz6 - ZrO5devW7/8Df+BrN2+bKTLuO5Lkhzu8RX1CcZqmzIyI1Wq1LEtEvOkdAQAAgKdYvmY5bnWluyJU - q1pVmqS0LIMytNnq5Zt/4iMvfeZi/0Ldjllb3V8f7PnnzhW5Mlnkdl6Gx3pqeIzGMsxtE7n1ze0P - vXLrRz78cb16W3c2qtuYNyapxdqnIh9WQ5Vcsksf9OJ4NfaVu81Q6fwIAAAAAAAAAAAAAAAAAI+Q - Sa4wHb59k1I7/Btq0lDUIi8252VU5Oi6qFer9V5Iw7UztaZoJutfIyqrQxMzP7QzMyVtzfAQpFTl - yzG32+W9HFfy4pMyNfl2shi0nVV8vDGdP+4h32/e7TSOcleqmCTtl3328k1qOAEAAAAAAAAAAAAA - AAAAeGd6GreZ1VpPG93d3Vtrq9XKzCJiu91KykxJ8zxP0yRpv98vy3I6yLvqlBHexzkMw3a77b39 - +/h7AHkP7e4h3wAeOmrcAQAAAAAAAAB4Ck3T1FpuNrvf9/t+/9e+djNTSiv+0KYAz88P3Uz6VF9m - Zmaf3gMAAABwv5BSpnA1ndputrQ5dPPO51/65I99x2e/admdb26WlEmqajVfub1ZWp5N0xy5ch8G - wrufTZFaSsm56dqoD47DN8/Lv/9NH/6pf/Vf03bnboqmFlKpNSKlS9ncXf+c1jeGDs1fDz8BAAAA - AAAAAAAAAAAAAI/Qob4rpfTo/55umJcvfPb3fMO167HMc9M0TasrVuWVpt++dVPrtdIkG3xYaioO - CeR3w7sPexNOjHckpKpD0L3fWxsZkqaionl0K8P1lUl6ed5cqcpIT1l/FWx3rYW7ouVqXNn9ZZ4A - AAAAAAAAAAAAAAAAAODtyMye292zsU8B2GY2DMOyLO5eSlmv15Iioqdiz/NcSunr6/W6B2a/q5Zl - MbOeEV5KWZZlmqZhGHqe9zzPfTB9JPT5B94lvLQAAAAAAAAAAHgCeLqne8pPbWssXneRhtX6a6/e - +q5/67t/7dd/M0KlWCpb5IP63vjbmC/YbDallFdffbWU0mccp2nKpKcOAAAAng2veWP9eh0m856b - 06SVNPUtS+jm/isvffbTF7sXbr/c9ncWzzJpkSSdr6cb6+n6NO73s0vnq/VFre/mKeHqypZTyUGa - 97qYq9f66Wltv/TLX/rQt2hzodhrKjJl05m0ikNUvCSlLDVIg1Qk71eiKf3we1rkoa0lAAAAAAAA - AAAAAAAAAOBd1osJMyTJlFLoUglXxLksLza+6GzQ7d28XLHyrmZ+/sILytA4bfc7SeNgKtJweS+X - RDYxHqIi2aEe99I34PZVxbdRJS373Ee7MZw9luG9kRaSabUeiqspW7i02W4e97AAAAAAAAAAAAAA - AAAAAHh6ZGZmTtO02+3Oz8/HcZTUo7IjorW22+0kDcOQme7u7q21zBzHcbfbDcPwZo/wcAYZEf2h - Jc3z3BPHa63TNPUM72maaq0RV6yAGHhaEN0NAAAAAAAAAMBT6NVXbv2RP/KDv/zLvyrJXa2l5EN5 - aFOAwzDUWs/OzvpsXw/tNqOzDgAAAPAaJnlvQ2lFbiEtoe2szfYrn/jE+7eb8zuvXmv1fJJMF7O8 - qLjm3bzbzbXWfu/NdnNOJ8tnkklrV501Fg2u4lZCbbd9odaPZHzppY9ru9OyV9Zp5ctcD/c5XSwp - hUpqOG5bpEWqUhOp3QAAAAAAAAAAAAAAAADwCNkDi7ZMSmVq8FXLVdhUZKFBKkV5lSoH0/Tn//e/ - q9VKWXtbQ0kRd2PID0ySU/OIh8J6bnfev1HTJKkMk6WPrlDUiCv1epE0TZMitSxKyTWMRdL52fl9 - pwMAAAAAAAAAAAAAAAAAAN6G3hh/HEczM7MbN25st1sdW+W31nost7uXUmqtPczb3fvGWquk/vNd - dWrd3x+9j6oPvpQiaZqmiMjMYRj68AA8dLy0AAC4Wvq75EyZycz6+2MAAAAAAIDX01ozs1LKPM/j - sFrmNpSp1vihH/rKz/3cz2dqHEuETJbS0t7OFKC7n6YSTz+XZTndKkK7AQAA8Ay73A8wL/fSy+wB - yqFsHuFN1bUU7aSLqlc2f/JjL33DfHuKO8XV0qJnLg+qUqaKNEqK7NHdITUa9T2rMjRK3lRiKG0o - Gnqj1uf28wcutl/88Ed1e6u6n+vWV15ds6mawvPQ+TSkkKpKP9rhcup9IGmOCgAAAAAAAAAAAAAA - AACPxz053hZS2LKs0ywVoZCiPa6hPVgz1zSquMbJvJekyQfJFIfiNE/53dxuCtTwDrg0SEWHOt2U - DjW10qBDHWRJecsIDTbNGW90uMchapOZes79m1YBUyYMAAAAAAAAAAAAAAAAAHjmtdYyMyIk9Z+t - tb7S9aTteZ4lufuyLL1Fv45Z3Xl02jkiWmt9h8sbH3o4YE8QlzSOY2/dP02TpMx099PPWuupw/+p - t7+70+cfeFcR3Q0AAAAAAAAAwBOslOLutdb16ry1dn5+fbvd/8RP/pmf+Zm/XWuYHeYCuz5X95aM - 4xgREeHuETEMQynloU8oAgAAAE8Hk222G0km1VqX/bzsZ5MtipqhlObQrn7/t33Hf/jxj733zs2z - th1ykYUkTy8h47027pVSNVWTy4usyCVr5impxgfH6UPz8sOf/ow2mymbxzJraWpNEcol6rzbyqQl - +rFOxZi0RQUAAAAAAAAAAAAAAACAq+DyV31KhmdYKq5kdVea5IO8SCZ5H2NeShzOnkduxwV4B0wq - PaX76NR30/qllrKe3i2FHS+/K+i1tcGvfYFQPwwAAAAAAAAAAAAAAAAAeObtdrtSipn1XvpmVmvt - Tfh1jOsehqHWOk1Ta22aptVqNY7jqWd+j+V+XE7Z27XW3tV/nudhGPpN0zRFhJm11t5GWACAd4hX - HQAAAAAAAAAATxC/7//23X273SrdzLbzsl/aX/xP/7O//Jd/erebZTat1i0kszIOUkRUKV5nebBl - Wdx9GIaIKKXUWrfbbcQV7WQCAAAAPF611fV6bdI8z+MwjtM0TpNJrmGyonmvzZ0/+tGPfuPvfPUD - Z+5ePcPTLV1yS7f0IVTyTfpVpimPe3jK6db3VGumzaBd8ZD81FgyS7MhXdvN7kbU57768g9/5BO6 - ubVaV1JoSUVVaxbT+ZkUcpNJqSIVyU/tLOPQvBIAAAAAAAAAAAAAAAAA8ChcKhA8fkfIj9uzZJRL - BV1pV6s/WMhVXO55HPsDx0dJGh4WUxyuJzt++a1fcyllKlVC9mZlt1eFXTqLI76hBwAAAAAAAAAA - AAAAAADAyXq9bq1lZmttnmcz60Hdkna73TRNmRkRmblarYZhWJbltEVSREzT9BjHHxHjOA7DkJnD - MPTQ7lrrMAz9jGqttdZTNjmAR4lXHQAAAAAAAAAAT7bVdCZpWdq18+f+6l/96b/wF/6SJHPPzN1u - 1/fpE4ellLf3EH1u0swys5TCrB4AAADwQKWUYp6Z0zgpc77YKFItpybtqpb9F7/9ky/u73yjtXrz - 1iSVlKUsdWpj6alClDLu4amhmTdTKFLZpGZK2S60Xg++5IfPx/dtNl/5+Cd1Z19u3bmW5lmLcnDf - x6ziNeZDZ8pUkcZeNJbHBQAAAAAAAAAAAAAAAADwyJhLruxJvqeNh19cISntKtZ2pUlmaS65S0X3 - nMLl9Ss4eDyR7r+SQtKpHvKJuc7ueakrdPg17e7tAAAAAAAAAAAAAAAAAACgtda737v7NE2ttb4e - Eev1OjPNbBzHGzduzPPc++2fdlutVuM4zvP8eE+h53ObWa21D3Icx1rrfr/v7f37WejY9h/AI0O0 - BgAAAAAAAAAAT4Ce52cK601GjtyGWqvKUMbVX//rf+PHfuzHI+TmEYfdet72cRIuXnPgNzGO4ynw - e1mWvnI6OAAAAIDLXLYsS7aQpMjp7Fwybfe62Ohi830f/vD7trfPyz61t9RZuMdg6SWjqErVFDpE - eCvtdZfL+icFPMUstWqamsJj9li8Nm/NtXhOq3G71JSi1utF12/d+omXPqvboTvLdLEfM13hblVR - 1qtTq0rLS5eN0fERAAAAAAAAAAAAAAAAAB6RvDdu2FN+iiE+VHOl7gb6mtKvXIswU/TSs8PJRM8g - L8fyx3uGS30jHgqT7HB1pR5Q95iSp+zKvVruL/p9gtLGAQAAAAAAAAAAAAAAAAB49Hoz/J57fdro - 7u7eWlutVmYWEdvtVlJmSprneZomSfv9vrfQP3XUf/RKKWa2Wq362NbrdWttt9tl5jRN+/1ex8iA - iHiM4wSeTVet0hgAAAAAAAAAALwFy7KsVmet5s///C986Utfbi2Ll8jos4nTNA3DIMnMhsFbe8v9 - PWqty7KcnZ31qb7MzEx35hcAAACABxvL4KVoqapNKe1nedF284OffOnDme+XxyaG0HOuwbxkuMKP - AcopNXmTp/GWGweuGCKGDM9Tu8mQmitaXTw0uuY522754Hr9/MWdP/4tH9GmKifNzebZpF3u51zi - lPF++lxoxz6ppHcDAAAAAAAAAAAAAAAAwCPRpDiuHwKwJcmO0d0Hcf/9roSQZH73u0mnIOJUD/A+ - ncExhRx4Z0yy6BeWKeye7ZIp7W48tl/NS+74wjiN7o2GeTVPAQAAAAAAAAAAAAAAAACARyIze273 - OI7zPPdO+K01MxuGYVkWdy+lrNdrSRFhZpLmee6Z2TqmZT+u8feH3u/3feTb7bb382+tRcRqtaq1 - mllrzd37gAE8MvT5BQAAAAAAAADgCWZmFxcXv/Irv/K93/u9w1DcvEWaSkRExrzMS10kve2s7cy8 - du3azZs3+5yfmZlZj/EGAAAAcJ/MPLxbHgaNo/Z7TZM2t//47/n0B25/7cZ+3+5sXrRhah6hi1Zl - 0dO7TZK8mc8l5hLNIkyvt+CZYilXDBmr0LppCg2pKWPMODN5aMrVWtP1sl52W8/N+54rX3jp09qG - ZjMfTWoZshKyy31d09SkKlU6PQIAAAAAAAAAAAAAAADAI5SS7Jh7nVLe/52fOKQR+z1VX1dKH3n0 - lbi7JWV5bwQ5BWp4x1p/1Ryj4g+vIDukeYcpJMu8guWQcU+aPQAAAAAAAAAAAAAAAAAAeHO9q+c0 - Tbvd7vz8fBxHSWZWSomI1tput5M0DEMPxu7Z2Jk5juNutxuG4XGN/JTG3ccTERGRmaUUd9/v98Mw - tNaGYai1zvP8uMYJPJuI7gYA4HGJh7obAAAAAAB40lgclre0/2XpSpf8N3/rX3zP93z+9u3tsjR3 - l3qDHnkpksx9HEtrWWvYW2/zMQzDxcXFOI6llGVZJEWEvY0DAQAAAE+jlKSQqh0WM3dJak1ZVaSb - r37fd/7ua6987UXLsdbnx3OlIlPS2Wing1zuF5hX8e22HxdJbx4fbnnYP167WD+aLO9Z+pa3Op7T - MV8bbd67f14+/r0j7ItbqqT39eORryK79AfwlKVKyKoGqWZN2dLma6uzXJpt7nxoiB/41Ce03WtX - S+bKz5ZspmOnVEmmkJrUn8DHeF4AAAAAAAAAAAAAAAAA8Cxx9Qzf+6vaXDrmEN+9Na5gFLEuZxDb - A7dKupoDxxPpvkvpnssv73kxXcHyW++DO5W2HpfXiLt7AAAAAAAAAAAAAAAAAADwrMpMSeM4mpmZ - 3bhxY7vd6hiJ3UOvJbl7KaXW2sO8e1t+d6+1Suo/H9f4e1b3siynWPE++P1+v1qtTrsNwzBN0+Ma - J/BsGh73AAAAeDa9bihXZpqVzCZpGIbMtLfSoh4AAAAAADwZ7FL3nFMg9z1BcXHvznLzzFxarUus - Viv3oYbM7Ld+6//7Q3/oc1/96iv9cEur7p4ZkqI2SdliacdHeMP/ZnD3zMzMPpOXmX32MTNrre4+ - jmOf7TvtAwAAADyjQvKegxypWnoIcjOlyUoW2VB051XN85/8zGc/9erNdVhkDqbdspPUPw60muox - 2HebbGpIZcpO3frezCPJ+fb+aeWQim2R96Z3+z3B2y7JVSI9FWnKQ5x29j1NCnnJwycfk6TIwzOq - uPes+9m9NincwyULyzRdHowrLBUmT/kxvVtSSJF+eq6s3yrZYbQeUspbKuVh8tefz3300hR5eH7U - rxI7XDL94kmltLipzrvRVSJWu5cz9ZVv/daf+Ce/rtVUS659LCFVqYVKaiqHj5DLsh7Hx3VqAAAA - AAAAAAAAAAAAAPCsKYdaMEm6vCpJqV50p3RLmYVZfeOvAj1iLiniGD/ef146h/uSvFOSE0WMdyT9 - wd9gSylN6Z7ef5OFMt+gn89jYZ7K1stjey1tOZSAHl4aLlkfsylJ7gYAAAAAAAAAAAAAAAAAPHVa - a72nvbv3mO3Wmpn1vG1JtdZhGOZ5nqbJ3Zdl6Ss6ZnVfjuLu6xFxOvhrNz5Ep1794zi21iJimqZ5 - ni/vMAzDsiw65o5342t6PJ5yu0spD32cAL4e/ua7AAAAAAAAAACAd0Wfyfu6mugsy7ws89nZ2fn5 - +TiuttvtOE6//dtf/dznPv/P/tlv9kOM4+jukZFf3zEv69N1ZmZmPcB7tVq11vps3zAMfV6z70xu - NwAAAJ51rovtElJKNealztpXWZGZTFab6qLQF7/tMy+8+srzy7xq0fOt0yLtEGjdHZoHHrd4quTX - m9v9uJw+D3jen9vtksvVY82VsuynKEkKU1iegrHjkKkthSl1iD4/PhW9qMkl9b6K9w4gTK1klAxX - LRmu6Lndp7H1Y/ZxNFPzCCksoodhH4YVKTVFX5ckiytYTdWfk2bHp+i0/XBTpB2eTE8NoWEfHxyH - b2zLH//2b9d2f73F0OJwzsU1lird3Fy4dG0cS9DqEQAAAAAAAAAAAAAAAAAeBbv0s/9zrAfrlWtx - XL+bjn315N3x37fc54EbgbflAd0003W3fvXBuzx29qB64P6yuPeWuHKp4wAAAAAAAAAAAAAAAAAA - vGO73a6UcgrqNrNaayml/9ozsHs49zRNrbVpmlar1TiOpxjsy7ndj96pFX+tteeOz/M8DEM/ox5J - vixLZr4bweEAHq7hcQ8AAAAAAAAAAIBnlh8b7bxONpzdnWwbV6t5njcXu2EYMm0cx1u3bn3xi1/8 - B//gH45jmZcmKSIiQqYyDG15axOKrTVJpynMYRj2+31m9hnBPm1ZSmmtuTvR3QAAAHjGLU3T2ZiK - pe7OhpVc8iJzmZShttPFxR/7tu/80J1qqToMGfWKp3G/oYgeKy5JccjjPjYKPexxTNqO/lv/LGOH - xpueh5vLYbPUQ7XtkKIdh08YbscgcJfLZOlpUrr3joQWKZVUURQdjhLHJzZPh5WnqZrLTo9SZbIM - T5miSWaquqcrqKX81CQx72arP4lKan9nfk/R9uWv/sC3fut/8o//oc7XGteKlJed1SpdPz8z9WBz - GqQCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAICHZr1e9572EdGTuXtQ9zAMu91uvV7n0Wq1 - 6knekiKiR3f33vin7Y9eH0BE9DH36O6eJp6ZZkZoN/AEeZ0sEAAAAAAAAAAA8O5Jf6vBaJk5juP5 - +fk8z5kp+Re+8IW/9/d+cRi8TyIOw9Djt2XW6lvL7ZZUSlmtVpnZWjOzntvdDztN07IspZS+m5kt - y/JWjw8AAAA8TcqQbkq1aZiU2l8sSldIEaqL9rvPfezj37jdnG9uWW2hVD7ZJTqu6KenY8T1pfPx - HpXdzJupupqHdIjBLqclVELWtx/v2XO7syd8pyzj7nZTyJvp8NSlu+TpJd2klJrUpNBhMC4Vqejw - EP1ezdTMegi3pTwPEdUmpSlNfcCHpaiZmh9uepJ5k66P19Tmb7o2vX9750sf+5i2W13cVLEcFMpB - qrl3hWpQPgYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB6intstyd2naeot9HuSd8/tNrNx - HG/cuDHPc++Bf9pttVqN4/gYc7u7ntVtZrXWPshxHEspmbnZbPrp7Pd7SYeAAABXFb1XAQAAAAAA - AAB4XEzpr8nwO+Th3WeZW4ZtNrvz8+tLy+//0pd+9mf/zjharVHr3f3HcXSZ8i0PpbXWp/euX79e - a83MHt2dmdvtdhgGSbVWSfM8j+P4lh8AAAAAeHqEqS1tGwrJW46r83NJ2oda6GLzpY9+6tMtdevV - F87W7/FpbE9Dgc4p9Pp4Lh7yZr6YV/dqvhTti/ZDVJckT5WUH5eT1KXPKylJJbRuOg+tQlNEyZAi - PGpfSoSFLEpqSI0Znppdm+K74jv3vfksr/Imb/I8BIxXqbqq2VKyrqvOqtZNU1MJecpSpsNK10xz - 0eKaXfEkR3db6prO61JDyti+qPnFV2/+6Ke+TbuqzW1THVuu5Nds1WrV5DWrnuTzBQAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAV0pP4+6516eN7u7urbXVamVmEbHdbiX1lvjzPE/TJGm/3y/L - cjrIY1FKMbPVatXHtl6vW2u73W673bbW1ut1H3Y/kcc4TgBfj6egMzAAAAAAAAAAAE+/aZp2u12f - mfuRH/mRv/W3/mdJtaakcSzu3qceMzMietL2WzIMQw/kvnPnTp8FlBQRZnZ2dmZmd+7cOU1zPsTz - AgAAAJ5EppxKGTQuoaVJkvYhlzbbf/czn33/Zv/8bvnA+dnL212LvbJZxmMe8Tvz2s8Ah/NJl2Qp - WViGK05neorojktLSj3Lu8mbechT3nOy7f5HCdnrPWme5nm8ezNv1nO71aSQp8XpgD2ou0jDpehx - 62ni2Y8lS1mqhEocb3rCLaqSprEs26i7/MC5v3j75g9+y7eoVm33o7mlK30oU6iVgfoxAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAAADw0GRmz+0ex3GeZ3eX1Fozs2EYlmVx91JKz8Du/fAlzfPc - M7N1TMt+XOPvD73f7/vIt9ttZrr7OI6llHmea61m1lrrKeMArrK3HN0BAAAAAAAAAAAegnxr6Wj7 - uqyvXZ9r/Pk//xf+2l/7byI0jmVZWkrzISpQpZSIkHTK3v769eTvft/WWmttmqY+qdknKa9fvy5p - v9+vVqta69tIBwcAAACeGqmmNJkPLrnmXZuG1Cuv/OCnvv3bzNtuMytK1TeMg6Vaa/u3/hb9SklJ - JkvpGNp9/DwTpZ9ZuydmO6QmpfV1P+0fKclTClOa+opLi0dNqd8lZfela/dH6Anf6VIMoUH3Bnub - 8jSo/ogpZfT1lOqlsaXdc+ee2F1SRSpSSFWRr40rf0I0kxW1ulwLN9durTv7uOb7T66ufeWDH/mJ - X/s1vW9qg9rcprF4eWLPEwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXGGZmZnTNG232xdf - fHG320kyM3fv2dj95zAMtdZTvLekcRx3u13f/lhG3uPDT+Pp/f97VvcwDMMwuHtmllJ6OkAfPICr - iVwNAAAAAAAAAACuvPRxHLfb7X/7N/67P/fn/pIks8Msnbv3lVLK5fnFt/oI/Tg98zsipmnq83w9 - ontZlnEc++xmZpLbDQAAgGecqfQqulZViuSp2zd/4Dt/14cuNmd17+p51nGx1JW0SHry85HtGD7e - M63bcaMrJJlkp3zuHt1tw2nnvr9luEl3U7PjcLdUT9gOU8rT1cxDCrc4PKJLEXJZePoQbd1qOYWh - 3zuwuwc/jDB0jOvuA7wU2u0eklRSrsNikitc3u6LBn+CWNRYpKwtJe13Oj+X35EvF9/sq//8X/83 - Pve//M3y/AtlKGqSu+yJPVMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHD1ZKaZjeMoqa8s - y2Jmh2aerZ3ius2s1trb7A/D0Nvj9077jyu3W8fQcUnLsvSg7r59GIZ5nnsb/4gYhqGf6eMaJ4Cv - B9EaAAAAAAAAAAA8Fn5p/W5S2jAMm83GzM7Ozvb7vZlN07Tb7TLy7/zcL/zIj/yopFIsM2vLU1y3 - pNPKG88jmlmf6rusHycz+yRlT+l299Ns32lq8x2fNQAAAPAUcB2ipFUU2u203X7ls9/xwVdv3Yjd - qA1hmqUAACAASURBVENW9GKeFktKd9Oln1SHTwKptB6wrbRQz/M2tabra9vtcpKKKVN7aSglM+c2 - Xz+7ttlupqGYZBEtNEpT0a5pMPmgWjVpSg0XOQ/TtTsxz+51VXaKNniYQp4eSpeFhZ/X9r7d7mw5 - fAJyO8SKl1RVXF+t7+x3fbu7WlNI42C7mqWk3JaI2nRtLIPclyhSUx013tay9tWi3EcdzR/8RDwh - zHrcuaXszEI7jZKka57//B/9A2XTslUtWq3VJLnK05AuDwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAA3g2ZGRFm5u7LsvTG9X3LqX19a62U0rf33aZp6qHXp6zu0wH7ekSc7vvajQ9RH2RmjuPY - WouIaZrmeb68wzAMy7L03U7b+5leNk2TJHfvp0b3fuDqI7obAAAAAAAAAIArZJ7n8/PziOgp2tM0 - 3bp16/nnX/xff/Z/+8N/+N+JkJlae/vBf5m5Wq32+32P6x7HcVmWiOizgMMw6DjTKWb7AAAAgNex - 3S5nq5WianuhWr//M595/yu3Xow6Xi7vs0hTNVnKn/Tsbkm6nNutMElqoaFoHHSxy8FUJru5z/cU - PVemi3m/mla16fb24vxsvd3u0qymptW0L2VbZzsfL5Z9Fl89d213q5ZhPZ2/8PLmtq7f+NO/9IvK - 0GqQSyaZyw7R3UrXUn/o0585W05PdbpkqZJxNg6/cfPW89fPztxevbV5z2ClpKp2Nc/Pxovt4iXT - dOM96+3t3SbbeySXUkq168P6q3V3bTWtfKr7+Yn+k9kxidulIVwKSSm5smxvf/mz3/Ef/erf1/pc - sSiKxic7pxwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAALyrzKzHcuuYbN2DuntP+8ystfaU - 6/1+v1qtpmnqW05x3Zdzux+9Psg+jMx093meh2ForfVu/BGxLEtmXs7tBvB0ILobAAAAAAAAAIDH - Ih64tZQyz7P70FqWUmqN69ff8wu/8He/93u/L1LjNMxz7XnbPXtb8jc42gPt93sza61JighJtdZa - a0RM09RnOltr7k50NwAAAPBAZ6uVQlr22u9++GMf/3iNaVmKInTItJbkqTQ1k+SD4omOgn7t2D0V - pmmy1nK7141r0+Zi3sz53rPpYjtPbb4mZd1fMy2u/X5vq9VOQ6zPfyfzptny3vO/+H/9n1qvVEx1 - URlkoX3VepJc+53OzrTMWk0ySS4LqUdQuyL+9G/8hiIOCdUWUihTEZr3n/+Xfu+v/fZXv2k6v3bj - 2rKbr5sVLYNl3VdJMetsXW7d2k3Si+erO5v9NA77pVaFxe6aa97Pi3T2pIdZpzxliiL3VGpoUjMt - dfnAjffUf/HVH/74Sz/+a/9QZdL156tUTHz2A/5/9u42RrL0ug/7/5zn5VZVd8/MkqJIiYpFihS5 - EinSso0Y+ebACfIlQBIY+eAEQcTAiCWRpiJakh2CimUqURSJVszEsiRbSBzkgwEiggNHeUECIZJg - O7ITQBYlLl+WK5rvFJfc3Znuqrovz3NOPjxVt2tmlrszy53p3un/D4vaqtu3bj23qrqnbj3nnj8R - ERERERERERERERERERERERERERERERERERERERERERERERERET2vcRxzzgBaN3sza93sW563iLTc - bgCLxWK+l5m1JOx2r3EcL2LswMGwSykxxhbd3dLE3b0Fe7em/UT06GF0NxERERERERERERHRJVJr - jTECKiIhhO12+OpXv/oXf+iHT0+3DoxjWa0Wm00PQERU9aXN4rl7m9FsE5aqqqoApmlqU5ttpnO+ - SURERERE5xwYHVZw6+aP//Hv+65qebsNQJdCX6oJ1AGBOIKhqrrAX8m53TjII0cLy3YAUAfMYbi+ - Wj233mQJVfW5vubl8ua09YDBYTF4t/r62eZNb/ueH/0H/xAxIybEjKjoMmJEQAXKtMkp2ZEpAgCs - VgCwWDq8RXbfQdNuVAZzAPAAUzcsV7/ysY9jKpgqRsM0/ZV3fn8s47Fa7bfHOctUh2E6Wa7q0N/c - DEc5nY0TWja5YbkQjH59Gbeb8oCf0QeohcS318gAQAFpwecKlFu3vrNLp7X+0B97wy994QvwUjQK - 2vNOREREREREREREREREREREREREREREREREREREREREREREREREREREdKeW211KaR3sse91D8DM - Ws/8tvzk5OT09LTdpWV1d11nZheY2920AYhIS+wOIahqC/Pu+x6Aqg7DkHOeI8mJ6NHA6G4iIiIi - IiIiIiIioosjdyZvq6qIrNeb4+PjcSw3n7v17/35f/+ZZ26JIMZUa91sepGQUpqm6SXk/8UYAZRS - aq1d1w3D4O5tUrPv+8Vi0X4aYxzHsc2DEhERERHRbczgE/rtX3nbOx+7eTOhrhQwbKYKURMApo7g - 8BaY7JAX3eYlZrKL7haHAMGh+z0qwApp2tSMhaalqZ71m23tzl79LU97/e8+9lEEgQI5YhyxWkIV - LpAAc6iiTvAUFCGtRqsuGiQY0I+ly3EyT6rtgXbZ0vsjIJcWSg1BaKnULam6jlNICW6IjjgC/l99 - 4UvwAb5Gnf7C49/32hrzeJq9U/NV0H7cFmC16mqdptG2vWdgsy4qeOWGrYvvws4r4AL4Lrg7OFTT - xiavk96a3rE6ef93f9/PfOYJWR0D+nwJ6URERERERERERERERERERERERERERERERERERERERERE - RERERERERES73vXzZcvqLqWUUq5fv9562rds7JbbDWBeOAxDWxJCqLVeyPhDCGaWc26DWSwWfd+P - 41hrrbW2/vzu3nVdW/lCBklEDwiju4mIiIiIiIiIiIiILhERGcfx6Ohoux026+0P/MAPfOnLXz05 - Obp1uhYzMwshhJDGcVRVv//s7lIKAFU1szm3W1UBLJdLAGdnZ0dHR20kL/fOERERERE9EqxiWP+N - f/1fO7p569vywrfrAegUwVHah2hXcQAmgLo9Sh+sdZ/bLYBDKxyLxXPjVPJiq3paq77qsZ/9/d9H - TlguEYAyYJVdw7REX4cudAIoXGBBFe5wRzFPAdAo0sLOuxyrIaugxXIfxko7DoPQ23LZHxiFRZom - pE5dgMXSgGoeVRUBp7d+9dOfQV+xHt//zj+lVpduq3yc1L+8Pn31ybIO2xyQAam4mELOl4tAHA64 - oIq6iLhmN6BOZscJ2wkRCJuzG1UxTl3aIK4gjO4mIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIi - IiIiIiIiIiIiIiKi5xFjNLMWcR3jLgY3pdTCuVW1XS6Xy/V63Vrou/s4ji0z291bWvZFjb/WKiLD - MLQW/dvtti1vLfrb4GOMtVYzSyld1DiJ6EFgdDcRERERERERERER0UUQe97FLbd7GCYA73rXuz75 - qadSTKen65zzWCYIqtVavc1EppSmabrfRw4hAOi67uzsbM7tLqWEEETk+PgYwDAMXdeVUubpTyIi - IiIi2ikjnnumPPnxx5LWWiuwDHpWLSagmpomDwIA6rBkZgJ/sU1eZurnV4LvgrMLMAX0Oa8Tnk6h - XFv+zd/7PQRFl1CBbglRCJCXsAqH1notLWEOac9NAIAQIACC1Zo1wPz09PTk2rUI1GrhjjBpsV1m - OCCOsB8UsAsSdwGAmOGAw89u3bx27TiowwVTwuq16AdcCziuP/OFT6IWTNNffPzxx0q9EW88c3rr - sZTF7KyW4xhqqXglJ663V0xcgVBVISZWVawYUCHAY6tFP0gax7/6hjf/7FOfxkmHzEM/IiIiIiIi - IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIieh7jOLaW9cMw5Jxbc/vVarXZbOZMbhFZ - r9cAzAz7VOxaK4CUUt/3McZSyoWMX2TXZbKNp41QRGqtMcYYY4sbDyG0rPE2eCJ6NLDpKhERERER - ERERERHRJeC7GbjFottuh1r9h9/zl37/Dz5uwFCmoGEcRwggoqowGccRwEvI7cZ+UnC73bY5zjb/ - 165P05RScvecs7szt5uIiIiIrhqHAWiB0II5A3n/YyuYJvSbD77zHa+bah2rSFimxTD1IaEadBcl - 3Ta1u5s6Ljy92/a74IAcpHG/qHa/YHCBQYvqpBhCGKJuYzzT8KGPfRR5gZwQA3JE0IqA6kHE3UUU - QaXWGFPtp5BSi9+uYwldNKCYB5UQAsqEEE6uHcOBWkMI5znfLbQbAMyhApsPoCB2nt69W8NUoMC1 - a8duVQBIQIgQ+FE3VkjWkEPwghJ/5V88hWHC2fo/+5N/uq7742Id7FbpMyAOAC56/obYP8J9PeEz - 9d02gV3K+APi86Pf9ohmQExQoBrWm34EVpK/o8Qf+87v+tAXPw9RBIEqXCFwwDDnowNABbQ9LWJt - HSIiIiIiIiIiIiIiIiIiIiIiIiKiB+iw/lYAt9sqOg8KMl3gsFY/2apk5R5qZV9CPafJrjD4nmpx - W72rHxZdKmDYV8geLtTdFSIiIiIiIiIiIiIiIiIiIiIiIqJLKucMYBzHo6OjtiSEsNlsALTc7pzz - OI4tALtlYLdU7NYGvyV2X1RuN4A2KgDTNLWg7rY8xjiOY87ZzMwsxujuImy5SPRIYeQGERHR5SK7 - Fvbi7u2jebt+0eMiIiIiIiIiohd1d2uMuxLd5HyJlZpSqoZa63K5HIapuKWUpuoaux/9yz/yW7/9 - j2wXFKjFWmoc4G5W72U0bUoSgIioaq1VVc2sfdXg7maGfW73fK+UUrvL/e06EREREdEr3H42zgy7 - D9yh5RYbUKxqDBG1lDCusTn94OOPPzZMYSoBKlbNahJYhe6a/dnBkcAuc/pBz/btk5oBQFx3ByOy - aynYgsNdYPvo7lwhjnpwEKMOQA0QETPTABEXRzSYI0JHxDHEZ8XPjo5u5vS3/uCj6BaIARohAtGW - yY0W8Bxa9vn+yCIEAGGR5ocLXYRAgTQffYT9TwWIAcBBV8LzgcouqPtg6HLb/8PBj2Q+2AkGQBzd - Lppaq0RP5mlKqw7Hyw8+9Umsy/u+663f0a3S6bOd9MFrhZhLCZpDnsbtQpLBinuXZJhMFCLwiiCo - dx1Cueye8PnpVW/J7upAdXvQ6d0AIKaYomvL8lYHCiogAndEUUWR3l6X0489/viHnngCyw4hICwA - nNUpx6QtBNzVBaW9c9zg7V3N7pBERERERERERERERERERERERERE9AA5zACHCmxXHDuHXotBDDAT - qwLoeW8eA4LvyhwPK2zvZvdT4Ouyq8idL++grjiIDBdXQOEKN7g5tNVk7sboaKWYLmowPag5vY8x - EREREREREREREREREREREREREX0TWqf61sR+mqbWoL51rT/Mrj68LiIt2brdsdZ6mK83jmPbQrvZ - muS3rG6zuzr2f9PaqNw9pVRrNbOWHX64Qoxxmqa22ry87emhlkquqnNo4Ms+WiK6WIzuJiIiIiIi - IiIiIiK6AF3X9X0vGgH0/aiqOS5KKSnlD3zgA//7//F/1uohBDNzCPy+k/7ahCUAd2/Tk21i0t37 - vp+XhxBe5h0jIiIiInoFEj+PnS4AYLuWfVNBzCGgDiVER63vf8tbX3O6WQLBgfM2eS36esfl5S8K - fGHquw6AttuLXVpza/+3u+G7K+pqYnr7mHfbUd3Ucm15tN2uHVhFDI4c8WzBJqVNl86OVz/30Y/C - HdeuQ4CUXM6bDAIHLREPfeOyQ7mHdQ4HuLu8j5UBmO/aJLYwbYUAigId0W0wLlPIJpD0C5/9Q5yN - //2/++c+94nfXXrJ0FAgVodxyNDqxWFRMU627KKrbLfTUmECt/bEnu/FrpnjwThNIH5vA385WfA7 - 342ONjBTIJutCo5v3kI/IAhWx6huIl1MLea73UFwsC9+jy8WEREREREREREREREREREREREREdFL - J1AFrFXG3lUPeUcit5/fC76717xcbbfgm7x8YW2FeX24zMsN0Ntrbg1+W0EsazOJiIiIiIiIiIiI - iIiIiIiIiIjoIRORuUd9S7a+o2v9MAw55xbdPadZtyjuFu+N2yOxH7I5NbyU4u6qOo5jjHHuz29m - 0zS5+wUOkoguCUZ3ExERERERERERERFdgHGqy9XxMAyqmvPi1q1bRyedmX3oQx/6yEc+UutukhIA - BBqC1fsL/0spTdO0XC63221bcnR0tF6vzaxNGR5Of7aJz5dr14iIiIiIXnlalz5XUW2xy7sOfSGj - VIQQErBd/7lv//Z/ZXGU60b0XhrwPSQCCBAcReBAVSigpgoLLXTZ53aECmgFqqKKRUc4/xFMzKol - YNv3i+4IY/FiXQhfLcNzr7r2lRR+5YmPQRydoltYmTSv2n3rPvVcALjBALnHdO2HwaE2Dw8KBxzB - ERRJ4Mhj6XOXEQ0JOArv+r9+DVFR7S9891te20+v75FRQtZpsqQoFSoqkr6y3b5GtbgVQwRCC3vf - P5vSosJ97roIAC4osDsWXrjguB7S+976Pb/w1KeQRyTREDOQEHCQ0y2ti6QArgex6ERERERERERE - RERERERERERERERED4ADgDiCtixuBYB2vd10FVdAxav4edHmrnBUUPdbMqgL9tWPu0txaAvPvsdL - QN1MoI52+fyjllZgbC5msi/zFVSBAOGwgvR8CyptmEREREREREREREREREREREREREQP0TiOOWcA - ZpZzNrMQQkvvbl3ru65z9xBCC/kWkRaPPa9mZheYij0Pu5QSY2xja8nibfzubsbyPCICGN1NRERE - RERERERERHQhSimLxUJV3X273d64cePrz9789V//9b/9t3/FHV2XhmFSVQAhpmkc73f70zQB6Pte - Vdv04Xq9dvc2i9nmEdvU5jRNKaWXfQeJiIiIiF4x/LbrIurnze8cGlALxg36/i3LIzy3WYQsVi5g - nN9YSx43aZnccIcIxFQAge1XUIHuQqXdbNedcL+rAgAxIJho7r4+rFM8Oq215uX22qt/+mO/i6zI - ATEihIqIkCZHPGggaECAAYbz5oaXhxoQsH+msNtzrVbEl2nhsNGmlFUFtlhW04Twq5/5LNanP/U9 - b7++NRumaxnTgIViMBuG4bUxV7fQpWE7ROjtO2ztPRQOUrpNYAKXXXD45REMeZhetVr+yJ/6lz/8 - //4/eNWN7enZ8uiGGHyuLPO5WaReuteWiIiIiIiIiIiIiIiIiIiIiIiIiB5JfnApugvtPiheVUdw - KKAu4m6tXPb2Kk3bx2nPodoAxM0F1vLA2/J7uJR9CehhIagfpnEDdlA46thHd9+xKwCg847o+f9Z - o0lEREREREREREREREREREREREQPT8vtLqWEENqSFtQNQFX7vl8ul/PyWmu7nlJqDfDbkvnmhRjH - EYCItMTuEELryV9K6fsegKoOw5Bzbg35L2qcRHThGN1NRERERERERERERPSys+df7OftM5bLxbPP - 3rx27do4jgZdb4d/+k//2Qc+8NfcAWAYJgAtaVvtG2ztBbXYbzNz98Vi0fd9m8UUEQAxxmEYuq4z - s5SSmamytQcRERERXWFmEIWgyq7v3a4bXhCME3zE2c0PfO87Xj+aGiYrWV54cxfAARdUgQvMFYC2 - sOrWcxBwqO8XRkd1TIIhKLyt5dHNq3Xw9bBZ5OWXjruvLBa/8vGPQwU5QoGgEB3MzZECRGAHj9LG - 0J64S/X0CKC7xou7G3NPRhFNABzbfsjLRQEMJtpFVRuqRsf16z/1h0/8h295y7+UdVllNfZdjGdj - SWIjyrZaHWoIIZrDcWfDRDeFwg3YPVFVd0+RAXpp0rvVrat2vJ36uIYopn55tIIb9KB5peyew/km - EREREREREREREREREREREREREdEDJIDAgQpIq1bdL9lFX7flJhEIBgfcccdpfSZQAA4TyN2lm/KN - TgJ8HupQ6FxBOW/MfJfebbcvd6jJ+ZjbCu3uut+/c76v02SJJhERERERERERERERERERERERET0s - pZQY43wpIqrakrxVNcbzoNuUUsvGbu3uAbS75JxbePaFCCGYWc55GIZ5bOM41lprrYvFAoC7d13X - Vr6ocRLRZcDobiIiIiIiIiIiIiKiCzBN08nJScvMXixWv/Ebv/Ge97zHDCFIrQ5AFWYOoJSyWCz6 - fnNf2zezEEKbOOz73sxaaHcL8661tslCIiIiIiKa+b6d33lnPQGC4Wzz43/iT7721q00WafLkFa1 - 3N/n8wfNAdv39YOrAsFEdj9RA6pYawgYHAG2i/RuDQf3u1oQINqnuFZ9drX84Cc+hpxwtEQIVqtq - AlBrzSEYMBVPURQQx+5ZEwMwAYCmS9Y5UBwquwjztsuh9XBsT1nxZbcEUGEG3TVpDAExAAU5/A8f - /zhM3ved3/WaFI5reWzZWZ02o6mgSwu4wSbfP8O+7+2o0H2etylQL2TP74ECwSyP/fHGf/ztb//5 - J59AmACBJKhAzl9cvSObnIiIiIiIiIiIiIiIiIiIiIiIiIjowWg1n76PxNaDeGwViBoOcrJlt9h8 - f99zc9D38z7G/TDYvCU9CAkXh8vuB+bnC7UV2d71KLua3v0e6TyYS1V9S0RERERERERERERERERE - RERERI+6GKOZtYjrOag7pdSumM2FcnB3dwew3W4BHB8fr9frtvwhj/lQrVVEhmFQVTNrYwOgqgDG - cWwB5LVWM5v3i4iuJkZ3ExERERERERERERG9LOzFVzkgMUzV3V1E//E//ifvfvd73F0EpboIVLRl - by8Wi/V6PQzb+x1NzrmUYmYxxs1mIyItsbtNf4YQSikxRlVdr9dHR0f3u30iIiIiokeK7rreyXxp - CjeEguHsh9/45jcO/Y1qjy26r/XbhS0uVWu8XVs/gQnQwrlNwn5fKjCJlWBVoA5zFIe4QkwdEWZi - AOBxCOmZFNaP3fjZf/7/oUvoOqhi6NEtVRIMMAQJmBAUcFcXORyBAoLWZtBaMPYl4YBDAEjbiXkZ - gpuI7hZNnkVzBATVXIM4YBbPTrfXb7wOZfiFP/oyxu1PPP49083+RtEMW8RuO2wXCJNYVThsH6Cu - wdGKSMNBB0bx9hRdLuKIwKu7hQ/9uvb/8Vsf/ztPfgqLhCCogAMRdd/rUvfB5ERERERERERERERE - RERERERERERED5rtk68NLatb25KoBrWqVtQroLcHZBvgLRj7oOJX7yqAvK9yYBdMilZ2qw7BrqJy - d32//bDfcvIa3OYqVrnr4fzOG88fL05ERERERERERERERERERERERET0gIzj2FrWD8OQc26J16vV - arvduvsc3d113TAMbc1aq7ufnZ0ByDm3LZRSLmT8su+IWWvFPmtcRFor/taB391DCCEEM1NlqR7R - 1cXobiIiIiIiIiIiIiKih0+tAoC7f+5zn3vve9+7Xg8ARBCCmHmbw6u1rtdrEWnX7+sBpmlqM4Kl - lJSSu4vIPLUZQnD3NgDmdhMRERHRVSfAvv+dtlvthgN9j8leO403+vEoYOyHDgKxCxvqN2ACay3/ - XNV3TQAVboDDTM+DpUNt60eBmpR23ylglHAzdz/1iU+gyzhZIQV3EatYKNxh+6emAhF1KiHvi47a - c7XvJijAeRD6peII+z6MvmuAuH8d23BFoEAFBEEFgmn0lOTa9RMHDBIECPJzTz717te/8fUR10uQ - ao/pYrA+OMzhu+0AbiYIrsDumWsP0p7Iy8cEcjacXotJc/axYL2FdugSXKE4GLVZS+++yNESERER - ERERERERERERERERERER0aNP9hWYc4kv0E7IU9uvYYKqqAACxCC7Uk647DK2/SBUW+6K7r7P7G6Y - 7hPB2zZlt00XiMPk7nRwhQOmMA04z+Y22LwXDtg+8JuIiIiIiIiIiIiIiIiIiIiIiIjoYco5AxjH - cW5TH0LYbDYt8brdrLUOw9B63bd1Wla3iEzTJCIXldsNwN3bOKdpakHd8wjHccw5m5mZxRhbi/6L - GicRXQaXsVMuERERtQ/0qioi7ToRERERERERvUKZmYiklNbrdc5ZVUspLUJbRJ9++mvvetd/9PTT - z6A12nDUuvsywGzXRcTdXzi3O6XUrnRdNy+Zv1I43E67EkKY78XJQiIiIiIiBwZDBSafBAVjRYth - rhNu9T/91nfcmMZWYVMAwKuPFzre5+GAOsQRHNGQHAI3QMQKbKzIOfiE7OgUarvOgMVQHB67Lw/4 - yquv/9RnPoFrRzg6hncoUTwgRCgQgAgIakTpUAKwiFBADDCIIRjUXBTQDO3us5Phw+OIjgzrYBkW - YNLCtYPv9nG+FMCQosD3TRVDqPkIi2s4uvGLX/3S+7/4mc+vljdVBzEBokAMGQgOnxCDJJXJDLB9 - eLcCKq7qOreAvDwqagIiatj2x6fb//QdfwJTQZ0gBoV5AVwBgTswFlyy4RMRERERERERERERERER - ERERERHRI2eujAUiTNwEHmABpnC4AVasaggVmMwRWz1ocARDgEdHbKWbipAlTcAydA5EpKxLg/r9 - /GdQrZIsxKpaJWnwikXKAARiKqYoYfffGFGCmgEuqBUOFIgD1faR5Hbbzsqlrb4lIiIiIroq3H1u - zfHC/T3okTcHPOCgTwtdQe3Vn/8ygO+HK2/+47Ddbi96LHQpzB8YDj9F0NU0DEO7Mv+h4FviKhjH - EUCLJWuXfN2JiIiIiIjokmjd7NuB6jRNbWG7efhF9+F1ETk6Omp3FJF2effc2eEkWjsc9r2Xcfwi - 0nrmp5RaDncLFz9cYW7If/joKaU7mu23O6rqPg5A2I2f6IqLFz0AIiIiIiIiIiIiIqJHWUppmiZ3 - f93rXvf000+fnJzEGDebTV4cfe1rX3vXu971+c9/WQRtzk5Var2/ica2/RhjKWUYhsVi0fd9mxM9 - Pj5+9tlnzczdY4y11hbaTUREREREdxDFYHWh6PuzRT6Gm/QFk/3k2/74ta/90WML7SeMBghSSF0T - zQAAIABJREFUiACmWi56yLdp8dKhhUPvI5YBMzUzLDPGde0EWiCOBLhga4bF0XNuZzH95198ClmR - M5YdJB00A9SKAEABE1TsMpsFpq1DoACA7+OpBftGgpeqgeBtIzG43XZT0fbPoe0Hu1ByYE6oFoEB - DhQNUYMmkTr97FOf+m//zJ/57JOfPgFOgGQoBSroAmz0wXGSwzTd2aVFHAq9s9/iRQuiMerNqQTB - ty1Xw7O3fvDxt//yE3+AlQEaopZaJCQgmCOw3IyIiIiIiIiIiIiIiIiIiIiIiIiIHoL5NDsB3AET - 0QDbtc5zyRK2Z6evvb66dXMzTlNGbCt5q6zdlWtadbjXx/JqHMeI6MAt23ZIcDcx3dfBvvAlgIQ4 - 1ZokABinqQuxH0YHAsQdLgKYC0wAoEIMAgnQ1PaghZHDbS5tnUtwIQc7S0RERERED9E0Ta2zf+vU - 33p3MJ33ympdWWqtqtqSG/q+Xy6XfEtcTSLi7qra3hjtz4W7M9Xjampvg9bEablctoVm1mJj6KoZ - x7GFBpVSYozTNOWc5w8VdNWYWdd17a+EiLR3Bf8+PPLMLOdca40xikj7DNk+PFz00Og+8PUiIiIi - IqJHVTtWbdfbsc8dfemHYcg5t2+85y+9WxS3qra7XOBB03yIXUppX9SP49i667fRmllr+M8jOyK6 - X+ylSkRERERERERERET0AG2329VqNY7jzZs3V6vj9Xq7XC6Pjrrnbq1/8Ife/eSnP+PAPMd3v7nd - 2J8KOKd3l1La5KKIPPfcc+7epkVZ0k1ERERE9AIUGLf90VEOi85RpAgG/w++9dve7uX1y6X1m2sZ - g+hmsGUpBgTAL83J9eKtIaDK/nhil9sNKxUpYhiwCogOc3TAAMBKgZ7FpG94wwd/+7eQExbJQzSY - wyS0ZG6Fq0rcp0zbQeK0VUDQUqhhUN23FLycXIBdI0S7rY0jdnnkdyRpBwC+77Nouz1sKdzbOh6F - iOUSXflL/+S3sT77sXd+/7c9e/NbXFRdIbXWRVRV7ceyr1E1221LAYjvxvPg9vd+DW4wXyQME6az - s287uTGebjBVeEUESo0xwQF4cYmC8KJbJCIiIiIiIiIiIiIiIiIiIiIiIiL6ZgggBsChAkAMDjgg - gAFnWxTrXBeO4eZmiRBy6sfeZLdW24IA4ljlsB3rZtzsorKDrtJiHMfgpq0QV+7psrgt08qrTTZ1 - yLUWRUgpl1JEDAKX4NhVqJqK5oQyQZZwRWjDEtQqMeilKiQlIiIiIrrCUkrjOOac+75fLBYpJTOL - kf26r6gW0tzeAGYGoAX0Mqr5ahqGYY5iNbOU0na7ZZT7ldX+DrS/EgDcve/7OcObrpqcc7vS2nm1 - zw/M7b6yWnbUcrk0MxHJOQ/D0IKcL3po9MDNQWJzchgRERERERHRZdAmvwCYWc7ZzNqXFSGE1rW+ - 67rWtb6FfItI+4pjXs3MLvDL8HnYraN+G1tLFm/jd/c2j0NEdL9YCkBERERERERERERE9AAdHx9v - NptWVF1KPT4+Pjs7qy7vfe97P/rRj7YpyOWy224HVX1pc37TNAFo04ellDbHaWbzKWHtdCAAzO0m - IiIiInpe6rhxdARMcBc4popNfVOM31LL2XYTAa84q7bKIYuMQ7no8d5NpYVt73O7q5gJBDDDSdIy - WQ8oYJKnHM6ifF3kr3/yCSyXOFrWFHoogAgVtC6Hu6Ii2fU7tLDvENjqKA0AtF2e53ZfyoYDDhgM - gLb07t05jwpYhTp2mdyyXwrs92wXiQ5gF1ZtwCJEK2OIoW770EUsXv2hTz3519/8OJ67tYQEq8u0 - 2E59hZ2IFPfW5NHFxHX/OJfraXJBCrIuvlAEQXXg9PTVefUTb3385/7FE9AOobNhUk2mlkNgpSoR - ERERERERERERERERERERERERPQS7Ikwg7KpkDRCYwhyLI6xPU+qWy6VvtyGks7FPAhEI4AIXiEME - CgxjTYAAIepZsQIb+h4BSe7vVLuUuuf6TULoUt5M20Xocgin4yYjwCEwx24AAESxHSd0HUSh8BES - 248TdnWtYHILEREREdGFq7W26ILFYtFiDFrjjjmSk66UFrjYQilEpN2cpolp7ldT13XTNLUsXlV1 - 9+VyOeed0FUjIn3fLxaL1rup1jrH9F700OgCiMg0Te6+Wq3aZ4lxHC96UHSR2seGEAKAYRgAMLf7 - KmhpZwDmyxhjO5ogIiIiIiIiuljte+zWpr4taUHdAFS17/vlcjkvn7/HSCm1LvdtyXzzQrQv3ESk - HWuHEFrr/lJK3/cAVHUYhpxzm9a5qHES0SsO532JiIiIiIiIiIiIiF4OcpBf5udtO/ZnYWmtrqrb - 7Tbn/BN/9f2/+Zv/yPdzkNvt0E7cegnR3e30nnalndFRaz2s6AXQdV0pZS7vJiIiIiKiQwL46KNN - 3VKBgDJh3f/kW7/39bXKuO0EeZX7fjwSaPVtrSng/j+5P0AuMG8B07vU7SpWFQCSwAomsxy6bZ2w - PH7O7CtSPh/kI1/4LEJEjq16aBfUDSg0zBHgYrLLtDY4YNrWgSAo6j7nWuYoagEEPqdjXyYOMxw2 - WFQH2hIBdNfVEXCDYID5LsgcVaBAdEQAUoZh3aWM6mF5AhEgQvWvPfHEf/Nn/+zXv/Cl1A/DMD7W - Ha1g/bBtz6oLTHYvkPj9NXl8OPriSeCGGMTNg8qrYMN68+63fd8vPvEHWCbNGYgqGKvFcBl3gYiI - iIiIiIiIiIiIiIiIiIiIiIgeJQ5U6D7eRKMY5kLQICiCzXBz018vnjStq6W40DoovN231daKIzgc - yCrF/LRYiFG69PX19vrJybof/X5qXsdxuzxejKWuy3BydLRZb4t61Kgu4min7e2raq2HxmUHOGyC - Jkntx4DPdbf78tJGDJeyypSIiIiI6NHWWnC0dN6Wu8lQ3quslHKY0m1m7t6Sm+kKmnO75zeGmfFP - xFUWQpimabValVLmqGZGd19NXde1KPdaq4iM49h1XQtspiuotX3z8y9+oaoicriEHj2qepjSraoA - mNtNREREREREl0T7Wnu+FJF2JNsCsA+nQlJK7Xh2sVi0SOx2l/kr0AsRQmhfyLfv3NrYxnGstdZa - F4sFAHfvug77yT4ionvE6G4iIiIiIiIiIiIiogdomqYQQghaay2ldF33Mz/zM7/2a/8wd2kYplor - gFZ8/9Lm+cwspdQmDtvUppm1qdAYY621rdDmRN2dp3wQEREREd3JoUk6ZIPrOGGyd735ze+sEoeb - QWGOZ9djAK7H7qwMAagQweU6VbIKKpAcgBlggtZJ0AwRUMhpHWx5/ZkY/ij633zyD5EiVisE7Yd+ - EUWBCIy1phDaAYP4bgtwA1omtwI47wloCHrXsyBwoHVGvDwHHi2Zex+2flt69zxOnVslngeP6227 - 4C3Vu3bdAuYQBYJDt4bV0Qo2vPc3/29M5ccef9u3hFDW62uOTqNbMYG110IMriomjvPhXA45q7sP - kyscCrdipXz70XUfHLcG5CWkDoaYYxfUqwnTu4mIiIiIiIiIiIiIiIiIiIiIiIjowRMcVqsKRCEK - B8Rx/WSTdIiLW9u+VIkxipjCDPB9gHZwEwBVQgiTu3TdmMLNYTt06Q+fOx2AIruHOLx0v3NJu0xJ - 6lk/AREIZf2ty2VWOVmuynYIDoGLQ9sji93K6WtekRWLvK01hxActZ9C1rv2C5esMJmIiIiI6Gpp - cd211hBCC+U1s5a9R1dNCKF1ZZl7s/CdcJW13O7WzKdlmUzTdNGDogvTejotl8sWY9NCa0IIrXMU - XTV936eUWm5Q+1djGIblctnCjeiqMTPsY65aXLeZMbr7kdde9/ZZ0czMLITA152IiIiIiIguiRij - mbWI6zmou33pjf1RbePu7WB2u90COD4+Xq/XbflDHvOhWquIDMOgqmbWxob9kfg4ju1L+7nx/gUO - lYhecRjdTURERERERERERET0AK1Wq3Ec+75frVa11g9/+MN/7+/9jyIYhglQM0DCMExdtxzHl1h8 - P02Tqs5zhyLSzgGbpimlNM90MrebiIiIiOgbKeMQc1YIENEPr528K9us2BoWna6galqmKQHHx8df - PzvLl+mTtQmKGgAYgu0jtwFxpKBmpugc+qzI58R+6TOfxGKBcGQjNOsiJhRzqyFry+3eZW8LBPug - 7l0gt2poC/f9AQ3SfipzErXpLrf7cjWkECBAz3O7D8tB/WAl7FohdnNJlVjwfc9FAZDgWr2GEACU - YsuoAHD9GGXE2fChJz/5vjd99+uOjjebs5yCjQVy8IrAzrPPLw0T1Gql4liiCfpQzfyxLj23vnm8 - Xv7k9//pn/7ME77oBHGYbBVV3OB6ibLZiYiIiIiIiIiIiIiIiIiIiIiIiOiRI0B0APBW1GoKMUAL - UAVdlzCsp1cdf+mZry076VbHN0/XXXcCoKrZrsrR1CGOgBBC2IwlHB89Ow31+sk/+8IXe2ALTP48 - Ed3f6NImBxAAAEtg3G6/fHaGYURu3T/tttG3y6D9NElebkZkR9cliB2uqft1dw9DREREREQPV8vt - nm+2JACmNV9lItJy3EWkhfIygpFijO7eevu0d8hFj4guQEvsbgkxLS3m+Pj47OzsosdFF2aapnal - 67phGA4DhOiqaR8YWm53CCGEMI4jW7098tx9uVzO/y7MnyH5OYGIiIiIiIgug3EcW2L3MAw55zbz - tVqtttutu8/R3e2rrbZmrdXd23eeOee2hfa96MM3f6/SDrTbgNvRd4wxxqiq81cxZsapPSK6d4zu - JiIiIiIiIiIiIiJ6ObhCnieGbbvd5rxISUTCRz7yP/3XH/5lbZ00RNwhqm4GYBgGwBeLRd/fX4B3 - O92rTWS23O42cQigXcYY2yxjCIEl3URERER0pRy2Q5B2Q+7qa+cAEHOCFZSAvvzlx7/3ddWXKDB0 - EdNkZtZJMhiA07N1FL2tud4DEFwBGOByTw+kDkABq7cfkZTJKnSTwtdi+EIKv/TZT+MoIXZuqh0w - ARFQVRU4BAbR8yfn4OkzqAMVkPNU7ztbBPpBm8FLyu+6eUdud7viCp+vA277FbQd8WkIFaiT56Rm - VlUGoItdPI5Y97/w1JPvfuMbH3/1a55++ss3FOGwo2JLQN+9G80FJmhNIYFdwve+WWR7TRF29zrP - /34QVCEVIYSxDAYRR631GHIEDGdrrDeyCFGhKaK2PWiDmp8/3T1D8/NGRERERERERERERERERERE - REREL8rRzvJxGGAQEwdcdyWEDgVMdgWL+tIjoh540SPRA7Gv8zwoS1QHDChAEMTV8lf/+e9iHGEF - CJAAD5CW8A0AEIfLrrjRBSqoFYsOitccnYzAGqj3V/SoADQlGyeIxZTGlPLR0UFRpQFqMIdGVJQC - aIrp1jQc5S4A01BSPk/rvu2Xk+WXREREREQXIedcSlHVuTtHSym46HHRxWhxvC2LoiUvtnSKix4X - XYz2flDVOYNzjjOhK6gFwLj7nAFzdnbW3iQXOzC6EPNLn1LabrcxRjNrXb8uemh0AWqtc3Jzg32e - 90UPjR6suVWgu7dff77olxLnSYmIiIiI6CrKOQMYx/Ho6KgtCSFsNpuWeI39dxfDMMxt7QG0+RER - maZJRC4qtxsHx9rTNLWg7nmE4zjmnM2sTeiw2T4R3S9GdxMREV0uh7PsLU9LlVPvRERERERERK8A - KjIM5Wh1MgyDC2KMfd8vl0uEWNyg8X/5X/+3/+K//FkXmAPSvgRwv/0EjBfI7Z4zud291e7PRfxt - InP+AmEYhq7r2gptSTtLsG3k5d9zIiIiIqLLyAAYtJ3cFoAAwGFSHRIAuJqbqsKB6ggVVrDe/OCb - 3vyd2/7G2Ee4KsYKFUREuCuiwyaBi9k31QTzRYhrRABQ4dXhYiY4j+T283RnAOoIjmyhwisQczQr - U0EA3OEhrkP4XMbPf+ZTOFoiLTzmCoS2uXz+mBCEg30S7DsAtrDqw+Hh9oxugZyvoJf1kEOB5+tp - +DzD1dsX6sHO7zbS9jckAaCqAkSkwYYYErKhW/zi5z7/I2/4rj92dL2Ot7R4DqgTHMjd4nSwpDFb - D2BsQfKuCgisOqrC2oM4DAjWSru0wB7caZrqsIqo6OsgoskB8bFaADLKq6r8+Jve+POf/bRevw7N - CHl3ZqIbBAbsf8W0/YrNWtb43DP2gQ2fiIiIiIiIiIiIiIiIiIiIiIjolWRXoXZbtZUZqmNUqerB - IFMsQSADsmKo0AyrcEUtEAEcKcDr3RvdbdVkV/u3pwBExLyGoKooxYohRZghOOSe6yHvfU2il4Hc - cUXb1QSEdiMkLI6RrAVmz+sc3MXOfxfcd1WuIhDcAuwgcPueh2QQsWkAIJAyTq3J6Ty2dl3nkQQB - VKHXUtfGEbo4P2KrDj74XVWmdxMR0UM2TVNKCQdnprcm1xc9rgfisGF3u/4I7yzdixbK294VIsKo - ZjrEN8NVdtjCpSUvMrf7KmvvBwYzU/O8Ce58e1xZ80s/TRPu6v1FF+fCzme33XfN5wOo1YXn1z/i - DO7zFw143sYVD9XVer/t/+C+8D/EhzNH/CebiIiIiIhe2Vo7ehFR1Xmi38xaFPcdU+Htuoi0ZOt2 - x1qriMzfa81feM5X8CC/5mqjcveUUq3VzHLOh1MwbbTt27bDAbQ9PdRm+VW1Nd5ns30iul+M7iYi - IiIiIiIiIiIiejm4Hq1OpmlSVajUWheLBdpZymnxm7/1W+9974+UipSC2W2zkve6efc2zQkgxthO - B21TiW1msZTSZkC7rjucKCUiIiIioh2BQs7Wt45X1yA2GVDRGSCCaUIpGPvHNutXQ05CGEspDlPA - VXbN8BwA5GGclGUAoI4K3BbU3ejt6d1wKEJUHX3ajiUBx10Yhjqo3Mr5maPlz3/yY8gZi25ACIDP - /Qnv2vLzHEXICy1/oTtefi8w6DvSu+9aLrevm4Go3TjVvFz6NMoif/gPn/zB137rd6t8a3SfkAQA - tkMfdWUHNaHzdu4oU3WZO5w+jJMk2zvKBICpKxwOODBgsml6w9G1d7/pLb/4xc+qiGuGnndf9btb - Vrbbr8g3BBERERERERERERERERERERER0cMiOIjv3ReKVevysW1GiWGz6Y+AWpEDisMcpuJJEdNQ - qwsQdVfOKKaHkQAuLSjAbu9HH1WDG0qtY1kIuiRSXR3usP1wXvyS0SP0kMnzXBcgtGuqgO5vvIg7 - zrZ7icFr3n4RXmCNluG9/+0TvW3AjeCwOlRu+x8REdHD09p5t8uu67bb7XK5fOSjrFtct4hst9tX - vepVfd9f9IjowqSUzKzWGkIAMAyDqjJ9k4iIiIiIiO4BDx5fKfTgCl81IiIiIiJ6BRORNq2JfRnn - PNHZDMOQc241cnOZXIvibvHeuND6TxFpj15KcXdVHccxxtgCxQGY2TRN7s4iVSJ60BjdTURERERE - RERERET0Mqi1uvs0TTnncZq6rjOzzWZzfO3G7/zO7/zIj/4nLui6NAwToC+hjnOeYsR+unSapnZz - mqZpmmKMbXkpJUZ+/09EREREhLBvdefAKFAgVhx312CYbELqAHiATEB1nK7f9/Z3fMfyqDz39QEe - BLXFZgtgLR4b2Icc64Os63PBAAeqiwGGluLccsP3vTrVAah4O6zQAaWaJeB60jLZdqjHSJuYngj4 - u099CjlDAzx1oigOdbiyx9/LxiGlhBA9hQqEmCEFx+WXn/7y3/9X/43T3/u9Y5g4EEWKT7ZZxDSa - QZArBKhAFRgUYuqAoXVNbe+x1hrSH/yLpbu3OQzn/R+XIbiEs7PNyarD4AguqdQQw75rZPv92vWN - PQzt3jV+hbKZJBERERERERERERERERERERER0QGHtRqxw+IqcQEENfUDHpOTYdzm6FqgqFYTqhhE - usXT/QaL1TpjVLcYXeDi4ghuAgQzBcQBWFU4tCrgCiA4ZKpLQ7TxWrfyYjZNinGB3GMwhThcXvzy - wVZPEhEREdFDlFJqOdbttPSU0h1dvB8xh+fphxBqrSmlix0SXaAYY2vOAMDdW1P7WutFj4uIiIiI - iL4ZlyuXlxMq9HBdrvf/g6cvvgqw73hBRERERET0itcm9wGYWc7ZzNqsdwihTfR3XdfmPVt3ehFp - 8djzamZ2ganY87BbfUIbW0sWb+N3d7OrdmxLRBeD0R1ERERERERERERERC8DVTWz5XJZSkkp9X1/ - cnKiqh//+Mff8573nJ5uBRjKBKDrumEY7nf78/RnKaWFdscY2xQjgHZGdCklhBBjNDNVFowSERER - 0dXlLTDYdzdE96ea1RbFXWNOW9gwTTl1sAnrzd/4t/6dGxX11q3j1MnUV4G3e/nDPhnLxIrABQJT - P2/OKbv0bm1BzuKYzxOToEklu60ny0C37L4y+NdOTv7uU09gdVQleggyWVAAFd42ykOGl1WZJKcK - oFgIsRYJOf35//kf/P1/899ef+yJiDGZLwKONdyaJou39S81tK6mCkDdzBViAFx2PwoPuNh198si - t8Vsm+Cs1IK6WiyOBnvP42//W5/4BK51B+dtqsDC4e+azL9757vG9G4iIiIiIiIiIiIiIiIiIiIi - IqJDDuzyEG+rDUuI8tUYhlDyY4+tN8/dWkYZq4fOQ64xPjOsP+HbZ063A1Dw/7N3b7G2rNl90P9j - fF/VnGuutXefbnc3tkUc3x233RKx4IEX3vIUobyCUAJINsZtOwl2nDhWlAcjJRBf0iEBKxEBycgR - D4RWBEHCIBkjYZkIhCNM232xu9uXJLbb3eey11qzLt8YPIyqb9aat7X27rPP3vuc/0/71JmrZs1R - X9WsWfNSVd8fPRCxcgIoEKdypfl8rQL4PEGcxLUCWuAK+M7L93/w8ePhjbc+ePnh333zS1i1RQug - 8wlf54Z/sF4jpXdyXRERERHR8xNde0d6cc45Oux+0Y16XqJr8uiLPBKah2FY5nnTe0p00TCOY+32 - IfqyZ3fwRERERERERO8uthgSERERERG9quLgfvQ8H2Oip3oAqrrdbi8uLur4OCAOoGma6MQ+xtQ/ - X4i+7wFE1/oAUkrRmf84jtvtFoCqdl3Xtu27+9QFInrhGN1NRERERERERERERPQ2KD42beNi3bBt - 2/VqtXrz+snv/d7vffd3f/cf/MHrKUkpnnN2l2fI7Z5mUQoWyd9xMbCZxbXBkdgdF0iP4xiHVImI - iIiI3qvu5lI7csQSq2Lb+UoMEJTLpgEM/S0KnvzaZ77K4TYkbSTJbfHRIA0UcAGmCO134oosB0oy - B5IDDnEk2y2UQ6Ozzto5kIm5lDK4AZcpDwV/YPr/PtK//5ufhLTAqiQY0DTqwygoEIZ2v91Ux26b - 2saBlBQFKV8hDfja/G//7//rz/3rf6J85rNqt08wvpbKukFncMGgU4C3AuZaY9oVanOet8+bnT7/ - zqDUYYuQbRc0m7S9Ke/T/IEi482IwTD27uLNGlABAJWpYQZgFwnvEIECBcr0biIiIiIiIiIiIiIi - IiIiIiIiouBxbhggMAVkOp1PXVBQspS/9dufho3AFhcCM0BhCebIDQQf3lzFGVvRsaLNNZcnaNUT - BG0xZj45DQ3wv/zOp5AykuD6BpsNvAEAV4jdP8yKtnmea4iIiIiI3iFmJiJxIzKtU0qRb/2im/Zc - LCOZU0qllPV6HT2A03tT9MwQfdNvNpubm5sX3SIiIiIiIiIieirxK9apTmAY101ERERERO8e4zjm - nOswjuxHkreqRnf0oWmayMauB8TjIW3bRnj2C5FSMrO2baNT/Whb3/ellDh2D8DdV6tVTPyi2klE - 7wWM7iYiIiIiIiIiIiIiehu0bfvGG29cXl6uVqumaW9ubm63tz/w/X/2d37n9wCYOYBxNAA5Z1Xt - +6e7mldE3D3nHIcYc87uHtdFN00DIA5AuvswDMztJiIiIqL3uP2cYEeW+Y6LlSQrXuBjVvjNVqB/ - 8SPf/uGb69axySsb40RDNKu87UcA4ogOM59/evKi9YJUoI5kccWYGuBQE6ijdoVpUBd0o282ubsZ - t0m7y6vhX/7av/9//iJWa8gmqg2GrJAmox/fmYV4b1Hki00pJcfpnnERn2jJTcr9v/Pz//i//ZN/ - 6kv/3//11Rfrt263PaAJ4oisbkdkW5uJJkdEYquriU2VxN6B3G4A4vvXJt5sS064vnnSYPWa6V/6 - 9o/+p7/5T/PjDYCyDOSO5k3brQGAa+0O9h161RAREREREREREREREREREREREb1S7E7MtgLAZoPe - UAwXVyPcUQRZAAMyFJA/VLih0WRmAsV0BtpUDeKO6cQtmc/cqqefNU3eDiMAvPbalCC+uRhLWemF - +P5Jl+e8O5MciYiIiN5zVHUYhpRSSik6+I4evaMX73eftm2j128ApZSc83a7jQzvF900egFqj/bu - 3jRN5HZfXl5eX1+/6KYREREREREREREREREREd2RczaziLiuQd3RIz0As9pxINzd3QHc3t4CuLq6 - imOgMfJFKaWISNd1qmpm0TYAqgqg7/s4XaGUYmZ1uYiIngdGdxMRERERERERERERvQ1KKVdXV6o6 - juNNt+3L+B9+78d+9Vc/pQozuGO1WnXd0DTNMBTgqa9bdvd68XMc7Hz99ddFJO6Kq0NVNeK93/7F - IyIiIiJ6tTggiM4kJf40ABjdXKyBNiJJsvSdaMabT75a9DWBlc4NAvSAObbXY5OniOVd/5gCF9ii - O8u3nTgaA4DGkBwJALRAAZhYzH0KSJZIE7f3XaxuxtJdXn1+HH76c5/E+gJFgDUAKBpAFQZ0ZVw1 - DRzsO/PtJHDo9vb2Yn1hXSmKpk0wYEgJCZcN1rf/1i/8Dz/7b/yJP/zUrwHYbHDbQw2NQ4ERKGIu - Cpi71oj55DEeeP7p115zux1lTgovgpxRCh6t1uPgNozvL4bRcNtjs3LRAqRFB68AHGapZb1YAAAg - AElEQVRAigWYl2UaQ0RERERERERERERERERERERE9J4nQJpPP7TpxEYooIBA4YAZmguUMScF1GEC - S4DD4QKHQAbzOEkrSvpUbDpzMkb7VHgOBQfGAUAGLE5RHMYhpWaVVk+T2k1ERERE7ypN05hZ9OLd - tm104R2Xrr/79H1fb0e35gCY2/2eNY5j0zTDED0/DDGSud1EREREdIDX479C7P5J3mHvzq/XRC+H - qY+Ll++FT0RERERE9Hz0fR8dzndd17ZtJF5vNpvb21t3r9Hdq9Wq67qYspTi7k+ePAHQtm1UGMen - 7hL/bVHPQ4hj9NFgESml5JxzztGpfkoppWRmsYBERM8D9y9ERERERERERERERG8DMyulxFBEfuAH - fuCf/JP/p23VDKpQ1a7rYjKBiMgz/ERvZiIyjqOZbbfbq6srAHEVdNM0KSUAqvpuvSiaiIiIiOhp - 2d61VgJk9ZQBeCmKURLwxvVf/Ogfty996UJlA1EgKQDkrOtWxTU5BBAYYJHb7c/5E7c61JAN2ZGi - O07AAY+4bljkduu0cFZEX7/tvyzNP2/bn/7d30ZWbC7QbqYvHR59fWIc+5SyQyGJl3q+jRwYHeuL - C5SijeYmFRgEaGP70y2A1y7/zC/8/G+tmtvL9Ze3EEeaE68jpTsC2W3KYo8n1+Jeef5X5saGPc2r - NsxhI9okN912tP5SU37y1g99x0cxGkqpsdxT40RjGXy6ztEA23X+SkRERERERERERERERERERERE - RMEhjnpZkdcTHSO3e5WRAMllABxWxIoDEFd3d4WJu5inOJvRHMB0buF8ApgDrtM/KER2/5oGmgcD - gFVusqsA7u5wPHxIRERERO8K7j6Oo6qq6mq1ig6yo/Pud6XonTylVC/VjzH03iQikdgdQxFZrVYv - ulFERERERPSVePmuaOcRlfcC15dlSERERERERO9qEdfd9/3l5WXTNABSSjc3N7UX+uiXvuu6lNI4 - juM41qPkcWw0DpS/qPa7u5m5+zAM7h4nKohIzrnve1WNLv0x97T/otpJRO8FPFuIiIjopSMivji2 - 6s4DrUREREREREQvkbgW193HcWyaZrvdXlxcmJmJuruJIuUf+Qt/6Zf+j1+OiQHYIrCslAIozn7f - jyjuvu/jz5xzvdQ5DivGocRxHOMK4fqovRtERERERO9xZXmRpaAIRkABK0UFgGEcv/ebvu2bB3+c - dOy38Ul6NKiijCaOBE1eQ7IBPPfc7pAc6kjRWsCgBjOgwFatDlvLAnMoIK3ejiiPPvD7CT/5uU9h - s0Jq4Y27yy7z2QBrc5b4vsDDj28rAbJAAKQEMaDExpYic733dXsJG/Ca/ce/+/kf/oZv+bqLFtfX - ijK0ctP7h9t8249qULVeYUA2mE9bWjYA0Of5lJnAAZMp5V0Bc0CQHBCU0VWRtB3G27XmD42G6xu0 - q5SbEeqAC7bb7mK9wu4KYgckBsLLiomIiIiIiIiIiIiIiIiIiIiIiCpfnFMlu3ET1SJwIAuSKICU - 4kRAh8DEI4wbAGDTjbhmyZGAFOndQJwFNgLFAdFprAA+Aq4KrXnfBlHx2pj7hrxgiYiIiOiVEx1b - x5Xp0Vu3makqgNpPd0y5Wq26rntxLX2+ohfyWA/LMfTetNe1o7u/izd+IiIieu840+XU29W1tapG - qa+wYDT1fJG6OE872eF6eLbWioi73T/d6SY986xP2Vv/z9zJmIgsG/bMjXz4kj7kGT+c8oHbwO6x - dzqWEAAOB5A0Rddt/rCr3pePXY6ZGnNQ5HB61EM/bnN3EXeGIg6oe1mOF0l7Y84PVTNg7nL0UXvV - RNxdHlhZJEXlB07/VEMRj/rRM9+Z9XO+DQ+Z5oHteaY6lcIhSIB4dLAAPRzK2Xvr8LCOIPl9j5qH - z2Lvtbm3c3hghXc4PUFERMR8FBERNbO2baO3xne+MURERERERM8soqxFRFWHYYg07jiUv8yuXt6O - oOv6wFKKiMQXbCwOhR8eE38e35XqN8qmaUopy29ny9YOw7DXgFjSpbZtAUSYN76Cn7yIiB5I75+E - iIiIiIiIiIiIiIhmKaXtdgtgvV6P47jZbMxsGIY4eKmqP/ETP/GP/tH/VApUcfai3eOn5jdN4+59 - 38f1zzEXd48/U0pd15lZJIjzaCIRERER0Sl3zhPchVgDsNEGqGHscbP9+tQ+7rvWSgQY7zKMHQIk - R/JdR5ZR81musn3WRTCoQx0oAlNzwe3WLjJaRSMwwZPO+s3mc4af/MxvYrVBs3LN236Q9Xx5qVs0 - WXie0HM1bXAmcEUBrMCgkFawNUiD9QUebX7qNz/3Bcfr0Oby8Y0ht+j7cQU8brQ3mKDU/lABnbfA - 5972Oc+9biERFp4FSWQ09OOwxfBo1ejrr/+V7/rXMI4oQ8J4O94asL5Ydf0AKKDzq8Pj5aRgV61E - REREREREREREREREREREREQnyd0zHPfPF3PA4zwsVShsEf7td4PAMd25qGwCg+//i17/USMqHHGG - 5EOG7O2eiIiI6JUTqUUppZRSja+OrrGHYYj+slNKTdN0Xcfr1omIiIiIXl1+2tHp9z7/ywOY2bJg - Ha+qceNM/cOmPnBx7p3s1AMPK5xaruW9ZyofTnO+5XsV9mZaV9peA87U31v/Z57x82qdKBXBSLVJ - 55fu1JLWhTq6MZx/Kg+fi736e1OerAOp/7DI0i5WzK3+uZzm+HJhP+Q7xizHC0RFVSKS+Ugo+Fxd - jw7dI2A41lVSVdUcYw6nj2kOh2ZmhvqoWicetVfNXU61J+ecUqOqdUw8pXtzr7dP1RER1ayqtYWq - mlKTUor6qllE3CVafu/6WbY5qi3nfjjNQ9YboCmlupaWdU6t52UbFvWRtEnaqKggLddwjBFIDFVy - neZoO5MmlRzTO44sUdQ5ta5qe+LnnWd7FS//PLaPPOfel/bDG/M0bS618aoayXARbve2z46IiIiI - iOg5EZH4Hof5Z6hSSvwZuq6r39rqN6xxHCPeO6Z5gd+D6je+2qS+76Or/PiByN2HYYhfol5UI4mI - jsovugFERERERERERERERK8SVV2v113XDcOw2Wxef/31zWazWq1ut1vR/Lf+s7/zX/3X/w0UxbFq - m64bjtU4d8iwXucc1z9vt9uUUj2lte/7cRxVNfLCm6Z5PktJRERERPTKq9efuVikWCuwAgxamga+ - xZvdX/jO7/raN994JDK4Rd5yMJmii5Nb9IkZkd6R6v0OBGAXgWOKD3egAKYwwWaltzfWj1gJ3nRc - rpqh2Bc1ffzzn8fFBuLYjlgnz9b70IpicW1egsL3UszpbeCAAXFlLRwQEwAoDhjETVJSAD6I6BpX - zU//zhd+/Fs/8vkv/v4qS3YdUBwYRkuCEinxYlNid3R3+vyfL4Om+H+Q6ZYXJEEPNG1q0Q7dzYdW - 7fWXvozbDppk017kxoHObL2avpwqYJguHgW3NSIiIiIiIiIiIiIiIiIiIiIioqX5nKo4uW861Sq6 - TzSDIAt8GrM4V9EVUHXPRWr8g0EdNp+0pUXuXqzk09wEpg543BaHS5wr6QZJgEHqKWMPGd5tGBER - ERG99OIS9Xq7lNI0TYxs27ZpmnEcY3y9tp2IiIiIiF5RDwlJXQY/Lx/4wKSfw3DlOnKvwvLLyKk2 - HH3gvTPdq1M75qpjoreuveYBOB8UNE8ct89Pc89y7TV+2ZgzEz9wPSy/4uHsej4lwpPqw49uEg+R - c16ma5/P5z7j1KOW4VVH1+F+nSP52VLbGYHlp6Y8o4Z8x1Pp02Gc3cIeTQEXEdv1XbF/19QMd3fD - 6e1tnuz4+JQSFqvd3eqUe6+I88ax32teKKXszf3edu5NcDi9iKje2YCP1Tkyfq94xIDF7Wjn0Ycc - VcpuP/CQOqcKFtt1LSgiOq9w8/HMo460Z7FfWgZvT+15iudxXHZsuPc8Hor95F5u90MavGf5Ol3e - EBHgKfYJNXh77yHL/O/F1h73QWTukmPeGTK9m4iIiIiIXhV937dtC8DM2rY1s5RSKaX2Qr9ardw9 - pRQh3/Edtu/7Otnyt453Xm32OI4552hbnG9Qv6AxtJuIXk6M7iYiIiIiIiIiIiIiegqllDgoKCLD - MFxcXGw2my9++fXNxdU//MR///GP/+cpOs5xlHLm+OU95/EPwwAgIrrjWGO9EYdIVTXnbGb13F8i - IiIiItqTAAMKILDkKg53dMN1XmcUx2Afvu4/dNGMt29OHU/evS5S5k/0uwu2YpLnfKaiCVxgQHGN - PjWLTKcfdp2tEtoCqFxIekvy9UXz137tk1ivR7PcZKiOsBSXWk5J3YDrdD2aYP4ywu8Rb7NdXrUr - xBRqgEA0AwlweMqi6IZ+tVn91V/7pz/2rX/sa/qC67caTW5lyOhHiE+Z8To/Q6PA5blvcnVrqN9U - 500HbcoCvNUPFy2Kjd3t+NWPHv1H3/HRv/nZT2O7tazWXGTNUzevEs034M6riQHeRERERERERERE - REREREREREREIUK7JU7SWp4bNp0wCIn//G5UtgMuCepTAfiU3j0N4QoBZD59yyM4Amk+g0sBmbqy - n6fRvTTuhwyJiIiI6BUTKU3unnNumgZAXCYf9w7DICI557h8nhFHRERERESvlr3P8M/web5Goj7k - 68BeaPRyvifSdo8XPB81vbw3bt8b87MXX70XVbuIkj318PPlp5Y8W8T1XkT6cuTR9SAip5b3VHz1 - 0z7ve+tn76l/eBB4pDHtlTranofHSC+n31sP9zfMay8KFkOHCnwYhzrmGYaOMlXz3XiZ+rGIueDI - o/xk33MHa9sBmbfhevRoGoq4+5HxgJ+Jmn6qGPXlfN13j1WtyzKFgouIiJ95Ocp8iCuWKMaI7Co8 - vGFz8PNujOqdOnXxj663o8N4bErJfcrLXtZ5WinJqdz0Y8tyX/C8O45v8763wTxwBR5d1dG0GL1c - cJHdXU+7n1y2eVnnIIE7/smpXhn36tSh2fFtJmWUMr38AKQkZs/yJkhERERERPSiRG73OI5Th5FA - BHUDUNXtdntxcVHH1y9xTdNEl/Uxpv75QvR9D0BE4jeilFJ0pD+O43a7BaCqXde1bRtZ4y+qnURE - exjdTURERERERERERET0FOJE2JRSSun29rZt2zfeeOMDH/jAP/zvPvGjP/pj63Xe3o6qaNq273uR - dPdsznsuA4j6qnp4onwcKI0DjfVw48PPdCciIiIies+ZLwwrgADJAIcoNuuLwa4xjD/2zd/5jdux - H99aZRmLq8Om/iw1Oq+M/Owpi1jgcfsduVzLAUSAt0d/m9P4rMiGW8CKdxfr3wc23/D1aNZQ1axj - hkF6eAsduy61K9QeOgVx3mXy+epCfpl4m8jcI6kLBAo3eBJBgsLRbfv2ot1aSSkJIO0K4tjoX/vs - J3/iQ9/6QVx2dnMNaIN8IXLj2QCoAA1gsE4wKvLUtepzW4S4wHKZ4S0AkJG249BIvoSalcdXm+Hm - 5rbrHpvgzRt8cNM2eYADGG7HJufUoszXGE/XVT7HVhMREREREREREREREREREREREb164nwtzOfy - xZmKZfpL03Qel8mU3n0nMNvunpQVt7VereTw+QRIYDpLsMzTCSCR3Z2AZef7/pSZ3Dz5kIiIiOhV - E5eld123Wq0wX5yuqhHgPY6jqkZv2k3TRP/aRERERET0SnjamNLo2Ko+1syeOYW6FpyyhRcR4Lgv - oPfoXUdjyM8377CTrvMp1KejxHeptGdarRp5tGfac7zIovh+5PmR2TzNMxJpuIdRyuf7JDto3l60 - +UObcGwuEfm8m1ENWj7TqsPZHZ3+3mZpxAZH5wxTjLc5oCLLMSK72/cOBY55+nkzMQA+9dxQ6lLX - R0XaMuSewynzYZppA5bdirgzjA38cDzm4z7LgmG5PYeURBXjeHwFzmvbRSAacdRwx5zRHcuOWAMq - Z3sPcAAeyzU1wwEY/M7aUIUqDpLf75Cos4iajvYc2+iOr5+jawyAW/G5PUdX4Mkm3Z23LaKvddHO - w0LnN91YUbLIvUZ97fiu2QBSkjPR13O8+vRvehIdjx9vFvPahYinlGLXnVJqmubeBLXXXnvtRPsl - 3llyzhHSdjSqPKZR1cvLy6N14t6UUs45bu/twOuM4s5m1cZbwO3t7c/93D8Yh9iM61tA7Ibu7++R - iIiIiIjoRRnHMedch/GVJ5K866H80DRNHM1fr9cRiR0Padv2BR7cTymZWdu2XdfVtvV9X0oppazX - awDuHqcoMLebiF4qjO4mIiIiIiIiIiIiInoK7n5xcTEMw3a73Ww2cUHyz//8z//Ij/yIOyK3u23X - 2+02paYszq99+Hmcy0etVquu6+J80DiGWg+s9n3ftu3buWxERERERO8mDvh0bafOf8INQ99IRtd/ - 0HMzPukBFxeHi6rX/it1vmwSpaZcO8ShUAAG8/OXS35lpov95m43xaECOPoRN8DXXD3659fbL7l8 - ed3++C/8AtYr+KipHYABlpENaPIakLkLzmlZBLrXjye9LSR6UAUcKrGG52sJc5vMrU1pMMuqoqn3 - od1cAbdX3/otX/z1T79vvb5C/+Z2GOGbuSNUn7tY9ed/QWDd7F1QgOTza0UgqnlE8fEirV4fu5sn - N2tAhv6xNz/6r/zx/+Tzn0TX5VVTgGaV6/Ib1GBJdivB2VkrERERERERERERERERERERERFRWJ5N - 5RBAZYruBqCAAA51mIguH+XuBeYnMhFqD/S+i+K+k+EdZ4VhmpG6lOkExfkMyZjx/UMiIiIieqWY - WVyfHp1i116/3T06+FbVvu9FhLndRERERESvrqNRqYfcfdmxVcR4L3OvY3i3y6xzczkM2H5I7PPe - fPdmIXcTpOV0DLUtMqsfEoN9JtA6eiTYS+ZeZnWfD+2e23N8fNMkMzPby+2+p9qhlGos+j2tenhx - WUYjT2HSc5awQFVEZByPL1idfm+ONUK4jlTFmcjh5TTLrTHW2OGy6InOEtyiSbZ8okXubCdHC57h - WByWkTuHaI4uO6bVcrzl+8V9l9Yct5fx23V4ars6NYua3By3VZGSpqQXF83RYz8iqio5NylpSllV - 6vj6Eo9pUsop6Wq1PlqnadqYRlVE1N1i/Jw+LnFvzinnRlVU0/k6KemyTkyvmlLSnJuck2qKndbR - OpvNZbR83rFNU5ZiIhDRnCMneqoTy3tY5/Lyqla4Ow0i9zqq5JzP774ePXp04kn0Gn1d069rhfk5 - lYi1VtX4eefY8y4pS1RIKd27IzWz2qvhMifbTmxwp/btMX3N1V6+ig9beOb9ZRiGmsy9fK+pw73W - bruuaRqR9OTJk5/92X8wHV5VPVWfiIiIiIjoZZNzNrOIuK5B3U3TxI29X73iO9Ht7S2Aq6ur6+tr - POxXuOenlCIiXdepqplF2zD/7tf3fXzVLaWYWV0uIqKXAaO7iYiIiIiIiIiIiIieQj3mF6dpNk3z - K7/yKz/4gz/YdaZJcpZxtO12e/C4h8atuft6vR7HMS51iNzuSOkWkWEY4hTbYRjath2GgUcfiYiI - iIjOEEcSTFfSSVxrmPHlN77nj33nR8qYm0YxjAMyAFerudZitrj6zCVCu3fB1881TrlGKWu9bm++ - cnKdJWnzO0/eSpcfuM76t3/9k8gZqxYowNAiuaHr7WKto4sAKgqMjgIgI+1yu9l75ttoeoYgMmW9 - 6xzmXQAXZGh/c73OKzQ6ClQSXJBW3/fL//Of/+Zv+yOvv/XaOHxNSiPsGt4nJIO5FAeg4shm+pzP - j00OF8Q2H5tGUTjw+jh8zfpRv33LS3+Zc1FTldtt+VDTfumNtzCOuGjcSz941gYjIJCE5Wb23IPH - iYiIiIiIiIiIiIiIiIiIiIiIXh0FKDAHWoFExrZBHG2azz0rgEMyyu58RZvDts2Sw7FMhqi37+ZO - HMZWGKACd5e5sC4LxPlv9w6JiIiI6JUTl8PnnCO0G8Brr71Wc5tyzu5uZiISSd5ERERERPTy28tG - ldmZyO06fQ2Fje8Cy8nujcpeTlBrLrOWl3NJKZ36lnEqGhaLLKJa894o1uUSxXo4rH9q/GK+Mdne - w+C+uyr/VFTzQXvuTOmOsZQjDzm2mpdB2oeK+TSNnJxSFq19/PjqaJ34DrgXFRw9my0TfONJTCmd - iQqO75VpFo+Nr591O6nTnKpTw491DuVebs+R1DtlLM+pxketmjZCnWvEcsQ2R1TzMvI5pRzjj0Y1 - P3r0OCrUsOdlgvBhnb0KNeb5fY8exdGZvaG7AKaac9amWeWsIqmOr1OKeAyvrh4frRPbfkpNzppz - m5KoZsDG0fbqqGYRN8Op9tR5RRuWw706qtirX4eleJ3Xcrxqdi/u4l6iTixvKX60jhlUsVdHxEvx - qLBcP6fWTG1/zCuGMV4k1TrL8WfWz9E6y13fMif7cCd2aos9+oJa1tm7N16eJ/arVneAc4WphRJt - ONhZ5LTbc3oZfb596iWWTozXJu9a6+bmmP/cW5z481T9zarF7sVm7lOdZoqvk3nVGooVwfqiNbO+ - 2zZN446U4BZvFsv6y9vs94KIiIiIiF4ufd9HYnfXdW3bxtelzWZze3u7/NVutVp1XRdTllLc/cmT - JwDato0KL+oof/3SFz/gRIPj95z4xUlV3T1+9jGzMz/pEBG9wxjdTURERERERERERET0FOIc8XEc - Ly8fPXny5HOf+8L3f/8PPnlryK0MvQOuCpFkZudP+j8j4roBqKqZRW53DC8uLrquW61WTdMwt5uI - iIiI6BSPuG4H6skx86WaGAze/Evj2KDfjjfqaBQ+X2lVo7KXpabhfMdzjlGe1LRmAZIrYCboR+/T - MD56329Z+Ruf+gw2F9jkopIgcIPrSlOzhjlSRvHpot1dJLnPFel5mK++ji5OpxGaUMZ2dQEAZZSc - AUVc/7dZf/xTv/rjX//tH+zbm+5JBpAAQBzqbgLAFPbObHDi+xuGAJcp3XQ3DZLDfSxbuAJNxnjz - 5letN9/3HR/9mc/+uq7Wq7ZBD+SoYAoYnnfaOBERERERERERERERERERERER0Sspzq2y6Xyx3di0 - vBsA1GCLEYsO5WUx5XzbBXLktC2dH1jjuuupkLI8Z8wfPOQZiERERESvnOgUO1K6m6aJ698jVG8Y - huhTO5LbXnRLiYiIiF4Z+0GvHHL4Tg99OQZwgYvoa+97dOZRSTXl3DaNplRjgS3iUQEBRDWpQuRy - szlVR1SbnCNFOSJ6HHCzCJWNaqK6atvcNDmlo3VURFM6rAP3uB1huXVebdOcqpObZtW2mlJkvaqI - qLpZrVCXWlQFFr+l7w2TaG6bVdNKUnEYXCGS1MbR4OJITW5zk9sma4JKtO+wzmZ9AZUkKkkVEvHH - US3qaE6rpq11vOzaE9PH8NHl1dH6NhbNqbZkOZfllDX0eVl/OaztqXOMdibRmKa4wRwqWZPmNPbD - 0TpeLJY35mjwurwxZllHkpZhPLVckrSu2+K2bGfUjCWKeR1dLgDjOKYsSRtRtwJHiXWvkh3FTSD1 - 2Tg3jCkFsT5S1HGUWsdRBOlUBVGfhuV4VHD0KVeDliNUfu9b+S4AHPATWe41HTm+4Lv7OPaRiVWP - CpmZO0oZajWg7A3dzR3L41Dz3F0k0p3F3czcrMcuetn2hjnr3C53H91dxAC4D4tWx05iBHCYeh7D - eZcQK2nXzpSSu/pu5xfVXPX47sp917Zax91Vo4kRbGYiMt+Lo+tnfiqistX51kfN+61pyVNKc/Mw - P3z3fJ0xB23vgq7rJmFmZh7dGZ6MOhPzqQhEBDLN2t1x7Acf8ylTTWR6mIqI6Kl2mh2PgotOMXZb - o9Z6AHxeM9OiRaWjdYrZnNu9K6Uiw9hFZnk0VQB3T0C/7S4uN1bwxhtvta32nQGWUirlnvVMRERE - RET0kmjbFkDf95eXlzEmpXRzcxMH9+PPUkrXdSmlms8dWd0iEgf6X1RuN+ZvrwCGYYig7trC6EI/ - fu6IsxR4KgIRvVQY3U1ERPTSmY8PoR4TuvfQGhERERERERG97VS1lDIdsBRzdyto2/a279brtY9y - fdv94Zff+NP/7r//L37/DREM/fT93QzzqbfnvtHHGc8xjBzuOCYKwN0jqzsOQw7DgPmQKoDVahU3 - mNtNRERERHSUAx2QBdkBA/oe6xwJ3DIAo/+Vj370A7fXWW+LYy2IcGSD+e7UPqvJw8trvwyYusJ8 - zicBqkMEveOiacZhSEgQNZhnebNNn23K3/vNL6B5hJSQMPXhKSpQADrnRuvUr6bqNGJxRRu9jerV - nQKZr2SNNT11par1BK3p3NIRpgmKC6z1r37yU3/9W77pw+s8bEd3JEd2EYxwbxS3hpznr5jPjYth - TouPRcnTdl8MGKHxTbedL8htUB5ped+bT3BbkAaXhCbBDDoAEE8JWuZLQRUm0BofT0RERERERERE - RERERERERERE9J6lQJ7P9JvOM6vn9d057SzOuLpz2pUjojC8/o39u0M97dHu/hnzqOc/3s3uJiIi - IqJ3hbh0fRiGiOhOKQEopeScMcc77UV/7d9YfkhcfOZU1aZpuq5T1SQ6lhGAqJrZ/Ml2lynl7jjs - Ny/ixOx4RNPelMtF2v8zJjjVL5/Pn6IXOWciYuVZLsyIfgDO3Lto17nJap0Hdid4Pp7tgc17+DT3 - tmRZKqrFtlT/fHibD+yHw50v8qxzeRbPY15Hl/Hsc7R/MU4N9tsriKds6uHSLcec7z3/PdsrZg1W - LIv9yUO223vr7K3w06WO7D+/wtf4V2jOAjwy8ryvsMmL+MN7GvOVl/1Kqh2tc2r9PNtMRaYZ1Yen - JHPo4Z053lt/b/H19Dvt0QeemlgV9Z0/Wnvqg8BD2vmQ50gEKeF8WMnhelO98+e9rQ0JU0hrARQw - IAsKIA6bx8Q0cVlyjDkcZkGEyS7rjL6bJiJpz1R4tFm5ShZF0gSZAmYXQ8lplQ24xDAAACAASURB - VBttcqMpwmRNIOYFniDxKFd5tLk0Qdy7HEaIbaMptc0qN5KTOka3LFrgca86og6SPrrcHG1nEoFq - m7Pm3KQUnyeKuwKRDRu36zSrpjlaR7wgaZtyaptY6ljSFEG78xqQnNqUtclZ9OhyxRKtmxZJYymi - /SgWS+0qjSZt8io3sXSn6miT25RjzcR4V4k1HC1ZN21qm1j/Ppajda4uNsvnsT5HWXR0S5BobWqb - BBndDitw+F4cwsThh68UhzjMTu43xCGyu+3zMQvx4oA4VKAKE4eN4zCeqpMUJi5ezBC5xlFH3aMN - yzrFT7anSRLTmE99LNxpp0CmpZ7mdbQOgDbHPnd0M4FKfHxyg48SV7v7POb8UBRT5xMGuGAe1jpx - 76kKZsDJ3G4A8Xm+fgCf3nwVdw/8TFn2Zxx+FJ3f48rBNLuap+scmXKvcl2Co3UO30nnOk/9QWc5 - 391cj0VH7y3v3l2Hc6/TL79L3fcJ9vhzcfi9afn1f/fgp/mcd+or9jLG+xSphxhxZ6EF556BOoe4 - ffDD0In6d0osHuN3Cu7Vv9f889KusjuSNncrTxO2Gf22S5pXTdt3EcHupTjEaqtm7OaCiIiIiIhe - sDhwb2ZxjLXeEJFItjazOHK0PA5YDyQtj0xFVvdzOjaUcy6lLIurarStzjTORojzEMJh9/jRhb6q - Ls9PICJ6eTC6m4iIiIiIiIiIiIjoiGEYcs5xzFIkjvP5MAybzeb6+na92rz15uvf8z3/wRe/+Idn - Lu89w90vLy+vr6/rmDgUGmHhfd/HrLuui8uhiYiIiIjo4RwFSO4mULQtio3Zmrii8ub24vpmNdzm - jNUawxZrkdE90osP6eLT/vNO7K5EUICcmydDd4HG4G6ja34zy5cvL//eZz6LtkXbxEWqcIPsvjUc - tFF50uJzJ0dvzrcP7k2aAL297S7SCpsB3/R1X/qt37iysSnQgoLhQppNI2/1fdOi2Au4HHC52ZeD - l8YAeLf9UF5/79d9w9/94r9IKgBGG3KyOUgeABxIgDz99bRERERERERERERERERERERERETvSoK7 - Hdsfnt4nJ++BQ44FIB5jBzeIiIiI6N3v+vr68vISc+fX0V+2u+ec+75frVar1arrumfryDuueZ8q - wHLKIjKMg6paXDWwSHE+7uwEOrfWzfY/9R4+ai/Pe0EkrrOZikyB2fct3Zkm3y1+JxjtgWvSDxb8 - 3qjdU4HKe0XOZHfVLt33kpj3/qw37EQ26XK+y9unpo87T0eLqsgULOgugKWUfOF0zSNtWGqaZq/O - V95h/fkKD49XX944XG/nu6rX+YKiulAR7Fej02PcQ6otG1xXdt1LAHCv8YHT7Wf2dqWeP3Mo9fKB - D4+EP6+ufNyNrn+mbkamOrWd9yUyntoJxPD+NtybdqwKETE7fvfc2iNFpj2sAA5RqIioj8M05tRQ - FII7Y5Z16nBvmjp0m/4SnSJC428rNevvzrzc7m9PTOMPm/6BQz+2LI474+8MTyzvqWHb5JQlaaNz - fHTEL4+Dxe2Upcmr3GjSRtQ9oqEjaHoxfHT1vphe1Ot4RxFXwFRzzppzm7OqZhE3w+FeXcQfPXp0 - tK0iUyhz0+SmaVNS1eRu8/vzbprY2B89enximaGqKaWUUs5ZVeNNMCJD4mUSN9Ls6PacogUHdaKH - HxGJe3POMeWp/cO6baOIznvqmDiCVQ7rHH/tAU3THK1jZu4eRdq2jb6PzuwV63yXk8WHQDOLd5+6 - OIfvldFgERnPZp5Hk+o7mpkt30fqUyAipyJUaztxZx/o9bG1bbHswzCcaIsdrePue7v9yIY59bml - 7/u6tSxnvfxzuVyn6pRSosGHi3O4/s9YPo/LpQAQm8T0TLmZRyazxoXAHHLIYQwX7KUZEtFzNL/2 - 6zssI7qJiIiIiOhlNI5jdCw/jmPbtpHbPQxD5FvHr7LRFz2eWyb3A9XfReOsgBiTUoro8Uj1jvbX - 9HEiolcR0z6IiIiIiIiIiIiIiI6LCyfMLGtWVW/M3cfRzKzv+4997GOf/OSnpykh/pTX7arq9fV1 - HHqMI6b1GGRMEDdWq5W71+OsRERERET0EC2SAuIOGJIi+irptrjpfuhf/a6v3t5cplXT2PZ2EMBe - vmjhwdC2+bofWs3W5HHoLk1u3L/g+eO/9mnkFqJIplC4J57/86qxAQl+sVrBRlymv/xL/9sP/5Fv - /KOr3PRjKd0lFLDf7svjDHH0I9YvU/q6CbDS2619AP5160uY9eNtzpeiGTYCgGKce5iNTmBebIOJ - iIiIiIiIiIiIiIiIiIiIiIiIiIiIiN7dzOzy8jL6yI6s7pRSxPsBiEjvruuiH+37A3EP7mzbtu/7 - oeuzJvMylhGAyJ0o4gh/jdulOHYxwLs6pyILbbwT8SgS/6QUj9tY5N3uF73T8F3k5DL7sJSCYwm4 - Zy54iKzVZQcC4lN/AgKoaA2MBBAr5CFFVFQEdj66e/kE+Il2+rm43/3p/e74+U/3E9Pv6uzCI22O - cz7er8Kddp6MrxMAbhCPIFYrZdmA5XN91Knx491ozzPJmOdDM0XubmZn+LQlPGQy1ABRObjnbB2/ - GxEqx8bHyHj1xevuRENcZGpAnaiu/101gcjJC+3OBD8fvjwfcjWR3F0hKUlNyI4X6+Fk9c9zy+su - i9vTo+bn96AOTkVWL6eM9IFoW13/dS4piaoOw/Go2moZYTDnFt+5NyJm+37awea8zA++M/Fy/3N1 - dXV0dhGym3OOIvGmgMU+MFJgI+pYRNbr9YnFl2WdZVzuXMxFNCVNKatK266ORi83TasqKeWUVER9 - jsiusc1xb84p50ZVltHOy+FqtY4pRRCPrW2IYdzbNDnacyr+erO5VBXVFEvji8huEaimlDTnJoKe - 5+3kSJ33v/8DR8eb+bJOzkk11dbefQ7PR1YfHw7DGO2PMe4monVZ5heR1LVUip2P1pY5YDxaGMtb - 1229d2+4eJQfjQZ3E0dxE1FXyXWMSnaUGjoe04u6FRyts1xfy824RnfXMRGQfOpzTnxuOayTc15G - NddpTtYZxvopaxnVXP9cpj4frRAi8vlwESKnuSZJi2B+Wo9XSynG12etNsDmf5h3sB47h3n6qZmx - ZlI6HrUyh2oD8Hnn6aqY3993dWJlnFpvNcqlLt3RSGx3L6Wcj345DCCv1Wq4eEwTXTkdLbJarZYF - l6v38Andm2BvuWQOXF8uV31ya4V76+Bg24sI9prcs2zhqZVDREREREREREREL4/oT97MIqs7fgZs - 2zZ+94vD+pGNHb8xvsCm1h+lI7c7fqyO4+wRMY67hzeIiF5R7LqXiIiIiIiIiIiIiOiIOGY5jmNc - PzYMg6uISNd1jx49+jN/+t/75V/+vwGkJIJ06nrae8VRydVqFUclAeSc4xqSuEbIzFJKzO0mIiIi - Ino4AZKjjGNqFFA4xmKSABGMw+Prt9ZlGDF0t1gJmizj4C9buHAWWMGmudgO3ba7zQm32nSXjz7+ - 2U/jYgNJWLWd9Vlzgk4Xtr9ki0AnOdLUX4vBB6wysPqp3/iNv/xN3/a14/h+XbkPo5evalfbsb9Y - 53Ec7qv4jnJgLPb+ixa3/fp2i35Yrdq+75u2xegQje1RgV2fMNw4iYiIiIiIiIiIiIiIiIiIiIiI - iIiIiIjeEV3X1STCuFx9HKeAyaZpttutqpaDyN7z+r7POZdxLLZ7oKpgztkFYIZlEu2UAey7IU5H - /0bkZE3tnWtGVuLDopQX843MyGUGZ0TMYj/fEeKRQ70/dEfcEgHmxO5lXrW5ncw3XjiMuH7gA48v - l+8yswURfXuy/TENxAV3IlTjXpkCW30RlXq0jrt71Fk0ZX+xRCBQUT8VgVzXuu9WpANommRWzDDn - jD7oua4J37Xm3p+7SPKDqOb4d2rzX068TAu9myi6K/WQiPG9tj1VnZxFBBG6HPGf86N2N1S1pjI3 - TXO0Ts1drhmoy3DTwzqnett//Pjx3mNjUyul1HTnpmmapokGD8PxS6Kurq72GlDr1NYu6+ylrtbH - RnsOxX6vFqkLHu1ZhqpGA07VibnHcLn+o35NUY3OQFJKkbhwKCaupWqd5dOxXP9t29ZG1qG7mxnE - 6kOWdx2dbzwvh+t5GYVb07tVtYYuHF2fy3ThZZAtXCOAWdSTNpowDnY0enkcTBNUsibEo9wk7nWU - Gtgc08Te6WidMnoNgT6MiI5qEQUtSKJexpOR0qIe09SlWNapFeLeUxHCdriDmJ+jo8/jYTj0fNfT - dVnTrmoWO9zNzRwGiKou94MOuBcAuot2vjMsZUC8X9zdjjRpfYOXecNbZgnPyzgviACww2HK2V2Q - FLDpk8C00zOBQyIQ3UTitunx6GiN9XwYXRxd8cTajlCTU89IfcjenzHmcPs/nztSX+/Lzxt7z+9D - qtWuhJZ1zOwwSrxOdqr+3rMTlmEqexnSmPcGR6c/VV/uWm7k9zZyOUHd+GurDndWchDpvahwZ3yt - M47j3kv1cL+3tAzbxt3VuNekOv3ROof1Y7dZg3YO3zpPLNedzamOj/evZWOWb0NERERERERERET0 - khvHMeccKd1xMAjz77FN08QPgHGYrP75zoufNHPO4zjG8bXb29u932lLKaWUtm2jt/wX0k4ioq8c - oz6IiIiIiIiIiIiIiI6Io4Oq2rbtOI7jODbrCxFRkT//537oF3/xl2IyldyPBVDg3AU8h8ysbds4 - btp1XRwcjUva4qikiJRSGNpNRERERPTUHDAk0REwII3ISSGG7vZHPvKRP3p7e4HxUd5srZh4N/TH - r7p+wXQsNnjXrltstyk3XzT5LR/RJLRrzzIAkDzYmLyJvhHoVTICGWXsdZU7+Hp1ATR//dOf+cmv - /5ZG7Iu33SYrRoPh+mZIL9mTK0AZIOoFpXX7gW/7zr/zmU+1V1e9eas5vhpLpHV7/E/hyk2UiIiI - iIiIiIiIiIiIiIiIiIiIiIiIiOg5iZTcpmlKKavVKrr/dvcIW43L2KMrbcwdfx93IgFwtWq6bpBp - XlPYcCk1RhpYRBT7HAm6S1AGcDdfeY+V3Yz1dJJxzF31bPSyA/Aa/Tn1JT63qTYh6ozjMpV7P6Hb - Y/pFUvVhyvJDIpxr1PS9AYs5JxFPqUlJVLOIRycG7gIYoCIuklShmlXRtusYvzfMuT1aR6frPlTE - VXPcq4qUmqN12nads+bcqiLGiCQRdxf3sqyTUqOKlNJ8Mcmd4aNHj0UgojJHjsf4YRgBV005p6Zp - myanlFWlFDta5/LyKqaP4PE519LNXFVSyk2Tm6bNOcU0kWgLiLvVuYvg0aPHR+tHtUhYTimnpDGX - lHJt85RRKyqCzebyaJ2cG1WZk5pTZJLWltcKMRdVybk53h6UZbLvnQjn3Ra4Cz09FSlaSommYBE7 - GpHYR+ucipgdhuEwsdXdc85HE3NPddk/juNepHTUiY7+a9BpHb+XTrqMaD1af2/6aFh07oFFGOq9 - dSKy+nCd1LjrZfztmejrwyex1q/tubtCvCbruvv819TxiNc97JRbL6d23G07Tb+XBVsjveeQ4KkB - emIH1UQkrZub2yJQVnKqezZ3B8x89BL7+iMRzk0SERdxmLmbwAQKnyOKVdwx7eu8oBzZI8UwicIN - PtZ9Y+yXVBLqftzNrbibjSXnFqhvVLuhK6aXlBXA4UUEAk9NjpbMa8ymYTn++sonIoFFIjbbfX6i - Q9M0u+dxGu6a9nA+Fq9blPtuR1PGWKy9Tc5P7B8aPT7n4mXxOqr7h5ib16q7jXYa7v8bx37x/uVx - 271IbBuYhu42XRV8fAiR3Xpevl7qfqnedc96O7Yz2RuzrHNqv7p8vdd9wpk6pz561f1kvCTrA5f1 - 61177b+7XGW5gMfWwxTFHtvhvBPA8lEA0vHo9Cmm3aePWXdasbd7nG8er7PMFz9clr11eCaX+jCf - uy7Xci73vj9GneWWEHVq/QdmY9dOovZG7r0ZVaeixI+mcYvIcr99GOxNREREREREREREL7Pat3wc - qArxY+B6vd5utwAiMDu6qX9R7Vz2kz8MwzAMfd83TbPdbtfrNeYDjimlvu+Xy0JE9Mph4AcRERER - ERERERER0RHLSz5KKev1erXZfPGLX/yZ/+LvfuIT/2POGEeo6DAOgC4vSnkgVe37Pq6RADAMQ4yP - S/i6rlutVnFslYckiYiIiIiemhsUnRVXXGVFV5AGWHl0/Vaz3V5p7sabEQmiuc1lGF+yWGE196S5 - aHmy3b5v1b4h+s9W7d/+whdweTUmKcDW0YhmzegKEs//edU0QIFkuR06ba46QBLaq8vfzyld365z - 9oxhO1zmdUExM/jpXrHecep4bZXf6IbX1qvrsfvg7Rpbw4VpmwHAFTCFTXHdDO0mIiIiIiIiIiIi - IiIiIiIiIiIiIiIiInrOahpoZEDGJeqINFbVehn7xcXF7e3tM9TvukEUf+rf/JMXFxciMgw9IBFO - LIKIXs65iajjmh8akckRZRhTRvTyYURxVEsp55wizDgik828TiOiMY2qtO3qaB3VFEHItU59bA1m - fkhk8mq1jntrSxY5ibvWpqTLaQ7rXFxsRLBsyfnhMIx1XdWaETu9nHtMcybiuhSr09THuk/xyst1 - HvM6Vafr+gifVpW6Bmr8dl2ftc44jpC4ouTOsIwOMUGKoaPE+JxaR3ETR4l73QRiKvloHSsQdbjG - MMbXassxUTNps7y3Pjbac1g/hoIk6tGGaG0Z/WidUxXGwaKCJtTligrLoSbENONgEIfL3nB+xmt4 - 8PR6PIhWnaI8T0XWNk2qAc+R+ykigKnuRa5Oc4ks50Oq8YxPgcciU4JvKUM0QlVinwNAROqeZ09K - UwZwnXnU6brb2sWHRi0RkTuRtHO3HuKOE8mnU3tqEVVJKbmr2Z1o7Tn3+tx6W3Q5sotlNSuAALKI - YRUzORXFalawy7eeLJJ9p/qHGbqxMkVi7zG1fy+utS7FoWGYpo95zatUx3GMla8aObXT9OlEnVIW - EcLTU5wAjIO5ClAwbVdwL4v22N5QJDbmslifBmC7vZGFecp4+zhVR+bdUezKIKJdt10sKaKRgM7t - 368zP19WWwWYO7rudrH+fW5Uchy/vm9vu6rc7jyPc3vmCGfZfyrvzZzeU8OzgXgqp/Bs1enJiBVo - dicy/Fj7jy9Xk3NZhMjHbqFGI+8W0/caX/aGOWukOLu7eyQZF3cXmW7XKWN7OHymFs+XRntiHcYK - XL7uTmRI3xEfk+pLt4YrN02zHFPf9esHqjPqTq/e9ruw+GC2Zy+iuw6nSPtFhaPh0DNbRlYfDTLf - y3ve218tU6tPLeZeBT+dJu7uNZJ8z17bas0aWb23CKfaE/0yHTZv+fwezu5QbEt7jdm7saxwqs5h - 6HiY9+F3luXMi/0w4j2q1efrfII7ERERERERERERvYRSStHJfN/3qrparZqmGcfR3ePA/dXV1fX1 - NV6Cn/4iOLyUEr+Wxy+T6/W63gbg7m3bDsNw6rgDEdHLj133EhEREREREREREREdp6qDlb6MJqpN - +9u/9buf+MQnfuZn/sucUQwOpCb7aG6mqoeXQJwXF8bUa05KKavVarvdAnjrrbcePXo0DIOqppSY - 201ERERE9JQMPpauNBcXBlx35TIlbK+/79u//SNuryUpZWxEtl7UxU0GR/uSpQs30o42rlovgs7x - B8Df/NxncblGyhA40AgAFAhyBiz6L6BXg6AbvG1FXTdp3QMO3Lq27epvfP7TP/ot3/jBt95se0+A - Jlx3wxrZReeeJl48cYzd+CjLW2Nnjg9t+x/++m/6qT/8Z2jzCIhAoQKDWPTj9KLbS0RERERERERE - RERERERERERERERERET0LldTAOOC9+gBfL1eAzCzlFKMXyZEnrCLMl1UhwJ/7s9+/8c+9rGc8+3t - 7Wrd5NSajz66ewFUxEUSYO5Sh4DWoUgScTPsjY9hTB/T1AruJWrGsM5FFafqmKG2BFD3EtViepEU - NUVcNauiFD9aZxiKKlSziNfx9XZtYYypbT6sM46Rjgw5du/h8GLVLtZJpNuqwzTityHu5i5upZRi - 4qr5aJ2sChjcbCyxHmLYNKs59tgAcRvN7Ex7NuvVrj0xdwjcVMRhiABvczMzKGCqCjfA94ZNSkC9 - diuCXgHAywAYXFQcEWULgRt8PNoecUExQOF3nguR5FZ2W9rUNhcvEhtzZACbAY5iOTJ0BXtDqQnl - FpG1JbbDnNu7UzrEEct9rJ1NSoADhmLmpbYzpSaisSO/1obiUspgqvnoeoM7xERkat7uZT7gbiLp - +QvjrJjX2NF5CeDzjeVdkQmK88EALvOz6VNssLq7ucHh5uM4RZae6iUjopR9DqKvOQTrtr0bVRvr - aRdZumvx2QaumrSr4OZzdPGxKNk72a777Rz7/ZXjANAkmR4rkMWVU+N4Iqq8Rq7qneTXZWQsZDde - Ne1Wgpfa/oj+PVz0U0EOq6aJe6dwYrOIB69h4JFMWxfeyvGL15o5QtjMIsC5+OgCVdXd63raGERq - 5PY+XayreWKISF41dSmiqRGdfCr614oto3BFxEoRkbap0/sif/lkHfi0/kUQEdWxYa/aHI8HpjBy - O4iIXkonrp4TlV0GcLFS7M7z6LvXUV0pT+VOgu8i87iWUZEaKe1z3PWR9s9R08vKLhiGof4Zieh7 - mcF7OcSntsMyDMtg9mWdow84+XocxikbWyRNL6Vdy6etzncN0xN1bFFHYhMUBWBllPpKF5GIqRc5 - 9cEpXs7zQsTWu5/Frhr1VEROrf+cdbkm5h2XD3M7d80UFZHYMg+oT+8XqO3BYmOYE+jn9zGpkfYP - N22wqnXFSrTn2FMmfiISfhlAvnxg7X9pV8HP7Z+xyA5fDmsWe52mtvVokWW0dq25WPOL99m5/qk6 - hznfsSfZm/X5d+xT9y7nu2wYERERERERERERvRJWq1UppW3bUopZHGFEzjlCsp88eQKgbdu+73PO - Dzig/1zErOdf3acfYCNuPO6KAxx930f6+AtpJBHR24LR3URERERERERERP8/e3cba8mW3/X99/+v - qtp7n9Pdc689NownBMaMH/DgYBEhx1GwBChKlESIPAgpCrYBoRBjjzECbEREBhObjKXBJoyNbQSD - ouQNWOIFJEIBo4jI4k3AWLbHHj+AAzHYeGbunXu7z9l7V9Va/7xYe9fZfR66+3Tvfc7p7u9HfevW - qbPPqlVVa9fe9bR+AHCJUkrbtsk21wuXy+WP/uiPfvSjf8FMEVYfm4mw3ceVn0P92/rI02q1qvO6 - f//+OI71MuQ4ju5+1XNcAAAAAC5hUlJq2n4YZelonvToVKv+vcv1LA9DjkYaIjq5mrQa113TlDL6 - NXs3OKgco2/6dtBnk33nL/xz3bsX3UwhD9UHq8cyHnkjkwrHCy+TkFJn6zHPU9KY20bLolntHGTm - H/35n/noBz5YluvGysl69UZ71A9DCcVdepreTcMYajQzHSveK9M4NGpHeT02TtJjifJ3qfIAAAAA - AAAAAAAAAAAAAAAAALyS6mPvtbPv+Xw+ZfvlnM2saZqaxHmNR+O3jwP83t/7X3zjN35j0zShnBpr - mqbvV6WULjVmU1phmSInpdqdd2x/W7Oua+Jjfdbg4rCmq24iNSVt+8tNZhbh2yjbsZQp2jCfG9Zc - xZoAW7OTzVyKpknb5fEaiV1K7Xnctznljw27rkZib6KDt6VFRJmixM18es1V5bRtfWWN3C6XvmZ3 - WMo4xWzXiPGa/TmOwzZu3CLqOqzB1U8qc1tzuW/CKft+PcWfS2G2SQm96l+tz26wunuSVMooFTO5 - T+Gptk0Ev6QyEVlSxHhu+jYds8ZzTlnLYXZ5Oe7aBJSelbBJf95taXWFm9lVq+ViTc4NzXbLTKXU - CNVNS9tZsX5pe67roS61FHXlm6VhGMzM3bd5z5sWftV686SzZ3NqWRGSpijic9G3V0XnppTOZaDW - cNNazqUpp5c6C9x9/GW1tM1b131K435CfXZnN4WknosK1k6w97lo1SdUUlLNOZiKekJPHc9Yz4uz - nqK1dyt2ZdT0Nqp2d9XV9b9bgam0i/W/qp5PTm+t0Q4Xo22nv9qtzxOyYGu7rePbxmySyiYn2WUl - ipkVhZtFnXJxOO1Jpr1czd0uRTv71eQekptFnb7du54N3Zu6H5vK2e4P6weNmUUN0q3l5ByXllNf - Oc1X2pSTc0xl1v3e9vWXt5OronzPband1X7xt08t4ar5ntuyUyuaotC13dBXvRHO7QE2b7pQs41s - n9QXTOU89Z1Y1SSPqf1Pq+viCtmt+UW79Z8qs7u85+KWn1DOuRW+uwXPvSmesFznFmQ341mP71vO - 7SjOmb6PnduIu+t5NwH6yqLs8nZ4tk0f3+XuRk3vVv6q9jz97bkGc+4TYWezXl7N3c+dS7fRM+ZS - +zZy/uJH0lTIpfu6JyzXNH6xCV1st+fU7XiuBD3+ubC7wp9Qn3OzqK+clvfJLwYAAAAAAABwN9WL - +MMwzGYzSW3bDsNQr+PUVOx6mczMbiu3W1Lf99OFqnpus5TSdV0daZqmlOLus9ms7/s6HQBeUkR3 - AwBw55hZvSlie/fh0+/HAgAAAAAAz61pmuVymVKqjyLXJ9xyzsVUTAofx6FtZn//R/7Pb//2P+Wu - XFTy5nmG+vjfUx9O3n2io14T1fZpiim3eyqqPnpUh7sjAAAAAJ5duJm0sEYuhaTxT3/oN3/hyVIR - oyuKTHKVnIfGm1zkMXWRcxcUyVLy1SC95+hfdZ3uL9TOxrBWMqnRaIrOt89t83j1y6aotI0pXO4W - mrkkZSkvui6Vh7Pu6NHpG62nHCfD6dzmJZ6tS6wbEaYhFNKRWx5iiEdHXathrb5tulkvhbQc+0XT - yIrkpchpogAAAAAAAAAAAAAAAAAAAAAA7MNu8t8wDG3bTtPdvXaZfTFhVdnZKwAAIABJREFUNyKm - WNwnPxpfC6k9hkuy0Nd+7dd853d+p6zkMkglJRuGdZInT7HzvMOUJzg9WK+L2Y3PFPR5cZElyc5K - fnJBl6Ug74Z5y81qNHUJSVYU54exGQ9ZMbksHh8vl/7VVeVEifqExbP8lXkowlx1jhEKFXeXRUQO - TVG4Ty9zt+aljLKS0mZK6JlKqPWxMNlZfWTFbLMeQtulq7V64vMjl+VLxmNjNr3sikjLTUFPmR6X - veaJ1bhkVrtlnquSnc3oireSnX9ZTUj3mlh68a+uqFKUi1G7psfeVnb+d5eXc/4PTclM2x4un/Wx - n7hqA2xK37wmzl5zecmX1j9Cpm3EaZx/zeN7kqdXeHdX8eQ48if87tJU2e10swtt6aoeQzfLtbNe - 6t9P2+Xiju1C/Z+8Ji//7c6e+NK/0jPuU89FPsd2lca0lcMkV0jyKGUaPz8MlymKyXzz8Ge4VNym - eG9TeKgoLDYR4Lp0GCpR6krclDb91mo49045plRLPjc0bULHL5azqdtOfeoGv3w9P9sb6Kq3rS5v - aU9nthsJfDb5Yqswe9ZK7r6sZJ3/4K0/XNZKn2DbWi6Uds1yrqiwnRt5lkDxJ7zm2YOQL81Xvqrw - Z5/jpeU8Y5r1U8u/ai09ufKX1vO5p1+a8/2E11zlqQnrT4jr3rWb0v2EVvTU9X9VsPoLtodzNbxu - rQAAAAAAAADcEfVSy9TD/HTVXlLto/5ZzmdeV+33fho3s1KKHj/rWG8MiIhSynTKMW37tpyuENWR - 6UdyuwG87C7ehwEAAAAAAAAAwGvk5OTk/v37TdPUi4X12mHXde6+XK7dfTab/aN/9I/+5J/8tnFU - uX6WX9M0EdG2bS15HEcza5qmXpJ0d3dfLpdN0wzDQFA3AAAA8OJCKirLfimXsjQOGsYHy+WDMXso - m7Irm4pJKgqzuHOPKLdd81bOpW0/E+n7P/VTSkXJG98sW1JOGk1504XQnas+nqwkFZ+i4kMptk01 - zVX0XT/1Uw9n3aqU5GrU9RF3qoUWk1p182bZh4fud/Ph9N0Pf8WHdLJULkUapa6ZS4pSQhqfr2Mt - AAAAAAAAAAAAAAAAAAAAAADwuPqget/39UH1+gB77dG7JnlHhJnVDrWnbrWvpZSx5nabq2n0ZV/2 - xd//lz8u203Cvssuy+1+wtAY7nUI4DXi217Nn3kYL/kQAAAAAAAAAADgZRAR8/lc23sGptzu2vd+ - zeEehmG5XGonlhsAXgdEgAAAAAAAAAAAXmtt2+acl8vlYrEws1LKMAzDMKRuNp91Jcc//af/9MMf - /pblsg/JzBQ1dexZrymO49i2bX0E+vj4+NGjR9Mj0E3TjOPY9329ilmfjq5PSgMAAAB4biaZbN7N - JWm11jB8y5d86W9YnrTJ1rGJEQ5TNkmyEpLdtXsGH/bD8b17vxr+kU/9rO4fje2s9H3XdCqboO6k - Euql7tmPTXBHmJQUkqK21CJtu33q5Jrd18npo1/z+Yv/75dnRdH4euzbO7aZ+0GufJxszPGoX715 - 7947J6cas4a1pUWSkqRcLCJ7cfcgXx4AAAAAAAAAAAAAAAAAAAAAgBfWNM0wDLPZLCJOTk7u3btn - ZnViSqlt26Zp3D3nLKkOr8VdpWgchvmsXa+HL/jCz//EJz5x//79YRik4nGARQLwJHfqiSK80q67 - h3/dnhZ73dK779z2LbddAQAAAAAAAAAAXhpN06xWK+3cMzCbzdbrtbu3bXtycpJSKqXM5/O+7+s9 - BrdaXwC4OezvAAAAAAAAAACvtZqr3TRNSun09DQiZrPZ0dG905OVmf3Lf/kvv+Vb/ug775zUF0c8 - zyPFNY07pfTo0SMzqxnh7l4DvM2sXsV8vsIBAAAAXBQl+r4PFeVBy+UXDTpyH8dsIdOmI4kwRY3B - vmNfxbO52vZXS3nrwRuaz5XaUUm+7e0gakcP23t+7lwnCHgGRQqV2mPEZgsWlzQWFek9b/5P//gf - P5wfrbrZaYzWptus6gUemre2HCKbZ2mQ8smjzxuGb/2KL5MVU4lRCskaNWks423XFwAAAAAAAAAA - AAAAAAAAAACAV0d9ID3nfO/evYhYLpcRkVJy9/rQeu1WezabPUfhpWyiMdfr4fM+7/7/+r/9L1/0 - /l/76NG7RGYCN+11ywkGAAAAAAAAAAAAsA+10/vZbJbSpg/D9XotKef86NGjOrF2mN91HbndAF4r - zW1XAAAAAAAAAACA25RzNrOu64ZhSCm1bXt6eir1733ve3/2Z3/u67/+Gz796c+mZDnXML9zlxKL - tA1auyLsr0aDa3vNsmma5XKp7eXJ+nR0HdbHoacrmgAAAACelyebpTZJK9nqv//gl/3bfZ+Hwbsm - xtGLTIptp0GNikslStyZDOzRfTU/+rT59/z4j2m+kLcuNU0oiurdjZFkdSFsczxyZyqPZ2Imyc+i - u8vmODC55HLT0YOP/PwvfOQDH/jCWRf9SlauOuS8FeMQnWttlrp21g9J6qx8dnmq5bLrZjJXL7Uu - Ffe6lNyVCwAAAAAAAAAAAAAAAAAAAADAixqGoes6SU3TSBrHcbFYSDKz2te2u9dH2uuP1+WypmmG - ceg6//jHP/7FX/zF7r5NAS/bZ+qf/xmBwz8bcc263aWHNYDLlNuuAO6Owz6fdf2HK6/XOO/Ow5s4 - DJ4fvFUv8N0MAAAAAAAAAPDqMbOmaaZbCMxsuVy2battN/h933ddV0px92EY6q8A4HXAdTUAAAAA - AAAAwGutXi/MOZdSjo+PI8LMjo+Pf+mXfumbvumbfumXfmUcS83tTql1v/Z59WEYzKwGcqeU6hPR - TdOUUiIi5yxpvV7XH8ntBgAAAPYiijSE8qgyvOkxDif32vnYZw9ZyB/rWKfctU5MRku/Gv49P/8L - un9Ps1byPBST5ZKVilySKxpTKzVBvxUvH5e5zE06OwIMKXS6XEWjXkmp02Lx7r3j0zKmuFvtU9K8 - 9ZTSchhX/eiSh9br/r2LThFaF/WhVjmXYciNlFTsjr3FAAAAAAAAAAAAAAAAAAAAAAB4GbVtOz2f - nnNu27bv+5rVPZ/P64PwZlZH5vP5dcvfye3+S7/lq76ylLJand7BR28AALeKDwWcM31VONwQAAAA - AAAAAAA8q2EY6m0D7l5vLSillFLatq3d42vbLT+53QBeK81tVwAAAAAAAAAAgNvk7jWu291Xq9Uw - DG+++eav/Mqv/pE/8k0//dM/J2nWzVb9YGb1MebHPFtCXkSUUup1Skl9308TIyIi5vN5zvk5csEB - AAAAXM6laLV69+s+8IGvHOON+bFWg8tHRVgpkoXS9oH9YoonFnbD1sl/2ZOUlFIZs7e+aJohj01K - o4pMjbwmPZtptFGKpGTigOLlENIg95q7riK56gY1dUfzteRuajo14/f+3Kf+wm/8gFa937F09mEs - 69CiWTRRurwu0oOFPv25d/7IV3zlX/6Zf6ajbtnnbp4atcN62TWtEo0TAAAAAAAAAAAAAAAAAAAA - AIA9qA/Fl1JSSpJqn9pmVrvYrq+pXWyvVqvrFj6Mg6Rv+7Zv++2//bffu3+0Xq/NLKIkM9UnH14t - 5VVboJcOz5s8AYG1uFF+zQcsi+la7ZN3+8vFnv+B24OFdscdakRx574/3LEPCztwfe5SYwAAAAAA - AACAOyulVEoZx9HdzczMpvRuSev1ejabjePYNOTYAniNcJ0JAIA7pWz/AQAAAACAG1ITtSOiXk2c - zRaf+cxbf+Lb/tQ/+Sc/7i6Trfu1mdWLiGbXfoBm+pOa3l3LqYnd04+SUkqXRIMDAAAAqGIziJ0J - 2/FSfwhp+kqdJcWovnxB8UVKj1YnUphcKsU2f2zhdlOdBhVTMRW55BZusem8oMgl2fZfNj9pZp+b - Lf76L/6iHtyXm7dtXU5Xmq4jbh7s310deHkUKaZLwnGuKasfB0lyaT6XN5/25nTWZlMKWcjCi7zI - a4vatJxNczprS4fmLpc6t5LHURqloeh+Z2+sBw29+vV8noZx6Ie+m83kxi1qAAAAAAAAAAAAAAAA - AAAAAAC8uJrJLammd4/jKKn2sj0MQw3zdveUkvtz3sn/h/7Q7//6r/+62bxdLpc5Dyml7cPydy6m - EgBwe+gxFRcdIreblgYAAAAAAAAAwPXUS/zTLQSllMViERFmNp/Pa1f8s9lM0nPfVwAAL6nmtisA - AAAkbXpjN8lMEeGmCHlSVpaJG4ZedRyIvsr80JkZdr39w13bm9D6Abyq7CXPTIoDPy53x9aPS1Lw - oXRrDt3eXj937Rvf3ZKH0jSNJZVS6pVC84iIcYyu65b9MGvadr5YLfv/4c/+uR/5kX8oqYS2CYF5 - GLKkOLcTe4Z9Wg0Fzzm3bTsMQ517rUB9wTRSr2UCAAAAOC9Uj3cGuaS2plxLJiUVlaIimYbkIaUa - y22jYq131++z+93y7UYaurFERFEqcrl2cr49VA55iFpMUfPClRRKCpOKlKUwL6EUxSV3vRPx8MHn - /bmf+qTmM7UuP4tiTslC5pLkJsmlkExpE9jMyY2XhkupbtW6IetxpdWtGMdNOw59lDB3mX3053/x - T3zZl/7GR2/P1rlVGqSQq/V1v1608mGz4UM+hstKvTJV7LCp7lHUmaw/TfJes1BRP3RN3I8TDae6 - N7fIcxW1TciHiNbooAsAAAAAAAAAAAAAAAAAAAAAgP0YhqHrutqJtpnVGG9JOWdJdXxj927+xzvW - qH9eSjEVNy9RJP1n/8l/+Cf/+Ld2jY9jP2uanDWu+67rHitz41Xo2eDg/YPhKV6FVnRgPDKGO8rp - rOmVdhc/Hq/Z5SZuE/sHAABweO6etx05RkTtUPK2KwUAAAAAl+j7vus6M6uHLdPF/YOaZlFvIZC0 - XC7ryDAMi8Wi/phSqrWausovpdQbCXLOU1f5wzC0bTsddk196QPAy4jrWAAAvBT4yAZwBW5NA4DX - mh9qGAceHq7mzzkE8Lpo29bMSik1PHsaqVcuF4tF3/erZf8X/+Jf/Ft/6++0bdrjPZg55+mZ5HqV - kUuMAAAAwPOJy8fLuSnJBi1Pv/2r/732dPCcj1Jz0scqS5JF7Xdoc1rgBjrZ8VAqMilMRS6Zy02y - kMyyFfPNAsRs8S+GrMVcbdrGO5+p4c62+/NmCqc4XiYmpctOS4UUEUO/nLWNtUmrleYLdfO35otV - Sk2yrJK87WMcx3E2s/UwRXS7phZ1U3zzPtJonq2R1BU9MP2p3/whnTxSKTLXMBa5e7q5agEAAAAA - AAAAAAAAAAAAAAAA8OqqD8t3XVe72F4sFjqX1f3M6uP29ZGcmtv9tV/773/Xd/2Pb7zxYLk8iYhx - HM2saZob6DocAAAAAAAAAAAAAIBXyTiOXddJevToUdM0kkopdeRWtG3r7sMwHB8ft20rKaV0eno6 - vaDmdq/X65TSOI7jOEZEfaWZ9X1vZuM43lb9AeDF3douGAAAPBuXiofIFHylsXHx3Ip0vfRuWhsA - 3Iybisg5ZKB1HHAYdyKuexoWSTIeFAReDx41q7teApRkZvX55Jzzcrmaz47+2l//xA/90CckDUPe - 22zdI6Lve21zu4dhqA8q72sWAAAAwCtum1bt008hM0kKybyedlAnZSmbUhSd9pLPhjysH92fH69X - D49myiF7/Ga/kMrhT55bKEkRWqYoqbQ5JCUpVNa5HN1ryziuVzGzLs8Wf+VTP6EZJ/RfDxdOI7q5 - d7N+HJIiHc21WqtpPvETP/4dv+79XY573Xzoh5nMI0wK12jKobbUOPASUvbLS957xYtJoTBJRSoW - slAb1g6jPGm1VkhHR8Nq1c7n9tQSAQAAAAAAAAAAAAAAAAAAAADAM0spmZmkruvqY+xPctlTBhEx - 79q+70Mh6Su+4sv//J//rjfffPOtt96az+cppdVqJcnMIqLOCwAAAAAAAAAAAAAAPIva/3zf9/fu - 3RvH0d1LKbcYfT0MwxTOLaneBlA7zJdUSqm/nc1m4zjudp7/6NGj4+Pj2Ww2DENN8gaAlxQd/QIA - cPfxeQ3gKuwfAOC15Xcg8foVGwJ4LdTcbjNz93oVMCIiIqVUih7cf+Nv/I2/8dGPfiyl/c+3jkTE - ycmJpLZtye0GAAAArisk2+Z2a3tIn+W5/mCuUNre+6di3/iV/47effjAu3dXD4+6dlhr6OUhl0te - VFOHFaZim/EDcSlJJrlKWGQpS0Wl/qrvh3GItFh8Vk3z636djmdKhTMWr7yrWlyWvGm9aaJkzWdq - kqTTo+PhePFwGOezWetqreR12Lb1Dqa8fXcUKZvK4XvECinMFS4VU7jkoWG5Ogr/rv/oP1aW2pmk - eTfrl+uD1wYAAAAAAAAAAAAAAAAAAAAAgNeDu/d9b2ZmNpvNnp7bfUUhUqm53e5673vf+P7v//j7 - 3//+5XJpZiml09PTlBKdbgMAAAAAAAAAAAAA8BwiopTSdd007u5p793fP7OmaUop8/m8jtcu+tu2 - rVWaetHXNs87IpbLZUTcu3cv56ydnG8AeEkRDQIAwB0WU5f89M0PvKzs4OcNrrd/OGj0yHM4/PoB - gNtx1/a313Xo/fPLvn6AO83K01/zOguvDyHX65T1WqC7n67797znPf/H//53/+yf/Q5JOcvNS+xz - ZdaM8Jzz8fGxpPV63TTNLV4lBQAAAF469XSFbyKJXdvk4yJJ7iZTDT2u8cWhh4/ufe7RF907nr37 - sJH3/dA1kpTGs8jkzTmKw5+pLlKRTGpKMVMxH0MmyfTmg9lb76yT7N1V+fTnv/HRf/j3FWPNPMYr - zGrLna7y2GYQ0hilMZeU2iaPYzLXYvbdn/ypj/ymD72xHJfr0yR10qzVapRCRS5zSU2ohnlnU3Mj - 11+KkkymcI21/vMmjVF++Rf+ufpeJqWjkstiTnsGAAAAAAAAAAAAAAAAAAAAAGBvpq691+v1U14a - F/unKtp2uu1JOevoaP7X/tpf/aIvel/f98fHxzkPwzCUUtq2Xa/XNcl7t5NuAAAAAAAAAAAAAADw - VO5eSun7vmmatm1LKTUD+1aM4yhpGIY67u5mViea2Xw+Xy6X9ZVt29aJi8ViGIa2bZumkdR1Xf3x - thYBAF4QOaAAAAAAAAAAgNdFze3OOUdESqlpGvfm+Pj4H/zI//XN3/zhcZT75mVNavY434jo+15S - nfVsNiO3GwAAAHh2NYa46Cx1e5oaUkhZ29+FkorGUWYP1n3/ubdS5FAZpMY8Rpnc5CELXdr90GGZ - ZCrFlLdzfvuddZfUNkfj0f2P/sxP63ihe4tyI7nLuEO2W9ykxpqsPEpZ7k2jppFJTffw+DirObLm - /syztBzUJnnIw7OreNkE2d9c4/GQh9xVbPtzHnOzHu6v1zpdKrVy9zblkf65AAAAAAAAAAAAAAAA - AAAAAADYg4iQZGbuXh9Xv2an2Gd3+Lsr55jP0/d938e/5Eu+ZDabmcejR+/WzriPjo5KKeM41q63 - 97sUAAAAAAAAAAAAAAC82sysBnXP5/OmaU5OTkop7reWG9t1naSc81SH2ku/JHdfrVb1VoQ6Pec8 - jmPf923b1qWoXeuT2w3gpbbP6BEAALB/4bJ6ozMdmgMvJ3v6S17IjceK4LVm1/wwum77vG75AA7n - 0J9fAHBLQtnMFTbldg8l1uv1L37qX3zrt/6xcZSkUpQ85RJ73BdGxHq9ns1mdXxCejcAAADwnGo4 - sUuSbX8KyZJUinLROHzbl375B8yO27YMS0mLRfdo2V9amEkeKtI+DwOuqPIulyx0f6aTrIdj/ty9 - uZo2PJkb52ZeeVHPwFnZaRmuUEROnoqSyyWNKh5Ks5m8+Z7/58c+9uu/bLVexlqzmca1zJSKyzRs - 3whThPahWdT3i0tFKh6bCtxrZyfj+J5SfuB3/+5v/NH/O3Ky1FjiYhYAAAAAAAAAAAAAAAAAAAAA - APtRO8IupUhy9+vkap/17dMkkyJL3/Ed3/HVX/3VqTHzGMd+MZvV7raHYTCzxWKRUhqGgefiAQAA - AAAAAAAAAAC4ltoL/Wq1Sim1bRsRdns9Tfb9pjfOUkrXdX3fp5RqLHdESJrNZnViKaVOqeoUd7/F - ygPAXtA1KgAAd8zlmQBEmQK4gpVN2vGzD3XHhtetP8PbHV7L87RPAACAw9q9tleKTk9P337rnW/4 - ht//uc89ahqXam53bps2LknWe04559lsVuO6zazWgeeTAQAAgGe3CSS+IgC7frcuUliRZY1Z6/EN - ydfLFNkkS3q47M3VNWm6VabYjZ6WjN3KW5nGx7W8m72zmH3Xz/ykFsdjO89y7ud5HWzagG3btyTJ - ZS61cpeGoXc1Mpc1Sq0Wx3kxD6WStFyrTSqjXPKQ66wxW7jFjbSf7Vx2L28vh3VnOi750//vP9Py - 1NL5BQQAAAAAAAAAAAAAAAAAAAAAAM8tpTSOo6TFYiEpItyv/xCBKefIWX/sj33zf/lf/edtl3LO - fb/qum4cR3dPKZlZndfDhw+Pj4/3viAAAAAAAAAAAAAAALzCcs5N00iaz+e1O/qIKOU2c3lSSvUe - g5rMnXOuP9Y+89frde1Fv06pE8dxrLndtYTdSG8AeOk0t10BAADwmKZphnGIkJnc0zAMs3mrXK5K - DqihX9oesdTjkxs4SpkOkGJL0vPcwH2Zqf7TLHZ/3Ev5u0Xd2EHduflqu/b2td6ew1Sf6bhXN3uU - u3u8va/51qJ23xq783pxl7afJzXOva7Oc2/zs/mapPJMQ0lWFJcMQ1kRsmKSeSgidPkrn2NoMtmm - zGncXJHLs9b8uYahUBQpTCGTWZhLUin7mW8tP6KYwkxSMbeI/dd/dykuTj/c8LL19hwN95LlmkqW - hfRi5V+3OhfesHuc727h015oX+XXcmxrL2U+o4N+NFz8CNjv5+P0uaCdLzC6gc8700Fneu7DaO/f - l0wHXm9P+OQ82Ie4tt9XdwvcfG3Qy52eu9sAdr+l76v86XLO7hwvfrl9bleVczPfSw93aHDVAdoe - 9+EXjy+eo5BSyrly3H2Pn1+60ET3u553k7CneT1hLl07Pz09nc/nETGUmLX+1mc/9/t+39d99rPv - SBrHImksIXk/Ds9Rn2nW7j69U6aVOdXz5j/NAQAAgFeAyUPanOiWZJsT8EmKrEgqiqzSxajV8Ee/ - 8rf8hmGYmcaxD1OWlFSkoeRWjVRPf0uShTwOnixc6xAhhdwk1ziOozQzWejRWD795lz3Wpk3UgmT - cUviqyy27din82QmRZHJzRVKJkmp7c5enzy1zfzf+qI8nqwfvbNwjUVuqtcNmrJ5WUgp9ntt6mpW - pLK99qR6ID5LaZlzG/Gguy8LjYM6LzITh8EAAAAAAAAAAAAAAAAAAAAAAFzP9Lh67S6jbdvpOfrl - cqln61yidhmRc67jJWeTQvo9v+c//fCHP1xiHMdxNmvLMJaSU0q7j8mbWdu29W8BAAAAAAAAAAAA - AMAzqhfrq93o6ymJY7rc7+71xoDam33btsMw1LCA/V6vn0obhk0P/HWOu5EEuzch1HsGlstlzjml - VIfTIkw3M9TpU8m79zbQFT+AO4XobgAA7pAmNfUQxV2laBiGpmnNruyb/9L8bB3+qKNW8txc9hjt - di50bTri2tfR4FUpbjdwtLYbcHjrUW05590mpAuhdwcyrfyLQa17KX+69b8WWEp5err2dZyLG396 - qui+Ek4f31JTHbbvu/wswzBJWXHJMCVF1HBBlRiVFTFGRErp0tdfdxgaFar5DXXcLKLIzWoexYGG - KXmE1WZQl0gadXaKqrzgsGk8wqbmJqmUMSK2Z71etPyUTKppqb7TEOr5soOvt4goJSLGTfup7eF5 - nF8ud9V5TdulDpvmsIeo5z5Wdk9E7qX8KaFz2vNUz7vezmvbVjtLsW3Yz71druHiLm6PHxbT53s9 - +Tttnb1/r9Djz5vta7tfKkzaaWm7n3372l7jONoFOlgU/bQUe9n08cQyDrre6mWAi6su9rbabsdV - 7Xlfb9Ur19uevr9d1W4P/b20zne6QDXZ1/toOm7anYX29723fp8/N3HzfrFrLEJtP+e+be49xXx3 - ZL/7q9oUL6akX9V+VqvVfD4vpbTtbL08ffjuyTd/84f/1b/6lb1URttNkHOeGljOOfYXdQ8AAABA - 21OZkkodzzJThEaNsiIryuV4ue7KaJJMxRRSMXnUyOTikuRSsSg382W9mIaiN984fvdzJ1bkrjY1 - rflq6O/P7n26X33fpz6peaPQsCzdvMllnfzg5/1wu2qAt0t27jy9NleXpsYZpvUQRyn90b/7d/7M - l3zxr5+16odFaw/7aEwKpSgWU6x9sfAnn4J7cS6VkKzIYpMZLkkac74/mw3D8Ogzn5GK1it17ViU - nFvUAAAAAAAAAAAAAAAAAAAAAAB4VlNvYLWr6+Vyef/+/efrhK32UZOSmTXjOJoUod/xO/6D7/ne - j41jL8ks+r5vPc3n86l7bgAAAAAAAAAAAAAAsHe76WBd163X6ylgq/Zvv/fYkefTtm3OeRiG4+Pj - vu9r9U5PTxeLRX1BDThYr9ez2WwcN1FQNVXHzKbph84hAoBnx/4IAIA7wiWNedz84F7vlm6apl+v - PdmlCYe27bA94rEo331FBV/FUrsbV7xJR7PaL/s+ynefSo4IabNEntq9lD+Z4tNu6JjTJCmmPLmz - tXU7x7rmrikYfkpeNCt7Tel7gmk2m+jmPUXZrYdheju4u6e0CfDY0/atRdd/sZMO6FdEiu4rGPax - yPMarKi67q4R3bFZFZet6SHX8s3MPZ2l59azG4cQkpmVctj2lse63U0yc0vbYOB9LVc/5LppauSw - u/te11uNkn08irLuuA6buZGHzVM6Zm5+FmU65v56BcXl74Aom1OBU2vbzLcc9tEde3y9mTa7vryn - zN5xnNab2bZJ7LO99evHInOTbzJcbmC//Xjk6n6l1JwlxWqTGL+ogj/NAAAgAElEQVTHKPcSUfcB - ejw79vmeRntWIbNtcO7OrN1s3NN8m647y3HfyazdVyR57LwvNutt+vTZk0vfeFN4sO1kCZuU9/Q5 - Xtfb9C1T25B492Z/H9pn78obS+q9ZI5m5nv7XtfOH1tvZTu6r/aWLqSA7zfi+ip1PzMtWd39TNNf - 3BQ5P5VfR/e23i4/PirX/d5rVo+/NH0k1wrvqwHvHjzufvfzPUV2mVkotocAT2884Vr2w3w+P1ku - Tenrv/6/+eQnPzW9PF54V9A0zTiO9aA+pXRyckJoNwAAAHAYRfKYRk390HcLf2d4NLOkfjheDV4i - TEXnL7KFlRIKk6tMpdzMpZG3P3dSpPcctevTYVQpskHpX4959XlvatZmRWrUJVcoqVX4gc8E43Zd - PAItsu2FAfkmyVuSZNLcTSX0nvmvLtr3l265fmfZx1Hry1IsNCvFpFEKU6p33sall5f3q5hcUeob - Tdu30mq9XkrveXD0TV/1W77/Z39eY26a2aGrAgAAAAAAAAAAAAAAAAAAAADAq8TM+r5v29bdc85v - vPFGzvno6Oj09PS6RUXE0Xy2XC27rsmSuz70oS//2Mc+NgxDki0Ws3feeef4+LiUsl6v99UfAgAA - AAAAAAAAAAAAuCjnXEqpcTbr9Vq1p/2Imtvt7n3f117uJXVd1/fXzAnak2EYpnBuXQiPqMl6ki7m - cz969Oj4+Hg2mw3DUJO8AeCOILobAIA7xM3rAcY4FnfVHtubppGVS6ME60FIPXyajqlSSoc+ZMp5 - M19J29hlxSZGeA/GcTcqchN9KmmPEZtTvGWNdtsGvB32lvGdPGnbOZ4sdp3o5b3XZ2fEtkHmh51v - bavTjGKT1LqJDHxxs9msbGNfVaMJNsu4rwWzWmGdBQSapJwvz/XYV+JkTU+smc07Qc6RUl1vXnNK - njos5nbZdPcmIkdYRB7HIpU63jTdM5b81GFEnsbNQvKIYvso+QnDlNrduZeSI2z7vttD+V03j6h5 - siXCStmst2m+Lzg0SxF5X7W91nx319t2GfO191ebt93l5UdYzpstsp1+2B3QxRTS+g5NaT+HxrGT - 7xMlQspjlrSvR5K6dn4Wcb2Tx7uv/edVHks/3YnX3df2im1ebP0kqtn0EbGnRPXNB4Gdj52OfUXG - XmWM8vjp480Xp33Ndxjy9G4yS7b5uri3PYaFy4opyYrCp2EUOzfl+YblivnmvPl2VEqelqh+auxl - uXKO7edR1JJr+HHsKcjoXFrwHsOPn8VZ8Pm+ZzoMw+57f/te3Sfb7l52Sz50dPd0fFGXaDrG2ddx - R/3eOxWufUeSX4zonval1y1q5/ho/xt3apPnmuh1I8avUhvPbuWfHC0/67r1er1are4dP/gDf+AP - /uRP/pTZ3r60SxrHcarYer1OKZVScs5cHQQAAAD2p57l1tnls6RyOs6OOsXwRjvTav2tX/6bfkMp - Kba53aHdi0DFJJNHkeQhu5nUbul4Nl+NK3e9ezp00huz+XIow/zo00kf/YmfUNOq6SJk0rhaNW3L - HT2vvOkIPKbLc/VyVmzHdfYKNymy5s0P/bOf/XPv/+BvXLxHw3I19KWRq9jmhJeKyeLAlzwlSZuj - ehs3VQ1tLm27UmNtsn+zWnmEhkFmRnQ3AAAAAAAAAAAAAAAAAAAAAADXMfVXIKlpmq7rJD1HbndK - KedhtVqZqe/HrrP3ve99H/++//nXvu8L33nnnbZt3n777TfffHO1WvV9P5/P99UPAAAAAAAAAAAA - AAAAuCilTe5PvUC/WCxWq5WknPOUEVBKqUnet5XbLalpmnEc5/P5arVqmqaUUkpp27b2uj9Fd2sn - 5mC1Ws3n83v37tUw70MnTQDAddHRLwAAt+6s7/QScksRWVLbtp/85Cf/9t/+20+ITtu9tboGldXo - 7uPj44PWOG25+3QUZDtZni+oLpFvTfPaV4bcuapOcXo3sN4uLI5FuFTjJW7HFHHt7mbu7nuMSL9U - zmGmlJKdpRDsU9+P2glKr4m223T2vdmWX7PY3d2HYbj8lXuabc7Zzet6s/qWlyJirI86TFkOTx2W - y6e7WcgUbia39HhEqxT+4kMzKTwkU5KKws0t5DJTHGq4HrJ5uLm5m1KYolhIyZu9RM/2Y5FFXUsm - N6/r0Mf8zFvkKdsrpnFTbccuU9lX+VcMy3j5envO99GF9mBKUYNyTZKbu1tjHgd/dCdCO9Gh2iaj - jHva79X86bqHt+2k/SVQq6YIRdQGsdn5mNkUEXogNZL8LPrapul7noUkk23Pq56dMn5Bvo1mj636 - YznwedqdiO4oZcpa31umckqtVOoXQMkl234ymLSHf2FuZiGPiFI2Ddks3JMk1cD4Fxnq8mHbtjVm - OyKXot2YbW0ytl58uAnqjjj7TpjSAfOMbuB9On1Vro182p3ur72l3WjnqfB9ff+fCt+deIgM6Yvz - 3Z31NLurIp+v62IM+aVL+twu1nMbiX29crbfLje737oT2OO633xybbbp2TfzPX7u7xZb52mmnC8v - f9Wv5vP5MAx/+A//d//gH/xDSW3TRhmLdpf5+evWtm1Nux/HsR5e1QPA5y4QAAAAwKQeTZmk8N3v - 7XlUWjQqWS6No/pybxgeNM2YNzHGFu71dJCV2J63L5Jvj8+KJHkc8mKNh/r1atbNVm3JMdxPvl6v - HkqnMf/XD+7rzXsqKn2fvJE3zWKuwx4T45aZzqdrb5r3Vdu9ZmPHqC5pNv+M+YN+vDeWRdeelEGm - kIpU5PECh7TXsnv9q5hcbvWNWcpJr5Bmx+173bVcaX4UY29NdzMVAwAAAAAAAAAAAAAAAAAAAADg - FVA7llmv14vFwsxqZ9xd1123V+6Sh9qDQIlw13w+//jHP/7BD37wnXfemc1alx0dHa1Wq4iYz+e7 - /YADAAAAAAAAAAAAAIBDGIahbds6/vDhw6Zpalf24ziaWc3Mbts251zHb6WSdb41jat2uT+FbpjZ - fD5fLpf1lXVZzGyxWNRFa5pGUtd1u0sKALeO6G4AAO6WEqX2zb5eD3/zb/7wD//wDz81zsxMU/Sb - mdwt5wNnUW7V9LE6920c6h6cS7KrMWy6fgTdteyuxhtQl+gm53hRSo9VICWrx+GHPmSdzWY1wvxc - HPu+Igy1DXpsmmY6ubD3aMlpRtOydN1hIwe6rpui33enp5T2EtdcSkhRl6Zp2qZJ7qlmHO+lfDO/ - ON1Mx8f3DhhArXBP7pZSbQuNe8243Szvi5dfd33TekvJ97veLq7DOrx//8Gh11tKfnG9jWPe77zc - zT01TWqa1t0Ot0R1WNfb7pqclndf7WG3vZnJzCPKvsrPuUzrrc6lrreUmoOut1KKmbbxyR5RDtC2 - i1TzXF0WkkWUkvfzPpW0fVeqhg7X9+m+9gNXrrcc23mFueqSbqNk99HeikKKInO5eV2XUijq+IsO - o5hcJrfNf+7WeNI4lL2Uf9WwX4+eZDJzq0HnpmQeeYy9bBk3t7quzGrJCpeVfV1y2P3KUb/n1OGh - U3vr5Yo6F9vaY/mllCnlerf8fc2l7kPPlbnH729XmdZY/XHK1d7XfHfz1C/O98XV6Ovd3PHn2y7T - Bt2t6h7Xv7vHVp1Sx899rX1BFxehXpa7pD6N933/Pd/zvX/v7/39rmvGcRzGoZaxl5rUi4hTMHmt - GJcGAQAAgBcX27DudOFgxTsppHDFqEdLFX3B4vitz/7yg9QUeRNyKYWSlKViCp2lDltIppCXC1HK - +2XhjSwPw0lfjhrldXHpjeMH/6ZpPvHJn1DTqkmtXFGUV2rnWdrnURPuHqst2c7atiSvR6eb85qb - F1RleepH3XJcL9r2L/3CL373l375/HRY9X09vK7nOsNUQ+jLTgEHUmynesWLvLZYN180WqpoyPfy - 8O1f9e9+98/9bNPd35yjAwAAAAAAAAAAAAAAAAAAAAAAz6CU4u6z2czMpqfXr5vbXaVkORdJXZd+ - 8Ad/8Cs+9OVvvfXW/fvH4zgqNAzD0dGRu7/99tv379/POe9zMQAAAAAAAAAAAAAAwON2owRqbEG9 - WF/vEKg3CaxWqxrmfVuV7Lqu7/ucs7tPmQgppTpltVrVgIMaYzENu67LOU8vo3N+AHcK0d0AANwh - bl6iSGpSIyvjWDap2E/8q908tQiVHPsN6ruKmZXHU+j2laxXI9ym5LwScfDe5fdX+SeYgvTqWjt0 - EuFTjY/fIZ9L2Di628np89ydfx0nBy7/RpnJ3cw2DyccTm0vNcl+9z2e836iTG9reBZBcZjhFDFs - rt244T3ON3Q2PoVB7zva+HzND1f+zQzdNuttWns3v1y7bWBf861lTiWH9rxEl66lc237EMP7947N - YzfkOIrJSh1/8WHJMo/kbWqsbWapMbdmj3Op5ZuSrNSlaFJX57XHpbg47JpZSpZS6y7JpWKW3BVh - Uo2CeqGhmUnhnpomtW3XNKkG0u8rkrxImzB1hbaB8Sl51832Ur7F5dPbtkvJU2rcbfNKc3eL0F7m - W6PT3VNK3jRt06SUmnOfrXs0ff07Ojo6RPmTrutSSimlKRO6XqvY4xfOWmBKqWmapmnqvPb1yGW6 - sP7rqrt///5eyr9KRLh7XZxqvy2hrv+aql5XXV1v02OxL2j3uEk6S1U/Pj6+VjnDMNYa1rfJNka9 - vnH2wMwjNpFhU51LqZ9ee1C34+Y4K4pkdXFqhPYlXD/0Q3/lr/7VT5SinEdJXTvPOY9lP+25aZrl - cimpXhqs1zW5NAgAAADsRT2813TyY3vokqV+tT5atBqkdvbffuCDH3zY/5rZvVVeWbikFDWWu9QD - nXzhcKRIYSqS7+1I+kLlTZIn9zdjbEoepK6zXzh59+EXfoG6mdpW8vV6OetcbTrth65rY18HTrjL - tpu5Nr1ylt5dzx5smqSZ/KjLw7rrZjo5VZSHs+7e2M7XvRWFaXCFFLZNBD+wUk/y26aJhslqE5fn - GPOgXkpzvx/21sNHsqRhVNfdRM0AAAAAAAAAAAAAAAAAAAAAAHglTB1WSFosFsMwPF9/3ClZzpuH - DT72sY/9tt/22yLKm2++5/Tho6OjozH3MeRhGIZhePDgwTAMN9N/HQAAAAAAAAAAAAAAr6dxHJum - Wa/Xs9lM2+juiFgul1O0Qdd16/VaUtu2V/a3f2B9v8kvq4Hcfd/XQG5twxFms1mdWHO7pz+sU2p2 - wK3UHACuQnQ3AAB3SD2KMNmYN3dIN42P46Zn9uuUs/+6XTEX2/Qev9dowOk+b+lsFntXD89uMj97 - E8S+WW+awvUi9hMR9yK2QennVv5BTMf8B1r555rifkMrpzLrSF2InGNfuYZPFaFtdunu1D0MbUqG - CEnbhODtdL3w8KzW5+YY+6n/U4dRHptysT7PN3R/rLRzc3nx4SXbZa/lP+N8L53yIsNy7h2zvy3y - 7Et3iJJjGu7YJHnvY3jp+2Xvre7i8OHDk82ybFfdfnerZgf/6nJuFmZKafqKdbCZSpJqjPJ2773X - 8ncW6myPuj+h88HwJqVG47CfSPgnZwgdbr25H6TYJzjE1rnKy77edgPqk1RMFvsIun/aMEnh8lDW - TcwxTB4q+xherO3zrbcuKXXtrGmV3EpkRZIpuXLZSz09lBUeSl07bztvmzolyfazHkqEW5JlhXJR - 8lnT1iW69PWllE996udqfrqbS+qHfo8tue/7erxQ4+1ravs2EB0AAADAnpjk9Syjm6SixWJWyuhl - VB/3+7xQyf2QrOYfT8dAOwXEztmJkPz8Cw6hRCk5u4pcIb09hn7Ne7/3J39K1oa8SN2slXK/XC4W - Dw576gp3z+5BY2x/jMemROq69TCmxZFi9pk23R+G93jKJdcXhLmFpPJYEYdRD7Gn6lm4h0ul1Dmb - 3jOfPVquk+LNN+4pj/JWsfMus81VApum7FR4+ysAAAAAAAAAAAAAAAAAAAAAAF5fttU0zXK5rBPd - vZTrPXRSSjSNxlEf+cif/l2/63eF8qxr33333eP54vT0NJTv37+/Xg21s28eigcAAAAAAAAAAAAA - 4KCapsk519zuGnFdpx8dHdVu7WtW92KxGIbhujcJ7FdKKSKmZO6cc71voWaB1XDxaoqBG8fR3d19 - G4VG//wA7hCiuwEAuHXl3NhuIt5wFip5p7vov+EExL24CxV+Gdfbizv0Uf25oO5DBITfZOL7jTm3 - TNOPh1vWV2MtHvok1VXb5dAuzujV2F7VDS8Lq+62in3CLCJ06Nxubb/R5YPNZ3ehDrVpHg+GD2kc - HpvyIsMnV/lw6+1wJV/lJncCL/t6q9lOdZiliE3UeznwMEtRNuM3McdQ7Gl4sbbPt976rFgOSw3T - Gni1h4+17Xj+xr37VHPbtuM4RsTukYKZpZSm8eeeEQAAAIDKpKSyCfo1jYosS1KSzKSS5Y3yWkP/ - vraxd9+de+ojb4O769f3zXf4GtrtIdXDBKs5xMUPeRRvoU6eNS6lMktl0GeLfaY50uxITb1XslbP - u/mRipKRXfyqs7P/b/OrXY9v9sfyvE0mc5msUdIPfuqnv/v9Xzie9MUV9Q9Dtm1FsUmsP+A5nRSK - ULE6bqqnJryEacx6Y9l/npoTmXJRf6rssqTkiprbvTmidsnCpZ0jdlNRkeRy3gEAAAAAAAAAAAAA - AAAAAAAAgNdE7at66pJ7HMe2bev02iV39YTOu6bn37uu64e+TkxuUaKM+oO//7/+A9/wdTnnYViP - innb5ZybppGa9WpTPg/FAwAAAAAAAAAAAABwA6bu66fc7hqGXaOyU0qllNPT06Zppkv59QXTX9Ub - DOp9Am3bDsPg7maWc95jPafSplsX6hx371441zP/NGW9Xs/n83EcU0rTYtbfbu9YAICb5k9/CQAA - AAAAAAAc0j5C4RkyfPpwX+p1waZpFovFMAyxtdeZAAAAAHiMSZsoYns8kbhI/dpU5PrjX/VbV599 - 617jKtmi5nOXMIXVoVRjjS98eT9obnetpSlnxfFx97llfrcofd7nf++P/5hylu3cNRg7nRxxhPHa - sJ1/V0s5R9vMZFovGrXp7fWq7VLIJbdwq2Mhhd9A8LvHdh7RuOQqUoRJrR3PvCiksVGjIf+hr/zN - yqMidpt0KOLqaHHaPgAAAAAAAAAAAAAAAAAAAADgNVH7pN7N7V6v17PZ7LrlTP1x9/0mt1tmOUdI - v/N3fs1HPvJnxrGPyLPZrG3bJ0SAAwAAAAAAAAAAAACAmzeldNf7B2q49dT1vZmZWb2doE4ppdQI - 8PqHpZT95nZfV9u2Uz3HcZzP53XitFyr1Wp6wS3WE8DrjOhuAAAAAAAAAACubRzH5XLp7vU6JdHd - AAAAwIG5wmWSSislSTX616S20zhq3Td9//lHx13qVrda0YvCNKo0spOT/kHXpqOjtzXKpeP5NgB5 - uoHHnyXGGa8fT6mVVMZQkcY+jo4emUlKsekwa/N2sGI3FX4dUthZArdFWa2i78s8NUVq3FuzI7ly - SI/lk5vM5K5tO3+8tdP2AQAAAAAAAAAAAAAAAAAAAACvialPancvpQzD8ODBg+d4br32dj0Mg6Tk - 1iRXCZm+5mt+6w/8wA+cnJw0TZNSyjmfnp7WjHAAAAAAAAAAAAAAAHB3RETN7a4h3H3fj+OYc55i - udfrtbZZ3fUeAHfv+366DaDrutuq/DAMKaV6w8PR0ZGZ1cUxs1KKpPl8XkeI7gZwW7hlCgAAAAAA - AACAa5iuPtaLf/VqJY8oAwAAAIcVNdG3KIpJSV6nrdejQlovVcY3Ujuerk7Xq+Omve3qnreWSrIH - qRn78dTiu3/sn2jWTknGSbKd0O4bCl7GyyMUy+VSkidzl+bz7/6Zn3m7RJgsiofqPWDbFO1yNnpw - dUZRG++9mefQMo+jtCqnsV4flaKxbN+/tZ7u2jmEntK7TVF/TXo3AAAAAAAAAAAA8P+zd6+/sux5 - fd8/39+lqrvXOnvOzADxSAb5EhMMngsDOFHMECMh8cSxeEYEghikKJLlxLKScBljFLBEHM84wITL - 4Cg2cf6BPMkTlOSpZaKIWLLjIEuxrDyJwPjMPmf3Wl31u3zz4NerT+/LOcycs/daa+/9fqlP7+rq - 6lq/kqr6dHd96/sBAAAAAAAA8Hpw91rrmA4hTNO0rusHWE+t1d1jtBDUmtfapyl9y7f8m7/0d/4b - Sbvd7nA49N7d/Y033hgdvQEAAAAAAAAAAAAAwD1RSjGzGKOkGGMpZZqmlFKMcWRdj5P+pwDs1top - A7v3Pl74wUoOnosY4xiGmY2yhBDCZrNx9xDC1dVVKSWEUGsNIYxNAIBbRpQIAAAAAAAAAABfg3H2 - cZomd++9z/NcSuFUHwAAAPBCuUkuqUtNfkz27VLaJrWmuv6VT32yfeWtXYzHBe+TblKQYrhqtVvY - m2m7kbrnXE1dI2o5NIWqs4xj4IbJttutr0XqvVUFad7st7tmijfL+DhMbjW3+11Bil3r2ufZumRS - VpqCfdSSrlf1LsmPsfTBbm5j2CO0249byv4PAAAAAAAAAAAAAAAAAAAAAHhdmFlKaaR3j2lJ7l/z - lTHunnOU1LvyZDJ97ONvfvGLX/jEJz6Rcx7dsUdH7/1+n3N+7hsCAAAAAAAAAAAAAAA+sJzzaH0/ - SghSStfX16N+oJRyWuydd94ZYdgppfHsqDQYlQBj+k601kIIkkbf/jGkEdc9TdNut8s5t9ZSSuu6 - jiUB4Jbx1gMAAAAAAAAAwNdgt9uNiXEK093Hqco7HRQAAADwqnO5SeZSHwnAI9/30KQQ1fvl4fpB - sNCapEOtfs/if2vXYa2TYs3T13/LN2tK2sxXvR0zlm9aKnWpq9+36HHcB6U0GxWxMagscvt9Vw3h - JuvabnOf73ZMCneTH9O3e5ByUim+SlWKU/S6tLe+8p9/13eN6O4RKm7SManbjzs+OzwAAAAAAAAA - AAAAAAAAAAAA4PXUex8NtVtrkmqtZh/k8oCcYymtNY9Jpfjl5eZXf/VXv/3Tn9nv96MRtruv6+ru - rbXRIxsAAAAAAAAAAAAAANwfo2Agxvjo0SMz2263Y855IcHohN9aG3nevffRJ/9wOIQQxvSdGMnc - ZjZCxHWWOF5KiTGaWYxR0jRNdzVIAK85okQAAAAAAAAAAPgaXF1dxRi/8pWvxBh772Y2TZM7WWMA - AADAi+JSNz3eFuhY8ZKi5F2H5cHhKi5LjmGXd/pAXYpeqCkrRLmlg9t/+j//T4pdIc0hHzcjSKao - MMoMSe/GE2pVTlGSSpVXbba6fOPL/8+/WKKdHRfhuNvc8u5v3dSPCeJdpWuag4LeXg9v5OnjKcf9 - lXqTd512bH8irv54kyQP8kCaNwAAAAAAAAAAAAAAAAAAAADgdXDqmp1SGs2p53n+AOsptclkkjfl - qC/90i995lOfeuedd3a7Xc55WZZxOXwIYbPZfLB0cAAAAAAAAAAAAAAA8ILUWsf5fTO7vLyU5O61 - 1lprSmlZlrHYiO5296urK3d3dzM7VRqcYrNvXynFzNy9lHIKHQ8hjFqIMc5BZ8USAHCbiO4GAAAA - AAAAAOBrkFKqtW632957CGGEdnOJMgAAAPBCPZ7kG8Z/x6qXsvz0t3/mI61Pkns7lKW437eSmKVo - 2uze8rafs6ZZm60kOw9ZNsllN9vFFwycS0nuUpdSCmalrMpZIVynVMLYcR7LtrcXH33dH99Hg7pJ - MYYurb03aRdCK4dc6gOXWldv5wnd45DuTx7aAAAAAAAAAAAAAAAAAAAAAAC8RkaL6nGheilF0rqu - H2xVKUlSjPr5n/+57/me7wkhpBxKWZZlmee5tZZzbq3VWsfV8QAAAAAAAAAAAAAA4J5IKbn7NE3j - nP5Iwk4ppZRaayOcu/d3Ow7udrvW2lhyv9/nnHvvo/DgroQQxpCur6/dPcbYe1/XdUR6jzmSzGwU - SwDALbtffYoBAAAAAAAAALg/QgghhDFxuj+dfRwPCe0GAAAAbsEx4ne0BgqSyaQoRUnew9uPLmuX - FHO4Vpumub/fym5bcO2C7Zfyzmb6L3/3n8qCLErR202vI5e63NSeyPMGbpgd67xMStPsMWizaZdv - PHIpxRBC73JXSuEWOmid/YWu4/4rl3rpc5S73NR7Twq516l21arWW1kk9erH19+sJUjhqVRvAAAA - AAAAAAAAAAAAAAAAAABeJafM7NPEuB+9tkMI7m5mvff3vXo9xJglpZHULZnJTOZqRSHox3/8x37w - B38wJit1aa2NlY/W2GPN4wJ5AAAAAAAAAAAAAABwr9gNSTnn0/yReK2blvi66Yrv7r33GKOZret6 - KiQYwdinh+OFYyWnTvtmdlrt8zKKE55+6DftEc/njHqG01Mj21uPx5OP+bXW5ztOAK8tqqYAAAAA - AAAAAHiGnHPvvfceQui9p5RijH4LGWgAAAAA3k9whVFzZ+qpLvL2htncdBFVat3EWEq54zE+Zemu - zXy1mzVPmme5SSEFO5Yr2imMPEiSd9KL8T66tEpK6Wd/+38/bDYP6yGHmCyY6VD6rTXRMpfd7Kin - HdZuHrhJ6kE99/rjf/pbtS5TTlIPwc4WHf92OxWxsecDAAAAAAAAAAAAAAAAAAAAAF5Fo/P1fr9P - KZnZ4XA4ddyutY5L2seS73M9ewhhdLIenanNzF0upaQQ9P3f/30/9dM/kXLY7/ebzWY04wYAAAAA - AAAAAAAAAK8Ydz+Fc5tZKWVZlnVdY4wjxnvUFYzKgd57a+0Uld17d/fW2mazuavxj/qHUUExhmRm - rbXxUNLhcNBNnPldDRLAK4bobgAAAAAAAAAAnqGUEkJIKfXeY4y11uvr6977XY8LAAAAeJ2ZFPsp - 3rd39aZl2XRfu2pT7Wre400R4T3RFRTzvoc3vvEblbNirHGSS03mClIzuUldGttm76YaA0djl7Ag - mY9DIERtLx7m6cEbb5ayrr2m29rzux1HZNI4Dv10VJ7leflWFi8AACAASURBVA+59weSurRct15k - N9nefp7T3Y//secDAAAAAAAAAAAAAAAAAAAAAF45p2Tui4uLMWeeZzNLKY022THGscz7t5w2sxjj - mJ6myd1zClOyWvVn/+x3fPGLXzSz5ep6N09tXcrh+gVvFgAAAAAAAAAAAAAAuBvLsoxSBDMLIYQQ - cs611mVZxhxJ67qOfO4R5u3u0zTFGEf5wYjHvhMjRHzcj/IJSWNgIwVgs9mMCaK7ATwvRHcDAAAA - AAAAAPCeaq2SzMzdY4zjdCMAAACAWxaOkb7hsVqX7irrX/7kp1Jpl8kkmWmapuuy3NEwn60HtTS9 - Lf+p3/otTakrdAW5y32kFR//0U1uN/U8eC8uKZiCSQpBeXpn2ry13zfV7ZTXtT642LV+S2MJrnAT - 1N2ldsrz9nCcaWOP7vNaVKtimkJYlqux3E0RbJf3d0O8jeh6AAAAAAAAAAAAAAAAAAAAAMCrZlyi - Xkpx93H1+ug6XWtd1zXn3FqT1Fpz95zze62ntXbqSb2uq5lq7aX4Jz/5Lb/+67+ecri6epRzDCFc - X19vt9vb2DYAAAAAAAAAAAAAAHC7zGye51LKsiySYozrukpqrU3T9PDhw1LKWOxwOJjZKEsYi41I - 7N57jPGuxj/qKHLOp57/ZjaCAEIIV1dXpZQQQq01hDAGDAAfEq1+AQAAAAAAAAB4hpzz6cThOMso - iVN0AAAAwO0zKalHdSnIw4jxbpK861De2O+j61C9STnq+rBs5s1dD/kxXeGdVsvlTptZIZpylBRM - yWXdVJMUJdko5KGYB0+yke1+lml93GEsfumf/bMatA0xWnDXw/1VvpUS2OA3wzG5yU3d1BXkQQrB - gySXmsncP5qSrhe1burzZqMoSV3qZyHdY8JFbjcAAAAAAAAAAAAAAAAAAAAA4NUUYzy1mR4XrZvZ - drs9D/Oepul0YfszjbbUMUYzuSsl/dE/+ke++MUvXlxcbKc5WTCz2tY3P/rgsFzdznYBAAAAAAAA - AAAAAIDbNKK4c87zPEta13WaJklmJmm73YYQ3H1Zlhiju7v76bUppfFwrOROjIjxkSN+CgKY5zmE - ME3TbrfLObfWUkrrup7ivQHgw+CtBAAAAAAAAACAZ6i1llK22+04iThOLnKKDgAAALgLXWryLpdM - 5goj4te79vuPdW2m7NJkqkW77WZZlrse8GOaKX/kwX/12/9IKSkECyFI+8OVW5dccqlrlDLa8TFw - pt/cJMl1TK+XSbud8tRjdLXDskwpXU6bpTW/lfTr4Ao3O2szuYI0crtlPqbVTLLe3nkkd11fSb23 - 0r0ryE6DdKn7aVPZ/wEAAAAAAAAAAAAAAAAAAAAAr5gRzj1yuzebjbuPoG53v76+dvfLy8vREfu8 - WfYzxZRijK01d01TmqbpS1/60jd/8zfnKa7roffeekkpXV2R2w0AAAAAAAAAAAAAwKspxng4HCS5 - +4jBHtOjhf7hcGit9d5zzrXW0/yxZCllpHeb3UrXwmfJOa/rej4AMxt9REspMUYzG5HeY9MA4MMj - YgQAAAAAAAAAgGdw94uLi4cPH47rnM1sXA591+MCAAAAXkNd3jU+jbvkMleX5P2vf9d3fczCo8Pi - MYagLl1fHzb5flXX9WD/ernSbqNoSrlWdSlvd0Xyx1OZdXwQ+OKBZzplckd1SS1KMa69uStHC7JH - 62ETN7dWEmY67qxux1twM1mQzNXtOOBdTD/x2c9qnuQtxDC+WS+1HfO9H9/dSe8GAAAAAAAAAAAA - AAAAAAAAALxiUkqjKbaZ9d4l1Vpzziml0Yf60aNH7n5qkP1e67EQWq2lNEnTlEqpv/Ebv/Gd3/md - tdbRwPry8lJSa81b286bW9o8AAAAAAAAAAAAAABwi2qtm82mlDIirnvvoyahlCJps9nEGEMIrbXR - +q/WenV1td1unwjMvitjnDlnSa21ESWeUjplkNsNSbXWux0tgFcD0d0AAAAAAAAAADxDSmm/3+ec - Y4zjNN449XjX4wIAAABeQ0GSTDr7PB7V1Zvt9/3R/jLE5v3QlIK2eVrLIuvvta7nOaSnPD7GIAVz - FQvvbHeaZllUtxiPUd1VdSwjBfFVA18F88eS3luTQioPLg5T7O69lqy0tPXWxuNSP9t1zSV5eDx6 - O7jSuky1qnQ1lxSj7a8OOcX++LoCod0AAAAAAAAAAAAAAAAAAAAAgFdXjHH0lU4pjQvYa62j97Sk - 0UHbzN6v5bT7uNo9xthb+9v/9S987nOf2+8fhajWSs55Xddaa4xxs9kcDodb2zQAAAAAAAAAAAAA - AHBrRqVBSqn3Lqn3PoK6c86ttTFz1A9Icnd33263Dx8+HNOjMuFu++2PIocxjFprCKHWOpLFxyDH - 4M1s5HkDwIdEdDcAAM/BKbhrfKkY3z0A4DVhZuPXinEPAHg1jM+342K/ux4LALxwIYTT/fn73rjU - udbae885u3sIwZ0cMQAAAOD2hW7JLfbWRjh26y160Xq1DZpNobcmLzEtFmpbN6bwYj+5B/MRua1u - 6qZ+k9JtrimquMxijjvzLKlP+Zd+9//W7kJpUjALikFZ2moyRSm+m01+E+VNkDfOHHc2Ncnl6m3k - XLsmSaX9zX/6f/2/KS5RJsl6CumFD8glHUPE/WZO8B7UZb2rd3W3HlzmSr3vYs9lUU+yndybymY3 - NXVJ/ZRb7+PgJr0bAAAAAAAAAAAAAAAAAAAAAPDSO3XBHtOjo7SZjcvV3b21dlp4LOZHNq4jCCFJ - wSzGnGRSMEnRJG9Tir21v/5TP/kDf/HfX64fXWyzWt1MqbZV1kdj7tJaSDQMAQAAAAAAwCvl1ArS - 7G4D5gAAAADgXhh1CLpJ8j6lR53PNLOx2CnJu7Xm7qfY7xGMPRYeQghjyVP3/lM01XNUShkT47ve - KfLv9NXvVFnh7maWUlrXdTw7NmE8O/K/e+/n1RfPd6gAXg0vvFUrAACvg/ElQTcBh7r5HnJeGA0A - r5IYY2sthDAuCxnTvOkBwCsj5zx+qh5v8jHGaZqur6+pSwLwqhrJ3OOtr/duZiOle3zEHecLx3yd - ffcHAAAAcGtcqj3EYDHKe28KKcT21u/FqNTr6EjUpGYmxcl7cHV74QHA5sGtn40ySL1K2xStNjPb - 1+usXBW+0rumSTk/9nLpmMf8xGpf7Kjx0vJwvnN0KUoqUp60xuvLbX9YrHpM6aqskz1j13r+Izob - T/DTuJ4xv6xls9moBfWo0CSXelA6Hj8jr3vcjsfUbYwfAAAAAAAAAAAAAAAAAAAAAIDny91rrTnn - 0fN6XKg+mtRtNhtJo5n1H9qpKaU0Xl5rbbWNVeecaylBarX8pR/5oR/9D38k59ia9vv9NNNaFgAA - AAAAAAAAAAAAPNt5VreZlVKWZWmtbbfbdV1HfYKkaZrWdR2LpZRGecN42FrbbDaHw+FOxj9GeHl5 - KWld1xEOOLJUpmnqvY988bF1p0ABADhHfRUAAM/HSDQ8fSh39/GdAQBeSePHkRFnOH5xOP28ctdD - AwA8B+6us3f1EML19fVpPgC8ekIII7c7hBBjHNOttVpr732apvGtv7V2OvcGAAAA4DaZlINJqsuS - co5RKiXu3vjhP/ZN3+7HbOAwJszt1n/ACC6py+QKwfvDQzOTxZhbnXebK4XrOSvwVQIfWpRLUYpS - l9xkk/TOopyua12lg2vT2i5talvveqyPSVHRgnrXfq9dilM6rEuazurW+K4NAAAAAAAAAAAAAAAA - AAAAAHj5mdmI63b3cVn66BM9z/Opk/VY7NS76ZnrqbWO8O+cs5mPy95LKbvtvFwv3/vn/72f+Zmf - SSkuy0Hqm82me31yFR5e6JYCAAAAAAAAAAAAAICXyLIs8zxLMrNwo9a6ruvl5eWoYVjXdeRzn4d5 - t9ZGNvZd5XZLGoNx91qrmY28gFFWoZsqi5E13nsfMwHgCUR3AwDwHPTeY4ynOukYY+99fBa/66EB - wAsRYxy/O3yVl4IAAF4u4xfnnPO6rpJGhO1msxkPAeDVM77Ru3vvfXzHr7W21sZ10aWUcZotxnj+ - EAAAAMBtarWmlNI8Hx+b6Xr5mOV09rN0dOm2cruDdPrLI3N4nBfM0+xtdfPDusyKb129/c5HLr/w - j/9PGQ2P8OFYbwqS4s2MLkWTNlll8e2uXy/JarBUarlvQdjetF7t/9JnPvOb//yfjw1IaTLpeFT4 - OJ44RgAAAAAAAAAAAAAAAAAAAAAAr4Jx9fq4OL33vtlsxvyccyklxtham6bp/Zt4jBceDleSpmkq - pZj5cr38mW/7li9/+dcs6Orq0W63M3Oa3QEAAAAAAAAAAAAAgPdhZvM8l1J67/M8xxjXdR2x3NM0 - PXz4cMw0s8PhMLKxJY3FRiTf6N5/hyUK51ndKSV3d/dSSghhmiZJ7h5jHNUaAPA0orsBAHgORlrt - iLCNMZZS3L21FgLtxQG8mszs+vp6t9tJijG6+7gs5K7HBQB4btx9/A4+8mtjjIfD4a4HBQAv1jzP - y7JIGrnd46zb4XAY10LXWlNK41TiXY8UAAAAeB2llOSSq9eiKZm7qj1YPHfpmKIdwm3ldp90k6Tx - d1voXWm/Lk36yC53lVibxelfBenyDUXOG+KD86emx/7UmmI0Bf3K//GPvvDH/3QyL61Ei6771XUr - Bn3kYje3pnVRzM17SnOX+8i0t8e28J7FjgMAAAAAAAAAAAAAAAAAAAAA8NUazejGRGst5zwuTu+9 - SyqljEvaQwjruo7mde+1JjMbud2bzebU8eNP/vFv+h/+wW9aUK3r5eWlpEeP3t7tdq3d+kU1AAAA - AAAAAAAAAADgJTFi9UbutaRTs/0Ry73dbiWNJOztdvtEPndKqZRyyvO+EymlWqukUzZWzvmUpTKi - BMxsWZZpmkbK+F0NFcC9RXQ3AADPgbufp3TnnPn8DeCVt91u3X38MJFSur6+Hr+kAABeGbXWeZ5r - rTnnUsr7XvIHAC+93W53dXUlyd0ltdbGWcPxKffRo0cXFxe6OYkIAAAA4Pa1UmNKkkLO7k29qvpl - bal7v8n9PUZ3m7rpRTccOv+V5Px7QpV97CNvPnz41kaKUtpt3opBOSoQ3Y0PaOzM/ZjWfdz3gmRS - CFIwuWm36SEnM1ddvEz37cura7naf2T3Ua1F2xRjlrtZOBtmODuQ+k00OQAAAAAAAAAAAAAAAAAA - AAAAL5MQQmtttKQbTaJP80fD6GVZ9NVdtD5WlVI4HA7TlEqpX/91H/2Nv/vli4ttreuDBw/eeuut - eZ5zznfYGhsAAAAAAAAAAAAAANx/McaRb+3uvffRgf8Uunc4HEaRQ855BFHFGHvvo1f/uq4jOdvM - Rg//2zf+ekqptTYyU0aGd2vNzMys9957n+fZ3ckNBPBMRHcDAPB8uPuoij497L0HWvADeEWNnyHG - rxKSRm73eCe866EBAJ6P/X5/cXExLs8bP6Pf9YgA4AVy92VZxnvduNp5nmdJtdYYo5ldXl6Op+Z5 - rrWOj8EAAAAAblM8+xxu5jpcff6z3/Gx/XUcwcYmSSaX+vHhi6/oc8kfz+2WtJsefOXh21mao3LT - v3zn7f/+X/6epkmB38/xYQTdxFn3m9xuuSzoejnkOSVpdavNm9rlPK3reqejfVIIirXraq8YR+b4 - ui7TvL05mx6kZx1OAAAAAAAAAAAAAAAAAAAAAAC8bEb36hDC1dWVpO12e319Pfo1tdZyzqWU1trF - xcV+v3/WCvpYiSSTWu0xqKw1Z/uVX/lv/9Sf+pNXV4/meX706O3dbmNmUhj9qc/WEJ5YGwAAAAAA - AAAAAAAAeJ3VWjebTSkl5zwKGySFEMacUxZJay2EYGa11sPh8PGPf/z6+vo+ZFHFGFtrI657pASO - iPGR2z0mJN1VsjiAlwJ5ogAAPAdmdvrYPdINY4zkdgN4hY23uNO738jtHj+sAABeAe5+cXEhafz6 - PH4rHx90AeCVZGbjvc7d53keud2ttZSSmY03Q3efpsndye0GAAAA7kT3LqmXJknelWO+vn5DwdS7 - qR9r+bqkbrfRVei8Is9GFrFLslLKJm52KZWmIl1+9E1ZUJpknDrEB3TcwaQudSlK5uG0l0/zpsg0 - zTVPxUKytCz3K7dbknXNMV24aa3q8lqnaSuJFmAAAAAAAAAAAAAAAAAAAAAAgFfJqTH0aNwRQri+ - vh5PjTTuwcz2+/379LZurY0uH2YaXZ1+7dd+9dOf+eThcLXdbmut8zyXUq6vr0MINJ4GAAAAAAAA - AAAAAADvY7TWTymNbKneewihtZZzbq2NmbXWUdvg7u6+3W4fPnw4pk/lEHc1/pEpPqZPCVk55zHd - ezeznLOZERoI4L3w7gAAwPNx+sx9XhsNAK+wU3r3eGhmvAECwCvj9Paecz7N5H0ewOvg/LTf6X1v - vBnajbsZGQAAAPDa63KZFINal5rWNa3rrC7JTf54ArDrFOb9Iodkcjv+9cFcUeat1VqT1JM9LFXT - XLoo0cEH5xq7f1N3dfWgdvOMuyRTUKnLvNmH0L1Pkt2zplvuUm3Tuspdy2pp8t5vssgfS+/mOAEA - AAAAAAAAAAAAAAAAAAAAvCwOh8OYWNdVkruPVte991Mz69P16SOKu5Sim8sBxv2ps8f59ezBZFLO - caRy//zP/43v/d7vTSnFGGtbQ1Rta4xxmqZSylNdp59dsQ8AAAAAAAAAAAAAAF5np2TrUd4wahti - jOczR+nCmDMWaK2d1zk80ah/vErSPM/nc07zn5cR130+PWowBnc/PTxPEyiljGGfjBqP3vvIIx/Z - 5M93qADuJ/qdAgAAAAAAAAAAAAAA4P5yyUL0UaVnUu9y3wVXX6Tu0nkBzK1UvfVu3e1YujfSu00y - eQzqWmeLCvqD6m/+sW+SRYuJWjx8KH62Z59Nm1lpxRQ17f7W7/zOklKz+9hYq0lTCnNrf+Pf+beV - J0kWQilF8qcbgQXJnrUSAAAAAAAAAAAAAAAAAAAAAADuiaurK0mbzeb6+trdp2kaydySHj16tNls - QggpJXd/Klf7MSN7W2dto2/6XCtnK6WFoL/6V//yD/3wf+BqpSyye3jRAAAAAAAAAAAAAAAAeAWF - EE653YfDwd1jjOPhSPWWVGsdNRLLsuScc84jErvWelrm9o30cTPLOZtZ77337u611mmaeu8hhDE8 - MyO6G3hNEN0NAAAAAAAAAAAAAACAe83VD2W1ILWm/ZVanaxnmaRuMj9VwHQpuN1GPYz58dZHkrIr - uOQlSt1bVTxs5v/kt/4XLZUcYnxYLvnjZV79OHOOeWmrQpT7Ice021Tdu+zrkHQodaO+vPWvdTiM - mTlnSZKP3Pv7NmYAAAAAAAAAAAAAAAAAAAAAAN7LbrdrrbXWttvtiNyOMY4uz2+++aak1lqtNaXU - WhsLPNPI7d5ut+4+Xp5TyCn0rlI8Rn3/93/ff/bX/pp1r8u6mzdfwxCtk/MNAAAAAAAAAAAAAAA+ - gFOadYxxRFzP89x7r7WOVOzW2pgpacyPMZZSWmvTNEmapmksc+fjHwMeSd4pJUm11rEhrbVSSgjk - +QKvBQ51AAAAAAAAAAAAAAAA3HcWw/hHef6xT3/q+uqdqiYpuIIHcwXdbkch66fOSd3kJlmvfX2w - 2br0Tm/rRz+iedJue6ujwqsrjnjrIIWboOve5Z7jLCXJroJd1XW7SX63A31Ka3pjjqEsH9tdaJ4k - 9eaS7KljlvxuAAAAAAAAAAAAAAAAAAAAAMBLYTSnHk2cW2vuHmMc3ZxrrZLmeR4Tp37QT3P3EMII - 8M45S6q119pHU+jPfe7P/e0v/K1aV/eWc3yf9TyJ0G4AAAAAAAAAAAAAAPBBmdkoZhjx26P+Yai1 - llLMTNKyLOe51xcXF733dV2feMntO48bl7Tdbs2s934KF08pjUqPUa0B4HVAdDcAAAAAAAAAAAAA - AADutaqeQvIilaalzK1/5PIiSDKZy6R4s6S57MUHFwf14Iqu4HKpmaRgrm2y/WEvWcubf7EclILc - A4HE+JBs3PWg7nYK8ZZs7PypLAdd7MpmeruUtw53WaX6TMVlpuz9nT/4fblK8RDfPSaeOF45WAAA - AAAAAAAAAAAAAAAAAAAA95y7jx7TZpZzjjGa2WhLPe4lLcuSUhrNoN+LxdDl5+2qc445x971bd/2 - b/3yL/5isjC6RaeUaluftY7wZF9ZcrsBAAAAAAAAAAAAAMCH0HvPOffeY4yj/kFnFREhhN577z2E - MOolQgittf1+r5vY7N77eNWdaK25+7IsY8yHwyHGuNlsttttjPFwOIzNWZbF3Uc8OYBXHtHdAAAA - AAAAAAAAAAAAuNeCguQWJQta24M8XR/2/SbjdwQZnxJ/zRX8hZfEmBRcJnUFV5IHqYfgV9I0z3Wa - /97v/q62G9dtRInjNdGlPrKux+7eu8x6bXlzIalsp4uPXm7ynQ7xWXLU1aFN8k98/ONa15ytt9NR - 0fVUejcAAAAAAAAAAAAAAAAAAAAAAPeZmaWUeu+ttXEfY8w5S6q1nk+31k6tq5/m7nKXlFIqpYw5 - pbQ/8Se+6ctf/vV5nt944439/h1Xa718dSMbud1+vBHjDQAAAAAAAAAAAAAAPoR5ntd1HQUSkkII - y7JIMrNaaynF3d39VB1xSsKutd7VmCVN05RScveU0jRNrbVSyqji2O12knrv8zzrJmscwCuP6G4A - AAAAAAAAAAAAAADca0EqpcglN8Wk67V3hSTdhHaHm/twK9UwI7c7SMHlNiK8JWltmk1/sBxKjtrM - PZhN9y9IGS8dl6Qq1bGru3zs7kmSJgX1oJy+9Nv/8K13Ht1pheqzmWmblRR+//d+TyFIGvW1I7eb - NmAAAAAAAAAAAAAAAAAAAAAAgJeLu0sys5yzu0/T1HsvpYw5rbWxwFjmNP3MFY1/e+8xxmmaau1v - vvngC1/4wjd8wzfsdrtlWeZ5nud5SrmVm/RuD/JnXT1DUDcAAAAAAAAAAAAAAPjQQgj7/T6EIKnW - Ok1TjDGEMNK7R+L1iME2s5HqPaojYowjCTuldLfjL6XUWke++AgRTymZWQhhDJvEbuB1Q3Q3AAAA - AAAAAAAAAAAA7jnb5Mm7pKblcFnKHLV2uSQPUpe632QA31qfodEeyVzmknWZzDVtrMf0tkkp95hc - 3pvrvZssAX84e/dfk2TqUpNcodYagklSNs1TjGm+fyWg3rQUmfmDeZJc10uMwRSlIIX47vYN1LMB - AAAAAAAAAAAAAAAAAAAAAF4Co/10jHF0ppbk7q01SbXWEEKM0d3N7H1WElOS1Htvra3renGx/cVf - /Dvf/u2fiTGWsrRW3L2UsizLPG9uYaMAAAAAAAAAAAAAAAAuLi5GGve4H+UQIYQRgy1pRF+7ewjB - b7TWxvKnxe7EGIOklNJIHJ+mqdbq7r333ruZ5ZxHkvcdjhPAbeJoBwAAAAAAAAAAAAAAwP1lkppJ - apNk689++s88eHu/jaG45FmKXb1JLrmpmdxuI727S64gpehK3o5/s8vi7iseH8VJZuZSbcdkZeCD - seMtKUw6Jsa71KQmWZpqGxHYJoVtvFSV3aeoeHMl10Zq7snXv/Jt36paVMeGZJPJq9SPm+lBTj0b - AAAAAAAAAAAAAAAAAAAAAOC+cPd1XU8PRx9nMxvdnCWNZO6U0pg4xXiPNO6xhsfTu8PpFiy10iXF - GGNQMP3Nn/vZP/89f87bYl5MPcVoUlBMlnp/ZtV91+kymvGsh3cvRaBEHwAAAAAAAAAAAAAAfCCj - 2iHnrJugbkkppaeXOdVFtNZGscTTTz3x8nmez+c8sdoPaeSISyqljEKO89qPsUAp5TTOEe/9RNz4 - WMN4+aka5In1AHiJUEcFAAAAAAAAAAAAAACAey0FleK9N3mL+0dfl9SWHqPJ06mLUDd1kyS/raTs - ptCP3ZKOhXTR9JVHV2W7+9I/+SeyEGQWk9p9ClLGS8jt2Xt17VVSGD21YlSM+1Jz2N63krBjKrdk - 8l0tqk2j9vR48LqsSZ3jBAAAAAAAAAAAAAAAAAAAAABwr5RSzGyaptFX+nA4jIju1tq432w2IYQQ - woj0fq/1vNdTY/5Yv7s+//mf+IEf+Iu1rrIu648v+3Ro93t7N8MbAAAAAAAAAAAAAADghRu51733 - 1prfiDGO0ohT8netNYSQUlqWJeeccx4vrLWelrkTMcaLi4vD4XDaCjMbox33kpZlmabpDgcJ4MOg - lAoAAAAAAAAAAAAAAAD3XfA+BdNaY5eaphS8uqmfYrODK7jMZbeSAOymbmqmJjU7his313yxO0SX - muQyU2+Kt5UljteBaezgQZpCjOrBVA+t9y7pak6PYq/3rCLMpa7jsGVd6sc0b5MUZO8uczMTAAAA - AAAAAAAAAAAAAAAAAIC7l3N291LKaMG82WzOG0yPdsyjnj/n/AHWb2YhqKyrST/0Qz/4oz/6o6WU - Z3Z57k/PAgAAAAAAAAAAAAAAuB9SSuN+JHCP9Otaq5mFEFprkuZ5Hk+NoO5SSmttlElM0zSWuRMj - X3xd18vLyzHgGOOyLCml3ntKaYxtDLWUclfjBPBh3LNGrQAAAAAAAAAAAAAAAMATXClF9S4Lc0yr - K8dUb1K67ewmyfz2CmLc1EJvpm6SlIIeHZYyZ22SLEhSjLc1Frz6XGFEXJsU1YNMXSpKc/QQNIX6 - xvyWartP6dcj5L6bWpBLwSWXRtr9Kc/7pomYv+dqAAAAAAAAAAAAAAAAAAAAAAC4A2aWczaz0aY5 - hGBmKaUQwmgtHWN091rriPf+WvTeq5lL+r7v+/Of//xPd6+73eZwOLyA7QAAAAAAAAAAAAAAAHgh - aq1PTJyKKGqtpRQzk7Qsy3lxxcXFRe99XdfzF96JcGNEdI/RzvN8OBzOB2xm67rmnO9soAA+BKK7 - AQAAAAAAAAAAAAAAcL+ZtBY1V1nnkLJ0dbU+2M6ySNDFfwAAIABJREFUbmdpv8EVbrEaxq271G5C - iLt01ZU2232SclCvkrr3d0PFgQ/EXOYakfTtJt/aJDWXS1F96a6g3r7w2/+wvrGrX3O3rxermZod - w7nNu9SlfvxH0vEICeR2AwAAAAAAAAAAAAAAAAAAAADum9Za7733URSvEeBtZjHG3ru7t9bc3d1P - y/xh3i2oj0G9+ac+9a2//Mu/lHLIOZqZPVFf70F+vy4TAAAAAAAAAAAAAAAAOEkpjaqJlJKk/X7f - WjuFXocQRulFCMHMzGyEZO/3e0kxRkm99/HaO9FvjFjulJKZ5Zw3m81YIMbYWlvXdZqmEe8N4KVD - ARYAAAAAAAAAAAAAAADuN5dS1uH6xz/7Hd5rjmFO9s71EtxPzw92u/G/3eR2vJf0dRcP9ofl1//x - 70hd01xbV7BOIjGeh/P895sqVFfvqgpzkKQ8abN5u5Z2nyrCuskll9wk69Glpw5bAAAAAAAAAAAA - AAAAAAAAAADuIXePMYYQRvPoZVnGhM56Ro/70bv5vYzG00/P713f+I1/5O///b8n69vt7O6/9/v/ - 3263ezFbAwAAAAAAAAAAAAAA8ELUWiWt69p7v7i48JuWgyGEZVkkmVmttZTi7u5+qqM4JWGPNdyV - aZpijKUUSSOGvNZ6Xu8RYxzFIadIcgAvFw5dAAAAAAAAAAAAAAAA3G8uXV8phLnV9er6unV33+Yo - SerHYODbGou5gj+WEe43M//V/u2eJ02T5rkua4rJZEZ5Dj4ct2Nwtz0e4C1JIShJTX0sZ6HpJkn+ - HjkeA+ZKvav3kd5t79auBb27df1OhggAAAAAAAAAAAAAAAAAAAAAwBPM7HA41FpHn+h5ns1snmdJ - 7j5Cu2utKaVSyvund7sfLw4wKZhiUIr62Mfe+Af/429uttM0pUePHkn967/+6w+Hw/El42IZ6zIq - 7QEAAAAAAAAAAAAAwD3VWpumSdI0Ta21UkpKqdY6MrBHoUVrbSRht9Z67yPbO8YYY5Q0ajDuipmt - 69paG7HcvffNZhNCOI1tBHiPMZ9SyQG8XOgNDAAAAAAAAAAAAAAAgPvNpHlSq+FwePPBR6K0Nknq - 1t3Uz243mcUvvCdRGHHd6jpLU97GC09ZrcqUNlvvz8paBr52Pv7zEB4L8HaFrqAmRUmKapam7b1L - 7pYkmSu6T93VdHaEhlHARhEbAAAAAAAAAAAAAAAAAAAAAOAe2mw2KaUY4+i8PE3TsiwjpftwOIzu - 0rXWnHMp5b1W8nTXZjOFoL/73335E5/4N+Y555xDOHaCHu2eAQAAAAAAAAAAAAAAXgoxxlqrbioo - cs7LsqSUQghj/lhGkruHEPxGa23UVJwWuxPuPqo1RoK4mR0Oh977iBsfAeRmllI6xXsDeOlw6AIA - AAAAAAAAAAAAAOBeayPnd1128roeZGam3rusd+s+Qo1HvPEtpHbfZCeP9G5zBZe5JBX1VdJm6yH1 - 6kGSa1TaAc+FndV7VTU3ldZiUnSpmxRae7Kf150zM3dFU3LZ9UGtq5agPmLIdTPecDYNAAAAAAAA - AAAAAAAAAABwr4xuoTlnMyNRFQBeVaMZ9Ejgbq2d5q/rOibM7HwZScuyjIn3ye3W8VqA4/8+Npvk - rtb0a7/2a5/+9CdjNPdWypJjtKcSvnW6ZMbk/P8HAAAAAAAAr7fxA52f/Yzmz/pJDQAAAABw+0bo - 9biXNM/z+fyTUX13qsFrrZ0qNOzG6VUhhBH4Pe5PM19ECd/4gjlKRJ744nl66O4ppVP1iM7KS05N - R8fE6eGp5gTAnSO6GwAAAAAAAAAAAAAAAPdaiJK7TJveW6mjYdF6E1Hc7XjTreR2n5gruqJ3k4Kr - K9QQlmAqpSmEFOXyrmDU5+CD89NefZNOb6cJi1U95ViK1+LqQZ5CyvetJGxpbZpyaZqDPYj5Jz77 - WfWmU263Sx6O1a/WT5sJAAAAAAAAAAAAAAAAAABwf8QYQwillNFzM4Qg0iAA4NWy3+/P20OPps+9 - d3efpsndQwjzPJ96K3+tXJ5zNNPhUM30C7/wc9/9uX/3OY4fAAAAAAAAAAAAAADgZVFrldR7b635 - GUkxxvNnU0qttRDCKRX7iZjw2zdN06m8ZAw7hLCua601hDDuJS3LMk3TXQ0SwBPuV59WAAAAAAAA - AAAAAAAA4EkmtaJWd/LWWpdL2m7yePIU9dvtloYz/mKQzGU3924qMX/kE5/QZtdl61plstsaEl4X - /tiky9ZW02Qpmborpf2h3GaA/VfjcrPx3oNk1cPa/OpaMfXWbrblWMB2TPIGAAAAAAAAAAAAAAAA - AAC4f0opZjbaaMYYP3BuKwDgfuq9X1xcjLf3lNK6rq213nsIYbRaPrVUPjWA/iqZginEEGOIpTRJ - QfqP/6Mf+5Ef/uEoC67gYdz09O3xMUr83wcAAAAAAAAAAAAAALwKTvHbMUbdpHRLcvcR1B1j3Gw2 - kmqtZtZ7773HGM2slLLZbEa8950Yf9pujMTxaZpSSqOwZGzLKDgspdzVOAGcI7obAAAAAAAAAAAA - AAAA91rvUjBdX6fWtjHHkEvXcjiVoIXzGpgXXQ3jdmx3ZFJQD67gktQsvF3Xn/rf/lcpmOKUk0yS - 1mV5wSPCa+LYY8tv8uBNkvroAta6lKJi1BsXbvepJMzD9eFwKG2XNAVTW2YLkltw2U3XMD8P7aaV - GAAAAAAAAAAAAAAAAAAAuHd2u11rbV3XEEIpJaV0dXU1yjgBAK+YZVmmaYoxhhBOrZZHhneM0d0/ - QOvn1purSTLTX/gL3/+TP/lfPHr09tcUAQ4AAAAAAAAAAAAAAPBqOJVenCZCCJJGVUbOeSR5Hw6H - 8dQpG7u1NqYPh8PI/L4rI5Z7RInHGEc+9+FwGBsymNm6rjnnOxslgDP3qU8rAAAAAAAAAAAAAAAA - 8JQYpNo//93fndbirbVWtynPOZ5FdgdJwW+pFKYFuR2zhs1lklzNpMuNWpUFyUr7/9m72xfLtvw+ - 7L+11n44p6p7rmY0kmM7khjjKJYc7DFKIisEKQ4GJY6DsQnBDs6AJjbBwSEoQWAZhIkxAlnyeMZj - W7ISlOTfcYgheZtAyMsoyKN7u7vqnP2wVl6s6nPr3rlPc6e7q/vez4eac3fts88+a8PZ3dXDt37f - iIha2zTPr2RRfA6ku+b4513XtUQec1nXyCUiWqT67f/tn2+vWSLsar4aU962WLf2aLyayxCt1vdW - dN/dUMZXAgAAAAAAAAAAAK+lS1F3n/u5bdvV1VWt9eNeB8CbIee8rmvOed/3eZ77YOjW2jAMfaRy - rbWUsu/7x5wo3Q/Gv/tbL9M41RrHafypr/7JX/u1X1vX9Xg8ptTetwoTYgEAAAAAAACAz7xhGHr6 - bhiGiHj27Nm+7znnHs84n8+ttZzz8XiMiJ7cm6ZpWZaImOe5l2F/fIrjpck5L8syDMO+7+M4Ho/H - aZpyzofDoR/QQybLsvS68YdaJ3CfYBYAAAAAAAAAAK+1bauRoz67GfZ6GKYpD/u2L+t+f0hRbpF6 - kXb78BO9CDVFi9hTRES6F76pOb2znuI4xb7niGXbW4rIqRnJx/ehf8ZS3E3vejd32SLXKBGpxVhi - 2SPGFFdDHIf9NSvAfnK+SSlFihpxuz6dcop1LalEPB9JlqLG8yrvpMAbAAAAAAAAAAAAeO2UUlpr - 4ziWUiIipVRr7SNBAfgM6H/IR0T/c74Pho6InHNrbZ7niOh93tM0fYrzL+sSET/6oz/62//DP0sp - HY/HbVvO5/OLWj8AAAAAAAAAwBukxzCWZam1Xl9ft9YiIud8Op1SSq21dV3ffvvtS/X1siw91HE+ - n9d1/XT5jRel1ppz3rYt57yu6+3tbU+YpJQuqcJSSs+i5KwvGF4LbkUAAAAAAAAAAF5rQ8lRI5Z1 - Tqlua2stR7oahtze39Wde8/xS27v3nO0FO9r5K4RdRgi56hRt5gP5ea0R4qWVHfzwtSIFhEton/y - W+zLklKkIZ7VtpccuW2vWSLsej7mnJcWh5J+6OqLT995EuO4tv3uNn3e1d36pQEAAAAAAAAAAAC8 - fvr0z9batm3zPLfW9n1X3Q3wmXH5I33f9/5n/vl87lOVHz9+fD6fx3HsA6CXZfl0b/GH//Af/Kf/ - 9J/+0A/90DiO77zzztXxON4VhOfnX/Heb9/7uwGpht9PAQAAAAAAAADefPu+9+7t3sy9ruswDL3M - +3A4LMuSUso5p5TO5/O2beM4XvZERM75U+c3XpS+klrrPM99I6XUsyXDMPRnex95fwQe3Gs2qBUA - AAAAAAAAAN6vxnZ+fJjH1iL23sy9bFt/rrRaWo2IFlGj1qjtJQ/Byy0ioqXYI1++3XJeHl/Heo5p - Goa4uV3nQ0kpch5e7mr4/EjPw14pInLkHPtepqlFpIihpD1SlBKR+z1S093XA8pRl/Nt3dYxorX2 - ezffOR7nSDWl9G50rUWOKHcV3hFhmhgAAAAAAAAAAADwesk5R8S2baWU8/ncWhvH0UhNgM+YWmsp - pU9PPhwOfePJkyfDMKzruu97KaX/jfAJz3f5+sIXHv3Wb/3WV77yY+fzOeeY53FZFn+PAAAAAAAA - AACfQ6WUXtTda7nHcTyfz8Mw1Fojord6b9s2DMOyLKWUZVnO5/O6rj3LERHD8MBDPlNKfTHn8/my - Z9/3lFK/ipTSMAz7vn8vURPgJXIrAgAAAAAAAADwOqvb8jTGdn56U7f6hemwx5Jz2VPaU0REiSit - 1hR7ij3H/pLjMLnFsEfZY4u2p8i5D1LK63j4xv/xv8eXvhg5p4jr45h6FbFJSnx/nhda5+if9r4r - R6QSwxQRKcUQMUSMkaOOQ40SEb3MPuUW+X6Bd/9YpruPZa4vPz825RgiUsReY4xhb1tElP6+7e4G - STVSjWjV/QIAAAAAAAAAAAC8hi7DNPd973taa5cxoAC8QdpzEbGu62V/rTXnvK7rZWJySj27n7et - 9o19b/1vhI9x9/skMY6lpShj/OZv/uOvfOVHD8dx39d9X3POy7qWuynS9cO/7q87RzM/FgAAAAAA - AAD4LOjd25cG7nmeI+J+y3V/qtd411rHcWyt9fxeb/5Oz10OzjmXUvoB93e+jKTftm09fHLRIyWX - UErfHoahv3ut9dJW/sIXA3wSolcAAAAAAAAAALzGWh3GEje3jw7Hq+nwL5dTzrHWfZwOvY24TzRq - EXt+FbW/qUWNeOvx4721tdXWYkx5HObTvscwxpAj93XUCD3EvDj3Pu3P/5Mj8mV/iUiRo+X03k9d - Sx/+Mbyb2/WKImStv9G99bX3/AcAAAAAAAAAAAAAAF6iPrh5WZY+FrmPde4zkXPOy7L0GdCXockR - 8T1F7lMach4jYhjHiFi3Pef4jd/49a/+qT9xdX149uxJrds4jiml+5OaAQAAAAAAAAD4MD3Isa5r - j1u8/fbbx+MxInr0ojd5R0Stdd/3YRj2fc859+BHrfV9NeEPsv5pmoZh2Lat14rf3Nw81GLgc0t1 - NwAAAAAAAAAAr7EWcbNGnq/y+HQ5HUrsKcbD/M75NrfI3zWn6Lv3vHAp4jtPnuwRjx5d1xa3rd5u - 5z3a80Ll9x4Kr1ZLr+Am+B60iJqiRdS4e+wPNaKmeP9d45YBAAAAAAAAAAAAAODlGIZhXdd5nltr - T58+jYiU0jAMtdbW2jRNpZRLq/fzkc31+avrve0P1mqt+55T3pY1IlKKX/rvfvHP/Qf/4ePHjyOi - tTaOY0Ts+x7P50oDAAAAAAAAAPCxUkoppWVZDofDzc3Nuq4R0VrrRd2llMPhEBHbtqWUaq211p4D - Wdf1cDj0eu+H0jvFp2nqcZGrq6sHXAx8PqnuBgAAAAAAAADgNVYj2virP/8fPf3O718N07M99pKe - nG6+eLy+dP62iJYivqsF+GVoKQ7zUFLMQ3ny9NkWcT3PZRiHq6tIIY3DA0uXeuxIEalFah96U9TU - D/yY2WHfv7ve7hT1+R2bovX9dzdtjsh6uwEAAAAAAAAAAAAAeLlaaxGx7/ujR49aa7e3t621nO9+ - GSSl9OjRo4hY1/VTjGxOOUeqtdaIKEP8lb/yn379618fxvzkyZN1XcdxzDkvy9JaG4ahrwQAAAAA - AAAAgI+QUupZi3Vdp2m6C2aU0lrbtm0cx1rrvu+n0ykics49kjFN077vfft0OpVSHnD9KaW+mMv6 - gVfMsGAAAAAAAAAAAF5jNWJtv/d//z+PhnHf1+OhbKnlSDe3N/n5kKL6vPE3t8gt0kseXvTsvI3j - OAxDTnE1z8/O59/bzqdtfbd4WAMxD2fPsacckXPLKWq618ydohfd31Xdvxo1RU2x597eXSPVeH6T - 1rvl1Ha3IcwGAAAAAAAAAAAAAMDL0ic4R8QwDBGxbdvxeEwpxfMpycMwPH36NCLmeS6lRNR7Xx+v - 5Mgpp5TGsfzMz/zpX/7lXz4cDrXWcRxTan1gdK21lDIMw77vL/NaAQAAAAAAAAA+C3rEord3X3bW - WnsG43w+t9ZyzsfjMSJ6DmSapmVZImKe53EcLyd5EK21eZ779rqu8zxfOsWBV8a0UwAAAAAAAAAA - XmOtRa3j1nKk1tpp3XtR9ziMd88/P/AVlHZ3Q8S6rk9P55TSeV0i5y++9QNLTpGy1m4eWtpyqin3 - qWClRm+4z+0hP5q9Kbw/5vaeqWU1atzb0Rf7AEsEAAAAAAAAAAAAAOCzbhzH1lqfxbzv+ziOy7Js - 2xYRKaV5nltrpZSU0vl8/hQjm7dta6221n78X/+j3/72t7/whcc3t09zzsOQI6LWOgzDMAy11m3b - +qhoAAAAAAAAAAA+Qiml1hoRtdZlWUopfTvnfDqdUkqttXVd33777Wmaet7jctj5fF7XdZqmh72E - 0+nUN66urrZtG8dRbgReMXNOAQAAAAAAAAB43Y0ll5JyiX2PIeUckSPVj3/dS1FKlFLmknPO59qG - w/z/vv37Wyl3T6d7deKhy5tXK8Wa8ppTRE4tckRqd+3d0SK3S1ws134PvZLbKLV374nUIlqLWktE - Fl8DAAAAAAAAAAAAAOAVSinlnGutfUDzNE3DMPTe7l7XXWvtx5TL74l8YvM0RIs/8pUf+1/+p//5 - Sz/wxZunzw6Hw7qu23mJvfZz9nHS+77nLFAPAAAAAAAAAPAxTqdTKSWlVEqZpqm1tm3bMAwRcTgc - lmXpSY+U0vl8vhRj9z0RkXNeluVhL+Hq6ioixnG8ubmJiGEYWmsf9yLgRRLVAgAAAAAAAADgNZYi - 6r5FXfet1jiOkWtNEfu+R8Se7iqBU4vUcktRU7SX3JZda6zbHrXlKEPE7Xm5/uKX/uG/+BeRckSO - Fi1FDiOUeAgptpy3nFukHDl9SD7sVdbe3xWHR46I1KK0iD2i3jXcp4h0r8M7ybMBAAAAAAAAAAAA - APByXKYe9/bubdsiok92Pp/PKaWUUmutH9Z/b+V7sizbH/pDP/xP/sm3Hz9+lFKUIS3LMk3TOI7j - ONZaT6fTvu/jOJZSjGAGAAAAAAAAAPhYh8Nh3/fWWq01IlprwzDs+96/naYpInqZ97IspZRlWc7n - 87quvbo7InrP9wO6ubnJOa/rGhHjOK7rms0rhVfLLQcAAAAAAAAAwGssRczpNLQY07nFFCmWOKYx - Wm0pWkRNERGp5dSiPm/yfqlqi5IjImqtJZea05P1FGOOlCLyyy4Oh4+S8jYOz7YtxlJyqREpYhhy - ezcmdleT3T+o+eXfMHuNEpEjtVpLakOtcXOK2qLF83vlrklcbzcAAAAAAAAAAAAAAC9Pb8tOKdVa - U0rDMNxv6b6Udl9mPUdEvP+XRHomP6dUImIcx7ujUkTEPJdvfOMf/PiP/2sppfP5nHMec4l9q7X2 - dyylRMS7JwcAAAAAAAAA4OOUUlJKve66P5ZS7rdf93LuXuNdax3HsbXWAyGllG3beo33pcx7mqb+ - 8lfW6n2Ji/QC78tieljlsr+vGXjhTDsFAAAAAAAAAOD1lmJrddm3Q45UW2lRWo1oNd31dse9EEx9 - +c3Z6a4sPFKLaLmltKYUOYvi8PBS/IP/9Z/PP/DW7bJM01QiWsR5ref9PfPCWopLYfbLNo+51tjq - HhFR23Ec/uuf+ZnYa7SaIyJq7/BOEfHye8QBAAAAAAAAAAAAAPjcyjn3Acd9+HJrrXdpfwp9bnIf - mjxNQ2uRcnzzm//wp37qp0opZUhlSDlHa/uyLC/uCgAAAAAAAAAA+Ci9Entd19Zaa+3tt98+Ho/9 - qV4BPk3Tsiy11pTStm0Ptc55ni993vu+j+NYa/3UURbgo5kXDAAAAAAAAADA6209T9OQc2ot9r3v - anMaI6KlaPGqG3/T3WPKLVpre2trr+2+V+md3lOUDK/QPP3uO++kMr1zerJFTCUNYxymuydTRM+M - tRS5vYpP6bLWFHGY5ogopZyePtuf3sZ5jfpBdd1Nng0AAAAAAAAAAAAAgJdi27ZSSp96XGs9Ho+9 - gfujfMjzKaVhGOZ5jIh128oQv/Irv/xn/+yfHUs63z5LtdV1i70OwzBOJVKNVN97gmweLAAAAAAA - AADAS5JSSikty3I4HG5ubtZ17UXdrbVlWeZ5jojWWu/5fhDn8/kSXGmt1Vpzzh8fZQE+FVEtAAAA - AAAAAABeZzXKEOdz2/bWYpyiRGyxt/a+oUWvLgfT7pq5U8/jRS57SZFNTeI1kHPU+oUf/vJwnFPk - 63E+7e28XjrvH+BTOkZKEeflXEqq+z6W4YfeeivKEPH+W/iOsCgAAAAAAAAAAAAAAC/BMAx9o7U2 - z/P5fM45j+P4vZ4npRRRt21LKUWK1uJv/I3/8q/+1f8stf10Os3zPAy51q211mJ/0RcBAAAAAAAA - AMCHSim11oZhWNd1mqZaa0SUUnpvdyklIs7nc0op54ecIFpKKaWklGqtpZSc810WBXgJzAsGAAAA - AAAAAOA1ViNu1sc1PZqnNeK8x57vmrNzy73ltz1Pl+UW+VX1/qaIHKmr+W5N77YOt+ePeoh5xabp - 90+n7zx9GpHO6zki5jm2+kGfxFcSy2zRWkSNaBFbxDyM7/zL78TeokVEjdSeHwYAAAAAAAAAAAAA - AC/Ruq4RkVIahmHf91JKrbXv/ATyZYJrKam1djzOp9MSEX/pL/3Hf/Nv/le5xDDkcSylpG3bhmEY - xlxr7QOgIyJSjVQ/7OwAAAAAAAAAAHz/9n2PiN7efdlZa+2t2KfTqXdmt9bGcWztweYg7vvee7sv - DeL3Fwy8WKq7AQAAAAAAAAB4jdWINh7PdXl2vprjXONZjcN47MW/3109/ErKiO+0aK21GrGlFjmL - 4vDwUkSOrcQXvvDWOIxLxHEstUZK94+I+5/Vl33LnCNaxNX11bq3MedtXx4frqLdGzeW3ptXfZX3 - MAAAAAAAAAAAAAAAnxvjOEZEzrnXaffhy33n92TbtpTS7e05UvzMz/ybv/qrf2/bl2EYaq37vp9O - p2VZcola6/vGQAMAAAAAAAAA8FKVUnoypNa6LEspJZ5Xd/cgx+l0Op1O0zSdz+f+7EOtcxiGnHMP - okTEsiwPtRj4zDMvGAAAAAAAAACA11iNv/WTX7061bcO0zvnmB7F8ap8Z72tUVOL3CIiaooaUSP6 - ntQ+7pzfn5Qit0jRIqK1qDX2GtHbh++/9UteBnywHOd9e3Z7c7Odpoht399ZY5zfkxN7lZ/NKZWc - y2lZokSNNgzD7/5/v9u7xC/L6Pev0m4AAAAAAAAAAAAAAF6ebdt6UfdlKPMwDOu6fopT9drvn/zJ - H//Wt75VShnH8XS66WcepzLNQ855Xdc+WBkAAAAAAAAAgFfjdDqVUlJKpZRpmlpr27YNwxAR27ZF - RM55GIZ33nmntfaA1d37vvf8SV/bvu/TNPXSceCFU90NAAAAAAAAAMBrrNV5346tnU7Ll66Hm6fx - 9GZ/PB0evOa3RrRoLeoS9VkZIpdI6QMWZcgSr9ge0zAf5nGMu3rsL8yxne8imC1aRKR4N5H5smu8 - t7bvrW3rdpympba6xx/8g38gzudI/Z2TmwQAAAAAAAAAAAAAgFdgmqY+grnW2icvb9v2idu1672v - GMfyw3/gS//4H3/7S198q7atpDwN42WkcmutT1Iex/HTVYMDAAAAAAAAAPApHA6HHuHo4Y3W2jAM - +75v2zaOY621Z0V6YfayLA+1zr6qvr2uayllXdec9QvDSzE89AIAAAAAAAAAAOAj1JJPOc45xe3N - dkgRKc7rKUVu6a5+OLfok49aitqiveQi4JRib5EiR0RKbR+H3/k//684PoqS3+0gbhGRVRLzyuWI - UmqObU3RthJ7i7bm3O+QVEurEZFajnj5rd19QSm3lKYU+7KMEadot+dzzEPc3US5L/qOWwYAAAAA - AAAAAAAAgO9brbXPMt62bRiG1to4jr1XOyJaa5fZx5ed97Ptd1KK1oZxzCWW0zkipjkvS00tHl0f - /tlv/ZMf+Vf/UImWy7AvaxqG/o51jxSl7jGUaV32kscPSu/Xl3DRAAAAAPDZl+5EeyVDMwAAAHid - XVIfKaV1XcdxvDzV//V4CZDknFNK+76XUnpo5Hg8ruv6IMvutm3LOe/7nnMex7E3iz/geuCz7buS - YQAAAAAAAAAA8BppOfYcW/8mt17UHZfe7vcf/Uqqf/u71BQRsaeIMtWhRMrxXW3Ifs+LV63lHJFa - jYga0VKklu+6uvuHNkWk+so6smvkSDW/p5/73duiRbS+ole1HgAAAAAAAAAAAAAAPtvWde3DlyNi - GIZ93/vw5e/1PCmViNjWdTmfI6IMsSy1lBin+I1/8Pd/6qt/ch7Kuq5t28dxXJblhV8IAAAAAAAA - AAAfaNu2lNKyLCmliBjHsbW2bdu2baWU8/kcEb23u7u5uSml9COvr6/Xde2d2Q+1/oiotZZSLhGX - vgG8DKq7AQAAAAAAAAAAAAAAAAAAAAAAAACxP1dkAAAgAElEQVSAN944jiml1tq+7621Ukqf0fxx - asR7JiC3uvWNeZpTilojtYgav/qrf+/nfu7nTqfTvu/DMJRSTqfT9fX1S7gUAAAAAAAAAAA+wDAM - 67rO89xae/r0aUSklIZhGIZh27Z5nvthvQ87pXR1dZVS6pGSXuwdEeu6PuD6e7KltRYR5/M556y9 - G14S1d0AAAAAAAAAAAAAAAAAAAAAAAAAAMAbb9u21lpKqZTSNyJimqZPcapxHiLqspxbi2FIEfFL - v/Tf/sW/+Be3bRuGoU9PLqXEg45yBgAAAAAAAAD4HOql1/u+P3r0qLV2e3vb9/THrpdhl1LGcYyI - nPMwDBFxOBxqrX37QWzblnPudeMRMc/z7e1tzvqF4aVwawEAAAAAAAAAAAAAAAAAAAAAAAAAAG+8 - YRhSSjnn8/l8PB77UONlWT7Zq2tE7VsptfW8RERrMQx5XdvXv/6f//W//teXZTkcDsMw9OHOp9Pp - +vr6E58fAAAAAAAAAIDv17qu0zRFRK++3rbteDymlCKit3R3jx8/TinVWrdt689u29Zfftl+EDnn - fd/3fV/XtdbaWjsejz2LArxwqrsBAAAAAAAAAAAAAAAAAAAAAAAAAIA3XmuttRYRx+OxN2r39u7v - Ue2vGseScmx7/fmf//d/8Rd/cduXw+Gw7/uTJ0+GYSil9He8P/QZAAAAAAAAAICXahzH1tq+7xGx - 7/s4jsuybNu273vPjeScU0qn06knQEopfX88r82OiF7+/VBKKaWUcRz7Urdt+1QRF+DjubUAAAAA - AAAAAAAAAAAAAAAAAAAAAIA3XkqptZZzbq1N05RSqrV+j6ONa0TUfZ/Gsq171PipP/Unfv3X/v48 - z/M813Xbl/Pjx4+HYdj3fZqmbau1vqSrAQAAAAAAAADgA6SUcs611lJKREzTNAxDKSXn3PfM8xwR - vbF73/dSSq11mqZLzmNZloda/O3tbV/VZYXDMDzUYuAzT3U3AAAAAAAAAAAAAAAAAAAAAAAAAADw - xlvX9Xg89vbufd8vk5c/1an2iPiRH/lX/tE/+tb1o2PKbV3X1vbr6+t1XZ88eTKO483NTa11HMcX - fR0AAAAAAAAAAHywXncdEb29e9u2iEgppZT6s6218/ncd/bD7vdkX+q9H8o0TefzuZTSWusrrLVe - Lgp4sVR3AwAAAAAAAAAAfFa0FveCpPE8KvqAWnt3OT3DGg+9JAAAAAAAAAAAAAAAPgMuM4vb8+T6 - vu/TNC3L0p/tM5f744fpg5iHYejbPYQ/juMw5Ij4wR9863d+53e+/OUv55xTbbnVnPP5fE4pzfO8 - bds8z5fhzgAAAADAK/Pg8zQAAAB4sdpzEbGu62X/JZVRa70cmVLa970/ttaurq7u/zvx/jzG/qrL - a/uZL6mSF6iHT/pjRMzz3Dd6NKUvL6VUSumL6Qdclp1z9k9deElUdwMAAAAAAAAAAAAAAAAAAAAA - AAAAAG+MPkC5j2xOKaWUzudzH3b8PenTkO9Pc76+vl7Xddvq9fX0rW998yt/5McOx2lZlpTaNE0v - /koAAAAAAAAAAD7ftm1LKS3L0uurx3FsrW3btm1bKeV8PkdEzu/W797c3PSUyDiOPemRcx7H8aHW - X0rZti0itm0bx3Ecx/P5PAzDMAz7vpdSWmv98enTpyq64RVT3Q0AAAAAAAAAAAAAAAAAAAAAAAAA - ALwxSik555RSrXXf92VZ5nn+yNHG+cOmsOac+3zkiJim6dmzZzlHSvHNb3zj3/nTf7pEOt/cHqcx - Im5ubj7hOQEAAAAAAAAA+ISGYVjXdZ7nXm4dESmlXn29bds8z/2wWmt/6urqKqWUUmqt9WLviFjX - 9aHWv+/7NE0RMU3Tvu/ruvYy797nve97RPTq7sPh0Fp7qHXC55OAFwAAAAAAAAAAAAAAAAAAAAAA - AAAA8MbY9/18PqeULpXbH9nb/aEupd19VvKyLBGRcvydX/nlP/Nnfi6ittZSaq21nPM4ji/0IgAA - AAAAAAAAiIjohdb7vj969Ki1dnt72/fcL7ru1d2llB7hyDkPwxARh8Oh1tq3H8oleVJrvb6+vuzv - ld4RcX7u00VcgE9NdTcAAAAAAAAAAAAAAAAAAAAAAAAAAPDGKKXM8xwRz549K6WklD7ZXOP8vlms - Oed93/vGOJa+8+tf/4Wvfe1r27a11oYhD8Owruu6nad5iFQj1Rd+OQAAAAAAAAAAn1vruvaK616/ - vW3b8XjsUZDe0t09fvw4pVRr3batP9sLs9d1vWw/iGEYLrXiEfHs2bN933POEbEsSymll5HP83z/ - coBXQ3U3AAAAAAAAAAAAAAAAAAAAAAAAAADwxti2rY9dvr6+vpR295HH35NxHC+jk9d1LyX9+T// - 537pl37pfD5/8YtfXJbT+Xze932e59ba+Xx+sVcBAAAAAAAAAMA4jq21fd8jYt/3cRyXZdm2bd/3 - 1lpE5JxTSqfTqYdDehl2f23Oub+wl38/iEtreF9JRKSUWmullH4h67oej8fW2mXZwCujuhsAAAAA - AAAAAAAAAAAAAAAAAAAAAHhjDMMwjmNEpJRKKdM0tdZ6CfcnkC8TWXsb9zDkXgT+0z/907/+679e - Sjkcpu985/eurq4iYhhzbdvhcHg5lwIAAAAAAAAA8HmXUso511pLKRExTdMwDKWUnHPfM89zRPTq - 633fSym11mmaLnGRZVkebvkxDENElFL6anuO5fb2tudbxnFc17WnXB5wkfD5pLobAAAAAAAAAADg - M6E99AIAAAAAAAAAAAAAAOCV6IOYU0oRkXNeluXjRhvXiA8o9k4plZK2rZYhfuKP/dFvfusb45Dr - trXWDofD+Xzug55vb29zzvu+v5SLAQAAAAAAAAD4HOs5kIjo7d3btkVESqknQ1prrbXz+Rz3siI9 - xdFfeKn3fkB9za21fd/bc+M49o143u0d9y4WeDVUdwMAAAAAAAAAAHxWpBTP46Rday23DwiKpe/a - 85IXFRGRc76/NgAAAAAAAAAAAAAA+FiXicwRsa5r3+jTmYdhyDmv65pS2vf9oyLrKSJFKhGpzvPY - a7ynaZrGUveWU/zwl3/wt3/rN3/gC1+Yx1xSH6S8l1Jaa9HyNB6W8zaUKVqOdgnp13tfAAAAAAAA - AADcudRXx728R0T0yu2IqLVejrwEP3rv9dXV1ftmKl62+6sur+1nrrVeTvuilFJSSjnniOiPvR38 - 0sDdpfdOgNz3/bKSy1PvO8ZIRnjFVHcDAAAAAAAAAAB8ptyPheX2wdtd+q49AAAAAAAAAAAAAADw - Wsk5D8Ow7/u6ruM4PnnyJJ5PMd62rdba5yPHeyc1f6BW6zCW8/kcEaWUZVmWZbu6mh5dTf/jb//2 - j/zIH56GePLk7WxcKwAAAAAAAADAp7VtW0ppWZYe8BjHsbW2bdu2baXcJTfyvXzGzc1NL8Yex/H6 - +npd15zzOI4Ptf7D4dBLxHtHeGutZ1dyztu29WP6gltrpZRLT/m+7/0ll8OABycLBgAAAAAAAAAA - AAAAAAAAAAAAAAAAvI6WZYmIUso4jufz+fHjx30g8jRNfX9v7+6znj9UiogYh7Ite0RcXV1F2/sL - aq3f+ta3fuInfqIPXD4cDpHqy74oAAAAAAAAAIDPqmEY1nWd57m19vTp04hIKQ3DMAzDtm3zPPfD - est1Sunq6iqllFJqrfVi74hY1/Wh1n86nUopKaVSyjRNvXd8GIa+4L7+3uSdUtq27dLYXUoZhiEi - +iPwOlDdDQAAAAAAAAAAAAAAAAAAAAAAAAAAvI56Rfe2ba21PtM557xt27Is4zju+x4R+7631sZx - /OhTpZRyjpzzzc1NrZFz5Bx/9+/+9z/7sz8bUdf1nFJK+d0Z0AAAAAAAAAAAfAqttYjY9/3Ro0et - tdvb276nP3aXuuse+cg598brw+FQa33A9utSSl9brXVZllJKRPSMSs65B0tSSuu6btvWX5LzXTvw - Zc9lA3hYqrsBAAAAAAAAAAAAAAAAAAAAAAAAAIDX1L7vpZQ+u7mPaU4pHY/HPuO4T2qepmld1w89 - RY2hDMuypVRa63OfU63xi7/43/yFv/AX5nne9/1wOKSUzufzPM+v6MIAAAAAAAAAAD5z1nWdpime - hzq2bTsejymliOgt3d3jx49TSrXWbdv6sz0K0hMgD1h93Vu6W2v368NbaymllFLOudZaa73Udeec - 931/9uxZPL/kh60eB+5T3Q0AAAAAAAAAAAAAAAAAAAAAAAAAALx2tm1rrZVS+uDjy5jm1trt7W1r - 7dGjR5dxyR99qj4ued/31iKlaK395b/8n/y1v/ZfjFN5+vSd6+vjs2fPzsvtW2+99bGnAgAAAAAA - AADgw4zj2FrriY5938dxXJZl27Z933sqo4dATqdTj3OUUi5pjV6DHRG9/PtBpJR6b3fvIO/ryTm3 - 1tZ1vRSNn8/nS3t3a+36+rrWuixLPGjvOPA+qrsBAAAAAAAAAAAAAAAAAAAAAAAAAIDXzjAMtdbz - +RzPu7d7h/cwDH0I8tOnT1tr0zSt6zoMw4edp6S8LktJ+Tgfcopo8bM/++/+yq/8yjQM23m5urp6 - 9uzZ9fX1MAyn06nPUAYAAAAAAAAA4NPpAY9aayklIqZpGoahlJJz7nvmeY6I3ti973sppdY6TVOt - tZ/hdchvpJSWZTkejzc3N716PKWUUupl3v0Saq3btvWe733fe+P4pfAbeHCquwEAAAAAAAAAAAAA - AAAAAAAAAAAAgNdRrXWe55xzr+hurfWRx6213tWdUlrXNaW0bduHnqTVoQy11dP51Fp89av/xje+ - 8Y3j8ZBzjON4Ot3M8/zs5skwDBFtHMdXeH0AAAAAAAAAAJ8pvZA7Inp7d0909NLr/mxr7Xw+9539 - sF503V94qfd+KH1VPZ3SWnv77bePx2NrrcdXIuKyvG3bcs7DMJzP53Ecx3HsF7tt28NeAnChuhsA - AAAAAAAAAOCzorW4F1SN56FPAAAAAAAAAAAA3izteR4spXRJhYmEAQCfbeu69o0+mjkilmWJiFJK - /6GolLIsyzRNl5f0YcftuXj+I9Ol0rs/9lHIfcRzRHzlKz/2m7/5m9eHY2qt1lrrNgzDtm3zPO/7 - fi+SDwAAAAAAAABAxHvjGZeMR9zLY9RaL0emlPZ974+ttaurq/sh2PvzEvurLq/tZ661Xk77ovT8 - Sc45IvpjKaVvdD1t0nMptdZhGFprfRmllF7RHd+V5u2vioh5nu/vuewHHpzqbgAAAAAAAAAAAAAA - AAAAAAAAAHiN9El/vaIypXQ8HkN1NwDwmbau6ziOff7yPM+3t7fxfBryMAzzPJdS9n2f53lZlvtz - k9+ntZZz7gf0Qc+XMcrDmCPiS1/6wre//a0vf/kHj1eHfV8j6iu5PgAAAAAAAACAN9W2bSmlZVl6 - lnUcx9batm3btpVSzudzPO/D7m5ubkop/cjr6+t1XXPO4zg+1PoPh0MvEe8d4a21YRj2fe/f9oDK - tm3DMCzLUkpZluV8Pq/resnu9ibvh1o/8P1Q3Q0AAAAAAAAAAAAAAAAAAAAAAACvkT4QMCJaa621 - PtOwzwcEAPhMGsdxWZZxHLdti+cjnvtT/cehfd/7rOc+2fkjtNaWZYmIaZpyzpfjp5KPU/n2N7/1 - x//YT+SI29vbYRjuXpNqpOc/a7UczbxWAAAAAAAAAIA7wzCs6zrPc2vt6dOnEZFSGoZhGIZt2+Z5 - 7of1pGtK6erqKqWUUrqEYCNiXdeHWv/pdCqlpJRKKdM09d7xnhs5HA49Z5JzTimdz+dt28ZxzDn3 - Pf2py1UAbxxRMAAAAAAAAAAAAAAAAAAAAAAAAHiNrOtaStm2LeccEa21PgHwodcFAPASTdMUEX0s - 8jAMl2nOlznItdb+Q1F/6gP1oc99Y1mWWms/eB7S7Wn95je/+W//9L9VhpxSq3WrdXs1lwYAAAAA - AAAA8EbreYx93x89etRau7297Xv6Y9dDGqWUcRwjIud8qceutfbtB1FK6WurtS7LUkqJiH3fU0qn - 06nnUtZ1ffvtt6dp2vc9Ii6Hnc/nXlveDwPeOCL4AAAAAAAAAAAAAAAAAAAAAAAA8BoZx7G1NgzD - ZczfpXUSAOAzqdbaWmut7fveWqu1llL6z0KXNu6+f13XXvL9EXLOfXpyRPSNbWt/5+/87Z/79352 - nufz+Xbf17feerwsS0REqtHyu1+RzWsFAAAAAAAAALi4pDV6/fa2bcfjsec6ekt39/jx45RSrXXb - tv7stm395ZftB9HbuHs097KzJ1VKKefzubWWcz4ejxHRVz5NUw+WzPPcr7GfBHjjiIIBAAAAAAAA - AAAAAAAAAAAAAADAa+R8PqeU9n3POfcpgZcObwCAz6Sc87ZtfSBy/yno8oNQrTWeN3D3jbvK7Q9y - KfnuG+M4btuWc/zCL3zta1/7Wiml1m3bltbazc3NPM+R6qu4PAAAAAAAAACAN9Y4jq213l297/s4 - jsuybNu273tPaOScU0qn0ynnHBGllL6/P9Vf2Mu/H0RKqfd29w7yvp6cc2tt27ZxHGut+76fTqfL - /r7gywWeTqdLdgV4s6juBgAAAAAAAAAAAAAAAAAAAAAAgNfIPM/7vvfBhZcOy4deFADAyzWOYzwv - 6p6mqddvl1L6TOdaa865F3v3Su8P1H9qukyLXtd1GIaf//mf/+W//bciaq1ba+36+nqe59q27+rt - zia1AgAAAAAAAAB8t5RSzrnW2rMZ0zQNw9BzHX3PPM8R0Yuuewi21jpNU4+CRMSyLA+3/DsppWVZ - jsfjzc3Ntm3xPGTSr+JwOETEuq4ppVprv9iU0rquh8OhHw+8cT40agYAAAAAAAAAAG+u1KKlT/T4 - 6U6+p2gpaus7akS0qO8fz9QiRcSnegv4NFJERI2okSMit0gtaqotWo5I/ePa4vkHteYW9YNOUz/y - Q5vbJ11OTXf3RWo1RbSIFvly07W4e/dy+T7cLwAAAAAAAAAAAO+6tE5GxDiO67o+9IoAAF6iPge5 - d3L3Du+I2Pc9pdRa66OfW2vDMAzD8BGjkHtjd0opIlJqtcZXv/on/uE3fqO1tu91nufW2s3NzfF4 - 7Cd8JRcHAAAAAAAAAPAGa631MEaPcNRah2Hoe/qzrbXz+RwRl6RHj3D0bEbOOaXU9zyIvqpLV/e6 - ruM4XjrFSynbtg3D0MMk4zj26vFt2/r19kBLfwTeOG5dAAAAAAAAAABea6k9rxz+pHL/X22f6LGl - DywvvvO+DuPcotSoEWuOmqL06uFUa9QaKSLGiIi8p8gRqY+BKtqIeYXSvkVEOdbYprpHxFL2dYtD - RIkckXPEHimi5Xb5dOf33QOXG+5+t/0eNdXIKT72dkyXcu4US6tzGfJa5zx/J86tDHtKkWukWiN6 - cvbdWvGo0bL7BQAAAAAAAAAAoLtMOYyIjyinBAB4s/Qfcvos5lJKRNRac87xfMDx5UegeZ7P53Of - 4HyZlXz5uShF5Bx7jf7Cbdsip+jjnktp+95a5BR//Cd//Nv/6JvHedr3NaLt+xoR4zhu2zYOc6vx - 3t/6+KjfMQEAAAAAHlZrrZRS/d94AAAAL0KPZERESqlXWfdve2t13Et0XMIepZQe+bi6ulrX9btP - Fc8zHpekRz+svoR/y5VSaq0ppb7OWmsppbV2ea+eJ5mmaVmWXr+9rmt/9tLVfTlb3+7XG88zLe/b - Cbyh3MMAAAAAAAAAALzuen/wJ3m8qJ/08XsL8KV7X3fftvj/2bvjn8uS9C7sT1Wdc+69b/fMbvCa - 7CayRcwuTpCjgLFsIxEjAY4FiVCkIIJCTGQhHCSsICI5FlKyaBURBwvW6wXGJgQBUvgp/C/8DSRS - oiAWu3en+733nFNV+aH6vf3ObM/szOxMd0/P56Or0+fWrVOnzujeH0Z63ucb/VqFM7KQW7+/7ofL - HYfvR4uStn29bFuPNEc+zOmyxxtvzuMre3fo18T6lFJKPeV3vHLqKVqKlnpLveXoJcUcaSpPM7ZL - RImY0tPXnJ++xtvxae4REb1FtJoiUt2PMW3bVusaqUc0tWsAAAAAAAAAAAAAAJ9BKaWRrzOaIEdE - rXV0cN62LaU0TVMpZZ7ny+VyjfF+rmtn5LFOKSVSSjm3WlOKSPGlL/3ub3zj17/0b//uy+VWLDcA - AAAAAAAAwLDve0ppXddRmzHPc+993/d930spl8sl3hlZ/eTJk5FmPc/zgwcPtm3LOV/Tvl+84/E4 - Ck5GFHfvfZqmWut4uyxLRIxw7nVdSynrul4ul1GaMlaYpul+4jjwGpte9gYAAAAAAAAAAOD99NRa - ihzxQY4R8WFbKbX3a+L0fCki9TT1mHueWkTLqef5nd2gekSUiH6X8g0vRt+PUz/dTMuT9e293bSY - cvz2t7cHOXpvEa1F7qlFipYi9+jRezwN5I67k9wjpbjWkabo4xvdInKUNq6LZ7H0PaLfy6i/nufa - 3pgj1T5F9KglYin55lBifRLHQ+r7nKa7CPFP+D8LAAAAAAAAAAAAAACvht77tQly773WOro/p5SW - ZZnned/3MT5N08jkfi/73iIi5zzaLtdao/fe+jTlurfPvXnzzW9840e/8pVSUmuT6G4AAAAAAAAA - gGGapm3bDodD7/3x48cPHz5MKU3TFBH7vh8OhzGttZZzTind3Nxc6z1GsHdEbNv2UjYfEefzuZQy - tjeSuUdQ977vx+PxfD5HxNj55XIZSd5xr2ol53x/HHi9ie4GAAAAAAAAAOAVl+MuYPuDHD/iPfrT - JOP3P0ZEv/Zq6jlFzT2PHd6/+TjvKZJAYl6kHtGi9Hj7248epHyTotY4PYi0RtSnn0e0niJS9PSs - 69g1unt8yVNESanfZXDniBTRI0XEHj1Ff973+q5vWqT8NOQ7Wup16z3iEFFS3Pa47NvlcokyRWvR - espRxlUpWrTrvQAAAAAAAAAAAAAAeF2llGqtvfdpmuZ5jojROnl8um3b6ARda933PaXUe3/uOjlH - a+MkR0RrLe5m7rUdlvz1r/+dP/AH/sC2XR4/Xh88eLDv6wt4OgAAAAAAAACAT4VRklFrffjwYe/9 - fD4fj8d3lWpcs7FHeUbOOed8jcceUdkvZfMjt3vssNZaSqm11lpTSufzeTzFtm0jn3tMWNd1ZHVf - Lpec8zWeHHjtie4GAAAAAAAAAOBVlqKn3PMHnt/GRR/CyCrukT/AsafYc+wRNUXu0Vuu+ekeU6To - EalFRIl2l/CdRRHzQt2up+n48BT77XdO03y7b5fHMU+RInoq0XOkSKmNAO8cPXpKPefv6mO2tn73 - lU8pUr7L766xjcjvFNHz03D6lNK+P11ifPOfHntESanGFrH1cht1efD5Mk9Re/T8dN7dL6TeRXc/ - PQAAAAAAAAAAAAAA8JoqpUTE5XIZTZBTShGRcx4B3vu+jy7PETHP87o+P3J75HZfg8AjInocDnOt - da/tq1/96s/+7M+2fd/3/eHDh+fzk3FTAAAAAAAAAAC2bRs51tdqjdPpND6a5/k67Y033jifzxHR - WhsFHqOiY9u26/lLMVK6e+/zPI/NxF0YeSnl9vZ2PMV4qLHzZVlGevc1tHtEer+cBwBeINHdAAAA - AAAAAAB8GvQcqX2AYzyNzO4jW/h7HSNSjxEVnJ69fZ9ja3exwqm3p2c52vPShnuMzcgh5oXJcfPg - W5ftOB+2rT5pl8PNcct9jUhbpJ6j5xE/31KbWis9cs/jJ9BTa5F7ipaiR85Tqb236DX63dd//LuM - 6O7W77K6e+8p5tPUU/TeW3pasdp775FrysfleH70nSh5evD5/29fvzMd4ngTuURK11j7FM3vBAAA - AAAAAAAAAADgs6C1NpK5Rx/kfd9TSjnn3vto6JxzXtc1pfQ+ud1DihQpjd7QpZR93y+XLZf4a3/t - l/78n/+vHj/5zs3hOE15Xc/zPLfW3mcpAAAAAAAAAIDPjnmee++ttVJKrXUUaeScRxXHtZYjInLO - 12kjAzvnXGuNuzDsl7L/kds9TdPIIB/buG4sIlprvfdt247H43iWlNKyLLXW8YDn8/l4PL6UzQMv - mOhuAAAAAAAAAABeZT1FfxqS/YGOEZHvju17H0fOdzyn+9J7BQmXFjUiRc09p2cXtogSEdHHdS2l - +6PwQuQUrX/7d/3A+Vvf/uIXfnA7fyelfsm9tbYc5tRSRPSUtxwRLUefWkw15YgWueVWU64p9hxb - zv/Pv/5Xa7Qt+hqxR+wpWo+Ip1HePaJFtLh/frmej0zvHlEj/uXj34n9EmWJHrGe4+YmSo5pjlR6 - TKnfLRFtSr3HNcsbAAAAAAAAAAAAAIDX0+iSPE3TCO2OiM9//vOj13NKaZqm0Rg6pTSSvN9npYjU - W00RyzT33lPE6XT403/6P/tv/9IvttaOx+OTx0/muUREJLndAAAAAAAAAADPjHzuEcsdEcuy3B+P - iMPhcLlcRlFHrXWkd9+P635Zud33pZTWdT2dTt/61rdGFPc1kjwixnHbtnmeW2v3R47H477v0yTS - F15/fucAAAAAAAAAALzqeorU2wc5RkREvsvd/iDH6O/RfWkEFb9biiki94hoPUXvraYW6d7cdI0i - jpQiv2cCOHwCco433/yn/+JfREtRa+xbTD3KiJFPT1Pt0913v7foI8I7Io1XjhRRIlL+oTff2CPW - iBrRIuq9QO7rMb7rvL1zpEVsNw9TvFEvl5Jjevhw3bc8HfZIKVKKWJ4tkSPVJLkbAAAAAAAAAAAA - AOAzIOfcex8p3fM811ojIqXUe9+2beR5j/Tu91kkRep3BezbtvXoh8P8h/7QH/wbf+Orx9Nye3u7 - LNPxuJRSatv2fc9JC1YAAAAAAAAAgIiI3vsozBjp3a21aZqupRq999775XKJu4qOnPMo8BhJ3jnn - lNIYeSnGrvZ9H1u6H84dEaWUEcvdWqRqLJgAACAASURBVLtWp4zB8bwjsVtuN3xG+KkDAAAAAAAA - APBqy6nnlPoI8H52zJHW2pacIqd9b6XkXltEpGi5Pz+N+7u1FC1FbZFSlDJKBmOey7bVqTynwVPu - PeVoe6SSW0+XHnuJeNrvKe61hMrRI6f4oPuAj0PPueVc5hJbi9ojHSLaXVB3PIvuHq/xM+n30+Vz - RESKiPRvIuJeFHe/S6Vv70zmfnbr8c+7fjQ9T1EiYppPY9IyTfWuaq1cr0wRKY/Fi7R7AAAAAAAA - AAAAAIDXyGj0PPojjybIEVFrHb2Pc84RMfo+j87Ozz15rjJP27aliBQpl6g1vvzlH3nrrb9/mOe2 - 7YdpjtZ6xF7Xpzd6v8UAAAAAgE+HlJ7TEAYAAIC4V2hxLdUYb68FG621UaoxyjnG+Ijfvrm52bbt - u5caV12PETGmXd9+jEoprbWU0thna62U0nu/3muapn3fl2VZ13XEb2/bNj69ZnVfV7tfmjImfPcg - 8BkhuhsAAAAAAAAAgFda77X3XiOix/3jHj1F7L23vU8lpdRripxjqxH5XtTw+x8jUk7TlEspt7dr - zlHmvNVa5tzqc2oBe0Tao6R4UtthPj1pt+V0iNqmuMsuvv55V3p66u+9eGFaxBaxRT7MOU0tokS6 - 9zW+9xXtEekuOzsi4n5gds8RcRv53miOZ9Hd7d6v552eF3afRiJ3eraBEtFTRES6RoJHRHqaMd79 - ZAAAAAAAAAAAAAAAXhePHz9+8OBB3KXpjCbIvfdpmtZ1PRwOh8Phcrm8f0T3e9n3PaIdD8fz5Vxr - fPnLv+cf/sP/7cHDUzz9e5AWEe8oqgcAAAAAAAAAeE2N4OrL5XI4HCJinufe+4jlvo7fj6x+8uTJ - zc3NmLksy7ZtOedSyv0A7xfpeDyez+e4Sw0f5SX7vo9PR1z3eMZ1XUspt7e3Y7fXuO5pmkYk+UvZ - P/AqE90NAAAAAAAAAMArLaVUnhe83WosU/QWtceUIqJvLaLEusw1xQcL7k6l97RtUWvZakSkFPNc - zluLaOVelnG66wGVe+qRIi1LL9se+Xg4rzVqji1Ffnfm8EdpHAXfh3y/IOzptzGPn8vTkadfyvz8 - 7O1x3dNs7xYR9Wlo9ztalaWIHO8Y6u/4574W/Xp8tqXrD+r6k/FjAQAAAAAAAAAAAAB4zbTWHjx4 - 0FrLOV/7JqeURhvoEel9uVxyzq21lNKHDfDuvS7Lcr6cI+IHfuDf+s3f/M3f/YUvpDZWuat57/m9 - FwAAAAAAAAAAeE1M07Rt2+Fw6L0/fvz44cOHKaURa73v+8jzjohRyJFSurm5uaZcXy6XcfKycrsj - 4nw+l1LG9kop16Dufd+vqd5j55fLZVmWcdU1qzvnfH8c4D7R3QAAAAAAAAAAvMJK+VfLobU99dxT - e9ex9v0wH9f9skyH7zz+9rTM31m3tdQ957ss4O9xLL0dp5JqTRGl5FpbWrfPf+Hz+773+iybOD/r - /pRTT3Oez2s7Pnzwb27/9bfmHMscJb0jtztFpEg9yjvDvOETlSKmd8Zs333dc4p42nqsvztj/n2N - 1UZU97sbll0DvK/rvatNWooWaY93JXWn65pxf838zqUAAAAAAAAAAAAAAHhtXC6X+z2gU0r7vo8Y - 73mez+dzzrnW+mGXXde15Dgc5n/wD37zS1/64jyX8/k8TeK6AQAAAAAAAIDPnN57RNRaHz582Hs/ - n8/H4zGlNMaHazZ2zjkics4552s89ojKfimbH7ndY4e11lJKrbXWmlI6n8/jKbZtG/ncY8K6riOr - +3K55JyvpSkA7yK6GwAAAAAAAACAV9gy/8b/9X9HrdFTpP6cY4un5zl++I03pohzbfdTt7+ndHfs - tc0R//I7b8flEtMUpTyb1O+d5TVajz7HfhuHPeblEm3PMUWUiDKWGsv2uzNxxLwgLXqLiEgjbj4/ - G3/69v5PI0e0u0jv+43JWtx9Z+/itMdVrUe0iHcd30eP6Knfu2mOux/I3WCLyCly8mMBAAAAAAAA - AAAAAHi95Jy3bZvnudZ6OBz2fZ+mqfeec04pzfO8bdtoshwRHyG3e5ryvreI+Lt/9+/+2H/w+6e5 - pB6HeWn9WQvpUfTeUo6I3D/EH5sAAAAAAAAAAHyKbNs2cqynaYqIfd9Pp9P4aJ7n67Q33njjfD5H - RGstpTRmjsuv5y/FSOnuvY+SkjE4QsdLKbe3t+MpxkONnS/LMtK7r6HdI9L75TwA8AoT3Q0AAAAA - AAAAwCusTP04RTwvtjuitl5yGlHAtfX/N8+11XeGE38PT+ONU4r+NIP4PM3Hhw8i3rnMNaA49Z4P - vbfccqRDtEcxzT0eRESNSHflOONSJXu8RO1eGnd+et4i5WdjT4/3crt7jtSeHu/p33Xev2v8+VK0 - SNefwrNtRMRdxnhEy5HT/dWldwMAAAAAAAAAAAAAfPqNZsoRMXoij8bQEZFSGqne4+3pdLq9vf0I - 6+97Szn++l//lZ/5mf+4pDTP5fzktpTyrHAeAAAAAAAAAOCzYZ7n3ntrrZRSa53neV3XnPOo0xjH - kYSdc75OGxnYOedaa9yFYb+U/Y/c7mmaRgb52MZ1YxHRWuu9b9t2PB7Hs6SUlmWptY4HPJ/Px+Px - pWweeMWJ7gYAAAAAAAAA4JWWUvS4S/O9f4zIJUVEj0gpckm17/0DpAl/1w2eJgjnUnrvh+MSEa21 - nHPEd2UJp1RjTilGcnhMD2JvxxI1YmSIR4vIrUb0yDnLIObF6jlaRIqecn9nhHyPSPHc9mP5XjJ9 - RIxvbR5j9cN+g/u73+a7ErX07KOcUuvRIqKPfcUeKUfXHQ0AAAAAAAAAAAAA4PUxmjtHxOihXEq5 - XC6jRfK1AXRE7Pv+kW/xC7/wF37hF35h37fj8Xi53KaUlsN0DQWPiHh+IT0AAAAAAAAAwOtm5HOP - qoyIWJbl/nhEHA6Hy+UyArxrraN4435c98vK7b4vpbSu6+l0+ta3vjXqTK6R5BExjtu2zfPcWrs/ - cjwe932fJhG9wLupIQMAAAAAAAAA4JXW3+NYe4uIFn3d1h7ReuvRP2JWdkqRUqu1txYRtdanud3P - U1v0iLpHlByxRDlFjdIjtXfnFn/XAHzyUkR6+u3N76wP+3DfxhQfS/J86k9fz3bQI3pOkXNEiiTe - HgAAAAAAAAAAAADgNTZaJ48Y7+PxOJpB55xrrSmleZ5H0vZoo/yh/Kn/9D/5lV/+5ZxTyr3WLSKW - w3S5XD72RwAAAAAAAAAAeMWNQO6IGOnd+75HREpp1Gz03nvvo6xijIzijeuFOeePULzxMRq72vd9 - bPXRo0en02mcR0QpZTxRa63WOs/z6Bo60rtbayOxW2438FyiuwEAAAAAAAAAeKWl93iVlKP3HOkw - L3dvP1JWdo9oPY1re6SId+R2v+uuEUuOElHmMVIi5SgRKSJf5+Q58nQ3AC/OXW53iih3r/yO7+87 - 594/e9fHd7+Id+vv+3r+lu5e79jKSO/OOXIaIePP3QYAAAAAAAAAAAAAAK+8ficiRhR33OvsPLok - z/McEaWU1toY6b1fJ9da3/XnG1f3G0NfP/+pn/zxb/z6355ytH2dUrS25xx7rSnndxa5t4iWe8u9 - feL/FQAAAAAAAAAAPj7PrceIUWURERGjAGPMTCnVWsex935zczPCsK8TrufXuOvxdqw8IrE/3v2X - UlJKo73nOJZS7nf7HEnby7LEXfx2731sY2R155yv6eNj8jVifByvg/cfFuBdRHcDAAAAAAAAAMCH - 8LQH1F388LPI4evHd8HJavd4CdKzL+HHk4X9PcO5P6xnG8rpmtsdcrsBAAAAAAAAAAAAAD6V9n1P - Ka3rOpogz/Pce9/3fTR9HkHdp9Np3/d5nvd9/7Dr11pHn+XRY3me01e+8u9985u/kXuMZO6P93EA - AAAAAAAAAF6696rH2Pe9lHK5XOIuD3t48uTJSLOe5/nBgwfbtuWc53l+Wfs/Ho8jRHxkhPfep2mq - tY63I6573/dpmtZ1LaWs63q5XLZtu4ZwjyTvl7V/4HUiuhsAAAAAAAAAAAAAAAAAAAAAAAAAAPjQ - pmnatu1wOPTe33777YhIKU3TlHMebaCPx+O6rhFxv73ye+oR7+y6XEqptaaIqeScY1mWf/JP/skP - /uAPfu+lAAAAAAAAAAA+nd6rHmOapn3fD4fDmDaSsFNKNzc3KaWUUu99BHtHxLZtL2v/5/O5lJJS - KqUsyzJyx6dpiohrJUnOOaV0uVz2fZ/nOec8RsZH16cA+D6J7gYAAAAAAAAAAAAAAAAAAAAAAAAA - AD6K3ntE1FofPnzYe7+9ve2911rneR6fllIiYrSH/rCL11p779OU970dDvM//+f/5+c//7lILeJp - 7+mP+2kAAAAAAAAAAF6+59ZjXMeHEd1dShlFGjnnazx2a22cvxSllLG31tq6rqN0pNaaUjqfz6Pe - Y9u2R48eLctSa42I67TL5TJiy5WFAB8L0d0AAAAAAAAAAAAAAAAAAAAAAAAAAMCHtm3bsiwRMdo9 - 7/t+Op2urZNTSq210Yv5muH9fD3iPXK9l2WqtU1TvPXWWz/6oz86TVPO+qkCAAAAAAAAAK+t96nH - GCndwxtvvDFqM/Z9H5/u+z4uv56/FCONu/d+Pz689z6qRy6XS+8953w6nSJi7HxZlnVdI+JwOIxn - HIsAfJ+UmgEAAAAAAAAAAAAAAAAAAAAAAAAAAB/aPM+999ErudY6z/O6rvu+l1JSSiOr+3A45JxT - Sh+hpfI05XXbU4pf+7W/9Yf/8E+fL08Ox/l8PqeUrgHhAAAAAAAAAACvk/eqx6i19t4jYlRinM/n - nHNElFLG+PhoXDjCv1+KlNLI7R4Z5GM/Oefe+77v8zy31mqt5/P5Oj42fH3A8/k8yk4Avk+iuwEA - AAAAAAAAAAAAAAAAAAAAAAAAgI8ipZRzbq2NjsnLskzTNAZHwPZI2r52iH4P+d7rmVZbSfFX/spf - /pN/6ufmpRwOc2t7KUK7AQAAAAAAAIDX2XPrMUopOecxcjgcImLUY9RaSymttWVZWmtjhXVdX972 - n0opret6Op2ePHmy73tEjEjy8RTH4zEitm1LKbXWxsOmlLZtOx6PYz7A92l62RsAAAAAAAAAAAAA - AAAAAAAAAAAAAAA+fXrvKaWIGN2iW2sjtzsixttxPjpE11o/wJLt2WmK3uPP/Jn//L/7q780TVOt - 277vIxE83j8HHAAAAAAAAADgU+t96jHGp733y+USESml3nvOeVRljCTvUVzxweo0PhFjV9es7m3b - 5nm+ZoqXUvZ9n6aptdZ7n+d5FJaMspDxsBExjgDfp/yyNwAAAAAAAAAAAAAAAAAAAAAAAAAAAHxa - bdsWETnn0fo552f9TkdL6Ij4nv2gl2UZud1P+y+XlHr8sT/2M1/96ldzzut6zjlP09Trlu/HewMA - AAAAAAAAvPL6nbgrtBiuBRXXZOuR211rHcfe+83NzTW3O+4VY1yvul47Vm6tfey53aWUa03IOJZS - 7peIjHqPZVnGBqZp6r2PbVxjuVNK40HG5JxzKWVMuD94/2EBvk+iuwEAAAAAAAAAAAAAAAAAAAAA - AAAAgA8tpdRaW5ZltFo+nU5xrx/0BzfP87quo6Hzvu+Rotb+kz/5B//W3/pff9cPfP5yuR3dqHPO - 8zzf70ANAAAAAAAAAPCK2/c9pbSu6wilHsUP+77v+15KuVwucZeHPTx58mSkWc/z/ODBg23bRsnE - y9r/8XgcIeKjJqT3Pk1TrXW8HXHd+75P07SuayllXdfL5bJt2zWEeyR5v6z9A59lorsBAAAAAAAA - AAAAAAAAAAAAAAAAAICPrpQyekyPdswf1ugxXVI+LocUMZf4oR/60q/92q994QtfePTo0YPj6bQc - oraoLXqOrp8qAAAAAAAAAPCpMU3Ttm2Hw6H3/vbbb0dESmmapmma9n0/HA5j2kjCTind3NyklFJK - vfcR7B0R27a9rP2fz+dRGVJKWZZl5I5P0xQRx+NxXdeIyDmnlC6Xy77v8zznnMfI+Oj6FAAvmFIz - AAAAAAAAAAAAAAAAAAAAAAAAAADgo8g5r+s6GkYfDofRjvkjrRN73S/r5XAoNzc3/+gf/e8/9MP/ - 7u358Vj58ePHo6dz7/3j3T8AAAAAAAAAwCdtFDzUWh8+fNh7v729HSP3CyFGdHcpZZ7niMg5X+Ox - W2vj/KUopYy9tdbWdS2lREStNaV0Pp9HPve2bY8ePVqWpdYaEddpl8tlxJaPaQAvmOhuAAAAAAAA - AAAAAAAAAAAAAAAAAADgI1qWJSJ675fL5aOtkKPXfZ/KFBGllLfeeusrX/nKuq4PHz48Hpdt20YH - 6nVde++jPzUAAAAAAAAAwKfCtm2juGLEb+/7fjqdRpT1/SqIN954I6XUWtv3fXy67/u4/Hr+Uow0 - 7t77/fjw3nvvvZRyuVx67znn0+kUEWPny7Ks6xoRh8NhPONYBOAFE90NAAAAAAAAAAAAAAAAAAAA - AAAAAAB8aL33iEgp5ZxLKfHOjtIfYp3oKcVe95Ti61//+o//+B9ct/PpdHr8+Du3t7cRcXNz03vf - 931ZlpfYihoAAAAAAAAA4MOa57n3PrKra63zPK/ruu97rXWUXuScU0rn8znnHBGllDE+PhoXjvDv - lyKlNHK7Rwb52E/OedRyzPPcWqu1ns/n6/jY8PUBz+fzKCwBeMFEdwMAAAAAAAAAAAAAAAAAAAAA - AAAAAB/FyOoeLZhzztu2fYRFUopSckT88i//9z/3cz83TdPoWH1zOC5lGo2ea62n06mUedvqx/wM - AAAAAAAAAACfpJRSzrm1NhKsl2WZpqmUknMeI4fDISJG0HWttZTSWluWpbU2VljX9eVt/6mU0rqu - p9PpyZMn+75HxCjwGE9xPB4jYtu2lFJrbTxsSmnbtuPxOOYDvGCiuwEAAAAAAAAAAAAAAAAAAAAA - AAAAgA+tlDIaK59Op4jovef8UZqd9h6ttb/4F//CL/7iX9r3LZeY57m1tu97Smn0cc457/v+7W9/ - ++bm5mN+DAAAAAAAAACAT8wI5I6Ikd49ai1SSiml8Wnv/XK5jMExrdZ6vfAa7/2yjF3t+z62+ujR - o9PpNM7jXvVIa63WOs/zCPMeoeOttWmaImIcAV4w0d0AAAAAAAAAAAAAAAAAAAAAAAAAAMAHcr+x - 8rW19O3tbUT03senz5Mjcs5TRD4cDtfRacqRIiJ+9o//zF//lV/O0aLtUfcSPbX6rjvmnJdl2rbL - J/ZwAAAAAAAAAADfl/P5PE7WdY2I3ntKqdY6jr33m5ubEYY9XKsv4l6NxHi7bVvcRWJ/vJsspaSU - cs4RMY6llHEyjKTtZVniXpXI2MbI6s45X9PHx+RrxPg4XgfvPyzACya6GwAAAAAAAAAAAAAAAAAA - AAAAAAAAeE/X5stXpZSP0BW6tXY4HC6XS0TkHDnHvreI+Omf/I+++c1v9F4j2uEwt9ZG92oAAAAA - AAAAgE+LJ0+eRMTxeLy9ve29L8syiiuePHky0qzneX7w4MG2bTnneZ5f1j6Px+MIER8Z4b33aZpq - rePtiOve932apnVdSynrul4ul23briHcI8n7Ze0f4IMT3Q0AAAAAAAAAAAAAAAAAAAAAAAAAALyn - lNK14XJKKaU0TdO1HfP3ku93QL1cLqPFc0qptYgUP/qjP/Ibv/Eby7L03nPO3/nOd06nU855NK0G - AAAAAAAAAPhUuLm5qbXWWk+n0yirKKW01m5ubka5Re/9crmMydu2vax9ns/nUkpKqZQyCjZGUHdE - HI/HdV0jIuecUrpcLvu+z/Occx4j46PrUwC84kR3AwAAAAAAAAAAAAAAAAAAAAAAAAAA7+lyuUzT - NHpGl1JKKfu+X8O8P7icc0QbLZ5TSinH5z//8K233vriF79Ya53n+cmTJ5/73OdqrZfLJWd9UwEA - AAAAAACAT5NRVlFr3bat1tp7L6XM8xwROedrPHZrbZy/rE221iKitbauayklImqtKaXz+Tzyubdt - e/To0bIstdaIuE67XC7bth0OhzEN4BWnBA0AAAAAAAAAAAAAAAAAAAAAAAAAAHhPh8MhIkYL5t77 - CNUeg+8t372GFtFGGHcpaTnkvbZpin/2z/6P3/t7f++TJ0/GpDfffPPtt9/etu10Oo2kcAAAAAAA - AACAT4Xe+77vEZFSmue5lJJSSint+z6CrsenoyJinL8UI427934/Prz3PoLGL5fLKA45nU4RMXa+ - LMu6rhFxOBxGEvlYBOAVJ7obAAAAAAAAAAAAAAAAAAAAAAAAAAB4T6O1dM55hHZv2zZN0+Vyee8r - nt/ydN/3ZZlq6+vaIuIf/+N//Pt+3+97+zuP3nzzYa113/fz+VxKWZblfD5/r2hwAAAAAAAAAIBX - SEppmqbWWq11HEspI8O79z7m5JxH6PWyLC9xnyO3e9u2ZVnGfnLOoz5knuex+fP5fB0fG661jvNR - 4PGy9g/wwYnuBgAAAAAAAAAAAAAAAAAAAAAAAAAA3tNoLZ1SGtHdEdF7Tym970Xv6HqaIlLEMpdt - 3VOP1ONX/+bXfuonf6LkeOONN37nd37neDwej8fe++FwuFwuDx8+fPz48Sf2QAAAAAAAAAAAH7MR - a51Smue5974sS2tt27aR4d1aGyNj8rquL3WzEREppXVdT6fTkydP9n2PiN57rTXnXEo5Ho8RsW1b - Sqm11lorpaSUtm07Ho9jPsArTnQ3AAAAAAAAAAAAAAAAAAAAAAAAAADwnkbz5bjrMT1GrufP866W - p0+7Tm9bnaaIiP/hV/7qn/0v/0xrLaV0Pp9Pp1Ot9cmTJ7XWdV1zztu2LcvyCTwKAAAAAAAAAMAn - KKV0zeoeIznnWmvc1V2MYOyXu8OI2Pe99957f/To0el0GucRUUoZsdyttVrrPM8jzHs8TmttmqaI - GEeAV5zobgAAAAAAAAAAAAAAAAAAAAAAAAAA4KnRiPnaP3rf92s76evg++Z2R8lPG0yXUq653TlH - zrHv8ef+3H/xi7/4i23bpxRtW6cUo/vzNE3zPKeURofo978FAAAAAAAAAMCrKee8LEvc5Vtfyy22 - bYu7SOyP946llJRSznncfYyMk2HsZOxqxG/33sc2RlZ3zvlaszEmXyPGx/E6OOYAfCqI7gYAAAAA - AAAAAAAAAAAAAAAAAAAAAGI0ZU4ptdZG++bL5XI4HD7sOrVtEXE8HsdqY7C1aC3+yB/5qb/5v/zP - l8tlnkvO+Xg8iugGAAAAAAAAAF4D1xqJbdv2fY+IcfykjQqN3vvICO+9T9NUax1vR1z3vu/TNK3r - WkpZ1/VyuWzbdt3wSPJ+AVsFeMFEdwMAAAAAAAAAAAAAAAAAAAAAAAAAAJFSGk2Zc86ttW3b3nzz - zY/QmnlZlpT6+XyOiPuX//iP/4e/+Vt/f709H+cl57xt25MnT0ZGOAAAAAAAAADAa6D3fjqdUko5 - 52maXsAdz+dzKSWlVEpZlqX3PoK6I+J4PK7rGhE555TS5XLZ932e55zzGBkfXS6XF7BPgBdPaRoA - AAAAAAAAAAAAAAAAAAAAAAAAABCjd/M4zzkvyzLaN39Y67r23nPOyzKlFJEiIn74h7/069/4O/M8 - H4/H1trlcimlnE6nWuvH+AgAAAAAAAAAAC/FKIHYtm2aptZaa23f95w/8dzYUkprLSJaa+u6llLG - ZlJK5/N55HNv2/bo0aNlWcYmr9Mul8u2bYfDYUwDeM2I7gYAAAAAAAAAAAAAAAAAAAAAAAAAACKl - NE3TSO8e5xHRe/+w65RS5nlubV/XdTR2/sIXPv/3/t7f+z0/9MOn5VBrLaXMZZny/OTt22U6fNzP - AQAAAAAAAADwoo0w7Gma1nUdSdg55xGq/Ykaady991HpMfTee++llMvl0nvPOZ9Op4gYG1uWZV3X - iDgcDvM8XxcBeM2I7gYAAAAAAAAAAAAAAAAAAAAAAAAAAKK1lnOepmm0Y973ffRr/rB679t2iYjD - YW49liV/85u/8WM/9mPn8/l8Pi/Lcrlcruu/gBbVAAAAAAAAAAAvwO3tbc45InrvEdFaOx6Pn/RN - U0ojt3vbtmVZRtVHzrn3vu/7PM+ttVrr+Xy+jkfEmDnOz+fzyB0HeM2I7gYAAAAAAAAAAAAAAAAA - AAAAAAAAAGLf93EyTdOyLBFxOBw+wjqt7RGRUrpcttTjG1//9Z/4iZ/Y63o6neZ5vlwuy7L03ksp - x+Pxo6WDAwAAAAAAAAC8Ulprp9PpfD5P03QdHIHZL0ZKaV3X0+n05MmTUQTSe6+15pxHkUZEbNuW - UmqttdZKKSmlbduOx+O1aATgdSK6GwAAAAAAAAAAAAAAAAAAAAAAAAAAiBHXPbK0t22LiHVdP9pS - 81yi9xTxta/9T3/iT/zxMqWIuFwu+75P01RK6b33qLe3t5Hax/cEAAAAAAAAAAAvR875GoOdUhoB - 3qWUT/q+o9Jj3/fee+/90aNHp9NpnI8NjFju1lqtdZ7nEebdWhuDY5/348YBXhuiuwEAAAAAAAAA - AAAAAAAAAAAAAAAA4LOl1jpORpvm68noHJ1z7r2nlFpro7/zd8njNU1LRE6pRIpIkUpOKUqOfas5 - 4uf//J/9b37+vz7MZb09zznlHKWk1vZat8i9pzYtpd1tAAAAAAAAAADgU20EY0dE730kZF8rND45 - I3475xwRpZRlWbZtyzmnlLZtu07LOZdSRmL3eHv96AVsEuClEN0NAAAAAAAAAAAAAAAAAAAAAAAA - AACfLaWUa9vlfd9HRPdI6R5vrw2a+/tGa+/7fp2Tcu6t9R6txWGOP/kn/9jXvvY3at1678fjMmY+ - k9rTY2of+9MBAAAAAAAAALxgoxJjZGZHRO99mqYXc+trVUbvfZ7nw+GwLEutdVmWfd/HNtZ1jbsA - 733fxybHbksp5/P5xWwV4EUS1eYeEAAAIABJREFU3Q0AAAAAAAAAAAAAAAAAAAAAAAAAAJ8tvfeU - 0vl8HondoxHzNE3LskREKWWkd482ze9lXDLmzNPca5vKNE05In7/7//3f/VXfzWltO/7tm2ttbEy - AAAAAAAAAMBrqZRye3s7SjIiIue8ruuLSe9elqW1FhG999Za733btmmaDofDdWRZlpHPPcK8U0rr - utZae++11uPx+AL2CfCCie4GAAAAAAAAAAAAAAAAAAAAAAAAAIDPkFrriOs+HA4RUUoZb/d9X9d1 - nuda65jWe5/n+b3W6b3fHdu2bSOoe9/bl3/kh956663Pfe5z67o+fPhwWZZa67ZtL+wBAQAAAAAA - AABesH3fT6dTzjnnHBGttVFK8QJuPeo9Rh1IrXVZlogopazr+rnPfW6e55RS7/14PLbWxvbGtHGe - cx61IgCvGdHdAAAAAAAAAAAAAAAAAAAAAAAAAADwGZJzHqnbrbXRJ3qMp5ROp9PoGT1NU0Qsy/I+ - kds5x76vKfVSylgz5/jSv/ODv/Vbv/XFL36x955z/u3f/ta2Xd588813X9zzsxcAAAAAAAAAwKdc - znlUWTx+/HiaplFKUUr5pO87brFt2+VyiYhlWdZ1jYhRHHJ7ezuKQw6HQ631miw+7Ps+6kZewD4B - XjylaQAAAAAAAAAAAAAAAAAAAAAAAAAA8Nlybbs82jRP09Ra673f3t723h8+fFhrjbsmzu+ltTZN - 0zzPtdbW2jTlUsrX//bf+fKXf6S1drlclmUZod2//du/PRYEAAAAAAAAAHgt5ZzneY6IUsq+7yMh - u7X2Sd+31no8HiMipVRKGbndKaVx6+PxWEoZseLTNKWURglHznld13meR3r3+5eIAHxKie4GAAAA - AAAAAAAAAAAAAAAAAAAAAIDPkJTStm0Rsa7r8XjMOY+G0aNBc0S8/fbbvfdlWUbX5uet0SLauHBb - 1xSxzKXu7R/81ls//dM/dblcUurLMt3ePt62rbX24MGD0SQaAAAAAAAAAOC11Htf17XWOqKy932f - 5/kFRGJP03Q+n8e9aq0555xz732UfJzP51pra62UMspC5nm+ubk5n8/LsvTehXYDrzHR3QAAAAAA - AAAAAAAAAAAAAAAAAAAA8BkyekPv+346nVprrbWI6L3v+35t3DzivVNK+76/1zrjwohIKbatfu1r - /+Mf/aM/s9d1nktrbaw/Fty27XK5vJinAwAAAAAAAAB48VJKy7LknCNiXdeIqLW+gPuO0o5938et - c84jqHvf9xEiHhHTNI3NjPTu29vbz33ucymllNI8zxEhwBt4LYnuBgAAAAAAAAAAAAAAAAAAAAAA - AACA19nI2B6dmkd35ogYnZevb68tmMe0fmcMjjnDuDAi5imniGWZeo9f+qW//PM///OXy2Wapt57 - SinnvO/76Pj8rhUAAAAAAD6g706PkycHAAC8sq7/w5JzLqWMgo0Rnn2VUpqm6ZO49f36kBHUXWu9 - P3itBrkmeZdSUkrbtt1PHB+Th9bamDnWaa313l9MJDnA9+//Z+9ufy3L7jqx/9Za++HcutXtxibG - GQiQCIYBKQLZMbIQMUlgmAheBI0CvAjJEDLxKEoCSTQG5IwmI6TwYMwEg7HN0wzwlv8N/FC37jn7 - Ya28WF3H1+12d1W5qm/dqs9HR0fr7rPPvr9dp84ut/Xbv6/obgAAAAAAAAAAAAAAAAAAAAAAAAAA - eAm11tZ1jYieot3nPuecU0qHwyEiUkqllHedp5xS2ve9v72U0o9ZStq2N4c7/+zP/vSv/dqvttjv - XR76EGcAAAAAAAAAgFdNrTWltG1brfV4PN6/fz9u5HnP8xyP8rNvs8ob4dyttXEc53mepmnf92ma - zh0mvQOkZ5Bv25ZSikdtJ6WU4/F4i/UDPCbR3QAAAAAAAAAAAAAAAAAAAAAAAAAA8BJKKfVhyq21 - PkC5tbZtWynldDpFxL7v+76fZyt/s+O01g6HQ5/a3HO+D/NY9zbPY87x0Y9+5NOf/nRqLfZ6vHp4 - b54er7p84wEAAAAAAAAAcLfVWnvK9TAMpZSI+NKXvpRSaq31rozT6dQXtx7dPU1TrbVXUmttra3r - OgzDPM/nLdM09XzufkYppWVZ9n1vre37fjgcbvcUAB6H1jQAAAAAAAAAAAAAAAAAAAAAAAAAAHhp - 9dzuPhK61noenTyOY0SUUvq05T6R+Zs5Ho/jOPYg8IhY13UY8um0fu/3fvdf/uVfHC6m1vbT6fr1 - 1+/3UHAAAAAAAAAAgFdKj+UehqH3TvQui1prSqnWenFxMY5jrXUYhlpr3/m2LMsyjuM8zxGx7/s0 - TRFRSlmW5X3ve984jj1x/HA4nEvtu/V1znnf91usH+Axie4GAAAAAAAAAAAAAAAAAAAAAAAAAICX - UJ/+3AdAr+saEdM0tdZ6Sve6rvM87/uec16W5Z1GQqcY52ld123bLi8v+7Ztq9/5nd/xN3/zN0PO - ucW9e/fmeb6+vi5DilS/9ngb2UxUAAAAAAAAAODls+/7tm09EjsiWmsRUWtdluX6+npd11LKtm3T - NPXmjVtRSomIdV17xPg0TcuynKu9vr7uDSfnrpKbLSXbtqWUzgcBeMENt10AAAAAAAAAAAAAAAAA - AAAAAAAAAADw7OWc+wzliBjHsY9O7ttTSqWUPoL5vP2bSmldlogYhuHq6ioiao0PfvDbP//5z3/w - g//BkPO2rdu21LaN49jHNz/X8wIAAAAAAAAAeNG01s6B1uu65pyHYWitpZTGcewtHL2nokdl35Z9 - 3w+Hw/F4TCnlnHsxKaWeJn44HNZ1ba2t6zoMQ0Rs21ZK6XtO07Rt2/m8bvEsAB5Hvu0CAAAAAAAA - AAAAAAAAAAAAAAAAAACA56KU0lrLOT98+DAiLi4uIqLWuu/7sizjOEbEvu+Xl5d9/vLbay1SKqVs - 25Zz7lngn/70p3/oh35omqae1b2u67d927etp8W0UwAAAAAAAADgFZRSaq2d16WUdV3jUVB3f7WL - iJ6KfSuGYTgej+M4ttZ6oHjOubXWSzoej/u+11pLKT2cexzHe/fuHY/HaZrO9QPcCZrZAAAAAAAA - AAAAAAAAAAAAAAAAAADgJbRtWzwa/Xx5eZlzvr6+7i+VUs67pZSurq76tOW3lVOO2vZ9H4ah1dpq - /exn/+DHfuxjOaerq6uIejhMwzD83d/93cXFRa0GNAMAAAAAAAAAr5zWWkpp3/feYhERPf16mqZa - a0qpv9r37Hnet6L3k2zblnOOiJxzD+retq2U0jcOw9BL7f0k19fX73vf+/opjOPYT+G26gd4fKK7 - AQAAAAAAAAAAAAAAAAAAAAAAAADgzjsPd45HQ5b7GOg+XjkeTYi+ufO6rue39OdxHHMeInLKQ0SO - yDkPUVOKyJHqvuWI3/z1//Nn/ut/PJaIuhymnFI7nU6llHGY162mPETLX3u8jfoNDwAAAACAt5du - OG+53ZIAAADeVv+vlVJK79OIiB6DfXNxfiml1Frbtu3mf+z09Xnn8/beAfJstdZqrfGoyaR3kuz7 - fnNjeyQi+vYeRn4+2bcEePd3RcTpdLq55bwd4D0muhsAAAAAAAAAAAAAAAAAAAAAAAAAAO6wfd97 - LPe2bX2s8zAMfQjygwcPDodDznkYhtbaW4Y7v8U0Teu61lpvJt/0H3NESS23+KVf+sVPfOKfj2M5 - na4j1a89AAAAAAAAAAB4bLXWUkpv9oiInHNrrZRyzsOe5zke5WffZqEREbHv+zm3+3A4pJTOHSY9 - 9jsihmGotW7bNs/zuq7ruvbQ8WEYzvsAvJdEdwMAAAAAAAAAAAAAAAAAAAAAAAAAwB3WJyP3fO4+ - x7nWOs9za+2NN96IiH3fe573vu83Y7nfYl3XiJimqbXWau1HHoahti0iUko/8RM//qlPfarWuu97 - Hw/9DbJ5pwAAAAAAAAAA76q3cPTn1tq2bRGx73trLeccEafTqS9uN7q715BS2ve9J3CfTqdSSk8Z - 73HjfWPfeRiGbdvGcSylLMsSEcuy9H0A3mNa2QAAAAAAAAAAAAAAAAAAAAAAAAAA4A7rs5v7c0SM - 45hSOo9v7tvnee6Ld5jm3Frrc5YjYpqmeDPzexlSjogf+ZEf+cxnPnPv3r1a6zsfBwAAAAAAAACA - d9YbMNZ17a0aEdGTsFNKtdaLi4txHGutwzDUWnsTyG3VOU3TOaJ7HMe+PaU0juMwDL2HZJ7nfkb9 - paurq5xz7z8ZhuGWagdedaK7AQAAAAAAAAAAAAAAAAAAAAAAAADgDusDjodhOJ1OEbFtW0rpfe97 - X0SklPo+p9NpGIY+Q/mb6Tvv+xpRW9sj6jiWcRxrq9/1nR/60z/9wr3Li9b2PoL5PG05Wo6WI84P - AAAAAAAAAADeRSllWZZpmkop5/Tu4/FYa12W5fr6el3XUsq2bdM0fa1P4z2Xc16WJee87/s8z+u6 - RkSttQeN91jxnPO5wlprKeXy8jIitm3rR+gLgPeYbjYAAAAAAAAAAAAAAAAAAAAAAAAAALjzaq3z - PLfWSikppXOMdymlh21v27bv+znM+xv1kcoRkXPuc5YjYlvXD33Ht//VX//7i3uH+/fvPXz4cFmW - fd/fMQXcyFMAAAAAAAAAgHeyrus0Tb1Vo6u1Hg6HiBjHcd/31lqP9F6W5daqfKQ3nJxOp541nnOO - R80qEdFaG8dxGIa+8znG+7zlvAB4L+ljAwAAAAAAAAAAAAAAAAAAAAAAAACAu21Zlj4TOR7NSu6L - 8yjn85abE5/fVopoteYU85S3dX399Xu/87u//QM/8P3TNFxdXb3++uulpGma9n2PlqOZbgoAAAAA - AAAA8MTGcYxHsdyllG3bcs7btvUtvceji1uNvq61Xl5e9hDxcRyXZdn3vdbaO1VOp1Ovv9baWhuG - 4Rzsve/7tm0R0Z8B3nua2wAAAAAAAAAAAAAAAAAAAAAAAAAA4A7b932apohoraWUztHdrbU+N7kP - dy6l9B3e4VDDMPTXU4plqfNcfud3fvsnf/K/fPjwYT/y6XRdSrm+vu7zowEAAAAAAAAAeDrLsszz - HBG11mEY+vM0TbXW3qfRGz9aaz3P+1aklK6urm42nJRSzjX3Lb3OXnB65JzhfYu548ArTnQ3AAAA - AAAAAAAAAAAAAAAAAAAAAADcDW+ZxbxtW2utZ3LXWvvg5ngzgTtFRK2171lrPU9zvhnvfVOOtG9b - SpFz9Pf9q3/1f//0P/mp0+mUc7S2l5L6L5qmadu2r73PjFMAAAAAAAAAgCc0TVNf9Ijr/nxz0UOy - IyKl1Frr63Ec39L40Y+Tcx7Hse/8tp0hT6f/3v68rms8CuqOiBvdI2/dsxd/rv/80lv2OS8Angdt - bQAAAAAAAAAAAAAAAAAAAAAAAAAAcAes65pSmqapT0A+Ho89onvf9/58OBxyzjnnHun9Dod6h1dr - jVqjlPjff/UTv/CL/21rLaI+YaVPuj8AAAAAAAAAAO+i1tpaW9e1tdZbRFJKwzAsy5JzrrWes7Sf - YXT3UxiGoddQSkmP9L6XXtgLUifw0hPdDQAAAAAAAAAAAAAAAAAAAAAAAAAAd8A4jn3+cs45Ig6H - w77v7ZFpmiKi1tr3fIfjfGNud4pIEblERMxziYh/8o9/8lf/1//t/sW9tq3vVlf9+gcAAAAAAAAA - AM/LuV2kJ3n3GOxhGIZhaK0NwzCOY28guS29pH3f932PiJRSzvni4mJd14hY17X3wPRXAZ4f0d0A - AAAAAAAAAAAAAAAAAAAAAAAAAHA3pJTGcUwp9fjtnHNKaRiGnHPOed/3UkofytzjvZ/IvrdhyKfT - /rGPfeQzn/l0Su3Bg6/M8/wkx5DeDQAAAAAAAADw7PWg69Pp1HtCHj582ForpYzjWEpZlmXbtpTS - tm3LstxincMw9EUppS9aaymlfd+naerJ4tfX1ymllNLtlQm8EkR3AwAAAAAAAAAAAAAAAAAAAAAA - AADA3bDve6211jfjsXuAd0qplFJrba3t+95aa62d93kbKeJro4/zWyaU/iff811/9sUvvvbaa7XW - w8W01/W5nAkAAAAAAAAAAI+tlJJSmue5t4scDod936+vr4/H477vh8MhIlpr8zz3TpLbqnPbth4u - 3rPGLy8ve1tLREzTtO97Suni4uJ0Oq2rphTg+RLdDQAAAAAAAAAAAAAAAAAAAAAAAAAAd0BrrZSS - c845p5ROp1NfREStdRiGiOjP4zg+3a94/fX7f/u3f3txMZ9O18OYl+VU6/4E70810jePDAcAAAAA - AAAA4Gmt69qjr7dtW5allDKOY865tXZ9fR0ROefT6RSPYrNvS29fmaYp53x1dXXevixLX8yP9Bhy - gOdEdDcAAAAAAAAAAAAAAAAAAAAAAAAAANwBKaXj8bhtWx+vPM9zSmme54horfWpx9u2DcOwruuT - pnenSG+88fpf//VfX1xc5Jz7r2t7vZgP7/bO+rUHAAAAAAAAAADPQa11HMdSSu8SOTeKtNZqrbXW - 1to5sTuldFt1llJ6RPc5XHzf93PBpZSI6AHkx+PxFusEXgXDbRcAAAAAAAAAAAAAAAAAAAAAAAAA - AAA8lsPhzSDt1lpKaZqm0+k0juO6rsfjcZ7n0+m0bVvf8niHrBGRUkS0f/tvP/ODP/iPSko5x/G4 - REqHw8XptEQqz+t8AAAAAAAAAAB4DCml3i6y73vOOee8LMs0TfEoqLu11pO841aju3tQ97ZtwzD0 - 9pXe0BIRpZQe2t2f79+/v66r9G7g+cm3XQAAAAAAAAAAAAAAAAAAAAAAAAAAt6wP67y5uOv6lNK4 - cUY5P/H8vXEcz0cYx7HW+iIPCa219ufW2mPn9QIvrmVZ+qJPLr75vT6/dL7WbeueIqfIy2nti6+7 - DqS3PlKUaDnnIXpod4qU4tOf/u2f+M9/LLW11mXblnEYcpRtq+ldc7tbfusDAAAAAAAAAIBnKqXU - G9iGYejtcD23++YOvectIlpr5965cRzf0vnW35hz7vufj/ysbNt2fo5H3S/nxO7WWs75HON9843n - mns7HMC3SCsbAAAAAAAAAAAAAAAAAAAAAAAAwCtq3/eI6InUfeRl3/ISuLq6Os/03Pe9B28/6UHW - dZ2mKeecUlrXtWflnseJvjh6QO/pdNq2rVc7jmOfdgrcUa21aZr6BWee5/N1rNbaX+ojjOd5vnFx - e4Ipo621Ut4M5C4lR8Qnf/3/+G9+7mcjbZHqm483dxXFDQAAAAAAAABwJ/VWk3Vde6tJ7y4bhmFZ - lpxzrfXcDvdso7vf1jmW++av7nnevdQHDx70MvZ979nkAN+i4bYLAAAAAAAAAAAAAAAAAAAAAAAA - AOB29OmW/fk8FvMt67to3/fLy8tt24ZhqLWWUtZ1HYbhSVO3p2nqI0r7H8jhcOjHfNH+fMZx3Pf9 - 4uIiImqtOedlWeZ5vu26gKfXhyZP03Q6nXLO4zj2AcrnHfr6dDr1ecr7ViPeDNtuUb/hcBERcWPA - cn97rbWUtNf6S7/0i7/8y788DEPb17e+N33D0QAAAAAAAAAAuDt6Eva5Ea730fXmut4R11vO3oNK - Uko5533fSyn7vk/T1Bv8etvb/fv3+0sppdbae5AmDrz0RHcDAAAAAAAAAAAAAAAAAAAAAAAAvKLO - Ay7XdR3HMSKGYejbb7u0b0kfKtoztkspEdHHfT7pcZZl6X9E/cd932ut8UL++fTCWmv9E5ymyehS - uNNyzn028TzPceM73i9ufYpxD/Pe933bthT53Q75dWqtETWlVEr58R/70U996jfHsazrOjzZYQAA - AAAAAAAAeEH1xO7T6dT7Tx4+fHg4HHpQd621Z3WnlHqS93tgHMd1XXsj377v4zj2GnoDzOl0OueL - 56yFBXg2XE0AAAAAAAAAAAAAAAAAAAAAAAAAXl09l3ocx1prH8H5FBHXL6CUUg/ePv94TuB+IqWU - fpBpmkopT3eQ90CttZTSc7u7dV1vsR7gW9SzuvsY4j5GOeecUurTk+PRt/7GFbtG1Ba1Rf2mB20R - j65hw5AjYhzLP/yB7/ujP/zsOAznI9+sIt7haAAAAAAAAAAAvMB689s8z73t7XA47Pt+fX19PB73 - fT8cDhHRWpvnOaVUSnne9azrOk1TRPQ+t23bLi4uemtf74oZx7HX/J6liQMvveHddwEAAAAAAAAA - AAAAAAAAAAAAAADg5bUsS8+lHsdx27YXNp36iYzjuK7rOI77vtda++JJDzIMw3kGaA8CPxwOx+Px - WRf7DPTClmU5f4h9yClwR/W47rgR0d1a6+nafWLy6XTqF6hpmpZledLjb9uWUnzoP/zgF7/4hXuX - h3v3Dl/5yldyjvz8pzADAAAAAAAAAPDeWNc151xK2bat1tobBSOidwmmlHLOp9MppfQU/XVPof/e - fd/v37//4MGD6+vr80v7vvfaTqfTPM+11t48A/CtcB0BAAAAAAAAAAAAAAAAAAAAAAAAeEUty5Jz - nqZpXddhGNZ1ba2N43jbdT0D5zOqtfbFU5zXtm39XYfDISLmeX4xc7sj4ng8zvOcUoqI/iG+HBHs - 8Mrq3+Jt23LOy7KUUlJKKaXW2muvvXY6ncZx7NOKe253i3jc73yLaJEivv0Db3zx81/4nv/ou1NK - f//3f//Ga68Pb8477gdrLUVLEVEj6nM6TQAAAAAAAAAAnpNaa+8waa0NwzAMQ0Rs29Zaq7XWWltr - 58Tu3nv2XPVGvmmaWmsPHjzov7RXFRG9j7HWKrcbeIZcSgAAAAAAAAAAAAAAAAAAAAAAAABeUdM0 - 7fveB3Ru25ZSyjmv63rbdT0D0zRt2xYRpZS+OM8YfSL7vg/DcDwec86n0ykiXsCRoL2k0+l0M6S8 - VlG7cIet69qvydu2TdMUEeeRxF/96lf7l33f91LK012UDofhz/7sT7//+7/veHoYUed5XtZja0+Q - AA4AAAAAAAAAwIsspdRai4jeJZhzXpZlGIbelJJzbq2t69qTvPuez1XvUexdMRExDENrrW+MiOPx - OI7jC9ieB9xpw20XAAAAAAAAAAAAAAAAAAAAAAAAAM9dn+t3F30rwxD7e+/uuZ+nRvbFezAX8tVU - SomI1tpL9ue8LEtfnBO7ny7Kutba33h++wsYiV1rPX9fxnHsn2b/ZIEX3/nC22cTj+MYEeM49onJ - 54vYNE3n2cTbtvXF+dWIiPSW3O03dy6l1LqllGqt41jWdR/H8id/8rl/+APfd+8wHY/HnFJtbd93 - s48BAAAAAAAAAF4a5/bRYXgzu3aaprfs0DtVIqIHeB8Oh96+sq5rRJRSendKzvktXWp9hyd1s0Hx - 3ADTNx4Oh/NLmliAZ8XVBAAAAAAAAAAAAAAAAAAAAAAAAAAAbsG2bSmlZVn6rORxHFtrfTBxznlZ - lj6JuL9aa33S46eU9n3vs5VTinXdc45f/41/+dEf/cj9+/cfPHiwbVsp5TxYGQAAAAAAAACAV1Nv - Ysk591ju3nYSEcMw1FqHYejtJdM0PV1uN8B7T3Q3AAAAAAAAAAAAAAAAAAAAAAAAAADcgmEY1nWd - 57m19uDBg4hIKfV5x621aZp6rnZEjOM4DMM3PVD6JptTKqVERCmplBwpPvE//0+/8su//IE3vi23 - mlIbhhwRtdZWU4oSLb/l0SI380sBAAAAAAAAAF52KaVt2/Z9r7VGRO85yTlv29Zf6lt6bvc4jrdb - LcDj0PoGAAAAAAAAAAAAAAAAAAAAAAAAAAC3o7UWEfu+379/v7V2fX3dWsv5zZGhKaX79+9HxLqu - 27Y9xcH3fb1377Dvbdvrz/7sT3/yk/8yUv3KV760LEuPBt+2LedcStn3/dmeGgAAAAAAAAAAd8gw - DOu6ppRaa6fTKSJyzvM89/6WrrU2TVMP8AZ4wQ23XQAAAAAAAAAAAAAAAAAAAAAAAAAAALyK1nWd - pikihmGIiG3bLi4u+ksppZTSMAwPHjyIiHmet217l3TtFBERLZ83tLZfXFw8fHidUvzoRz/8B7// - mW1fco5pmlJ/ed9ba4fDoUU8RTQ4AAAAAAAAAAAvh33f13U9HA7rug7DkHNure373tta+g4552EY - lmUppbxLHwvACyC/+y4AAAAAAAAAAAAAAAAAAAAAAAAAAMCzNo5jn3EcEfu+j+O4LEuP0E4pzfPc - WiulpJROp9NTzDvOOV9fX0fEP/rB7//zP/+zae5zlfM0jrXWeBQQvu/7tm3nOcsAAAAAAAAAALxq - SimHw2FZlnEc13VdlqVvbK211vo+tdZ1XfviNmsFeDyiuwEAAAAAAAAAAAAAAAAAAAAAAAAA4Hak - lHLOtdZSSkRM0zQMQ8/t7nHdtda+T9/hKXzPd3/XFz//hXEct23LOe/7ejqdaq39mDnnfd+3ZS9p - SC2nliO+8QEAAAAAAAAAwMusZ3JP07Rt2zRNfdFa2/c9Ivo6IlJK52eAF5zWNwAAAAAAAAAAAAAA - AAAAAAAAAAAAuAV9onFE9PTubdsiIqVUSjmdTimllFJrre/W5yC/u1Qj1YgaUWut73//G5/97P/3 - oQ99x+vvu9/afjqd5nFMOZdSUkrrurbWxnFMKT3u8QEAAAAAAAAAeOmM49h7VIZhOJ1OfVFrLaW0 - 1nLOEdFa27atlFJrveVyAR7DcNsFAAAAAAAAAAAAAAAAAAAAAAAAAADAq6i11vO5a60ppWEYbqZ0 - n4O9z+ndXy/fOFBM87ws12UYorZa95YiIlKLz/3xH/7wf/pDtdbj1YMh5ZRT7QndKa3blkuJiHXb - 8pBaGKkMAAAAAAAAAPDqSin1xTzPfVFKubm9r7dtO288t75ERI/3luoNvDhEdwMAAAAAAAAAAAAA - AAAAAAAAAAAAwC3IOe/7XkrJOfd87j7v+EmN07ScTpGitVb3PXpud4o//MPf+/BHfiTn/mOJiNba - vu99UDIAAAAAAAAAADzAaQqqAAAgAElEQVSd1lpEpJRaaymlcRyXZbntogC+jj45AAAAAAAAAAAA - AAAAAAAAAAAAAAC4Bdu2lVJqrRFRa724uOhDjR9PPT/WZUk5l1xypIhIKVKLT/3mJ3/mZ35mmqbT - 6dRaW9d127aU0tOlgwMAAAAAAAAAwNm6rhHRc7tba/u+R8Q0TbddF8DXiO4GAAAAAAAAAAAAAAAA - AAAAAAAAAIBbMAxDX7TW5nk+nU4553Ecn+JQrW0ppW3bIsU45l/8xX/6P/yzX0opLcsyz/M4jj0U - vLX2JOngAAAAAAAAAADwNsZx7HHdpZSI6OtlWW65LIAbRHcDAAAAAAAAAAAAAAAAAAAAAAAAAMAt - WNc1IlJKwzDs+15KqbX2jU8k54gW27alFBHxsY997Hd/93e3bUsp5Rw5523bxnGcpikiRHcDAAAA - AAAAAPAtOp1OpZR1Xbdt61tKKeM43m5VADeJ7gYAAAAAAAAAAAAAAAAAAAAAAAAAgFvQpxXnnGut - 8ShU+ylGGNdaS0kR0SI+8pEf/uM//qOvPvjy66+/3tpeaz2dTsuy9N9Say2lPOvzAAAAAAAAAADg - 1TLP8/X19TAMwzDknCOi1rqu623XBfA1orsBAAAAAAAAAAAAAAAAAAAAAAAAAOAWbNvWg7p7nHat - dRiGpxhhnCJySiniu/7Bd/zJH3/ujdffV0pZlmXMZR7GLqV0Op1aa/u+P/szAQAAAAAAAADgVVJr - vbi4iIht22qtfeMwDLdaFMDXEd0NAAAAAAAAAAAAAAAAAAAAAAAAAAC3YJqmbdsiotba07u3bUsp - PelxUoptq+9//+t/9Vf//gMfeP+6neZ5jkeTkfdHUkqHw+E8KxkAAAAAAAAAAJ5Ozrk3uvRel/7c - O2EAXhCiuwEAAAAAAAAAAAAAAAAAAAAAAAAA4DlqrfXFvu/ndWvt5nrf97fs/I16qHdKcThMETFN - w6O3xOFQvvCFz3/f933fOI4RUdetRDsPRy6ltNaGYViWpWeEAwAAAAAAAADAt6J3odxsgLnVcgDe - SnQ3AAAAAAAAAAAAAAAAAAAAAAAAAAA8RymlbdsiopRSa42IbdumaXqKQ83z2Focj8vhMC3LFhGR - IqX47Gc/++EPf3hZj1/56pdSavfv3+u/EQAAAAAAAAAAAF5BorsBAAAAAAAAAAAAAAAAAAAAAAAA - AOA5Wtd1GIYe2l1KiYhxHJ8iWru1OJ3WlOLevcPxtAxjpBwR8a//9ad+6r/6L3LUIeXX7l0exunL - X/5yLhGpRqpff4xsHikAAAAAAAAAAAAvPa1yAAAAAAAAAAAAAAAAAAAAAAAAAADwHI3jGBGttYio - teaczxufSH40SfThw2NEbFuUEv/iX/zKP/vv/7ueC97aHlG3bdvr+hTHBwAAAAAAAAAAgJeD6G4A - AAAAAAAAAAAAAAAAAAAAAAAAAHiO1nWttZZS9n0fhiGl1Dd+83fkt50a2lpERIuIFBFRSvzUT/3U - Jz/5yXVdp2lIqbXWUkrXx6vLy8se5h0RkWqk+o1HAwAAAAAAAAAAgJeV6G4AAAAAAAAAAAAAAAAA - AAAAAAAAAHiOxnHMOZ9Op3meW8/fjhiG4UmP01oMw9emiX70o//Z7//+7+eceyj49fX1OI65xDAM - rbVlWZ7ZCQAAAAAAAAAAAMCdIrobAAAAAAAAAAAAAAAAAAAAAAAAAACeo9Zaa+3i4mLf94iotZZS - tm170uOkFKWUiCglvv/7/+M/+qM/mqYxIoZhqLXef+1ei/3q6moYhpTSMz8LAAAAAAAAAAAAuCtE - dwMAAAAAAAAAAAAAAAAAAAAAAAAAwHOUUiqltNZSSjnniNj3/THStd86ODSlOC1rzvHGG6//u7/4 - iw984P1jGdbluCzHUsq6ruu6vv7668uyRMQwDM/lZAAAAAAAAAAAAOCFJ7obAJ6NWuu2bX1x3nKr - FT2Zfd/3fT//2Fq7xWJ4tvpfxf75nj/lu/X3k65/Mbdtu/lt5W7p/1Ls+37+DvYtAAAAAAAAAAAA - AAAAAAAAAAC8NGqtfWRQa60vekr3eeN5BM07jPxKOUfEMI5lmCKilBIROUeKSC3e99rlv/vLP/8H - H/pgybXtpzGnUkqtNUUpeTwd16FMdY9WU7T8tcejAiPMoQIAAAAAAAAAAOAlN9x2AQDwktj3fRzH - 4/F4OBxOp9M8zznnd3/bi2FZlmmaIuLq6ury8jIiTqfT4XC47bp4NnLOPel527bzX8s79PeTbl3X - cRwjIqVUStn3fVmWi4uLd7jrhhfQMAzx6A6o/pXsWwAAAAAAAAAAAAAAAAAAAAAAeDncTOlOKUVE - H032pMdpNSLytm3xKPz7cJiOpyW1mOf8B7//ex/98EcePnywHrcW+zzP+2YeEQAAAAAAAAAAAHyN - lDgAeAa2beuRuj3uep7nnuF923U9rmEYen9/z+2utR4Oh33fe7gsd11r7eZHuSxLKaWUIvL5bum3 - 37TWzouLi4vzdu6K8xcwIoZh6OndrrcAAAAAAAAAAAAAAAAAAAAAAC+NPk+mtVZrjYh93+d5foph - QbmUlNK+Lf2YKaXTcZmnvCz1t37rtz7+8Y+fTqecc2vtcHF4+PBhyeMzPxcAAAAAAAAAAAC4u0R3 - A8AzcI5fzTmfTqfD4TDP8+l0uu26HlcpZdu2ZVmmaVqWZRzHbdvOed7cda21/lH2DO9xHPv9GyKf - 75b+lVzXdZqm/mNEHI/HeZ5vuzSeQP/4un3fh2E4Ho+Hw+EWSwIAAAAAAAAAAAAAAAAAAAAA4Bna - 933btnmeSyn7vk/T9HQTn+q+RkTOudZt3yMihpKWpf7Gb/xfP//zP1+3Zd/3WreLi4urq6thGMLY - MAAAAAAAAAAAALhBdDcAPBullL7ojfJ3K/R63/dzT39P7+69/qKdXw4557eNZr/tunhiKaUe/Lzv - eyml1iry+c6ptbbW+tW1/8NxOBzOWwAAAAAAAAAAAAAAAAAAAAAAuOtKKX28zNXV1eXlZUoppfSs - RpP9wi/801/5lV9preWcc877HsuyrOt679695bQ9k18BAAAAAAAAAAAALweRjQDwDPRQ5Fprz17d - 971vvytRrL3/PiLGcTydTvHoFO5WADnfzDmFfRzHdV0jYp7n/kFzh/Qbb8ZxPB6PpZR1XcdxrLX2 - Ly93RUrp/JH1CPb+L8jtVgUAAAAAAAAAAAAAAAAAAAAAwLOybVufF9Rzu/vGnHOt9YmOMwx527Za - 6zDkbauR4uMf//F/82/+nz4fbF3XUkrOqdZ6eXm5rmvE3Zh7BgAAAAAAAAAAAO8N0d0A8AxM07Qs - yzAMrbWU0uFwOB6PwzBs23bbpT2Wc6Jzj3NOKfWQYNHdL41SyjzPDx8+zDmnlE6nU/9Le9t18QRa - a5eXl1dXV6WUiOjXmdsuiqd0vupeXFz83d/93W2XAwAAAAAAAAAAAAAAAAAAAADAMzMMb476TCmV - Ukopy7I8xVCvfdvSm4uaIj7y4R/+vd/7vddeey1HfOUrX5rn+eLi4itf+dI4jrXu+76XPD7T8wAA - AAAAAAAAAIC7TXQ3ADwD67pO03Re90Tku5LbHRHruvas7nmee3p3RMjtfmn0FPmHDx8Ow7Dve/9k - 5XbfOTnnq6uriOix6z23u5Sy7/ttl8YT6BfbntudUrq+vn799df7j7wE/NMJAAAAAAAAAAAAAAAA - AAAAALTWUkoppYjIOS/L8tTDgoYhb1sdhvjgBz/4uc997tu//QNXV1dRt3v37i3L8uUvf/nevYvr - 6+uLe7NhRAAAAAAAAAAAAPAWorsB4BkYxzEeNcqP41hr7eGst13XE+jV9tzu1trdKp53dk6Rv0Nx - 8nyjWmtf3Ixdd6vMnXPz6trXcrtfJv1mOV4C8zyfTqf+JW2t7fs+DP7/k7un1ppzjoht24ZhOP8I - vCD6f0Hv+97vMM8537l/Sc8Xlv4/y0sp/aRuuy4AAAAAAAAAAAAAAAAAAOC9U2uttfbZFOu6nieS - zfPcdzjfWz2O4ztPm+k7TNO0rut5Us221Yi4vLz8q7/6q/e//9tSa6m1YRy3bcs5ci7rug7DsC57 - yePzPVUAAAAAgFvVExRSyucfb7ceAAAAAO4E0VMAAAAAb8o5n06niBiGoQfK5pzf+b5HXlg9Dzil - VGtNKYnUhRdK/z6WUmqtpZSI+OpXv/raa6/ddl2Pq7XWE8e3bet30bvIAAAAAAAAAAAAAAAAAADA - KyjnnHPe973WOo5jv28651xrjYhpmpZl6VMsHie3OyKWZXm0pWzrnnPM8/iFL3z+e7/3e6ZpOl1f - Hw6HbVveg1MDAAAAAAAAAACAu050NwAAAMCbzgmyrbWISCmt6zoMw7Ztt10aT+ZwOPSbUYdh6GG6 - 5/tauev615O7rt9evm3bNE39x9dee+0cg30njOO47/v5L2RKSXo3AAAAAAAAAAAAAAAAAAC8apZl - maaplFJKOZ1Or732Wmst5zwMQyllWZaUUh9b8Q7zK3LO67qO49ha27Ztnud939d1yykOU/md3/5/ - P/7jP/7gwYO17fM8Lssx5/zeniUAAAAAAAAAAADcSXcmBwUAAADgeeu3Pp5Op4iY57kv5HbfRcfj - sS9qrT20W3T3S0M08kvj4uLi6uoqInpid78j/a5Es/fb4/vd8hGxbVtKqa8BAAAAAAAAAAAAAAAA - AIBXxzRNEbFtWyllnuee232O67456+Adhh70++h7bveNwRfjdlp/7dd+7ed+7ucePnw4jiUi9n13 - 0z0AAAAAAAAAAAA8JtHdAAAAAG+qtZ5Op3v37j18+HBd15xzzll0912Uc44bwcDTNC3L0jdy14lg - f2kcj8eccyml1trvP6+13pW7xG+Wejqd5nm+3XoAAAAAAAAAAAAAAAAAAIDbsu97KaW1llLKOQ/D - cL4fud8g32+mfoeb5fd9PxwOx+MxIvpxIuJ0Wv+XT/yPn/jEP7++vjocDimlWuvxeLy8vFzX03t1 - cgAAAAAAAAAAAHCHie4GAAAAeNPl5eXV1dXDhw/HcVz/f/bu7deS9Lzv+/O8b9Vae8/ubg1JM6LI - yBKkyKJoWZEj0LQdxIpkCw6EIBCgCEYQWbKvJER2BAVQIMm8CKwDApjhmKQO1CiiqMC+0VUCxIFj - CMidb3JnwMl/YERXBKf3aVW97/Pk4ln17lq1Dr13z/R098z3A7Jm7Tq8p1VV+9Drrd84qmoppes6 - 0rtfO6paa43c7q7rhmFYr9ebDVNPPwhel2hnPJO7i0itNaXUZo/HyldfSmkcx/gesV6v44WZpZRe - dtMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvE9KKTnnnLOIqGpMmo6HVKiqu3ddl3PebDar1aqUciK9 - O3K74xkXOWdV/bEf+5u//Mu/nFJarVbDMEThZ2dnwzAw7R4AAAAAAAAAAAAAAAAAgPsguhsAAAAA - AGArkp5FZBzHSO+O5cttFZ5DrfXs7Gwcx4jXVVUzI/L5g+F1iXbGM+Wca63t8owM7xNTzV8p7v7m - m29+4xvfqLXGhPlaa8yoBwAAAAAAAAAAAAAAAAAAAAAAAAAAAPAhERONSynr9TqlJLMAbzPLOZdS - Sild17Xs7YNUNaUURYlIrfVzn/vcF77whVpHES2lpJRWq97MctZxLExtBgAAAAAAAAAAAAAAAADg - PojuBgAAAAA8SBKx+y2B19J6vR6Gwd0jsTtSn4kKfr3knM3s9vY2crtTSu0NBfCKiHnj7YW7933/ - el2nl5eXZ2dn7dtETKQHAAAAAAAAAAAAAAAAAAAAAAAAAAAA8KFiZpHb7e6r1SqeWSEiMYF6vV5v - NptSSmw6Voi7x/xrVXGX7/u+7/3KV7606nOf8zDcdl13dnb2jW984+zszN3J7QYAAAAAAAAAAAAA - AAAA4J6I7gYA4JU1D/16semn+kJLx4cCGXWvkVctTZmT53UyBReney9ftFftfMYHxGazWawht/u1 - E/NRRcTM2hLAK6VdmO2Cfb1yu1U151xKSSmVUlRVdfu7tZlFjHd0Lefs7m0rAAAAAAAAAAAAAAAA - AAAAAAAAAAAAgNfROI5934vIZrNZr9ciMgzDarXKOcds4pxzrImI7phA3Z5iEStz1lo951xrVdWY - iayqPs2/VpFPfOJjv/s7X/7Yxx67m5l1XScit7e35+fnwkMwAAAAAAAAAAAAAAAA8IqZP43f3dfr - 9X7yCwC8ROTkAQAAAB8eBJcCAAAA7wF3j9zulNLZ2VlMjK+1unskdpdScs7kdgMAAAAAAAAAAAAA - AAAAAAAAAAAAAAAfAJHbHWnc6/X65uZGRFarlYh0XbderyONe71eD8OQ0tHnfNbqIhITkCOE293N - LOftlOSPfOTx7/7eb//73/7Jrku1ji++ZwAAAAAAAAAAAAAAAMC7Eh+AEZF4dD+53QBeNd3LbgAA - ADgmEnaPfv4eryx/2Q14GQiExnPj5HlN2b2XAAAAH0x939dazSyltFqtzCzmxsf6eC0iqkp6NwAA - AAAAAAAAAAAAAAAAAAAAAAAAAPBa6/t+GIbValVK6bqu7/s2idjd43HDOefNZpNzbnOND1LVUoqI - rFarWquI1Foj0nu1Sm+99dZnPvOZrutub2+7rhP7UD7RCgAAAAAAAAAAAAAAAK+VlLZZe7e3tyJy - cXFxdXX1UlsEAHdIAwUAAAAAPAi53QAA4MMupVRKcfecc601pZRS6rou5snnnPu+F5FSSq2V3G4A - AAAAAAAAAAAAAAAAAAAAAAAAAADgdbdarUSk67pYmpmIqGpKKSYUm1nMO45NB8XhYRiGWmvsHM8u - /vVf/8d/7a9/7uzs7Pr68vz8PBK+AQAAAAAAAAAAAAAAgFfWOI7xxP6cs5nFx2PI7QbwSumevQsA - AHiZni/6ND1ob3+uOnDcqxZY+7Dz4YFetc4CAAAAwAvXZsvXWkUkMrxV1d1bUPdms1mv1y+tiQAA - AAAAAAAAAAAAAAAAAAAAAAAAAADeI2Y2z+du84tFRFVj9rG7u7uZrVarYRgOllNrjSnJOedI5u67 - VEoVkV/6pX/4kz/5k+bl8vLyyZMnwzCkF/rsKAAAAAAAAAAAAAAAAOBd6/v+5ubm/Pw8ArzjEzV9 - 34/j+LKbBgBbfBYPAAAA7wY/TwIAAAD4MOr7XkS6rotlTKdPKYlITJJfr9fxouV8AwAAAAAAAAAA - AAAAAAAAAAAAAAAAAHgdpZRKKZHYXWtNKaWUYq5xzCbOOceeOedjud0i4u4yhXyrat/ncazu8nf+ - zk/9wi/8N5vhZr3uuy49ffpUhEnKAAAAAAAAAAAAAAAAeA2cn5+7ezyZfxzHlBK53QBeKd3LbgAA - AMAHz4cszVpfdgMAvG/8ZTdg6UN2v33hmLAHAMB99X0/jmPXdfFPgO2Fu7/55pvf+MY3aq3u3nVd - rbVNswcAAAAAAAAAAAAAAAAAAAAAAAAAAADwmur73swiqHu1WkX8dkwlrrWaWUpJVWutbfbxvrap - 1qoiZawi8qM/+jc+//nPp5RExMwev3ExjLe11i4l53EgAAAAAAAAAAAAAAAAeIW1j82Y2Xq93mw2 - IpJzrrW+7KYBwBY5ZwAAfCDxWXsAJ3l6VZYAAADAa2gcx77vY1b8er2+vb0Vkb7vReTy8vLs7Cyl - 1HWdu8cMeQAAAAAAAAAAAAAAAAAAAAAAAAAAAACvL3cvpaSUUkrr9TqeLByJ3bXWlJK7m5mqnsjt - FpHYpKopSc4qIj/4g9//1ltfXK36Uoc33jgbx/Hp5Tf7vo8Zze9bBwEAAAAAAAAAAAAAAIDnkFJq - H5vZbDbxJbndAF4p3ctuAAAACElEVN3dc9ZaPSURlZx1HF1V3EVcREVFREVcXLZrlsutd5Xeraoi - 4u7P3HP/qH375dyn/FbaM5uRc/bJfdt6ssZ71nushGMH7o/P843A/cf5/kU9s7OqenSfvQBmVU0p - nf7td1H1ifKPjepivarGGjOT+432Q8/zqCLKP72b3KNf78Z7UWwS3y5Vsmoyl3gtoi463+p+t7+K - iqT5msVysf98vYiKpEX5OWcRc1f36q4iJpKeY6ma3Wt7HWUe3HpszTPLX5Q5Xy+S5qWpery+f49a - OYvlohfzNaoevZivcddY30b1OcYzyjm0fufbzDPOUlGZvlnd/5DYP47drozr2u1gmf7uvtm1KtoF - e1fyC7hyF1W0+8k970Xzds53Pn3/PHjbn985F0fcpyX3sf/95WA7n+/njWM1nq7rvap3fwwPlvOC - 7v8AgFfKOI7xYrPZtDUxB97dc85mNo/urrXmnGOf+Lnt5bQbAAAAAIAXqX1qNv5G2n4vBgAAAAAA - AAAAAAAAAAAAAIDXQkwEjqcn5ZxFxMxSSvFwYZkerxSPDWkp3e2pRPPQ7vhAdXvAyPbJISI5ZU1e - ilfx7/quP/+lL33p8ePHtVZVtbFk0dz3m81mtVoNwxBPJwMAAAAAAAAAAAAAAABeWfE5mfjIzfyB - hADwiiC6GwCAV0j7bSFnreYqMo6ekpiJqoiKt8Tu2K2bHzttlRZ5uoz1Vk3utv8rSUrL9e4+DxFT - FZFn/zJz/9927rPnLBRTouUx+0Bk+7r1ax4RPSXLnloeTaA+HL0p89VRvmpS9VqX+8/CXw/Wu5O0 - quqqKSZWHBzhxQi0AF2zB/9WuVu1tHr3I11VfZ5MvduXY2wxu8PdF7nd+4G4+519aCT5fgnz8N0H - nWMH7efOno6HP9j+5/gTQAswmNe7aM/iXDpYzvGq0+6L5OK+fdOTi4urSOR5R0q3tz1FxD1OhjQv - YVHa/nqVPJXs4qqiqp2IiMp0qvh060opJZGYm7QtQVXd716LZBFZLOftdL8rbbbmrgTVbtGvZy73 - y9xdvyg/2q/zGmP+1TiO2zHRLCKtX7NyFsujbXDX6caoql2cCbXWab0sWvXMcZuXHGVOtfi03tO9 - A7lP75NTbreCtuc8t/tu5exMdnEVnQd7P/QCm19f80ss/mB3LFh637HrK8rfLyoGdL/eg3VFpPf+ - rezEhX//di7Cvw+24f530RP1Hqv6xMr9Tpk9IJp9/n3knj+xPPz+eXjrwXr5EzAAfGi1PG93f/To - 0eXl5c3Nzfn5uUwz89s33Kurq4uLi5fYVAAAAAAA3nMtt1umv0U/6C+9AAAAAAAAAAAAAAAAAAAA - APDSxWehY2pwKaXrugjVbp+Ubrnd6/V6s9kcK6ftNl8jIl3KYxnVRUQ+/vGPfPnLX/rUpz5ZbZTD - j8EAAAAAAAAAAAAAAAAAAADvCtHdAAC8dHeZx/HBejNzl8dPzn/iJ35iGDZd11mpIuLupZRxHEsp - kU+5SEeutdZazXwYhqlkmy9vbjZmxUzcq0iKGOzYalZKsVKGWt2suKt77bqViLmrWanVY2ut437J - 7ipi77xzfbqrixjsY7oumc0mHdwFC0cOZoukFZFtxLhqpF/LlBbq830Wy5y2zdjN5Jauy+7VTHay - q3cKb7HT5iJpd6pDK83dI6p7sUxJXCRix6dmWsyXiEJbVq+KaBKr2/Te2LPtHznu+wm/SXU7VLvr - c0ouZnWb++4ibhbtaeXPW6U6JcRHfq6Km2/ndezXu51qYtMw6sEEXPf51rvx3Y2j3j8Xlvvsr1y8 - FhGzneui7XCsguPRrTvtPJHsG/bTyp8ZDX5wh2MBBscj509Ucqre1vLo1yIa3KeSU0rzt+f+ybg7 - jVxGJptPV2TXde51ntywPwLzqp5Z7aKFOefWx4m4R3sO9OtoF46kLMckqyg3Wt7KbydMrFl0657j - N49zbi1x9/3yw7Ho94eeJ/MyZXa2WN0dh2nL0fP2SLh23b9Op8TueYB3m7S2Hdtp6zPd//rab7/O - lFLuU93B8lshso1U3znZ5veNvRvmgVDtY07v1s6HZ+78rPtkHH7f2nPWxf1SVVS1zs6f+UV3sMvP - bO3+nsdu0fG+PLPGZ9ofpYPj9sz7PwDgA6/rOjNLKV1dXYlI5HabmbvXWlerVXx5cXERu73k5gIA - AAAA8N5p0d3xh9mcc601/jEFAAAAAAAAAAAAAAAAAAAAAF4Li0fx1Fr7vm+Pkmizg1NKm83mxFMm - 5ofEXONYM5p1XSrFLi7WX/nKVz796U/3fV+qS7Xp4VoizhxkAAAAAAAAAAAAAAAAAADeG0R3AwDw - CjGznHPkfn7nd37nr/7qr6xWnZnllFRVJXuknaqpZE3upppcPMXSpdbiUcjB8nPOd9G1e4mw81ji - tmaRczmP+D0d8zk3P2Qen3t9fTjqexgGM6u1xnyDVkvkn0V++TiObYfW34jObccuopSbd955Z96d - 1pdSyvzw1rUpjtdjU+wWXx4cn+vra1fZj7kehtGsmnmtZcoXVxHPuYs6x3EYx1LKGMWvVmuz2vY0 - q6XUUsZhGA8Ed4tfXV2LeBwba1STiE+DYbWWtlVV4rW7RMnRqtjqbmauKqopXtdabm+LRLd2l0nF - rKWhezspWnTrPNTc3XcT3J8dKnzwHJuX88yTcHqXZR722hp2Inq2nQUn+tXOopTusplTena7VF11 - Gefcyhdp5UvLp583vi2P9etYFHVK0ibyuN9FzLbWTlnDLVp4EV387EjyqSU79xmzsr+bqpYy7K6X - OHufO5K8vd3h4H1AdRnh/ND62v770c6Rh96iglVdJIlYLKNa1eX1ezya/XAm8V5EtKvmSIQ8WNp+ - je0u0e4YJ9rTzvOs25M7IrS3Ee/PHry7EYhl0i5eu1cXFTHf3celxlbxJGLxHXC/nGOZ68cGtGVp - tx7NT9S25pnnw+ms92PltG+CbZ906GYR3zQX35Hl+Mlwoj3HItWPtXlW4P4OyzUnxqDW5d7T97LD - Ne73d3EDOd3aE+bvwn2OeubPNvtvlhxqP+ndAPBhllKKHxFTSn3fq2r8RBrf93POm81mvV6/5FYC - AAAAAPBilFK6rgYLBiAAACAASURBVCulrFarYRjil+Jj/2gLAAAAAAAAAAAAAAAAAAAAAK+gmCDs - 7l3X9X0v0yelN5vN2dlZ3/fjOK7X681mI/d4SEXOWVXbs2hiZSmWs7z11hd/6If+I/PyztOrs7Oz - JPd9TggAAAAAAAAAAAAAAAAAALg/orsBAHiFpNS5bz89v9ls+r6vtfR9Pw5DchNJqp5Sp+IiJmZW - 3aup5liKWBJVdfXDTz+3sl2vES3p2w/3l1p1RkRUVERcvOVsqqomVU0iUmudUmBF9G7yQESR7Yt4 - 4OkrjcBgd3nzyaNjQ9GSTRfZ2O11NDWl1ILQ2j7t2GPt6bpuntstu0Gb+2GrUct2DKfQ45hZIbOY - z2A6ddaTqO0v3fT0mpRFJacsbmpeIqA9aSdqtbh56bv1wZIj2tZNY9li3efr2/6xvoW+R12xtcur - eb0RGF9tzKk/UK9IKaVWi0Tz9i6YWUqpRaG3NPQWSdsGOeLYSynHntp/eXkph+aojON4Imq9NaPt - EHNd5rGssefV1dXBeqNJB/vl7nXSqt7vVwTMj+N4sPynT5/un2+qejpCvjUjanf3cRyPRcgfrHcc - 69SvKHlv8s8s5tZbSru2jX4qsnh2S2hpw/HfLqu73+UIb9d7Kz+y2Fu+b9qt5pkZuF1Ws3n5cnfT - uWv83dY0BaK3ek9Hns+i4pfrt1d8S6mP8yG2iquIu8UZJ8thuVumI/V2XTarZjv1tsZsX2yTtO+u - oPmoTm1b1hjL6ZvOcv1+c6K0Y1HQbdyODJQtluZltsYXWzXeP79b+vb72narpvgWJXbkzIjb//7G - I7Hc7XvBgfd39/C7ck7Uu28ak+VRi/GcDeN8z6Nz9Pbe36MWHZl/OX+/2rjdJ3X6xD7zU3R+gRwL - xl5Ep88T1o+Ur4sXh5p3917Hffs+Wdr3GEmdt7A1+EGFAAA+2MwsvlPET/Uislqtbm9vY2UpZb1e - 11pzzuM4xlx9AAAAAAA+MOIhdKo6DEPf9/FPWvzVFAAAAAAAAAAAAAAAAAAAAMDrJecsIpvNZr1e - y/SAizfffFNV42lCm82m67qI9C6lHCsnJh3HZ6rjMVZmlpPU6v/oH/3aj/zIj8RjY958/OT6+jJ1 - 80eDzh9iQqQ3AAAAAAAAAAAAAAAAAADPj+huAABeIWYmYqqaO885bz92b3a+6mcRv/Hf4u45Z/ck - Eum61nK3jyVZRmzYtFOsU3fv+1V8cZc/bTWiqSMkeBF4mXOeP1+9bToWvbxvijo+knE6NS+lnWjM - lHJLXJ7apTJFhk/zEzQyZ1XTsYjZWkfZTdZsL3cHZ6uUYSfXXON/yb2q6rwYEU8utp32UMUPLdV1 - m4EezdZ+3U39mrKftdY6JZKqiahLVdGURT1XG7fl7y5zFncXdXHR5O3Y2F9VVVTUZbuUaqO6qpqq - avIsWURUu1rH7eC4ulYR0eRZc5ravLuUi7PzFiMtsyDVnLPvivXdNEWkJbPG4TFfZd+xANd2fs7D - s2dv0/aotsNqtdpPgvfjEe8RPb7fr2j/PMS97d8aHBdvZG8fS+Nr43Nwfcv5a2XG3Jv9fs2j5WU3 - +/ZgvV1e2WS+T4u2bUnq+1d0Sw03s2EYDpZ/eXnZ2rDoXYtpn/duaoNGwaWMpVSzaubTzc3dI2Fa - 3e3q6rqtny/HsUxNq1P+93ZrJGfXWkqppYxm2xtbbI2M4XbsOJaD5T99etnasChfxGu1Vn60Nueu - 1R79in3mR8379c47Tw/WOwxja9tia7S8lHEYxlLcfSeJfBkofsQ84np2J2zv+Pzd30k3X+wzy7Q+ - VV3Tmjdfoyrb80JjiF2m/6Y0b14buqNR2Udu/4edaPNDw0RO17vf6+jXfGDj9cFyFsniLRX7Pu1c - 7HBs/9P1Ho/JPlrd4sCDod1xw9wrYefGe3DrwQIPOvjzQIRwH9z/2PeFVk7c31oJB8s5UT4A4AMv - vru1yfNmdn5+Hj8/x28T8btP3/cnfm4HAAAAAOB1NI5je/xc/POGiMSvyS+7aQAAAAAAAAAAAAAA - AAAAAABwL/ER6FJK5HaXUuLhP/HIr5RSpHdHYveJ3G6Z5h3L9EyheJ5PrfIP/sHP/d2/+9O3m+uL - iwsRGcdNTD1+H3oHAAAAAAAAAAAAAAAAAMCHDdHdAAC8QiIqWFVL8fiYvuqqjBtz0VkssYpEBtg8 - MFJnkZ5mhz/Nvw39tQibvAuVvN1sFpnHmkRFthHRGhHaqSUEx2yBFiHcgsZOR2zOQ7in/Q5nnEbD - pqTy7SHuLlXuGpk0TW3eBgyrtKTg+M+xKOipPQfH5y5suL3IXcvz9llffBl+OqWonvgBq7VP/O71 - Zrgb/+37q5HxVu+GzLZ1qUg6Fu1WS9t/vrrPuVW9Mz3DLVLI7xojIqo5qoku+/QeqbgdjmYfb0tU - Gu+IuEc/yrCJN2v7TqUUQzdM/ZXpfOtUcj7are1JoDuNV1Ubh2hpFmlng4jUWlVVfHvKdTlJTiJS - a/H5WRpvpcz6uCu6G6Mxv0CGzW27UpKqpu3rdl2ELJJzkpz8WPljnRqy069at9dvnmWQq8o4jWdb - btsmy3Dmu9PskDputuO2DaHf9susJlVR6Vad97m1anFdb1Ov3VsE+0LMMpJDWbaLNS2yd3vWq4sk - EXNXEVPNIjZfs79+vsy5d6/u6l73y1HNsbXt33WrtqdIcq9m4l5T6g6WH+XMWxLr2/7z8lXdTFR9 - vxeL9kcb3GvO/bF6W7+mpGCNeqP8ea/na6IEs1KK1TqOYz1Y/uXldWvDfH1KnVmp1UsZooRtLakT - NatiXmrxUoda3LyIJ00unkRNPLlUNxWRp0+fxru9iCSPuPQIO58HrkfkuZlHiHuLWs+5a6Hpkdsd - +fKb8XCE/NXV1fyUa6GYMYK11lLKOI611vb9Ir7RRDh9pNcvEu7nJ/bUr6U4pSOiPkqI/eO6aIVH - gL27x/rWzji21jqOnpJMufJT4Ul2LnedfR86cv+cR4M/c/32O9vu98eDhz9zol/XpVrt7jveTuK4 - ttGQ6a1p4xC3hWcmcy/Gbb5e2s8Ms7tl1HXwrdxfL0eivkWk67o4K/ab134wa1uV6G4A+LBS1a7r - hmEws67r4ttBKSWldHt7u16v4xfY+HX12C/RAAAAAAC8vuJPtfN/UCa3GwAAAAAAAAAAAAAAAAAA - AMBrJKVUa+26LkK7ReTNN99097Ozs9vb2+2juFKKR0xEyPexotps4pzzMAwi8sYbZz/2t370537u - 51JKT548ub6+7vvstWraPh1F/O4D2OpJxJwZyQAAAAAAAAAAAAAAAAAAvAtEdwMA8NJFTqrI9nP2 - SVXFRFVvb6/7Ve66Ts3zLBKyBVLuh1MuQrIX2sf9I4u0RZn2fS+zJMuWNNmeoh4rSySKu+dZFHTb - 4dn93A3OPGGxz8F+LdIxRe4SiO/ZqoMtaYMwj2qeZ4gukj4Xocun622Rou3LqKhltrXy4w2K5X6/ - nmn++Pv5OTMPr40ZIMfGofWuZake21NENLlMgeLu1jLXU55iYMV9m97qItL1aersdmdV1aTHIq7v - hnqeJiuiaT7m5iJmHpHSPpW+3XUKpJf2tWxTq+PYg/W20yD2Mdse2PXz4d2uNfPcteslGjIdfuTd - U229O3De6hSia1ajO6v1ano3bTsC0bbZUdsDT58vrhExK9vTY57I3k42b++26k7hKWmEdkfi4L4W - x7vb2eWJHGeJmacUcYbxdtWpdnWvqpE/Lap3qc/usc9yOUYk+dZ2eCJtOsqZhnS7LGUQEfcSAeK6 - DZfP0/lweBl3o1b+lHjdWrLdR1W7Lm5c22t61otlj0RcNR3rl9k4jXyanfAaJ7jZuD3LtCWm16kN - KaXk3qdUa9U33jj8q9+bb77Z3q/9G9rilphSGmqZ30CO3SXa+u3taIr0bsuknUu1Ki5VPKUsKjll - KaNpcpUsam4a+2vy+es4thaPSW6uoi6LZRKdxaz7Yr26mHisyZokaR2LJE2isTRxrxZb27Hz0lo5 - +/XGsVG+5pRENScrNUqetycC6+d7mriVWt26lCWpmA9lHDfDUEYxj33mJRSrZRjHWqK1++25ubqO - 9lS31vIoubrVsYy1WKltNJKkKN/EY2sZxmI1xqH1urpFH68vrw7WK+bt2NZaE0+S454yljJsNsM4 - xnmTVGN045IotdZSxlKs1p1LZVreXF9Xs7ghxmmadDui1ayMYwS/L7bGyFmtsbXU2mqPra3M66ur - g/VGy8s4xrE+u0g0JXEfxnEchrGYxrfyvRJYsnxxSwCvDnePafMxzT7m5Mdvf2dnZ/GDU/xuTm4Z - AAAAAOCDR1WHYYh/Cmz/Nt3+HQEAAAAAAAAAAAAAAAAAAAAAXgsxOzgeZNT3fTxp5/b2ts0dbvOI - 21OwjpUTx0a899nZ2ae/93t/4zd+/dHjNzabjUvXdSmlpL0feZjPqcIBAAAAAAAAAAAAAAAAAMB9 - EN0NAMCrwCJq10xlCr7NmvquEzMVE0l1/gF9VRfZrjmU3duywBeqiUgSlfZodJ/qj3K3/5/MEo23 - m7Ypw3vxgLpT3GH32WfbnLqtbXuEb49eZgHfNVT2tu7UedCRfO1pEHbKbLW3WNrdL2fNOGExy2Ke - CC5yIDR3Hp3+jKJ37e+/n729qP1YCfcKDvdI8J2OuFu/3DE2ucUW3R/Pw8XP3oUjm2R+irbz55nv - zomSZToN9vu1m/St7T/z6+Wu+me9dcfO27ZeJcd1V0usSgdH+MSwL0vXAyH0s/Ysj5+vmR/4rFqW - o3rwwIjo3l/vRy9qOXY+3p1Mu1XNEqgP7D/dQZ/drf0djpUZpZ0u8P7X9Okyj/UuqogZWarSdenY - RKy9sbrbInujZGZduzCqyd6dWu7O2+k/7cKIF23pRcWypvluXixravuoTK9t57WLi1jWlLskYiYS - 3Zsv1cXbGhVTSSKmktxjH5nWqFcz6ZKYenI33wbhZt1u9YOlTeXs16sqOYmpJp/KNMs6hYXP9/ft - /klkG0KtklU0aXIzlySy6vNZd256njzqZflBWHaaqriXuiljHcbRqpp70rZPbB1qsbGMVo+Vc3t1 - XdzUvLjFmiwa5YxWbSxDLV5qFW9Hta1lM2zKGFs7TXGsqah5FZdqxe3m8upgvVJt3jZPquaLfpXN - 0La2ZXFr/SpuydPB6+jm6qq6i1kxizVZ1VXVpLjVYZz3y5NKNckp+lWHMfo172+0sLhJtSp+e3V9 - sF8xYlPbtsfG+Mz6VYov2+wqnUoVERMTyXLq3Vdf9jeOna/P8bOV3ZWf5cA+09kiR2/xIiKSs5jd - 6/tO3PPbninFzwxycprw8qgmJVl854oC22ThxYEPTR/vulSrLb6LHevmfJPq9svTY3LPJKkH/pD+ - MKp3o3TPiu5+yzs+FCe2Htz/yI8f9/pJ70iZsx9u7n1IHHV81nqa7/zQ3572j12tVi17291dasrZ - ird9DtVyoG3R4JhFH6/7vlfVtn61WsWetdac8ziOfd8TZgYAAAAAeK3N/93TzNqv0i+7XQAAAAAA - AAAAAAAAAAAAAABwWHzmOab6xrRfEYlJwaqac45PREdQ93zucLyW9lSlbXl3c59TzuNY+74fx1HF - VORTn/zE1/7g7YvztY1Dn1SsqoiXGg872Z14byLifBYbAAAAAAAAAPao6gt9IigAAAAAAAA+eIju - BgDgpYiP15/MwYv9/HT8NAAArz57YUtJzpLla7asVlVVk6y77Dmdi6hqpMPKbqhtrIlZnfsi86aJ - A1vAbTu8lWlmKaWUkmzT4neqW9Tr7sfqTSmZWcwjnR81z9ZthcfObdNu+f2x8t29FdJqSalb9DfW - 11pbv+LAxVAsBir23JdznverHdX2j8KjhdGv+SCbWa211hpxv/uurq5aOfP1UWyttZRSa23F9n0f - xS62llLm/WpD+s2nTw/WW0qJo1rmcRvAqLpMWu8W/Yqq6zZzW0V8vnz69NLd4nU0J16buVktpZYy - 1mpmNbb2/crd3MWs1mrTVrOYQ+xJ1MQjNj2J2jvfvIw1i+U41GpjGc2lesTOm7rUnHpRsyrVxtin - FnepXV7FnlGyeSmjVRvHwff6JBJ51YfWn1hquluKyjQqszL31uwvc1IXt7ptQxtRVRGViMx2u1uf - VGajvtz//u2PeuftjLqiJYv9pzf6gGMhXDnHde3uy0vgmXHj7aQ9ulkkrtf2VUp394cTR+3r+9Uw - DBcXF1dXVznnuOhik9Uav8VPNwftu9VYNg8qX0TMbL1exz1ktVq1+0ybwB/Lq6uri4uLhxYOAAAA - AAAAAAAAAAAAAAAAAAAAAAAA4EHaxN6Y6tuetHBzc3N+fv7o0aP2PIcW1H1/VquojaP1fS5j/eQn - /70//tofPvmWx/d58hgAAAAAAAAAAAAAAAAAAHivEN0NAAAAAADwvlLVnHOLoE4pLSJmW4Drsdmb - bcLnPBhbRObh0/P9WwDtvIoWrT2vMRJw9/cP80TtFpgd++uMiKSU5vHSrYQWH36i/Pn+05oqIhqZ - xBJdcxFJSVRdNfb3nLcNKKVMu0W/RMQjW/xgvbXOw8IjftlFvNbakrxjRKO6nNVd3Ft+eTJLZvni - 4vxg+W+++WQxgG0YF6MayzgfFm9iixLfj3iXfDiSXKYw9TYfOI7qum7/3Wkp8vOdIz58++VehHbS - rsVsR4S2eNLkSbv5GlFTyZq8jBavd8qZjUcLiI6mpZQPxk2nlKeEd5veL3W3lPJ0hkRKtLVBjcjw - tjXCxft+dbD8Fkk+X8bWiCSvtUQk+aLN7haR5KXU/a1t+fTp5cF6x7G0kmPP6F28NvOIcS9ljL4v - yo9AdLM6DOPB8q+urg/2q7V8iokvUf5qtZ6XHFHrcVHMz5x2Hn7zm988eBIOw2BT4+aXQNwG3T3y - 4/d3kFm8vZltNoejsq+ubtxle+gs6PtIkvhR4zB0Wa+vrvouuVsc3q86M3H3WmtKKW6bIvYcud1d - 19VaoxdxrcXtJXpda12tViJiZhcXF2YW1zsAAAAAAAAAAAAAAAAAAAAAAAAAAACAF2E+sbfrumEY - cs4x7/78/FxErq6uYl5w13XPEd3d93kcTUXKWD/y5PHv/+7vfepTn6pWmEYMAAAAAAAAAAAAAAAA - AMD7iehuAAAAAACA90lLto542QhFjkTYtnIepdwiuhfu0pR3LaJeW4ER1byootZ6MEl6v5x5vfPC - ZQrWjaDuefnRwhbNO0/5FRHVw/1aNGNR16JemSLMfaYdvp9LfZ8aF7tF+ZFy3frVlrpryvS9V49O - dNPdj73v+9Hm4US9izD1WF9KmSd5t9fDMLQD2/7Ti4NVmIqLurtpSiIu6iJmXsTNXcVNJImbiImZ - bqO4TcQkktSPvi0uIuaH+2V1O6tZk6rGteAiWsqwCKGPvUTExUVFU1IVVc2i7mp2eHb04ydvyKHR - btHmMeBteOcnw/w86bqdP722Ao9FoXd5ZV5q8RaIHjHnSTtNPo9Id9O2JmLR3dSlWhXz0nfrRclt - GSW38o9FsEe9tbgmX5TvUhfnZxuiY/eNvu8jA35xPbZhlJ3E7bty2qa4WS3Gc9aCu3oj57uUEi8O - 7v706dNj7by+vhaRP/uzP3v06NGf/umf/vZv//44lHYGmJlZae/4/pV4WszGzzm3jkcjo185581m - s16vH1QmAAAAAAAAAAAAAAAAAAAAAAAAAAAAgHdvPtU35j7nnPu+j5nOpZQTzxM4TG0cTURy1pTk - i1/8wl/8/s+YVbNybF42AAAAAAAAAAAAAAAAAAB4EYjuBgAAAAAAeJ+0bOmW8ru/fn//00XN18xj - mMNi0mYLY5ZlvPGOY1NGI7p40bZ5OS04+WC/2vpaT0XeHgq6ttnW2CEyfevu+uUYLkbpWJRv2kZK - y7QUEVcV96qq0bkoPza1yPDFUBybInswcnse0d12WISULw7cL38a2IPV3pUTIcEymyR8sGF93y/a - MO1WRWxK3T62lLac2rkdugizFrGUsrtH4Lt7O1Y6Tcsz/1SHZuenbxfR5i6lu1NPppxsUVV1cXeX - aqLa9k/HBi7e8dmKbdR0LXHmJY2VMfJerW7brxpl5qQiehcxHm/Ttj2irezIJp+WXkfxmkRFXFNr - itcyqLpqVnWVpOIRgl6tiJiLeTXVrGJZUxIRKyImkhZL94hgTxoj7ybiKj7WjYip5liqeIyZJhcx - 0WhPSqo5JxGVehe5vR2I7fty+Poahiq7F2OcGGYWqfezO4SqakRcy+xNyFnd4607+HZZu6lmyZ2n - riZ3P3Y9Pn5ycXB9rfXPffyjqvq9n/6eUspf+oG/eHN79fU/+mfFRFxWq24YioippjiTHxrd3Wrp - um4e4x1XaCllvV7XWnPO4zj2ff8chQMAAAAAAAAAAAAAAAAAAAAAAAAAAAC4p5RSTOytta7X61JK - 13XubmZnZ2ciMo6jtMnmp3K79+c1W0xaPz9f395sfuu3fvM//ZEfvr29fvTo0TjuPCcBAAAAAAAA - AAAAAAAAAAC8aER3AwAAAAAAvE+m2FpfRFm3WOX90Otj5exvigjtg2my81mgLWl7Udd+O093Yd6M - Wms0vq2PF6WUeU9bRTkfTqU9MlvVIjJ80c6Io54PWqu3lbM4pEVWLyxCslubI+p7v/yWfb6o4ths - 25zzfqq6zGbqNlFyxPrqnhY9fs/M4NaRRbZ6tLPluO+Xv9sdmyKT7eBymhi8XarqOG5m7XdVTUlF - cimDiKiaqqYkqnlb7zhu07KPx8nP5S4vY++j7jq2vkhEdouoirvpFJftHn3fHn2w/P0zLb7u+rvI - dnc3q2bu7qvVyrdixU7Uuqq2iqJa20bX18VSNdrmqknEp7Bv6fsWtS5TpUW2UevJPfa0qEg1RR/3 - 36mUZJr1vV3GCJ13qW4vgrimxGwUka7r3JN7XGe19atL28YsTuncHY7Kjtx41e0pMY2Vd12aLiIL - 2/K7btpF5O40PjoF3V3N7s7w6XzTaRyWUjp8f0spd11nZtfXlzGv/vOf/7XLy8v/7X/9329uS601 - 0rv3r5T7W61WwzDEBb5erzebTdzHotcy3aP6vj94xwAAAAAAAAAAAAAAAAAAAAAAAAAAAADwXnH3 - vu9lmuQbE35F5OzszCwmxafFMw3uZzvNWVVubjf/3S/9w5/6qf/y9ubq0aNH19fXKcU0dAAAAAAA - AAAAAAAAAAAA8D4huhsAAAAAAOB90pKJF8HDEe08X3PasYjumBG6X0XMEV0cskgQv0+9i2jqFnQ9 - b/+8pzFPddEkdzcrB8ufJqwuW+LuLlV06vi0veu6ebWR1OsiKbeJrzszYI/VK7N44LsGqHZ9kp2R - 8chSjojoWYO3jkUCm5X98RGR1aqbpYZbix/uuhbV7PP1xyb0piNvnVXbtnNW76wQd/dIgo5NMcc3 - Tfv4tsbsciwyeTtQi651fT81Pt4v95i3PJ2fsbKlXHdp298I3F4EjR/oV1m2ZxvYnLt2Mru7zfKV - W8f1ZGj9XTN2+rk9ZLjdtIR1Vc2aYscyjK2WFJtnEeyy14kjp4m4lW2rZi10d6t3Oe45aaun1rJz - K5j6fmyuttvelSUiSct4F+WepxB5Eal13I6YSMopKlXV6K+qys7V52YHzhNX2RYnIu7RxPiflbId - TJEuJZlS5OOMb2XPz96D/Up5O+M9TjSVbcOOTX/fH4fWBVcfh+Fsvc7n69vbW6/jb/3Wb9Ti/+Jf - /B83N6NbURG5SxCPbh0OCD9oGAYRyTmnlDabzaNHjy4vL1NKt7e36/U6EsHjNk5uNwAAAAAAAAAA - AAAAAAAAAAAAAAAAAPBCtSm9bZ7vZrM5Ozub77CdEd91pSwfGrAsbfvfu9nHfZf/9t/+sV/8xf92 - LJvVanVzc9V1Oedc6+H5+wAAAAAAAAAAAAAAAAAA4EUguhsAAAAAAOB9Ms/ZbVm8Ms3kbFqI8rGp - m8e2RjmLVGlVrbsZxu21mT0oIDYSi+d98RYufShueb9f4Whk8pFMZfPSOjWPJV5EibcDW6b4Yv2J - zu7vvN+vts88W3f+np7o16LXcey8/HkV8/dxXtGxwvfHYd7O/UbORyPGs0Umz/e56/uxbPDdXi/a - Oa9lsWk5IL4s6uCXTTsHdoKrRWqt87epdS3O8/2z6/TJPy85Xs+j6OdVd908gt3NLN7B/Qjt3fMw - idh8mVInYu4qYqo51rvX2Kqa3au7TmtqSp1IbXuquruq2n7J++XfrXfr8sqliqdpaW4qajn1bb1V - Ea2xvkWtz/t1bDBVxGcdP3juLd7K+bjtX5j72n1mcWIcbdLJ+0A0chiGnLO7Wym/+Zu/eXNz+y// - 5f8Z10csU0pHLrtTUkoppVJKrbXrusvLy6j07OwsGpxSMrNj4esAAAAAAAAAAAAAAAAAAAAAAAAA - AAAA3ltmlnOOufZnZ2dt6n3Edcf833Ec71nY/IvPfe6zX/7yl24312b25OKN6+vR3cntBgAAAAAA - AAAAAAAAAADgfUZ0NwAAr5AI63I3EUkpHUsmAwAAwOvrYBLtfpTs6R8Fnxl9vdjnOSJsj9W7qHoR - L/3M8t/bft2nxtPrTxc7z3ve3/n+P67v73ns2BMR48e6cCKi+Ng5cPB92Q8gv9vNT43e7L1ppe1t - ObzmYaffXmm7bXRJ6cCfOt1FNS+O2ivnoP1R2tnUqjaLNduVJ861nU2eRHeWbiqqu69VNM3WJPGk - 2/21rYkSXGy/zGPlz5ez9ieRJC6xNL9br5pEVFMSsYPXyqmB9Dbiuwf4fFTvxsZt9uVDfifeOTEe - eGw0qRbPqbcqIilO0ZTE3L7ylS///M///L/6V/9X10kpoiJuJiJ934+jtbztCIk/cWcwM5sSv0sp - U7O97/uYwB/rV6tVbKq15pzHcez7/sSVDgAAAAAAAAAAAAAAAAAAAAAAAAAAAOCE+ST6mL0r01T9 - mCYsIrEyEqTXVgAAIABJREFU5xwTgWPZZgefEoXUu3jvnPXTn/4Lb3/198bNTZdz6tNms0kpidp2 - xjcAAAAAAAAAAAAAAAAAAHi/8Lk9AAAAAAAAAHi/eNrOpn51lvLQ5YdI3/dj2fzO7/zOD//wX5/i - tqXvc0zLF5GUksxj5B/OzNbrdUrJ3VerlbvHHP6ccytZVa+urt51bwAAAAAAAAAAAAAAAAAAAAAA - AAAAAIAPl1KKqg7DEPN2+75391JK5HmbmZmdn5+XUvq+L21G8b2paq2jivZ9FpGuk2/91o//4R/+ - zzlrSjEXGQAAAAAAAAAAAAAAAAAAvDTdy24AAAAAAAAAgFfZC54N7C+2eLzH/IHnw/NHWr8Sxtub - vu9rLX/0h3/w0z/z9/71v/6/z85Wt7dDlzszS12OAO+Yma+q8eL+uq6rtW42GxGJ9G5VVVUzc/da - 62q1EhEzu7i4MDNm5wMAAAAAAAAAAAAAAAAAAAAAAAAAAAD313XdOI7r9drdr66uHj16pKpd14nI - OI59369Wq1prfPkc84XNyuPHjy+fPh3Hulp1Oev/8vU/+taPf6zWmtLOBGSVzOR6AAAAAAAAAAAA - AAAAAADeZ+R8AAAAAAAAAACwz9rE+1rr22+//dnP/uDt7dB1qdTi7qWU2E9VRcTMHlpBKcXdc846 - iWJTSjnn1WoVqd4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAnk+EZ9daHz165O43NzfuXmvt+z625pxF - 5Dlyu0Uk5/z06VMRSUnMyh//8de/4zu+vZSS0l3VTcxKBgAAAAAAAAAAAAAAAAAA75vuZTcAAAAA - AAAAOMnTy24BXiDXB6cd4yVyfdWuxxd7/qzX69vN9aOLJ9/85tOz1frrX/vaf/Vf//S/+Tf/T0rS - dXkzFBHp+34cR1ERVbEHz8YXkVpr13URBJ5zNjN3V9VSynq9rrXmnMdxjMn/AAAAAAAAAAAAAAAA - AAAAAAAAAAAAAO5pHMfVaiUiXdeJSCnl/Py8bY0s7VhGhnet9UHlq7qI5Kwi/uW3/ulnf+iHyrDp - V9nsbh50BHibiqro80xHBgAAAAAAAAAAAAAAAAAAz+lVy1kBAAAAAAAA8EqxKR35xS2B5/PCz5+x - bMzs6dOnT548MitnZ2df//rXf+AHPmMmw1BiEv44jiKScn6+KmKqf+R2r9frCO2OTTH/P+csIn3f - x5x8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAPcUs3QjkLvW2vf9MAyllJyzqsZM3vV6nVJS1YfmdotI - Kdav1Mx/5Vf++7/5t35UxHKnwzD4jMzSwd/r/gEAAAAAAAAAAAAAAAAAgFO6l90AAAAAAAAAfMjo - A9N2Pb2Ydjy3V6s9+kIn5+qLDu021/e+1bi/h2Zfpweeb/Z+vL8vKsA7idRSH188GotdXV2JpJzz - 2dnZ1772tZ/92b//b//t/ysiXdfVWlNK5i7PNVV+GAYRyTmnlDabzaNHjy4vL1NKt7e36/U6pvfH - nP8W6Q0AAAAAAAAAAAAAAAAAAAAAAAAAAADgnlQ1pWRmMWl3tVq1laqqqre3tzlns+eZtpySjKP/ - /Z/96Z/5mZ85W61ubq6ePL64vr5WjdDuNJsjHE8qeFGTowEAAAAAAAAAAAAAAAAAwL5XK2cIAAAA - AAAAwCvpxaV3A+/Giz2Lztdnm814fX29Xq9Xq9Uw3F5cvHFx8cZXv/q73/M93y3utVZ3NzOv1uXu - OapIKUX+9ziOXdddXl6KiKqenZ3Fi+ee5w8AAAAAAAAAAAAAAAAAAAAAAAAAAAB8yLl7vIj07lKK - iEScdvtSRGqtEez9UObyX/zn/9mv/dqvdn0ax816vb65uUkpRS1RUXvRGgMAAAAAAAAAAAAAAAAA - AN4fzxMlAgAA3gfbj9rzOXsAAAB88Hh62S14l16tDF3X96GSF/mW8UvPS/Wir8b0wt/fF9uDUkxE - ztbrWoqL5KxluF518u2f+sRXv/o7P/uzf+/f/bv/z1y6lN21lKqqrrL9Xd5FVbtuNY7jVN6Bu4eZ - tWTuNrc/Zt2r6jAMKaV4EEBM0ReRcRz7vm8z82OiPgAAAAAAAAAAAAAAAAAAAAAAAAAAAIAFVY2J - uu4e83YXk3PbpN1aq4jIfOOU+t2KErGccy1FVVTFTP7qX/3Lv/GP/4d1n2sdc5fGcai1rtdrs506 - RCQxtR4AAAAAAAAAAAAAAAAAgPfd656TBAAAAAAAAADAi+FJfPev6GqiNgy3f+E/+K5//s//2Uc/ - +mbOWmqpVldd7+4xc15Tign8EbP9HDXnnHPOjx8/LqW03O5SirtHgRHsraot8BsAAAAAAAAAAAAA - AAAAAAAAAAAAAADAwjAMbRm53avV6qGFqKp7dXezIiLuYibf//3f++W3/unHP/6xm5urWus4jiml - 1WrV4sABAAAAAAAAAAAAAAAAAMDLRXQ3AAAAAAAAAAAPkHMex/ETn/jEn/zJn3z0ox9VUREby6br - upyyuHg1Mzk/vxCRcRyfo4paq7tvNpu+71NKZiYiXdfF4wAuLy/dfb1ej+PYdd172zsAAAAAAAAA - AAAAAAAAAAAAAAAAAADgA2O9XseyTct99vxfF7lL3zYRc69932dNXc4isl7nj3zk8Re+8IVv+7Zv - e+edd9br9Wq1MrOYCxxTgwEAAAAAAAAAAAAAAAAAwEtHdDcAAAAAAAAAAA+Qc95sbnKn3/Edf/7t - t7/65z7+URE5W5+VUmqtItL3vYiM45jS8/wRvuu6rutiTv56vVbVSO9295ubG3d/9OhRVOTuzyoM - AAAAAAAAAAAAAAAAAAAAAAAAAAAA+PBS1VKKiNRau65LKT3fFN1SRnMbx5qz9H3/9ttvf+Yzn7m6 - unL3lNLt7e1qteq6zt2ZAgwAAAAAAAAAAAAAAAAAwCuC6G4AAAAAAAAAAA5wFVdxSb77t3TzcnZ2 - 1iet4+b7vu/Tf/RHf/ixj33L7ea273Pf57ZbKSVm2k8r0j3/Jl9Kifn/OefNZpNSivRuVT0/P49N - XdeJyGq1GsfxvektAAAAAAAAAAAAAAAAAAAAAAAAAAAA8MESKdpd16mqiJRS2usjB4iczN1OSdzl - i1/8n/7KX/ns9c3lxcX5+fl5rdXdu67bbDbx4j3uBgAAAAAAAAAAAAAAAAAAeC5EdwMAAAAAAAAA - 8ABmVm28ublZr/vVuvvu7/6uP/iDtx89Oitjjdn74zjGjHp3jzXPQVVrrfEiKi2lDMPQ932sjzn8 - fd+/Zx0DAAAAAAAAAAAAAAAAAAAAAAAAAAAAPlhifm5K22dvllKeb/6vJhERd/kn/+R//E/+xn9s - XlT1+vp6GAZVPT8/b3OBzew9bD8AAAAAAAAAAAAAAAAAAHhuRHcDAAAAAAAAAHCCiexMj08pdV33 - xsXZ5eVlp1LHzV/+D//S22///rd8y0Uttl51XVYro4qJyN7U/TT731Hr9drdc85RnYh0Xdd13Wq1 - EpGcs5mllCLVGwAAAAAAAAAAAAAAAAAAAAAAAAAAAMA+d3/y5EkpJSbnioiqnpyie3gucJfVq4jI - L/7iL/z4j//448ePr66uVP38/Dxm/g7DUEo5OzvLOY/j+EI7BQAAAAAAAAAAAAAAAAAA7onobgAA - AAAAAAAAHmaz2Ww2m0eP3xjH8dGjN0oZ/trnPvvWW29dXJwPQ6nVXVxEuq57jsJVdbPZiEitVURi - cn4L6p4/GkAORIMDAAAAAID/n727D9Zlu+sC/1trdffz7HP2fQkJkGRKg8yMIjIyUoWZQQQm1gwO - IAMl8QUJjkzKkBvlLQmTgJEpQgIkQzThhjdHEsOLllBT41Ajf6DlW02pNeVfUpSUjqVolSNGws3d - Z+/dT/daa/7oe3bOfU3Oufvc83I/n+o61ad39+pe9zn72X17P7/fFwAAAAAAAAAAAAAgImKapq1u - N+fcWksp1Vpvuj43Ra29lHjta7/mkTd987QbHn/88XEs0zQdDodttJTSMAyttZOTk6Ojo9sxFwAA - AAAAAAAAAOBmie4GgLvL9Y/gxxbHBQAAANxteu/DMOSc13WN1GpbconW1i/90i953/v+122f7X/r - S4oULSLGcdz+T/8icvti5RnHf/rGWuuW3r2delmWlNLWIGDbYUv47tdd4nwBAAAAAAAAAAAAAABe - nHrvtda4Xu5xH5RsXEzhxgqUbY73q9batnIxZQ09gPvbjdWL67rG/f4+Dxee8k+91tpaG4bhYvt2 - V/BJ7+hKKRH54ltpHMcUMeRIKb7oi/6rd77znTnn3vs0DSVSX2tKabu72Cp/c87jOG7ffQAAAAAA - AAAAAMAdN9zpCwAAAAAAgPvBWg9f+qVf8qM/+oFv+7Zvn+d6dLQ7O5tLLmvrW652znmL396q/W92 - /O2QlFLvPed8OBymaYqIdV1LKeM4bl+d53m3263rOgx+BQAAAAAAAAAAAAAAAHCLtljHUkpEpJQu - SjnuaSmlk5OT4+PjrT5l27jN8b60vWpbBPtWa1Nr3cpz7vSlAdwWWwHjMAzbW984jlvJofc9XgxK - Kb33dV3HcTw/P9/v99M03UJ0/XZIaxHRhmFYlmUoUWt8/ud/7o/86KO5RK11HEutrV+v/L30uQAA - AAAAAAAAAACXRW4HAAAAAAA8g5Y+ka6dt64UPT/H/kPK4378ij/43/3mn3/H27/7fzk7myOiR91P - u8PhMEy7w+HQWss55xy3EN09DENKaVmWrT3Q1kGg934R0X1ycnL16tXdbrcsy5bkDQAAAAAAAAAA - AAAAwC0opWwpjK211towDBdB1/e01trx8XFEbNM5Ozs7Ojpa1/WiPuU+s6Wtp5SGYTg7OxuGYRxH - +bXAfaz3Pk3T4XDY0osjYrfbbRnGd/rS4LartZZSLv7ll1Jaa+M4Lstys0MNw9BrG8dxPswlR63x - WZ/1nzz66KPjOK7z4cEHj09OTqZpqilN03QL4wMAAAAAAAAAAAAvmPuzXgIAAAAAAF5w7XA49N7/ - 8B/+2mm/f/Ob31ZK9B7zYY6Ii8L73nvErfT3Wdd1Wzk+Pt7SuyMi59x73xpnHB8fb52S9A8CAAAA - AAAAAAAAAAB4PmqtEbGua2ttmqYtvftwOGxhkPeuLbH7cDhM01Rrffjhh3vvL5K8yVJKXH9lAe5j - h8NhSy/eYozXdd3v96oOeTEopSzLMo5j732/3+ecW2sXxbk3OVQ6rOt8WIch19quXp0e/eAHPv0z - Xnr16tW+Lh/72MceeOCBlFJr7fz8fLvHAAAAAAAAAAAAAO5OorsBAAAAAOCTaCkiIj+pN0WOiBTt - 4u8p52VZSin7/dWv+oo/eH56+t3v+N6ISClyTmutOedpms7PzyNFKrnXFjejlDIMwzzPJycnwzBc - pHenlI6OjrZuAsMwRMQ0Tdtfn++0AQAAAAAAAAAAAAAAXpRSSjnnLYhxXdct+nEr2bjTl/a8bBmT - wzCs67oVp+z3+3t9Us8h5zyO4+Fw6L3XWrfSm1KKAG/gfrX9wGqtbe97W1niuq7bGyDc33rvW273 - uq6Hw2HbmHO+2Z/7OfphniNitxsPhyXn+Kmf+qnP+ZzPqbXO81k9LC996UtPT0/neT46Orr8aQAA - AAAAAAAAAACXyudoAQAAAADgEqz1ME7DYV7Pz0+HYfjqr/6qs3l+97t/sLXIOUetrbXz8/Nt55RS - f+7hnqbWWmvdGj/13ne73dYgaV3XrffT1kej1ro1Fbr0CQIAAAAAAAAAAAAAALxI9N4jYl3XiNhC - T0spFxmQ967e+5UrV87OziKilLKu6/n5+Varcqcv7bbovc/zPE3Tja9dSukOXhLAbdV738oMt/LD - iFiWRb0hLxK11u227cqVKxGx2+3Wdb3Z3O6I6NFzysOY53mJiEcf/cDv+YLP//jHP/7www8uy5Jz - /s3f/M2U0kMPPXR2dlZKuV/vowAAAAAAAAAAAOD+ILobAAAAAACeUYuIiHzDlvzUXfr1LanlSDli - nMq61FLyS1/60m/4hm/42Md+8y//5Q+dnx9yjt6j9xjHsqy13Xyp/0X1fmut915rvUjvvtihtZZz - 1j8IAAAAAAAAAAAAAADg+cg555xrrb33LcB7++udvq7nK+d8dna2VaP03nPOrbWUUmvtTl/a7bJl - rpdShmGY5zmltL2gAPellFKttZQyjuP2djdN0+FwuNPXBS+E/X5/fn6+JXZHxDzPEbHd7dzUOEMZ - 1roeDq2U9Na3vvk1r3lNa+2hhx46OzkZhmEcx5zzbnd07dq1cRxrrflpxccAAAAAAAAAAADA3cMH - /QAAAAAA4BKUUs7P51JKKWUY8mOPPTZO5Vu/9Vu+/uv/2DTl3iLnLVE7R49xGG92/K27U++9955S - SinN81xK2YK613Xdcru3nS/yvAEAAAAAAAAAAAAAALhZrbUt9HEr3Mg5L8uyrd/TLqIrr1y5sgWT - 3x+R5M9hq7Kptc7zPE2Tohvg/nbxxr6ua0op53w4HLJgYV4czs/PI2Ke55zzOI5xS7ndEbHWNeco - JV73uj/xzd/8htbXnPPp6el+v4+I1lqt9fz8fJqmZVm2EwEAAAAAAAAAAAB3LR+lBYC7Tkqp9yil - tNZSSqr+AAAA4I7IPeeec4+LJaI9Zenp+hLRWozjri4tRazrOk1D1LWk+vb/+S1//I//sYhote93 - 47osu3G/LDUiR+RIESkiYhiGUspzX1JrbXtQsAV4R0StNeecUhqG4aIJ1PZIYTtkWZaL/T1kAAAA - AAAAAAAAAAAA+NRdlGNsoY/3R2nGNovT09Nt/RbyLO8tN07wcDjcwSsBeAHc+MZ+sX7fv9XDU7TW - tura5/7HP+QxRU6RxzJtK/vpKEXshhQt/tBXfvl3ve2ty3xWoi/nZ/txqLVuDcFKKSn11tZhyLUu - L9S0AAAAAAD4hOvdFgEAAADgkxPdDQAAAAAAl6Hn6E9+6p5apBbR/tx3ve2P/JGvvXJlmuclIpZl - SRE555Rzyk8csq5ra20Yhps97dY4YMvqzjkfDoec8zZg730cx+2rh8MhpbSu6/OdJgAAAAAAAAAA - AAAAAAAA3MtqqxGxm3ZrXbeV+TCPw7is/Qu/8PPe854fqHWZpqGUst/va613+noBAAAAAAAAAACA - WyG6GwAAAAAAbqNpmnrv7373u1/zmtdsW4Yh55Rba721XluKtIVt99577zc7/jAMF/ncpZTtdFsK - +JbnfXJy0nvf7XbLstxCNDgAAAAAAAAAAAAAAAAAANxPSk45xXw4i2i7aVyWOaIt6/K5n/uf/viP - /3it9ejoqJSyLMvp6elWCAwAAAAAAAAAAADcc3wEEAAAAAAAbqN5nqdpqG15z3t+8Cu/8ssjYlmX - 1teItkVrb3Hd23qt9WbHX9d1WZaIOD4+3gaJiJxz7/3s7Kz3fnx8vA17C7ngAAAAAAAAAAAAAAAA - AABwn6mttt5SpIhY17X1No3TK1/5GR/4wAf2+/3R0dG6rqenp8MwXL16tbV2p68XAAAAAAAAAAAA - uBWiuwEAAAAA4BL0FD1Fj9yf/Ox9GHNEpFav7Kf3ve+HvuzLvnjbnnMuJW1h26213vv14O38LMsz - K6XsdruIODk5GYYhpSfGTCltfQEiYhiGiJimaQv5BgAAAAAAAAAAAAAAAACAF7NhyLlERERq45gi - tfe///2f/dmffeXKlXVdU0qllHEctwDvO3ytAAAAAAAAAAAAwC0R3Q0AAAAAALfXfDgbx7HWJeX+ - wQ8++gf+wJdERG9tXdeccylbWX9cj+6+ObXWeZ63+v/e+26328ZZ1/VwOIzjWGvdduu9j+N4edMC - AAAAAAAAAAAAAAAAAIB7z243rmurte92pda+LP1HfuTR3/t7v3Ce5/Pz82ma5nnOOa/rGhHLstzp - 6wUAAAAAAAAAAABuhehuAAAAAAC4RC2i3fj33vs0TWVIrbW2HK4e7X7ovT/46ld/wX4/pYhW6zjk - FJEiUiq38Ny+lJJzTim11rYY7y29exiGaZq2HVpr2z6XNksAAAAAAAAAAAAAAAAAALg3zfNyfaVG - xLve/ee/7Mu+9Nrp41eu7Esp5+fnu92u955S2u/3pZQ7erEAAAAAAAAAAADALRLdDQAAAAAAt9EW - m33t2rUypFJKa+vDDz/4Yz/y6G/7ba8axxIRtdZtz1uL1t4O771v9f8ppXmeSynbaOu6bhew7dx7 - v5xZAQAAAAAAAAAAAAAAAADAPWuanijA/bZvf+PXfd3X5RLTNCzLUmstpeSca6211rOzM9HdAAAA - AAAAAAAAcI8S3Q0Ad5eU0pahlXPOOW+ZW3f6ogAAAIBbl3Ne13Wapt57LtGj1rY8+ODxz/7sz3z2 - Z3/WOKZ1bTnHMOS6HvbT+PTDU+oR7TlO0VrbnidsAd4RUWvNOaeUhmHIOS/LklJqrV08Z1iW5WJ/ - ed4AANzTLm5oL1aeaJ0FAAAAAAAAAAAAAADwLA6HlnO89rVf86Y3vSmltK6HiOi9b0HdrbWtD9g4 - jrXWO32xAAAAAAAAAAAAwK3QqhgAAAAAAO6Aq8dHP/uzP/OKV7y8lGgtWmsll/kwP2W33vtF3vZN - aa1FxHZszvlwOGz5heu69t7Hcdy+ejgcUkrruj7f+QAAwB2y3fpGREppnuec88UWAAAAAAAAAAAA - AACAp5umoZT06le/+nu/93u3MoRpmpblqXW+AAAAAAAAAAAAwD1NdDcAAAAAAFyCllpLraVoF0Hb - PT/rEjGkeODq0f/xv//8K1/+GVt6d2215JIicqTrcd2t93pr6d3DMFzkc5dSpmnqvbfWhmHYRjs5 - Oem973a7ZVmGYbis/w4AAPBCqrVud7Nbn6yHHnqotbbdCQMAAAAAAAAAAAAAADyjw2Htvb/rXe+6 - cuVKzjml1Hsfx92dvi4AAAAAAAAAAADgMonuBgAAAACAO+BwOOx24/HxlZ//+b/+yle+fNtYW336 - nr333vvNjr+u67IsEXF8fHyR/J1z7r2fnZ313o+Pj2ut2/i3Pg0AALijtrvZ1tq6ruu6Hg6HiNhu - dAEAAAAAAAAAAAAAAJ5RTjlF+bZv+47HHvt4q7Hb7R577DEltwAAAAAAAAAAAHCfEd0NAAAAAACX - rKVo6SnbckROERfL/mha1nkY88te9mkf+fCHf8srPzMixrFEtB6195rS9fL+7YCbVErZ7XYRcXJy - MgxDSmkL8E4pHR0dresaEcMwRMQ0TVvINwAA3HO2e9qImKZpGIbee0qptXZnrwoAAAAAAAAAAAAA - ALj75Iul915b/ZVf+ZXv+Z7vGcfx7Oxsv9/v9/s7fYUAAAAAAAAAAADAZRLdDQAAAAAAd8D5+Vkp - Oed8Pp9+1mf91r/ykQ9/+qe/ZFnqxQ5b6OAtj19rnec5pVRK6b3vdrtttHVdD4fDOI611m233vs4 - js9/RgAA8MK7SOlurR0Ohy3A+yLPGwAAAAAAAAAAAAAA4Ol6pKEMh8P6C7/wfz366AdzGiLy6enp - nb4uAAAAAAAAAAAA4DKJ7gYAAAAAgEvRItqTt+QbloiI6PmJJWI/7VK0s7PT/X4fEa961as+/OEP - P/jg8VBuTBnstxzeXUrJOaeUWmtbjPeW3j0MwzRN2w6ttW2fWzwHAADcaTnn3nvOOec8TdPhcFiW - ZV3XO31dAAAAAAAAAAAAAADA3SlHRE55rWvOkVJ63/s+8Df/5t/c7/e9K7kFAAAAAAAAAACA+4ro - bgAAAAAAuCPSYV6nadrtdufnpznHb//t//lHPvKhaTcMw8XT+9T7LY5ea42I3nvvPaWUUprnuZSy - BXWv67rldm8791s+DQAA3Gnbre/253a7W0q5w9cEAAAAAAAAAAAAAADcpVpEtN72u31rsSw1It7y - lrf+43/0/5Q8atEJAAAAAAAAAAAA9xOfCwSAu8tFUFZKSWgWAAAA3ENyz7nn3ONiiWhPWXq6vkS0 - FsMwpp4O5/M0Ta2tOdb/4nf9jp/5mY/k3HOJlKL3XsoYPUfPkeITy6emtbY9XtgCvOOGPO9hGFJK - rbUt2tBTCAAA7l3DMPTet7ju3ntKabvvBQAAAAAAAAAAgOcjXbetbx9U455TSrl4ES9eUID7Vc45 - IoZh2P662+0iwo8wXjwuftZP07RtuVi57oaa32jnh7lH9IiU82Hp3/ptb/43/+bfljLmPETkWntE - DMOwrHPKPVKL1K6Pk29YAAAAAAAAAAAAgLvXcKcvAAAAAAAAXrSuF+R/olY/Pud3/md/9a/9zNe9 - 9uvLEK3mWusTu/Un7fZ8lFK2LMOtDUetVesNAAAAAAAAAAAAAACAG+W8lXXEMAyttVrrNE2Hw+FO - Xxc3YXvJcs6llHVd44ayGoD7Umst57yuaymltTbP8/ZOuIUZw/2t955Saq3tdrt5nrd/9s9989Z7 - L6XknJdliYh/9+/+/Z9+wxt/+q/85Mte9mkRcXR0NM9nEbHb7ZZlUYoLAAAAAAAAAAAA96L8yXcB - AAAAAABeKNM0fd7nfd5HPvKhrTVGKSWi7XZjRIseTyzPT+/96OiotRYR2ym23kMAAAAAAAAAAAAA - AABsaq3DMBwdHa3rulVhyO2+52wvWWttXdejo6NhGOR2A/e3YRhaa+M4DsPQe885Hw6Ho6OjDi8C - 23fBOI5bDvcwDDnnnJ+13+YwDBFRa724PRjH8Z//8//37W/7rtYiItdaz8/Pa63nZ4dp3D/56BbR - bsu3MQAAAAAAAAAAAHCphjt9AQAAAAAAwCcsy1JKefWrv/ADH3j/mx75lnVdpmma57PLGn8cx1rr - PM+73W7rPhDX+wsAAAAAAAAAAAAAAAAQEcMwLMuyrmtKqZRypy+HS1Br7b0PwzCO47qud/pyAG6L - WmtK6aJysPeecz47O3uO9GK4b/TeW2utte3H/TzPz71/rTXnfHHIdnswjuXv/f3/+53vfOe73vWu - a9f2Wl5CAAAgAElEQVSu7fdXIuLBBx88OTkZhiGSuG4AAAAAAAAAAAC4x4jiAAAAAACAO6HniHh6 - lf5+P52enk/T/r/50i/74Uf/4hvf+C3Lcsg5WouIG7tj3GJ5/9Z0o5RSa71oJbAsyziOtzYgAAAA - AAAAAAAAAADAfWYruNiSnreVw+EwjqPo03tLa21Zlmmatgj2raxGbjdwH+u9b8WDpZQtxrj3Po7j - RZg33N+Oj49PTk7Wdb34cb99Rzzjzlu2/fYNklKKiwrciL/2V//6yz/zFY+86Y2llHk+Ozk5naap - tRY9P6kuuN/2GQEAAAAAAAAAAADPkzoQAAAAAAC4i5yenk7T0Hsdp/IlX/LFf+EvvKdHtGeo3r/F - J/xbRHfvPSJaa1vHKLndAAAAAAAAAAAAAAAAz2ZZlt1ul1Lq3FNSSrvdTmAt8KKy5XbXWrfiwWEY - vA3y4nFychIRWw53PGdud0QMw1Br7b1HxLIspZSt2LZF1BY/9L73/92/8/dOr53V2q9cOV6WZx0H - AAAAAAAAAAAAuJsNd/oCAAAAAADgxevpkdz7aUopLa3WGtNYvuor//uz05N3/LnvbT0i2o2J3f1J - 6d3tUzzjsizDMKzrGtebDozjeHZ2Ngx+ZQAAAAAAAAAAAAAAAPCE3vs4jofDoZQyTdOWhHqnL4qb - VmvdXr5tZYvnBLiP7Xa7eZ5ba621Usr5+fl+v7/TFwUvhO1uLaU0juOW2P0cud0Rsd0V9N63qtvt - biEiUqTIvbV45M/+mZ/+6Z/+vM/7XXFDHHj0HBGR2if+7PmZhgcAAAAAAAAAAADuCj7nBwAAAAAA - d5He+9YUI+fIOZeSvvZr/4d3vOO7Lmv8lNJFbndrLSLWdZ2m6bLGBwAAAAAAAAAAAAAAuNellFJK - 8zxP07QlduesWdM9aXvhtvz1eZ63V/ZOXxTAbTTPc0SklLZY4v1+v63Afa+Usq5r7/1wONRat3/5 - z3ELV2sdhqHWuq7rxW4ppZxzaxERZ2fLG97wxv/wHz56fjanVLTuBAAAAAAAAAAAgHuRz/8BwF1K - sR8AAAC8iPQc/UlP7MdSorXUa7R1HMs3vO7r3/72t0ZERItoOUVEy3Erzw4uumxc9B3YNl504tiy - w7ftFysAAAAAAAAAAAAAAAAvHluRxW63u9iiBcQ96sYXbntBRdgC97eL971hGLZ3PD/CeJHovQ/D - sKV3x/Wf+FudbCklIsZxjOvfEduf67pux16U0/bea6s55ZxyRHz0o7/x+te/vqVYaq21juOutbY1 - BzscDsNQtpEBAAAAAAAAAACAu5bobgAAAAAAuPu1b/qmP/WmN70h54iI1m9LonYpZetEkHOOiFrr - tgIAAAAAAAAAAAAAAAAAAHetZVlqrVt69xZdn1IahiEiaq0Xu/Xer169upXTPqMUqfWWUhqHcZqG - f/bP/sXrX//6YRjHcTfPcylj7z16Pj4+fvzxk4vwbwAAAAAAAAAAAODuJHIDAAAAAADugJ6ip4jI - n3hW33P0Z3hunyNyjxztO9/y5v/pf/yTU4mIGIbcow4lp4j0xF7P+5J6Pzo6aq1FRGutlKJlAAAA - AAAAAAAAAAAAAAAAd7lpmiKi1lpKWZYlpdR7X9c151xKmaZpWZaIKKVcu3Yt52cty23RyzCsrR7W - Za21DPkf/IN/+P3f//0tYtzvzs/Pt9jveZ53u904ji/YBAEAAAAAAAAAAIBbILobAAAAAADuJs+U - 3h0RtS4R7a3f+R1/5I++NueotaVIa70xWvt5PfMfxzHnvHUK2LoGRMQwDM9nTAAAAAAAAAAAAAAA - AAAAuN1aaymlUkpK6fz8vLW25XO31mqth8Nht9tFxEUJ7XMPFRE551p7rW0cy0/+5Ec+9KEPr0vb - 7Y52u6N1XUseh2HY4sABAAAAAAAAAACAu5bobgAAAAAAuOPy9SUiInpOT1kijo6O5vkson3P97zj - j//RrysRPfo4jM8wWIpIN30Fy7K01koptdZhGFJK28bnMysAAAAAAAAAAAAAAAAAALjdcs455957 - a22/3x8Oh1rrtj0ixnGc57mU0lqbpmkL535mKVpv0zSk1COi91iWGhE/8AM/8Eu/9EullHVdI6LW - utXkvjCzAwAAAAAAAAAAAG6N6G4AAAAAALh7POtz+5NrH98fTT3qbje+4x3f/Ye++isiYlkvLVp7 - HMeI6L1HRGvtohPBZY0PAAAAAAAAAAAAAAAAAAC3w7IsEXF2dpZSiohpmq5du7aVzV58tdaaUjoc - DlsV7bOZduPhsNbat3EiIqVY13jb297+y7/8y+fnhwcffLj3fn52uHLlyu2eFwAAAAAAAAAAAPB8 - iO4GAAAAAIA7okW0G/+e+rbk1HNsyw07H+126+FwdHQ0z3NK6b3vfe+Xf/l/m9IzjHNrlmUZhqG1 - FhGllIgYx3Fd1+c/MgAAAAAAAAAAAAAAAAAA3D7jOLbWjo6OUkpbYvfVq1d777XW3W4XEaWUUsr2 - pa2c9tksyxIpxt0QEYfDoUf0iJTi4x+/9oY3vOHs7Ow3fuM39vt9SunsdH5BJgcAAAAAAAAAAADc - ItHdAAAAAABwD6i1bQ0ChmEoJfVeH330/V/8xf/19a/fEODdb+Xhf0ppC+oupWwdB9Z1nabpMq4d - AAAAAAAAAAAAAAAAAABul1przjml1Frb6mRba1uM9/n5eURsVbqllOcepwxDbzFO03JYI+J6pW2K - iN7j//v1j/6J172utTg9X4dhynm4zdMCAAAAAAAAAAAAnhfR3QBw90op3elLAAAAAG63drH09LQl - 4vqSUyo5j9FS9J5zTqn3uvxvP/Gjr371F4xjiutPEkoZI3LJ43OfNT1pySly7337Uq31Yr33vnUl - 2Na3VgURcbECAAAAAAAAAAAAAAAAAAB31kUmd855W8/5Sc02W2vjOH7S9O66rNFjmdfoOSIfDmts - NbY9cklrjV/5Z//i29/8lsh5bSmVcV1brXUr8m19LUPqUVPukVqki2rcfMMCAAAAAMCtSylJcAAA - AADgpvjoHgAAAAAA3AN6S9sS/YmY7ZR6Sv3Hf+JHP//zf3fKsW1srQ1lqLVf4qlLKdvgW5OCWutT - uhUAAAAAAAAAAAAAAAAAAMDdZktwuSjCnaap1vqcRzxrwPZWvZtT/NLf+js//IEPzoe1RTzwwAPT - NLXWUkqllC0afF3XS58IAAAAAAAAAAAAcAtEawAAAAAAwL2h9x6p9agRkVLKOZdSHnrooR/+4R/+ - Pb/nd29p2r33ta7jMH6SoW5YIlpEe+7zHh0dtdYiorWmZQAAAAAAAAAAAAAAAAAAAHe/dV2XZYmI - 3nut9XA4bCW6n9rRn6jA3ap6c86tRe/xwQ9+8Od+7uemaXrsscdPT8+HYZjnOachel7XdZqm2zYh - AAAAAAAAAAAA4CaI7gYAAAAAgHtEar333vsnNqR0dnb2ild+5gc/+Ojv/NzfUUqKaMOQl3W+rHOO - 45hznud5t9tdnHoYhssaHwAAAAAAAAAAAAAAAAAAbodhGMZxjIhr165d1Mm21m52nC35u7W21dj2 - Ht/3fd/3i7/4i/v9/vj4uLXY7XbruqaUaq2XOwUAAAAAAAAAAADglonuBgAAAACAe0DKPaWUUopo - PWqkFqn1qLvduK7ryz/jMz/0l3/yVa961TRN63ooJaWIFBGRn7Y8VY/oT9963bIsrbVSSq11GIaU - 0rbxNk0TAAAAAAAAAAAAAAAAAAAuRa11nueIuHr1aq21934R4P2cWsST4r1771to97quEdF75Jzf - 9ra3/eqv/urp6ekwDLX2dV1ba8dXH5zPl+g5um6fAAAAAAAAAAAAcIf5MB8AAAAAANwDLnK7r688 - YV3XZZnLkB5++KG/+td+5hWv+MyIqLVe1nnHcYyIrQ1Bay3nfLERAAAAAAAAAAAAAAAAAADuWqWU - 3W7Xe99qbw+Hw6cW3f1UW21vKaWUEhFXr149HNaP/cbj3/zIm65dO7t27ayUcuXKcUTM86wOFwAA - AAAAAAAAAO4SorsBAAAAAOAe0HvtvfYUPT1p+34axlwef/zxo6Ojl77kJR/5Kx/6rb/lFdd3ySni - Yrku39RvB5ZlGYahtRYRWzeBcRzXdX2+8wEAAAAAAAAAAAAAAAAAgNup1tpa673nnEsp0zTN8/yc - 6d0toj19a++9995aq7UeHx9fu3YtIq5ePfpX/+rfvunP/tlhms6XQ43ecxmGYZ7nT2VMAAAAAAAA - AAAA4HYT3Q0AAAAAAPewZVlyid1uXOuhtuXlL//Mn/7pn3rZyx6+rPFTSltQdyllC/Be13Wapssa - HwAAAAAAAAAAAAAAAAAAbodSSkSklFJKW/z2brertd7sOBeHp5ROTk5yzrvd7tq1s91u/Ef/6J98 - x3e8eSjTurTW2uGwHh0d3YapAAAAAAAAAAAAADdNdDcA3F1SSttKKSWl1Fq72AIAAADwdL33iMg9 - 6mGeSt6N5eGXPPjzP/9zn/7pL80pIlqKHhHTOKWIG54y5E/xdwTb+BFRa71Y3zoLbCvLslzsvGV7 - AwAAAAAAAAAAAAAAAADA3SDnvFXFbgHeEVFKuaiZjeuNv7aQ72fTe9+qaLcDW2vzPEfEPC8R8Qu/ - 8It/6S/9pa1jWBrKobZSSq11+7P3Pk2TIlwAAAAAAAAAAAB44YnuBgAAAACAe9jWDuBCKenq1asv - e9nLfuInfuzTP+Ol8USngHZYDkMZLve8m3Ecz87O1nWNiJz93gEAAAAAAAAAAAAAAAAAgLta730L - 4Z6m6WLL8xnwve/9i3/jb/yfu+koeh6GYVnqfr9vrQ3DUGs9OTmZpukpRcEAAAAAAAAAAADA7SZC - AwAAAAAA7gE9RX+mevwn0rJTi9Raa+u6luhXj3Zf8F9+/gc/8P4HHjha61pyiWi995ye/HuBdMNy - k7buACml1trR0dEwXGYuOAAAAAAAAAAAAAAAAAAA3CZbnWzv/XA49N53u90Ws30zY+SISDn3iB5x - dHX6rj/33X/37/+9iHj88cevPvjAxz7++LIs0zSN4zhNU+91XQ+3ZTIAAAAAAAAAAADAsxDdDQAA - AAAA97Dee+89IkopKaVa67qura3zfPb7vviLfuzHfvSBB45arxFR29J6u6zzttYiYrfbpZS2C4iI - w0HLAAAAAAAAAAAAAAAAAAAA7mq11ohora3reuXKlXmeLzbejNxaSymVUk6vHVqNN77xkX/9r//1 - Sx5+6a//+q+/7GUvyzmfnp6O49haq7WWUm7DVAAAAAAAAAAAAIBnJbobAAAAAADuBT0/49JbajWi - pRxlKGkoqeTIKZWSHn/8sS/54i/6sR/54H4/RsQ4jBGXFt2dcx7HcZ7nnHNKaQvtnqbpssYHAAAA - AAAAAAAAAAAAAIDbYUvRzjmXUh577LFty6ccrZ1v6OSZe/Qt87vWfjgcHnnkkV/7tV/7tE/7tMce - e6yUknM+Pz/POR8OB9HdAAAAAAAAAAAA8AIT3Q0AAAAAAPewLTm7995aa+2JZO7ea48a0a5de/z3 - //7f9+ijPzxNuUe9xPNu50opRcQwDL33iDg9Pb3EUwAAAAAAAAAAAAAAAAAAwKWrta7ruq1sdbK9 - 923LzStbY8+rV4+Wpf/qr/7Lt7zlLdeuXYuI1towDMMwpJT2+/3hcLjMOQAAAAAAAAAAAACfjOhu - AAAAAAC4J6WIFJFTKjnnnFtrtdbW2hbjnVJ68KHjlNK6rq95zWve8573tNb2u/2lnT2lZVl67znn - WutLXvKSdV2vXLlyWeMDAAAAAAAAAAAAAAAAAMDtUEoZhmFbqbVGxDiOKaVPdlx+Wg/PvI0TOV27 - dpZz9BT/8B//k3e84x1XrlxJKZ2dne12u9PT06Ojo9sxEQAAAAAAAAAAAOA5iO4GAAAAAIB72Lqu - EZFzzjmnlHLOpZRSSkR89KMfvXr16roe6jJ/7dd+zQ/90HuXdU7RYltSez7n7b1HREqptZZzPjs7 - G8dxuxgAAAAAAAAAAAAAAAAAALjLbYWxvffe+8nJyacQ3f1UZcjrsozTFL1HRKsRETnHz//cL/zI - B3+s57K/evz4449feeD4Yx/72DiOlz0DAAAAAAAAAAAA4LmI7gaAu0vvffvsfs55C77acrAAAAAA - nqJH9IiUc+u9ttYjIpXWU23Reuo1Hnrg4fn8fBzHZZnbeviKP/jl7373OyMiUuz3U/TIKZdcrg/0 - tOUGT/5KTtd/v7A9uGjtiRTwcRwvHmX03mutN+4GAAAAAAAAAAAAAAAAAAB3iWEYtj9TSsMw1Fov - 0ruPjo4iIqWU841NO9tTlroeItpyOI+LUtoerUYZ8g++5y/8rb/9d+fDWqZd5NRz6rlHainaOORW - l+h9HIZW6zOV9gIAAAAAAAAAAACXQHQ3AAAAAADch1JKh8NhXdfdNB0dHa3r4aGHHviar/6qH/zB - 7xtynM+HiGit1VojcinjZZ13mqZ1XbfBSykRsa7rRZ8CAAAAAAAAAAAAAAAAAAC4O9Vatzzvs7Oz - iEgptdY+5aPzxbKubbfb/Zk3fcs//ae/vKytrn3a7+Z57r2v69p7H8cxpbQsS+99K8gFAAAAAAAA - AAAALp3obgAAAAAAuA+llLai/dPT01prrfXk5OTo6Oi1r33td37nW1KK/X7a9tztdrXWJx3cI/pz - bGgRz9plYF3XcRwjYmsT0FobhmFZlsuaFwAAAAAAAAAAAAAAAAAA3CZbVWzOuZTSWtvKZm/BPM/L - Uh955JH/+B//Y2ut9z5N+2macs619lp7a62UMoxjbe1ppb0AAAAAAAAAAADAJRDdDQAAAAAA96Fa - a+99HMd1XVNKV65cqbVeu3ZtWZZv+qZv+tZv/TPn8yEipmma5znnS/t9wdaAIOfcWouI3vvFRgAA - AAAAAAAAAAAAAAAAuGullCKi995aq7XG9STvWzOO5df//W+87nXfeHJyWtdea10ONechpdR7773n - nIdhWNf10iYAAAAAAAAAAAAA3EB0NwAAAAAA3IeGYZjnOSL2+33vfVmWUtLVq0fDmHvvj3zzG//0 - 6//UNA2HwyHn6L0+cVjP0XPEjcsn9Ij+yc67NSBIKQ3DUGstpbTWnk9XAgAAAAAAAAAAAAAAAAAA - eMEcDoeISCntdrubOa5FtG0t5yEitvDvf/kvf+2tb31rSqW1OBzWHhEplWHIpSzruq7rlhcOAAAA - AAAAAAAAXDrR3QAAAAAAcB8ahqH3rXg/reu6LMsWoZ1z7lGnaXz729/+jd/4jRHRWuu9f/JQ7k/5 - vNtK7323283znHMex/FyRgcAAAAAAAAAAAAAAAAAgNumtTZNU++99z7P8zRNtzbIlSvHrUXOsZvG - v/23//673/39KQ/HDz48jrt5XmqtwzC01mqtpZRLnwUAAAAAAAAAAAAQorsBAAAAAOC+NM/zOI6t - 97XWaZquXLmy3+9rrdc+/vjV/dH5+fmyHN7y5m//k9/4/7N397GSZvld2H/nPC9Vt7tnZncna0gI - EWBYE1sBFNsC7D8SCckGQhKQsI2MX+QgliywaxaGMcYLxnbsXXDWMWthe1/Y3Vl7QcGSI4gNEohY - IiJRwouNhGw2xg5CISjsy+xMd99b9TzPOSd/nOna3nnv3p7t2zOfj0pXT9eteuqpulXVde855/v9 - +hTxTGpAe95Rg/ys0YQWL1bzvW3bMAy11ogopZydnfVsgnt41wAAAAAAAAAAAAAAAAAA4JVTSmmt - zfO8LMtLXDQ9/9nn5+f7/b7WOB7XaPHhD/34Rz7yY08++WRNERHrWiJyzmNKz3/99II7BgAAAAAA - AAAAAF4u1d0AAAAAAPAq1Fobx7HW2muzD4fDsizjOF69evV4PI7jOAzDPM/veMc7vuZrft/6kqkB - L1tKqZQSETnnlFJrbRiGF0oNAAAAAAAAAAAAAAAAAACAS6K1lnNelmUYhoi4uLiIiJzvOLezr649 - HA4RMQwpInKOd73zL/2jf/SPb1w/v3bt4Wmaejt4X417r+8HAAAAAAAAAAAAEKG6GwAuLY1WAAAA - wOdiGIZt23p/dq21r/BvrUXUUtYcdcxR1mUa8nd/93f9nt/zOyMioqbUImpEjOM4TdNt+8svc0zh - lA5wag1/VmpAa613e99+YQAALpse/xQR67qezqy13r8jerlaaz0e6448d2wupXT64Aonp1eBp8dz - 9ddR/5pzPv1KeJ8P6/m01k6H13+ml/M4AQAAAAAAAAAAAID7oq+xrbX21bJ9oe5zL/bMYoRnT0au - fTFva89MOy+lRUStsW31W7/1W3/5l//vi+NSI6+1pHHo63Aj1c+cAAAAAAAAAAAAgHtEdTcAAAAA - ALyGnGq8t22LiIuLi9e97nXf+73/3X/xu39nRIxjjoizs922beu6fnZ79+dknud+i6d4gm3bntuP - CADAJdE/NMZtBbfruuZ8GeeZrOvaP9+21uZ5Ph35HenXba1N05RSWpblgegp5/PsmUC0iIjov9eU - UjxVTk6vo4iotaZb7vdxPdu6rhGRUuoH2VvGL+FxAgAAAAAAAAAAAACff6epxbXWnPM4jhHR27vj - VqV3n0++2+369Ok7cuPG4Vu+5Vs++clPppSj5X6Ll3O9BgAAAAAAAAAAALwKmKIHAAAAAACvQi1y - e9YoQKqRam1bpNpaqXXb7+f9fv70pz7xute97r//7//if/6ffeW6lWkaLi4uIup+v+99Zs+WIu68 - 0WzbttvzCGqt4zg+//4BALgEaq29vnqe55TS8XicpukSVhSXUqZpaq2N47htW/+EuW3bne5nGIZl - WVJK67qO49j32T+7wu16Clsp5fRPEWm36+8S4zj2PuxTPt2lMk1TSqkfW0/KO/1AAQAAAAAAAAAA - AAD6ZOOcc59pvCzLtm19qUKfIF1K6Ust7mbnETduXvzBb/imG+c3z87OjsfjME8Xy2ftKkVNcelm - YgMAAAAAAAAAAMCDSHQsAAAAAAC8hvR+snEcx3E8Pz8fhiGldHHz+tVrZz/wA+/+iq/48kg1IuZ5 - PhzO7+Ht9t7unHNPJejtaP1MAAAuoZzzPM8555s3b0bEbreLW5/iLpVhGEop/UPmqcN7nuc73U8p - pZcN9/bulFIpZVmWV+CQeYCllG4vol7XtWextVepO318pmnati2ltG3bMAzDMETEqcP78ti2rSfo - jePYX/LjOF7CinEAAAAAAAAAAAAA4POvryno06GHYVjXdZ7nvuIgbi2sGIah3e0Ki5TS4bD80i/9 - 8uOPP35xcZim6fr1mw8//PA9vAsAAAAAAAAAAADAyXi/DwAAAAAAAHiFpc80kPVqw3U57Ha75VjK - tlw52+VhuH5+4+FHrr3/fT/6jd/wzf/05/55LynMOT6rvCzd/SGs6xoRKaVxHNd1HYah1lpK0d4N - AHA5lVLWdd3v91euXOllt+u69gLvy6bnYa3rOk3TPM/H4/Hu9rNtW0TM87wsy7Isd9H/zaterbUX - Ufdn3TRN/Xec3gNN78Detq2/jvqZKaWUPodfJl8BPTKv1tpa63Xs/Q3kfh8XAAAAAAAAAAAAAHD/ - TdPU1xRs2zaO4ziOFxcX+/2+r61IKe12u75yYRzHiNi22xfi1hfY62e0liLaNI1/9+/+L+/8i+96 - xzu+fRzH8/Pz/ErdIQAAAAAAAAAAAHhNM0MPAAAAAABeQ3qJ2rqupZSzs7OU0sXFxfXr1/fTPM9j - RP3wEx/8Lb/lSyLFPI+1vnRGwMvUAwgiorXWUwlyzqrRAAAurWEY9vv9uq69czfnvNvtern1pdIP - adu2aZpqrb0tuPfy3pH+eXUcx2VZcs69t7u1dq+PlwdbzrmUciqiLqXknLdta69Sd/r49N7unHN/ - JfaC82EY7vf9eLZSSm9bH4YhpbQsyzRN+tcBAAAAAAAAAAAAgK5PGh+G4caNGymlvho351xrba31 - 3u4+mfwu1llM0xSRl2WLFk888WM//uM/fu3atXVd7/3dAAAAAAAAAAAAAFR3AwAAAADAa0RqkVps - yzrm4ezsbNu2dT22VqZp2u/3F4eby3K4du3a2dnZjz3xkS/+jb9hWe5lL+O2bcMw9C7w3hreK9Pu - 4U0AAHAPtdZqrdM0HY/HU1FxL+K9VMZxPPWLt9bGcTx97Lwj27b1uu64Vc98e0MznPRXQa95Timl - lC7h6+J+6S+91lp/ZPqjdAkrscdxTCn1Du9a6ziOEZGzeXQAAAAAAAAAAAAAQGzbdlpMce3atYho - rfWK7t7eva7rbrf77Mnk+baNl5iZvK7rNE0RMU1DrfF93/d9P/MzP9NnNQMAAAAAAAAAAAD3nMhR - AAAAAAB4Dbl27dr169e3bcs591yAXqh29erViDg/P885pnn4yEee+A2//tekFBE1okaqke64/vB2 - p9q2fruttX7T9+ROAQBwz/XPiuM4XrlyJd3SP8tdNleuXJmmKaU0jmPv4r2LyKppmpZl6Vla27Y9 - 644/uF6Bp8Zr2qkVvrXWN3rL+/07osul1ppz7g9O/5pzvoSV2KfUvIhIKeWcSyleLwAAAAAAAAAA - AABARPS1CfM890nR67qmlPo6hT5lehzH69evf/Zk8jtYgZtzXtc157yuZRzjeIy3v/3tH/vYL0bL - z5zuTroHa4EBAAAAAAAAAADg1efSRaMCAK21lKJnmqeUTrHvAAAAAHeifmapf8vRcovcIi9rGadd - ymOLHGnYSqutpZTWpUSJs3mXW4wp3vDo65544sO/7tf+6kgxjBEtov+JIqXhjnsQc0Q+/Ymj1noq - cuvVaOu6RsQpoeBUhgcAwH1Xa738H8+2bbu9Rzlu9fLekf6h9HbLsnzux8arzKmXuseuXcJS6vuu - v2Pc/gvg5XwPaa09q2L8fh8RAAAAAAAAAAAAAHBZpFsiYpqm0/l9tUIpZZqm1lqfhzxNQ1/Su5s7 - RyEAACAASURBVNtNfeP2qzxXSzVS7S3g2xrR4uaNw3/zLX/4E596equ5lDaNu3WreZjW9ZhzPF8h - d/5MjuhnGrvbMyft3QAAAADAq9op1KL/FRcAAAAAXpLUUQAAAAAAIOJW+VwPDtiOh//wV/8H7//A - e3/Nr/lVpUTKMQwpIlJKZa3DMN+rG53nud/uMAzH4/Fe7RYAAAAAAAAAAAAAAAAAAO6JWus8z4fD - YRiGUsrxeOwLctd1jYj9ft8XyY7juK7ri7d3P8vhsD355FN/5I/8t63FPO9v3Djf7XbLspydnfWd - AwAAAAAAAAAAAHdNdTcAAAAAABAppYiotbbWdrvdfr+/uLj4wi/8wve/932/8gve0FqU0qLFkPI0 - z2Xb7mTfNaK+0PfWdc05l1IiYrfblVJyzq21z/X+AAAAAAAAAAAAAAAAAADAPVJK2e/3pZRhGHa7 - XV+ZGxEppV7jPY7jtm3TNL1I5fZpCW1rLedn4kC3rf7cz/3zt7/97cfj8ZFHHjkej8MwlFan3fzM - 1VKN9HxrdZtAUQAAAAAAAAAAAHgxZtoBAAAAAAARET0sYJqm8/PziKi1XlxcvOlNb/rwhz/8xje+ - PlJM07Bt27os9/Z253ne7/e11lJKTxw4pRUAAAAAAAAAAAAAAAAAAMD9lXPuK2F733ZrrdbaV8Xm - nHt1d//6Ir3dt2uttdaGYej93eOY/87f+Xs//MM/fH5+2O3OIqJsrZTyMnbUM0XTMydl3gAAAAAA - AAAAAHAb8+oAAAAAAIAYx7G1djwep2mKiHVdd7vpypX9+cWNL/qiL/rgBz/4xje+vpSSUkTUlNqt - 6+XnnO7Ysizbtg3DMAxDDyx4WVECAAAAAAAAAAAAAAAAAADwyluWZZqmYRhSShGRUuq93a213ued - UurfTSn11bLPr7YUqW/269YaEbFtNSL+8g/96N/6qZ9a17W3em9rfd5dRHz2+eq6AQAAAAAAAAAA - 4AWYYwcAAAAAAMS2bfM8r+taSrly5cowDMuynJ+fX7t2bVkPX/iFv+6DH/zgQw891FpM09TTBO6J - lNI4jtM03R5GMAzDvdo/AAAAAAAAAAAAAAAAAAB8LuZ5LqX07W3bIqIvid22rdZaax2GoZTSl83W - +ryV289orfVFtc/73T/zZ/7Mz/7sPxuGaV3LtWvXXu7xae8GAAAAAAAAAACA52OCHQAAAAAAEMuy - jON49erVbduWZWmt7cbp6v7s/Pw857yfd1/8G3/jB97/3ocfOtvWNUePA3jeUYZ8R6MPrbVt29Z1 - 7XXdPa3gxSMJAAAAAAAAAAAAAAAAAADg82bbtl7O3Vu6I6LW2ru6U0rLssStMu91XV9yb729O+ec - UoqUUs4RceXKPiLWNd7yx/7YP/+Fn8/TeOPi/A4OsWUF3gAAAAAAAAAAAPAsptYBAAAAAABxdnZ2 - 48aNU15ARNRaD4fDOI6ttZzzsixf+qVf+lf+yl85O5sitXt40/v9PiK2bcs5T9NUa+3ZBAAAAAAA - AAAAAAAAAAAAcN+N47htW19ym1LqC3JzzsMwtNaGYTgcDrXWWus4jimlF9lP36i1ttukSOfnh/1+ - johPfvLpx/7U45/8xJM5j9q4AQAAAAAAAAAA4HNhHh4AXEYpxYvMvAcAAAC450opfbV/rTWl1FpL - KQ3DMESbcjouF/uz+Xg8/Pbf/tve+94f7e3eZ2e7iBpRp2nqhd+3VW7nlzkGkVI6HA6nv4SM49h3 - VUqJiB430Ddqrff4PgMAAAAAAAAAAAAAAAAAwMswjuOpeLuvqD0tyO3/7Etie8N3Sul04YiY57mv - pd227XRmv3xEbq3VaC3icFgiIqX42Md++S1v+aPHwzqO4/GwTuPu9g7v29bzPnPGbScAAAAAAAAA - AADgM0ytAwAAAAAAXtCyLK21nHPOeRiGcRy//Mu//D3v+ctnZ7uLi4t5HiNiXY+9afs5S/1f2q1Y - gcg511p74kBvDT8ejymlHlvQL9ZvBQAAAAAAAAAAAAAAAAAALonW2jAMpZTTStvW2rZt+/0+IoZh - 6Mt1p2l6gR08c62cckrRl97+/M///J//89+5LNvVq1e3bdu2bZqmWuu6rq/8HQIAAAAAAAAAAIBX - CdXdAAAAAABARORnjxqkGqlO8zCMKdWyHQ856vHi5n4ev/qrv/r73vk905yWddvv54iIqMMw9OLt - O5VS6r3dwzBExDzP4zimlHa73bIspZRaa79MvwAAAAAAAAAAAAAAAAAAANx3KaWIaK2t65pSWpYl - 5zxNU18VezgcIqLWOk1TSuk5rdufWdub0hARpdUW0SIixcVh+8mf/Fvve98Htq2uazk7u3p+fsh5 - vHbt2rIsn72fetsJAAAAAAAAAAAA+AzV3QAAAAAAwAtqrbXWIqKUMk3Tbrc7Ho8tyn/5e373u971 - zpzjcFxyjogoZR3H8U73P01Ta62UcrqJZVl6BXhKaZ7niBiGIaV0PB77xQAAAAAAAAAAAAAAAAAA - 4L5rrW3b1ou6+9dSyrqutda+Pjfn3Iu9+z9fSEqpp4OmlCOitZjnsUW8+93v+emf/jvjOB8Oh2ma - aq3ruvbltwAAAAAAAAAAAMBLUt0NAAAAAAB8tlQj1b65bVspZZqHYUy1bjnHOOaUWmvl9/7e/+ov - /IXviIgWkVLsdrttW+70pk4BAb32e9u2s7OzlFJEpJRSSsMw3Lx5MyJ2u90wDPfwXgIAAAAAAAAA - AAAAAAAAwF1LKfUVsr2fu5TSWru9pbu1lnPurd59/ezzqrX29u7TZUppw5Ai4h3f8ef+yT/+pykN - /bYuLi4ibq0Fvv0EAAAAAAAAAAAAPIfqbgAAAAAA4AVN09QDAlJKy7IcDoda67Zt4zhuZfn6P/gH - /tyfe7y1aBF30dvd9f2XUq5du9Zau7i46DEE/bsppatXr0bEuq7btt2juwUAAAAAAAAAAAAAAAAA - AJ+rUkopZRiGaZp6P3cv8K617na7vlFrLaW8+H56aXcprXeBl1JKaXnMN24e3vrWb/03/8//O027 - WuvrXve6Z9q7AQAAAAAAAAAAgJeiuhsAAAAAAHgxKaV1XVNKu/00zcM0TSmlZTmMY04pfdM3fdPb - 3vaWiCilDcNwpzsfx3Fd13meW2s3btzoNzeOY621tTbPcw8piIhpmnrWAAAAAAAAAAAAAAAAAAAA - 3Hfn5+fDMAzDcHFx0VqLiFJKznlZltba8XiMiGEYxnHc7/f9As8rxVDrM+3dtaWUxzTkiChbi4gn - n3zyzW9+88c//vF1XW/evHn16tXn20WNVF+ZewkAAAAAAAAAAAAPKtXdAAAAAADAC9q2bZ7nlFIp - pScCpJRSbcMw5JzPb9wcp/z2P/G2P/pH//AwRiklot52eln7P1WDR8Q4jq2lbdsiYhiGZVlyzv1G - I+JFIgkAAAAAAAAAAAAAAAAAAODz6cqVKxFxOBzOzs5SSsuyDMMQEfM8L8sSETnnUsq2bb3G+4Wk - 3CLqOI4RUUtptbZa+xrbFmkp9f/6pX/1p/7kYzmPwzCVUqKJEgUAAAAAXrNOmYQvFHj4crMQAQAA - AHgtMN8OAC6XXkNVa+ScU0qttX4OAAAAwCvstgmmLZ9OQ57WpaQYchpbTa2mUkrOeYihbu3q/mxb - 1rat3/b4Y9/4B79+HCIPESnmeYyISBEp0pAjTqfn0W6JyNtW+yV7WXhv9c45j+OYUupnnq7SN2o1 - LxYAAAAAAAAAAAAAAAAAgPtjv9/3jXme41aSWM8Q6+th53nuG12v6E6p9VNErXWLqOt6vLXgt0aL - WmtLuUZqkVvE//q//e/v+PPfudW0ltTysJaWYhiHubU2jmNqLYe8MgAAAADg1avdFmaY4laBd30m - OFEBEwAA8Dnraee3z/GQf/4y9Qcq52d+NetzY+5Un3Jzmm8THv/n6I/M4XC43wcCDx5/OQIAAAAA - AO5YKaVtJSKmPLTWDjdvfNd3f+fv/5rfW2ukFMu6RcT+7Czisye5vrRnLtzaM+kAOeda67ZtEZFS - GobheDz2Gu/TAGrv8wYAAAAAAAAAAAAAAAAAgMsg53w8HmutKaVlWVJKOedxHFNKfdlsa22apl68 - /UI7OUVBj+O4bvV//Bs/+dGPfnS/Pytby3nMOZ+fn/f9x2cnRwMAAAAAvGqlGtHbu59FBxMAAPA5 - yTlfXFz0Ault20oppy5qXlwpZZ7nnhU/z/O6rnexk5RSKaXnz2/b1lrLOfdZMXQ9mX+/35dS+kN0 - v48IHhjezQEAAAAAgDuWc17Xdb/fb9s2z/MwDMuyfNd3fdc3fcMfiBrTlCLicH4RLXa73Z3suJ62 - egZBrXUYhoiY57nnEex2u2VZSik9rSDn3C8AAAAAAAAAAAAAAAAAAAD33bZtOee+xrbWGhGttVrr - KTP32rVrvXJ7mqbe5P38WouIaRp6tPEwpO/+7u/9m3/zb165cqVfvS/yHYZhqzWP1tsCAAAAAAAA - ANy9s7Ozw+FQShnHcRgG1cgvR58AsyxLSqmH1d9d5XlrbRiGdV0vLi56HH1EzPN8r4/3QbWu67Zt - /WHJOfeH6MXmHQG3Ge/3AQAAAAAAAA+enPPZ2dnFxUVr7eLi4uGHH/63/+7jX/AFX/D4449/6lOf - +nt//+9HKtFiHMfDxUUehlrKy953jYhpGtZ17TkCpZQ+7Nq/nVJqrZVSemP38XjsY9ivxN0EAAAA - AAAAAAAAAAAAAIA7Mo5jRJRScs6ttdZaSmkcx1LKfr8/HA43btwYx/EUp/sipnlel8M8z9u2lNKu - nE3f/u3f/it/5a/44i/+4oevXWmtHA6HYUzXrl27cePGkKfPy/0DAAAAAAAAAHi1ubi4mOd5v99H - xLZtwzD0OPS7K6J+TRmGoU+S6anytdacc631jnbSJ9j0tPlyB5n2rxW9q3td19NkpLg1SQl4Sd7H - AQAAAACAO9azAFprfUD0eDy+8Y2PfvKTH9+fze95z3u+8iu/MqUYx5xSi6itbreul190bKKeTuu6 - zvMct4b9tm07OzvrA4EppT56evPmzYjY7XZ6uwEAAAAAAAAAAAAAAAAAuFSGYSil9OWxtdZt21pr - FxcXp8WzEbEsyzS9WN92LSWltCxLa2kc88XFevPm8bHHHvvUpz61lO36+c39fh8t37h+rrcbAAAA - AAAAAOCunZ2dDcOwrmtE5JxPWej3+7guu5RSrbXW2lqLiN50fqe93RHRH+qUUu/tTintdju96Sfb - tvVHZhzHeZ57RD/wMnkrAQAAAAAA7ljOeVmWYRiGYRjH8XA43Lhx45FHHqm1tig/8iM//BVf8Vu3 - ra5ryTn34dI71a9VSrl27VpPImitnUZJU0pXr16NiHVdezYBAAAAAAAAAAAAAAAAAABcBsuyRMQ4 - jiml4/HYQ4p7cu66rj1reBiGlFJPfH5e4ziWUnLOu92utdZaiohpSv/6X//bP/7H//inn3z62rWH - W0s5537Jz9u9AwAAAAAAAAB4lelR59M0HY/HXt09jmNrLfGiegr9OI4RkXOute73+7t4/FNKt/+z - /yA8/ifDMNRa+yNcSnn9619fSrmLinR4bVLdDQAAAAAA3LFpmoZhOBwOKaWLi4t5nh+59tDNp6/P - 87wsS2rxV9///t/2W790yNFqHfNw63o14mUN443juK7rPM+ttRs3bkRESmkcx1pra22e5x5G0I+k - j8gCAAAAAAAAAAAAAAAAAMBlMM9zRJRS1nXd7XbXr19PKW3b1iu9eyF3Xzb7IjvpkdA55+Px+PDD - D5dSWsSytYj4hV/4hccee+z8/PywLluLPM3tM+t5AQAAAAAAAAC4MznniLi4uNjtdrvdLiK2bRuG - ofGiSinzPG/b1kPjd7vd4XAYhjuex9L3sG3bbrcbhmFZFg/+7UopvcO73ZpuNM9zf9ICL8lLBQAA - AAAAuGPH47GU8vDDDy/LcnZ2FhHn5+dXr149HA77/X4cx5zzBz7wgd/8m/+TnKPU8jIbu0/6EOm6 - rn2odRzH1lrPF+gjpn04sH+3vWgqAQAAAAAAAAAAAAAAAAAAfD7VWnt88zRN67o+9NBDcWtJbF+H - W+sza2/7atkX0tfbRsTTTz/dL9kvva7xD/7BP/z+v/Tu/e5KRBwOh2maXtF7BAAAAAAAAADwKtan - c/SI9WVZent3KeV+H9dll1JalqVXStdaj8dj3O3j1lqb57kH4Ifw+edTSsk555zXda21vvi8I+BE - dTcAXC6nz/rDMPjcDwAAAFxaOedhGI7HYx9Lbq2llEop+2ksy7G2bZzyfr/7wAfe/5t+05f0q+z3 - c98Yx/Hl3ES7JSJ6aXdE9FvpKQM553EcU0r9zNNV+sYpsAAAAAAAAAAAAAAAAIDXoL66pK98idvW - p/Bg6WGOfbu1No6jSJZXSCmlxzj2xWI5C6l78OScTy+QdV17KKfoWLhf+jLYvn17qfbhcDgtgx2G - 4aX+a6utfeZVfGsVbbQU0y7XGh/60I9/6EMfSjHsd1f6p50ehTxN07ZtfRWwJbcAAAAAwKvAqY0s - pZRzGDMEAABeCT16vc/QOB6Pt0/54IWcYuHvyd6WZTltm/TyLP1Bfu50oGfNkTbPFp7LrGgAAAAA - AOCe6cv441ZQyyOPPPLEE098yZe8aRjS4XDow8zbtvWZry+zw/t2pwG/HhbQhwNTSr1HvNd4nwq8 - RYoAAAAAAAAAAAAAAAC8ZuWcj8djL7JdlmUcx23bhmFIPFBaa/M8xzMR/LkvTZIqeM8tyzIMQ855 - XddeIltrlTr6wOlxnLvdbtu2aZrWdY0ILexw2ex2u4uLi3mee7v23S2GHYZYlhoR05Te9a6/+DM/ - 8zOHw6HVNE273W43juOnP/3pa9eubds2jqP3cwAAAAAAAACAl+NwOLTW+pyrU4c3XGbDMPTnaiml - 5/OnlO73QcGlYyotAAAAAABwF/KzRxlSjVSHMdW2zUPOrV67sr95/an9PH7kIx/5wl//ayPFuq7D - kKZpaK3tdru7SxPoITu11mEYImKe53EcU0q73W5Zll4Z3i/TLwAAAAAAAAAAAAAAAMBrU19vknOe - 57n3dp9i6XhQpJSWZYmIdluY4N2tS+JFzPNca12WpffITtOUUurFzzxY9vv98XhsrUVE/yFK4YTL - ppQyTdP5+Xl/m22t3UW1di0RLVrEsrXDUh57/PFf+NjH9vv9xcXFuq7H4/HRRx/91Kc+1Vobx/Fw - OLwC9wMAAAAAAAAA4FWllPKGN7wh59wn0ZVStm0bx/F+Hxe8mJ7Jf5om3WcMmv8Jz+KtHAAAAAAA - uGdyzn1J/zzPN2/efPTRR2utaRye+NCH/uvf9/s+/vFPldpKKeOYj8fjXex/mqZ1XXu2Ts8m6Mk7 - EZFSaq2VUnpj9/F4HMdRezcAAAAAAAAAAAAAAMBrU4+fm+c5Inp4YmttGIbeaMuDotZ67dq1Gzdu - xK3G7ouLi7Ozs/t9XK9O/fVSSqm1juN4WqvFA+R4PO52u77dQ2OXZek/WeCS6Dm5/TNJSmkcx7uI - ym0tco5aI1rsz8annrr51re+9aMf/fFf9x/96mU5lFIOh8PrXve6ZVkOh8M8zz7/AAAAAAAAAAC8 - uD7jom+v69qLkLdtu79HBS9Hzjki+kyklNI0Tff7iOByUd0NAAAAAAB8blI9bd68efPhhx8+Ho9b - Wc7Ozp5++tO73S6l/Oi/9/qf+qn/+Wu/9mv/1b/6N+MU21oj4uzs7OLiIiIickRE1Ofb+2dZ13We - 52VZxnHctm3btrOzs8Ph0OMJenv3zZs3r169eooXAQAAAAAAAAAAAAAA4DVoGIZaay+q7P21x+Ox - tVbrS69h4VK5ceNGX0w0jmOt9ezsrG/f7+N6tUkpRcS6rtM09QxHvd0PopxzKaW1dnqNiOCES6XW - utvtaq0551pr35im6U7bu/tVxjGnlA4XW0T8u//vE29/+5984q++fxjSlStXlmXpa36feuop7+cA - AAAAAAAAAC9pnucedd7/2aeb9umL9/W44CX0cP6Li4vdbmfGIDyvfL8PAAAAAAAAePW4cuXK8Xic - pulwOFxcXFy9ejUiUmoRce3alQ9+8IOPPvpwRKQc8zzf6u2+Mz0vqZRy7dq1PhbYWutZMBGRUuo3 - uq6r8WwAAAAAAAAAAAAAAIDXsh5Fl1Lqy0z2+73e7gdOSmkYhv4T3LbtFIV5v4/r1eaUNzpNU++8 - 749544ESETnnYRh6z31ELMtySpIFLoO+HrZ/OGm33nvvYj3suq7DMNRa17VExDCk43H9J//kn33b - n/32q1evHo/Hq1evjuP45JNP7na7Uso9vyMAAAAAAAAAAK8y67qO45hu6TMV5ZxzyfX5SLXWs7Oz - nHOflXS/DwouHVPPAQAAAACAe2bbtpzz4XB49NFHj8fjuh23tY67eZqGcR5+xa9440/8xE/8/t// - NZ/61NM98qO121M/8m3bz5+CNI7juq7zPC/LcuPGjfjs8J15nkspPVNpmqZX8H4CAAAAAAAAAAAA - AABwuS3LMs9zSmld12matm0bx1Ea3QOnlDIMQ9+utfa1S/v9/v4e1atPrbVXwOace+poP1/r84Ol - v91dXFxM09RzY+d5Ph6Pu93ufh8a8Iz+/1oPd+6N2v0jyl3vKqKM87Sta6SYxuFv/+2//853vvPx - xx/fti2l1AN5I8JHIAAAAAAAAACAF9fnmvaE8z77tM+gM++Cy6w/P1NKh8Nht9uN42ieLTxXfumL - AAAAAAAAvDynOI+nnnpqWZYe8JFqK6Usy3K23/+qX/Xvf+TDH3700UciIqJF1Funl6WPW59GrHte - Uu/tHoZhWZaeIGA8GwAAAAAAAAAAAAAA4DVunufeiDlN07IsfdmL9SYPnN7bva5rROScl2XZ7/d9 - PRH3UH+cc849cvR0Dg+WaZoioodv1lpba+u66u2GS2UYhlprrfW03b/e6X76ctpSSkppW9eUc0Ss - a4kWTzzxYx/96F8/Pz+M41xKKaX4/AMAAAAAAAAA8JJqrT3zPG5lrfc5dff7uODF9GmfKaX9ft+f - riYNwnOp7gaAS6QvWzptd/fxeAAAAABe2G192y2fTrVE/zqNuyFP21r73zdy1Hkc2raOw/Al//EX - vfdHfuQNr78WLfofP8YxR9RINY9pGMeIiMi3nT5LuyUiTiE70zT1fIHTMPY8z6ft08W2bbuL/AIA - AAAAAAAAAAAAAAAeRKfu4Xme+4YojwdULySOWz/K8ZklSNx7p9eIF8uDqxf65pxTSqfXDnB55Jz7 - 6zRuvWBTSqWU04u3f+vF34dPq2Vba9GilRqt7zAujvE93/uu/+Mf/dOtRgxjiRTDWGvtmWallGGY - SmnTtLPiFgAAAAB4FbktHREAAOCu5JxLKX1727aUkjxzLo8+m6h/7TMD+zTp25P541aT9306Rri8 - VHcDAAAAAACvuFpr1BoRudXW2pf9p7/lfe9730MP7VvEvMvbViMi5VxLKds23Hl0zrquKaVhGLZt - 6/k7tdYeT3D9+vVxHNd1LaWM43jKLAAAAAAAAAAAAAAAAAAAgPvuFP18Ss7NOd9Fim7Pi16W+ra3 - /Yl/+S9/KVoex/F4PPaI3p7Sm3NurS3L0jN8AQAAAAAAAADoeoD5MAyttT7F4urVq/f7oCAioj8b - b2/p7tONTnOB+pkppePxeH8OES4x7RQAAAAAAMArbhzHlFJKqa/kX9f1y77sy37gB37g4Wtn67GO - Y46IVuo8zZFqKUtEve30svbfWtu2rd/EMAz95lprDz300PF4nKZpGIaIOA0fAgAAAAAAAAAAAAAA - AADAfdcXybbWan1mXW1fJHun+0mRImKex6efvvGWt7zlE5/4xLqu+/1+3M0x5IgopbRWpmmodWut - 3Nt7AQAAAAAAAADw4Kq1llLGcSyl7Pf73ot88+bN+31cEBGRc75582ZP2l/XdZ7nYRhyzqWUw+Ew - z3O/TCllt9vd74OFS0d1NwAAAAAA8IprrfWhu3meD4dDRKzr+jt+x+/4/u///nnOrbVpGiKiD0Xf - hVMSQWutDw32Gu+cc2ttt9v1zIKI6MOHAAAAAAAAAAAAAAAAAABwGaSUxnGstfYFs9M0bdt2Fym6 - KaUUaVm2YUi//Mv/+q1vfVstcTwel2Xbti3nPAxD38g5nxbnAgAAAAAAAADQ88x7knnPUR/HsTcl - wyXRWouI3W63LEsppdZ65cqV3W7XY/lba8Mw9MsAt1PdDQAAAAAAvOLK1q5eeeh4PKaUImK/38/z - fPPmzd/1u37XD/7gD9bWtlKmaSilTOMUdz6o19MBcs59u0cG9JyCPrDdxwvvuhocAAAAAAAAAAAA - AAAAAABeCcfjMSL6MtiUUl8228+8I6VF5JQildKGIf3sz/7cY4891gN5W0sRcQrqTSn1Nb8AAAAA - AAAAAEREKeU0eSMixnFMKUk155Kotc7zXGsdhuF4PE7TFBE556eeeiqlNAzDOI79YimldV3v9/HC - 5aK6GwAAAAAAeMX1Fu1SyvF4PDs7Oz8/Pz8/f+SRR27evPlVX/VVP/RDP9harGsZx3x343k55z5k - uNvtxnGstbbWaq3btrXWUkq9ybuHC2zbds/vIAAAAAAAAAAAAAAAAAAA3IXdbrdtW0/U7THQPf35 - rnaWh2Hq7d0p5Z/+6b/73h99/zTurl69Wkrr6b339uABAAAAAAAAAF4FhmEYhmHbtpxzznnbtnVd - 73b+BtxjOedlWU518qcw/z4X6OLiIiJSSiml0zQk4ER1NwAAAAAA8IobhuHJJ5/c7/fTNC3LcuXK - ld00HM5v7Pe7nNPv/Kqvftf3fc80RtlqihjufPiitbYsyziOx+Nx27Ze0d2Ht/vAds45Io7HY611 - HMd7fgcBAAAAAAAAAAAAAAAAAOAutNZOQc+ttdbatm2ttTvdzzzPtda1bDVai1i3utsNq883SQAA - IABJREFU7373e37yJ3/y6aefbjkdtxJDTuMQEbXWe3w3AAAAAAAAAAAeWL0Rubd311qHYRjH8S7m - b8AroU/1aa31jvmI2O12pZQ+6ejs7Ox0GSH88FyquwEAAAAAgM+H3W53PB6HYViWpQ/mDcOwrmsp - 5Xg8ft3Xfd33fM/3pBQ5x12s9G+tzfO8bVvcGiycpqnWWmvt3+pN3rvdTo4AAAAAAAAAAAAAAAAA - AACXR191e/s5pZRnnfNyLMsyjbuUUkSe5zkilqUMQ/zZP/sdv/DzHxuHOefcWiql9IDpe3YHAAAA - AAAAAAAecH0qRe/qbq2VUrZt673IcEkMw1BK6c/Sw+HQn6j9W+u65pxTSqcLACequwHgErn90+rp - Ny4fYQEAAIBXgVprzrkP6c3zWOvW/+gx5pjHPE3jtq1f93Vf+23f9qdqjXF85lo5R/8byTQNEfHi - I9TLsvSN4/EYEeu6nr7VBw5TSimlaZpOf2/pVd99Q6U3AAAAAAAAAAAAAAAAAAD3V865f+3LYE8F - 29M09Y3xtBD3+azbsS+k7Qtva4utxrLUN7/5zb/4i794upFxN2+tttb68tuISCn19F5LbgEAAAAA - AACA16w+76LWekoyP83Z2O12zzrnXjnNBunzRm7f4BI6/bxOG32Gz36/7/88Tci5C6eZPHHrmXaa - PhS3Fcy31tZ1TSk9d3LRMAwq5+FZvKUCAAAAAAD3TSllWZZpmnLOOedv/MZv/NN/+k9sW+QhIiLn - 3FoMQ9q2Mgx3P85XSjmNFO73+75x/fr1cRzXdS2ljONoHBoAAAAAAAAAAAAAAAAAgMvjeDz2jZxz - D9uNiF7pfQdapBSf/vT1t73tWz/5iSencRcRh8NyygjuYb6llFJKa82SWwAAAAAAAADgNSvn3GdQ - RMThcOgFyRGx3+/7RI4ebH4P27vHcdy2LaW03+9rrf3M0waXUP95pZS2bTs7O4tbMfiHwyEipmnq - s3HubuettatXr95+9VJKROScU0rLsqSUaq3H49EkH3j5xvt9AAAAAAAAwGtTjYic8zRNtZao5fzG - +Rte//pv+oavL6W8+3/4oWlO61ojxTRNh8NSyt2MMuaca60ppT6yGBHH43EYhm3bHnrooePxuNvt - +vnLsszzfK/uGwAAAAAAAAAAAAAAAAAAfC7mee5BzLXWaZpqraWUeZ6XZXmBazx/anNtsd+P/+Jf - /MvHHnvs/e9//zQPPT86pRoRPUr4lPg8DMNpWS4AAAAAAAAAwGtEay2lFBHDMPRzdrtdrbVXJm/b - Frdqtqdp6n3e98S2ba21ZVnGcWyt9Zu7Vzvnnjs9TyJi27acc6/Q7u3dPQ+/X6D/NO+0hT3nfPPm - zT6BZ13XeZ57l/yzat13u11rbdu2cVRJDC9N0T0AAAAAAHDf9JHgPgp4dnb21FNPPfzww3/4zX/o - LX/kD61ri4iU4nBYImIYUr7zYY1a6zzPrbU+1N1zBCIi59xaO40sRoTebgAAAAAAAAAAAAAAAAAA - Lo9a6/F4jIh5ntd1LaWM4/jCvd0v6Oxsd7jYdrvpH/7D//M7v/MvpBhaTa21nupba00p9ezguBXv - CwAAAAAAAADwmpJS6oXcpZSI6NHlXc65/7N/vYe93aebPkWpp5SOx2M/Bi6hXs7df0DjOJ6eG/1n - 1+veewB+fA7zcFprEbHb7ZZlKaXUWsdxTCn12T6ttV4QrrcbXiYvFQAAAAAA4L6pdau17nZTRG2t - zfPYWhmG4e1vf/tT16//tb/2N1KKlvol2wvsoxd6P//oY855WZaccyllt9v1eII+xDgMQx9fHIah - lNK7vQEAAAAAAAAAAAAAAAAA4DLIOeec13W9evVqP2fbtpzzC6b6poiIeM6S3MNhSSmOxzUifuIn - /qc3velN3/zN39xqDMPQ2tbDfHPOcSsvuG8DAAAAAAAAALx21Fqnaaq1DsNwPB579XIvS04p5Zxb - a6dpGymlu25lfq6UUr+hGzduXL16td90L2/msulPg5TS4XDY7/ettf6T6v3rx+Ox/ygPh0NPv7/T - /dda53lelqU/D6dpWte1F4SfnnulFKXdcEe8YAAAAAAAgPum1ppS6qXa27bN87xtWx7yMM7f8R3f - 0Vr763/9JyJimtK63P0gcUopIo7H4zzP/z979xtjSXrd9/2c509V3b7do1nGcWjFlJlIsSUSAhEl - lkQHFhHHsmQL8BsLiCUoMSPTgCgR4pqQBDEkI4YmyNhmtGFEmkst/0gEaMcEJMiI8sqQCUmIncSB - 4ECwRJp0XlqOqMS7033/VNXzPCcvznRtk7szu323t3d65vvBoFFz7+2nuureWezMOc/51VrNrJQi - 5zVvEZ8sYNQaAQAAAAAAAAAAAAAAAAAAAAAAAAAA8IDwXbEppTt37pycnPhvD5j7fHdAsIiqmMkH - P/g3X/va177pTd8VQlCN7VwIIcZ4hXOlAQAAAAAAAAAAAAAAbqK+7z072SO6va0ipVRK8f6KeZ6v - 6lwxRhGptS4j0z3v2R/HA8jDs4dhEBHPel/eRB9378P2D2vCCSFM0+SnEJHlk+bz/D1U3mfpT9PU - dd2VXRXwUAuv9A8AAAAAAAAAAAAeXapaStnv96p6fHIUosxl9Af7vvuZn/mZP/fn/kwIUoqFIOHy - ZY3W2nq99vpiznmaplqrF7k9zzuEICLjOLbWyO0GAAAAAAAAAAAAAAAAAAAAAAAAAADAAyLGmFKq - tfZ9v9/vfdSv75C9HxV59iVBJKhGVTURE+m61Jq87W1v++3f/u39fm9mMUbfb6uqyw5cAAAAAAAA - AAAAAACAR0oIYbPZeBNFKaXrOu+pUFVVnaZJzkeaX2Fut4jUWmutOWc/kZ/FE6DxAPKPh8e3z/Nc - a71165Z39ch5evc4jsuLL8sDv81sSQTv+96T3U9PT/u+95OKCLndwItHdDcAAAAAAAAAAHjFeOUv - peQ1v1rrer0u46TBSplyjB/96Ef+0zf9aRFpJgds9VfVzWZz8Ru90NhaM7Ou68wshND3vRcjAQAA - AAAAAAAAAAAAAAAAAAAAAAAAgAfHMpTZzHyH7AErmPkicZpKCDKO9Ud/9MeeeeaZcZxFgqou84XZ - cgsAAAAAAAAAAAAAAB5N6/XaGzP8qwcke4p2jHG/37fWWms+U/0Kz5tSmud5Se/2xRUPKv9I5JxT - SkdHR5vNxj8z/oEppeScW2vDMBz8kYgx1lr9c7jf7/3g5OTEF48xllJo8gFePKK7AQB4sOj5X6j8 - oLWmV/pXLAAAAAAAgAdKrVVV7wZp50EszFNNKVmZuxxTELH68Y999E1/+k9FFTHTIKLi/14SUpSg - ohpiFAnP+SVyXt72r/M8y3mpezm7nJefc87LqIJSynJA6REAAAAAAAAAAAAAAAAAAAAAAAAAAADX - z/fGyoWtr/v9PoS7u2hTSn4QY7z7oF34dVcTaa0VkSYitVYTqU1M5F//P//vm3/4r51t96ZxP5cq - KjGJyHO21gaRoBbUmF8KAAAAAAAA4IEVyGACAAAAcCU8MC7nLCIxxouPxxhba56a7MnN/lTf936w - PHLZM5ZSVHWaJlVdcsF9grqZLYPWmZd+nZb49mWs/cX770HdIYRaq79ly7Nm5j0/+/3+PuvreUC7 - PN/nzY/93Z/neckxXPqFUkpLExGAF8SfFgAAAAAAAAAA8MAJUVqZSpmSioj83Ief+I7v+I9bEzOJ - 8W50t5eQxaxdSOO+lFprjNErjsMw+MHp6WlKaZ5nr3dSegQAAAAAAAAAAAAAAAAAAAAAAAAAAMD1 - yzn72OWU0jRNIhJC8Dm/JycnpZScc4yx1nrYaOYvfOFLb3/7461ZlweVWEqZ5zoMwxVfBgAAAAAA - AAAAAAAAwE3WWvOA7dbafr8/Pj4WEY9nHoZhHEcR8cHmB6R3e0S0nLeFlFLkPCl8HEdVVdUlwLse - Oo8dl+W3PcboYe0iUmv1xG5VrbUOwxBCCCF4lPtl1zez9Xp98Rv9zQ0hLDnurbVxHJmTD7x0/CkC - AAAAAAAAAAAPnBDCPM9d19Va+76/devWJz/5yW//9v8wqrQiMUYRqXMp09wNOXXxq7+7ibzAfAEv - NHp104uR4zjGGM3s5ORkHEcfVSAiPsgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAuE7zPKtqSqm15rtu - fRCzqp6envZ9P8+z75Ptuu6A9WMM//gf/x/ve9/7UkohBB83PE3lq1/VRJqpmF7FJQEAAAAAAAAA - AAAAANworTUPb04p+dzyp59+WlVFxPO8RSSlVErJOXue92Wpqud2+/pd16WUVLXv+2maaq2eHR5C - 8BfgGniLznJca/Vcdu/e8V6d1pqIHJDXLiIhhM1m42+oD+SPMfrHwPO8ffG+7z0d/IouC3hEEd0N - AAAAAAAAAAAeOKqac/ZcbS9JTtP08Y9//Fu/9fUiMs815xhCCCFM41guX4r2CQU+QUAu1DV9rEDf - 92bmlcjDRhUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAL8UybTmEUGuNMaaUzMzHMY/jKCIpJRGZpumA - 9WttOcdf/MXP/uIvfkY1qMQQAltrAQAAAAAAAAAAAAAAFiEEEUkpXWzV8FjlJVPZvx6W251z9jHs - IuL50NM0+YKq6o0cMUbvFfGX4Rqoaq3V34ics8e3q2pKycfjezOPT7P3D8kBPKX7Yka7p7Z7g5B/ - MPykV3ltwKOH6G4AAAAAAAAAAPDAmacaQx6Gwcx8WMBjjz32qle96hOf+MTrX/8nRGUutbXWWhOT - FJeSYRNpL2b9EMI0TV7a7Pve69lekvQpBp7qTREaAAAAAAAAAAAAAAAAAAAAAAAAAAAArwif6uuz - nmOMvrXWB/4uA6B9CvBh65vdnf/73vf+jd/4jd8QkSq2HffP99oXu4cXAAAAAAAAAAAAAADgIeMR - zn3f+2+93cJjlUMIqurR2qp6QITzPM+ez+3xzKWU1WqlqiLia8YYN5uNiPR9f3CXCA4QY1wi20Vk - eVO8jcc/AGbmMduXXby11nVday3GOI5jzlnOw+B9Nr5nh/OOA1eC6G4AAAAAAAAAAPDAyTnXWp95 - 5pnj4+OUUghht9uN4/jqV7/6ySeffN3r/riIdF0SEVUppRy229/LnOM4dl0XY/SSpJl5edvLnz6/ - 4GqvDgAAAAAAAAAAAAAAAAAAAAAAAAAAALi/1to0TSGEcRznefbdryEEn9TsY399CrCq+oOXklMu - pXW5M5PHH3/Hl7/8L7eb/TAMjCoFAAAAAAAAAAAAAABwZuYRziIyz3OtNaXko8tbax69vDRvHBDh - LOdZ4LXW4+NjM9vtdma2pICr6nq99rMzL/3a+Fu5RLb7nfc3pbXmnwf/6qnblxVCmKbJ87lFZJ7n - 5byqOo7j0g40TdOVXBHwKKMfDgAAAAAAAAAAPHDGcez7PqW02+28FH00dNLK00//m9e+9o999Oc+ - 8sde8/XzVFRkfdTr3W+6REG6tbZer70emXOepqnW2lrzmQVyXv4cx3GpgAIAAAAAAAAAAAAAAAAA - AAAAAAAAAADXRlW7rvMRwDlnn/LsQ599ZLPPCO77PoRwwGjmudQU0zRPXZfu3Dl785vffHp6Wmtt - Kk3Pp5Vqe/YXAAAAAAAAAAAAAADAI0ZVvU/Dj2OMnrKsqqrqsco+0nxJX76UlNI8z13XmdnZ2Zmv - 7CngZtZ1XYzRB6fnnJmXfm1CCB7TXkrxafa3b9/2T4KZ+RtRSvG374D0bm/78WD4GKOI9H3v3UGn - p6d93/twfhHpuu5qLw14BBHdDQAAAAAAAAAAHjhd122325RSSimE4PMCQggnJyfPPPPMa1/72s9+ - 9rNf//V/OEbZbMauu3S9Q1U3m40Xm50XJpdStJmFEPq+9+IlAAAAAAAAAAAAAAAAAAAAAAAAAAAA - cJ18vrOP+h3H0Uc/+8Zbf8qTvMdx9AHQByi1icg0lRj1K1/5/9761h995ulTMV8tiMj5MQAAAAAA - AAAAAAAAwKPIzFS11upBziLiWdoxRs9d3u/3rbXWWkrp4tjzF6mUoqrzPPv3ppTMrJTiJ5qmydtC - /NklRBzXIITgKd0hhGEYPFjdU7r3+33f9yJSSsk5H5baLiIxxlqrv637/d4PTk5OfNkYYymFOfnA - S0cPHAAAD5blLzbeFv/K/jAAAAAAAACvlNZaztnrhapmVu8Wp+dx6FII+upX/zuf+tQnH3vs61Rl - mpqcl439QFXvP2LA/xHGv3pFs9a6POvHvk7O2V928QXP/S0AAAAAAAAAAAAAAAAAAAAAAAAAAABw - tbqu8wOf9uvDf0XEzJZjj/SW8822y7cMw3D/xU3ERETVRFoVM/nSl/7lT/zET/r6EsPcagihVZEL - O3kBAAAAAAAAAAAAAAAeHd4yEWOMMfojF+efe4Z3a81Tli92dHizh1zo97gXOyciHtrtK9daPdU7 - hOC54Ev/xm6384Npmi5+Fy5rGUG/jJ2/GJVtZrXWEIIHt/vb4U+N4+gHL5jbredExNPfnWfAq2pr - zU+0vL/Lyzw4/KVfJvCI408RAAAAAAAAAAC4MXLOrbXtdisir3/96z/zmc+86lW3VCWEu7Xqvu+9 - wHyxtHlZtVYvWIrIMAxLXdzrprvdzqvgpHcDAAAAAAAAAAAAAAAAAAAAAAAAAADg+rXWVLWU0lrb - 7/fHx8f+eIxxmiZVXa1W+/1eRI6Oju61yDLWWURSSjHE3W78J//kf//Zn31CLKjEGLNICCHk1Puc - YgAAAAAAAAAAAAAAALjn7d/wIOdhGDzaOaU0z/MLpnc/19KqEUJorXk49xLzvFqtaq1m1nWdp0pf - 5YU9SlTVzDya3W+y31gP0q61DsMQQggheDT7ZddPKXmg+xLNrqoppZxzrdXX9NNd/bUBOEd0NwAA - AAAAAAAAuBGaSNtsNn3fr/pcpv327M63/In/4NOffOrrvm7dmngVc55nT93uuu4e64T71Ec8/9ur - oV6nHMcxxuhZ4P7sarXygxjjy3CZAAAAAAAAAAAAAAAAAAAAAAAAAAAAwD211nxYcErJt7s+/fTT - qhpC8O2xZrbb7Xym8Ha7vfc6pZTp7lzgVkOKIrLfTx/72FO/9Eu/tN/va61VrIrt56kxvxQAAAAA - AAAAAAAAAODcvfo3RMTzvEUkpVRKyTl7nvdleTeIn0hEuq7zcy1n8a4P/y0OY2bLDfQIbc9Z90Rt - n3XfWhORA/LXRcQ/ANM0qaqHu5tZKWWeZw9cDyGYWQhhyQ4HcOVofQMAAAAAAAAAADfGarWqtaaU - UkrHx8dm9rrXve4XfuEX/vC//ZiIxBhba601M5um6YD1W2td15mZ16GXOmgIweO6l4EF4zh6TRoA - AAAAAAAAAAAAAAAAAAAAAAAAAAC4Nr7pNaU0jqMfiEhrrdaqqjHGnHOMsdbqG2PvxbfTioiqttZ8 - c27OUVXe+c7/5p/+0/9z6I9alRCSamTKMwAAAAAAAAAAAAAAwOJe/Rv+lGcw+9fDcrtzzp4kLSKe - Jz1Nky/oLR/L4HTPfr6iy3rkqGqt1W+st9yUUlQ1peR3uNYaY/S8bb/hB5xCRGKMZ2dnqrparfwR - P9F+v19S2P1TBODKEd0NAAAAAAAAAABujP1+K9J2u41I22xOa51Xq/51r//mJ554ou9zKWUYOq8v - 5pyfMwIgvGBlJIQwTZOXQvu+93p2a82X8mkFPrag73tK0QAAAAAAAAAAAAAAAAAAAAAAAAAAALh+ - PjK473v/rW96NTMf2TzP8zLP9z6R2z5M2MyWUc4mMs13077f/va3/87v/I6q+gq1sq8WAAAAAAAA - AAAAAADgWffq36i1hhB8pLm3XhwQ+TzPc9d1ch7nXErxyOfVauVT0z0mvLW2DFHHYWKMSwS7nCdt - +9vXWvM31Nty/J5fimeuy3mOe0ppt9v5RyWE4O/dktEO4GVCdDcAAAAAAAAAALgx1ut1KWUYBjNb - rVZmtt1uzexNb3rTU089lXPc76ecs4jM83xAKdp5WXQcx67rYowhBDNbCs8xxnEcW2sHrw8AAAAA - AAAAAAAAAAAAAAAAAAAAAAAcxsx8ZLCIzPNca00pmZkf+95Y/1pK8VG/z2s+T+n2scIhBN+l699x - err5K3/lzb//+78f9H753wAAAAAAAAAAAAAAAI+ge/VvlFI8TjvGWGtV1ZTSAZHPcp4FXms9Pj42 - M4983u12wzCISIxRREIIIQSCnw/mb80Swe4B2z5/vrXm769/9b6ay5rn2VPARSTG6InsSxy7L66q - vvhhnxMAL4hICQAAAAAAAAAAcGPsdjtV3e12XddttqcxadenrkvPPPPMG9/4xk9/+tNdl+Z5jlFF - 5EKpOLzImkhrbb1e+zfmnKdpqrUuxVFVNbPWWt/31KEBAAAAAAAAAAAAAAAAAAAAAAAAAABw/XzH - 63LsU31FJOccQpimSVXnefZhvvdJ3VaVvs8hiIj5zOhl/+zR0VCrbbfbxx9/3NO7fYIwAAAAAAAA - AAAAAAAA5N79G6qqqtM0yXkCtD9+WSklj3k2s7OzM1/ZY573+72IrFYrbwuptdLXcTAPPk8plVK8 - c+b27dv+zprZkrHtb8dh6d0+2X5JWJ+mqZRiZuM4+gs2m42I+Guu6roAXMQfLQAAAAAAAAAAcGOo - 6jAMXpDuuk5EWmv7zfboaNWs/Kk3fsff+tv/Xd8FExORC5ME2otff7PZXJxB4PXmUoo/G0IIIZRS - qEMDAAAAAAAAAAAAAAAAAAAAAAAAAADg+pmZqtZafXCwiMQYl+2xPuf3Re6Enee5NRERX0d8lnSI - 2+1eRDab8Z/9s//rAx/4YK3NmjLCFAAAAAAAAMArz4KIiD33iRc7chAAAAAArsS9+jdijGYWY9zv - 96211prnbV92/VKKqs7z7N+bUjKzZVi6iOx2O38l89JfohCCp3SHEIZh8KB0T+ne7/d934tIKSXn - fEAK+/LWezK3f1TMzMyGYfD3dL1ee7z3VV4VgAvoewMA4IETQhAR/+uTHwMAAAAAAMCFEKZpijG2 - 1qypWGhVuq6zOuZkdd5+31/47g8/8SExUZGgIipd55MCWtf3IqIhyb1L1Ga2fPUKaK3Vn7o4sMAz - vEVkmiZ/cBxHPzigbgoAAAAAAAAAAAAAAAAAAAAAAAAAAAC8SL7pNca4TF72fa/LgGYf77s86K9f - ZpqllM6nAIuP/DUT/8bWmpmVVk1EQzCRabZf+Qf/y0f/zpOqwUxVY60WQgohjOPY9alZEW2iy+zg - cOEXAAAAAAAAAFwRvRBgZss4wXD+75PEmwEAAAC4bvfq31ie9VHqMcZSipl5FLSIeBS0nIdD34ed - E7nb2rE86Cf1eelyPlb94stKKURBX+S3yKPW/ZGL98fMaq0hBA9i99B0f+rFz5/XcyLizTm+sscR - 5pxba/5hWPp5VNVfuRwAeDnQygYAAAAAAAAAAG68cdqtV/047XLU7/7u/+xDf/N9IqIqKck0360T - T+OYcrbW5LyEfCkhBDNLKYUQhmE4OzsTka7rRGS323mpe57nnDPp3QAAAAAAAAAAAAAAAAAAAAAA - AAAAALhmPsO3tdZaG8fRZzTHGEMIPgxaVX3DbCnlBaf9LoHfwzA88cSHf+Uf/M/+Lb5Ca+3o6Oj0 - 9NRfBgAAAAAAAADX5UJu9/ML5DEBAAAAeGV5k4aHZ+/3++PjYzkPfh6GwaOgU0o+0vywU9RaY4ze - tjEMgx+cnp76sp4/fTFKHKrqKdoepi4itVZP7FbVWuswDCGEEIKna192/ZSS53MvUetLGnettes6 - Twr3Z+m3Aa4Z/zUEAAAAAAAAAAA33jAM2+321q1bIYRa6/d///e/610/1ZrUWdREzjO2W60xHVIc - 8bppSqmUUmsVkdu3b3uB00uhIlJKyTlP03RwqRsAAAAAAAAAAAAAAAAAAAAAAAAAAAA4mE959snL - 0zSpqm+S9eG/IYTWms9u9hnE9xJj9B21ngIuIu9+97v/0a99PoQkEsZx9B21OfUpdl/9rU2kvVyX - BwAAAAAAAAAAAAAA8GBrrXljRkopxigiTz/9tEc1e563iPi085yzd3pcireFeEOId3eM4xhjNLOT - k5NxHHPOft5pmq720m40M1sCsz2x24fJm5mZ3R1i35qIHDZkfplRr6oe1m5mpZQQgqpO0+RJ4SIy - z/P9+3YAXDmiuwEAAAAAAAAAwI0XY/RaY61VVVtrb/lrP/yTP/nXvQzadcmrlWZWD6pHejmzlNL3 - vR/IeX26tZZSEhH/6uVVAAAAAAAAAAAAAAAAAAAAAAAAAAAA4Dr5plcfzRxjbK211i7uivV5zX5w - ny2xvkLXdaqaUjKzo6Oj3W58z3ve88UvflFVh+Ho+PjWbrfzHb5ijDYFAAAAAAAAAAAAAAAQOW/S - SCmN4yjno8s9EzqE4I0c/vWA3G5fqus6M/N87iVnOoRgZn3fe2K0MC/9q3k/jN8ZTzcvpXhvTAgh - hOD9Nkve9mGnEJEY49nZmaquVisfmO/Pmtlms/Gz+6cCwLWhvw0AAAAAAAAAANx44ziuViuveg7D - UEoppbz1rW/98R//MRGZprJa9SLNrIo0VTvgFDHGpdQt5xVQVfXJBWZWazUzM1vqoAAAAAAAAAAA - AAAAAAAAAAAAAAAAAMD1CCGoqu9+VVWfKWxmImJmy97YEELOeZqm+6wjItM0+TBiVd1ut0dHw+/9 - 66+8/ccfv/PMaQjh7GybUjfP1cdAiwXR9uwv8V8AAAAAAAAAAAAAAACPHB+W3ve9/3Zp3qi1eneH - t3Z4F8dlFw8hTNPkbSF933v+d2stpeRdHJ7qXWu90mt6GLysc+aXbhxPB08p7Xa75a33xdfrtYiM - 48i7A1wzorsBAAAAAAAAAMCNp6qttb7vffO/qtZa5zK+7W0/9pa3vDkEGcfRK5SLVTLWAAAgAElE - QVQxxgNK0b6g1ztzzjHGpfYZQvASdYzRBxAcsD4AAAAAAAAAAAAAAAAAAAAAAAAAAADwEl0cAbxs - evWBwr7TNoTQWmut3Wc/rM+JXn47DENKabvd5z7/iy/9329/+9vvPHOqEkJI6/WJmb7sVwUAAAAA - AAAAAAAAAHBDeHJ2SklE5nmutaaUfHq592x4rraqppQOiIh2Hjs9jmPXdT53vZRiZh4H7nHUftKr - vLabzG/1Eqnud8Y7ZDz4XET8a875gPXnefbbLiIxxnmeu67zBc3MW3o8Grzve38ZgGtDdAQAAAAA - AAAAALjxUkrzPI/jGGM8Pj4WkVXXBxMRec973v1fvfm/tCYq0nep1dpqvez6Xm9ejmutOWdVNTMz - 67pOzsuuh5VUAQAAAAAAAAAAAAAAAAAAAAAAAAAAgJfCZ/7O87xMf162x6qqj/2ttfZ9X19os21r - zecUxxh3u10pJec8jnMT+Y3/9X/7b9//N1bH6/00bbfbZ5eyIHY+41Sb6IGjpQEAAAAAAAAAAAAA - AG4uH12+HHuKsx+rqkc4e2K0P35ZrbX1eu0NGznnaZpqra01T+xeFh/HcUmkhoiEEDxGvZTid+/2 - 7dv+TpmZ36hSio+7P2zUvJn5G+HrT9PkeeoXE9b9NS/YugPgahHdDQAAAAAAAAAAHgYeoR1CuHPn - Tkppv997GfLs7Oyd73znD/3QXxaRUoqIhMuXR7zU7Qv6IrVWz/D2477vQwj+7FIUBwAAAAAAAAAA - AAAAAAAAAAAAAAAAAK5HznmZHTyO42q1EhGf+FxKGcex1hpj9HHArd0zWttHRY/j6FHfIjIMwzzP - QYOIDEP3y7/8Kx/+8M+ZSZf7EBKjTQEAAAAAAAAAAAAAAJyZqWqt1YOiRSTG6BnePud8v9+31jxX - 28O2L0VVN5vNxW+MMYpIa83ntJtZCKHv+/s0hzyaPDk7pRRCGIbh7OxMRLzTZr/f930vIqUU78C5 - 7OLLO+Lp3f7W+xz7vu/NzD8Y/jJ/ywBcG/rbAAB44HxNthNRTwAAAAAAAC+otRZjrMVqsb7vvSDd - WlOrXQoh6Hvf+zN/6S/9Rf+Hlou1ST8IIch9S5X+TzS11qXSvBx4qreXQv28qupTDERkHEc/OKDO - CgAAAAAAAAAAAAAAAAAAAAAAAAAAALx4Pk1YRHyasIh0XSfno4flfM/sxX2vPilYz/lrlq21/pr9 - fi8izVqXu3E/tyYf/vCHf/VXf9WC7qcppVRKK6X4aONxHPu+K6Vc10UDAAAAAAAAAAAAAAA8KJb5 - 58vMcx+BvjwbY/SZ6qUUM3tus8fyyPN6bgfI0uCxHHsTSM55yb9bGjlKKQ99pHcpZblev9jlPniW - 9sV58ksXzYufJ3+xzcYbb3xlT2fPObfW/M31B+XCYHxVvfh5AHA9+FMHAAAAAAAAAAAeWiGEnPM8 - z/M8f+ADH/je7/2zKUmtXzsvwAcBXKwuX+oUZuYrDMNwdnYm51MMdrudl7rnefYf48ouDAAAAAAA - AAAAAAAAAAAAAAAAAAAAAHgRfAttrdXMVDWl5DttfQCxjyr2wcG+Z/Ze6+SUp3kyMRUVkZ/6qZ/+ - rd/6rccee9XZ2Xa9Xq9W69PT06Dp1q1bm83WN9sCAAAAAAAAAAAAAADAtda8VaO1tt/vj4+P5Two - ehgGj45OKflI88NOUWuNMXpK9DAMfnB6eurLel71wx0dXUrxlpjWmpn58PnWWq1VVWutwzCEEEII - nq592fW98WaJRS+leDeOiNRau667GBbu9x/AK+5h/q8eAAAAAAAAAAB4hDWRtt/vzWzoktV56NJH - /sf/4T9547eLSM6x6zovbcYYp2mKMR5wDq+zppRKKT624Pbt214Q9dKpiJRScs7TNB1c6gYAAAAA - AAAAAAAAAAAAAAAAAAAAAAAO4wOXY4yttXEcSykhhJzzMoD4+PhYVX0zrCd5P6+5lphSiLGJ1WYx - pR/+4bf87u/+7q3bt/fzdHp6evv27d1ut91uffzx9V0hAAAAAAAAAAAAAADAg621FmP0YGmfiP70 - 0097tLPneYuITzvPOXue96V4f4hPTfd56eM4xhjN7OTkZBzHnLOfd5qmq720B0pKyfPLPbRbREII - fh/MrOs6EfG2lsOGxi8z51XVw9fNzLtxvP2m1uoNOfM836cPB8B1IrobAAAAAAAAAAA8tNbr9X6/ - b635gYg8+eST3/M9f6bM1euXXkUWkXmeD0jv9vJnKaXvez+Q8/p0ay2lJCL+1cuxAAAAAAAAAAAA - AAAAAAAAAAAAAAAAwHVS1XEc5XwGtJm11nyb7Wq1UtWzszPfZuvzoO/FBw37N6YUx3G+c+f0bW/7 - 8X/1r35vnuqtW7fHce77vlXpus732wIAAAAAAAAAAAAAAEDOR5enlLyLw0eXe4Z0CMHHm/vXA3K7 - famu68zMm0CWXOoQgpn1fe+NH/Kwz0ufpmmZNn/xJqeUQgie5+39M563fcApvMEmxnh2dra03/hZ - RMTMNpuNiOSc/QcA8IqjlQ0AAAAAAAAAANx8FsSep+oxjrv1emVWx3G3WvW1zsfHRx/44Pv/5J/8 - j4ZhEBEvGKtqSskzvC8rxriUuuW8YqqqMcbWmpnVWs3Mpxi8hCsEAAAAAAAAAAAAAAAAAAAAAAAA - AAAADtH3vYgs22n7vvdtsLvdzmcE+2jmaZqWwc3PQ0VURCRGnecqImbyhS/8i3e/+90ppTt37vR9 - v9/vV6tVCOGwKdIAAAAAAAAAAAAAAAAPq1prKcW7OETEzPxrrTWE4IPNVVVVD4iUDiFM0+TR1H3f - e+dGay2l5FHWnup92CT2G6TrunmefTK8iJjZ1c6NzzlP0yTnzTYppd1ut5zLF1+v1yIyjuNDf7eB - m4LobgAAAAAAAAAA8NCqtU7T5MXmcRy92Hz79u1PfOIT3/It39Ja853/ZlZKud8ogXtQVS91i0jO - Oca41EpDCF6ijjH6+geUugEAAAAAAAAAAAAAAAAAAAAAAAAAAICXYr/fi4gPHVbV1po/klLyacU+ - oNk35N4/cjtEVZVSmgd+d12KUf/hP/z8u9717uPj43muISQR2ZztfE0AAAAAAAAAAAAAAADIeXK2 - d1x4tnRKyaeXt9Zaa56rraoppQMipZ3HVI/j2HVdjDGEUErxjpElHdxPepXX9iDxyfOe0i0iy4XL - eZC5iPjXA4bSi8g8z34bRSTGOM9z13W+oJl5qrd36fR9T/8M8IAgIgIAAAAAAAAAADy0hmGIMe52 - u9Vq5eMD9vv9brdbr9ef+tSn3vCGN3hB2suc9x8l8Ly83rwc11pzzqpqZmbWdZ2IeHX2sBIsAAAA - AAAAAAAAAAAAAAAAAAAAAAAA8FIMwyDnydwhhBDCPM8+hXmZFxxCaK2Z2f2Xas1MRFREJKU0TqVU - E5HPfe6XP/3pT5dSfOJz3/cpdi/3dQEAAAAAAAAAAAAAANwUPrp8OV6GoquqqnoLRwhBRA4Yli4i - rbX1el1rFZGc8zRNtVbPsfY56r74OI5LgvXDKsa43+89Cn0cx+W2m5lfeCklpTTP82Gj483Mb6zf - 7WmaPB/9YmK6v8ZfAOAVR3Q3AAAAAAAAAAB4aE3TpKoppf1+X0oZx1FVb62PzeqtW8ef+uRT3/zN - fzzFu0nbB6zvpW6vg5ZSRKTW6hneftz3vY8w8LrpFV8eAAAAAAAAAAAAAAAAAAAAAAAAAAAA8EJq - rT6O2Y9zzsvu2pSS53b7K31Y8/PSEMRERFKOpRSfYuxPxajvfd8Hf/03fzOEJDHVar7xFgAAAAAA - AAAAAAAAACJiZqrqLRzecRFj9Axvn3O+3+9ba56rfZ/+jXtR1c1mc/EbY4wi0lozs67rzCyE0Pf9 - 0iXyUAohTNM0DEOtNcbY973fbU/p3u/3fd+LSCkl53xASvpyhz292xf3ufR933tDjsexy/lbAOAV - R3Q3AAAPKP9Lkf+/9Sv9swAAAAAAANxUIYRaawhBVT1CW1XneVSbUmrHR/nvfvYz3/BH/4iKqDT9 - mmkCKhpD6rLcu0LtIwmWUQUicnEwgZn5P+94IdwfEZFpmvw1+/3+ZblsAAAAAAAAAAAAAAAAAAAA - AAAAAAAA4FyMMcboM818KLBvqjWzUkprzbfBhhB8M+zzstrEREzKVP0Rz+c2kdosJPnRt73ti1/6 - 8jRXCclCnKZpvV7PZWxWuj6VOmkw0fZVv+4KzEcFAAAAAAAAcB8efrb81v+F84AoOwAAAAB4pSxZ - zkuc8xJON01TCMEzvOW8H+PiC/xZue/fg/x7/asnUtdal2f92COlc87L37CWc3kDydVc6jV63uvt - um6aJr+fHo6+vEZExnH0gxfM7dZzIuIR3X5GXznn3ForpfjfWJc3aPkbK+GDwIODP40AAAAAAAAA - AOCRM6y6Z/7NV46Pj/6tx07+/t//e9/47/3R1iSEr+3BNbMyz3pQddNnE6SUQgjDMJydnfnjtdau - 68xst9sNwyAi2+32ii4LAAAAAAAAAAAAAAAAAAAAAAAAAAAAeFGmaZLzEcY5ZxHpuu6+3/H8Adtd - l8ykVsk5/+c/8Je/8pU/SF0u1Ybh6PT0tOu6nPPp6elqtfKByC/HtQAAAAAAAAAAAAAAANxEZtb3 - /TzPtVbPgfaU6CVzOqXUWqu1quoSuX1ZtdYYo7dtDMPgB6enpyklP7VPU7+6y7oOm83m4lR5v12t - NTPzOfAhhL7vD4skTyl5PveSp66q/tb4nHlf1p+lHwZ4wN2w/7oBAAAAAAAAAAC8dNvt9tatW9M0 - TdP02GOPfepTn/qGb/j61iQGSfG8wGkydL2GcEApWlW90lxKqbWKyO3bt82stea1W1VdrVa11lrr - 0dHRlV4cAAAAAAAAAAAAAAAAAAAAAAAAAAAA8AJ8mrCIlFI8xnscxwPWmaYiIiHI2dl+t9v9yI/8 - yDPP3FlGEk9jUYnDMJiZmZVSrujHBwAAAAAAAAAAAAAAuPFUtZSSc44xxhjneZ7n2bss5nle8rZF - xMwOiIj2QG6fmu7z0sdxjDGa2cnJyTiOfmoR8e6Rm6K1tl6vPT87pTRNU621tRZC8LvkFz6OY2tt - aZJ58fxNmaZJVY+Pj0XE+158fT+dj6+f55l+GOABR3Q3AAAAAAAAAAB45JiZFzVjjEdHR695zb/7 - 8z//8de85o+U0mo1Lz93Xbff7+2gkqqXS0spfd/7gYiEELwaXWud57nW6oXwK786AAAAAAAAAAAA - AAAAAAAAAAAAAAAA4P5CCK21Uopvd00p+Q7Zy4pRRaQ1EZFa7Z//8y8+/vjjPj+667r1er3f7+ep - +kTjK70CAAAAAAAAAAAAAACAm82DpT0WWkQuNleklHyeuR/7hPMD1u+6zuexX1w/hGBmfd8vp+66 - 7kqu6PqN49h1XYwxhODXoqqese037bBobY8AjzGenZ2p6mq1UlUPCxcRM9tsNiKScz5gjj2A60R0 - NwAAAAAAAAAAeOQcHR3lnGqbW2ubzabW+k3f9E1PPvnkH/pDr1qvVyJiZncr0CZlmg84RYwxpTSO - o//WK6yq6pXanLM/Uko5bIoBAAAAAAAAAAAAAAAAAAAAAAAAAAAAcDAfTOyzg5chxb4B9h6aSHue - R5vFqGKiIq2Jqnz+87/5oQ99KIQwTWW/n6ILeZqmZ0cVWxBjJioAAAAAAAAAAAAAAHikLQ0brTVV - LaXM8+z50Mv08r7vSym11gPWDyFM0xRCqLX2fe9B4J4X7mHenup92OKvoBDCPM/LdfltNLOUUghB - RFprL+W6cs7TNMn5G5RS2u12/o74BPta63q9FpFxHG/c3QMeNbSpAQAAAAAAAACAR4y27Xa72+1S - SuvjlVn1mO1v/MZ//xOf+Hkz86qqV0C7rjvkDKq1Vq+n5pxjjBePa62tNf+aUrrvCAMAAAAAAAAA - AAAAAAAAAAAAAAAAAADg6qnqEqTdWpum6bB9tWZSq8WoIaiIpKQi8tRTv/B3/6fP+XzknPu+X5VS - Wn12qDQAAAAAAAAAAAAAAAC6rpumycy6rvOh5TlnT/IWEW/tGMfRDw6eZ+7fOI5j13UxxhBCKcXM - VDWEoKoxRjPzUeo3gpnlnEXEA8iXHpgQgpn1fS/nqduH9cPM8+y3xU8xz3PXdX4WM/NU71qrn8tf - BuCBRXQ3AAAAAAAAAAB45BwdHQ3DUGv9gz/4g1u3btU6W51TCN/2bd/21FMfv/11JylqLSWIztN0 - QCHa683Lca3VS93zPLfWuq7zmq6/hhEDAAAAAAAAAAAAAAAAAAAAAAAAAAAAuGZm5rtcW2shhJzz - nTt3DhjxHILkHGu11kxM5sm6nETk/e9//+d//Tf71Xos853N2VTL0dFRLSYWxL5mGmoTaVdzVQAA - AAAAAAAAAAAAADdKSmlp4Zjn2Y9V9emnnxaRGGNKqZTi6dqXXby1tl6va60iknOepqnW6r0i3iUS - QhCRcRw9OPxqL+3ls7S41Fr96sZx9Nzuk5OTcRxzzp6o7THbBzAzv1G+/jRNnnd+MQHdX+MvAPDA - IrobAAAAAAAAAAA8YizsdjszCyEcHx9vt1sRqbXGqLvd9ju/8zs/9rGPpZRU1MREJMVLl4pV1cy8 - blpK8fWXknZrLcZYaz1geAEAAAAAAAAAAAAAAAAAAAAAAAAAAADw0qmqz3QOIbTWRKTv+3EcL7tO - azLPNaVgJjFqSmGaSk5xmuwd73jHF77whVblaHW8Wq23233O+WW4FAAAAAAAAAAAAAAAgJtKVT0/ - O8aYc/YJ5yJyfHy83+9ba6UUVfXujgMW32w2F8ehe6B1a83Muq7z1pG+7w9b/5Xl89796oZh8IPT - 09OU0jzPtVYfFH/Ayssd8/RuDzU3s1pr3/dmZmaq6i/zWwrggUV0NwAADxz/O8/yv91LpBMAAAAA - AACuSoyxNZPzf3sJIXjVOarmGN7whm996qmPr456f7FJ9dd8zSyA+1Rbfdla61Jp9gNV9fKq/+OP - V6aXY39lrbXWKvy7EAAAAAAAAAAAAAAAAAAAAAAAAAAAAF5mvtF12TabUqq1ppSWXbH+9QWV0kSk - VvODea4hyJ07u7/6V99ydnY2jmMpZVgf7efSWruYGm5m8zwzwhgAAAAAAADAZTGvDwAAAMDDYYmr - u3hgZqWUGKNHRy953nKhzSOE4B0XF5O5v4Z/l3+d51lEfAS682OPoM45L6copSwHD0Kk971+fv85 - 7/PzXxwUfy96Ti70yZiZN7fknD093eO6lxvur19i1wE8yPhTCgAAAAAAAAAAcFdrZRzHnNN3fdd3 - PfHEf991KedYq4lIa22e577vvRp6dHR0QLXYS90hhBDCMAy1VlWttZqZ13q9EO7l2PuUugEAAAAA - AAAAAAAAAAAAAAAAAAAAAICXg6qenZ35uGG5MMv4snwY8u/93u//0A/9F9M0i4Xtdtv3fdd1vrV2 - 2Xh7fHy82+2u8BIAAAAAAAAAAAAAAABuNFVNKbXWWmvjOHpidIxRVf3Yn/U550tk9WXVWn1NERmG - wQ9OT09TSvM811pTSq94NPVms1lyskXEL7+1ZmbehRJC6Pv+sIjxlJLncy99Mn7nRaTW2nWdL+vP - MjceuKGI7gYAAAAAAAAAAI8eC8/+uquJtJxj16UcdR733/Pdf/aJD/3tOldVWQql4zj2fS8i2+3W - q7OXlXMWES+1ek3XC645Zx8x4C97KaVuAAAAAAAAAAAAAAAAAAAAAAAAAAAA4DCq6vthfTqziKSU - DthX20xMJKb4xS99+fF3/PWQom+n3Y77scwi0nVdSmm73dZa/YwAAAAAAAAAAAAAAABw8zyLiCdn - T9Okqj66fJ7nJW9bRMzsgEhpX1ZVa621VhHxgHAzOzk5Gccx5+ztItM0XeFFXVZrbb1e+1D3lNI0 - TbXW1loIwa/aL2Qcx9aaT5K/lFJKztlv7/HxsYiYWSnF1/fT+bj4eZ5LKVd8eQCuBdHdAAAAAAAA - AAAAd6nqdnu23W77Pk/T9Of/wvc+8cTf6vskZl4QTSnt9/ujoyMR8VrypYQQSilmFmOstYYQQggp - JVUtpcQYfaxAKaXWekCpGwAAAAAAAAAAAAAAAAAAAAAAAAAAAHgpSimttWU/rJxvfT1stdakVfm1 - X/v1n/3ZJ4KmUsrx8a1hGMxst9vlnLuum6bpgNHJAAAAAAAAAAAAAAAADysPovZo7Rhja621tkxK - r7V6sHdKyfO2D1i/6zrvDxERn44uIiEEM+v73s4Hs3ddd5UX9hKM49h1XYzRh72LiKp6xrbfhMOi - tX0afIzx7OxMVVerlap6WLiImNlmsxGRnDP9LcANRXQ3AAAAAAAAAAB4BIULv0RERJtoq20OUY6O - hmna930OQb7v+/78u971X/f93Zqx15K32+1hZ/U5BWZWazWz1lqM0YuyS1D3OI5e6n6plwgAAAAA - AAAAAAAAAAAAAAAAAAAAAABcUozRBw3fuXPHhx37g5ddZ1itRaXWqiqq8pGPPPm5z31utVptNptx - nLuuU9V5nvu+V9XDRicDAAAAAAAAAAAAAAA8lDyR2kOpPaDaB5uLyBLU3fd9KaXWetj60zT5sn3f - exC454V7l4ineh+2+BUKIczzvPyc3mFiZikl72nxSe8H/5w552maRGSJRd/tdst99nny6/VaRMZx - fMXvBoDDEN0NAAAAAAAAAADwrPV6vVSLN5vNarX6gR/4gZ/+6Z8WEVVtrXkNVUR86MBl5ZyX700p - tdZExOu7XpddSr/+FAAAAAAAAAAAAAAAAAAAAAAAAAAAAHBtfMrwOI5HR0elFN/xesDo4f1ut1od - i0gI0ZrEKO9853s+/49+Pefso41Xq9U0TaUUnzF95RcCAAAAAAAAAAAAAABwc12MlC6lLEnVcj7k - fBxHPzi478K/cRzHrutijCGEUoqZeVi4B4ebmf8Mrwgz87nuHii+TIYPIZhZ3/dyfou6rjtg/Xme - /TL9FPM8d13nZzEzfws8NL3ve38ZgBuH6G4AAAAAAAAAAPBoCs8tlJRS9vt9P+Rp3vd97vu83Z7V - Nv/gD/7ge9/7Xq8Wq6oXTQ8oFeec53lOKfn3ppTMTETM7Pbt2yJSay2lpJRqrV4FBwAAAAAAAAAA - AAAAAAAAAAAAAAAAAK5NSqm11ve9DyD2zbAvesRzuPBLdrudqNR2N/a7ifzET/3kl7/85aOjo3Ec - p2nyrbsv15UAAAAAAAAAAAAAAP5/9u421rIsvQv7etvnnFu3qrudGWxrjCWiIBszDh8ihMIHiCDI - QgoKVgKKQAnxxMBge/w6jO14xmFCGOQXYgwGD7I9dgbwW2JwIiUWQXIIYxziMQSiIGMgCEgCGGM8 - 1VN16579stbKh9V1utwv1V13bnd1V/9+Orra99xz1t67pCqdW8+znj/w5jQypMdI83A/YTqEEGO8 - fft2CCHnPKadj3TtR12/tXZ+fl5rDSFM07QsS621tTYSu0MIY0b6PM+ttVNg9uvv1FhSax1XO8/z - yO2+devWPM/TNI1E7RGzfQW993HjY/1lWUZ++YOJ5uM14wXAm47IBwAAAAAA4K2pvfipUWE9Ho/T - NF1cXIzd/rtcSkm/63f9R1/9VV/Re0+xj+DtK0Rrr+s6TdN4+36/Px6P46QhhLt37x4Oh5TSyPOW - 2w0AAAAAAAAAAAAAAAAAwGMxBjr33ne73Ti4wojnEOPYMJtyDiHULeQcfuEXnn337/+Sf/r//fPD - 4UZKZeyrXdf1mm8AAAAAAAAAAODNbJqmMdI8hDDP89nZWbifTn3z5s3j8dha27YtxtjaS4xbf0Ux - xjGG/fTMCMBurY2OkTEpfb/fX23969VayzmPqz0cDuPgzp07pZR1XWutI2D7Ciuf/gRGevcIKe+9 - 11r3+/3omRnD6sP9PyLgTUfqAwC8sYyP1zGGlNL4wP24rwgAAADgidQeeIQQQugp9NRbbDXkNIWe - RgU0xtjalkLvbX3vV33l7/9970o9xBBiCPl+mSXGuNvtQgx5Ks/97OWdZgfM83x6JsZYSqm1ppRq - rQ8OLzjVpGuttdZwf9gBAAAAAAAAAAAAAAAAAAC8FsY22wcnGp/2t45J0CGEkeo9BhY/4ME9vLW1 - NYTQah07cOsWQg//+B//0/e+92ufvXNvayGENKZIx9THJt2Xe4TYXvgAAAAAAAAAAHhyjdzuEMJ+ - vx8HI1F727ac84iajjGemjpOnR4pPT9i/eUWH+8aX8fg9DECfRjHI7J6mqbxsjEvvdb64OD067vd - 56/qxdezbduLr+f0/Auu6lHPeDgcHlxwnPf0B3g/VTBeLRoceCPwtxcAAAAAAHjLerVl1HWdn7p5 - Y1nn9733a37P7/mPD1MKIWxbCyGMGu2yLDGlum0hhJQfmt39UkapO6WUUjocDrXWGOPI8B412lEI - zzn33h9S6gYAAAAAAAAAAAAAAAAAgNfCGPd8eXmZc44xLsuScz5NQH4FPYWeQkghpBjiT3/8b379 - 13/DNO1SKiGks7Ozax/lDAAAAAAAAADw5IkxllJaa621eZ5HwvTo5RjH46djzvkpkfpR1VrHmiGE - w+EwlhrZ1WOUeu+9tTbOeF0uLi5OOdnhfnh2a633PjLLU0r7/f5qEd0PcTwew/0/t3VdU0rXfgrg - 8RLdDQAAAAAA8Ap2u3L37idrXXOO3/hffOALv/ALc46lpBhCXbcbN26EEHptOY067lVOMU1TCGGU - Y0cNeJS0p2kaGd7jZZ9KqRsAAAAAAAAAAAAAAAAAAK7mtOO19z5GJF9t02sPvbb6l//yX/62b/u2 - 1trZ2dmdO3dieIlRzv2Bx3Ph389FgAMAAAAAAAAAvEWt6xpCGEHay7LEGMfo8nVdT3nb4YEGj0cy - lo0x1lprrSGEERAeY7y4uAj3x6RfXl6mlMaVXIvW2vn5+RjSXkpZlqXW2hpiG2EAACAASURBVFpL - KY27GBc2z3NrrZRyXecdUehjFPw49elcwBPDX2kAAAAAAIBXsG1bSiml1Huvtf6RD/1Xv/N3/ofb - 1nLKPfR79+7FGE/l25xfYjTAw6WUtm3rveeca63jXKWUGOO2bTnnEey9bVut9QqlbgAAAAAAAAAA - AAAAAAAA+BSNIcWttTEoubV2tX2vMcRtq9/xHR/+n/7HH9u2Vspu7KV9tQR4AwAAAAAAAABvSSO4 - ekRr55xHF8e2bSGEUkqtdcRpl1Jyzr33K6y/2+3GvPQQwqmjI8Z4fn6+LEsIofd+dnb24E+v1zzP - u90u5zyGt4+zjyHw46bGk9dirLaua++99z5aYkaHDPDE0GoGAAAAAADwCnqou31JKex2pbWtlPKN - 3/iNX/iFv622mnMMIYyS6rZtU5nq+sgl29baWKHWOkqzOecxquA0sGCe51Hqvt5bAwAAAAAAAAAA - AAAAAACAV3R5eZlS6r2nlEIIKaXx7at7dwuhjaMUSw89hJBz/Mqvee9P/82/eXZ2fjwuPYQXPAAA - AAAAAAAAeNBIsB4h1iPQegw2DyGcujj2+/22bVfLn04pLcsylt3v9yMIfARa55x3u93xeBzXMFLD - r/G+1nU9nXeEc/feSymjU2VMbn8tQrV3u91IPa+1nu702s8CPEaiuwEAAAAAAF7Bbre7uLhorc3z - HGPsvU5T+dCHPvRbf+sX1NpHDXXUpEcF9wqmaQohlFLG11GdHauNCvGpVHyNpWgAAAAAAAAAAAAA - AAAAAHg1zs7OjsdjCGEMQR7zl68wqrj1dtjf6D3U2lsLX/EVX/l3f+Znp2n/KCNSk3mqAAAAAAAA - AMBb07Is4f7o8m3bTsnW4f6Q83mex0GM8WqnGG+c53m32+WcT6dIKR0Oh977yAu/8kj2F+u9jznt - oxdlXH8IIaXUe9/v9+H+Le92u+s66bAsy8gjH2no4YEQdODJoNUMAAAAAADgFVxeXj799FPLetwf - phj7PM/nZ2c5hm/6pj/6m3/zb2y1xhCmXFKIyzzvp0eu2k7TtK5rKWXUfUspoy7be3/mmWdCCLXW - bdtKKbXWayxFAwAAAAAAAAAAAAAAAADAq9FaOxwOIYTLy8uxEzbnPGK8X1q///WXTjPOKR/n4zg+ - O9v/i3/xr77sK77y53/hX7UY2sPmRafTI/YUTUgGAAAAAAAAAN561nXd7XZjpPn4dqRNxxhv374d - Qsg5j2nnOecrRFC31s7Pz0dDyDRNy7LUWltrpZQY4wjYrrWOgO0RIn4tTinjtdZx9nmeR273rVu3 - 5nmepunaTxpCmKaptTZWnuc559xau3LkOfDGJNoBAAAAAADgoWI7Ozu7e/fu+fn5PM8xxv1+unv3 - k7vd7tatm9/+7d/+637dry0lbXXroccQl/WRq7bruk7TNHK79/v98XgMIUzTFEK4e/fu4XBIKY08 - b7ndAAAAAAAAAAAAAAAAAAC8/sb85RDC2dnZeOZhud0vr7ZacgkhlFwuL+ec49//+//3133d1z36 - SnbdAgAAAAAAAABvLdM0jZHmIYR5nkcXx0izvnnz5vF4bK1t2xZjbK1dYf0Y48XFxYPZ1SPWetu2 - 3nuMcdu2UzT4uIxrNFK0x9kPh8M4uHPnTillXdcRGX69c9qXZRnD4Wut+/3+GlcG3jj0mQHAG9ED - v3QAAAAA8PidKsEppRBba62U1Hut63rr/MaHP/ynf+2v/bfGK2Pq46CUEkI4VZdHafkh1nUdB/M8 - n56JMZZSaq0ppVrrGGcwnGretdYx1+DBnwIAAAAAAAAAAAAAAAAAwDWKMZ7GOrfWxs7WGOMYiDy2 - 1r5wOPILN7+2EFoPba1LCGGrY/BxDyH81b/6k//5178/hJR3+7X1rbdY8sXFxQMzkVsIV5koDQAA - AAAAAADwJDkFZp/aKna7Xe99xGm31lJKMcbT0PJTO0dKaQxLjy8fkjfeNb6OweljBPqD7xrrj2dG - anj4pcPVX/EWXnL9EMJI0R49KtM0nW5hPD9efOVI8hdf/Fh//HmexshfbzQ48EbgbzUAAAAAAMBD - 9RfVU+Koy7b9flrX9dM+7Znv/u7v/vzP/1WlpNZCzjE8EK0dQtjtdg+Wfh/hzL2PvPCU0uFwqLXG - GEeG91hwFMJzzr33h5S6AQAAAAAAAAAAAAAAAADgU5dSGntdQwjH47H3PjbVbttWShnH0zRdYd/r - X/gL/8NHP/rRZ5999uzsbLc7rOv6tre97e7du9d+CwAAAAAAAAAAT5gY4+jcaK3N8zyCqHPOMcZx - PH465pyfIrEfSUqp915KGSPTR1PHbrcLIVxeXo4c8XVdp2l6eHr3xcXFKTw73M/Mbq313kcGeUpp - v99fLaL75fTeHzzRadj7NZ4CeMMS3Q0AAAAAAPBq/NIBAbGFEHqvOcfjvbs5hu//c3/2V/7rvyKF - 0FpPKY2abinlcDgsyzIqslcwTVO4HwQ+asajlDtN04Nl3SuXugEAAAAAAAAAAAAAAAAA4BWdtrLm - nMfO2TEleUxkHntdx0jlbdtecd9rf+AxtBC+6Zu/9X/76z81L8uyLCmVu5f3YskhtrGxN4QQe4g2 - 1AIAAAAAAAAAvMgIzE4phRCWZYkxjnaOdV1Hhvd42anB45GMrOtSyrZttdYQwjPPPDP6Q3rvY5T6 - tm3TNC3LMr59Sa218/Pz0wj3ZVlqra210Xxyuv55nkdTyqP/Mby0UkqtdSyec768vBx/Pte1PvBG - JrobAAAAAADgSmK7vLw8O9vfunXrqadullJ+4Ae//1f+G7+i9xBDCyHcuHFjXdfj8RhCqLXu9/tH - PUNKacwmyDmPmm5KqZQSY9y2Led8qkbXWpV4AQAAAAAAAAAAAAAAAAB4jcQYx4jnMX9527bx/PF4 - TCn13mutVxvuPOQU1zW8731f+zf+xv8xBiWHEB66P7dd7UQAAAAAAAAAAE+YEXQ9ArZzzq211tro - 7hip1aPro5SScx6R249kvGXbttHLMVYeDR6njO3xdbfbvco153ne7XY55zGMPYQQYxwZ3uMiT90p - n7rTUjHGeZ73+31rbfyZAE880d0AAAAAAACvpKfnHw84u7H/5J3bd+48e3l5cXa2v3nzxg/84Pf/ - 8l/+maNafO/evVEhzjmXUuZ5ftTTttZ676dRBa21nPMYWHAaWzDP8yh1X8NtAgAAAAAAAAAAAAAA - AADAS2mtTdM0truO/a3h/sTksYt2mqaR4d17TynFkGJI4bnHw/QQegi19hTDnU9e/sE/+L5//nM/ - H2LMOR+Px+deFNvzD6HdAAAAAAAAAAAPGInXI/R6tHOMwebhfup2CGG/32/bVmu92ileMGv9NCx9 - JIWfRqmPaeoPuc51XcfljesZV1hKOQWB55yvfJEvZ5qmcaJt28b6KaXxJPDEE90NAAAAAABwRTnn - bduefvrpaZpGnfXtb3/7D/zAD3z6p396znmapmVZ9vt9rXVUf69gFG7H8IJSyqg3j/rxWPNUWn5I - KRoAAAAAAAAAAAAAAAAAAK7Lfr9flqXW2lob45577+u6hhBijKctsY8kpdR7TCn8s3/2L9797ndf - XFzUWsceWwAAAAAAAAAAHm5ZlnB/dPm2back7HB/yPk8z+NgpG4/khjjadb6NE1jPPtYOaU0orhz - ziMbe5z6JfXex9z1nPPpwsJzfSN9v9+fbmG32z3qRT7EaGtprY1rG38C40ngiSe6GwAAAAAA4BWl - F1VVegj97t07b3/7224/+4s91GnKrW3zfPlZn/WOj370o08//fSoudZaxxuuUOWdpmld11LKqQLd - ew8h9N6feeaZsfi2baWUERz+qd4lAAAAAAAAAAAAAAAAAAC8lJTSxcXF2NC6bdtut8s5j28vLy9D - COfn5yPJe2yMHW+KIcQQYkjxlSegpt57a6H38DM/8w++/Mu/fLfbPbDUA2IL8ZGjwQEAAAAAAAAA - nlTruu52uzHSfHw70qljjLdv3w4h5JzHtPMRsP2o6/feT4Hfvfda6zRNMcbee+99DGAfMeEjmfvl - nBaptY757fM8j9zuW7duzfM8csHD/STy61JKeXBofEpp27aHXyrwxBDhAAAAAAAAcAUxhHDjxvnt - 28+enZ211pZl2e/3pZTY+2d/9mf98A//4Dve8ZkhhG3bxtCBK1R513WdpmnMFNjv98fjMdyvOt+9 - e/dwOKSURp633G4AAAAAAAAAAAAAAAAAAF5T5+fnY3bz+DpmGYcQxmbbu3fvnsYrjzHQITxCwPaI - /U4phR5SCh/72E998IN/eLc7hJ6efzzw8uu4IQAAAAAAAACAJ8E0TWOkeQhhnuezs7Nwfy76zZs3 - j8dja23bthjjCNh+VCOlO+c8Qq9DCLXWkeE9jvf7fUpp/PQVo8Fbaznn0WdyOBzGwZ07d0bAdq11 - nOgK1/lylmUZ3SwppXHNY7r7NZ4CeMOS4gAAb0St+VAOAAAA8IbSnt/A//ze/ritbSr73mLoKee8 - bVsIrfdaSv7Xf8Vnf/g7/9TTT92YSuitxRByTCGkUnal7EJIp9EDD6/+rus6DuZ5Pj3z4veenhkV - 3/Eu/78EAAAAAAAAAAAAAAAAAMA1Gntax6DnnPN4svc+nq+1joNt20LsPbQe2ungtB/2ZZZuPT63 - Pba3EHr46H/zQ3/2o98f0q723HsspWy19th6rynFENvzj+ekBx7Prfmi1wAAAAAAAAAAPIFGO0cI - Yb/fj4Pdbtd737Yt59xaSymNBO7x09OE85TSaAJ5SGvHeFet9ZT8fToYa471a62llNNZTiPWXzA1 - fYR/xxhjjNM0veD5F5zokTw4v30cj4s5PR9jPHW8vEIrC/CkEN0NAAAAAABwJf3l6iyt9a2H+s53 - /urv/d6PjBJszrH1VkrZtm3btmmaYoyllPBK0d0vaZqmUwV6JHmP4ne4P+PgVA++uLi4+g0CAAAA - AAAAAAAAAAAAAMAraa3FGLdta60dj8ebN2+G+3ne0zSN3a+ngcgvJ6YUen8uuruHw+EQQvjmb/7W - v/SX/ufeeym7y8v5xo0brbXD4TDPcwg9hP663B8AAAAAAAAAwJvSmIXeWmutzfN8f2R6PiVYj5/W - Wh9M9X4kKaXeeyklpXQ4HO7evRvuj0kfaeKttWmaWmujt6T3PjLFU0r7/f5qEd0vp/c+7mucKMZY - a73afQFPDNHdAAAAAAAA12zbllJSyuGd73znD/3QD52dTbX2GzcO27bEOOYF9FEkPjs7G5Hbj2RZ - llHVrrWOAvOp+F1rXZZlhIK31s7Pz6+36gwAAAAAAAAAAAAAAAAAACettZzztm2llDH7+Pbt22P+ - cu99Xdex13XMaH7IOmNK8mkw9PF4PBx2l5fzBz7wgZ/92Z+ttR4Oh09+8pMppcv5OO33L3ktIdhX - CwAAAAAAAADwvHVdQwgppXB/vPlI6V7XdWR4j5eNUeePuvjIxi6lbNtWaw0hPPPMM6MJZJ7n06m3 - bUspTdOUUhpnGdczz3Nr7eEtJY+klFJrHYvnnC8vL8f9Xtf6wJuR6G4AAAAAAIBrduPGjdbauq65 - xM/7vF/1Xd/1XefnZ/fuHUfMdghhFIlTSqNq+6jrp5R677XW3vvhcEgpjZkFKaWc8263m+f5+u8K - AAAAAAAAAAAAAAAAAAB+qTHsuJQy9reOYcqttRhjKaWU0lobe2yXZdntdg9bK8be+2ki8xjf/IlP - 3PkDf+BLbt++vSzLfn+2mw6thhhjCKYqAwAAAAAAAAA8zGjDGAHbOefWWmtt27ZwP+V6tGeUUnLO - Y4L6IzkNXd/v9+Mg3G8m2e/30zRt29Z7H9nepxfEGEeG9zjpePJanJaKMc7zvN/vx7j461ofeDMS - 3Q0AAAAAAHDNluWYc0wp7Ha71tqv//X/9p/+099xOJR1Wfa7EkLb76fWQu+xlF3vjzwXYNS2R2n5 - eDzudrucc/ilJepaa0ppXddRogYAAAAAAAAAAAAAAAAAgNdCrfU0gjnc3/E6Bj1v2xZjHBtjw/00 - 7pfWeo5pTGQeL661hxBKCT/3c//yP33Xuy6Xebfb3b1794E50TH0FPoL9tK2ENq13yMAAAAAAAAA - wJvOSMgeIdljqnmtdbRenBow9vv9tm211qudIudcSpnneXw7uj5GcnYIYQSHj5dt21ZKGVPTW2s5 - 5yuf9OVM0xRCGHHgY/2U0ngSeMsS1QAAAAAAAHDNaq3zPKeU5vny6WduzfP8G37Db/iWb/mWs7P9 - um4hhHme9/v9qXb7qOuPKu/hcGithRCWZTk/Px+15xBCKSWEMJadpumB6QMAAAAAAAAAAAAAAAAA - AHCdeu9jBHMIYV3XWmsp5bS/deyK3bat9/7g8y9pvDfcT/guJe12ZdtCCOHv/J2/996veV9KJYQ0 - Tfve4osSuwEAAAAAAAAAeKFlWUII27aNr6fk7HB/nvk8z+PgNOf81Ysx1lrH4tM0jXzu8fyNGzdC - CMfjsbV2eXkZYxy53b33/X5/uqTdbndtt3q/56S1Nm5z3NF4EnjL0mcGAAAAAABwzW4cDjnGKcdQ - 28XFRZnSssy//bf/+9/6rd/ce8gppBjWdc45hhBqrY+6/rquMcbj8Xh65uLiIoSQUprneax5WvYK - pW4AAAAAAAAAAAAAAAAAAHg1YoynQO4YY855zDvuvS/Lsq5rzjnnPE3Ttm0P2feacw4hzPMcY5ym - KaW0bm1ethhD76GU8OM//lf/yB/9o4fzG2kq87aGEKR3AwAAAAAAAAA8xLquu91uXdcRzj3Gm4cQ - Yoy3b98OIeScSynbtuWcTx0gr17v/dQN0nuvtU7TNBpIWmsxxsPhkFI6OzsbYeG991u3bs3zPHK+ - w/1k8etSShmNK2NIe0pp27Zpmq7xFMCbjiYzAAAAAACAa7Zt27ZtrbVpl2PsIYQbN24cj8ff8lt+ - y7d927f0Hkb1eRRuR7n6kYwC9ihIj9JySimEMIrQ4f5cg1GHBgAAAAAAAAAAAAAAAACA18jY8Vpr - rbWObbM55zGUeZqm3vu2bbXWdV33+/1DRjzXWs/OzsaCrbWxT7aU0ntIKbQWeg8f/vD3/Pk/9wP3 - Lo6Hww0jVQEAAAAAAAAAHm6apnVdR3b1PM+jN2OkZd+8efN4PLbWtm2LMV5tpHmMsfeecx4h2SGE - WutoF5mmqZQyekhGK8gYqH7nzp0RsF1rHW+8xvtdlmW0r6SUTkPgrxBJDjxJ9JkBwBvL6QP66ZeB - 8WsDAAAAAG8uu92utdZ7Tz2UGJb1mHKYpvLbftu/94f+0AdCCL21qaQUet2WlNL476CU0qgch4f+ - v9Ao94YQeu/jeJS0e+/TNMUYx3tHlfrBt6zrGh74PygAAAAAAAAAAAAAAAAAAPhUjG2tOefTJtmx - bXbMdN62bexsjTHO8zxeMF45pkKPt4+vl5eX4wWnvbTbtvUQWg+thVJCSuGDH/zDP/VTP9Vaq7Wm - VHqPKZXWQoz5NHP5eT09/wAAAAAAAAAAeOsZHRohhP1+Pw52u10IYQxRPyV2n0aXv1xfx0sa76q1 - ntYZByPJe9u2kd6dUhpj28drTuPTH3zjIzldUkrpdJG999PzMcZXM+8deCvQOgYAAAAAAPA6WZal - lPK7f/fvfv/7vy6MsnToU5lGfXo8U2sd2dtXC9hure33+5RS7323253K3qNCfKofX1xcXOeNAQAA - AAAAAAAAAAAAAADAL1VrLaW01k57aUsp4YFk7hBC7/38/Pzh+2pLmUII2xbGrOav/uqv+dm/+/f3 - +7Naa0ppWZaxkTaldJq5DAAAAAAAAADAQ1xXX8dLGmuOAO/D4TCGrqd0bfm5vffRJTJOFGOstV5t - rjvwxBPdDQAAAAAA8FqKLcSWeku97Q/Tus05p3e964u+9Et//6jhrtsaQsg5j5p0CKH3frX67ihy - z/McQhgV6BhjSmkkgo/g8BBCa+38/HxEegMAAAAAAAAAAAAAAAAAwGsh5zzPc0ppzF8OIWzbNgK2 - d7vduj63x/bi4uJlRjOnMTd1Xdcewm4/9RB6iLefvfulX/plv/iLv3jv3jHGfPPmU/fu3Rt7aa9x - xDMAAAAAAAAAwBPsU+7reIXFQwgppVLK8XiMMYYQrnEueiml1jouLOd8eXkZYxxnAXgBLWUAAAAA - AACvm9Z73+qSc37ve7/mi7/4i07l5m3btm178KWjrvxItm3rveec43299wdL3SPVGwAAAAAAAAAA - AAAAAAAAXlOttZTSfr+vtY5neu8xxtbaiNne7/fjyVdcqpQSY5zn9XA41Np7D//4n/zT3/vFv+9t - b3vbs88+u23brVu3DodDSmlZltf2rgAAAAAAAAAA3vyusa/jJdVaY4zbto247t772dnZdV18COE0 - 0T3GOM/zfr9vrY24cYAXEN0NAAAAAABw3Xp6/jHEFmLrdc2h73YlxHZ5vPjGb/zAF33Rf5JSyDmG - ENL9HO9pmmKMp3L1o6q15pxHPTvnXEoZx9u2jSp4Smld19PpAAAAAAAAAAAAAAAAAADgeo3JyL33 - nHMdgdsh9N7HFtdpmuZ5zjm31na73RjT/JJynratxZhzzsfjcTx5drb/W3/7//ri/+z3PvPMM8uy - 9N7v3r07lh27ep97AAAAAAAAAADwItfV1/Fyzs7OxpoxxhBCKeXy8nKapuu6/rFU733btnELKaVr - XB94kohkAAAAAAAAeJ2UUtZt7r3fvfvJs7OzZT2+//3v/x2/4z8YP80555xDCL33UUu+gt1uF0LY - ti2EsN/vH1yqlDLOEkKYpmkUrQEAAAAAAAAAAAAAAAAA4NrFGPf7/djoOva3ttZOo5zXdQ0h1Fpj - jMuyjLnPL6nWOk1Ta63WnlIqpZRSLi/nVNKP//j/8h1/8k+llO7du3c4HMYpXo97AwAAAAAAAAB4 - M7uuvo6Xc3l5mXOepmk0foyA7bHstRhLtdbGtY0bucb1gSeJ6G4AAAAAAIBrFnuK/SWqMPfu3Xv7 - 299+797d8/OzUtK2LVtdPvShP/IFX/AFZ2dn67rWWsP94O1Rq35Uy7KM907TNM/zzZs3QwgppXme - Qwi11nGKcL+QDAAAAAAAAAAAAAAAAAAA127saW2tXV5ehvuzkmOMtdb9fh9CyDnnnHvv4aGR29M0 - res6Nt7GnLa6bXUrpWxbqy388W//jh/7sR97+umnl2XpvZdSXqfbAwAAAAAAAAB407quvo6XM1o4 - ThHgKaWx1HUppZwWDyGklLZtm6bpGk8BPDFEdwMAAAAAALxOdrvd7du3d7tda+3u3btnZ2ej8Pyn - /9Sf/E2/6d8Zr8k5ppRaa6eM7UeSUiql1FrXdS2l3L17N4QQYzwcDuMg53yFIjcAAAAAAAAAAAAA - AAAAALx6Oecxefns7Oz0bQih9348HsdBrXVkcj/E2DN7em+IMeW8bVsIoexKCOF9f/Brf+InfnKa - phjztm2hG7UKAAAAAAAAAPAw19XX8XLGlPWcc0ppfHu90d3Lsox08JTSuPJSyvWeAnhi6CcDgDeu - GGMYPeIAAAAAvKn02Hq8H4/d04OPnKYccmzxMO3qssZWc+y9bt/+x//Yv/ubf2MMIcTeWstTCTGE - kHLZhZBCSDHmEFIMKT60vtNaG7MGQging977NE0xxvE/Tqfna60jxvtUEfefUQDAg3rvoxFtGJ8l - AN7sSinjH7TxdVmWx31FAABPpvFB6/TR68FfMAEAAAAAAAAAgLeIF4xvHt+Oba3ruoYHpief9q2M - XqP4nB5CC6Ft2zIO2lZD622rIYQQ47xsIabj2t79Je/5h//o/4l5V3uutec0rUudyj70WrdlKqlu - WscBAAAAAAAAAJ73kn0dMcZ1XU/jyh98zTiepik8MFXm5RY/DT9vrY3jq80/P50ipXQ6ae/99HyM - 8XSR5qYCL8n0KwAAAAAAgMerhRDPzw5/4k98+7vf/e6Pf/ynawx13cpu2tZWty2ElGJqfUsp9dZe - eb2XPEdr+/0+pdRa2+12o+x9KifnnLdtSyml9LBccADgrWPbthBCjHF8QmitTdM0JiIBvKntdrsR - IVlK2batlNJ7b1f9VQsAgIcYm5167+Oj1/jf6fFhDAAAAAAAAAAAeCsrpazrOk3T5eXl+fl5CKG1 - NsYo11rHrpbe++g4Gg1IL7lO7zGlXFsLITz77J2v+Iqv+t7v/Z7P+IzPSKEvy/FwOMzznGLY7/e9 - t1LKVcY/AwAAAAAAAAC8xaSU5nluraWUlmWJMcYYW2u11tNreu/n5+cXFxev9cWMUeojAjyEEGOs - tT4Y3Q3wiqQvAAAAAAAAPGY5x2ef/cSnPX3rIx/5yK/5Nb9mt0shhG1ZUw4h9VJSDzWEMHK1c8qP - un4ppbU2z/NpkRHDOUYVHI/HWmspJaU0Ss7Xe3cAwJtRKWWaphjjsiyttf1+v67r+CAB8Ka2LMtu - twshjN99Rg+uf98AAK5dSum022p89JLbDQAAAAAAAAAADL33aZpCCLvd7ng8ttbGTtht20a70c2b - N8eulmmaXi63O4SQc26thdBijD2En/17f+8973nPvXv3lmV55plnLi8vT9tml2W1fxYAAAAAAAAA - 4BVt25ZS2u/3IYSRlt17HyP7cs673W5d1xBCzvni4uJ1mONXSqm1PjeePefLy8sRJf5anxd4khg5 - CgAAAAAA8Ji11s7Ozi4vL6ccv/u7v+vffOfnpxRSCq3WGONppsCoDddWH3X9Maog5xzv672P+ncI - 4XA45JyPx2MIodaq5AwAhBB67+u6ttZu3LgRQpjnOYTgcwLwZBjj28avPyPGe/QEAwBwjcZHrN1u - F2OstU7TJLcbAAAAAAAAAAA4GUHap22tY9NrjPHs7CzGePfu3ZxzeKXNLLXWMSc6hJBSaC387b/9 - f37wgx/MOf/Lf/mv9vuz/X6/358ty5JSGmHhAAAAAAAAAAA8RCllr09X+QAAIABJREFUTIwZid2j - x6OU0lqrtS7LMro1xvOvg9OE9hjjPM/7/b61NuLDAV4l0d0AAAAAAACPW2xlSqWk4/H4aZ/2aR/5 - yPe88/M/r/UQQ9hPJYTWe805LssxhJaumphZa805j3p2zrmUklI6VZ0Ph0NrbbfbnZ4BAN7KYoyH - wyGEcO/evRhjSmm/39daH/d1AXyqxvi20Ws78iN3u90I8AYA4BqNT1nLsoxxt+MD2PgwBgAAAAAA - AAAAvMW11kYmd0oppXQa5dx7v7y8LKWE+2OXTz1IL2lMZC6l9N5bCymFWsOP/Mh//30f/WjMeSyy - rGuZ9mXaL6t9MQAAAAAAAAAAr0rOudY6Gjxaa9u2pZRCCNM0zfOccx7zzFtrr/WVjNaR3vu2beOq - UkoP6ScBeDHR3QAAAAAAAI9Z7/3i4mLbtmeeeWrd5qeeeup7vue73/nOz+09HI/LeM1IyowhngYQ - PJIRRDfmFOz3+957jHF8Pa0/Kt9jogEA8BZXaz0ej6detNbamGT0eK8K4FNXax2/Hx0Oh2VZYozb - ti3L8rivCwDgSbMsy7ZtMcZlWQ6HQwhht9uN/+gGAAAAAAAAAADe4nLOvfexXSWEUGsd35ZSdrvd - uq455/GyGOO6ri+3ToyxlLJt2+FwiDH2PuLAw4c+9M0f+9jHQkgplW1rIYRt2/QvAQAAAAAAAAC8 - GmM6XyklxjjPc4zxFNE9GjlGqveyLGOq+WtqnLG1Ns41Zqo/pJ8E4MVEdwMAAAAAADxmtdannnoq - pt5aa22bl8vP+GWf/l1/5s+881d/TgwhxZBTSDGkGGLqPVwlunuUunPO0zTN83zz5s0QwjRNOecx - 1+BU+TZ6AAAIIeScU0rruu52u96f+/jhcwLwBNjtdiOx+3g8hhCmaQohlFIe93UBADxpxkes8XHr - eDyOrVa73e5xXxcAAAAAAAAAAPCGEGPsvR8Oh977yO3uvW/bNvbD1lpTSuPJhyzSWtu2bZqm4/E4 - XrnbldZC7+F973vf//7xj8eSD4fDsmythRs3br5O9wYAAAAAAAAA8GY2psTUWtd13e/3d+7ciTHW - Wvf7fQgh55xzHq0ap8Hmr51SyikvPISQUhrtIq/1eYEniZGjAAAAAAAAj9k0TRcXFznnrS4jHXNZ - j5/5mZ/54Q9/5xd90bv+0T/6f0+DBXoPpaR1e+RqdEppVJRrraWUu3fvhhBqrTHG8YKcc611fL2+ - OwMA3sRSSiGEEXA7WuIePu0I4E3h9M9ajHHkR4b7/+IBAHC9WmvLsqSUxmjd06cvAAAAAAAAAACA - sad1HJdSQginRqNSSmuttTb2wJ42trzY2BU7fnp/I8yWc2yt37lz/Oqv/uof+ZEf+Yxf9ssOh8Px - eJzn+f6eWgAAAAAAAAAAXtbo3Cil5JzXdb1161YIofd+PB5HI0dr7XUbZj7mB4YQUkqj4aSUMppM - XoezA08GI0cBAAAAAAAes977mC/Qew+1xdZLDLHXd7zjHR/5yPd81md9RghhVIHzlXK7QwittW3b - xvHp4EGjyC23GwA4ae25Tx0Su4EnzPhnbbT8jmde8rckAAA+FaePWK210wewx3pFAAAAAAAAAADA - G8gpt/s0RjnGuG1b733bttbabrcb228f0npU6xrCc/tnx8zoEMLI8s45/PzP/+K73vWuy3m+uJxj - nmLJOedt2x4cIa2vCQAAAAAAAADgBVJKpZRxPE3TOIgxruvaex/tFqfej9PxeOVoBblCrnZKz0fr - TtM0VngwojvG+OKGE4BXQ3Q3AAAAAADAG1MLsX3u537uRz7ykXe849N7DzGGWlvOSsIAAAAAAAAA - AAAAAAAAADxRSikXFxfTNOWcl2UJIdRarzZqudbQe/iH//CfvOc9X35+fl5rTbEcj8t+v5+mqfe+ - 2+0uLy/NcQYAAAAAAAAAeJVSSvM8t9ZijMuyxBhH3nat9fSa3vv5+fmI934krbUQQinl7OzslBF+ - hXUAXkx0NwAAAAAAwBtJbCG2cXiYdr/4C7/w2Z/92d/3fd938+aNGEPvIcb8eC8QAAAAAAAAAAAA - AAAAAACu15jd/Oyzz46BzimlR43W7iH0EGJ67mvM4WN/7a9/wwc+cHZ+fvfu3aeeeuri4uJ4PI6M - 8Bs3bqSUxghpAAAAAAAAAAAeYtu2lNJ+vw/3Y7Z77621lFLOebfbresaQsg5X1xcXLkfY9u2y8vL - lNII7RbdDVwLLWIAAAAAAABvUMfj8ebNG+eHs8/5nM/5vM/7vBBCSmnbtsd9XQAAAAAAAAAAAAAA - AAAAcJ22bVvX9ezsbIxdbq313q8wyrm1kHNsLbQaUgp//s//0Hd+53f+a29/2yc+8YmnnnpqrHk4 - HMaO3WVZrv9OAAAAAAAAAACeLKWUGGOtdSR2j+6OUkprrda6LMtI9b5y2PZutxsHMcbe+zzPIYQr - R4ADPMg/JQAAAAAAAI9ZC6mFFHoKPcXxCCGGkGNqrS3L8uwvfuJnfuZnQgittVLK475eAAAAAAAA - AAAAAAAAAAC4TtM05ZzH8cjVDiHUWq+wVK09hNB7aDWUnL7tv/72v/gXf/SZZ565uLhIKdVaU0rr - um7bNk3TdV0/AAAAAAAAAMCTLedca40xhhBaa9u2jXTtaZrmec45t9Z2u11r7VFXXpYlhLDb7UY0 - +H6/X9f1CusAvJjobgAAAAAAgDeodV1LyvM8v/vd717XdVSglYoBAAAAAAAAAAAAAAAAAHgijazu - GGPvvZRyCvN+9e6PhM45xxDCtrVtq9/wDd/w8Y9/fJqmlFJK6eLi4saNGymlMUsaAAAAAAAAAICH - G+napZQY4zzPMcbTvPR1XUMII9V7WZbRvPFIbty4MQ62bQsh9N5771dYB+DF/FMCAAAAAADwBjVN - U631q77qq376b/ytdd22rYWQJHcDAAAAAAAAAAAAAAAAAPCEWdc1pZRzbq2llLZtu7y8HEnej6S1 - tt/v17XW2sczpUyffPbel33Zl/38z//8yOo+HA6ttVLKPM/XfBsAAAAAAAAAAE+i3W4XQqi1ruu6 - 3+/v3LkTY6y17vf7EELOOefcew8htEcfpH7v3r2c8+3bt0frSIxxt9uN1QA+RaK7AQAAAAAAHrPU - Q3pB/benHlII6Uu+7D0/8RM/0ULoPUy7XQghJfUdAAAAAAAAAAAAAAAAAACeKNM0tdZGbvfI1U4p - XWEEc0ppBHIfDocQQs55Xdec4z/7uU986Ze+5xOfeHbbWkplXdfj8Xjjxo3rvxMAAAAAAAAAgCdO - a23btpzzNE3rut66dSuE0Hs/Ho/joNaac77a4qWUbdvOzs5G68joGIkxXuP1A29Zoh0A4I3l9EE/ - 53y1fnEAAAAAHr/YXvh4+MtTb30rpYQQQsxb7alMLaQP/pd/+H/92E8uNcSUegittRDai1K+AQAA - AAAAAAAAAAAAAADgTS+llFIaB6evL/j29JqX09pzG3vHYOhaawih1h5C+Jmf/Qdf+/XfUHtctlb2 - h1imy2XtvccYx9i38XVda87Ta3ebAAAAAMAVxBhjjLXWUooQBwAAgNdfSum5OeohTNNznRUxxtZa - a22k751eMF7/giTv8e145Qs6QNZ1Pb0rCO0GrpXobgAAAAAAgMcs9tC22lpb1zWlNE37Zavf+q1/ - 7Af/2/9unteU85gRUEoJMbRaH/f1AgAAAAAAAAAAAAAAAADA66H3nnMupbTWUkpj3PNpAPSrt9uV - ea5/5a987Ju+6ZtzLnXrI+lnrFxr7b3XWnPOt27duri4eC3uBQAAAAAAAADgSTLaOY7H47Ztu91u - nucQQs455zx6PMa3u90uhFDvz1c//XSappRS7/0x3gLwxBPdDQAAAAAA8Nro6fnHQ7XQb9w8v7y8 - vHnz5rZt67p+9KMf/chHvndda0ohpefePkrOKefX/MoBAAAAAAAAAAAAAAAAAOANoLV2eXm5bduY - 2lxKSSmt6/qo6yzLFmPovX/f9/25H/7hHx6zoUsp9+bjUrcY4zRNOefj8VjrOk328wIAAAAAAAAA - vILRgHF2djbP8xiiXkqptY6U7lJKjLHWuixLCCHGmHPuvffeY4xjhWVZxiIArxHR3QAAAAAAANft - VcR1P2hUkff7/eXlXMruR3/0Rz/0oW+uNYzM7nVdY4z7/b73HkIYXwEAAAAAAAAAAAAAAAAA4ImX - UhpTm8fI5m3brrZODLH30FrIOb7//X/or/21nyx5tyzLrVtPn52dhRDu3buXc845L8uy2+2u8x4A - AAAAAAAAAJ5EpZQQwrqu+/2+tdZ737YtxphSGs+fZqqnlFJKtdaUUmttNIR84hOfyDmPFwO8RvwT - AwAAAP8/e3f7bFl214f9t9ba+zzce2cGiKv8ylSFVOVv8B/hN3Eqr1wpSyOBJCQhaYQsi7GITeRE - ENnCuBKbgkIhxAFijJBlLKeckgsLhIQdZAlUPBojjR4AI013z719HvZea+XF7rnT0jx1t3qme6Y/ - n9p1ap999ln77OqaqXPP3t/1BQCAe6y3NE8tIvfef/ljH3v3e35wGCKlaC1au7HP4XCIiKEMvbYX - GgsAAAAAAAAAAAAAAAAAAF4t2tNp22malpVSyjiOtz1OpGEcI6LWPgzxzne+8zOf+czp6en5+flu - dxiGIec8TdNqtUop3XFBOAAAAAAAAADAg2O329Valxs5luru3nuttbW2FHiXUkopy6u11mEYlqfz - PB+Px9PT03t8AsADQHU3AAAAAADAXZefXm7JZrNJKW02m09+8pNvecvbhyGWOP84jEMZSim992XP - 5YoyAAAAAAAAAAAAAAAAAAA8CHLOy7TOEdF732630zTdabV2Hoel8zs/+eRT73jHY//xD//TdnO6 - zBl9cnIyz7PSbgAAAAAAAACAW7Tdbksp+/1+qeVOKfXel8f9fh8RS433er2OiFLK9evXr1+/vtwH - klJaBnG3BvCSUt0NAAAAAADw0rmlDu9jbYe5/sonfu3tj31/71FrRETvMdV5qnOtNec8DEPO+XA4 - vByfGgAAAAAAAAAAAAAAAAAA7gPLhM7LZM211qtXr56eni4zON+uaZqO87Rar6e59RRPPPHld7/7 - 3VeuXPmO7/iO3fGwOx7SUKLkSC3lOxkfAAAAAAAAAOBBs9vtNptNKWWe51rrco9Ha221Wh2Px957 - a+38/Hwp8x7HcRiG5caP1to8z733YRju9UkAr2aquwEAAAAAAO66F6/rvnnn3vsf/cc/fvOb33zl - ykVE9B6lpIjoveecSymX148jwiVkAAAAAAAAAAAAAAAAAAAeECml1lpETNNUShnH8eLi4g7ytjnn - iCilHI/HiCg5tRaf/vRvPv7437ry5LXt9jQilrmh53ledgYAAAAAAAAA4AW01rbb7eX06cuE6hGR - cz4cDqWUiOi9LyvL/Ri995TSfr8vpQzDsFR9A7x0VDsAwP1l+ZNg+TuhtZZTiuj3+kMBAAAA8K1o - l2sppXmeI2K9Xs9zG4bhcDgMQ/rjP/rC6173+iefPE8peo+IaD1FiuiptYioy9uXa8/LCM9nvV4f - j8dlz8uD3vwUAODWDcMwz/PlTWy+VACvGpd/KPXel8v09/oTAQC8Ci1ftJbvWn6pBgAAAAAAAAAA - 7ljvPefcex/HsbXWWru8PWlZKaUsEZhl5fnGWSaGrrUvc7vV2iMiUvzyL/8/3/Vd/+Xb3v7WiLyM - n8rYIt+cEQYAAAAAAAAA4NmWNu6bJ/RbtkTEer1eVi5fvXnnzWbzcn5O4EGW7/UHAAAAAAAAeFC0 - 1lar1Wq1OhwOvff9fr/ZbL74xS+9/vXf/ad/+rVhiJRiuaa8hP/vwDJyRIzjuDxqQwEA7sAwDCml - Za6iZWKj3vswDPf6cwEAAAAAAAAAAAAAAPBguZy+ubWWc14SLr333vtms+m9z/N8dnbWe7/lfO5N - c7H2iIh/+A//8S/+s18qpaRUhmEQzgUAAAAAAAAAAHh1UN0NAAAAAADw0kstUuu9T9M0TXUYVhH5 - 7OzhL3zhiTd/71u++MWv5JKmOWqLlIceEZFvuo6Tb/Gazunp6bIyjuM0TSmlaZpUbAIAd2Ce52WO - obOzs5TS8Xgcx3Fp8gYAAAAAAAAAAAAAAICX01LLnXOutUbE8Xhcwi+Hw2HZ4fz8PJ7u9n7+YdpN - y02DR5Qx/ubffPxTv/4bQ1ldv74fhtU832ILOAAAAAAAAAAAAPcv1d0AAAAAAAB33WVo/xti+eVp - KaV5nv/zn/35277v7Z//3T8oJS0TAQxDXhoxU0p3cNSLi4uIODk5maYp55xSGoZBxSYAcAdSStvt - NqV0fn5eSok7/X4CAAAAAAAAAAAAAAAA34ppmlJKS8KllDJN02q1GoYhIpYU7TAMKaVxHCOitduu - 3B7HMk8REW9729s/+9nPffu3/Re9pResAAcAAAAAAAAAAOCVwa1gAAAAAAAAL7F0I+Q/z3POeZqm - 3lPJw1/7a//95z//O0MZau3TVFNKOQ8ReRhWKaXe++0eJ+e8Xq+vX78eEeM4ttb0dgMAd6b3vtvt - bp7D6Hg8LhMYAQAAAAAAAAAAAAAAwMtmHMfj8Rg3FXXvdrslh5tzbq0tsdxa64sMlCLSc2yephoR - pZSvfe3qY4899id/8iettTuoAAcAAAAAAAAAAOB+o7obAAAAAADgJdIinuntjqfz/8MwzPP8pje9 - 6Q/+4A+muc51LsMwDEPvfZk4YBiGO8vzL13dpZSc8+FwWLo2l0cAgNsyDMNqtZqmqZQSEaWUlNI0 - Tff6cwEAAAAAAAAAAAAAAPDASSlFRCnl/Pw8pbTdbpct8zynlPb7/dLeHRHjON7B+OM4LgXeX/zi - l77ne96w2+1PtmembAUAAAAAAAAAAHilcx8YAAAAAADAXddu9HZ/oyXtX2t917ve9fF/82/n2lbj - KiLP8zzXOSKnPOSc9/t9RB6H9e0eNedca621LtMN9N7HcZzn+Vs/HwDgQTPP8/F4jIhaa865tbbM - XgQAAAAAAAAAAAAAAAAvp3mex3E8HA4ppbOzs4jovc/zPE3TMAwRkXPuveecSynTNL3IcCkifcOG - YRimaeoRKcfxOP/2b//2+973vuvXr79U5wMAAAAAAAAAAMDLZbjXHwAAAAAAAOC+l57u4e75tt97 - 01vOz6+fnZ29971/6yMf/Verko+17adjTkNP0fqNQ7TWImIY8jQfbvdQrbX1en04HJa67t57rXWZ - dAAA4HallIZhaK211lJKyxYF3gAAAAAAAAAAAAAAALychmHova9Wq957SmmapnEcl/zsknwppSwv - lVLuYPx5np8J0UQcj+2f/tMPf9d3fdf3vP61d/tUAAAAAAAAAAAAeFndfr0EAPCyWPoPAAAAALj3 - UovoN5bUbiw35G++2pJapNbqFL2u1kPvvffeWpRxe5j6anP6t3/o7/7CL36kRxxry6VESrXPrbXo - EdF6myNaRJvn47Jy0/INcs6XndyXKymlw+HQe1/6v3vversBgG9FrfXye8XlIwAAAAAAAAAAAAAA - ALyc0tMiYhzHy+0555xzSqm11nuvtS77fFOH942n/ablG83zfCNE8/Ty/h/+ex/95Y+1lGukslrX - 6Gkorc896rOzxqnn1M3vCgAAAAAAAAAAcN9xaxcAAAAAAMCtWCL0t1RXuV6v5nna7/fzPK/X22EY - Li6un5099OM//hMf+tDP7A/Tww8/3CNqrT16Kndyvaa1Ns9zKWUcx3mez87OIqL3vt/vl5Vaa86u - BAEAAAAAAAAAAAAAAAAA8KqVc6619t4jYr/fLyu11mEYImK73S47LE9vy3ve8wOf++xvtxq73S7n - YZ7nnIbnGUekFwAAAAAAAAAA4H7k7i4AAAAAAIBbkSNSRIqeoz/XFZbUIi313lF77yltN6fjOO52 - u+Px+PDDD/+jf/SPPvjBDy47XLt2LSLGcbzjT7NarSKi1jpN03q9Pj8/j4jW2nq9johSSill2XOZ - YgAAAAAAAAAAAAAAAAAAAF41LiO0l7na9XrdWkspLXXdEbHb7VprETHP8+2Ov9tNjz766Pn5+TAM - u91uOcru+uHZWeOeWk934YwAAAAAAAAAAAC4u1R3AwAAAAAAvKC+lHbfhsPh8NBDD11cXCzZ/t7T - hz/84Q984AO19lJSRKSUImKappRzb+0OPtTxeIyIYRiWw6WULucXWOYOWCYUmKZpORYAAAAAAAAA - AAAAAAAAALxqpJSmaYqnQ7WX5dyttaXAe+nzTiltNpuc72T+1YuL6//Nf/NXj4d5uzltLVIq4zje - vTMAAAAAAAAAAADgpaW6GwAAAAAA4Fak6Dn6N11baRHPUby9GjeH/TRNtdY+rref+o3feNvbHpvn - vt2ua+2l3Ijl55x7bek2e8EvlVJqrUszd611nudlfRiGw+FQSmmtjePY7qgaHAAAAAAAAAAAAAAA - AAAA7luXQdpSyuFwGIYhIlJKvffl1VprKaX3vt/vlxDu7R8innjiq48++uiS2z3fXS+r9TftctMC - AAAAAAAAAADA/UV1NwAAAAAAwF2WUjoejw8//PA4jp/85Cff+MY3Ltt3u8NqNbTWjsdjznmZAuAO - DMOQUqq19qellIZhWCYROB6P6/X6xUcBAAAAAAAAAAAAAAAAAIBXvvV6fTwea62ttcv27oiota7X - 65xzrfV2x8w5WotxLJ/+9G++611/o+Tx9OShaZpM5QoAAAAAAAAAAPBK4X4vAAAAAACAF9Nz9Nu4 - qjL31nO5vj/+h8/99hve8Mb9vt4I+KcUqfTeSylLvfedtXfP85xzXvq5W2sR0XtvreWcSymr1Wqe - 54jIOV9cXOTsehAAAAAAAAAAAAAAAAAAAK8qNwdp53lerVallJzzErPtvS/B28PhUEq5g/Fbi5Ri - mmrO8Uu/9Es/+ZM/Oc9zKaWlaOnp9G5qzywAAAAAAAAAAADcZ1Q1AAAAAAAA3FU9T1N96KGHvvSl - L73mNa+5uLj+zCu9Hw6HnHNrrdaaUlrC/3cg53w4HFprSwV4SmmZWaDWuhxoeTw9Pb0bpwQAAAAA - AAAAAAAAAAAAAPeX09PTy1BtPB2zHYZh2VJrrbWO47hsv1055d5jHEtrMc/xwQ/+6Mc+9q96S9FN - 5QoAAAAAAAAAAPDK4H4vALhPpZRSSvf6UwAAAACwyDctzxjHcZqm3vswDHXu89TWq+08z+v1+vd/ - 7w9f+9pHr169WLL8PaL1G+9qrd08C8Dy+JxKKZcHWn4syjnnnFNKy3GX9y4zCNz8lnEcI8LvSwAA - AAAAAAAAAAAAAAAAvIotcdolWnuZzD0cDsv23vs0Ta21y6DuskPOedn5BdK4tbdcynGq/caY9Qd/ - 8Ad/67d+q/deSplanVotpSyDS/UCAAAAAAAAAADch1R3AwAAAAAA3KFpmsZxLKXUWodh2G63V69e - PTk5+5Ov/tmjj77uy1/+au9RSlpS/DcXbN+iWmvcVBC+pPeX5u/9fh8Rvfda6+U0AQAAAAAAAAAA - AAAAAAAA8CDrva/X62maaq3zPPfel5BvSmmp6x6GobVWa00p9d5fYKgl6ptzzjmGIT/55FNvfvNb - vvKVr/aWIvJms7k4352cnEzTpLobAAAAAAAAAADgPqTIAQAAAAAA4EW1m5Zn9N5TSvv9sbXoPR0O - 0+npQ3/yJ3/2xje+6Qtf/FJt0SPG1aa2iIh5niPyHVyduez8vmzpbq2t1+uIKKUscwQsH+ZbO0cA - AAAAAAAAAAAAAAAAAHhlSynN8zyO45LDnaZpmqbee+99mqZSymXN9pIUftEBc861xTS3cSxf+cqf - PfbYY1evXo2I1tpqu7n61EUqpcr5AgAAAAAAAAAA3H9UdwMAAAAAANyhJbe/Wq3GcZymqZTx/Pz6 - 9739Hb/1W7+TUiol5Ry73S7nvFRu35ndbresjOPYWrus6J7nOSJqrRExTdOtTA0AAAAAAAAAAAAA - AAAAAACvYq21YRh670sUdxzHy5eGYai1TtO0rJdS+vNXbqeUlvTuPM+llGVjKek3f/Nz73jHY0NZ - 9Z5q7Y888kgp43IsAAAAAAAAAAAA7iuquwEAAAAAAG5Nat+0bDab69evl1J2u91mc1Ln9ta3fN+v - //q/Ozs7qbW3Fq1FRL5cud0DLjH+zWZTSkkpTdPUWmutLTn/YRgOh0MppbW2tHrf7RMGAAAAAAAA - AAAAAAAAAIBXkqVFO6W0ZHLneV4iuhFxWdS9Xq/nea61vsA4vfeU0jAMEZFzjojjVOfax7H8yq/8 - 2vvf//55nsdxfOqpp+Z5HobVS35iAAAAAAAAAAAA3CbV3QAAAAAAAHeotbZarXa73Wq1qXN761vf - +iv/9tc2m9VT59fHccw5p5TGcYyIpWz7DsaPiOPxWGvtvQ/DkFLKObfWaq3H43G9Xt/lUwIAAAAA - AAAAAAAAAAAAgFes1Wp1PB5776vVqrU2DMM4jkuTd0QsVdyHw2FZeeEIcGttSQpP0xQRpZRhGOa5 - RsRP/dRP//zP/dPj8TgMq5TSC7eAAwAAAAAAAAAAcE+o7gYAAAAAAHgxqUVqz948z3MpZbvdzvP8 - 7ne/+1//649HxH5/zDlP81RbLeMwTdPS4V1Kud3D9t4jorW2VHRfXFwsG3POpZTVajXPc0TknC8u - LnJ23QcAAAAAAAAAAAAAAAAAgAfdMAyXKd1pmpb1lNKVK1fimQbuuZSyvPSc1usxIna7Xc55ifHW - Wud57j0efvistfihH/ofP/OZz9Rax3F8gXEAAAAAAAAAAAC4V1Q4AAAAAAAA3Kael2W93p6fX+89 - /c8//CMf+chH05BbRE65tTaMY0TM05RSaq1FRK31do+TUkopRcThcOi9L13dy5ZltCXG33s/PT29 - u6cIAAAAAAAAAAAAAAAAAACvRCmlpWy7lDKOY0ppyeSenZ1s7fwTAAAgAElEQVTt9/vW2pLYXSLA - z+dwmIYhR0Rr7bKZexiGYcjXrp3nHL3Ha1/zut/73T/oPeU8vPSnBQAAAAAAAAAAwO1R3Q0A953l - 5uzlhu/W2lLFBAAAAMBdlZ+1PEtql0uvbT2u5qn1lrab01p7z6WlPLfIw/pHf+wf/tSHfmbufZpb - j9wiRcR8nKJH9Oi9RrTW5oj29PIcxnFcVtbr9eWW3nvvvZSyJP+X9eXVZWV5l1+QAIC7brlitVqt - LrcsV6/gAZdSmuc5Inrv0zRdfnvnFWT5A6r3PgzDzVsAAAAAAAAAAAAAAHjVuLxR/OaVJRGQc661 - LlsuC7mfndtdHuf5Ri74cs95nmuLHlFbzDWOU3vjm97y539+LcWQUrmMG8zzPM/zZrNZjgUAAAAA - 3C0pJTNFAAAAAHDrzKoMAAAAAADwIkopFxcX2+12nuerV5/abrfRc537PNdf+IVf+Ac/9r8OQ279 - mQa42x1/HMdpmpbeuMPhsNlsImKapog4Ozvb7/ettXmeU0pLhzcAwEttSSgdDofVarXMPSSwBMts - Wcv39tbaOI6Hw+Gy/plXit778jdX7335P9vhcLjXHwoAAAAAAAAAAAAAgJfcEgFYbia/uLhorW23 - 2+Wlmwu2e++np6cvkBe+TPuu1+uI/OUvf/V1r/vu84tdrXUYhtPT0ytXrjz88MObzeZrX/vaarV6 - Kc8JAAAAAAAAAACAF2LqWAAAAAAAgBdRW5RhNc/zer0uZbx27dp6e7rZbH7u537+ve/9273HPLeI - GIZhmuqLjvZs0zRdtnfP87y0dC/J/ytXrvTel77MeZ6HYWit5Zzv8hkCADxL7321Wh2Px4hYVrR3 - 84C7/Fq+rLTWXmAeLu5ny99Wy2Otdb1e3+tPBAAAAAAAAAAAAADAy6HWmnNurZ2cnETEbreLiJxz - SqmUsuRoSikXFxfLbs85SCml1lpKORwOEZFS+tznPvf444//vR/5u+v1+NRTTz3yyCNf//rXt9vt - drtddn4ZTxEAAAAAAAAAAIBnqO4GAAAAAAB4EfM8n52dPfXUUycnJ8fj8eTk5DjXj33sY3/n7/yd - lCJ65ByljNM0RSoREbff3zdN03KgeLoLsNbaWqu1DsMQEYfDYemT09sNALxsLmuJp2lar9fzPJsq - iAfcfr/fbDbxdI13RCyTbd3TD8XtmaZpHMeISCkt/3z+EQEAAAAAAAAAAAAAHgStteXu8d77NE29 - 9+XpUtFda12v14fD4TJQ83wu70WPiNVqNU1TzvmXf/lj//V/9Z1vfvObNpvNEkye57n3vtSBAwAA - AAAAAAAAcE+o7gYAAAAAAB5w7bk392casler8fr1/XZ7utvtW+R1GT/72f/wrnf9jf1+joiUIue8 - 9FkeDtNl2P7W5ZyXGH/OubW2FMgtWy7j/UtZZkpJpRwA8DKY5zkilhmIlm8mh8PhXn8ouMfmed5s - NsuEXK21nPNutzs9PX3RObm4r4zjuPzZdfm31fKHWM75hd8IAAAAAAAAAAAAAMAr2s153uUe8uPx - uF6vl7vKx3E8HA5LlGa1Wr1w5fYyzjiOSwV47321Gn7sx378O7/zO//KX/krwzD0nlqL9Xq73++H - 4Vn3q6cW8Q1ZZgAAAAAAAAAAAF4KqrsBAAAAAABeRGttGIZhGHLOJydnn/70p//6X//r+/08jqX3 - Ps1tntt2u93tdhH5Dnr7ljx/rbXWOgzDPM+ttXmeSynDMNRalx2GYYiI3ntK6SU4SwCAZwzD0Huf - 53mapu12e68/DtwXhmE4Ho+r1ar3vnw5Pz09Vfn8irPb7bbbba21lHI4HHLOy59j/h0BAAAAAAAA - AAAAAB4EKaXee2utlJJz3u/36/U6IqZpiohaa0rpeDwufd7POcJS772s997HcSyl7Pf7ocT3f//j - f/Ev/sW//Jf/8jRNS1P4er2udXrZzg4AAAAAAAAAAICbqe4GAAAAAAAeQM8dlX8+w3p1cb6rPQ2r - zb/7d//+u7/7e/b7qZQ4Tjdy9U/3dsd2u15WbteSwF+64o7HY0pp6eeepmkcx8s6cL3dAMDLptY6 - DMMwDPM8l1KWWYdWq9W9/lxwz/TeV6vVZVf3MgPXMmPXvf5o3IbtdntzBXsppbW2dLEDAAAAAAAA - AAAAAPAq1nuf53kcx8ssQEppGIZa62azORwOpZSIWGq5n6+3e9lhae+epmm1Wh2Px6drvyMi3vq2 - d/zsz/7sX/pLf2k9DIfDvpSSUo6I2003AwAAAAAAAAAA8K0z5SgAAAAAAMALy4f9dHJystvtvvSl - L33f933flSvn8XR+PqVIKS913TnnO+vtTimVUuZ5jqd7u3vvS7x/eVxi/8tT7d0AwMtjKSdeqm17 - 77VWvd084FJKy+xaEdF7zzm31nw5f8VZ/m92OBzW6/Xyh9gwDJeN7AAAAAAAAAAAAAAAvFqllMZx - jIjW2jAMl/eTp5T2+/0S722tLbXcLzDOssOy//F43Gw2+/1+HMd5miLi619/6g1veMPP//zPj+O4 - Xm/n+fgynR4AAAAAAAAAAADPYr5RALi/LLOBpxQ552W29977vf5QAAAAAA+K1lpELN178zynlHLO - OeeU0jTNV69ee93rXv/lL/9pROSSll9ter/xrsu3v7DLYr+bV3rv8zyXUpY8/83lf5ftcaWUpSNQ - NSAA8PJYvnVcPi5fReABd/kfwvKfhrLnV6LlH3G9Xi9Ph2EI/5QAAAAAAAAAAAAAAA+S5R7yy/vJ - l4zA0tsdEUst9wvkeZdi78sJ4vb7fURM0zQMOSJyji984cvf8z1vmKZpbq2n0ntfdq61tj6XIcVN - CQUAAAAAAAAAAABeOqYcBQAAAAAAuGGz2dRaa6055+1221rLOe92u2EYr1y58uijj/7RH31x2bPW - fmcN2ksdeESUUsZxXHq7I+Ls7Gy/37fWlsrwW2kBBwAAAAAAAAAAAAAAAAAAvkVLG3dEbLfbuKmW - +7bMc4uI1iKl+Pznf+cHfuDx3qP3vlqtcs7jOK5Wq2EYaq3zPF8eEQAAAAAAAAAAgJeO6m4AAAAA - AIAbrl279vDDD0/TdDgcIvI8t2mqjzzyyLVr1970vW/53d/7gx4RKZa0/R2F7mMYhiWu31qbpmlZ - TylduXIlIkopwzDM81xK0d4NAAAAAAAAAAAAAAAAAAAvqVprKSUieu+73S4iNpvNHbR394jtyUmP - 6BHT3P75v/gXP/7jP55SutjvLva7iNjv98fjsZRycnKiuhsAAAAAAAAAAOBloLobAAAAAADghpOT - k/Pz881ms91uLy4uTk9PW2v/+WtPvvWtb/3sZz+7VGlvt5tl55xv+zrLarU6Ho+999Vq1VobhmEY - hpTS0tI9DENEHA6HZeUOxgcAAAAAAAAAAAAAAAAAAG5dKaW1tqR9l0bt/X6/3W7vYKjj8RgRrcUw - DNHTBz7wox/+8C+dbM8efvjhr3/962dnZ5vNZrfb7Xa79Xp9d88CAAAAAAAAAACAZ1P5AAAAAAAA - PHhSe2Z5lt5TrX29Xk/TlFJ6z3ve84lP/Po01XEcI+L69X1KKSKWBP5tmaYpIpau7pTSPM/zPC/j - 9N6Xfdbr9TzPS7AfAAAAAAAAAAAAAAAAAAB46fTec84554jIOS9Pd7vd7Y6TyzjPLeehlDLP85Id - fv/73/+JT3xinudv//ZvPxwOtd4ILIsSAwAAAAAAAAAAvAxUdwMAAAAAANxwOByWXu3LLY8//vjH - Pvb/rtZjSmnJwG82m9770t59u3rvwzD03ud5joglWn+5vda6dHsPw1BKuSzzBgAAAAAAAAAAAAAA - AAAAXgqX4eLW2rJyZ73avfdIqfeeUomI3mO7XX/969fe/va3f+ELX5immlLa7/dnZ2dCxAAAAAAA - AAAAAC8P1d0AAAAAAAA3nJycTNM0juM0Ta21973vfb/wCx9drdLhMPWeWotIZb8/bjYnOd/JRZaU - 0jzP4ziWUkop0zQdj8clXT9NUynlshH8jtvBAQAAAAAAAAAAAAAAAACAW7ekfVNKy0rv/Q7au3tr - OQ+993meU0o5p+u7w2pTnnzy6mte85qrV68Ow1BK2e124zguHeEAAAAAAAAAAAC8pFR3AwAAAAAA - 3HA8HodhVWvdbE5+9Ed/7EM//bMpxfHYh2GIiPx0tfZ+v6+1juN4u+P33tfr9TRNtdZ5nnvvyyAp - pVJKRAzDUGuttV5m+wEAAAAAAAAAAAAAAAAAgJfIPM+llOPxuAR+d7tdSinn256vNZfSal2t13Gj - /LunFIdDrTW++pU/fcf3v/Niv1uNm7m1aZqWZDEAAAAAcPsu5+hrz7NDe/6XAAAAAHjgqO4GgPvL - zYVMSxEUAAAAAHddm/tYVvOx5ii11ogYhmGe5yhDKmOL/FP/+0//b//4J1qPMqx6xDzPEa3Vqbf5 - 8mbcaZqeb/zlh51SymUs/3LlcDjknHPOyw9B8zx/0w6llCVs79chAAAAAAAAAAAAAAAAAAB4SQ3D - EBGr1Wp5ut1ul5VnTwr3wn3brdaIdjwcIiIiR+TeI3r0iNbzJ3710z/w+P8w9+gppzIeplpK6b0f - p/1qPbQ+tz7nEj1qpPbMckM2fywAAAAAD7p+009kz/x01r5hOwAAAAA8i9+PAAAAAACAB84wDK21 - JUJfSmmttdbW621v6XA4fOQj//x97/ufaovVanWY5ju4ntJ7TynVWltrS1y/lJJSWgL5pZTD4bAc - dBgGFd0AAAAAAAAAAAAAAAAAAHBf6b0v7d2Xrd43l3nfmhuV2/PcWo1f/MWP/sRP/tQ4rFMeTs5O - d7vdZrPZbDa73S7nXGsdx7HWepdPAwAAAABeZZbe7psn8NPeDQAAAMDz8+MRAAAAAADwwGmtzfNc - a53neRzHUsr16/taa0rpU5/61Dvf9Tfn2tfr9fF4jIhxHG93/JTSZfZ+aeyepmnp804pLcPmnCNi - mqa7emYAAAAAAAAAAAAAAAAAAMC3KqUUEb334/HYe1+v1621YRie/x3tpuWZLUumOOfIOf7+3//7 - H/3oRw+Hw/WL/Xq93u12de4pSinl9PT0/Pz8BccHAAAAAAAAAADg9qjuBgAAAAAAHjgppWEY1ut1 - RPTep2n6C3/hL9RaP/7xj7/5zW/JKXKOw+EQkder7TRNt3tJpfdeSlmy8dM01VqX9XmeW2uttVLK - 0hQ+DENr7cXGAwAAAAAAAAAAAAAAAAAAXj611ohorc3zfHJycjgcLjfeltZaSqn3yDmmKR577Pt/ - //f/cBzHaaqllJzzMAyH/bTb7R566KE7GB8AAAAAAAAAAIDno7obAAAAAAB44JRhOE7TcZpW6/Xh - cBjH8clrVz/zuc+++93v3u2m1qK1iMjDMByOh5zv5HpKrXWe56UdPCJ678tjrTXnnFIqpaSUUkp3 - Nj4AAAAAAAAAAAAAAAAAAPASKaVERM65lHL16tVly7LxFrSIdvlku932HvMcwxC9x+tf/91//Mdf - fOSRR6apRuTr16+fnJzkNOz3+2EYbryn5+gyyAAAAAAAAAAAAN8St2EBAAAAAAAPnOPx2Hsfx3G3 - 2y1F2l/60pfe+IbvffLJ82WHYRhSSvM8R8QdVGunlJZBDofDshIRbekDz3kZdnk8Ho937awAAAAA - AAAAAAAAAAAAAIC7oda6xIFrrcMw9N5778uW25Jzvn79ekQ8dHY6TzHP8bU/v/qOdzz2hSe+XEqZ - 5/nbvu07zs+vD8OQ0yB6DAAAAAAAAAAAcBep7gYAAAAAAB44m81mGIbz8/PNZjNutr/3h//xv/2r - /92TT56v1yUiltLu3nspJUW6gwj98t55nodhKKVExJUrV5Y+75TSNE0RMQzDNE2r1Wp5CgAAAAAA - AAAAAAAAAAAA3CdKKcMwLCu11ogYx3HJC9+W1toSN37qqYuUUvTYbDaf/Q+ff+c737nenrbI1y7O - V9vN9cN+mqbN+iR6jv5Ns8W2iHZXTgoAAAAAAAAAAOCBorobAAAAAAB44Ox2u2EYzs7OjsfjE088 - 8eijj169en0Y4nCoOcdlZr7W2qOnlO4gzd5aWyrAW2v7/f7s7CwijsdjRGy328PhEBHjOE7TNI7j - XT05AAAAAAAAAAAAAAAAAADgLpjnOSJ677338/PzO6jujoil+Tul1Hsvpez3+4j41V/99Hve857V - apXTkKJst6cRuTUV3QAAAAAAAAAAAHeN6m4AuL/03iOi9yilLPVO9/oTAQAAALyCLQn2lFJKKec8 - z3POubXWc5l7zD2+duXqo699/Ve+/LWImOeIiNbiGzPtbfnF5vnGv3xcGrhLKctLl+9qrfXelzFX - q9Wycb1eLyt6uwEAAAAAAAAAAAAAAAAA4P40DMPymFIahqHWepkmzjnH00HjW7Gkj2utl5PB/pN/ - 8vM//dP/Ryml9348HsfNukZa5qCbpunyLeM4Lg3iAAAAAPDAWuYVvNefAgAAAIBXHtXdAAAAAADA - q1bOudbaWkspPfXUU2dnZ9M0jeO4Wq0Oh8OVK1fe9MY3//7v/6eISClKudVg/KXe++np6c138dZa - 4+kQ/tLY3Vpbcvh377QAAAAAAAAAAAAAAAAAAIB74Hg8rtfriGit5Zx776WUWy/wflqOiN7jR37k - Ax//+L+ptc1Ti4h5nqdpWq/X2+02pbQMO8/zZV84AAAAAAAAAAAAt051NwAAAAAA8Kp1OBxSSqen - p9M0PfLII+fn5znneZ5rra2n733zWz/z2c/3FClH6zHX3iOeaeGOdtPy3HLOFxcXS9Z9mqbValVK - WQ4REb33lFLO+XA4yMMDAAAAAAAAAAAAAAAAAMArXUrp2rVrwzDknFtrEdF7772/6BsjIiJfzgTb - I1KO67vD2x97x+/83u8+/G2PTNNUSomSd8fDfr+f5znnHDeVhQMAAAAAAAAAAHBbVHcDAAAAAACv - WsMwbDabr33ta6vVKue8pNOH9eZ4mN/ylrd+6lP/vpSIHq3F6enpHR9lydKv1+vj8Vhrba2llC4D - 9rXW9Xp9mb0HAAAAAAAAAAAAAAAAAABeuVar1TRNl9nhYRhSSncwTiljSuni/PCGN7zhiSe+1FuK - iNVqk3NerVbDMERESimlNM/zXfz8AAAAAAAAAAAADwjV3QAAAAAAwKvWOI7Xr1/fbDa992vXrq3X - 61LK+fn5e9/7g7/+yU/3FvXplPrFxW4c1xH5tq6etNZWq1VrrZRyOBzGcYyInPPS2917r7WWUnrv - h8PhziL3AAAAAAAAAAAAAAAAAADAfWKJDM/zvASKh2F4ej3ftDyfFvF04fe4nuaplJJzfPWr//m1 - r33tfr8fx/Hi4qLWXkpJKS0J5c1mM03Ty3FuAAAAAAAAAAAAry6quwEAAAAAgFetp5566qGHHlpy - 72dnZ4fDYZ7nD37wg//sn31kvz+enm4jYhiGZec7iKznnI/HY0qp1nrzCK211lpElFIiIqW0Xq9V - dwMAAAAAAAAAAAAAAAAAwCtd731JKJdS5nluraWUXqy0+zkHWvbP0eOJJ554xzveMR3ryclJSuni - 4qK1tvSCR0TO5o8FAAAAAAAAAAC4bW69AgAAAAAAXrVOT0/Pz89zztM01VpLKT/8wz/8oQ/9n+NY - IuLiYhcR89wi8jiOzz3EC9ZtL/3cvfdSytLSvV6va60ppZzz8uput1tWlnpvAAAAAAAAAAAAAAAA - AADgletwOCyPS692RNwUVb7ViV7nacqlzHVuvUXExcXhE7/2q//L3/vA+fn56enpEl7ebre11mma - 7vopAAAAAAAAAAAAPAhUdwMAAAAAAK9a8zxvNpvWWs5DKeM//omf/Jmf+dlW4zjVnG9cJRmGIaU0 - TVNKz1XT3V/8KKWUWmvvPSL2+/2ysjSFR8R2u805Xz4FAAAAAAAAAAAAAAAAAABeuVar1eXjkiw+ - Ho8RLaLdxigptTaNq1VElFKix/HYf+InPvRLH/7n166er1ab/f54OBxyzqvV6rlz0AAAAAAAAAAA - ALwg1d0AcB+5vCs6pUgpuUkaAAAA4Bb11oZSVuPYau1Rc4lpmkopU6s1eks5D6v/6+f+7x/+kX9w - nG9cHmntRvR9no+914i2PD5nJH75oWZ5HMcxlgD805b13nvv/bIC/JuKuvV2AwAAAAAAAAAAAAAA - AADAK13vPefce08ptdbmeV7au1PqEa2UFNFyzqWUnJ9v0tcl0VwjYjoco0etNSJSj2jxQz/0d3/j - N/6/3vIwrOa5jeO43+1KjhTPLM8xYmrftLxU5w8AAAAAAAAAAPDKobobAAAAAAB4NWitPfXUU+v1 - ehiGJc1ea91ut/Pcchr+5b/8l4+/9wdLidaj337SvPd+evr/s3d3TZJkZ53gn3OOe0RmVXXT7B3z - Adb2Ymxv+RA79xhzgZgGtQQapG6EzAQIhAGzw/C6O7wYGCzGmxZ2VmPsAragGe2wayDBCISB0LIg - wYhBWgTdI7pblVkZEe7nnL3wyujsqq7qrlJWZ1bV72dpj3l4erp7nPSIjIzwx/9Xl7b5xdIAn3NO - Ke12u6W1frvd3rmFHgAAAAAAAAAAAAAAAAAAeLildDM7u7WWcx6GISJ67733cRxrnZZv1Vpbe+Nd - zXn/Ne3qM88889nP/n8ppdailPFsjzMAAAAAAAAAAABvnPQIAAAAAADgoZdzbq099dRTJycn281U - 576kdx8d3RiG4fc/9h/f+exztUarMY7j/a3/+Pi4lBIR0zStVqtSyrLRpdd96Zxfr9c553mez/fe - AQAAAAAAAAAAAAAAAAAAl0TvfcntrrVGxG63m+e59z5NU84555xSyjlHxMHBwT2vPHqt9au+6qte - fPHFYRimaTq8cuXGyfb1fi6f+QIAAAAAAAAAACDCCVUAAAAAAMCjIed8/fr1g4ODJ598crvdRsQ0 - TU888cQf/dEfff3Xf32tET16j6Xj/f42saR0r9fr3W5Xa22tDcOQUlq663vvtdaU0jAM53nHAAAA - AAAAAAAAAAAAAACAy2GappRSKSUiSinTNK1Wq6W/eLPZtNbiNNt7mXOv6x/KUGt//vkX3vbM22ut - u92utbh27dq9rEOANwAAAAAAAAAAQIRTqQAAAAAAgEdALmWz3ZZhSDl/4QtfWK/X48HhsD742H/8 - w697+q3bbY2IcSzLsimVe11/a221WrXWSinb7XYcx4jIOc/zXGuNiJRSrXXpsQcAAAAAAAAAAAAA - AAAAAB5J4zjudruImOc5IoZhODk5WbK61+t1RLTWliTv5eYd9Yi+v9Ei2jI113kYco34+B//6Xvf - +96Dg4Pj4+PWbi7+qh86I/fIr/kNAAAAAAAAAACAx5jobgAAAAAA4KGXUlo62I+Ojr78y798nuej - o6NPfepT3/zN3/zyyzd6j/VqnKZ6eHgYEUvY9j3JOe92uyWfOyKmaVrmt9ZSStvtdr8DS6c9AAAA - AAAAAAAAAAAAAADwSEopRUQp5ejoKKV0eHi4tCG31nrvpZTWWkRst9v7WPl6tZ7nllJExK/97//H - j/3YT6xWB/fYH51dbxYAAAAAAAAAACCcSgUAAAAAADwCjo+Px3FcrVYHBwfHm22U4eWXrv+zr/26 - z37270qJ6LHbzRFxcrItpdzH+pf2+KVVflnDer2utaaUrl+/vl6vp2laOt5Xq9W53jMAAAAAAAAA - AAAAAAAAAOCymOd5HMftdptSunbtWkT03peZOedpmrbbbWttaUm+p9bmHq1H2+62OeXeYxiiRvzQ - j/zYb37oQ1N73ejuHJFz33/d/x0EAAAAAAAAAAB4ZIjuBgAAAAAAHnpXrlxZ+thzzqWUF1544S1v - ecvf/u0LEVFr5Lx8IJJzzksI9/0ppdRae+8Rsdlsloknnnhi6aUvpczz/KWsHwAAAAAAAAAAAAAA - AAAAuMyGYei9r1arpdd4mqaU0jAMEdF7H8cxpdR7r7VGxFLvVettHMs8xxL8/c3f/O4/+eM/vfdL - yLrkLAAAAAAAAAAA8LhzHhUAXCK995RSRPR+M1BqOScbAAAAgEXOef8WyqK1NgzD3NvU6rA+mFp/ - 6cUvvvXr3/apT31m6UVfllneZtlP3EU6FRFLk/wyMyJqrcubNtM0xZlW+f1iwzCcxoQDAAAAAAAA - AAAAAAAAAACPoLP9yOM4np3fWss575uaU0r7Bdbr9TJx9kdu1yN6xDzXlGIf/P30009/5jOfGYZV - SiWlMs/zsq2yb6iOFtHO9V4CAAAAAAAAAAA89KRHAAAAAAAAD415nnPOS4B3RKSUeu/TNM3z/OST - Tx0fHx8fH7/zne/8i7/4dCmv9KK/ccMw9N7HcVzWv3StD8OwdM4vmz45ORmGYZqmfWI3AAAAAAAA - AAAAAAAAAABAzrnWurQqbzabpRU6Ig4ODrbbbUQsfcp3T++OiN5fmZ7n2G533/AN73jhhRdqra21 - UsaU0uHh4bJyAAAAAAAAAAAAXpPobgAAAAAA4GGSUlpaylNKOeclV7uU8ej4ZLU+fOe7nvvdj35s - blFbREo9okdE5Df4mcg8z+M47na7lNK1a9ciovc+z3NrbRiG1tpms1mtVhGxj/cGAAAAAAAAAAAA - AAAAAAAec/vW41JKKSUi1ut1ay0iUkrzPEfEMAxLO/PrRm73iB43e6V7xDTHX33mb555+ze2yMN6 - 1XuPyEdHRxERqUVqD/SuAQAAAAAAAAAAPKREdwMAAAAAAA+NUkrvvdZaSkkpTdPUWmsppygR8e53 - v/t3fuejEXF4sL7vWO2U0rKho6OjlNLh4eGSEb70wy/B4XGmeR4AAAAAAAAAAAAAAAAAAHjMLb3P - EbE0Iy+9yYt9q/JSXze3++w6l97niGgt/vRPP/nt3/6+kxvb9Xo9TdNqtRqG4c4/Lc8bAAAAAAAA - AAB43InuBgAAAAAAHhoppd57Smkcx977PM+llFLKNM+lt2cAACAASURBVE3vf/93/fqv/2arkSK2 - 2ykiUioR+cynIe3M12sbx3G328Vp3/swDCcnJ/uU7t57zjnnvOxJa/rVAQAAAAAAAAAAAAAAAACA - aK2N49haK6Vst9slVHtpjq615pxTSqWUJY17aVi+u957RE6ppFSWOdPUP/jB/+0XfuEXT7ZTz6mU - ssSER0SkFqmlaCla6i31B3Y/AQAAAAAAAAAAHh6iuwEAAAAAgIdG730J0u69t9YODg6uXLlyfHz8 - oz/6o7/yKx/sN6O0U2ttGFb3Ea09TdPS9B4RSyL4arUahqG1tnTFR8R2u11uLosBAAAAAAAAAAAA - AAAAAADsrdfr3W5Xa22tzfPcWlsivWutKaWleflOP7tkey/TS0v1kuEdESWXiPjBH/zBD3/4wyml - 7Xb7RiLAAQAAAAAAAAAAHltOsQIAAAAAAB4avfelgXyapt77OI6f/exnf/mXf/knfuKno8d6vY6I - 3tMwrPYd6fexidZaznkJ6t7tdvM879vae+8HBwe99/tePwAAAAAAAAAAAAAAAAAA8IjJOR8fHy+t - 0PM8r1arUkrOeYni3u12yzIRMU3TXdbTe4+I1+plzrXVYcjT1N/znvf82Z/92X7hW6UW6Y7R4AAA - AAAAAAAAAI8P0d0AAAAAAMBDo9aacz7tUS+bze4jH/m9//5f/FBPUUrabrcRMQzDPM9L8Pa92jex - L+ndwzBExJLYvb8ZEaWU+1s/AAAAAAAAAAAAAAAAAADwSLp69eqSpb3UpR+5lNJ7L6VsNpvWWmtt - GIbXSuZ+lVcWSClSiogekVOuc4+Ik5Pp7W/7xs9//u9zHqLnV74AAAAAAAAAAAA4w2lVAHC5LGda - x+kJ0/ubAAAAAI+VJX47InLOrc+RWqTWo+ZxmFrtucw9eiq/9e8+/O73vDcioketN99ImeddRGtt - jmiv/nqVdGrZ3DJz6XvvvY/j2Fqb53nJ7d43t+8nSikPfBQAAAAAAAAAAAAAAAAAAICHx9KMPI5j - nPYjL63KOec4vbLc0sIcEcvMZWJZeH8ButaW5ugWvUavS7t07S1yyqW0Hp//uy+87Rv++YsvH0Ve - bXYtpTIMw8lmk0r0XlPqS4P2Hb8AAAAA4KFyNsRhf0lAAAAAAHhdorsBAAAAAIBL58aNG9euXcs5 - 78OzI2Icx+Vmzjmn4WMf+4Nv+Zb3zHOUcs/nzg7DsORz7/vbU0pLgHetdbVaLd3sy3edmwsAAAAA - AAAAAAAAAAAAANy33nsppda6j+tOKS1x3cMwtNZqrSmlff7Q7VLJkWJZcpnz53/+l+9+93s2m+3V - q1dbi91uvnr16mq1SinVOr8JdwoAAAAAAAAAAOCSE90NAAAAAABcOsMw1FpPNsfDmFerVSllN7fj - k+3h4dVae537Jz7xiaeffnqzmYcht3bHFvQ7med5HMfdbpdSunbtWkT03ud5zjmnlHa7Xa116Wyf - pmmetaYDAAAAAAAAAAAAAAAAAAD3LKUUEb33aZqWRuac8ziOy5xSyrLAssx++na9tWViGIYl8zul - +O3f/r+/7/u+r/feWss5z/N848aN3TwNq9VrrSK/8gUAAAAAAAAAAPAYcLIUAAAAAABw6ZRSdrvd - OI7DMJycnPTeDw4Orly5cnR0tF6vP/WpTz399NPbbR2GmOd2f5tYGtdLKUdHRymlw8PDlFI7bVnv - vR8fH0fEsg/ndb8AAAAAAAAAAAAAAAAAAIDHR+99nueccyllqbXWaZoiYhiGs9OllN773deWc+69 - 11ojYr1eRcQHPvDLP//zv1BrHccx56HO/crhtX3TNAAAAAAAAAAAwONMdDcAAAAAAHDpzHWXcl+v - 19M0pSjjsN5sNicnJ9euXfvLT/+nr/mat7z88o3Vapjn6BHtdTrQX8M4jrvdLiLmeY6IfUB4RPTe - l371q1evRsR2u1161wEAAAAAAAAAAAAAAAAAAO5JSmkYhojovU/TVGtd2pmXOcsy6/V6nue7NzWX - XKJHa63WmlKKiO12FxEpxXd91/d+9Pd/fztPrbVxHLfbbW8per71CwAAAAAAAAAA4DHjxCkAAAAA - AODSyTnnnKdp2u12BwcHS5/54eHVv/rLzzz99NNf+MLLwxC73ZxSHB4e3sf6p2lKKZVSIqKUMk3T - arXaN70vqd5L3/t6vV4WAwAAAAAAAAAAAAAAAAAAuFe11lprKWUcx1JKSqnW2lqLiKXBebvdLhNL - JvedVhIRS+PzMAzjOC7B37XGapWfffa5T/zJJ0sZe085D/tQcAAAAAAAAAAAgMeZ6G4AAAAAAODS - yTn33nsqqYzbeTrenFy9+sRnP/vZZ5555nOf+/tIEan0iEj55GQ7DKv72ETvvbWWc17a1He73TzP - vfftdrtarUopyz601pYFAAAAAAAAAAAAAAAAAAAA7smNGzdKKaWUk5OTJVG71ppzTim99NJLEVFK - GYZhnudSyt0jt1NKOeeImKZpmqYe0SNKSbtdu3795F3vetdf/83fpKHkcei5ROQ3etXZ1CK1c7ir - AAAAAAAAAAAAl4zobgAAAAAA4DKapqm1No5jKeXw8OrnP//5d3zjN/3lX/7niEgppqlGxNKUPs/z - va48pbRMLOndwzBERO+91rper3vvvfeU0rJYKeU87xgAAAAAAAAAAAAAAAAAAPB4uHLlSkRsNpvD - w8OU0m632zcvX7t2bbPZtNbmeU4ptfY6+dm992malvTuiCil5Jxr7eNYIuJzn/v7b/qmdx4fney2 - 876ZGgAAAAAAAAAA4HEmuhsALqOUIp266H0BAAAAeIBaa8MwlFLmee6955xbaymlqfbVwZWISClN - U33pxS/+83e88xOf+H97ih5x2nWe57n13u9ju733g4OD/c/O81xrjdOU7v3bMimlfe86AAAAAAAA - AAAAAAAAAADAfTg4OFgmVqtVRCy9zNM07WO8x3Hc9z6P4xgR6/X67I/s7RO+a62ttR4xzXW5ZN0n - P/nn7373uyMiei6l1FpzHiJyKWOtfRiGpaUaAAAAAB46r5naIMoBAAAAgNclbQIAAAAAALgw4zhu - Nptpmlar1TAMEbFP795utyml7XYah/Wzzz778Y//cUTE/eR0v7bNZhMRwzC01qZpWrZ7bmsHAAAA - AAAAAAAAAAAAAAC4s5zzdrtdeqt3u11KKeecc14ivbfbbUQMw7B86y7rSSmfpn7H7/zO7/7Lf/l9 - pZR5br33aZqGYVgat1NK+6RwAAAAAAAAAACAx4HobgAAAAAA4MKkUxGx2Ww2m01rrfc+DKtxXM9z - O1hfefbZZz/ykd+rLeLWjvJ25uuetzsMwziOtdaI2KeGn8d9AgAAAAAAAAAAAAAAAAAAuJt5nnPO - 6/U6IlprEdF7b60tSd611mEY1uv1PM+r1eoO0d05IpdSWms9YlwNKcfJZv7A//wrP/3TP1NKOTi4 - kvNwcrJ96qmneu/HxyfDsHrVClKLdM+d2gAAAAAAAAAAAA8LERQAAAAAAMCF2W63wzCklHrvS/f4 - wcHBkqhd575eHT733HO/8RsfqjVKSb2f23Z77/M8T9PUe1+a2CNiifEGAAAAAAAAAAAAAAAAAAB4 - oJYm61rr0uzce19m5pxTSjnneZ63223OebfbLd3Qr+nMt3LvaRjybjf/8I/8j//nh//DPv/7xRdf - XK/Xq9Vqs9m8KXcOAAAAAAAAAADgUhDdDQAAAAAAXJiccyllmqZa62q16r1vNpvtduot9R7/6l99 - /6/+6m8sS9a6BHfnWz/dSBHpfja9Wq2GYai11lqXjvRSypd0ZwAAAAAAAAAAAAAAAAAAAN6wUkqt - NaUUEa21eZ6XJO/WWs45TpO5l+nX1Hsax3VE3u12vfd5bimlk5Pte9/73j//8z/fbDa999ai95Rz - vst6AAAAAAAAAAAAHj1OmQIAAAAAAC5MznnpJE8pTdN0cnISEQcHB733n/3Zn/2pn/qfVqs8jiUi - hmE4303vdrvNZrNkhy/70Hs/300AAAAAAAAAAAAAAAAAAAC8pt1uFxHDMKSUttttSqm11nsfhqGU - 0lpbmqDjrtHdOedpmoZh2F9jtvc+DPm/vPjFZ5555otf/OIwDFevXt1ut3K7AQAAAAAAAACAx42z - pgAAAAAAgAszz/M8z8MwjOPYe79y5cqTTz55fHz8K7/yv/zAD/xQROx2bTfVYRznuY3j+lU/nCLS - fW53HMfWWiklIrbb7S296wAAAAAAAAAAAAAAAAAAAA/UarWKiFrrNE3r9fr69esppd57773Wulqt - lhjvlNI8z3dayTAMEZFSKqVEimE1Rk7z3CLi+edf+KZv+qaXXnrpxo0b6/X65ORkHMc37d4BAAAA - AAAAAABcONHdAAAAAADAhSml9N4jorW2ZHj/wz+89KEP//vv+Z7vaS3GseQcOeel23yapvPa7m63 - WxrUa63r9fp1lwcAAAAAAAAAAAAAAAAAADhHS4d1KWUcx2mannjiiWVmzjkidrvdMAy11t77XSK3 - d7tdKWWaplprRMzTlFIqJZUSuzn+4A/++H3ve984jq21cVy31lyKFgAAAICHWYuI1PtF7wYAAAAA - D43honcAALhVSqn3nnNurQ0p+eQHAAAAeBQsp7emFBGR2um8m6HdB1eunZycRBlayv/uw//h27/t - O+Y5esRuqhGxnCC73Z7cts7X3+zyTktE5Jx77733lFJrLSKWBvVSyrLk0sEOAAAAAAAAAAAAAAAA - AADwJsg573uc9+HcOefNZrM0Qc/znFKKiGmaXmsFN7u2a705sfRf99qWJu3Vaph286/9xof+6//m - p59++p8dHBxM8zZqW6/X87zLOeeUT05Orl27ut3ucs7RNVzzqDi9pgEAD4XlBc9yiZj9dJfFeOrs - +Oxv7i+qw50sFxq6ZfTidACJVw/Lfo7xuck/R/frlkNoublc8ouz9g83z+f34exrBlLJcfra6TEY - mRappdxTj5PdbhiG1Oe4eUgs9/r02Tu96r0yAAAAABDdDQAAAAAAPHA3m1humz+O42azmee597Re - HX70I7/37d/+7TduTOd1pmvvvZRSa13O3U8p1Vq1iAAAAAAAAAAAAAAAAAAAAJdQ7721tnRJt9Zy - zrXW+1hPSmm3m8exTFP9kR/5H77iK77in/yT/66UcbXKSyJ4Smm32z7xxBO73XYYBklqPDrkdgM8 - /HK+GUJ50TtyKZwNn95X1895I85mwO9HzHG1KKWcvfkYpL2eD0N0d8s/s8v02cfa8qzOWWcTux1X - d/eaBxV7tdb9IXT2WHpEh6v31lpr69XqyavrzWYTEeMwppS20/zqBbM3BwAAAAA4S3Q3AAAAAADw - 4C2n8776RN6U0smN7eHhlc00D8PwyU9+8q1vfevx8S7nqOd0vuswDPM855xba6WU4+NjJ6kDAAAA - AAAAAAAAAAAAAACXU0ppCe3ebDZPPPHEdrsdhqH3fq8B3kv49zTViJhbvPfbvvUf/aOv+Mqv/Mrj - 4+PVapVSqrWuVqvNZnMz0DAVmUY8UhzPAA+PdjaSs0dKKUVKKbXuyTwiorebmdMppVgu4RM94vR6 - PtxBLjcD4G+GUhuwV5vbtJ9eDi3R5m9EMz53tX/cpZRyeiWuuzXP56945Rpop89QN5/cuYObf/Ui - lnFKKZ0+XzmuImK5st/p64SbM3pE5PxIHld5GIfNZjNNU0ot5xzRpnn5i/bKc443BAAAAAC4nehu - AAAAAADgzXB7V0ZK6fDwcOkS/5v//Ll/+k//6cnJLm6e/ns+LQrzPO+3td1ulzb1Wus4jueyfgAA - AAAAAAAAAAAAAAAAgPPSWss5p5Ryzl/84hevXr2675i+V+M4Lq3cBwfDdju/7W1v/8AHfum//cf/ - uJR0dHS0Wg2r1Xqaro/j2HtvEuh4lIjpAnio5JwjIqX0SspySsvLoYvetUthf9GeVwK8I0IU7utp - rd0ydMsBliR4n7plKAzOG+F56e6W56XT2OAcwuBfbXmItdb2j7Xlb9+F7tRD4OxTk6ep293psfao - vk6YplpKGcp4crI9ODjYbm9ERMll9sYWAAAAAHcluhsAAAAAAHjgetR49QnPKUr02M611voPX3jp - q7/6q4+PN63FajXsdvfZPX67cRynaeq9z/Occ661llL0PwAAAAAAAAAAAAAAAAAAAJdQznme52G4 - ecHYaZpWq1Wt9V4jl8Zx3Gw2OUdrUefeexwd3fiWb/mWX/zFX7xy9eCpJ558+eUXW2vj6iAiap1T - fjQjnQCAy295nbMP45SvfIt9qPktI+MSOne3f/28JMGHCOFXW46f5ejaj5UheoWhuC+llLjtQOq9 - e75anA3q3j81xaMbsfwgnP2DuBxv7AdkuXk2GP7idupB6qm1tosWJc/zzcsVttYiHtH7CwAAAMA5 - Ed0NAAAAAAA8cMtJvWdP5F3mlDK88PwXvuZrvub55/+h9ygl7Xbzej1uttO5bHeapnh1I80ycxzH - c1k/AAAAAAAAAAAAAAAAAADAedntdqvVap7n3vtqtYqI7XZ7Hzln0zSVUlqrETFNdRhyndtf/MV/ - eu655372Z3/25GR7eHi1tdZa22w2BwcHvfdILXpWVVVVVVV9k2uK0qP2llLuKUrKrbcU0VKUC9+3 - S1JTetVoLNPz1C7Dvl3amtMQqfWWIvXoOeUePfeYL8O+XYZa57484iIttaUoKffe0oXv28XXkAB7 - n+Z5PptIHREppZxzrfUC9+ryWKK791djWwaq9/7IRiyfk1tGzHDd4uxxlXPeH1eP6uNuHMfdbhcR - hwdXN5tdztFb6tE9cQMAAABwd6K7AQAAAACAN8P+pOfeUu+9RvTej7/4xXe84x1/9Vd/k1IMQ57n - VnLZnlNud0QMw3BychIRtdZSSs55nme53QAAAAAAAAAAAAAAAAAAwCW0xHWXUlJKrbWcc2tttVot - uURvXEqp1ppzLiXP81xri4j1unzkIx977rnnfvInf3K32y3RTk899V8dHR2loUREpKyqqqqqqvom - 13G1rnWqtfdWc04pcr/5QihFTxGPe+09okdKN+f0Fr233uswrCJaRFZfs6Y8tDa3FL3VlCK1iEg9 - croEx/ylqCVH6pFKRGvtZnhwXsbpoo/5i693toTjcke5pJwjpd57a633nlNKkaKIRImIuHn09L5P - oa61tt6HUi50vx4O7TSdOuJmjHdr7WJ36ZJIEftw8x6pt95aa609qpfa20zzuFr3lq5fv37t2tWX - Xz6O6EMZpnrmePBUDQAAAMBtvE8NAAAAAAC8SZaTxSOi99567y297W1v++M//n8ioveY57YaV7tp - lyL1czrvdbfbLRvNOS/p3cMwLI0i57J+AAAAAAAAAAAAAAAAAACA8zLP8zAMKaVpmvYxS9vt9l77 - o1NKS6hVrTUieo9xiO22lhK/+Zv//od+6Iff9a53TtM0DKujoxvDsKq9R+SIUFVVVVVVfZPr5mQ3 - TdM0TbXWJYxzyXzNeVngcbd/Hdhaq7XO8zxNk7zS17XZbJbh6mdScjlrCb6ttU7TNM9za80ova6X - X375onfhsluew+d53m630zTFmX9O2Q/F8gduGaha63a7vehdu9RSSvs/f7XW/V9ArxP2zj7EWmvL - QM3zfIG79OC01oZhmKZpHFfHxyc5R2vhdREAAAAAr0t0NwBcOsunXKWUlFJrNZfkk2UAAADgYdd7 - zzm3Gq21slqn3HdHJ88+++wf/uGfvLJMxHbaReR+89a9yTkv584Ow7A0kE/TtO8GSSmVUvbTX/Id - AgAAAAAAAAAAAAAAAAAAOGfDcPNSsfvc7qVLutY6juOSYbl0Vd89/2xZ5mx20ZLZVGuUEv/6X//4 - Cy+88OSTT/bep2k6ODhYGrQfHBF3d7f04y+/ju12K2PyFstoxOlh31prrfXeb9y4cdG7dqkteZz7 - iLve+34kidPx2T/Wloi7Zawuetcutd77EgS4hLwuf4kcV2ftk6fPZEyG68oCAPAg9MfslXi67XV1 - j6hddDcAAAAAr0N0NwAAAAAA8MCt1+tpmqZpvnbt2vUbJynK+973vt/+7d89r/WP4zjP89KNPM9z - KaXWus/qBgAAAAAAAAAAAAAAAAAAeHjlnGutZ7Oclwzvu6R3v6aUYr0+uHFj88EPfnC3axGRczQJ - R5dDitSj3zInUo+upkg9RY7UouceTQjuG3H7EcVZOeV2GvCWk+Pqjbp9rFKklLs/JbdIKaJ7DAIA - 8IB5vQkAAAAAb4DobgAAAAAA4IE7PtmO47g6XG2mOUX57u/+7l//9d/af/fV5/3eTzfeNE0RkXNO - KbXWaq332mQOAAAAAAAAAAAAAAAAAABwOfXelx7qnPMyJ6V0Ty3V/eZ64vjGJiK2u7af3yNOE8Fz - RFPf/Np7img9UkSPyCn1lEpKvdYevUckNZaj/ZVD/uJ/a5e/LkdUSiWipVR6r2ePt8uwhxdba3/l - WKo9UkqR8jJWF75vl7nWdutjsEeK1i/Dvl2q2ntLKe8fd6qqqqqqqqqqnkd99XsDAAAAAPDGiO4G - AAAAAAAeuPV6PU11HFOd+w//8A//8i9/MCKGIc9zO5f1j+M4z3PvvbWWc26tRcRut1utVueyfgAA - AAAAAAAAAAAAAAAAgIuSUhqGobXWWkspjeM4TdN6vd5ut/e0ntVqtdvtlvzvUtI01dbitEE7Lyne - 6ptfU0q954iIyBHRe+p934mf1ZRSRImIfU0pReTe+y3z1bO1974fw9577/ujLi78d3oJ6zI+Kd28 - YMVpJpx6a805nz2ubjnS1GV8eu+9ZyOjqqqqqqqqqudaAQAAAOA+ie4GAAAAAAAeuGmqKcq0qz/1 - Uz/1Mz/zcxGRc8xz6+e2/ikieu/r9fr69etLgLfcbgAAAAAAAAAAAAAAAAAA4BGw3W7X63WtNeec - UlqSZe81tzvO9GX33luLcRzneT79ZrvLD36JUkoPbuWPhB5xs/k+pSXJu/d+Xu34D73bR+L1xkam - 1yvOHkjLI9GhddbycNvfNDiv6zTa/FVuGcbH2WuODwAPIc/nAJfRG/+vI93j8gAAAAA82kR3AwAA - AAAAD9xqPNjtdr/0S7/0Iz/yY8uc1qLkMrd6LuvPOUdEa22z2URE731J7z6XlQMAAAAAAAAAAAAA - AAAAAFyg9Xo9z/M4jhGxBHgPw1Brvdeo1N57KWWJ753nuffee+r9gUdri3R9486Gdos8X9wpcNpx - dXe3pCkvwyhi+RZG417d/nhMKXmy2ltG5uyAOMYAHkJyuwEAAAAA4JEiuhsAAAAAAHjg5nn+tV/7 - te/93u8rJWqN1WrY7ebaasSSrv2l9iosQd2ttYjY7XbDMCw3pXcDAAAAAAAAAAAAAAAAAAAPu977 - Pgd0iXa+v5zUnIfWeu9LI3ae5xYR4zhO0/Y8d5d7dLYt/mzGq8DXxb2Pg7TFiIiUckSP0wFcRrF3 - kfCvw+Pu9SzHzyuj1HsYs1sYEAAA3iSP2793PU4vXRi3/+//uA0GAAAAAG+c6G4AAAAAAOCByi3F - h37rQ9/xHd/Ze9QaKcVuN0fEUIapnk/L6ziOR0dHKaXWWikl51xrLaWcy8oBAAAAAICLkl9/EQAA - AAAAgFe09EpsUHNlbgAA4BGSUrqle7rWulqtaq33tJ7W2pLa23sfhmGe55yz3O4L116r7V688i3O - DohY3DeiveaBJZr6VErJUNyHs4MmBv52txxUKaVllO70eAQAAAAAAADgQRPdDQCXXe89wuloAAAA - wOWyvFtxs10svdIeNk3Tk08++dIXjw4PD4+PT65cudJq/N5HP/rss++urUWK3l9pgp1bfeWCWG9w - u6etj6WU1toynVLa7XbLAjnfjPCQ2w0AAAAAAA+7HJH6za+zp1L2FBGRbvuQobv8HwAAAAAAPO56 - RPTUWkqRIlLrsW+ByHf4EZE5AADAw2dpqc45L8Hbvfd9F/byrbvmg7al3bv3mOf6egtzkWQK38KA - cL7kdn/pjOHr6r0bJQAAHqDH8cXmHd/IehwHAwAAAIA35k79JAAAAAAAAPfsypUrL7744pUrV05O - tteuPrnbzh//+Me/8Rvf0Xpf8jW+xPX33odhiIha69I3PgzDPrcbAAAAAAAAAAAAAAB4rKVXX6f7 - S+1jAAAAuOxqraWU3nspJSJaa621ZRoAAAAAAAAAAOAxN1z0DgAAAAAAAA+ffof5N443164+ef3o - xtWrV6dp+vSnP/22t7396GizXOuq91f93C0334hxHKdpyjm31mqtETFN073vPgAAAAAA8HDo6ebX - 2VyN1G9+6/bF36z9AgAAAAAALq8UkSOWBO/Uo3cB3gAAwKOs9z7Pc0opIoZhqLX23pdGbAAAAAAA - AAAAgMdcvugdAAAAAAAAHh1PPPHEZrNZr9fbzfTXf/3Xb33rMy+/fJRz9H4/Qd23W4K6l9bxJcA7 - pHcDAAAAAAAAAAAAAACvlnvkc+hjAAAAuOyWlO6U0tkM75xdbxYAAAAAAAAAACCGi94BAAAAAADg - IZTarXN6joijk00axqGs/u7zn3vLW772+ef/S0rRXr3s0vh9f5sdx7HWWmstpUzT1HtPKY3jeH9r - AwAAAAAAHkY9RUSk2z5tWOYDAAAAAACPrds/Psg9ekTvEbH0NpzNrrutMwIAAOAhNI7jPM+ttSW0 - u7W2Xq+32+1F7xcAAAAAAAAAAMDFy6+/CAAAAAAAwBuTUoqer1+//vTTX/e3f/t8KaW1GMdydpn7 - zu2OiGma9u3iS/d4RMzz/CXtNAAAAAAA8Ei4PY0DAAAAAAAAAADg0TZN0zAMEdF7L6WE5msAAAAA - AAAAAIBTw0XvAAAAAAAA8JDrOSJayhGxGtbPP//829/+DZ/+9GdOv1WnqZ7j1lJKJycny3TOuda6 - NJMDAAAAAACPpNQj9UivNf+sniKiSe8GAAAAsyRy6AAAIABJREFUAIDHXS/Rl08O0tLvEBEpot+c - aHE6DQAA8GgYx7H3nlKapunGjRvXrl2bpumidwoAAAAAAAAAAOBSyBe9AwAAAAAAwKOi5+vXr3/r - t37bH/3RJyKi5DLNU4qUU07p9kCN+1RrXdbWWouIUsoyAQAAAAAAPM6EdgMAAAAAAK/ZuuASSwAA - wCOv1jrP8ziOq9Xqxo0b6/X6ovcIAAAAAAAAAADgUhguegcAgNeWTkW4liQAAABw6QzDcHx8fPXK - E/M810jzPF+7evXo6OjZZ5/7yEd+LyJyyrW3SKn1FD3u4y2OnHPvvfe+BHX33ksp8zwv3z195+Tm - kud2xwAAAAAAgEujtTbkUmtdPiNYPhHIOffaXnP51H1kAAAAAAAAj7va6rAab+x2KQ9L50HvkVNu - /ZXPF5ZuBJdyAAAAHg2992EYeu8R0VqLiM1mszRi55xTSrXWZcmU0rIYAADApTIMw3JpqWEYln9h - /PMCAAAAAACcF9elAgAAAAAA7tnx8fGXfdmXnZyctNYODw9zGq5fv/7+93/XRz/6+5vNLkUqpSyp - 26WU+4jWLqXEaT73EuC9Xq/3beEAAAAAAMDjoJTSU/Te51ZTSqnk1lqttafoKSJUVVVVVVVVVVVV - VVVVVVVVVVVvqzn1FGUcdrvder1uPVrEElkHAADwSNr/y9NayzkPwxARvffVarWccHX16tVlgfV6 - fWF7CQAAcGfzPJdSrly5Ms9zSmm5YtVqtbro/QIAAAAAAB4Fw0XvAAAAAAAA8PBZHVw5PtmuDq+0 - 1v7hCy899dRT3/md7/+3//ZXW4uIKOMwzXNEtNYi2n2sf0np3jdRDMOw3W577+d5HwAAAAAAgMtt - qnVuPefSUuSUeso9Ukr55gcGKfqttV98HIiqqqqqqqqqqqqqqqqqqqqqqhdae0/baS7rVeltO08R - ERFzqykAAAAeWb331loppdZaStntdjnnJfEuIo6PjyMi57zZbJZlLnp/AQAAblVrvXHjxjiO0zRF - xHq93m63F71TAAAAAADAo0B0NwAAAAAAcM9670tK9247P/HEE9///T/wC7/wgd5jHMZpnpbmh6WX - u/eec27t3gK8SylLXHetVW43AAAAAAA8pnKpveVxjNZaSr23OfXVWNp8p0vHpoge6XRSVVVVVVVV - VVVVVVVVVVVVVdXHr+bVMG82KfrqYL2ddmUsqad5ngMAAOARNU3TOI6llIgopUzTtFqtImK32w3D - sFqtdrvdarWapmkYhn2eNwAAwOWRc57nefnnZbfbjeO4XHjqovcLAAAAAAB4FPjIAQAAAAAAuGe9 - p5zKyY3ttWvXfu7nfv7Hf/ynIqLkspunZYGc89IOERGllHuN7q611loj4tq1a0dHR7333rs+cAAA - AAAAeHy0iOtHR5/57OdyzrXW5WOC1towDL33iEj91p/oPkkAAAAAAIDHXuoROfUUx5uTG9vNXGtr - kUrutcVpxjcAAMCjZBzHJd9unudhGIZhODk5OTg4KKUsp1pFxLJArfXsTAAAgEui9740jNRaV6tV - 711uNwAAAAAAcF586gAAAAAAANyzcVinlFJK/+bf/K/vf//3RESKVFuNiHEca6299yV7OyKmabrX - 9Q/DkFKapmnJ7V5mttZyzud3JwAAAAAAgEvta59565jz3NpyM0e0M9+9/TODdtscAAAAAADgsbL/ - +KBFrFZl13pr8roBAIBHX0opIkopR0dH165dOzw8XObXWlNKu93u4OBgWWC/MAAAwKVy9l+VaZpK - KaWU/bWnAOAceX8MAAAA4HEjuhsAAAAAALhnu92utfbbv/1/vec937rM6bE0OeRaezuN0CiljGPZ - bHb3uv55nm+utvdaa611tVo5zxUAAAAAAB4fPaIMY+29nkZy95RTSrXVJXWjXuDOAQAAAAAAl1KN - KBGr/5+9e3u2JLsLO/9ba2XmPqequlsD/xoPRBAG626NLCEhpAAcaFDgB0JyaCYcNozDDjTBDBoP - hDARID9MSJoAzIgJLMa6IA+yPYAHXWh1S9Xdp845O3Nd5iG7jlpC6u4qVetUlT6f2L17ndy5V+Z6 - zazc3ymvfVysLZe0d7tzzq29eMchx917DwAAAI+FWus8z8fj8XA43Lp1K+4+oD3GmOc5IvZu9/4A - eErJI9sAAMDDJqXUWouIMUbOeVmWq+3Xel4AAAAAAMDjQLobAAAAAAC4Nz3lnPOf/Mn/9Z73vCfn - 6D329xRp3N0n59x7b63d309a7V8fY0RE731Zlt57zvnBLQIAAAAAAHjYba2OMVJKOefWWhs9pzzk - NAAAAAAAgO8tRVzUvUcXrY1UopS5btvVDm40AAAAj5lpmsYYy7Ls/9pq27Z5nqdpioh9y171Tint - f173+QIAAHyn3nsppbU2TdPe8N43+skpAAAAAADg+yfdDQAPkf3fNEdESrH/s4AxRs5pjFf6JgAA - AMD3J40cESP1iIj0bb9DlVJaa53nOeep1przNOr4sz/7s3f+9LvPL1787ao+UplKrTVi9N4jYn+P - iNZe4dJGznmMcfWk9xhjf4hijFFrzTnP8zzG2HfzNDgAAAAAAPzw2P9R5Rjjpb+7FBH+WSUAAAAA - APC97DcVxov/xWhR27e63e4yAAAAj6WXPoI9z/N3bD8cDvvYk9oAAMDDaf8t7lLK1fvVRgAAAAAA - gO+TWw4AAAAAAMD3VGs9PT0tpfTez8/Pxxi99y996Uv/8B0/ffv288uy5JxTSntm+z7m3x+T2J/0 - 3gPeh8Nh73ZHxDRNOeerCrinwQEAAAAAAAAAAAAAgJcx9LkBAAAAAAAAAAAAAACICOluAAAAAAAg - IkbqI/W/u32e52984xsplVLmlMo8H/76r/6/N7/5LV//+rPTNK3r2nvP+cXbDfeR1m6t9d7HGDnn - UsrhcDgej3sIfF3XfYe93r3HvAEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAODlSXcDAAAAAAAv5+Rw - o5RycXE8HA5f/crfvvGNb/zqV78+TXkPdc/z3FqLiJTSVcP71dtz3WOM1lpKae92R8Q0TcuybNtW - Stl3Sylt2/agFwcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDjRrobAAAAAACISP1br93IMXLt0VO+ - vFxPT24++8w3f/Inf+qv//ory5Jr7XtIew9472ntveF9T1prx+MxIm7dulVrHWPs6e4xxsXFxTRN - EVFrjYh1Xed5fmDrBQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4DEl3Q0AAAAAAHxPOU+11nk63Llz - 541vfNN//s9/VUqsa5/nee9qr+saEcuy9N5fabLvYpqmPch9dna2R7sjoveeUjo9PU0pnZ2dlVLi - biMcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXp50NwAAAAAA8O1GjpF7yj3l3uLWzSdfeOHs9a9/ - wxe+8B8jorUouWzbVmuNiJRSzvni4iIi9gj3Pam1btsWEWOM1tq6rvuEtda95H3r1q2U0vF4nOd5 - PyIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8DOluAAAAAADge0op3b59+2d+5mf+/b//D6XklCIi - Wm8555RSRIwxeu8ppXme9wj3Pck575NERO99WZbee0RM05RSuqp6L8syxpim6YEuDgAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAgMeQdDcAPETGGHeTVzFNU+8957yXqwAAAABeU6WU1lopZV3XKDnK1FvE - yCnln//5X/iDP/ijlGKrvY8Ykaf50Ht/6VWLMcYrdrtzzlft7avB1SS993me9+shV1+Z5zki0l0P - cL0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8rqS7AQAAAACAaK3tzexbN5+MyBcXF8uy5Jx/6Zd+ - 6Q//8A97j5y/1c9+xUr3d9V7r7WWUuZ5rrXeunUrIsYYl5eX++DqHAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAOC+Tdd9AgAAAAAAwPVLpVycn5/k6c7F2bKc3Di9VWv74Ac/+K//9W/V2kdEpDJGzzmP - 0e/vEMuyrOvaWmutHQ6Hs7OziOi97znwUsrVnmOMfSMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADc - q3zdJwAAAAAAAFy/dV2ffPLJ3vs0LSXP67r+5m/+5r/8lx+ptUfE4XCote57ppTur6u9rmtETNMU - EcfjMaU0xtg/2idvrUXEtm263QAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAANw36W4AAAAAACBynlob - tfaTk5Pj8fh7v/f7H/jAP46IEREprWuNyBE9oo/Rxmj3d5RSSmttL3O31mqt+3iapuPxWErpvc/z - 3Ht/cCsDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgh8t03ScAAAAAAABcv5zzuq4nJydnL5z/u3/3 - x+9978+n9OJHY4w94T1NU601IlJKY4x7mn+aptZaa+0lc8Y0Tb33MUZr7XA4PMDlAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAAAA8EMrX/cJAAAAAAAA16/3SKm0Ov70T//0ne985zTFGHFyOMl5KmVOKeWc - r3Ld6Srr/arVWnPOe5+79x4RY4zee865lLIsyx4FzznfuXMnZ/cvAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAuE/SFwAAAAAAQI6Rp7J89rOf+wf/4G3HY6s1lnm5PF723scYe2a7tRYRKaW9vX3Px8j5 - eDz23lNK67ruOfCI2Kfdu+BjjJs3bz7QpQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPDDRbobAB5S - KaXrPgUAAADgkZZf8oqIiNT3Vy6xl7N7jzHSGCnnKSJ97vNffNt/+w+Pax0RuZQeY0RE9N5rRI94 - Mde9N7a/l1LKi0dLaR/vfe6U0rZtewU8IqZp+o6vzPMcLokAAAAAAMDj4uqa/zRN+90BdwEAAAAA - AIBHSM5527Z9XGvtvUfE1RYAAAAAAAAAAAAAAAAeftLdAAAAAADwQ2SMsW3bU089FRHTNKWUWms9 - 4stf/urf+3s/9fTTz/Qe+8Zt2/ac9j1praWUUkpjjNZaROy/TjXGuLy83Aettb3nDQAAAAAAPK6O - x2NE7LcMIuLk5GQfAAAAAAAAPBJ67/uzD+u6TtPUex9j3MdzFgAAAAAAAAAAAAAAAFyX6bpPAAAA - AAAAeO307/h7KsvWt+eee+7GjRvH4zbPh9PTw//zpf/0hje86eLiYlmW/Seltm2LiP39nszzvG3b - 6enpxcXFvuXmzZt37tzpvaeUIqKUcrXzGGPfCAAAAAAAPGYOh0Nrrfdea52maX+/7pMCAAAAAAC4 - B7XW1tqyLL33/U7Htm3q3QAAAAAAAAAAAAAAAI8KP30FAAAAAACPq+/sdkfEuq7LsszzfHm5npzc - uLy8PD8/f9e73vU3f/OVERF329vzPPfeW2v3esi99n15eZlz7r0vy3Lnzp0xxhgjIvYsR2utlOL3 - qgAAAAAA4DG23w5oraWUImKaJrcGAAAAAACAR8gYY89173c99o1XAwAAAAAAAAAAAAAAAB5+0t0A - AAAAAPBYutvtTncHI+//6z1SSofD6dnZWav9Da9/4xe/+KWIKKWcnp6enZ3F3QL3ycnJ5eXlPR01 - 5xwRvfcxxv71vf99leU4Ho+Hw6H3vtfB9/0BAAAAAIDHydUtgD1fMcYYY8zzPMa47lMDAAAAAAB4 - VfYbHHH3fsd++8NDEAAAAAAAAAAAAAAAAI8Q6W4AAAAAAHh8XXW771qWZdu2lMo8p1b7W9/61s9+ - 7s9PTpaLy7W1dnZ2llJaluV4PEbEvXa7I6L3XkoppfTeLy8ve+97tHuPebfWDofDA1kZAAAAAADw - 0Oq9T9O0bVvv/XA47LcPLi4uTk9Pr/vUAAAAAAAAXpWU0hgjpXR1y2Nd12ma1LsBAAAAAAAAAAAA - AAAeFdLdAAAAAADwOHpptHt862ehWmuHw+n55fHyzvm7f/a9f/Knn4kUl5drSinn3FobYxyPx2ma - aq3770zd02GXZam17kGO8/PzfYbW2jRNEVFKqbXuv1R1586dmzdvPqDVAgAAAAAAD5Fpmnrv8zzv - tx5KKeu6np6e3ut9BwAAAAAAgOsyxtifs5jnOSJqrcuyuNkBAAAAAAAAAAAAAADwCJHuBgAAAACA - Hx55jLRtW875fe973yc/+X/kHL1HitTH2H9AqpQSEbXWnHPv/ZUm/E7btu0FjlrrPM9jjJTS3u1u - rZVS9qOMMXS7AQAAAADgcdV7zznXWvd7BMfj8XA47HcKrvvUAAAAAAAAXpWU0rZt8zzvdzqmaVrX - dVmW6z4vAAAAAAAAAAAAAAAAXq183ScAAHybPV4VESmll/4JAAAAcE9aa9NUUkpjjN73MHfKOY+U - Rsrvf/8v/dt/+79HRO9RchkxImIPdbfWWmtXf76MeZ73weFwuNpydTXj6utXW/Yax/6t/dIHAAAA - AADwWMo5R8Te7Y67txJ0uwEAAAAAgEfL/gTE1UMTut0AAAAAAAAAAAAAAACPFuluAAAAAAB4DOWc - j8fj3u2e57mUklJa1zrPy/vf//7f/d3fjYicck659XYf88/zvG3bntw4Ho8nJycRsW1bRNy6devy - 8rL3XmtNKb1iAhwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAeIOluAAAAAAB4DJVSbty42epYj3VZ - Ts7Ozst8KPP84Q9/+Ld/+2MXl+u8TG30NvqIWA6He51/27Z5nmute717r3RHRErp9u3b+wlM01Rr - LaWodwMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPADI90NAAAAAACPod77Cy+8sCzLj/zIjzz33HNP - Pvnk8Xj8jd/4n//5P/+1bWvTlGutEVFKiYjj8Xgfh9i2LSL2eWqtOef9uBGx97yPx+M+2D8CAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACAHwCpDAAAAAAAeAz13ud57r0///zzN27c2Lb2+7//8V/5lV9p - baQUtfYxUkS01vKUIt3z/DnnlFJKqZSSUso5t9bGGBGxv0fE4XCotbbWHujKAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAA4OVIdwMAAAAAwGNoWZZSSu/9cDg9Hrc/+qM/+oVf+IV17TlHRKSUrgLbvY1p - Lvc6f+99mqaUUmttP1DvvdY6xpimqbW2bVtETNNUSrk6FgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - ALzWpLsBAAAAAOAxtK1tW1tKJaX0mc985h3veMfez249cplSShFR5nw4XSKibu1+DrFtEZFzjoh1 - XVNK+7TbtpVS9nFEjDGuxgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPBam677BAAAAAAAgAcvpZLn - qW39C1/883f99LvPzvfMdozIY4zee8651d7qmkvqfcS41/lTKaXWGne73WOMUkpE7O/TNLXW9j/V - uwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPiBydd9AgDAd5FSpLuu+1wAAACAh1prbZ7nq2sIOeeU - UkRe62it/Ne/+err3/DmZ755e0SMiD5S730vavfeY0SM6HVEf4WjTNO0T341HmPUWkspvfdSyksv - Yuy7RUQpZc94u8QBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAD4x0NwAAAAAAPMJOT09rrRcXF/M8 - 71nuWuvI6eTkxrPPfvPvv/6Nzz77zdrGPM8RMca41/mnaco59973Yvc0TbXWk5OTiLh169bl5WXv - vdaaUur9lQLgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8NqbrvsEAAAAAACA+/fCCy+UUm7evNla - K6Vs23Y4HHrKTz/99I/92I99+ctf3tvb67qmlOZ5Xtf1nuavte6DvcxdSimlXF5eppRu3749xiil - 7LtN09R7zzk/8DUCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAq6efAQAAAAAAj7CbN2/eunVr27Y7 - d+7E3bT2008//aY3velrX/taznmMsee6Syn32u2OiGmart4jYtu23vvJycle8t63H4/HfaDbDQAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAwLWT0AAAAAAAgEdB6pH6391ca3/uuRemaXnd634kIs/z4Rvf - uP3Od7zr85//89baPM+ttb2oXWu9j8Pu36q1Hg6HiJjneYxx+/btiBhj7PscDodaa2vtvhcHAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAAAAD4p0NwAAAAAAPMJ676enpxHx/PPPR8T5+fnb3/72z3zm/045 - lmU5Ho8RUUpJKeWc94b3PUkpRUTO+Xg85pxba2OMw+EwxpimqbW2bVtETNNUSrmKeQMAAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAMB1ke4GAAAAAIBHVI7IOecxRu1xcuPWSOVdP/Oz/+effKb36D3Wdd3D - 29u2jTF67733ez3GHuq++uJLZ9i2bY+CX+15NQYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIDrIt0N - AAAAAACPsL3JXUqptb73ve/95Cc/tce194p2zi/eCJjn+f7mn6bpeDzuU/Xexxj79pRSKWXfobXW - WkspXX0KAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA10W6GwAeUntea4wheQUAAABExLZth8Mh5ZFL - 9N6vct1tpDIfam0f+tA/+Z3f+b1aIyJyfrGi3Vq7+vrLz79fiyilXNW+90Gt9Wq8z1lr3QdXe5ZS - 9oz3PgkAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABcI+luAAAAAAB4BJycnJyfn19cXOzB7P39xo0b - 0zRt2/arv/qr/+pffWTfc1mm1sa9zj/GSCm11nrv0zRFRCklpbQ3uUspx+NxT4ZP0yTRDQAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAwENLuhsAAAAAAB4BY4yc8+te97qzs7Occ2tt6+2F8zsR8dGP/q// - /f/wz5bDMiIipXVrZZrudf6U0hjjalxK2bZt73mnlNZ1jbu98G3bHuTCAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAA4IGS7gYAAAAAgEdA7z2ldH5+/tRTT5VSeu/zPJ8cbvzWb/32Bz7wjw+H+fJyzTnv - se1a673OP8YopUzTFBHbtrXW9nGttffeey+ltNZSStM09d4f/AoBAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAADgQZDuBgAAAACAR8AYIyKmafrmN7+Zcz49PT07O/vEJz7xy7/8y6XE8bhFRO8RkVOe7u/6 - f2ut1no4HF56xDFGay3nnFIqpaSUUko5u78AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADAQ0paAwAA - AAAAHgE551JKa+309LS1tq7rZ//D59/+9refn6+1Rs55mqaIWJal1Xpyenqv86eUImKapuPxuA8i - ou8x8JxrrRGxv6/r+kBXBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+SdDcAAAAAADwCxhittZxz - RPTev/jFL77tbW/btpiWNFJExP7Ruq6RyuXFxX3MX0qptU7TVEqJiNu3b+8975TStm0RMU3Ttm3L - sux/AgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwENIuhsAAAAAAB4BKUrtUfLcI//FX/ynn3z9G55/ - /iLn2LYxT6X3vq7rvCyRUoyR8v1c/++9p5Rqrb33y8vLW7duxd4Cjzg9PT0ejxExz/O2bfM8P9jV - AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwIMi3Q0AD5eUUkSMETnnlNIYY98CAAAAPHbyS14REZF6 - pJ7y6KOmPHKJbdumadovEYxcRuTa81e++vU3vOktz79wOVKMiBixbW2fYFsvY7SIPnp/mQNP0zRN - 04snkXN864rESCnlnHvvpZRa6xhjWZZ9z8PhsA90uwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHiY - SXcDAAAAAMBDpPeec04pbds2z/PFxUXOOU3zeqzzfPja00+/+c1v+duvP5tSpIiXLXR/d9M07U3u - /Sh7pTvnXEqJiFLK8Xjsvffe92r4g18hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAvJakuwEAAAAA - 4CGSc26txchTWSLi9PR0Xeu6rjdu3HjmmWff+ta3fulL/2/v0VrM83Qf89daSymttb0RHhG999Za - SimltK7rfg4RsW3bA10ZAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA/CBIdwMAAAAAwENkT2jvLe2I - WNc1pXTr5pN7t/vzn/+PpcSy7Gntmu/9Mv+yLK21fbwP9lB3rbX33nvfw94ppWmaeu8PcGkAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAADwAyDdDQAAAAAAD4eRY+RtbTdvPFFr3bat1j7Ph4j87LPPvu99 - 7/vc5z4fEa3FeuxTyWPENE3fbaIe8T2T2+u6zvOcc97T4CmlMUZEjDFaa/v2UspeEM/30QYHAAAA - AAAAAAAAAAAAAAAAAAAAAAAAAACAayW5AQAAAAAAD5F5ns/Ozkop8zzfuHGj1np6evpzP/dzn/jU - H7QWJycvtrpr7csyrWu91/lzzr333vue5R5j9N73enfOudYaEfv7uq4PcmEAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAADwAyHdDQAAAAAA16hH9Jf+vW3bsixpmtfWz84vp+XkjW9+yyc+8ckUMZV8eVFT - xM2bpxFxH93uXWvtcDi01koph8MhpbRvTylt2xYR0zTtp7H/CQAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAI8Q6W4AAAAAAHiIlFJqra21aZqmafrABz7wiU98stYYI2rth8M8Rty5c7HntktJ9zp/731Z - luPxWEpprR2Px1prRKzrGhGnp6fH4zG6mlPEAAAgAElEQVQi5nnetm2e5we8PAAAAAAAAAAAAAAA - AAAAAAAAAAAAAAAAAHiNSXcDwMNljJFSiohSSu99HwMAAACPpZRS732/ApBzjogxRos0chk9rcf6 - a7/2P37kI//LshyuvnI8bvtgjIiI1sbLTH71vhe4Syn7R+u6LsvSWkspjTFaaxGxLMv+6eHw4uF0 - uwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHgUSXcDAAAAAMA16L1HRGvt5s2b27a11uZ57r3vDe9S - ysc+9rEPf/ifRsTl5fE+5h9j3Lx5c4xvtb33RHfOOaW0ruseDj8ej/sRAQAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAA4PGgxgEAAAAAANdgWaYx2uFwuHPnzrIs27adXVye3npiPdZWx+99/OP/6Bc/MCLK - lPuI8crzfaec8507d0opEbFt27IspZScc+9973nv7fDD4ZBzrrU+4OUBAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADANZHuBgAAAACAa9Bai4ha6zzPKaV5nl/3utc988wzTz311Kc+9al3v/u9EXFystTa - c77/i/l7pftwOKzr2lrrvU/TlFIaY+wN79ZaSmmapge1LgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - ALhe0t0AAAAAAHAN9nh2SimltK5rRDz//PM/+qM/+vGPf/y9731vzlFyurxcSym9R8r3nNbuvS/L - 0nsvpRyPx3meIyLnXGvdq+EppdZaKeWBLw0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACukXQ3AAAA - AABcg5xzzvnk5OS5556bpqmUMsb49Kc//e53v/vOnbXk0tpIKU3TFBGj93u9pJ9zXtd173NHxLZt - +/a9F348Hq8m38PhAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8HiQ7gYAAAAAgGuwbVspZV3Xw+Ew - TVNr7S//8i/f9ra3nZ2v8yFtW4uIMk/H4xaRp3mOiIh89/XKeu8RMcYopZRSIuJwOLTWUkovvPDC - 4XDYtm2vei/L8pqtEgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAH7QpLsBAAAAAOAaLMtyfrwcY0yH - ZV3rf/3yV/7+T73hG8/emee0rmPfp64tUko51227v6OUUlprY4yIuLy83AdPPPFErXWe51JKrXWP - fAMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMDjQbobAB46e0MrpZRSuu5zAQAAAF69/K2r7qlfvcqU - Wt9yiTKly+N5mdIYI6VUR5+WOU3zca1f+drTr3/Dm//26W9Gim0dMa4mjBhj9Hr3EP3u69ukuyJi - mqar7Xu3ex9cXXDYP7rabZqmnN0sAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4PGhxgEAAAAAAK+h - bdvmeY6I3vuNGzcuLy8Ph8PFeiylrOu6btvxuL3pLW/5q7/6m1LKGHE3Af53L+B/Z7F7N03TGGOe - 5z3OXWtNKe1l7tZaznmaphdeeKG1tme8AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4PEm3Q0AAAAA - AK+hUkrvvbW2bdvJ4cZUlvPz81u3bp2dnT/15H+zrvXHf/zH/+Iv/ktE3E1r95dUuvtLXt9drXWe - 53VdU0q3bt2KiDFGrTUics69923bDodDSqmU8lovFgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAK6d - dDcAAAAAALyGeu8ppTHGycnJ17/+9ZzzU0899fzzZ08++eSzz37zrW9965e+9F8iYp7KPM/3d4iU - UkSUUs7OzlJKp6ene6i79z7GWNc1InLOrbW7dXAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB4bEl3 - AwAAAADAgzZyjBevwKeUtm1b5pNWx+FwOs+HZ575xpNPPnm83N75zp/+9Kf/dJ5LjNi2tm3bS+rd - PaK/mkPN87zHuWutETFN08XFxRijtTbG6L0vy9J7j4hSSinlNVgtAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAPESkuwEAAAAA4DWUUso5R8S2bU888cQYY5qmy4v1H/3iL/7xH/9xTul42ZZl2nfe89v3 - ZNu2lNLe5C6lbNu2LMs0TftBxxgRkXPeBwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPDYk+4GAAAA - AIAHokf0b9swcoy8Huvpyc1jbcvpjW/cfv7OxXFaTj74wQ/+1v/2O6On1kZElDLH9xHYHmP03nPO - rbWIWNe11rqPe+8R0VpLKR2Px+97jQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPCwk+4GAAAAAIDX - 0Onp6fPPPz9N0/F4PD09XZblQx/60K//+m9ERGtjnueIuLi4iIj763anlPbBXu+epmmfak937/OX - UiLicDjc3yEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgESLdDQAPnavg1j6Q1AIAAIBHQill27Yx - RkppjJFzjojW2rG2k5u3Wh2tjpzLRz7yP/2Lf/HrJyeHiIjI27ZdzfCKFwHSXRGxJ7r3b5VSxhjz - PPfea61jjH3jd53hwawWAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHlbS3QAAAAAA8ABcXFw88cQT - OefWWkTsDe+Tk5MxRq219/7EE0989KMf/dCHPhQRFxfHe71EP03T3ufeC9+11pTSHvBurS3L0nuP - u/1viW4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB+aEl3AwAAAADAAzDPc6314uJinud5niPiWLc7 - lxfLsuScU8kf+zf/5v3/3Qcuj61M0/jW9/KrvFZfa53neV3XlNKtW7ciYo+C55xTSuu6ttb2bve2 - bbXW12SRAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8NCT7gYAAAAAgAeglLKu6zRNpZTz8/PW2jzP - N27cWNf18mL97Gc/9573/GytfZ7LHuG+j0OklPYDnZ2dpZROT09TSr33/dMxxp07dyJinudpmh7g - 0gAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOARIt0NAAAAAAAPQK01Ig6Hw7ZtKaVlWda1np9fnp7c - /MIXvvATP/ET01QiYttaRN62dvd7PaJ/71nz1Wue53Vdrw40TdPFxcUYIyLGGGOM1trNmzcj4ng8 - tta+95wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwOJPuBuD/b+9+eiTZ0rsAv++JyKzq7nt9xQe0 - jI0HjCXGAiFZYmkZPoGBBWssiz0Gg2TEAsuLMRJCYmGBZDNg6c7YA+NhbndlRpzD4lRFx62u6um+ - FZl5p+t51ApFRVedf3HixMnc/AAAAADYQGaWUqZpOh6PL1++7Feurq6+973vffe73725mW/ezMMw - RMS4231k2SUijsc5M3sJwzAcj8f9fj+OY0S01nqq9zzPrbWrq6v+awAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAPAMjZduAAAAAAAAfAp6dHdrrdZ6c3NTa71+8fJP//RPf+3X/+6XP/w/mTEMZZrnHMp0 - PH5MwWU564WXUuZ5joge191au7m5ubq66ucL6d0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8T+Vn - /woAsL0aUR//LwAAAODnTyllmqbW2jiOmbm7fvH9//m//9avfOfLL38UEa3GPLeIaLXmEJH5wQXf - fleQ2W5/rrWUMo5jRLTW5nm+urrqcd2ZmZkRIbcbAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAZ2u8 - dAMAgIiIaCUiehZXay3uErZqrcMwzvHhaV5wT10dAWBD5dIN4COUduIK8rSbjW/bVsbsh+fi9qP6 - 8tC/XY3mery+vj7cTJk5DMPhcMgcSok55hiiRtQ67/fXP/zBX/3yL//K9//Xl7d/ltHidkVu9cPX - tro6yYjY7XaHw6HWmpk9rrt/h5B3WeBLejcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8T5KGAOBC - 3h+hlRFx6nxFAADYSPMVE/Cpenh92+120zS11uZ5nqbp6urq+vo6IlprpZRpmoZh98Mf/NWv/up3 - /vv/+PNxHCNKRIlWnrBg1qX8w+HQs7q/+uqrWCV2AwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAN14 - 6QYAwHP1McHcpdWTtYNnQpomAJvzcmFRI06b3m22AZe2+lSePUI737x5c331crfbHY/Hw+FQ66G1 - VnallHEcY5qmv/cb3/0v//W/7XbD8ThtvpLN89xai4jD4bDf77ctHAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAH7eST4CAAAA4Cl8vwQ8O5k5DENEHI/HWmvP6/7ss88yh9evb2qNX/s7v/7Hf/wnQ8lS - xm3rrbVeXV211lprcrsBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgQVumhgAA38gHJB1mPX0z+ES1 - PsEEagLAs5bt1DWcdrPR8qTFf7TTjyfwbdHWn8dX5/M8v3jxYpqmm5ub3Xg1juPhML1+/Xq82h8P - 89//B//wP/zH/zSUnOc2zzeZQ2urhaOffqOVrZdzc3MTEZkptxsAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAeJMQRAOCTJvcdAABga/XO9fX1OI6vX7+OiN1u99VP3/z2b//j3//9f7cbh3lu+/0+oozj - uFW9mRkRrbXW2jzPEXE8HrcqHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD4Zm0WGAAAfo1y6ATw3 - ArwB4HnLE5ff7G/5FsuP3Ax/7Hz+2PL5JOz3+1rr4WZ69epqnlsp4+eff/7jH//kd37nn/7L3/1X - EXGsc2YeDtNut3s4Xbt9w6qnaYqIzByGoda62+2+eTcAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADg - EyVXCQC+NZa8t68FK5YIUYgAAHy7Zb3dzZ7uGN+y46n767jx/PkY32A+8/wcDodSyjAMrbV5nq+v - r3/0ox/97u/93j/75/8iIoZhiIhx3EfEw7nd39Q8z73w169fR0Rm1moSAgAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAwH3jpRsAAETEbd5bKaXWOo4xTbf5W/vd7nB4MwzDRdK7M7O1tpxHRGttufJ0pZQH - y+/np7auupSyvvJE8zxn5nl6sdbvV+/F0oDMrDGduSVdD7FbYuSWW3zqet8d+V7pVlX3ebuUuYzz - JoW/31LL+kncqup1geuKNin8PdbrzBnqitN3ankGT1rLqetdj9V6np/nZq1fBLXW1lrPp9y2/PV7 - p78FTu3d0dv2+X23X1uVX2t99712hvnQ3yDL9Hv7XtsooHQZsbOtQg+2oZ9suGjcK/NtyfUc3cyS - ES2yLccWNaJGxlOPES3maC2yZkSWFq21qNEicoNjRkbelrmcZ4k614f/Kp7co3eOy+i1dpKSl3uR - ud19eeTYokWrES2j9RqzRETM89yvP/HYWo1WM4el/MiW2deNh+fPN5rQD/Su17W+R30P/g2reMeD - y8J5lqkz7F4+sIp1f8/28fDBNtxVXerbJvQ9Q42IYXf11Zub6+vrKGU6HOebN//63/zBb/3WP+m/ - Ns8tojwU2v2hE3J5Qy0fQO69BF+8eBFf370DAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC9HdAPCt - UiNiniIiWotWs2YMw+7uv8qZj7WHJK6utJYRrZRxq1oy1yXfXskcztC7zKG1OaK0Nk9T7Vc2KXm3 - u+o9am3ux4iS2c7Qo8zbkWwta+29m0opdwGTZzVNl4kMvxfpuuTYbRuR20vrcb/9fJ7nTcr/QOuA - 3k0KHMdxiVrvYcndOJ78I8NJswbvjc+ScLxtVPO7wednziOPu9m4VaTxUs46L3mTkj/E+u5sG9od - qwTQ9T3aatwesx69dVD0VutGf35Pd6dKKetE8OX6qWfFfr/vNS6L0tKeTcpfF3ip+bBc2TCSfL1u - r0evxDlCeVvrs3qOiNbWO4H5KceWMQzRWg+vjdqmmKO1qbU2DEO0iJifeGwxRYu+G+/nma3VHkae - ke3eMaNFZDz5mBmtZWv9/Tu11nq/7u5jfeKxtTmzb7PjbvmpEfn0kt9/HIaMGO6m39Rai5jidlXf - YNyGofQE5daiT/Nal/IfbNU388B4RmTE3Frr8zOzj+c2hmFoK3G38J56P1Zrvbc0LYnRm5Tf333L - irSU/9hbfr2zWt5Bcfr1+WEl59ri/s6u9fYMw1BK+eu//n+ff/75H/zbf/+bv/mPNtwD9iVunufe - 8cyc5/lSWeYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADwc0d0NwBc3Nv4sVojM1qLMsRut4vIVqMM - 41RrZESPXDzjsZSxxRytRNbWSotoLSOj1k0S96LVlmU5j8goWbLkPG9T/mPHeZqztJKlDEPGEJHZ - Sos5Yoisvb9POd4c58jaaraYM4YsOZQxh5indtL7dThMZYih7LJkqxklo5XM0qJGbJx9+yFatJ5F - ehvUd5d4fepI41KGWAX+nbayFhHRau/ayQe592WVX9tz+7aJ7punvhb1SPKh3AU2nzqSvM63SYRx - mukxDON6MrS78ds6+fEuIT7uOnLiqde1tq6nR2xuMw+XctfFt9Y2TCd9uN7WMjMjl9TSPje2ig4t - pbRo6+DP3qlto9zfNd9FomZP+10mzEbB5HOLt4/O3Sy/jTTeQma2pey4Xcwjc67T+//wiabVncqV - rdalPqHfZpKvkmI3Kf9Rdwtsa+02SzkzMttG9X715s0yVn1uZykZUU7crzp/beFbVoxNxjMj5qnV - 2/KW11RGxDSdah72YO36yIupbLTMz1OPf86lY/36VhHFffHOWLZkt1dPvp7fNr/v7t86HA6blD9P - dZnmWTIjhvG98yE/cjzbw++F1Xv27iXVV8iyzf06TMd+kpnnmee31ZW8N6P7j3Wj/Uxb5nNGlluZ - eTweH2nP7fi31mqrrd6u0rvdbpP2PNrOdSp27/rbfcgyJXpf+o+llPLmzeGLX/gbf/iHf/jd7/7G - 8on+9rPhfffnSa6qetc4jtM0lVJ6RvhPf/pTod0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADw4UR3 - A8C3TZbS6hzf+95/fvPmTcxRSsRwF3D99eMv/MIXJwy4jjbPtZQchnEch91uP47DMIyZMc91k/Lv - oirLXc5yG4ZxGMr19YuT9quUoferlCxl6G1obaNA8mjDMN6lPUZmGYbS61qun+i42+2HobSxlHKb - 6FZKZgzz1hnFHz6Z+792myl7m9O3VRTlY3r5meVest1W9d6Ll87MUjIzz9Cvu1zP28DXt0nAW+j9 - qrW21tMVW2s1totMfk+9a7drwXYB3vN8lx1aynr0tr1f7S6ZPt6O2Gmju089z+9Fui5zb/Ns9Xtq - rX2hiLsw5V71VpGltfblqMTtw1vOE1G/nuT9Sh/JTefh7fRbatlwntfa7sqM3ptSSmbLPG2E6r2Q - 9b4+RcQ4bvNVxtt41taW1fTeIn8K81wze329rqy1x3Zvs55fX79cr6VLWvM8n7Zfd6tfiagRJbO2 - lhE1c+hXnnocxtLm1rK1eZpqRO3n47jfpvworc2r9reIvj/coOT3HHe7q6UvrWWtt30cht0m5Zcy - rq9kbndH3nvsdz+i1DpHlNamfmWr+5U5rEfssf6ujsPPmMH33O2m75VTa4/q7p/OSmtLT7exG6/a - okZtrT/Ew3Dur3Azo7W21XZmKOVtt2qrc21tjohhePi+1Hr3vsm3H21i4/fmw/WuNlYZd/u5VnK1 - tVsPSouIcdj/0R/90Xe+87fnOXa7PE6bLbbL/iczb25uhmGotc7zfOoIcwAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAAPg0nDySCgCej3WS7kfnPmZERMkSEcMwHI/HIUuLmi1iwyy4j1RK3IUun88wxIkT - VL9mo9Tjr3l3xDIjM86ToN17tLSh38SL6PUuI1xKjGMZhuHUUXM993cYhn6yBOVuFfUaq5zmXtFS - 11blP2i/3y/9WqJ5N4wMn+d56dFut9vtduM4niGS/LPPPotVsHFsmtsdbzOnb+/UMAxfD9jeoPwl - HHcdz/zy5ctNyn/MOI7rqb7M883n4TJ6vboNn6MHjeM4DEPv3boBW5X/7sPbp0Se4mWw8urVq3ho - nj8WWfqx+vR7d0XaaujuPZW9rlLKixcvIk+4RLTW+vReOrIkv25eUURkZp8PW0XFP2aapnIn7joV - S3j4FtYDtbw1Th95WyMiWsnSopXIuu2x5Nhi7ucZw3K91dy2rhbzUv6J+rI+zlNb6ur19h4NZbdh - +csoZWklxzLEdKwn7Ver2evq/Woxt5r9uEn5Q9m1mOsc/UoZotf1aL++mXfKmY6111WG6LPlds5s - 9PzWWpcl7kRbo8fqjbtVaN2XDdel9fZ12Ts91rX19fUn61O/r9ftjNXbodWMiHcnUsnx5ubmz/7s - z3/xF3/xL//y/97+1W1Bd7/0yN1b9+SxG7zb7Y7HY38xlVLmed5q5wAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAADPgehuANjM06O74zbq+G328piltVYjIh8o7Wyv8Z48vUTHbVjv0telzMyT9+teuPXZ - qjh10lxr5+jaU5TSk+nPlM1eSqzzDk+UWJoZPRR1nk8bibpEoS8TadsbXUr2p/tSE2n9gJyo6mVK - RMQ8n7Z7Z3jel4rW92urobu3FJdym/57zud3/Wo4hfWrrdbTzof+WL07K06xLq2XvtMlNffunDgJ - +raiccye6b4OdD9BRW9T6vf7/eblr+33+yVk/RR9WbJmexj5MAzjOG6V4/4eX3zxRUTLLH073M/v - mtP6lacca20Rrd+lcdyN41DKsNT19GNv7b3rmfHq1WeblP/YsfeilGEch3HcDUMpZYho81w3KX8Y - xvWVXn4fw5P2K7OUkv1ODcNYSvbrW82HpZxSchjGPnpLLac7Xl1d9xpLyW1n+HrWZZZlPgzDuOE8 - f+z46tVnS2z3g8/CE4/zXNfzYRhKr+X9dW0+th/Szj4CfTRaq3f/GxHxbnR3neP73//+L/3S3/yL - v/jBbjfM81xrZCm11si7X2rxoFydP/Ird/97tx+qtZZSjsfjbrf7kGUZAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAAAAnjnR3QCwmadHd+/G3TzPtdbMzFYyc4ic27H+rDSvEymltG2Tur9uHav87vXTucj+ - 56OnxJOrW84vtd/rKaEnnUIPWvp+r96t5tUqw/5b0S/e77F1bMN1Zl342W7TY+vnhuVfaqlczh8c - 2KeXf/6H9wyGYVj365PpYL/ty83fNp/+wbpKycycptNnkp9S78u7A3Xi7VW0swbsnu946tDkt9HA - GdEiy+2Vreq9V34vOUvU+dyj925Lnlhyi2/FDFnftVOUfIrRu+xYLffuQ+5gGaLHl4/DvgyRMUTW - aOVEx1cvP8/SSo7LlVYzS8tH3j3juPvyyy+/+uqwXBnKbqrH6DP/a0vk29Ov/0+JiBYPv3fGcXz9 - +vU4jvM8D8MQEdM0jeP4MWszAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPF+iuwFgM0+P7o4Wu93u - eDxGREaJiJKltvpYlNc5rTt1iv3DUv4ZNifvRsBuW+mD2b2XysGNiB4Id1mXjZ3us6vW7Z+ji/er - lLJhv5ZenDoWeu2cj8anFH9+b9zyzjzPm1e0/vEMkeRni0Jfz/MNo9zf45xT/VzP72lr+fRWoaXG - fnK2Pc85O/juw7RV5fciyZcft3p8Hws7P+fsOEWNmW+L/fl/+11eudtWn2g8My9/m9ZtON3z9X6l - PDDCZxucpddvx+ED/mQcdsfpGFEiW2ttk+juWuuyjNdae3r38rUDAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAA8H6iuwFgM0+K7gYAAACemVJKrbfp3bvdbpqm1prvEwAAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAOCJyqUbAAAAAAAAz1HP7R7H8cWLF8fjsd25dLsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADg - 51tKAQGArbTWMjMiMjPTSxYAAAD4UKWUeZ4jotZaSrl0cwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AODnmPwPAAAAAAC4gP1+308ys7V2c3MTEXK7AQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4ImytXbp - NgDAJ6K1lpkRkZk9c+vSLQIAAAC+7fb7/eFw6F8jHI/HYRikdwMAAAAAAAAAAAAAAAAAAAAAAAAA - AAAAAMBTyP8AAAAAAIALePnyZT+ZpikiWmutNbndAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8ETZ - Wrt0GwDgE9Fay8yIyMxML1kAAADgZxiG4Sc/+cmLFy9qrT20e/l6AQAAAAAAAAAAAAAAAAAAAAAA - AAAAAAAAAPhmxks3AAAAAAAAnqNxHI/HY0T03O4e2i23GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AJ6oXLoBAAAAAADwKVvSuIdhWM4zs+d2R0QpZf1rAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwFOI - 7gYAAAAAgBNqrY3jGBHzPPeU7nEcD4fDpdsFAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAn6ZsrV26 - DQDwiWitZWZEZGamlywAAAAQEbHb7Y7HYyml1tqv+NIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - TqdcugEAAAAAAPApOx6PEZGZEbEEePeLAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAwOZEdwMAAAAA - wAntdrtSyjzPwzBM09Ra6xcv3S4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD4NInuBgAAAACAEzoe - j7XWq6urm5ubzOwXp2m6bKsAAJbPN6sAAAJGSURBVAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgU5Wt - tUu3AQA+Ea21nr+VmZlesgAAAMCtzJznuZ9ExDzPwzBculEAAAAAAAAAAAAAAAAAAAAAAAAAAAAA - AADwaSqXbgAAAAAAAHzi5nnuod211ogYhqGfAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJsT3Q0A - G5jnOSIy83g89iuttVK8Z+F5GYahR/H188s2BgAAuJT+uaB/KOhfDrTW+sXMXL4u8L0BAAAAAAAA - AAAAAAAAAAAAAAAAAAAAAAAAnIhoEADYQE/bOh6Pu91umqarq6uIqLVeul3A+ex2u3med7tdP6+1 - ZuZ+v790uwAAgLO6urpqrQ3D0FqLiFprPwEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADOJqWGAMAm - jsdjZo7jeDwe9/v99fX1mzdvLt0o4KxKKa21Uso8z5duCwAAcAH7/f5wOIzjOE1TKSUzM/NwOGTm - pZsGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAz4jobgDYwOFw2O/36ys3NzdXV1eXag9wKX01mOf5 - 5cuX/UcRfQDPk+9bAJ6tL7744sc//nFE9M8CtdZLtwgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACe - HdHdALCB1tqSzjtNU2bWWne73WVbBZzZzc3N1dVVXxD6+c3NzX6/v3S7ALiAUsqlmwDAJZVSaq21 - 1q+++urVq1eXbg4AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA8L/8fXfE9dNXHJxEAAAAASUVORK5C - YII= - ) - ) - (image (at 82.55 69.85) (scale 2.1548) - (uuid e1b51cb4-dc43-4a41-ae96-3fb4ebb8000a) - (data - iVBORw0KGgoAAAANSUhEUgAAAuwAAAJvCAIAAACvZhPdAAAAA3NCSVQICAjb4U/gAAAACXBIWXMA - AA50AAAOdAFrJLPWAAAgAElEQVR4nOy9Z7hlV3EgWrXSTife2LdzVqvVCghMEkkSCMEAlgBjzGcb - D8EJjwERDDYzOI0Jz34YD2Cwnz0OZARiGhM+bANjwCARhSSkVkvdfTvdfO+JO6xU78e+9/TpBAgF - HFTf/tbZZ6+9V6gVqlZVrVpIhoCBMVoqBeiXlpZGRpuGXLvdCqtJwAMH3oLXWisVpkUaBzEBERAA - IDAAKP8iIJwOp/9nZ8Ry4OeK9QgewBF4AO/BEwCA8MAIGADjIAA8AwCwDLwHV4D14AHEaqoACAIA - GAgAQPBlsoOQVu//rQCdhbcf+DIgoIdV3A2HDLB8AdZqW97j6Z8PwJ32bxUYAJ7VVMOpnY07ftYT - Os+/tXTOke99grJ4Z/e3tfJgmdegwHRa7KkQAeisF86A+17fM799sPsbG8pruDxlf1jD1eqbHgCA - 09q4GP6WgyioAGABBgj+xptuvPjCi3ds3RZJhQSICAC4BqfyIiqfD/4Oxw7DcNTwV+QAAJAccA7O - FcZY8Lfc+p0NWzZUx0ZiGRWgOSAShRgYX3DGPAABOgACNqg4gWPAAIiABIjCFZILTZojAwAO3IET - IABAOxvwAIH3dT9RMQPmyCEiAnJABI9ABowA4cEbMAgcACxZiTK3RSISC5YD185yLglAkxHIGTDn - rWRcgXREnFBrrULFAArIHFgLtuVayLmAYB1MZnlhgwzQB6AiCJkRXELbtz1zCIwRCzE2YAHAkOPI - OXALlgHjwD34842j4afDLeHBlylw4ByQgMq/QEREggkCKr/VZAMMLNgzUi77jwf/b2sCfRj+XcEw - vRvunxzQgy9ndecdZ1yCQCAAr0AAoDY6loG1FjwKKQHAO0eEjDEBDIyxUilAKIqiOToCQETUaDQJ - 8NDxwxs3brr55ptPzM7ccssts3Nzs7OzSimlFCJaay15gYxJQdZ5BEZQhmfBmUwMeDzXC56B9+gA - PKAn9ADgQRAwBIHEGA1YE8vAeyTLLSEAsbVEEIghsXPlNEyOH1IgBKRzh2cyez8snbIODAAJkIAR - IACjVcIAa7U9L2Vda6NTFGWoPFCSODjVjsOpDbfY4P2yrXGVwTotX4/AgPwp8vljwiCvYSjTPKtX - AdJavQA8AuEZsasYg7U60hBOzg7vc33P8e1D2t+G67ta4KF7AKBVNuy04UclkyE4EYLzSEDGvvaG - 1+3esVNwMXiz7GNnMC73H5ABEAPnAEAp5a3u9/uvf8MbUlPkNk+SBDxl/ZR5EkKU+HSIhODwVPuT - dQDAABGRc+7RMca890EQ9Hpdjry8Z4xZ4znnSoV5njNAay1ZEkIQEXnLCLhA5wxwBkDWO2DMOSel - DMMwTTMiAmBJkqTdVAaBQ9JaM8YYY946jkwy6Z2TIJxzyMGjzWzGFahEeU4GXd4uqkUDPLG6B0ku - ddyg6dgwDHORqkR6A854gcwjMs7LGgGA1to5xxj7AcgnthqFiMPzsHPOOee9j+MYiMp7zrnigois - tcSwKIpKtWqM0VpLKc9sptUx9ROYPx+G/xhwNr0b9KZyiHljVRTWkoox5oILLrj+uusu2r0nc4Vi - SgiR6yKQCgXP00wpxTgHAGe9AIRyhACAEKLT6QRxIIXKXF4Y/Y1vfvM5z73eOg+cpWlKRNVq1XtP - RJxzIQQhOGO1NZKLM8jharnPQWjgzKrAgAsBQA/g1jiYUnYiABgQR2JwiolZZXc880PzMSvxxE5j - YgCpTHatRA85PFBMDMA5mJgzkjib4ThnSc5ZNgDgfjXBYSK9ysqci6gPSPt5CD+dneN9hXMyMWf3 - tBIY4aAMNHQzeHOYF4E1Ruf8rXNf63v2tz9hJmaYlQEAv8bElBgYtGw5iQAx8t5mxfbNW2q1mmCc - zpKdPYDsS5k14qrAChGRM/AMObv11lsNUjfvVSoVRAyVYh7AeQLvAQiREDywQbsIZN46QE9EHggR - C2MAKYqiLOtX42qe54wxzjk5KoqiXm0452xhAUAwLoQgQkbeOSckc84QQ0R03gNHY4wHklIiYp7n - iKxery8vroRRhEoURQEAnHPvPSPgyMCjAE7kAMCDM2CIWY/eIREit7KJBQC05pZI+ICpRCSQIrWp - ZVtJLQSP6Jlzjog8AGOrrcc5JyLnHCL68whEBh1g0Eyr45rzko9RSllrOed5nnPOQxUAQJ7nURT1 - er1KrUpEaZ4NiALAGaPsYSbmYbg/gGfPUQAgpczzXHJhvbPajI6OHjl29H3ve98FO3b+2q/86nOv - ex7nnCtpgQQ55MA4N1qXKxNhtJWBKIoCOROCJbXq0spivdk8cnT6Gc9+1uj4WC/PoiRRYSCjQAiR - ZRlyzhCdc8YUAMAYU2FAtFq2M2a48zIx5xsLCP7UAmsgX2FAjICVvE6ZhS/vkCH6NeKx9iGd+t6v - vr8aRfQTkIb+ADLJCP2QXOGHh4O5qcQhAQDQGlX2p6aw1XzPgLPbqGRWzpDxEZwKB6nBEHmmQVJ0 - 6i+d0fp4qghnrObvE5yTWSkn6bOfU0kT8bRMT92cEkicihsu4dnh4P0frb5nfvsQ97dhGjbMt9GA - pykJ/5C2sfzLOLPOkfehVCLEUjJhreVr6Qw0Sg9sgRGBCICIcQ5E2hrkrFavCyXr9VrFVQujtdaE - CALTPAvDALDsiYDIBn2AAyNmGeOEwAGY5MQRBXrvVChVEliyQogkTATjy8sr/X63GlcdOiUkIjpt - nKMwkECOHJDzDDkQeec440KIerMxNzdXqVSiJPbWW+8sWG1NEEoQnIg8J+BI3nsgxqnQVgqBiIIJ - JZQDbbwBAGuYBEWOOWYJGVOonc36KyPhhLXWWJ9qAx7jIPQElsgaJ1BYa4lIKQWlsJ1z5OeeV08x - 7oNHngDAWCOEAIR+kVtrG40GOmucA6sR0ZDj4FmoOnkKAEIKGm7ooVGGP4n582H4DwQ4IN+naDlA - mmfVajXPc+d8WInnlhaIaMee3UWuf/vN//2DH/7Ic6+7/kUv/DnFBSCWPdl7XzL6QgaCCFQQlPrO - btavN0f+5J3/75+9+3+NTk60et1qs+4Bl9otxhhwRtZJKUMVBEp576213nvj3GC5MAyl+mOIlPpB - se35iRmtEueBYoAYwartDRAA+DXK6wEZkQdkBB6AnUpzVQFfqs/9sGToAZ6EfyRYVW2cMyyxRD9q - OGh1T8CG6mLXZpqygn6Q8XlgWJ00wA8DcEh4LnQhogdga/qsU1/hebjU4cY+HRX3FehcgiUc5kzP - yPSciRDB6YotWk3hR+oQP2p9z/XhQwNnI3aANDZUEreKhzXl49qHQgiHgB6NtRxgubXS6XSIiDMO - pw/VB1adVDIxpTyGAAix1HUutVZ6OheRJIZMin6WxmEUJLEdIqIe/UCiVsqLCAGAiKFx2nNiSEwg - EC63l22hlZBFmgVK9fu93Tt3X7rvYm9Jcg7EvHNEJLnw3gKAIy8D5ZzJjVah4lIutRYP3H2n9UaG - gUCRmyKIQ8aFtpYQPHoCQEaEhOQZIFMIDDx555x3zpN14CQGCVQkD7wDa62oBSxBW+i014G0ncRx - pdGw3lptPUMvOQMuhFBBwJ3TWjtEIgLGUUpjzA/EKg50fwRERJwzVAK9Zwy4YKhEwGNjDCIKIUiJ - wtqkmvT6fQAQcViKl1ZTG3DD5Zz7gDT8w/CfFGjwMzxhciX6eco5VyLwRNVGjTG20mun7V5Srdw7 - fegv/vdfpnn/Oc969ubJTbnV3LooCgEgz3NhjBOSF9YYZ403YRT9+m/++te+ccvIxHiQREu9Nqeg - 0+8n1UoQBB6InM/zfKXXcc4JIZRSQghERp7OFrDDmqXu8Ly/RgvwtBU2EtCAfpYiZg6n1o54Su68 - +nZJxzgRInpCQChlQauYwdIag5hHYoBD9gr4A2wgHqRwWPpy5lp/dYULP2o4QGNJVk8JGU7HO64i - /3zAzsWpeAJAPJvuDsrvhxQlgxsH55AwDeBMbMB9xn+Z5hkWV2Xx3Zrw42zlFxtSpgzQTGdhxg0J - sc7uvafl+OPV96Hqb7Qme8Mh/JRVcMOVWmXuh9RdCATQz7MwDIUQYFwsg1qtliRJFEbOu7MlMQ+g - PIYIEAGROfIAwLmw3qx02rV63THgnBFDIYTW2nMEAO/PtrsCJACGHJgHsmQZ8m6/G4ShcRYRm42G - brUaow0OvLW8ErJwcmLs2mue9vznPl9x5YxF5FEQIqI3lsghoiXPpfDeFkbLUHEpbvnWLd/69reN - McBZEATdfq9SrRXW5GkmA8WBaa8ZADLyhABIhN4XDLiSQjIBEBS2oJyAiDOO4K13xuneSj9Jksbk - eG++z61RQha5JW+1EI6cMQWg0M6WJixCCCGE9z4rciHEefC5pmBGJFpd9pWXNqaU6BDRwuJimRQA - hGHIOV9qrRBDYGi8c3k2vCgd3BEAY0jwUPTnh8P/eOEw4GD8EniEQMjCmsJZxpgQonBWZ9ppE4UR - CxXzNLu48O73vTcIgp/9mReGXJLHAfUTQnIPIIQkwZDYR2786Je/9q+ohCeX97oWKK5Xc+8sUqe1 - zDnngGEYjleriKi11lp7IgQg8kTg4bRl2ykpwlnLVH6mYaYfrA89AvMIuDZdETFa1Q77cj8Urg4p - AkDwUC7OVunV6kakconpiQ2FSAQeiGDVuP8nEp4FPz49GO4WA7oyTIZ/gOrGnyuOned9X05ba+E5 - PzzPOw8Kts+R+9pgIMDVXnSeGvm1OX245GVB/VkY82vPf+T6nkpnLXzo+luZ+xn96Ux0rWrahkR1 - BIQglQLELMuYI5cVjUrVaZPlmRJieHcSPKAczKlClUUFIABHvmRopJSOrLXOeS+VIoDC6DMkvqXQ - FgkKo0sVGCAopVzXJ9VKbnJA2rBpg9ZFo1FnhL1u2zrtuUjTVEohAAkJyHujidBqwxjjUpB12jkP - DhCcc7kuACDPc++9t9AYafaKfnOsqbVeabVVGAB6cs4RMURP5BGjQNnCkadMG6eNlDKKA8kUdJjJ - MpKYVML6eGVxegE5VEarUS1GyxC51S4MA0TkjBuHDBnnPAgCIvLelxbExpjzqWW992c0UImiIAxK - S2cAEEL0er2RkRHv/eLionNuZGw014W1VkUht0BEZRPg0FCCcgNBKQv/yc2fD4f/fsNzDvwyttPv - hXGEQNoYYEhAXIpqvWa16RWpQpHUq+2V1nv+4n39fvbffuU3OEBuc/QopRQeoJ/nYRgSwJGj07/1 - O789NjlGHFOdr7TbE+smT5w8WR8ZKYwWSkopvXW50XmeAwAiMsZYab7J2EC6cEoHUfb8c26HHTZM - K6fUMiTGyomYGBLAmgUiL1cAayNqaO07sAgmOM2AZDX3UyGtWhDeN8nHAx0OpoZB5c/RtueH85GQ - YaZkOPlzmMUQAACyYY3kDwF2VvhD36G1zM6QPK3aTt1/HJYEda2qq3tw1qLX7D8ATudjyoU7DaFl - EEuDEp7eRqdEXT9KfYdlbKvCoYeuv9FpgrkBqlZrt3o/ZFU2eEgIzntwAACVSiVtdcpFv5RS8dUV - /4BqPjjqJCp1woUtPFCSJAggkFnrVai01qw0m2UMhmxBGJ0yXiZgnHPvrSdApKIoiJx1ptvt5iaf - n5+XXGxavzHauVOhtNqMNJoj9QY4koxzJr1z3q0a54ZBaFyhjSGGQnEAbKcdBD7SbAopC2smJsZ6 - Wa9SjYuCI5AUHBjTLgeGwBmgR4KiKBAglIHVDhE3blp/xRVXXLRj38bKhl4708JkPJ3PF977t385 - Mzeb53lRaOZYHCYChUChdc4lV0JKETYajXq9nuf5yZMn015fKSW5OJ9hb7mlnOHqDiaiVRw5a4M4 - tkI456qVSiVJLrzwQmPMt/t9xvnE2LhS6vjx44wAnGdi1ar3zMGOsLZi/InNnw+H/35DgNM3/QzF - BlForEXEIAydc+WOP2MtIRRGkwBvad36qXvuPviVr3/t0Y9+9E894pFSKA7MO8ff9Lu/C5wZpyWT - v/Ibv8oD2e620yJHycM4MtYGceScs84JIaz3ztmyGCoMOGNRFC0uLDabzbTfR0SpVL/fD4PQWZdm - qZSSvAujEJznyPIsi6NocX5+YmwsDAIpZK/bLUcbQ4aAzjrvSTDBkUkhijSLg0hw1LoweQ5ISklE - EpxbZ/IsrdeqWhdJHPf7fc5YoFSJlH6/r5Ty3gMg57zQRiplrCGgM9UuDxUgQDkLc84JoNA6CANP - vlqpzs7ONptNrTURRVFU7gLjnEdR1O12rbV5no+MjBhjjDHW2pGRkdnZ2dHRUW2M1lopZawt9x0A - gDFGCGGM8d5LKUvmJgiCPF8VQTPEdrtdrVallO12W0pZCpbzPA+CoNA6zbJKpZLnOeO8LBXnHBlr - tVqM8yiOAXFpaaler3vvC62r1WpRFFLKLMuElMiYtXZNfXOaQPtcvPiPCSUpdd6rIABET5QXeaVS - 6XS6XEkphHU2CAJjDOMMGSME61y5TiUAqVSWZXmex1FUqVQYY2m/X280ut2usXZycnJ+YaHeqLc7 - Hamk4DzLMiUl5xwQ8zyXSg1ouTaGlzKANdXMg1HfHw8GxfC4ZjaCjIDIewDQRRFFkfc+S9Nmo5Gl - KTiyuQ64TMLo8Y99/JbNW8g5znnZnUopyBlSmVXjM++JiDF2yhRjzTFMeXNOycHat0TgERkBWecc - +ePHj7//7/8uTpIoCnReAEBSqSwtLU1MTiytLIdhuLy8nCSJdw6IBOervYyhscYDGW+FlNoUYRw1 - Go2iyNeNT3LG5mZmZ44dd9rNnZx55KWXj46MrJ9YjwiSScWFEopzpoQk8pJJITgQMYYMGCAtLi1+ - 5tOfjZJIWyOVmJ+di+LQGGtza7VBhrrImOTOavKOc+GMDaQyhRGcScGPTR/93Te/+erHX7lp08a9 - F+2e3D6xa8/OfRfu+9/v/+v2Socjq8YVr6m30h1rjhhryPkoUM67Is1v+vjHybkXvfDnZk/OLMzN - KyGKLGvUauCp1+lU4jhUKuv3A6kYgJASEY0xzlrJeKfVqsSJKTQQvfpVr/LOHT0ynffTP3vHn0rG - d+3Y2Wq1rnzyU553/fWPecxjojC86867mo3G/Mzs2Mio0brTajfqdaMNZwwByQ8EnQ/Dw/BjAQ5d - ALA2SQ6mCwdECExw5Mx5b5yVgRJCePBa6ziK2u32Hbff9sLnvcCQQUQiLzwAQ8aE+vwX/3FxeanX - 6znyXAqlVFbkznuGoLVhgkspi16Pcx4F4dLSkuKCC9FtdybGx3VRxHFcDp4oDFeWl8cnJur1eqfT - AU9Ls/NJkgRB0KjVrbU7t20/evSoECIMwySKOef9fldKyRErQYLI+v2+tS5JkjiMGWO2yKNA8liF - YTgzP5dnut5shCrM+84YDc61WivoqdFoLC/O51pXKpVGY8R7b4xD9OXSv9yXWK60fiJtV+5IN2tq - 6Wq1ury4NDU1dfz4ib17987NzXHOi6IoiqLRaHjvl5aWer1epVIZHR01xkxPTydJEkVRlmXGmMnJ - yZMnT46MjsZxnKZp6bmn3DMZx7HW2lq7ccOG+YWFNE1HRkY6rfb4+Pjc3FxRFBdffLH33mi9vLy8 - a9eukydPaq0bjUa1WtVaV6SM49haa4yRUkopm83mzMwM53zdunVSyuPHjzebzWaj0ev1rLVKqeXl - 5TAMnXNxHOd5XppJPdh4FkIwxrIsS9MUABCx9AIipSTrHGCe5/1uj3MeBkHp96IoipGRESllt9t1 - 2sRhpJGhp+lDh4MgqNRrJ0+cGBkdbTabi8tLQRCkaZokibW2Vqk654qiiKIIAcbGxo4fP7558+al - paWBmcKDWtkfDGfrm89+YRCStUII572SslKpeG2cs1KIxdm50eZIkRZjoyO9lTZjrFKpnM15DLMm - 5X35d6DlWZ2JnCsflrb/pcgWhpieU2XzwDkScA9ARKEMHXj0NDW5Ls+LIk8rtSoTfG52vlmt9Tpd - DkjWbdm4aWlpqVKpOOf6/TRQClZNRpQHx7gIwrCX9nvdvrErtaTS9R1TaMUEEUnGlQiM1uAJwDNA - BHLeE3kxEDsBceCBQAIw4PI017l2xnSWO728BwBbtmwRXBy458Boc2xmZqYRjSZx3Ml6cRwCsHIa - ZIBREJqikGG4detmrfOnX/+0Xdt2pnl/asf6mYXZSy65bP7EzM7NW7MsX1leGa2NxjzodboAfnJy - /O5DByYnJyemJtJe/4/f+rYnX3nlJfv2fffb3/nll71s7759b3vLWwjx4gv3fvVrX+OIv/SSl3z4 - gx985atfPTIy8tEbP3b86LGnPe1pnPNbbrllbmYm9+SMXpibB+skMuBgimL/J246cnR648aNvU73 - 7//272bmZl/84hd/+AMfrEZxHEXWGHC+XqsZrZlflYr/W+DLH4b/VICcEYIl751jXEgljbWzc3Of - /+I/XnvlNVrrUIXMkkcAD/SxT3x8qbWS5TkhKqVKexchRJIkYRhKLpyxreWVWqWquIhUUK/W+p3u - po0bdZZLxk1eeGMZQZFm4yOjuihOHD+upCzyfOeOHVKIPMvardb83BwjrFdqcRAGQpJ1jGCkPlJL - akgs7fe9NgEXoQx1nlutbaHTIidGy+2VTKfVarxp8zrvtDVZHEmOzui8WasHSh09fGT9ug3VuJr1 - MlNYU1hnvBKBUmEURQwRiIzWeDov+IBfpzXA0KXzQucFRyYYD6Tqd3vbt2ztrLQEssP33OuNBecb - 1drywiJ66rbao43mjq3bTF60l1cO33Pv1k2bG9Var90xedFaWi6KolqtpmmqtS715QMPEM45KUQY - BCeOHjNab92yxTuHAMePHWs2GusmJ79/xx3VSmWsOaK4AOe7rbZkvEizlcWlxbn5LE211iUvpZTq - 9/vtVmtyYiJL00673et2OWMIUEsqjKDZbFYqlSgMK0mii6LIc84YQ7RrWycePHz2+/1S1BQEQRLF - DJABtttt8IQEzthapdpsNjdt2lStVpMkCYSsRLE3trfS5h5IW5/rRIX1pLJx3dR4c2SkWq+GsQC8 - 5+DB1vIKR6aETKJY58X83JzgvFGtlWzNgbvuqiTJQIi4OuOXJaSzrge/s51tMfcDhI1BEJQuYYwx - ebfvjJ2oNysyWD82sTg7N9po3nPgbsHYytLy9PS0EOKUu5EzLC3W+JJS9tvv93u9Xq/X63a77Xa7 - 0+lkWTYwIy3lNOcUxgx22DnvjDHGm6LI+72eYjzisiKDxZOz/XZXMc4Jsm5v66bNeZotzM03m81W - q5XneZIkUkohpCdy3pflKd2cKC4kis0bN2/bsu3ySx9RS2qVuKpzQ84JxgVyq5033jkiB85Y75w1 - xmpjtSl0UeSFsRqcD4NA9zOrTb/b1VmuGF83Nr4wM4vOO20a1Vq33SYHzIE3XqcFGO+tS7tplhWM - Ma31iZmTJ2dn+jb9lde99G3v+cP/esMvvOClz1OhGGuMLs7MZ520GoUIFKuQOaomldbyyvZNWxdm - 548cOtRptT7yoQ+//a1vvf17t+3euXPP7gve9pa3/MV735en6TVPfdrrXvOaa695+t49eybHJ554 - xRXLi4vPeuZ/mTlx4pdf9rJv3HzzgTvvXFpYDJVaNzEZh6EzVjCuhJw5cfI1r77hhle9evbkTL/b - fdITnnDX9+983GMeG4eRzgsO6LQB5+uVqi00EpDzq44iH+Qu/fD1H/s6B5tyehSjUxdAaZ7uAFY3 - VCNjjtxKp3XjTZ8oFRq+9B3nARZaS7fe9j0iIgQpJec8lNx6Z40p0sxbBwAqCMaaI6Tt0vLy1Lp1 - x44eHak3usstV+jcuiAIlFTW2rBa6/b6QRAkYaS4SMIo6/WzTm9kZKRery8uLh49Mr1jx465mZMy - isC6frujlErTtNy57YyVUnIh09xzgVwJQTyMw17aWm4vpGl/amrK+FSJqlAiz3pJEoWCO87GmyMz - x0+ESRyHcZHrJKkCIOciy7JS1Fz6qrL2THfaDyzg6aYJqw8JoigqisJam4QREYGQhw8frtfrofKb - tmxeWli03jljt27devL4ieboCHj65je+seeCPXML8xum1vd6vaWFxbiS7N2796677sp6/fF1k91u - 1xOVhn6IWCqGrDGRCpyjqcl1zrn5EzOlJ6uNW7aVyqlalEhg37/9jrGxMXJ+cnJSCbmyslKr1UZG - Rtq9btljSs1LHMdzc3O1Wq0UdQhkY82RXq/HCBggesrzrLAGAKIoyvNcSmmtlVJ6f05DrgcGnwAQ - BAHn3GrjyIKUxpgwDL33SbVCRGmadjodznm/3yfvkyQp/ZOCJySKVYCI/X7fpnmnMN1uVyhZZDk5 - F0gVSjW+brLX6znnlpeWRppNrXVnpbV58+Zut5t2exs3bqzX60tLS2EYdjqd0uHSA1Xf+4ocOH1x - jEM3g+eMTpl7G2OUkM7qRIWeWW/dpqkN7333e4wxBw4cGB+dCKTkyL598zce+YjLsyyLVXAq8SGt - 0ECbxjnnnJeqzAGUG4CFEANHscP80JngAZAk40wxAkBOlSB6x9v/uFqtJpUoNzpM4sn1U1/6ypff - 8xfvvffw4VBIFLzb7oyOjpZyuH6axlFkCg0AnMvCGp0b8FitVvvQd8YiiqXe8vat204cOxlzmfYy - sl4wzgEZY0iwymQRlcUGAPQeERhy5Ch5tRJXJ0fGmeAg2caNG2+7/bZKtbphamPaz2WgcpMbpzdX - NhtryfsgCJx2DJAxxgGNN3mRRrXksVc+Nkv69xQH7pk+VHTdQmtRaz3emCiKQoby6NHjW9ZtHRlt - nJg9MTI6Oj09ffG+fSsrK1k/ffOb33z06NEdO3Y84QlP+MxnPnPvwXtuu+22xfmFMAwvvfTSpz/t - mr/5m7/ZuXOnt857/4G///vt27fv37//2LFjgvGxydGZmZlAqpWl5ZKjUkpJKT/5yU/+8xe/EMfx - 7p27DnTXvg8AACAASURBVBw4MDYy0lpellI650YazX6/Lxgn58ETCiQijuidP8e5Gw/Dw/BAwNmz - AyOwq551BWPMeuec45wD4d133537IogjABAMmQc6dOhQlmVccnS2pPSF1RwZkeeMyUDmeW61qSaV - 1spKtVJ51zv/bG5mVglx/OixHdu2Hzx4cNu2beU6bGxsTFvjnIsrSavVajTqJ+dma0mlKAoiiON4 - aWlp+/bt/W4vK/JmvZHneUl+AIABOkd5USByQi8iWVjdzzrVWujRjI41er0OR9ZqtZzxgge1pGYz - 12n1oihZP7VxYWGh0+vNzS78/Qc/0Ov0uRBhEpMkIufAk/OFzqUMzsLVA98aZzhhYwTeWMWFcy5L - 05IhiKOIM5ZE0fGjx7y1Mggc+vZKMT462kvTZr2+54I9Vustmza3V1ZUENSr1Wq9fut3vuvIT01N - raysSCmVEMYYxljpmEtw7o212sRhePTIkQ0bNlSTxBmzY9u2kydPluxFFARHjxzZuX07APS7XcG4 - M8YUBRKR806bpFaNwnB5eRkRx8fHQyF77c5oowkAWT8Nw1Aw7oytVSq9NOWcg/M6y8MwjIPQe1/W - dNWi9QFiZM7h1M6TM5YDSqWEEDrLwXmnzXK6WCrX1k9Naa1LeUCWZZUodsbGYWiyvL3SqiWVsVqj - SLMwDGtx4rzv9/sSOScIuJg/MRNXksZI82Sn22t34jgeGxn93ndvveSSS6anp2Wg6pVq3k9LHp2I - 0NMwo3B6QR8IDJwHzvDpB3A6ovBU/oPilZyfEjxP00oYE8Ifvfn30lZn8/rN1UuiUi1WjStf+eL/ - PXz48MTExKnEhliQM45PKlnegXZpsI9mwBOUYptTpqaDRAY2O2vpIQAD5ATbN29p1hsqEHlRVOr1 - Y7MnrnjUo0cbzV94yYuBY6PaPHbyBOdSCNVL00atkee5AwqElFIiMiJEYkWmq0kNPc4vLHRWWhUV - 28Lm4Bu1RrVardUagYrKTIlISlUqlsryI8MgCAjAOlsYzT2LZdQv+pGK0frbv/btZz7/OYenp4FY - bnVzrLnSbqlu3zgH3nO+aixMRMYUwKg+UjNkDxy7850fPrz1si3ValVnDgJZSaove8nLr7766k98 - 6uN/9Vd/Fcfx2/7obZ7RkelDf/Q/33rgroPVWjIzM3Ps2LFms3n33XePj4+/9rWvffKTn5zneWnU - 9cEPfvC5z33ut7/97U6nE8exlPJxV1yxf/9+oVRhjAyUtqbWqAsl12/ccMGFe6544hNuvvlmQnj2 - Tz9nz769H/3oRxeWFq+99torr7zy3X/+56UQa6XdUkpxxrv9Xmmd4J0buL97+OCBh+F+wH3RvCNw - xoy1gJ4L9JZ57wFRSdHpdu++++5L91ysSQsE8ABHjx5FzolWB7AxNggUCm6t1XkhhHSFBs6DOGlU - a6V92dvf+taFufkoCAWyWrXa7/edc2EY5kWhtZZhUMp1tC5UGJR0ZXF+cXx83Dl38uTJsbGxxcXF - ZrPpvTeFLu1pAEDKABnjUmmnHYJHy0MwPuv2WlIRY4AISRBxJsHztJ02ooY34Bz1eunkxPpdu/dc - c821lajmDXiAItNZVjhvwlBKKXkUWfugmy+czcEAgDGGM8Z5aS3ITF5snFo/MzPziEdcPj09PTc3 - JxhLkuT222+/6KKLrnnqU7ds2bJ169abbrrp8OHD7Xb75S9/+WWXXXbkyJEDBw50er2vfvWryNlA - wsY596XldaGRAMiHKnjcYx573XN+ulKpfPrTn/7Sl77UbDZf8ou/lFQr7ZUWcnb33Xe3Wq0LL7xw - Yt3k5PjEv3zly/+w/1MrKytJvdbpdGq1WhAE1tqlpaUtGzfdc889k+MTKysrV1111RVXXGG13r9/ - /8mTJ50xWb//pKc8Zffu3Z/45E2lqCkMw6IohBBlxc9N2u8HPkuIg9Baq50rcVuSyUCpDVPjv/Eb - v3HppZeun9zwile94uiJ4zMzM1EUKS5avX7RTyfHJ15/w2ue8sQnjddGM5NprWtJ3YIrd3H0TPrB - D3/ok/v/z/fvuitN08nJyX6/r/PCcb537975+flGo9Hv97N+2mw2S0/2peHzoKHvf33vK2bO2E51 - RuyAlSmLFyjV6vYjmXAuW4tLVz/pKdu3bF2cm//85z977TXXlocdElC9Xo+i6GwTloEpzLBNDOd8 - 2Ob3tDIgDnMwg0ROexkBAZ1z1lkhBDmn8yIQMhQyCMJQBffee29mtJTywl27FePauV6nu2HdVLuf - lsXIdUFEjEtiqLUGAHSguOy1e+gxF3Lzhs3jl1y+MDM7PjoGxtfihBwcPnx4+tB0ueNSSonIrbWC - YWnKQ0RcrrotBoZ33HZbqCLnXC2pRkH09Gc9c/umbTovjp6cqdfrJ44eW7dhfavT5kw6R9753PRL - 4agMlEd/9PixTq9779z0xM76RG1MVeXBO45clFx27NixdRPrrr7y6le98Td37NreiEZveN0NcS1+ - 5StfuXX7tiNHjhR5+ufve+/WrVtPzJxct27dN7/9rbe+9a0qDG679XvN0ZF3vetd1ru5uTnj7MzM - zKtfc8PuPXs+87nPHps++q73vDuKolarFYZhq9XqpemNn/hEGIZxHHfb7b97//uTKIqSxDl38N57 - Z2Zm5hYWjh8/LgMFALYwCgA4y7t5mMRlIzrywJARPZQ9/GH4zwannXpIIJAZAOecQKaUROuNMYyR - 0e7222+/eM8+Y4xIda5U2O12vfeAfjBtZVkWhuGplY2w1tqlhcXR0dFmvS45P3zvobe/9W0C2fqp - qV67c+zYsbGxsXXr1h09cTyKIud9EAT9PPvWd771hS984dj00ampqXI4WW1CFZhC796567vf/d76 - 9esbjZGVlRXOZaVSAWLIWa6LXtoPkviSyx9x5dOfJAPcvHVyZu54EkdZ1g950O/3k6hadIqGqnMv - krhWFOaGV79u+siRJKr02n2OwjvrrY3C0DnOBRpjCBzDczuJevCgHPKVJNFZTtZV4th778De/r3b - tm3btmvHzne+409/+qd/Wmtttdmz+4KpyXVvf8vbtNFVWX360655//vff+ONNz7+sY/buXPnhRde - +JJfesnc0sIzn/nMIAo9QpZlDACIyrNRGGPkPAN8/GMf9we//we9Tqdeq/+Xpz/jt3/nt9/0pjdZ - a6NK0m21e1l6/fXXP/OZz3zTa3/nxNLJdaOTV111Vb/d/dat36k06oemj6TdXhRFPIqXlpZayytF - ludp+pxnPet1r3tds9ZkgOT8O9/5TqXUpk2bXviCF1z1xKu+8IUvzM7OIoFgnIQcON36wTanPzaU - Ai0lZanAUlJaY9I0Pd6dvvjCvVsmNxlwt912W1EUKysrURRJxuMw9NrqLH/0Ix81Umt4ZyoyAhl5 - IAHMkJ1ZmNswsXHr5i3/z9ve/qd/9s7Pff7zRZYzQAJ41OWXv/nNb96/f//4+Pi+ffuuu+660fFx - LyQiFkXhPA3OmjnfFvQHA/wQ+3IOjzjnIjbdbjcKAiQSjE9NTFaSpLO0YtJ819btn//sZ59y9VMR - UUnlnQuCIImTgSuEs21iSlbGOWetLT13A0DpyAQAOOelAvdsRdJpfx0Bx/J9YMiQiYDXKlWbFbzB - SvXfP+z/1C+99CWZ1bMnZwTjI2NjMwvzMyfazfGJfpE1Go00zzlnSOAdees5Mm3yer2u88JbP3ti - 9tK9l05Nrls3Ms4BK2FlcW5++sixbqu7OL9QqVS8ByklIOqiYEClMtQ5h8hLpWoYR1rrfXv3EiNC - nxv9uMc+dnFxcc+evb28aPe78/OL1UazyI0QpbUQMiaklLnO8rRI6kFQCasjtfGN4/EGOavnWq12 - y7Z8BJs2bep2u1EU3XTTTdc849qPf+AmyVRWFE9+0pWvfPVr6vV6r9+x3i8tLVnvCUEGwd33HETO - jxyd3nXBBUkU9VZWvvu9WxeWlvbs3v2lf/mXg4cPiUBNbVifFrlkPIhCZGzr9m29Xm/62NHSlq7T - ao+Mjc4Z64GcsXfc+f1Oq90YaVprPUK1WiXOtNaCIVfSEzkkFNxYq7jw3j1II/ph+M8Aw5PSOafK - M2TJ3nvOGAckIsYBGDnngAnguNJpIWCgAtHv9qLRgIi81VxJqXi5hcczLKcnYwwSKqXiOM76fadd - oKLOSnd8dGxybPK9f/7uD3/gw1c++Um1atU5B4hpmn71y//6ol98UbVR/853v/v7//MPbrzxxssu - u+zgwYNcijAM9+25+JWv/M16tb5t27YXvehFz372s5/3vOd97eavf+5zn/vqV77WS/uIXAk53hhj - kqWt1vS9B2ZaJ6bmJ5bzpZGpkfn5uZDJe249MJWM7du6b6YHX/vKt55y5VN/89dftXv37na726hV - 0n57csOGTqdV2CzgksgBCO+Jr+67vl+eB4fhRx/PRZpxzhGx1+sREUe2bdu2LMuEkjJQnV6XnC+K - IgzDG264AQGvvvrqRqMhpTx8+LC3LpDqwJ13/Y//8T+q9drHPvaxF77gBfv37+93e3ElsdaS8857 - Bhgo5bxlgH/0+3/4ne9+5+Uvf/meCy7YtHnz//3Sl/bv3x8EwS233PKhD33or//6r6NKsnHjxumZ - 6euuu67ZbH7xc//8tKuu/tKXvuScayTV8jiuAmhkZMQZu2XLln63+9KXvlRy8Wu//qs/87znP/e6 - 6z/76c8k1cob3/jGzZs3M8CZEyeklDwIut2uCoNzG3E9cCCVKrUYpVC92WwSUSiDai3ZvHETAO3/ - 9P5ut2vJr1u3joiYI53nzJM1ZmpyHQduvS18wQQvNaqAuHFiY8/0X//61//eH/z+17/+9Uat5r0H - 7xljr3vNa1/8C7+Ypmmn133/+99fr9d1nmtjvPe1Rj3P8/M6H0OAobOvz4b7QxEGHfI0t4dDsTCQ - 3q49DaRSjJs8c44kMiIqjaOllI9//ONjFRbOOO9KM7VOt1OvVs+Xe1nlUgxTHno82KNU0v7B7mtE - HDw5jYNBAI5kPTFinHNkWmvwVJ5BxDgAYpFlL3rRi7I0NeRHR0YE8pmZGS7l1q1bF1ZaSsg8z1mZ - CwFHFoYhR1Y6WahXqz/1yEe1V1q1KGkvLSvkYZLoLK9WKt74kumvVCr9fpbnOReCMVaeFFXOEiV/ - XBSF7VgZqNIhlgdWSyqdVqdRaxRWX3/9c//0Xe985E89+sTMySAIjXeCy7wooiDoZz0pZRQFRus4 - DudmZmenj2/aObb7UXvSTsEU5xYXFxdHRsfrjZFLLrl8+5btUkoAevUNr3rV616d6yI2Zs/evT// - 8z/vvW80GjfeeOM3v/nNTrd70UUX/a93vvPo8eNJkrzhDW8wiFNTU8dPnvzAhz74ile8oirFU5/6 - 1Ju/+Y28n+ZGB8hnF+aTMCod//R6vSiJZ2dn6/U6Y2xpZXnDhg0l66misNfrdTodqVQp4o2iKMtz - hliukUAp8O5+dNifMJxPhvQwW/aQAfth+qRhT+gMQBsrlQRi1mnnCAiRMRUGJisQkcBL4GxstIng - yRkluXOGiLTWjCNjjBhypbhSXCoPWBgrVJhlBQATQgGwbrsXyjDmcT2uLc4s6H5RDSu6XyBQ1uu/ - +hX/7aIL9vS73XqjeujwPVESikDIUN7+/dtf/F9/UYXqVTe86tbbbt3/D/uf8KQn/Mk7/uTpz3qG - RivrFZJKMBlohJX+nonJCtjX/9avXf2Cx489upFfaIIrqsEj43SkvWVX/d67bv7Z5z/7Da+/YWSk - DgCeXKB4r7tSrYWLrRM+0F5oLywX4L0nrhwJAiQEj3B2WLrcJgQRKA9UWMOl4Epa7xwQl6J8Eznz - QITgyBvvTOmtgp01QQ9aBYAAuFSOwHoK4yTNi+bo6MzcHBOiWq+vtNvW+3a3k1QrQRRefvnl73rP - u1rLK0sLi/1uTzDerNXrlarJi22bt/zay365LitHDx2RyJMgLLr9WKhqEAXIFeNppxeq4LpnP4cD - +8THP16v1W6/444bb/rE6NiYikLjnUcAzhxQudHGabN+ct22zVs8uH/41Ke2bdrcXloJuOAE5FwU - hDMzM+VRc9773Vt3ve0tb73n7oN//Zf/XyDkZRdfcvD7d60fn7zxox9zYEMVxHHcbrdloDxCpguu - JDEsj949tQX3xzVlH3ruAb21litpnSOAeqOZ9jNdGHD4jGueIVBY8rff/v24WvFEaZaV6oysn25Y - v/5pV11dmsB/7KZPPu6JT7r0kY+6YN++ix9x6SN+6pEX/9TFT3/WM8anJnppT0WB8bbIUsbw4osu - +siHPjQ3MxMFgRISPJUmw1LKMI46/R4K7hAcgh+6YNVfIzpPXCkiiIOIOfCFrQUJGO9yk4QRQ/TO - kfeBUuT9/TH1Lx8OZuTBXza0Wwq8L4qCcx4mcW50Uql0+z0VhRs3ba7VG7nVJa7yogCGwHBgj8QY - c8by0thzNQPsZ6kjv+r0EtnAK+fwWCg5GA8OkAi8I5vrjMCv3ecoGWMcAKx2SirOeZZlMuRMQFZ0 - g0o0NjEmpKxWq0tLS/08a46OamustQy84AjeOaPBOyl5q70MHDq9dq1RXVhYIKJnPPXaL//Tl5im - ukwSFkDuJCH3oITUeRHGUafXdWS5LI8F80RknCUEYKht4cEpJaTkRA6RGCAjcLkNmHK5dcZNTUwJ - IZeXW1IGhoBxacgIxbUrpGKckUDHjY4IIoLNwXj+1ZNf+sNPfvx1f3finw7p2XT75m2t9nKlUvv5 - F/zi/7nxU0kUv/e9773lllsOHz60bt1EL+32097f/t3f3HHb9ybGRv/1K1+uVyv1aqW1vPTHb397 - nvaPTR9p1mt5kQFSvVm/447bH/OYR4Nzr3/da/udDgJxAO9sHATeWWeNNTpQEsjXa1Ug751tNhtp - 2ifyzlmndaSU4py8C6VkCN4YKTgj8sYEQnhj7v9ulB8M9yf906LKg84BAdDj6jUYB4Mn5UOPCIBI - +MOTffi6H1c5J7K1C4YuD6cuGgoFk+DRW8+ZLJwjxhyi9t57EsgFMAASpX/b088mPdt3+VoEQrkZ - AQA4k977XTsvMN4cOnTo8Y973KFDhzrtdhAEJb2K43jXjp3VanVsbIwLoZ3V1pSbSh71qKv++Yv/ - 9Kb//tv9tHvw4MHtO7e96jU3fOQjH4nrlcb45PGjJ9aPTBUrrWYyIoFJwopSdR5IIg4UxRKtr1UT - yvN9u3Yj4fr16++8+0hPp5s2rG8vt8fGRtdNTWSUs0jmea6EAkOOuPWcGHKy57NMK+0bSo8yDdm0 - 1vZ6vXa7zTlngllrnXelqzRPxEtx+uDYbDrnHppTMNhummVZEAS51kopFYYlVuM4bjabvU5nYmIi - z/NDhw7t2rXrzjvvRE+1pHLPPffs3LnzkgsufvzjHlepVADgC//4T0Ecbd26VQixsLCQRPGGqfVz - c3O1KJmbmyPvjTf33n1wenp6fGJCBOrQ9JFSDlSa61prgyCI43jXll2f2v8pBJAgt2zcdNddd1XC - qJpU4kpSybPGyMjW7dvuuOMOw/SuXbsWVhZ2bN32iY/dmARhLaiW++evvvrq5z73uRJkmqZZkY+P - jzPBl9stIQQXot1uVavVsu4les+7ReU8sGqOOqQxWZU9MGaMYfKUmxbFlSd39ZVXIYFAcfPNN3e6 - 3aRa4ciMMXm7W/Jkv/OGN5YGznceuOvpz7j2SU958i233JLUkrwookq80m2PjY3d/M1vGFMIwQiQ - c/7iF7/4jb/1hidecUU/y4igNGBPqlXGWGY1AGR5zqUAWJWrDGpIRMgZY2itRYI8zUjb8Wbz+PHj - U1NTeVG0lleMs0mSoOBZlg10UvcTzikyHDyRUnpn0jxTyLv9Xlyrpv3+Zz7/2U6nk8TVRqORpun3 - v//9qY2bqknVkh9IfTkiEDnnPJAxJoyiKE4AoNyCxJHxtQN9zrDhZYzB2jmP3vtABdbZTBeVKA7D - EACc9VwwAHDWOue44p/7/Od37Nre7bWtc71UX3755UKGY+OTE+unjp48LpSaW5jnnAdxdOLkyU2b - NqVpurKysmPHjvn5+SiKer1eIEv2QwRcemO5DBAQPAEjhj+GceqaSIvKw+fBI6yOf2KD6PLIWwSQ - gSqyVADFoQLG77374FhtZEN1/FnPvC4ves2RDRs277755tu+991btTUvffnLPnHjTQuzi/v27bvz - zjsvu+yyaq32jne8Y8fuXUePHh0bG7vhhhv2799frVZ7vV7p56Lf7z/qUY/61re+tbiyvH79+iNH - jnAhvvGNb/zM85+/a+fOr3/96whgrRWcc84Hji5/RGB0ZvhvE+5T0Vadqq2NgnN6VxoeJmfLOB+G - +wn4ozXZsF8rRlgea+RLsS6eOiSuBAbwQ3s2rYUlL8WNKZQSxhTGFlEUxEl41913NsdGb73j9lol - znWWW/2d27/Hhbj73nv6OgfGOt0+ACy3Wxs3bTpw18G9e/f+y7985eBdBxF5u9WVInje837m0KEj - X//6LTKJ5pdnROBT113pL+UuiDrRI3ZcLovAzZlbP/D1r915a9EFUDCq2NXXP2rvjstHmxsWFnre - YaSipfkljtzkenFh2QbIndVah5LQIWPk0QEw5/w5mZiSwyg3ha7u4omioijiOIY18XKpaCt3eQ17 - +lq1r/yBFLqU25c2g0qpoiis9+U+3m67c/LkyfHx8TRN+/1+I2pmRT47P7dx86bpw0c2bdq0fdfO - W771TZMXn/zkJzdu3PjqG17ze3/4B+9+97t/7ud+7gU/+7MAoI0WQkQY3XXozpe85CUH77lHMrVh - 86YNc7PaWSl5Uq14748ePVqt1/D/Z+/L4+yoyrTPVnvd/fa+p5MOCYlsAcIuu0IEcdQRHXTAcVRA - QUZw+cBdcWPzE8ZRceY3DowjOIMyCrIEUAEHSCBA9nTS6f323W/tVWf5/jjdTYCAgjij3/Amv/7d - 3HRXV5176tR7nvd5nwejnr7eiYmJ8fFxBti7zn2XrmnXXHPNRz/60Yd+8+vvf//7IytWJJwSpNTc - RtbOMcCOO/5Y27Y7c50bNmzI5/Oarkcgni2VgjDs7e11HGeuPpfL5ZpOa3x8HCtEt8z29nbHcWqV - SrFYNDXd930pTyJl0F5d7PtghhByzmQGk4SxggljLJtOH3HEEfIb9u7dCzHAEHHO4zhOpVJYwMBx - lyxZYihGIpLvfe973/rWty666CKMsRd63T09VLCY06mpqSXLlrquCyFctmTZ8uXL//mf/7nRaHz6 - 05++9vrrN216Op1OZ7NZyrnjOMTQDMPwg+ClnopJFOu6HrqeoaimorUcf2psvK1Y9BqtIArT+Yxp - 25VGjSdcyiXv1w3+9wzxolFaPKnFNzHGNKGcM0PTABNbd+6ou62MnRpePqKpahjErVZL0bV8W5Fz - HkSBoelwISmRVo3ydblc3rJta6GtLY7jQ99wkLQcms/lFzKYRZYMAAACuHvP7lKpBABQVXXVqlUq - JpxzhDBjTEDIuMAKhhBghRiWmW8vmplUobPIgWAC9y0ZHJ+Ynq2UY5pgRclms02nJXWoe3p6VFWd - mJhYtmzZzMwMpVQxcCaTwRDJTntVVSVWxBKKEYIQylrJqx7nfUPibkhInPy5rWAQBLlMxm3Ufd83 - dO3wI9bOTJfail1M4Led8847//O+f73135YtO6i9s+Pqq6/OZvL1eh0hNF2aeuyJx5quwzgvFtta - LSefz8/NzZ1yyilf//rXV69e/eCDD3Z3d8/NzeWLhfe///2f/exnMcazs7Nt7e1hGO7ZswdhvObw - w6+77jqpIh3HMYBQWSij/+Hxx36mv4rjL6Yj+xDZX9I9fl+jkhffs/utN72exvyJx4uTmP1+ZIsw - A4cEAsgJIYjAluvc98B9YRiecOLxjz76aBjHlFLdMt//gb859oTjewb6J2ama43G5Mx0PlcotrVN - T890dXWFYXTRxRc/+uuHh4aGkoR+6lOfwopy00039vX2P7tzs5pSARCtcqlgZ5kKYsBrTe836x/P - 5+x3HH3ucStOmixNMcZGt+2gdbT1mb3t+enRsWnbytVrLQSQ1H8DAAZBhCAIwyDEMUgYhJALKBDE - LzElJT4h4Qqp9J9KpSSZ3/M8x3EAAKqqJknCGVNVlVEKFsAbMK8w8XIYg9wJSS1dSeZIp9MAgEwm - 093d3d3dLSGfMAzHy+Nnnnnmrx/6VRzHBx10ULVa1TRtdnZ22bJlv3r4N67rHnHMUStWrGi0mvfc - d+8v772n1WotWbIEY7xz50558l4YUEAPPuSQe+69F2lKvljYuX1H30D/0qVLR/fsVlV1amqqUCik - s5mJ0tTY2Jih63fdddepJ50cx/FFF1/c2dOdzmYUQw/DEBG8cePGzs7OnTt3/uL+X7x53ZnPPPPM - qlWrVKA+/fTTK1as2Lhx49pjju7MdQZB0NbWZlgWUZWxsTHOeSqVKrS1RVFEo1gW3RftCF5pvHhw - qeAylWRxIhhPpa1GtblmzRqVqJTTJ595EmOsm2oYhrKcoaoqDeOhoaGB7gEncB544IHe3t4wDDs7 - OznnNrUbjQYkiEExPDzsep7sS9q2bdull176mSuv+uCHP+R43uzsLOVMVVXXdQFCqqpyxn3fx/sT - 7ZVzAmMcR1E2nYldv16vdLV31Ocq06NjVjrleq6mqb7vq6YhIIji+FWMzO8T+y7NYRzrqooBCuOY - IARVMlerGqbphD5WCFRwvljI5/N79uyJ4xhCCPfx6RB8vnIEMYrjeGpqqtZojI6Orl1z+CL9ZdF2 - Z99xAAAACBzHGR8fV1V19+7dK1euNHSDMrogpY18P4QQCsgBALOl0uqDDgJYxCwu5HJRzLft3rV0 - ePmmLc+Oje8tdnY0nRaHwAsDxnmz1crn81LMWtd1KQQVBaFlGBBClRCphQ3tlOwNnG///iON9cKA - JpI1oQAAIABJREFUM8aCIMKKioCo1eu1Wq3jwq6pidxpp545M1s57tiTjjjqlLvueiCOY0PXKtU5 - 07AB5Lad3rNnT1dvT8txbDtFBaeUDg4OzkxOjY+P9/f367re3t7e19dXa9Rd1x0eWeb7fjqTsSxr - ZmYmCIKpqanz33v+FVdckUqlWq2W3DjJAuIf84r/x+J3tkrt9xsgAGCfcsOiCZeM17OWP694QRKz - 8LCA/HkfJdznIQIFFSxMAkUhxWL+jDPenMlki7nc4JJBQkhEE4BgNpudnZu7+/57ly0f6e7t6Wjv - HJ+cyiQRRkqlXl+aLWza9PQRh6+9++5fJjHbvn2Hqhlnn/3WcrW+Y8+Ozo78rtHtBSPLRTQ6NvHG - U04+bO0J42Nju3bOxB619c6R9g5dVw/uPXykv3/7lt27dkxwrHd2d2fzRanUF8ex3NtBiCAkAqB5 - PQrIBQBCvOQODC+YzMmDNJtNqVorReTkYeUivu8TC0K4YCH8HH7+4vDDgBCCITJNU9obAQBqtdrE - xARj7K/e/W4AwI4dOx5//PErr7zye//wvUKhsGHDhg9/8EO33377l770pRUHriSEfPDDH1qyZMnR - Rx7zzeu+kTD62BOP5/N5xtjk9JREj4QQgIut27fd/+AD7373X/UPDcacHrF27c/uuONr3/hGHMdD - w8OPPPIIQNALfEk+uPTvLpubmX3fX/31Q795MAzDhNLZ2dntO3dEjJqmWa3X0un02NhY6PuKoqw7 - +UzO+Tvf8vbpyvRseY5D8Ffve+/RRx8dg/g973nPL+6+q16va4Y+NDQ0XZqVbga+70vljFdRS9rf - 1JzfY0n9tHk7KgVLFa+3nf3WMA41Vfu3H/1IQRgAwBgzdB0yTuOExcnxxxwrADcN89hjj/3NIw9T - Ss9YdyYAwLANApQNmze+533nhWHoOE6jUSsWix/92KW33347xnjFihW1Wm12djaVSkmSI0YIIcQ5 - 45zjRe2TxbOdt3YXRFEE56HrgZiqAI1t3XHKCSc++8wzpmXxOGnL5HaNjxmWFSQRVggD4tWtoPtC - 3y9/AF3XwzDEBJqWGUXR9tFdF1760Y62tlNPPFkjimWlapUqDSPXdc85eznGmDJKEJpX3eUcL2Tt - hULh9NNPFxBWq1X5gIzDSNW0xcsHCym+LNEiAgcHB9PpNCGk0WhgjBlnCKE4jBVFkc08hGD54Sqm - PlOZ2/jUBsoTzTSimB9z3HHLV62kgi89YPmO0V1LlixxHCcMw3QmUyqVVF3LZrOMsUQquSHstpwo - CFKWXSwWAQBBEAAAFEWJwlAIoaoqZa/ZowoKASAHz289TqfTSRQLKrCC87l2hAEm2n/+4p41h73B - D1q5bMc3r//Wurf8hWEYWFEAo5ZtzMxMz82VDjxwxWy5Esex7/uQ4EIm8453vGPVqlWMsRtuuOGd - 73xnKpVqNpurV6/u6Oi48cYbOzs7Pd+fnZ1ta2sDANx7771DQ0NymyQXGUrpHwLv/VnES83/xY9k - 8U35xmKjxvybr6ctf86x33IS30/3E+QAYAAAQiiOQ13XEhp98StfStt2oVDI5XIPrn/gwNWrgiCY - nZuLknjZ8pFyuaKb5j/+8F+K7W29/f2O49jpVLPJJ6eny+XyUxs37di6rb3Y9u8/uaPRamKMBYJR - FE1N7DV1lWCoa3p7R8f9D/z6iU2bacwQBaaiCU49z4GC26aBoxgh4oaw0NU1Or7Ltk0eR6pCYpZA - jGicoAQKijBBACCMEEeUQwAYgPvLY2S5R27jJP4cBEGtVkun05Kw0mq1kiSRVohJkqB9Oi8AWFDu - eulIpVJSEUcuT5ZlSZ3TsbGxUqn0pje9qaenBwBw2GGHPfPMM5/7wuc++clPHn744b+85547fvZT - wzDS2YyuamesO7NQKPz07ju//4ObO7q6WJLUGg3DMOI4FoxpmlatVnO5XCqT/urXv9ZoNY855phc - ofjTO3/6zWuv1TStXq/v3bv3vvXrvSDQdb3ebOQK+aOOOspQtWqzeu2116YzmSCOdo/tsVJ2Opst - VysdHR21Wg0h1N3dfe3111Wr1bVHHLlravcNN9wAIWw2mx/72Mdqjfr41MT577/gbe98x9/8zd88 - uekpVVULhYLjOLL+YKdSSZJI76FkQaH/DwxZFAAAYIwhE/VqDQKwZs0aJAACaOPGjaqq+jRCCBFC - AOOO44CEnXLKKVES6Ypp23ar1SqkC5qtQQCbYVNR+eTk5J0//dl573uvZVmapgAAent7b7755gMP - WLFx48YTjju+XK3kcnk/DDRNgxiHcUQIMXUtXgBR9uWCCCEABIJzDKAAAAOoIPKGI9b+6Ie37B0b - q1ar6WL++FNPNEydIEQIYfOz6I+7oMqTRwjUWk2McVtPV7PZrO2sj+7Z4zaaS5csUxD2W07aTp16 - 8mkKVqIoxIoic3eBEEAIcC7Nv7K5HAfgtNNOk0eWDUov+IzAQqGWc5FJZzLpDADgxBNPVBU1CANd - 1w3DkMOlKDjhIoyCJEn8KPzMxz9HAbNSZsNzZqbLS/799kJXRxCFc9WKqqp79+5NZzKM8+np6d7+ - PghhMwx1Xbcsy2s5dcc98cQTK3Nzs9MzzWZTgoLzLQsL5aTXpBceAY6e/3nNa/MDEEURpwwDyJhw - 3dby5cuefHLT337gw1OTc7l89pmnt537rvPyhXaAiKLgKEIzM9N9fT2u607NzgCAOjs7/SgKgqBe - r//gBz/YsXnzqkMOURTloYceWrly5U9+8pOOjg6AkSyoyV73QqFQLpc3btx45ZVXxnEchqFpmq7r - cs4ty4r/aDjfn37sm8Esgi6LkLycB/xF3/x6/LnEy3Bi+L77SgCgrIhHSdhWyFMac8AiGsZMHZ8a - P/m0k0dHd27dsZUyQVSFI7Blx/ZqtVosFh2vpTSJbplM0Hq9qus6gkAINjk53tvXLRh33GZPb9fW - rVtN2+7p7Rqf2dnWng9qXuhSolqtltNwvChMVEUBXJi2QQiqVSspVTepsI3UzFzDp7TlOgH1FQyy - egppGCCgYFVDqoBcNtoAwCmnVFAC1f3m3UKIxeertG6WMKzkxOm6btu27/ucc7CPVJdcoBGAv/Px - 43keIQQgiDBOZdKSl2rb9t133735mWc4557ndXR0qKoaJcl969f/+uGHZ2ZmstlsvV7XVfVd7343 - p3RyevqYY44Z3bNbMww/DKIglH1Sqq5pisoYK7S1NRoNaX/9f2/89le+erUfhnY6ZadT5XK5raP9 - /PdfUKlU+gcH9u4Zu//++2/69o0dHR2tRjOVSjVrdV3XOQQrVqxouU65WhVCxIwahmGaZhiEY2Nj - d9999w033KDr+ujo6ODgYBiGhxx2aEdHhxDCC3ysKNVqddmyZUmS1Gq1VCqlqkoYhs1mU1XVeTPk - V4/FPC9kcUqTgjRCJEnS399vKAYAbHJqPAxDzjnkAiNEo1hT1IA5h7zhDStWrMAYRzSCEBbShVjE - Mruqt+qGaV5zzTUfueSjvu9LEOWDH/zgD3/4Q4TQZz7zmQ984APZbFYWxWq1muu6hmXpuh7HcRwE - QMqcLJ6bAEIAsUiTEgABGIeR13T//fHbGuVKPp0Z6B9ouq1cJltu1pMoTljCMMSq8oeMz/M0GMR+ - XnMJVdJEUbCiqRjjUnlOVdVEcMvUOywrlU5PT02FjmcZZhRFfugThCWmCRbmvOS2Qwjrjbpp20uH - l0p/TYgQo3Se4AwAeL41AYSCJgljTAgxNDAIACAIQzEPPXEOEAIIQV3XOYaaaTy7bWuxq62tt8Nl - sZ3LTMxM2+mcFwRhHPT39zcaDcoZInhoeMnk5OT5558/N1t6/PHHr/nmNz/9iU/29fS+7W1v+9WD - D+4Z3R0EQRzHpmlKQEghRIJ2CL9m4AQCHIoF//IFWBZBjAjSiELjBEI0M1P6l1v+FQKeeM6aNYfN - zpamSrNBkhTbOx3HAQjqlu74Xqk8ZxiGbac9z2+5vm6oEvY7bO3aUqnk+75A8J777xtaOhyGocSG - E0qLxeLY2Njo6Gg2m+3q7Wm1Wn2DAzMzMyAKkUIQAF74kpzxV1pXe6Xz8499/N/nZ+cRXPlazGct - 8ikgadoAANmc9uJDva7s99rGH6OO+4rvZFUlLdfBBCKEPM+xUubevXuvvPJK1dBd3yOEUMHr9brv - +4X2tiCOli4d9qOAMaYbGoQQE+S6bkTjfD4PMEySRNPU7du39/X1ZjKZcrms6qYXxHYqp+pW6EWW - alI/7GjLIUiRllSCUpM3tILWiBuhiFueUyjmpqcnzYwR8DAEkU9DqGEGRBIFPE5gIjAFRECCMSEE - K5Ktv5/QdV0mLoSQKIqSJNE0Te5gqtWqJKyoC9okckUQC852cmRevlYiNYsX69NRFPm+r5uGaZpB - EEjr6UqlQgihlBJCpqamuru7pT5Evlh0HKdSq3X39mx86slGo6HqGqWUciYNfaTH8vT0tK7r0vrK - SqWknIaEfMbHx9PptCQPtrW1jY6Odnd37x4b003D8zwJ4bS3t3MIEkanZ2f2Tkz09PWaKXsxk6s1 - GitXrrz//vuDIGi1Wh1dnaXyHCK4s7ur0WoigpkQiqJIbRvphAAhlAeXGcyiDv1rEnL3KVkOiqJk - 05mDVq1mgipA2bRpUxzHjUZD4mQyDTUMY2RkxNItjPB99913xBFHrDlqzVFHHbV27dpTTjvtvPe+ - 94STTpyampKmp0KITCajadqmTZvWrFnzyCOPzM3NLV++3LDMuUq5vb29p68vSRJZFpTCrC91njKP - kdBdLpNdsfwADJHvetW5OSjA+NheicCpqqrr+msFU71MyKuTZ4VVxQ38ju4uO52anpmpNxsJo6qq - Sj9wCKGpm4tkF+lHDRYmPEIol83JY86LiAAgi4bg+dxeuCDpCyHUdV26LEmbLTkykivMAQjDiANA - KW15bv/ggKprLdfhQCBVQZriBb6VsqXSt2GZ8j7au3evbdsdHR2O48xMT7cXinNzcxdccMHy5ctr - tRoAoL+/P0kSz/OEENLeRBZe/9jjLNlpkgRm2zalDCGMkVosdPtOVCk3dN3OZvJS1twwjFTKKpVm - hoaGLCuFMCmVSv29vYBxRnkYhq1WCwDQ3t7earW6u7vr9XqpVEql07IdbHZ2dmBgIJPJqKpar9cZ - Y5KWPi83vI9+z//mkDCM5PMiDqAAhAMsAObzjdeLY/R64vLnFa9GvnaRA4shiqLooIMOajabYMHv - LUgiiTY7vmdZVrPZhFCoqiIg8zxPCDWbzThOU9Wxrqmh4zluM51OxXEoEAYACKwCRXVDISjIZdto - mHDXVbIGTupIRUSPQhK4TtDX0xPOOIaqVGqlQjHjxj5DlKtaiJIEJAJSgjABEFBBOGIACMoTIpgQ - gNP9lpOkLxrnPEkSmW08JyePkPRM7unpaTQatXqdECI4l4JaiqKEfqAoiq7pvu9LYs3iQr+4fCwi - PZJwgxAyTVOKdQoAao2GYVkAAMq5YRi+76cy6abTAgAAAVquAyDQTUPaZGJVSeLEMAxK6dzcXFtb - 29zc3MjSZZzzVqulaZpEF3KFwuzsbF9fX61WO/XkU6rVarVa9TxPU9S2QlHyiw3DUFV19YGrdu/e - zRIql/hMKisxFYCg67qKrjHGbNuWvwsAwIHglJqmGUQRAEA3zSCKpE0BVoicDJJiSaR2CISSRQRf - TWvr/kPaLiZJAoSAAjDGjl57lAoJB+wnP/mJqemRHufy+U3PPP2GVasrc3MKxEcecQQCEAH0xGOP - H3LIIW9/5zuCIIAYl0olRGCYxBijO+64gxCSJMnnP//5yy+/HABgmubE9NRHLr1kw5MbEUKZTMbx - vDAMMcaVSmXlypV79uxRUylN1ZIkmZ2dHRwcDIJAEi+kNWYcx6ZhAIGoG65YuWJ0z+5VKw+s1msP - rb9/eHh4dnY23VFECPlRpL6qLhKZfEuqlnxqEkJk9qkgLGXrDMNI4lgwHsaBpmuUJ1JruKune/v2 - 7f09vZZlxYwCjBatEIUQHPD5wyaJYRhIVaU2dMIoxtgPA0034iSmYZRKpZIoJoSEUaTrumzckxPS - tm0Ioec5uq7LFAoAIC0z5cYgiiJV05gAqqoywFVNi+I4ptQN3b6hfj+OJBeNC+EFfj6fBQjW6/V8 - Pu84jm3bnuOmLLtaqXR1dcmE9eqrr77owx8WQuRyuSAIDMMAAEjWvKaqUsBTUXUIoRf4mqZFUaRp - GqM0nU43Gg1KaXt7u9xRWJblu56iKH4YJEnS0dERx3EQhRBCSLBM2oSAvu8baRsIzoFgLFEUhbJE - UQhNKAIQQGjb9hGHrz391NMUAVVCpmanuvt7N2/feuN3buzoaNu1a1d3Z1dnZ6fjOJyD2PN7e3sn - JibSaVvBJKHIdV1FUVqOY1pWuVJRVDVfKLiuCwDAqoJVpeG0kABBEMgcMYoimaj94Vy0P9OQOdy+ - iotJkhhEhUIkfgiEMAyDCAghZIIrhFAgmk6LGKqm61RwWYyTwOH/9KW8Hr8jXnESQyk3NKIQDQDg - ++HmZ7YMDQ2l0+nJveMEYc65qemWZRmGUa/Xy47b0dmmJiRJoqmpqZGRkd27d48sXRaHfqk0093R - KQDr7u4uzc1pmt10HQGAohqcw1bTHWjv8WqersADlixRdNbW11dOanW/me1s60v1VfbOmVl84MiK - VsWfnivnMmnFap8tz6YyaQ4ExhghjiEAGCoIIkAARgnBAGBNkP3e0JIiuph2yEREAjOMMUnsLZfL - qqrm8/lmsxlFkW3bjLFFDYYgCKRg+b5Lxmu+gmB1vktZ5iuyeertb3/756/63HnvO2/z5s2yNK6q - qmEYQ0NDYRhufmKzm7TmKpXbbrvtzjvvnJ2dlQ+AQqGQSaV+/OMfc8qEEP96y603/+MPIpZESTLf - wYHmXYup4ARADgBaRFn3d24v1db4GsZzPcOcE0IY56ZheA2np73zsEMOcXzHNq29e/e2Wi2ikMnJ - yVWrVkVRlM1mkyA69dRTgzjQVe3xxx8///0X/OD7N8+UZsM49jxP0Ug2m60161bKdhznkEMOueuu - u6anp0dGlv/sZz+zLCuXy03uHc/n81FC8/l8tVpVVVVq+SxZsmRycrJYLOq63tfXJ1v0JR3Btm0/ - jjjnDHJNUTzmbtz01GVXXO62HMdziaHtLU119PdK4UTOOXxVfbCLy/TiZJNd5YQQmlAJk0DJZkuS - vv6hianJVqvR0dXphQECUO40DMPACLE4EZw7jtPd3pFOp6MogowvJh+h5xFCvvjlL5u2VSqVFE1N - Z7MQwpRhvuENbzh67VGMMZkxzCcKiiItCSmlP/rRj2q1WhzHkholT2/16tVnnfVWVVWBAASCmAsI - oIpUy7JUVc3pWcuyKrWq7/tEVXTdAghOT08DAGSvPuc8l8lahrlly5ZVq1bdcsstc3NzKlGiIJC/ - 2nGcHTt2cM5t2240GoVcPvD9JEny+bzj+qqqEkLCMJTk3/GxvVLAV1agMplMkiRhGDLGUqlUNp+p - VqtRFDUaDTudAgBAjKMgxphgjDFROeeUUUSwzCAVQjzHzaYygnGn2VQUZWBg4JpvfvOQFQfn83mB - xH/efdcpbzoVY7h169ahoaEkiuv1pqYZQghdM5Iotk0LAFCtVTRN0zRN13XZvzbfLs4YeB0zeOkQ - C21oQK7tAigIQyHSdspjImuldu3caRsmYwwpJJvLMZZ0d3dXW3X5OZqmGUUReH6Z+PX404yXSWLQ - PhwnAQAEAgEACZJOaTyJ6N0//+VvH3lk3bp1Tz31VLk0JyDQNC2IojVHHP7grx7q7e1reQ7G8Ctf - /XLTdfr7eqamJtraCpjAgw5abZtWHIbl0hzn/Kijj3Qc5/Centt++tN0Ng0oMIiJGBZRctLJJ7/l - LSev/6+7K6K0fOmBJw8VWnHQKLfelDoF7HEnnxxb/YYDDkSrhg8+8OTTTzvrL9b5rif5JYyCBAc0 - jgVSGGAAEMoZRyKk4X5vfLn/XlwgZAYjyyKy3uT7frPZTKfTpmWZpik4lz/COceKKjfBcq1/gXSv - fOclFa9f+WeGEIqjOJvNJlG8e9foSSed9MWrvkAB7enpaTabW7ZsKRQKhJBSqRTH8Xe/8x0K4q9/ - 9Wunven0c//yL2/9l38p5HIKITSOMYTvec97DGxcd9O155577gknvvEH//SPcovMOceYLJbKOOcA - vqh19mUX0Jeqff4hNfLnMhgAZCuWtNK0DHP16tVLlyxFAD7wwPrA892W0z88hFWEIWo4TrPe6MgX - TVUnAD/19JM7d+6Mouipp56inBmW1dfXt3X7Foyx67pWymaMXXDBBZdcdkmhUJDZCQAgSRLV0IM4 - 0jXDcZxUKmUYxp7R3SNLl1UqlXw2lyRJtVrNpNKe52WzWd/zVaK4rquaBpXN1go20ja2rIbv1lv1 - hFLLULr7+7LtxblmHWKsKApACLBXnMdI2g3nXMD5hiDJB2JxQjAmGH/mqqu++/ffieN4eHh47VHH - fvs7f798+fLp6WlFVVicAMY5EAxAwzBpnFiWFRiuEMJxHEKIrilccC4EAMCw7erc3LJlyxJG77nn - npnS7MevuCKTydTmyplMRrYmyScHIUSqFch7pF6v65rW39en6/ru3btvu+22Qw899OCDD06nUgQT - AIDnB6ZlIIT8OIAYxVHktlrEUJr1OhSgo9g2V62UG3MDQ4O6qg0MDEjVA9u0qpWK7/sz09MXfejD - w4ND7cU2QsjRJ564atUqQghBePny5blcLkkS6WeZz+drtZrneRCiMAwzuSxjbGpqqre3t1AoMKme - wFi1WpVLQTqdBly0Wq1qPZb3dT6fBwhSSilj8/UpLixDdwKfMqpgBJFgPBEcaprieS5GqL29rdls - 5rLpwcHByy+/vLO9CyC2Z3L3nb+8kxAyODgocz5VVbs7OicmJrEOvSAAAKhC7erqiqLI9TzKGACA - EMIhiGjy4grRf48425/y8V+wxgohMICCC8A4AFBVFJYk9XKlUa5WxUwxl4eMR5T3dfZs2b2zo68n - CsIkSQxFEVRwzlVMwMs2nL4efyKx3yRmfw9WgSQ/CmMcRQkhCsHq47997Jd33f2m0970ics+nslk - wjC0M+lao37//ff/2y3/evY556xff//57//r7Vu35YttGOO0nTF0zWt5/Wv6Dz7woN6+7ved99cn - nnhCX+9Ao1k7+ZTT1v/q10HMEMcqQF69mUvZu3ftfPyp9LLVI0esOHI0mNja3OuLpH2w46e33PHm - 4aPfeOIxIwMHjU2UvDjmlGb0jIKJIpCpGwlmiqoIxjFCSECuIIIgRIDg/cu5yLRDLg1Szg4AEEWR - LGnLRAQh5DhOFMe5XM7Q9VqtJgVI5ESXdJbF4yxi8q8tEhOGoVQ9bjabhqZfdNFFl3/88qbXzFm5 - 3bt3l0qlgYGBOI4laxgBcOoxp1xy+UcfeOCBarV63XXXHXfccY8//nitVuvr63Nd94QTTrj/1/df - f/31URRdeumlK1eu3LFrpxcEAgIGBF0Qptv3Ep5TJv0fUrRcfMgnSYIFoIwrChno76c0gRDecccd - iqIUi8Xp6WnFUMrV6kBfn6kbp5xwYhiFac2enp5es2YNxjiVSedyuXqzuXXr1pWrVk5MTEi9wbPW - veXWW2+NoujII4+88MKL77vvPonGvfGNb7zwwgsVonqeJynew8PDu3btSqVSqqqWq5XOzs5mvXHQ - QQdt27YNAKAoClGVRHCEMUtYQGNBE1s3opgqtkkQpBhigqqtRhhHumYRhBPOXsVcgQue0mJhAnPO - Jf5h23atVuMJLRaLCKHrr7v+tv/4aSaVnpmeVgjxXU9Jpy3dUBDWVa1Zr1tYF5QJxgghzWZTwUpC - E4UoSFEYY7EfYIxt246S+LHHHuvu7Wk2mwcccMDRRxyZzWYF55xzgKDEhGS1SBKGisXi6aefHobh - tm3bNmzYMDk52d/fXywWDcMQlEKEMESCA4SApRoUCNswbdsO4kAlioLx9GzFUNVCTzEJQoWQXbt2 - CSEMwzANQ9ZzNz7+xJcqX1JV9bvf+YfJyUkFY9M0a7VatVxpNpuVSqVarQ709FarVcs0JTgUJ8zz - vGazmSSJZVm+70tUplarySqSRFXltQghbNuOoki+E8dJFEVYVYiuxYxSShHC8+ILQnAoGGcippZh - 6qoaBWGj0YjjuFIpu83W9797s+96EQtqTvXQtYc2arXLLr9s5YGrCVKuuea62amZk046yXGcqakp - 3/frzabkVcsiEWMs4QupzGskXvf/U+yrtwsXQqpOSHiehlEShF1t7bZmXPXp/7NqxUrP885+2zkp - 3RzdsXNw+bKB3r6xyQnLssIkBoy/DsP8WcQLkpjFtnr0PO8BIcCCrUTgB/lsNvQijEmSsJ6e3ryV - L5fK/b391XJVwUp9rmoALfKCc9/+zpmpaZVog4NLNM2IkpBRUa/UCVbvvvuedae/ZeOGJwYHljz2 - 2BNbtu5ct+6Mhx9+NAxjL46KuWKxI1+ZmE6ncn2DPdhSTzr9zJ3J6J7/2gDSZiFtOrP13p6ebMZ6 - +umNxx1xav/AyD/deks7KRhcYREHEYi8EGpKDFmIqVAgpPIiBBTwpTLrRfohAAAhpGmaXJWiKJLk - OE3ThBBRFFHGNE1L2bbkAnPOARcIIQTBvnK08/fP/P5gP1J4L9ZXfanYN1HQiCIoy6TSURS1Go0N - jz9+xeUfL5fL//RP/3TgihW7d+0KPE+ykoMg6GxvZ4CWZ0tpy67MlTFEy4aXPrj+AQWT0A8UTDKp - 9MYnNvT29q5fv/7Kj1/Z19c3umc32KcPZbG0LE/3BQun2N/5//ekNZKxRAC0bCNsekceeaRO9JhG - X/nKV2ZKs/1Lhnbt3ZMv5jgQrUbzxv/77eOOPdbWbAHEqhUrb7rppkar+Ytf/MLxvN7e3igxjej0 - AAAgAElEQVSKLr3skj179kjS0vHHH//tm270fX/dunXnX3BBq9VKp9OWZZ1y6qkTk5OD/YOGYchy - RrPZbGtrUxSlXC5rmpay7K9/9Wv/8A//oGmapFpzIBIoCCEYAqQSgolmWW69yREBCCKF6LbpeK5m - m4xzTqkUNX5F4wD3EfOWNknyPYIxRsh3XBUTXdWgAJW58qc+8cnu/kFOqYKwgkkqX2SMNWo1CKFe - bGNR0tvVXZqaltN4amoqiAIsAOdcVzWMMSFEGgR+/otfOP74488+563HHHdcoVAoZLKyJIQRoowC - MG9OIu8OmVEVi0WiKMVisbe394Ybbnj22WcfeeSRo48+WtZqdUPz/ABhDAmcLpfGx/ZyyhSEIeWQ - CSKgCjENoobTyuTSjLF8Pg8hbFRrUrG31Wg++vAjfX19TrN50OrVjUbjl7/8JWNMtlbZti0L3JI6 - AyF0HIcLmEqlao26BGBqtZqpG/l8vtVqGYZBCJFcYJm0UUoBEtKsIwgCwzIlNa3le4wKDgRjDACI - FbLoM2XYZhLHUGDGEshhWzFvW8bU1MTceImG1MioHPMzznpzJpMZHh7+1Cc+mTJTf/d3l1984cXr - 3vyWa6+91k7ZnudhCKRFlyJFhxUiGAMAUM723Vf8b5Y5ee4ZBQB4vnEYAPNuSQQhxpiCcSyAgglL - 6Ic/8sE3n3pa6PlZO/Xzn935F+f+5RtWrd46uhMqSLbaCcZMw0iS5H/x0P7ZxIuRmP3jFAsvkKVb - gR8ZhhGHSVuhmLbStUa1kCuWS5Xu9g7XddsLRQhAb0fX2K5RGkbNeqOvu0/X9dnyXDqTCcMwnU5j - hG6//faPfexj27ZsLxaL1XrtLW8956qrrlq2bBnDMHKDDjvbnrY91yG6UncbjbgZ+rFKldnd0xNe - K6PoGx98+Mh1A+vOPMM0zVbD7Sx0CcHbzSJjbKR3eOnAsAsTZClRFGiKjhhEAFMhIITiJWwH9iUT - SHvbxfXXdV1CiKZpkskLIKxWq4zSTCYjN6wyYecJlWAMeL5+zGt7G0g9m0ajIav+rVbr0UcfPeaY - Y+IwmpqYxBAFQdDT0zM6OpovFnu6uhWAe3t6tm/blrFTKiBPbXyymC9ESTwxMbFy5UpbtXfv3u26 - br1ej0AkIIjjWO5aBJrXmGeUznfVLlzJvGvma3pdr2IcKKUYk0ajkVLNE448zo99SzVVolpL7EjQ - kYGRVtJUFc02rXvvvfcrX/hiw6nblrVkYEnIqG4aGtFZm+CAc87POuusr33ta0cevfarX//aD3/4 - w61bt37jG9/42c9+5nleW1ubEGJ6epoQks1mZb+u9KOQhFAAgK7rF110UXt7+8MPP5wkSavVMk3T - MAw/DFRVwRgzkSScU8ERjamCiKEywZFCEiRiwTSkCEoRQqqqyvnzSofiBYCfTCAopQQhTdMkBYol - tFgsNmv1lGXX61WRUIoiz3Hb29tTlj3Q26euOPCQ1QdPTk5Ky6HQ8w3NCDzX0A1Kqed5GTvV3t5u - Wdahhx46MjJy6qmnxpRKnIBSSjBhlBKFAABkZVbKrMmbSLKDkyTp7u6+4IILvvvd7w4MDAz09kEB - aBASVTd1A2JAgahXqr7nnXrSyYZtYAKDMNQ0a3J6+oknniQCAgCkEySltJDNJUnSqNVTqZRpmrKV - 78knn+zt7YUQapqmYCLZbE899dSOLVsRgIJzXdejKIKIGIbRdFqSTE0pzaTSGON6vb7YXqdpmuu6 - tmk5jsMBy2QyiqIEQeB4bi6Xi2hipOwlB4wQrEZhwjgDCDHOoQIJBHEcIwiTKNZVNZfJ1ut1z3Nm - pqcOOuBQQAE2QKVZ2bx5s6Zp1bnyunXr1h5+9Nat2/K5ImOst7f30MMOvvPn/0lpQjQ1SRLKWJIk - RFMhhABB8WqVr/8/jhf48kikSt4aCiGUUgQggSik1HGdt5/zttL0TC6V0VXN0vRmo+HSKJfNFnJ5 - LwwAAJqmyS6K39Pu5/X4H4zfSeyFC1/lX0ApRwgxJjBSwjDePTp27y/v6+3q3j06GnlmHMd2KvX3 - N33bNAy32RruHzRUffvW7QKCQlvb008/093dvWPbjnw+/9uHHz3umOPCMNqyZesZ68689577nt70 - TCqfaYZO2rIndmy3NYNz2r6kvc1cRhKkNMHuB56tuRWi4lBXjz9gzdzeyU3Jk7baQ2ONRzxx46Di - u667Z9ueib0TTRQJG/tJqKuaQhHmiDMIIcQQ7TeJkbV8ib7MU/MURXIboyiSLML55moIZfOwhLJt - 26Zxstgcu69+zAI55oW/TrzE631H/CWDiziMJOfAcV1N0zRFnZmaNnUDQlgoFJrN5uzsbC6XA5zr - ul6qznZ3dBqq1tXZCQHgCY2DkAk+NDDIEurG7nHHHffMM88cf/zxAoh6vS4vBCGUcAYWEjtCiNSg - fcHIiedf3O+zrL6ipfdllg85vJqmKQiPDI/4iT8xNtGWL7Q810rZk7MzwweMhGE4PTvTajTDMNRV - XVUtWRNtNBqT01ODS4YSxlzX3bRp01VXXXXppZd2dXUBAMbHxwkh6XR6y5YtnPMoimQrWbVa1XU9 - YTRK5ukRkpezfPnyCy644I477rj66qvPOeec3t7eHTt2yLZeoipBHBNNhQBAjBjnXhQijBBBggMK - RBwGSFWo4BwCyHkcx/iVd8MKISRvly/2TmMsM4Zie8fy5cuXLVtWq1S7OjponByx5vBGo9FezG/b - srW9vd3Wzc9d+ZmRkZHenh4MYBQl9Wotl8nu3bv3ySeebLmtwHFLpRKGaGxsjMfJ2NhYvlg87bTT - JFeDqGqr1Zoen4jj2G05GOMgCmXWIvkihBDHcTDGjMZ9fX3FYjGfzwMADjvssAOWjbRarQcffFDq - 53b39drpVL6YS9uplStXnvuec6WDdBBHCKqKpu4e3bt1x/ZvfuvaKAghFxk7hSHSFJVSWq/X4zAk - hHR0dEgzSNmvrmAib9udO3fGfqCrmq5pMzMzbW1tXEDf93v7+8bGxtLptBSidBynr68PQjg+Pt7d - 3S2EmJiYWDI4BCF0vJaEYaIoMm3LMIyxsb3Fns7jTztV0dSYUY6ArOpBABeqzwBrJImiublZwXh/ - f28+n6U0Ht8znsmnsIYGBvp0XV25clW5Wh8ZGfnYxy5buXz1ihUHfvCDxcPXHPboo49WKmWpsaQb - hiTaJ0miaOrLJ7uv1S32hx/8v+H4+5rCvvBQC2VWQginTI5Yxk45ES3NzubsNKe0VmkggjVFNUyr - GQfS4yVOEsmOkvzI17PF1zD+GBkhATKH3eeD4hDAly5zxIwW03khhAAMK2R0bHRwbHDkwBVAwXv3 - jEGVOKH/z7fecthhh03PzDz6X789vaPIgWhr75ibm8tms7Va7ehjj7n87z5emZsbHlz6+c99kWD1 - vHe/98KLL8pn8gmjEmngCNq5lB8GTc+t1p1vXn2daWlKS2kHxQ2Pbggjty2X3epH9Ahzy/Zbq2Xv - zNPOMO0UE1RRcDpjE0IwpAIijDFCGCAoAARMQAE5YIsDKZWOFr/KTEVqsslNNiFEAh7NZlPKS1BK - GeeZTCbw/UqlUiwWs9lso1aPoggpJE4SDCADAnIhECQAcgjkovb7fBgvdbfsq5BNVCUIgoxleZ5X - LBZpnFhW6rBDDsUAHnzg6kcffqSjoyOKot8+8uiHPvShhx56qFKtnvfX79uyY/u57363mwSTM9Mt - z/3pnT/73Gc+u+mZp+v1+tq1a3ft2nXGGWcoQPnNb36DEJIgvNxGy45xAhEDDCxK/j9nQfHCk0fi - Be9DMe9ZsVBQE8+XyNyvGSec/08OwPMYWpDLf3MgtdGI47q6pm3a8uzaY4/JpbLSR7PRarV3dozu - Hcvk07quz8zMDPT1X/GpTxxy8MHfuu563/XsdCamFCAYBJ5hW4qi9A7079w9+vErrrjxxm83m62b - b775C1/4gud5tmVxxgLfL7a12ZZFKTUMs16v9/b2jo+PDw8Pn7Vuna7rl3zkIwCAfDZLECqXSlEU - SZstyWwFADDBMVZUQyrBwIDGQgjIYZRE2WzWc1xCCMQQcvFKiYSykxlJaeQFCFCSlA1d7+rtGRkZ - +fBFF8Y0WbF61erVq/047h8e+PGP/q2nq8t1XM9xjz7qKBWT0PEsy0oZVr4/6zhNjWi2aT3x2OOP - Pvzwli1bBvsHWq1W4PlDQ0NPbtp0wMoVXuA3m807f/5zGsednZ1TE5Ou60qvMckjkQU1iV9ijAlB - w8PDCiF2KtXV2dnW1jY6tueJ/3os8Pzh4eGZ0pxp20mSHHXM0elsptasdec7IxETiARACaBCgCX9 - A52dnV/4yheQqqRSKQBAuVpJpVJpM9NqtbJm3rbtmZkZXddN28YYY0VpOU5Ik0QwCkX/kqHPfuYz - 9Ur1s5/9rB8Etp3uKfRe/olP6Lr+gQ98IJ1OcwAOOWDNhR/6EOf861//+ujoqKZpbzzpxAv++nzH - cb72ja9OT0+3t7cvHVn2yf/z6W3btt18882Tc7Nh6GuaxiIBMRIAAAwRAIxzDBFjHAqIsYIwhAS4 - rg8xqjWqvQO9CYsppL4fNpvO5q1bb7nllpGRlStXrVIQ+Zu/fT8h5Otf/drU1BQhiiI441wqV0kk - RkJaz3elRgAAJCAA4L8TId3vb9p3HQALa8V+rQBeHEjsr+7+/Ar1Cw744uPPnwaEGGNOGZDCmJwl - jFLB3UZTxPEVn/jE//n0p5ctGfai4K1v+QsmuB+FmVw2oLEb+HYqxRnDANI4Aa+dKOJrFXKJXVhR - ny8Yvc+78EU/9fujSi/znX+CKR1BC08TAYCAQurOLu6wpai2nFocQgygqhLfd4mKoQIn56Yv/LuP - KETTdOWg+uGy47HZbGbTmXK5bKbS7zzvPZt3beMIeHEgCCS65jbqO3btvvijl+hYadZbhmZCCM85 - ++2FQiF0qWJgW7WnJme6u7oqrkcpnau2Thk4wGnWdVUbGVzdarVOOu5sadCIMeYAY8XmDMw49dt+ - 8e9zYa09nwthGCWBomEFGX4zwiYmCLM4xhAQJJiAizouGCLJhOQQQCEwxhxy+fBO2ykBQbValZ4D - iqKUSqVsNhtFEaM0jiIAgG3bzWbT87zBwcFarVapVDRd45SFvqcpqqIqoR8QVdF13fd9jIhc2QEA - EpS2LIsxljAqGDcMI44iQ9UAAHEc67re8lwAQLGtrVKpqKqqW2aj0VBVFULAIfDDAGPcajSL+cI9 - v7hbBUrCo0suuvjSSy45/vjj+7t6NKCe/ZazNm7ceNmnrviPn/zHt/7+O4lILr70EkrgKevePNS/ - 9G3vfPv2nTsuvvji22+7/apPf4YC+rFPXpbJZOr1OgAAcaIhQilNEprPZicmJiQR2LKsUqWMECoU - CmEYQoTCMNZ1HSHEKUuiCCFsqJqkScp5xQEXEC2IsgIkCBQAAI4g4JADMT/9kHwAEwSQYIJDDOI4 - pkwoxFCwwjkTLFEXzAUhEJQLrBBiaBHlWFU5wntK051dHdVWvdys5LqL6UIGItRyXSuVopx5NPKS - 6DNXf0niapqmMcGTJIYE6ro+MTG1e3QPE/zjV1zhNr319633HDdwg1TKEkAQiEzDuO+X937hc1/Q - dPXBB9ZXy3P9vT3nv++9xxx1tO9661feW61Wt27dms9my6UShoAlMSZYCA6hEIxhBBmnjAMAARMC - IAABBADIzT0imAMBxPxT6BWGAAgKAZgcSQQZEEEcqYYuBHh28+ZnN28GAAAF33X/vT9ffy8VnBBi - 5dNu6COM3vWudzktr5DJ3n3nXelU6uQ3nmzm8rlU9o7f/vTqq6+WFu6zs7O6oTLG4jCwbRsAMLRs - qNmsl8vG0iWDlmVNTk7/220/JliVncnT09Py26RTJuNJNpsdGho45LBDBeOGZdYaVcqTJzY+/sBv - HnSard/818MAoFardcABB/zqV78KorCtre197zkPMUSI6gbevevv7+jo6B8cUCACXOiaGoYBRCiX - y3phwCPAMaBYzDWrRtqK4xjSWCQCQggVDAyi52zuwt7lQye++RRTNa658XrFVQWHqw5eve6ct6St - 9GWf+jgmJJU2+pctedu5f2kq+pWf+3yxswtjXOjofNd5f8UF/9p113T29imKsmzFyjPPOOuYY4+/ - 8abv5Ap5pJByrdw/OFCqlAUARFUoTYAgAqI4CbCi6rrutRzOmKJopmnf+i+3Cg51Q43i+Cd3/Ltp - 2HfccYeqa3///Zt6e3sff2xD5Eed7Z3f/f73DD3VaDqqqbW8FlIQUmT2ziFEiEAhiX4AQalIJSDm - CADA4f7L5S8dzzVOLuQKYp9p+EL6sNykAQAEBAJiDgRngnKmqxoAgDPGE4q4UDBJ2ynP8xijdjrl - +j7ESAihW2ZDioqpSpIkpmlSShVMpHknEEKeQxiGuq4LzgAAkDMEn9sHIgEYRBzOb6he+loF5xRB - ADH0o8BMWVQwrGArnVLTaNve3e+64H1JGFHBsUKQoQOVuFGACLZMWzABAeJcIIT5HzkpfMlcYR9c - 4TkVvsWOCoEA5PNf94kFTyjx3L53nw0h2tddAe4/OYPiuePsN57POnoN49Uf74XlJP67sjXOKdY0 - N3ATxr781S+nMmnbtiUPLooiGieEkCSKFEVRiTJXKbd3dXAIIISaoZer5Y6uTsF5y2vphWKpNmcb - JgIwk7MTkWiWQgWFRHT2dnp+6HheZ3tXea7x7etuSpmW57imac6VZzVDj5JE0VSsKgKgVhhblmUS - ZGkKZ2ErdN0kiHisABMyqGM1bdhuy8EQEQQ45whgAeFznwQXAEIkABcC8XkoHkLIGGOCU0qDIJCa - sx0dHdLrTurPCiE8zwMAEEJmZmZM0ywWi61WK4yf04+REuzz+jHsOSVTWbSSt6WCCSTQ0PXYD5xm - S1XVTCZTKpVM29Itc+fOnV1dXZTScqVi27bMh1RNgxACyu10qtVqnXX2Wb09PeXZ0sqVK8enJsvl - smXbl15+6W9/+9tGo6EY+mnr3jw0NKRb5gO/ekg3jNGxPe947zvdRjOMo2q1evZbz+7r61N1bcOG - DS3XTVkWYywIgnQ67XmephlJFBdy+SgIPc+TTacsTiilXsshmooJ8X1XU/Q4CdNWOg4D3/cNU0vi - RY4zAgDwfQCVBTiHL76GAjAhCMYCAko5h1xRiGEZEKAoBAAgQRMgc0CWAAAExJgoURRBhBFCjut+ - /LK/u/WffzgzM9vV2zW4dHjjxo1tbW1xGCqEhGFYKpXWr1//5JNPCiEarRaGkKgqpzSIQ1XH2Ww2 - 9KNKpfbzn/8ccqirGiGkWq0pClGJxoEIgmhifPLHt9/eaDQIIam0TSlzXffqL1+9evWqE447YXJ8 - fHTX7g986G9bjVZXV9e2HTsSToGACUsgxgCKff/MQ5+vxZ/n77sEEpDDl/sqoECEJIIDyhLGYcwo - pYam2ab15je9CQlQyOUBAK2me8jBBx926KEbNmyYnJrq6GzTdZ2xhBAUJaEQ8Prrr+3q6tmzZ1TX - TalsCSEMQo8z0Gw2LcsihLhei/FE05WOjt7Nm58Rgl122WXt7e1B4EmGbKPRMC0jV8jVarW0nc7l - Ms8+++zAwEAxX1Aw4UwYRBcA6EQ54tDD4iQBCcM6RgCmTCvwQ4lv6roexYmAgHEheZwQI0gITWII - IAAwYRQbWlbXhg8Y0VUrBonje5Qm+Wyhf2jQtKwE0GJ7W7Vej+PIsu2YJhDifFtRYpCdPZ0Nt2Xb - NiS4kC0EQaCZRsSTVDqbymYUQ9kzNqbqWqVS8X1fUVVEMELzcpeGYbGE+kGEFFVVUWmuggh58De/ - VjERQnAIFE11HE/MlLbv3G7NTM7OzVRq1Vwm32g1J6anpqdnHc9tswuScvd8EgznEAIoEJ/3uYNi - 3hIOvRKtof0S839HQA6EEBBxAASYr58BjOj/o+69wzWryrPxZ5Xd3/3299Q5Zyozw4jUAYYiGsWK - GEUE7JoYIuQTGxox+WJLoliwowkJmogg2C4/FUEEEZTeBhiYesqcXt6+66q/P/Y5h4OgcQz8YtY1 - 177eM2fPnnevvfZaz7qf+7lvJZWQjm0rQBahSsioGxCMh9atD6IQIVSuVrkUe/bvMy0rl/cppa1u - J1Pi0bYtpeScc8YMbKx22NVag9ZyWfFl9RySffmnlg89NaxZ+QuNAFEiM8EQIAhbBgBgBJQojACj - FdfA7Lb+BAvA1Ap3H/DSERQshwAK/fYRA2QbSFhVWJq138NkWMK3njI20JONM/9E2iGL3WXLvO95 - SOs1AwOdICgXS4ZhSC7iMBKMubZtIJxlhfI536JmX7XWDoOEpYSQJA0z5iMiutSXt0wTAOIkDqIu - ABCLCiaTIDXAOmzTprG9B0t+0cDG3MEF0yAi4Wt6BxOeFIs0iKM0SfKFgkEoY2mSiMn9s721Ht/L - GZZtO17ChcIMtKIEM546lg2glVIYYYQRxk88nxVSpNYalsMLKaVGS3rqmWpZJiuXQSlZejXTKQGA - ZrOZ+Ss5jqNW6cescAZX9GNWXsUVPQ/TMCTjaRQbhPoFl3Pebber1aoCbRjGxo0b291OFEeVSkUp - NTc3lzkZKSmlVs12u5jPz9YXOmFgYHL1dddijNesHe6EwbXfve6oo45iSti2vbi4mKbpzPxcf39/ - EAQTY+Oc85ztxGGEpE7TdHZ21s15i4uLYRjmXQ8DMqnRrDccx8EAnDEphGCsVqlyztOUIQ0gFU/Z - xo0bZxbmqUGiIDJNQ0oOGDTWURoTvDS09AqrKnuL8JIRnVo12WAABAgw1kopKRFGacwUSATEMjyK - MNNgUsvASCGCMQaKFeA0CfPlgpKQK7sWoeeedfb3vve96YMT4wdGthx22NjYWE9PTxiGPdVas9m0 - bVtykaYp0tqgBiVEA8IYIaTTINEK+nt6ldKgdNCNMtk6QsjM3KzWulSphnHieDmpQSklpOqGsZvL - 1Xr63ve+97/+vDdSgv7qnRc897nPednLXvG2v3hrmnCNtWU5XAqssQL1LB1X9ldIY/wHHJFWkkvQ - 2jFtAxPDRQYmcRjtnnls7fBwOV/MtPwLBX9ubmZubobzdPNhG6enJ5XgcRJqrQcG+hcWFqOQ7Xr0 - Yd/PmQaRUu7bu2fjhk08TSghYdApFAqcJZ5jp2lqu87YyIHenqoULInDVrMuBDcMI+imlGCkodVq - UYqFEowxSjFjCQAUS4OEoCgKDMvUWtdqtdn5GUwAATIMIwwj07TjNKIUulGQL5SUEkIoDBgUQhoR - IEIBxhhTDABxHPeWe7YdfkSoUhOR3t5+LZVj2ccddxwBQ4FiqfD9gm3brzvvXNdxMaBczp2YmCgW - iy9+8YvzuUIiIsOiURKWyqWXvvwlGqlO0k5Y3Azbt972K0IMxnjeLyICXAqllNDKwIRgqrFWXCDQ - QivHcTZv3VLtqTqWbZrmwsJCs91stVp+0T/yyCNTkSYsBQApZbPZtE3LsGjFLiGETWLibDHSkGV1 - 4UmvVvZiZQbgSv23aAf6KZ8wAKze7ststkQIliUkABBFOOd6Qohup1Nwcwih22+//c3nveHCCy88 - 7c9eYILFQXRZeNPNv/jCl7+kARYWFgghTHDfy3mOSymVjFum6ZqWlBppUEpgjJngSkqMwVxVUn5I - UddvnYwAKCWgNEKaEmwgMztBgFagFUIII7WcrlErdQyH0oPPVHsSwWNVRYVGT/zqD0bc8OoM/opd - 1DMCpfwpxDOHHMRoreM4llLatj0/P28Q2mk0Pc9rLixaluXm8hTTOI0xJZ1Ox7btOIw6QRcZpFgp - h3Hg+/7i4ryX9wDLZrPp5dwgCIqVYsErMJYQk0ikXGVRYe1+7PGh2pBIlGGR/v5ex7fiNOymrYXG - vF/I27atkA7DhmJgUNrfN+gQapqW0lhpjA0ThNRaI63SOCIoM2kjejl0f6qOS8Yt0IBW6GCYkKyS - NtOHsG27t7c3yx+t+AZksniGYXQ6nTiOi8Wi4zhPrx+TbZiWi5az3QYCYHFiGWYcRgXft00rDqOM - r3pwckJjVKlWMxwoDMN8Pp8xELVSSZJY1Mjl/W4YEoTCOPIc1/a9arV6cHJieHi4UCo2Wk3GGIlj - wXgUhD2VanOxjjHmSvX39i3MzG7ZsqXVaCZJIoXI6JzDw8NBpxvHcavV2rZtW7fbzR6ilFIJqYRQ - QiCpSqXS7OzsKSed9PiePWHQAYKzzTdPUoyxaZtyqeh0paGsTB+QlksAjAJY2jsijRWCpeeFkGlY - lm2GcQBAALBmipqECwDQQnPQUiEiEoUwrRbLc3N1y7JEyv7lK5e/9S1v+dfLv3bRRf9ny5Ytp556 - 6te//vUgCCxMF2fm8vl8GqcIIUKwZzlKqTSMAcA0Tc5YKmIERCRMCJkvFrTWQRAkaYQxRgDlSmWx - 0TIsc9+B0VKpVMj5URz4fsH3C1/4/Jde//pzCTFOf/GLTjr51A9/+ENz8w3b8RA1ENbdIHJcSwqN - AZDGz8YRAJb5EFj9AUdQQKihkdJCdqLAIrTRaNRqNekXLMuKk5higxDS7XazQtNNmzfufPAhx7NN - g0owCcJBFALow7ZsGj0w5hfyWqqUp4cfvmVqeqZULHaDoLevMjU9XatWuRCGSRQSQrIw1rZpD60d - 6rTalVoVaQiikKfMdh0AVW82Bvp8Sqnn5aZmpk85+XmWbUdR5LguZAr6tpHGiTCo7xSFUMBkwkW5 - Uo3CxHP9OE4R0hhThEAp0AqBxkqBQahBiW06jmUHQZDVvWPDiOOYINxtd+I0qbfr5UIlSpNcLqdA - m6Y5MzuTxkn2viOE3Jw3cnB/sVjMpGKCILAcW2pl2U7fQH+YJjP1hUK1nDAmlERaM85Mx7YQ0kI2 - Wk3XdhzXASk913U8d+/evTfffHNfX19fX99dd9y54+STbNOanZplmpeqJSGl7/uGSbMyLV8AACAA - SURBVC3XQgRprOMkyRlutpXCGCONsMagEAai9RKWuTQtI72SmX1Wm0YAaCmvoZVemdMWFhbyvl/K - F9I4wYTGYbR9+/bLLrvs81/6om3bF3/wA5iSfM6fHD+YLxU91wUAgrFpGEEQsCh2HOcFpzxv+/bt - hBh33XXXrbfe0u12TdOwHUdpwaVcwaH0KqfGQ4UENMAS3JKVXCBEEJKgkVYaNKAngp4MoX8G+uuZ - aP9V4uyQW3ap1XzQpxZt/C9qfwwSY1hWJqdGEO6p1pDSlXyxbs27rru4uJhJRPg5v9vulEolrTUx - aMJTFieu6+7du/eII7Y1Gg0l5cYNG+bn5/r6+mZmpobXr+t0WkggSknQ7q4f2IQqSEsVBB2pmeng - iemRfMVtsMXKuoJgcTtqOVbONoyc6zTnmwsT41zjnFdQGiVCMi6IaVCKtURx2HVtC2ms9ZOM0NCq - POtT2ZRKKVBLVQZZiUqmJZ/lztrtNmMsY/5mLM4ssrEsK7dKP2YFqslW9JUfM/2JjPde8PPddpto - yNnuddddd/HFFz++Z3d9YeE9F737jFe/6s1veUu73fZ9f3pyiqdszeDg4uJiHIRZfS9CyLQtkxph - GE7Pz23ZsmVuZqZSq65dv+7b11x9/vnnZ+XTlVKJmma92SgUCmEYUkwk59Vq9bxzzn3RC/5MaPWN - b3zjl7+6dX5+Po7jj3/0Y2ecccZ3v/vdf/qnf2KM5fP5973nvWef/ToD6Le/d/V99933m9/8hqcs - n/Mv/8pXX3fuOfmCv1Cf9z1ncXHRchyEUZRGlmPrJ6HaS5iBRkohpdESCoOfeDNxppSKtAal0igJ - 20GxWMzlfN8tFvOlJAocy8QgCUUY0YQzwCjlYnhgOKt+j7rBbbfcXHLdL37mc+Vy+Y477njx8/9s - //79lNIoibOel1IiuuTimSmy2LYtGWNSGIaZpCnn3C8W2u1uvV7HGDdbrTAM04Sbpg2EbDviOZ1O - p95saiHPPut1Z77qjFe84pUDA33bt5+wddvhH/jbDyGk/99Pfjo6egBT0/Fs5BgsTSg24A8OMg71 - uNy3hxD6ZGQyiknOyeUct77QiKLIAPyb3/zmkUcewRra7bZS6ogjjjjr7Ne84sxXvvrMV3r53GB/ - /wknnaC4+M53rzvvwnfWW/Vzzun5ytcuP+ecs2+65ebnnXzK1MxcY3Hx7HPO+cJll334//7dL2++ - ecOmTZZhPLbnsQ984ANf/fpX33DuG2665abt24/NFfL33nX3695wjmc7Dz68Mwmj4044vloqX3nl - lQiRHSfv+PSln/nJT37yqU9/MuNJMClqtdpJJ5101DFHPbZ3r9IoXyq7XE1NTVYqNc4Tg5hKCaRx - pmZEsIE0BoUIECk1xpgxYWAipbz77rt7y1XBFbVNwMSynF27dmkAz/MAYGZmJkmSiYlxnjLGknw+ - J7ViLNm/f3//4IBGSiPVCdrNTvOe++9pNJv7RvYZju3kvMxIDiFkmpbUIIVOktixjEqlwpI0TVOQ - anpy+t5773vr29+2efOmJEmCTviiF59eLldOOOGE0fGxmMUpYxopy3G63a6UqtlsAiDLMrKLCykQ - QlgvxTEatEYrUNzylLW0PTi0tWg1D+Z3gxyrd/IYrdrD60ydCKFKqdpqtcABIVR9djafL2bIbpQm - W7ZsGRsZPeV5py4uLiINFqZCSkJIyoVknCJMTOo5bq1SWTs0hBHdXyo7jsOl0BglkmstCSFKqicV - BKxqT1My+TuaAhBaE5KFKAiU1ksYDABCepkUrbXOwKb/wbzJ0+hvAWRMoCdyXktbwaec+UQX6NV4 - C36yaaDKuC9PHNGypNn/snZoQUzmcmwj27IsJeRfvv0vKsXS5sMO67baURRlts/NTru/v3//gQPD - w8NTszMjY6Nf/9ev+8UCpbRZb1XLldnpOd/LNRoNmcy22+3jjjyuMVPn7QRiqPZWEx5Sp8hCzuNU - atU/1Puhf/jgL+64ceNz1043D7p5I2VxwSt05tsWmK60h52+7mKQSDSwYfO3vvO9erujgJq22+k2 - MRCMNOOp59pKIq0BY6KUzCQcVwCYlbtDCGUJhgxfySwSs31GVqeTyZMXCgUpZafTSZJkxTOSEGIY - RqPREE/Rj8nSSYLLFeG4rH4vk/fN9liO5fT19fmu97qzXvvJT19KEH7FK16xtmdocXYuXyrOzswc - e+RRMzMzo3v39/X1xTImCGupgGjLsRljXIq169dNz86EQWC77tDatdVilRCSz/kIoenJKcCoWC53 - Wu3169ffd889motH73+k3qnf+evfbNmy5UMf+GC327311ls/9OFLzjjjjLGxsTee88bh4eGLL774 - Xe9617lnn/ubu37T7XZf/tKXnXTijut/8tMkjN75NxdW3ZJnOVMjk/lSPuwGlmWhTNwP9AofaPkF - U8vH7E9Wh7pUx5CdwSVXQhFCKEIIoUqpcuKJJ25Yt/HwTduqpWocBoWih5GmlBBCUs40IMdxooRJ - KR3LCrtBb0+VMSZSNjV+cMf244876uhMV5dJkYnqRlHEl5VLMrBNSmlbVpKmNKtzVopQ2m53JyYm - 5ubmJicn73vwgcV6PVcq1RsNJpYYwe9+/7sK+fw73vGO/v7et771rUKIb37zmwDKMMyZmSkn5wkp - GWMJZ45pLQdzz+5Roz/06HkeYwnnHAhijM3Oz0zPzmzbumXrEc/p7e+pVnq6QbvgFzFFQTdqdZoC - qcm5qXe/76IXnn46S5LHR/e+8W1vSgXPe7kbbr3pb//+Q04xf8xRR3WC4I5f//pt73j7Jz/9z3/9 - rvNnF2Ze/dpXgwT1I33Wua/98c+vf/v5f9Fl0ck7dliOYzn2Be/6P6VC4fKvf10Icf7554dhePs9 - dz1w7wP3PfTgbH3hrHNfp4TkUqy4FmQ1/+VqZdOmTY/ueTyJ2cCa/nYQ2rZJCGVMaK0BNMGEEJQh - BBjjKArSNPVsh6esWq3uOP4E27JNiwrJXNctVyonn3yKBK2UUqBrtVpPT/Wow48Igi4ALDbqlNKh - tcO9vb3Z5qTeakrQW7ZuPXzz4Qvt+patWxfbTWxa7TAAgDiOuRRKCcvxXM9GSkdRhDRQQjDCmzZt - uv322x99fNfo6IHt249dnGvMzcxWq7XR0dFytaIx2I4TpRHS2DCMgu91u6GBqQaQQlBKQQImS5Ab - 0ggQRvpJj1UB4Cwr++y3JR4MACCUJYIRQBAEpUKh2+74rrdm27b5+XmEULvdzuVys7Ozz33uc2/5 - xc2262zesLEbBkqIKOwihDzLTjSwJE3DKIliwThnSdgNMsVOk1rEMITUQkmMkFy1YKPl8ptDitk0 - AoG0BsAIaa0QaK20AgCMdCbohVFWJJ+d/yfF//gDpbnwqi7SCC0z4p7mTKKfOOJlH+//jW5ch4zE - ZO7EUkopZRyEI/XGju3Hf/ULX8qsheI4LpSK11xzzXve856jjznm4V2Pnn3O2bVazfVzExMT/QMD - zWbr1FNPfdtb3vqhiz/QWGzeffPd37zyGxf/x/uvuOLfH370kauuvGr04L6JiYmL/uZ9Rx91bBB1 - JeF3PHzb7bt+mfQffbB5YCjfv2/08aJV6sy2e9weJzQnWkaRlnK1gVefceaPb7hFUZNQM4wTKSUA - 8V3XNgxQGoNSOqsWQfrJOi4rMMzKryDLN2U0juWa1cxKqd1ua60zrCXTLM+yRZTSTLZ8tX4M50/o - x8Ay+SYTrlhKKhFiWVbY7SIT5XI5x3B27NjRV+s59thjD1uzocuCSqHYbrZPf97z3/j6N+zcufPu - O+8aGxvDQh175FHDw8OHP2fbr26//SfX//SMM8540YteNDU11W13rr76asEYAfznZ77qV7+8dXJy - cuPGjYuNegZFjI2NnXTSSQtz86967atG9u0vFgqtdnvXw7uOOuqoW2655YUv+LNf3PjzT3ziE+99 - 73vPO/s8LeSXv/DFn//shr1792KM3/ve95522mmb1m9oNhrnvPbsH/74B/X5hWIu32l2CSH5Qr4d - BgDacTyllFyFxKBVQDcGUBkhTWMA/AT1nmBQChMkuNBaF3LFocHhdYNrXcOxgCRS2cQSgvGEiSzx - h0jEI0pNAghSVfT8henZDRs23HvXvVs3b2kvthhjvu/HaVwulLrdborTLF4EpAEh27IxxnEca65t - YmmNMCIRDwGgmC+Ya0nRKxS9gmO5995/39jk+MDw4MHpidNOO+3lL33FTTfeeN99963bsPatb37L - LbfccuONN/b29iY8SXhCKZVp6rpulCS2bXLBSaa1swyDP7PHQ20aKZ4whIAYWEjR7Da56959/z2W - axYKxXy15JV8ZWhM6WJjMUnSz132WSNnibTNQPzqrtuLfp665s9uvvHY47cfGDtQ7zYf2bNr38ED - x590/MTIFEfyxl/d1DvU/+t77qCuOTk/TYBIonc+/sjBucmHdz8ainjP6L5cIR/y+KHHH0ZKd1mI - EHpg10OddmD5np3PMcZuvv3Wl770pb7nZyV4pmn2Dg1QSkdGRi7/13+99/571qxfa5nO7MKs43hC - 8ZQz06RKaaUlQggDSKkAlGEQIRgBJCRDWOd817VsBYoQlCSJV/Kk4gg0Bcx5atpWkkTTszNbN2+m - Jkl5Ui4XhRBRFDjOsNCqHXRL5XKSxovNOgJcLBSn52Y6UWC6rgRt27aFTI2AMSUkA9AUYQBNKFFS - KqmEElEa0267Uit3w4BaZt9Af7Pe3LB+EyGk3lrECMVxnOEu0tBSypzjcc6FkhTRLIUEAAoprZ9A - YJ6COvx+QOVpGv4dJf1Ph2dkCXG9zObNwiZAGiMNBKEoCAs537HsiYmJ3mptoG+wVumZmpo6vLf/ - /374/1YqldGD45OTk77vG8go95YbjUbQCrIaJSXVfffc//iu3QihRrMphLBtW4CSjCmsCCVSqdXL - M6wKX36rlGYlV/KkTlnVREYs0XopjYKQQqC1BowQgMYI1BKehRB6tquTnhokPa1b3OrfrxRAo+Vi - a3hy7LJ0ZQCVxSWAny4Ue9IDVqvPP8Q45hDH26Fd/A9phxzERFFUKBQAgBCydevW0ZGRbVsPHx8f - 91zXoNTv6ckKWNauXfvRj3zkove9x3XdZrOuEDiOE4bR0NDQBe94ZxLF3VZUyZdf9fI/LxdLIlSn - Hn9qKVe55fqbv/r1L1/6mU8N9a0Ju10Jspj3O2n3a9/8agPmH9x/9+jUnpef85JkMbn/V/f21nqq - 3H/NUS/XXfT4yDQFqoRsNducc9fzTAP5nlnO50DLufk6QkQqUFJhjLNUz7IS3RN5JbSk07FEZEEE - Z3IpcrmVy+VWqxXHcbVa9X2fMRbHseM42WvNOfd9P03TFf2YZrOZpmm2m8wGTXbmCkktE81TShGD - YowRoGKxeOGFF9ZqNQTQWmwkQVQrVb5x+RVcsJc/78Wdt7de/LKXtqP47//2kmpvj204ADA+Pv6P - H/+ESU0EaLE+f/VVVxGECeC/fMPb3vqGNz2+d8+b3vJmgjBLkuHh4bGxscd3Peb7frvRtCwLY7xh - /XoFaveuJTfye++9l1J6xRVXnHP2OUcdddRDDz00OjoahqFlWWvWrAm63ZEDBwYGBkrF4v79+089 - 5ZSPfuxjL3rJ6aZpjYyNFCoVBIglDFP85IGNYYmOuvTDaj7HkioMQopoTUApRRTJFEeQQsClTJlK - pYmJYZgJVwYm2KZSaoQQZ9J1nDSOhRRFv7gwM7tl02GcMayhXCjGcVzMFzjjIJWWyrEsy7JilkZR - hDW4jmNTI0sCpowRg4LSRIGSPOoEUasjoqSY8ylGpXKhVi39+dlnYEo+94VLBePnX/COjRs3XvK3 - f0sI6R2oMBa5vplxHjWXzaDueV6cdA3DkPAn1ihSWhKEiIGRYUksv3jFV772jcvThOcLOYoNpUQW - 7bVaLa2141gMy70TIy9+6UsmJw4yrMYXpgfb9WbYQo7RTIPJxelHD+yOVLprZM9L6SuVjcCmB2bG - cpNF0FoaqJl2eob7Ojw6MDWmKFRUddfInjOAKyX3jO+v1WrEMxGnC0FjLmgUi8Uv/dvl/3rVlZSY - sJx4dT17YWEh53qdMBjeOLzQqmOMHd/lPMEmJRpSkVJKFRdKC6UxlwwjIDYCqhXm2EBxEEVJAABM - p90kxAZEaTjXmGPAEsHcgqsRuI6nkMQEIWLGaWy4VpKyhVYdIaKQcvO5ThwQirjmLd5yjRxQ8Is+ - Ng2NIEpiyIyraFboroVSEnGKQWlJMOrG7b6B2nx9fu36dXNzcxvXbd6/dy9XMhVJGqZcyqJt53I5 - wzAQQnG8xLoTQqzkoJdwXI2RzohlS0VJK3jMUp7x/8edNEZLRTJaKgtTwzJMaiCAd/7V+Q62M8Hr - QqEwMzWNMZ48OGGaZrVQarfbtm13Gy0klOs4AlPHtBOWhq3O4ty8xihz3MWECKWAYAAtpMQIKbQE - GzzpOxzqooiR1lpJhQAIQpkuOQBkbNes9xBC+pDzcv+TbbU6VzaxZp+z0i3ylPhmdcuivexMjOCQ - 5qs/kR465CBmRcXLMIyJiYlyuUyBWpaVyas0Go2NGzcSQur1ei6XO+644zjnxx577MGp6UKh4Lje - +Pj4Jz/5yb+75MO+77uWXSvX2s3W2972ts9//vMbNm06cOBAPp/v7+8vl8t+Id+NA8/zhoeHJyYm - akOlKIrWr18/MzPjaS8zfbWJvWHDBk/7D+8ZB4BardaKE4yxbdtOwauUclPjowf2791+/I5Go8VY - TAxKKdWSI9BZridjq2TllDrjni5XKqllauqSaLoQWeExADQaDdd1a7VaEAQr+jGZtCs8rX6MZUmh - slprhJDv+xl4wzm3HNd13SAIMMYc+OLi4rHHHFMulB/dvSufz1eLpYWFhV/98pbRAyN91dprX/3a - l73w9F//5jetxToC+Ot3vrPV7bzv4vfb1Hz1Wa+2bZtiUvILwCUBeOeFf00t87LPf35gYGBsbAxT - Mjc3V6lUFmfnLMvK3KpN0/zZj342MT9522232Z5rgjk1NZXl0YQSmfL6/Pz8hg0bPvrRjx577LGX - fe5zjuPs2LGjVCpNTk4Wi0XbtLACyaRruwY2Epa4rpeKFJanXa01BoQxxQhLLYNunM/nEcZJkhg2 - iZJQKG65FqaY2kQqIaVgjFELdzodXelzTcsk1LFsyQXnKaEEFBKpQIho0AaiIuEEYQCQXCAAkTIA - MDDhSUoRjqMIACzDBABQOo0ThMCzHYWAJ6lCQLCRJpyalAkRhWGhUEBCA9c520F5NTc3Y5vkondd - 2BXdz33lc4dt2fKWt5/3ghe84JprrvnCVz9jGAbWWGqDaYblEqSkCFAXUh2CDfyP8KR+9psGbWCl - tDBtO0giAGwoxEAghXwnr7XudsOwGyKMLMsKVKIc/J0ff++nt/18bnYaUxLe0vnPH17VbXcsx/7y - lZfvnx398pVfU6AlFxdd8j7Q8q/ffyHF5O7H7jepEafJDbf9HDD67Ncvm5yeuv/xB6hpJGH6D5d+ - 3CB0Zm4WAG67/4405YuLizRndFXEDakw04IBACAFCpotAAOarKNNaLIGcQFARToAChpSQAAGAJEJ - i23b1koTDxmYhLKTQkRspKl0CtZCe+7me29aNzQsKVcI8nl/1/5Hthw4jEnRShpciHK5Oteae2T/ - I0mSYJc0o6YG3Ypb9z5+d8xSQVkkg6JfbETNPeN7E5Z6lVwQhUHcUVgLKQzTZEJgA2uNKMYp57Zt - JixSWlGNyuVyN+5wzYI0ODiyZ+26dYpI27fbUdc2Tdu221FHgUz5ko12qVTKZlQJQoK0HDPliWt7 - Wus4jSzXAr0kJYtWER3UEzXC/+1BkulmLXt5aq1d180m9mKxmHF9TGJYhhl2glqlqiXMzs6uHRq6 - 7aZfjU2M3XLrLUoIwVg+l+t0OoyxYrGYxWSlQqHVauXzec9xFhYWKpWKljKNE6LBIBRT0o0jw7Fa - YddybakUMQhjzDBNzrlJDMYYLHtHCCFq5Uqz2czlct1uV2tdqVTm64uZ9nqxWJxbmK9UKnEcL498 - IIS0Wq3Nmw6bmpoCBK7tJEkipORS5HI5oRXGWDJumqZIGcYYIZzx5+I4zrSvMnbBM2XAmREVkiQB - AN/35+fni5UyIWRmZra/v7/eaGSSSxkz0jTNZrtlGIZtO1JKQjDGuLlYN03TtZ0kjgqFAkF4dnqm - UqlQSjudTiZ/kC3HWIPW2qA0TdNSudxqtTDGnHHLslLBtdYSacOxTNMIgsA0TS6XyF7ZUHxG7vfZ - aH9MdVK2ZnS73UqlEnS7I5Ojvu+3Wq18ocA4X6gv1ut1z/OCMNy3b/8rX/XKPXv2AcH5fH58fKy3 - t29qfpqDoBZKZBq1wn+74opPfOpjnMsDk/uPPu7oOx/+tV/xU8VYs2naRnOxzQNGuckSfuP3bxqf - PoABcsSzpLmxsPXoU06fmJxZX3OYFEEazC3MSyWKxSIlZHFxQbCwVqsNDQ3Nzs5lnohMcK3VSkoX - nkzv/V33q/WT9GNWAppD0o9J0zRTt4uiKJunGGOWaRJCunGmXGIQMP793//9Hz70D+Mz47+46aYz - zzzTNM03nHveS//sJfoFwkCUS95crI+Ojna73ShNwiCozy8cse05O3c+NDUx6Xnewtx8tVTGCMUi - brVa2QuQpmmtt6fV6biuOzc3t27D+rmZ2aDTdUzrl7fcMjo9dtZZZwmtqr09qU4rlUqz2TzvvPMo - pqOjo5lf7sc//vETjjvhovdedPPNN1er1de85jUGmJdeeqlBDSn1f3zzW2ed/dpKpZakadEvtrod - wIhSKpTIJGsVF3GcEoRt2x7oG8jKpigl1CQmsbBCsYw444QirDEh2HQsbCHDIo5rxWFEpNacIQ0Y - U4qxzhSx9G9tLJ7QfVrt8ZRBzat4bktUfLySCUZIZaMakIGJgQyNwSCESa2lsExq2cbl//KFiy55 - z3sveZdQ/Gc/+/F/fO/KycnJwcHBbEJEltJ0KeBd/Y1WtBb+pNLMCIhSCrBSSoEBqWYAIAhBFghP - pS6TUqaScSQIIGZRIQQjIrJSYiSJLQlRTGrmiJQpsGSXRIm55OMjDJG5UyglJEFKSUUwQwJMgZAO - aCpcxbnWhhZYJxbjRCofACC2GQchclojCVgpoTQRK2sEXs5FoiXWqnqiY2HpAwBIDaSAuIoz8bQw - ZYiDWTJD3cY55Vg28WFo82C+kA9Up1arzXZmjtjxHKdmyzgqDZUajcZkc7xnY1XYotJb0Z72PT+K - ovxAPqHJmg1DqZFaZXOqOQWOcntcF9yYxDRPNJIpS/2Kl6ap41tMCsG5xIh4OFURdsAxzDRJJpvj - AOCVvHWHDzNDKEc20pbv5FKSpjyyqI0Qsl2bMbbQWijmCnEaKKERIlrLjMKfhS8GMR3HyRIhWfii - 0bMSJ69EMJnxZ2aFm5EFKSaSkFq5EgWRSengxk3Dw8M5ywOANIr/5V++Njk5ufvxx3nKQGkMKIni - 7du3e57ne7lsqCRJYllWGEfj4+Pz8/Ou6xqEaK2jMDQ9h3OOTJwFIkuCbQgJIQigjOtNEM2y9pVK - ZXFhMUmSTOECIdRqtWzbzvaKQRAU/Hy9XseATNuybdvzvIXFerlcfuSRR6qVSsZKzFCfgerA2MFx - 0zSZlJZlsSTFCCVJopTM4gyE0IpkxjO4qGcLQSYNH0VRrVZrtFtKKd/3s3JXKWW32920adPs7Oz8 - /Pxhhx02Ozvb7Xb7+/rGxsZq5UpfX1+1XPnwhz7EUzY/O0cprRRLWzZvHqwNpjoliBAgTDMLWQg0 - U4wgLKVEBEspHeoogIOzB+fm56+//vof33B9wGIJOkvnUUSzuDOTCHnql//DJYCf1XbIQYwQAkxL - CFEsFoVW3/jmN9vt9tDa4cnpKSY4QmhqdubFL3vpiTt2OI5jWdbu3XsQQj29vZNTU7W+XoHEmWed - uX7z+vPe/sbLPvvpH/7wh6MTY0c97+gHH7x/98xjZx971l8MvP2X9/wyhphqygJetktls8foOsk4 - e+GWl415+4RkeTffmK6rNrn95rvPfeFrdo+ND2/YkEphGIQlcRh04jAIw9CkgED153JRmjCh0jQ1 - TGu1MdgKq/e/jGPQKv0YgD9KP0ZqQkg2JlZoMRktAyGUgToCxPjkxHd+dN1HPvKRz3zq0mKpNDIy - ct7rzgnT8MyXv8Ig9Nprr3VyHue8v79/38iBbIvgeV673dZS8ZQdc+RRo6OjGcg0Pz/v+rmsnDBJ - kiAIYJnIvLCwsOOEE3/w/R80Fhff9KY3pWnKlZycnJyenTnltOddf+MNx51wPAcZxFG9Xv/lbb8q - Fot/ecFf3XnnnZ6f60bhF7/0pW/VrhYpO/roYy/4y795/wc+aJp2GMRxmqRcUMMkBgYAkQqlFQFC - Kc1mYalVN+xqrEzHcHPOvtE9hd6SXXAMSqUSQnKksUltB5uCpq241Y26hZxnIiIQwhhj0HqppEBn - SPZSgcaKCA3gJ3Hzl57fqs9PJgPqVcAbAFBiIgADE4sYwjC6WodhELPg+S857ZY7brzxrpuIRYJO - WC5VzDKebI3Ztm3lrFbcpZT+lnHHyvXV7y2XeJrBdogRz6GD3vgJdjk1E5pk8zgozRGLVaS0ilHM - DU4RJobkwJliCnQqWRt3DEoSM9FEhWaUEjbPF9q4gzHGGEssl5ULJCGGlBxjKkwWY4aQxtIIjDBV - 3KSmAFFXDaRRaqZaa8GV0joyY1CACNZIIYSALBE/VimE6owYnqUlf6tjMwE9LRWyEGBs+JQi7DjG - dDhp1ig20KJc6JB2yhNZEHN8NlRhh7Tn+Vxvb++uiUdK1YqX98xeE+VAuDKxk6n6jOvaZo16nhfR - 8GBrHFM6tGmotqmWmFHOzuUG3DiOCdFxvWtZaLE9n7MKXHFsYGLQlAsFilAUEoGk3gAAIABJREFU - KU1MxBEnCAdY7Jvfs8gbSSPgbhJRcPJeVgRAKdVESqqoj62CFXUjlSrf9TAgwSTSiFpUcSW1IJgw - ztCyHP4KEvNbtSd/8ABSAABP+bdaKtCaYIwBpUnquq4SyrZsg1DFRdDsGAWEJWouNDf0r9+0dqNM - meM4LEnnpmdEyrrtTqlQjowojVmlVN24fhPG2Hc9xpjWOjeYq9frU1Mzkqs05QCJbTqu53HZBADA - CDBCGCsEWulsOsUIgVSWZSENnuNY1Gg0GoHStm0PDg4ePHgwq7dACOUct9PpZBaetXJFSplzXI3R - 3NxckiRJFFdK5W3btrVbrUqxVK/XpZSFcmnnzp2lUqm31pPhRgRjirDnO3EcYYwxIMWFNiRGCGtA - CD9TqzdZVjaXUma2vj2VaqPRKJfLIyMjnufZtu06zsz0dJqmW7ds2blz58DAACVkenp6aGBQcjF9 - cOKk446/+j+v8l1v2+GH33XXXd1W9/zzz+8t97jESWUqNKeYaKQwYAqUIGJSC0AlkCQ8Ng2rWiqv - 6Vuzb+9eikkcRYZjZ98nI1QAQLZy/a5b+B/nPh9aEIM1OJadaUiknJWrlTe8+U1r1wwdtnnziaec - nCRJhk/UarW9B/Zfc921w+vWrl27FiE8NjaGCdm7f8+x24+bmDr41r98a19vrXdN30f+8SOFUlEI - tmdifydo//MX/rmnp3bnr++wkRdFCeZkw7qNmBkP3roTu+CT0np/azdoxs1owF+7Ze0RUyOTv75v - Z7fR3X78ia7rpWmMQYTdZrO+QEyqAE/PzNUbrQxOEEI4rpuFEb+9zP3uICaj4q5QgLOtyR+hH2Nb - S/oxjuNkEU8ul2OMebadpmkQBExwBbpYLn32ss+5OW/v6IGjth9brFbue+jB8//i/H+69FP9fX1+ - udjstA8/8ghs0InJybn5+TVrhz/xiU9c8dV/+/nPfy6lHO4dPu3Fp2FCLGpbrmOYJgByPHd04mC1 - p9bpdHJ5vxMEZ/75q678yr8zSB9++OEvfuXLa9asue573/3Wt7517bXXXvjOC2644Yaeas+Pf/rj - ZrN5ww03OI4TROFFF1108Qc/oJQ6++yz733ogSw89wrFQEQjY2NcisHBwXa7LZSUoCUXGRgjpRSC - UUoN25JSMpZGLDBdwzCMtmitP3JjI1pkblIdrKzdtGZ43VBfpVfFwBqMNVi/308d6nmOCTSVWGut - MqktrRFGK9MuypTKn2S3tFzS/V9pbiKdIYtLw4FgLFJGqW1S2khTSrGXdxmkPeuqA7W+577iCCtv - AUfdbuh5nl6Oa7ONICKrUf3lcXWITjZ/BGbzR8wgK0GMZdkxSzAmGSc9gxJBKiEEKE2IgSkRQnDO - DcOwbTOKEsMgnHPLMuM4QQiVSqVms4kxzTbuaNkx9In8LGTWquA4npQ8gyHVsgDrKjoaUUopCRoj - irAETZbS9OpJwaEGQEtJ3tV9qxCQJftFrZXKnE+Q0vV6fWBr/+v7ztEKaoOVkdY+3/c/eOnFlmXZ - lluqFFvQHB0d/dw3P9MOukKIiXBCeiJtTL/9A2/v7e9L42g6nTK1iRPylWu+nJGEZpLJNEjXrFlz - 9Aufu3HjRtM0oyhwPDeOI9f1UsGkVFqrbO8OAHEc+q5vmARr0g2DdhBQYuacnGnakgukUBRF+/fv - Hz0w0qw352fmOYjRhQMOdSmmkUAmtaIo0hJyTo4YBCRIKQmQJXrvswnyZY8mq9PMhrpFDYUxZ8xz - HMswbduaPTgZtNoWIvVOIBNWzBfyXm7nzp1Kqcx9IgzDU045xaKGaZoAwFNmGIZj2c1648DefaZp - loulTtAdHBz08/mYpZFIsEGxQbHg2SyqtcYIsTiplMqdVpsgVK/XoygqFovZ9Ds1NZW52mVao51O - R2s9NDQ0Ozu7e/fu9evXZ84tnu1QwywPlh977LFKsWRZ1ny76/t+kiSuZff39Sml2q1WmqbVanV+ - ZlZhwlNmu3Y2SrMVJPucDe9nqpMzNjcADA0Naa1HRkY2bNiwuLi4YcOGhYWFzIo4SRLbMPfs2XPs - UUcvLi5aruOYVrvZYkmydmi402ofcfi2jRs2nLLjpKu+8R+bNhzWV+2hGknBXGpJLTHCSZoYpokR - 1koJyS3DcKmdSSP6Vk6C0kJmzqlePp8JKANCGech08B8Ru732WiHjMRwzh3Lyqah7/3g+wf27R8e - Hh4cHLznnnssyxrsH5icnNRaD6wZnJiYME2z1WqFYVjprQmtirXSo48+Ojs7nQr+8MMPbdq0af/I - gTRNW91W/+BAHMc7d+60PNu2bZM4aRR6njs7O3/Hr+4cHx9FhjZtymTs5V3AyHXd7973I4LIg3g3 - S9Of3nKbcdkXwjBCCFUKec91hJRKATEsJhSX3KQ0n8+v8HZhVeCCDkXUaKWw6FD1Yzw3l3kMSSmz - QMowjBVqcJqk7Xb7/p0PfP+HP3BdV2v9/67/6akveH47Du968L4PfuLvX/2qP98zNvL4yH6wjJHJ - g9d8/7uO4/SuGTg4OZGw9KOf/tiGdeuPPPLIj33i4812696HHvjOj77bDLvh/r3f/8kPOkGQKaMQ - QjzPm5qaenzPnpHpkZtvvnnzpsMmJycPHDiwc+dOAPjq1y4HgNNPP/1nN95wxRVXlKuVa679zqmn - niq1mp2e6R8c4JzXenuazabruvl8ftfjj9330AMS6SiJ5xfnTNMUWiCEhGAagWVZ2DAYUwlPkeKE - EEllruBqoiIeSiyQoU9/3enHnHxkabAYy7DValnYXN+7wU7s+2+5f2Fh3qeu9qVUmIlUKC6RBlAY - E4QxPN3GYFmQG5QGjZaOv79pqVBmzaIBa0iShNoUI0oIUaBjGStTkQIpbyhDSUcy5rEQhJfXl+I4 - juOYEFJxS1JK+ZRJ7XdJd//XY+xQTj7U3TcGpJQCjKSUlmkBU4QYlFIU6yxroIWkmmJAy+lwbGqK - MSYEOcKiFBuSUANj5gAAWMpxLIRItk9YCWKy+DX7wBgjBGEMJrVUKCzLAoCVKH9ljcSYSqk1RgY2 - pH4CGcictpa/vAIwsh9Xd68C0FLCsp64EeNqqQwAZBGJArNsSzDZsTqJTuY684XDinNzc05v7vG5 - 3QW/aBRNlnK/xy8WixMTExRwM2oWD8tzg5llczqaKXj+1Mz08PAwdYnruo2wjgy0qBe2nLrF8xyk - wdFms9l0qzbnzLEMQgyMIXvNKaWOphTTNE2wgQq9vqtyfq5QX1gQKrUtC2NsqcL2TcechE9QQs9O - zO56YNfdt90TLIaACbFw3I2wjUFoCdKghtRSC00pFfqJF+CPxGAAnujZzFp11XUIIUxIrSU1DNuw - LGp2pYqiiAIgpZ+z5XDPduan5/Kuh6XmUTLYMxCGYRzE7fbs3Xfe43iuSahFjUJv34a16ygmFBOl - lG2ahmHMTk/PTk8LxrO4OZ/Pr1u3jhrGnpH9cZpIig0AjSCbsjIMJp/z6wuLOde1DNMwrJ5arRsE - lNJrv/Odn/zkJ1dddRVjbG52NkO1kyTZv2/f5s2bn7Nt2yWXXGKa5oUXXiiEYJxPjh/ctmXrSSfu - 2Lt7NwBceOGFpVLp17/+9Y9/+tNWp93f09vf33/eeedd9Z/fSuN49+7dSRxjQrKC09UkoT+6w3+7 - /5VyHCcLj7Kd8MDAQBRFkvF2o1n081JKk9BEqp5qjRBy3733rF27dmZ6qpDzfc9LMQm7gUhZt9la - 09v/khe+ZPPGTc2FxXa9YRxOMWClAWlMETGxYSICABp0KkUqU8MwhOKYkqx42zRNnqR20csyXEEQ - IIJN06SUZqbIz9QtP+PtjymxTuI4s3bbuXOn5/sHxsfm6otu3h8bG7v3rjtPfv7zJ8YPNtstznmt - VmOCCy2dnLfr8cdK5TLGmHMJCnprA5MHp2zLioNkTc9wGiVJh1V7ehXWgNFio+lQJ2JpGM4mSQJS - gZYpTwhBUTttdJpCK8uybMcxLEjTlGJQjHVa7a1bDrNMgpSUQiCEMDUsw4iiiJo20lJxkZUkZSvL - 6oDm94QyWd7nv6sfw2U+n0/TtNvtZiFOBlpKwU1qSISmp6ff/e53V2o1znkQhakSZ7/+3J6eniAI - rv3h9+/f+dBDDzxgOc76jRuQZfzwpz9u1hu2bRcq5bn64lVXX10oFKIowhhXa9UD42P/+KlPZgH+ - v1155e69eyqVSpQmhmmMTRxc0z9ADPra151tm1Zjse7mPMuyGq1mBsz+6Ec/+va3v80YcxyHEHLN - td+56upvZ0aAnSDIHCtLhaLC6J477zz6xBPO/5u/VkitO2z9wsICaJmJ+dm2zaWQUmiNMCUUIy4Z - KIQc1BXd9uL0wJaNx+046eTTT2EkIWXUQa0AuqgEUojRhQO0TWfaMx7yqUWE4kToTIYcI6kRUkpn - gjRLD3FlGVuFvOg/OIDQWmONsuQuQoinQluIUhqnKVPSLeQCFSkXEouBo7pJUB4oWdysi3ozbFar - VcZZK+mYpqmf8iYteZccIlJyqHvrQ7x+5gijEMZSS0ZYghKMCEVUmIoQggFJkEghjDHBWGnNcZrt - whFooIAxVqCQhux+Q97GBtZaE2xotPQSKaQoNiVwoZRjWrGMDYNIKW1qRyRQ1EIICSQAQFAKAAIt - URM4UQCQoicS8MuL6hOiXr9VXbxiYowpIpQKzgGA5vA8X+CcGz5t6lYoA9u2wJJGzmzXu5iAM+jE - Zmz1mYEIbNcKdWy5VphEXTNIg1HTphkRJNUaWTo0u3a/kbpRLAKGYqNkdLrdVrNe6+2Zmp9EGnK+ - 1yHtXLU/7sTakJwHnDHTNCXiBBsIa1AoxTFFpmlYUioOTPicUhqLgPPMJ1kV8yURi/xQ7hj/6Occ - s23nXQ8/eNdD0yOjRa/X9/NYEh4zzjnSSCGllAIESCMMWD07nJiVnHiW+854GyY1QAjGWCHnc8b2 - 7dlrE8Oz7LDd6a30xFEUJcnY6KiBiWWYfq0nTpMTTzwxwxKCIKAYY4yRhkd2Pnzw4EHf81LGgzja - vHlztVqN4jiKIg5SSkUEh+WQFGullE7jJOe6SshEJp1OoJQqlUq249Tr9Xa7fcEFFwwPD//iF7+4 - /vrrASAIgmuuuebNb37zHXfcccYZZ9RqtQsuuOCrX/pyEsfVYkkkqeS8Wq68/vWvv+6668ZGRi/5 - uw//7Gc/6+/tQxryOf8FJz///rvv2bdnr2VZqWBcCMMwMp4NwJMUU//7TQiR7WZN04zjeOvWrePj - 43EcDw0Nr1279j3vec/DDz/8xc9/4fAtWx3HSZLks5/97Le//W2LGv/yta9PTk793SUfxgA9tVqt - Um3U6yduP35hfn6wr7+SL6qUE8NQXGKMlQasQEmptSaE2rbdabdd2xGCGYhGLKamWSoUfd9vxWHM - med5hUKBGEvrFAA82TX9T6sd8jdrtVrVclkj5Hge57wTdNeuXQsAjz322ODgYK1W2713b6VUyqyY - J6enXN9z834QBPl83rIsKVVPpW/Xo49a1BjoG5wYG9+wbv3E6IRfKB4+9Nyp2SlOeScMBgeGCOD6 - QqNYLKQiVkpgQKZrxUnYaQVrhodbnTY2aKvdTYNECNFfKcUsrVRLFiVBp50mETEtAAijOJcjBjW1 - 1nEUUUoRwmhJBv/3BS6r22raxMoIPlT9mEwMEGPs+z7nPI7jLJyXXFDbCTnHGLe73XK5PNdYHBgc - bLRbXqmQahkJ5hVyj43sq64ZqNVq4xMH4zh2i3k7n/Ncd//+/c/d9hwp5eLiIiIYEzI5PeUXC2ma - IsuwbHvs4LhlWeVyeXZh3nXdnO+bjj11cIICghzUenu63W4QBKVSKQzDhDEtJRO8WC4xxjpBd92G - DZOTk3Gauq7reK5t20CwSejje/ccs+PEqdkZL5drt9vdqBulUdEpplEipXRdlxDMRSq4IgYlBkWm - KRUIlCpbbj75iJNOO/m4HccKk2PLTIwo0bF0BNKgqTKI6xquk3csZnq+i5bXKkQAYyK0kkojrZ8W - 3PwjCCUI6QyHQQAGMRGKCSEaY0wJINxlIXYINyV4eN/cAafspBHLuZ4iyulxWrKNDEQcyiRbXr9/ - +7/A+tAEyA41O3RIKxgCwKCVUohgJaU2CSCpiFYUlJCIKJ2pL2oMGGsNSilJGDINrbXSCmMstNRa - Ky2yEug0SRzHUVIChpXI/v+j7j0DLLuqc8G1djjxxsqhq7q7OqiVQwtJgEACZJEEMmYw8IwxYBie - jZEZ8ANj3phkMjyDhYGB8dgmPPMGDLYxWCggJFkoIBSQutU5V1e6dfM9aYc1P86t6moFrBZokNeP - q1JX3bq79jln77XX+oIxBgRYq420FAiLmhxUaSZ9obUyAUNEawxjTNsUwKILBMzk+u9EmhlEtLlg - 3Zpstf8nrFxjWgOazpXPFGrgZIwxQqgkJUFGStd1LDNKGJJJTFniZXFWKxaLS51auVqJorirujJ0 - NNNRElfHKybLUGCURHkxKW8cu1V3/8L+SqXSTpoOOeFwsdVr1dQSqyIDMEJhCdrQylzNODBHCGTa - ZoyBMimRAWBeyTWWuqqLApe6y5VS2XKTpik4ELheGqv5+DgoHCgPTI1NFFgx9/r4ucWknra7LUGS - ATeaJJNSSCI6YW50oq96yupvj/zRNfgYawxnDAitsWRsEsWOkJ7jJJnmwMjaY4ePLM4vVIsljgwJ - lhYWjTGhH9Trdd/z2o0mMRobGxsdHqnX60HVlZy70smyzBEyV8QZKg0vLC2NjY1t2LAhr9g5nueF - xVbcM8YQw1yHJlc2d4Sw2hSDsNVqBa7vBn5OcVpYWPjBD35Qr9dHR0evvvrqdrtdKBTGxsa+861v - /+Fb/+ueh3eNDY9wzsuFYmO5HiVxYXiUcz5YHZgYGeu02p1WO/T86XVTaZy85S1vGR8ff+CBB773 - /X/+wb9+P03TVqs1Mjay3GxYYxCArIUVlOsvhlE+8fA8r16vj42N5RvE/fffPz09PTAwkCXphz7w - wSuvvHLz5s1W6+deeumrX/3qK664YsvMptkjR//sT9977bXXLs4vfuHaz//+m960cHzORX7x9gu7 - nU6n2TqSZH/3t387MzPT6/Uq5YG8rBL6fg6B8F1Huk6r2ykUizfcdONSfZkJnN64YXBoaKBaHSlP - Hp49RkRKqVRlOYM1vzqPOf5TLTQ/FfiZRyYxjE5iip9YI1b2kiAIDFGapkopx3NXpVDK5XK32+10 - OqOjo+1mMwxDR0gnlcgYgF1arlUqFQLknD/00M5NGzebNJs7NjezYVO9tjxQGQZjF48uBoEvCyWO - QiBbWqoVS0WU0Ol1XcmVVmnWGRgepK6dqx8nAzaGiYmJh/cfnNqwvteqC1fGca/TbTkMq8VCAiyK - ugYscIYAcZyARTLABHICOnkyV7OZFStzsHhCNGkVDYMEQgiODBgapbU9Bf0YlelarVapVIaHh9vt - dr/SnqaO5wJjQaFgrS1Vyu1uZ/2GDbNzx0vVSqfTQc4GhgZ7nS6TghCOHDuqya5bP91uNPM0aGJi - Ym5xQSmVS6dbawvlUhzHXAjOeW15eWRgML8F88OQFGJ2drZcLiOBzrJmuxUEQRzHnU7HD8MsyxhA - Xjttt9tBoXDw4MFiscg5V0YDQKvVQsGt0tu2bZubm6tUK8dmZzdt2nT0yJFSqZimiXCFRNdoY62V - 0pUAiUqUycDjmlMPom3bN730f3vhlq0b271WlLUBqKe75EOGSiBD5JlKtHVj1bM924l6pgAajbXW - WgDinBiSFcj6Anp4AuPZ1986xWDAkTDfoYUgxgQTHACklCpJXYdlOq116hV/2MMQXPBlsZfGtdri - 9OS00kppZcgAAwMEK1lUrv3Zv50IAC0QO+n1cWJtdYGdZIWTqwJai3YV9sNI5BQVQmuZWoHB9vUD - LQIji31NcUYICDZ/ugkIgFkAxqxhFpAhQqxSQULkll60sn9YY0EhyylDljPMdxRjDQpkBNokgNKA - NdYQEYEFxgwaArKWrNEIHllDwDKbCMAIorz0RdZIK7TWxHDVjrUPkTHABPabJXmbYwW+ylYmtl+M - AYAVoTNETNM0CAJCUiZlLnOl22g1QAbksETFQjhJL/JLocNEZlWKqqe6XhC0ug3PDVrdBgkWmR4y - aHSarpDEQUreTXuMQGldHCgkNvVLXrPdtpKYi4mOGWOSyU7aEz7vJj0hRKpU/8yKVjCR6QwRtU6R - QGmVGe37IXPRctPqNHMMRyvNPNdDZNzB2MbHlo/EzXRm66ar171s65lbrvv29bP75lCpgXCQMwYK - GRMmU8DYSlvNwslqZrRCG/mPNxi0j4cbQ8YAkSwhZx73tDXW2na3m0VxtVwZGBjYv2vPxOhYu95A - gmq1yrkEACKan5/3PG9wcFDp9EUvelFeHuacDw4OOkIePXpUW5O3ZpRSaarOO/u80fHxNMuIcHJ8 - nIWeXZjt9Xosb/EaazVJzvIbL5exyC35rLUbNmzodrtR1C0Ugkaj8a1vfStvVqZpev3117/2ta9l - gK/7L79TqVT++q+v9fvhHTp0+Mc//vGb3vDGvAfaiXpf+tKXtNbve9/7Lrjggi1btlSr1bmF+aGB - QSEEAGapYsiF7HuJ52n6ow/AfSLVfzjnJ4dSKlcUy1VkL7jggvvvv79arV72nMs++9nPep7nOI4F - mFtYuOuuu97+9rcHQVAplWu1miudPQ/v6na7xSAcrA6USqUoioQQpVIp8Pzzzz//da97HSJaZXu9 - XrPZXLduHXIe93qOI7jjWGuU1nML8zffdmt1aHDHzp2G7OzC/Onbz0nT1JMOEQGR5IIji7o9KWU+ - 4F87jPfRIWy+DqzIFgGB6zjL9bpwnaGR4QMHDkxPT7c7XddxO51O4PlhGCRRv4rgSkdnKo2TgfHx - RVgAgDDwo27H89xWqzkwMOCFQb3d8gsFm6/mQFrrjRvXHzl6KPT80YnRpeVFx3UsKD/0NGRp0vXD - EtNaK+X4rrK602iODFZU2lUsNlwvxD0eOGmsx4ZGDj18eKhSLAa83VxwA7+1XB/yi2Qx9HydqUSn - suBXSsVetytBOsLVRmulgYzrOavEthzakhfM8xnJj86rlyrnMiEAAjIAa4nI5ImOI/r6MUkUl4sl - wl+kH2PJhIWg2+skabxhwwYCm+vHWG1qrbrjOI7jdOOecGWSxp7nmizzpCSiXrPFGQtdF631Hccj - ipotgVgMAgACYxzOHc7BGMg3OqUczi2QNboUBEkSC86SOBIr3rMF37dGAwAKhgCJSlEwIZjSWQ66 - R8bavY70HAIbhH7u/ZjPhutIAkDXaTcariOTXjRUGWwsN0thCFYzbi1ZsBaQOcJRsfI9RxEjbhJQ - qdSl9dXfedcbFescrB8IXASmkDNXyo6JyRUELLPG5y4KjojlckUbkoHTajQQpcNDo4zVWjJOOhMI - lvUdDHKryLzDgUhkNazUzFZpaKuWn494ANByIYS2qTZkDDmuaxAYA2tSSVpYQmuKg9WFRiMYrsS6 - p4ETyHJpKIoi1xFkNZFiUhD1/e6RGKP+64nIT7eQkxpOJDGPLh2ZlX+xCDnwB4kBMQJp0RIqQg1I - aMFal1mXWWZZRtxQvu9bxo0kBEJjEVxjGTGNzAIICwzAYN4jQASWpUjggQWVgSsCAABjWc75MgDA - BHDgzGoCQIZIxjJABkwwjgoYsaJThBQFcgIAJI2oUVtptbESuIeB7egCOCa2BTfspTH3XRKSAFVs - K0Gh2emKajnJUmYodB2V9hgCckALwKzOGfFElhgDxgkQkeyJXDXffVe1vHzhUWYZIAAHC2maBV5o - tWXIOHfIgO8GpCkjRQjFoAhksyzzHV9nKnQCAJbL+hT8AhKQAa2NZLJvrUggUJgMCn4p/2DJeP5j - HAVaEEyCBcmdFQMeZjLg4ACBw6XJgIH0uKTMBtyzqQmdgCxw4IDMKMuBMYaZSR1fDpQqMW+3o876 - i9ZdnF34za9821UMJOnYFJxSFmvBHEvGsL4TGazYDmDOQV9JTR4vWX5ksWYlsV6LjwFgQMRy22Sb - S55bYCACzyA1Om0uRY4JIzC9qBP4BUM202p6w1S71fV9/8yZM1WiBiqVBx988JkXPTOHqizV6sv1 - 5vDIWLPVQeDnnXfBusnpZrO5uFw788wzr7zyRZObp/7y83/105/+NEljQgS0vuu3Ws3p6eldO3YO - Dw8Xy5UDBw9u37794L4Dt9784167ddZZZ+zdu1db5YdelEjJRZomUvKf3H7b7bfesm/fPsdx1q+f - qpSLcwvz2mTDo8NHjh35x+/+49jY+IM7Hhobn7zhRzcrpaqDw9L1r7v+xptvuY0JZ3L9hoMHD/a6 - sStcACa4JASttTaGcU72BCZppaPdz7NPKY/Ju3WO4zDGHMfJaVaIWCgV169ff3T22Hve855vfvOb - jufectttF1544cTYuEozRziITEq5ZcuWVqslpQRiCBwRO53Ou971rudf/jxkTCllDRUrlaXl5Wa7 - HYSeXwwAQCnFBBeum5gUJDY77Wavs3nLlm3nnzNXW7BKSz9I09T3PKWUSlJ/hdUL//8YW5xiCAZc - g+nT2IyJksRjfqlU8sKg3W5XqtVurwecEUMmBTDsRD2BLKcN//4b3wgA5WIJLB04cEAIEYaBEEK6 - zvLyspSSS3F4/vhdP727vlzzXCdNU7JGG3XmmWfWl2pxGlm04+vGjx05wjKGjE1MTAxVKipKskyX - g6LWujTgven1r6k3Z2fOmt5x6GF3tJiozPR0lZe8mIWiWB4aOzK7EGk1Pbnhxn/9YWt+qdfJok7i - DhQa3bYMPOCIFrTJhHCsIUTIIYerm9wjcmpc87r6sK9uSKv6IkTE7En6MYaejH5MkqVhsWCMidO+ - 31AUx1JKspatyiQQ5IoLAPAEq5hriKmP/OKXjLXz09dc6Z/8bF7Byg1uwhavAAAgAElEQVRR0SID - bpSVjEc2EoHMPLzspZd1oRub1thoMe20fE80o44VruBOorJepgLLEdCkWdJNtEvo8jTTymgOwmqL - FtEygUAoEDJGtKLutbpiI67MUH5laSVWx79Ch0FYQ089oXBobZKlHEFrrdIMlJHAbGoKsigQM40W - jOQO5wbIkLEO4ybX2eOCQZ6ssLVy4AQr/NX+BTyBWF359onIDdj6xlL5rwLLKBcDYbkuOCAAWSTO - CJE4EmMkkICYwRU9+tUvcksUy07gSZAAABmw/pq7xoGJnXQmz9/DCBkjY7E/yYws9rN8hgSM+Grl - kvq/vJ9ZWgRCBhYBWL74C8dBDhmptJUMhlWu+fjAxOGl2tDo6PLiPAAJJRnXyHmSRuhKRLJAOZEe - KU/mTpKmeCKHQosrl+NRryvX4tGvj/3zj1C7f8QYngRQYmW6V+49BC6ZMlm31QnDUBQ4l7jtwm2X - Hr3057f8vNPo+uAbsgBgDVgBsFKARLKELBedtSfkBk4w9Z7AGB7nuwi4UhrM4Z/EUMOJUwFYQsQg - CBgyQxSG4QUXXiilWy6XfcddWlp64IEHWq1WEAS+7y8tLTmOU61WpZRTU+vL5XKisvry8tDw8I9/ - fEu1OrB122nzR+fGh0YEsqtfctWxuePHjh+t1WpSymazedoZpye9KE6SrVu33n777Wedfma73brq - ZS+Jou6b3/zmj3zso9ufcXGz2bzhhz8sFYpf/Osv3PyjH23ZtLlVb/zLv/zTW97ylg995C/y8q0Q - 7LmXPudjn/j4HbffccmznvmZT//lpz/96T/5kz/5yle+cuftd15zzTve+c53vOpVr0qSZN++fd1W - d3hslDGoNxvWat/3+6I1J0/rk8Yl5dWgXGujVCotLS0VCoUwDO++++53vvOdX/va177xjW8YY1qt - 1vz8/MNf+9qrX/5K13XTNAWAiy666O67756cnmp12mFYlJ77ohe/eOfOnff9/IFLnv0sbrkvXeJg - yaYqqw4OWKuTJPE8T0i53GrcdffdQano+t4b3/Lmv/7SF4/OH9975GChXGSIaZrGUWSNkVJKIfBp - mbushgAABFwFfOQrvuM6Wutmszk2Pt5sNh3HBWNd0S8YZiqTUnbbbc9xv/F3X/3Kl7/8W1f/5tjI - KGOsG3WJ6Ov/8D/f8Y53TK2fPnT00Gt/99X/82t/MzowoKJWp92tVqunbZp5y5vf+ta3/leOrFIZ - +LM/++9f/du/u+++B0aGR7777W/dfuNN55x99lWvfGW32fQDt1Dy40bj5w/97L7Zu3qFtFoca3Zb - IcnugaWx2LPLxqpCWBodmpz+rbe87M7rb+9A13crg0PrEq6EyoQQpJQQJksUY4YLAYhK90/qq0pK - 9kk1OIkI1ujHED4p/Rhrcxpbno/npZ287XrCGIH6H3dKRKr+IJ/EH/bE43GGQ4DKGkdypVPuIFij - rX3msy9+7vOeNauOpSbWECQ6Ae5kRjPj+K7HQcZp7JMs8sAB6ZHjghs6IUfuOB4DjgzIGkBjgBEY - 3oc0MqC8RvbIP/eRuekac4k1/5xfeYZIDDEjQgDKrEWSzEWQiAI1K4AMUoxrHQba8J7ju9oaBgDa - WDKCc8GENkDYv6PyRd88ivGRf/skn5eT541RX/QGicFKXxcJAIiTBbIEFogALZBFMmgVJ0Y2A8qM - 1UgMCDlZwnwqrEUAtJoxQgQEYQEJOJEFi2AJwCIxBIuWEEy/vQBEFokxEL/YoOkx/ZsY9RVdNAPi - oBkwC4BgjHG404q6QojxylRjuaY5d40Zc8usowuKl4RvmWTS1prLYTWMVSIYWuzPKbP92TOnmCw8 - DavfjwoCAM6Z1poxwTgnTsxhZG2r3RwZmnjeCy7vznb33XvAcsh0hsg45wQrnsa41g0+j5Pm6DGu - 0SO+eSrkppxqmz9KxhgyJmfWZFmmwea4giTJkiRRSTo/P//wzt2Dg4NRFB0+fLjVauX0TM4559z3 - XU3a85xbb/uxMVpKUSwW691mq9Xyffecc87ZuXtXlmXWWlfIdrudZUmWJFJKa9Qll1z04P0PnnPO - OdPrpv7oj/5o89Ytn/z4J376s3t/eMMNedOIMXb99dffhDdeeOGFb33rW+fm5lqt1szMzL333r/l - tK3rp9d9/GMfueVHt/7RNdf82Z++N/SDYljI4uTaz312esP6r/3d31933XVJkrhCBiMj9UZDSl6p - VJRKE5VxxoQQaysxj3E5n3CQtTmJ1XGc5eXlTZs27dmzBwA6ne4rX/nKT33qUwcOHPjc5z73whe+ - kHO+f//+v/n630ZJ0ouj573g+Y3l+le+8pXlen3btm1hsdDpdbdfctFFz7zkB9/7V9fzlFIGABlE - SdSOOwYsY4wEMwCZUZVyeXpm+uwLz73r3nsGhofqzYZSKgd0CimNta7ncc4ht+JZIR4+PUMYMLm1 - mNZaOsJ13fyOzLLMlY41plgsZklmtWGIDJmytlgoKKXA0nBl4NJLnrl+fLISFFpLy57nGZ2lWo0N - DLlcvPF1r3//h95f9IvrJ9Yh4uzc/OTkZKrUB/78/Z1OZ6BaaTabX/jitVmqBgYr3V7z5Ve/5MMf - /uC/feefP/rRj46PT+7ctafCvED60yPjL/3z95sR8/2HbjxCS8P+uJ/RwahzZmlmik+cu/U5CKXv - Xn+jQ6LTaFsLvTg5dOSwrHjrNk2OT497rtz90K4Eo243CkuB0jbXQcoTDlijBHNKE5e/i+CEfgx7 - Uvoxvt/Xj3Ech1Zsm7TWbAUJn/cmiZ5Qj/vXGv1KAazA8UTgZSZRoC23htsrr3o+ukTGSCla3Trj - kCnl+UFKaBQ4XDqc+4aJCALyCyx0SBRkgQGXwkUAQkuMDLPILFHedumDP4AYIMN+62+N8eRKFggn - 5y4nWGkIlllEBStpIkfBLHIuHOEyJhl3GbolUSq5pZrRpUq5k/WE4JlKpOsQGKUsEKDJEQp9lhMD - OqnO/4Q3iRUIS771ImIf44IEQBbQIlkA2weDgGbIck4VEvE8RSPqK6lgfi0sATP5KZ1ZJHBW8hhi - 1gAwsGYtvQVZH3+zhti8NvItE1agY6tJ2womCbgFRqgRDAIxMAQi70BxCZoC7jGCTrtuYlUeKvEE - omPNOIoL1eLYeHU5qieJ8Rw/66WcIxDJFbiqfYo1UZ4OQUSWjJQyLBQcKTvdbholPraHxwbPPO+M - AzsOQWaI5x5k1vaftRPxxC2XV3lz9j+WUnrUe6212AdxO44jhJMzGxB5lmaMMT8MCwUHAOpLtRwp - eOjQoc985jOLi4uXXnrp5ORkEARBELRarYWFBQO0tLS0a9euYrH4v/7ff7ju+n87tnT8qquvEoyf - c+5Zu/bu2rJ184033dRqN+rNpuu6o8PDWZa1my1r7UUXXTg0NLR5ZtP0uqkkij//+c9/8tOf2fHw - w2maSs7v+/kD55x77r69e+M4fuChBy++8BnPec5zjh49esUVV0RR1G63X3H1b37/X37wkQ9/+G1v - +6PffsWr0iTxHNdxnMX5hXf9H+9897vf/cMf/lBycWTu+NjEKAAsN5cdx/E8L8vS1GjJ8ZFdubyv - dIpJMxHlYhxCiJGRkR07dgwMDOTafvPz89dcc82xY8cQ8dZbbz18+HCpVPrctdemcfxvP7zu+9// - PiIePnhocnIyCMPFWg2lGBkbFUI0e53b7vxJrgHhCKm1Pnz48NG54wIZAAjXabfb555/jvRcN/Dr - jcb84kKr0y4Wi77ndXodx3GSJMkZqVmW9X1zn8Yh8oVo7XJvrTVkhRBBsVCv10fHx5Je33siVzph - jAnGJBdpkuhMobbcwlClKoSIkigA3xdOlqSDAwPPuvhZgpyiWzVAF52/odVqlUL+5je+6WOf/ISU - fP36yfe8512v/73X9dLmug2j0oc/fNs1RiUvfvnV7/3Ex6+46sV7dzx82mlbHXRDcrtxLwRvIBxY - 7C273IlTc3x+4fTTthUxXD+17Tr4iYvu+Pi457bWrZ8485zTvarX09H99/30+IMHnv/ylxzpHk0T - 08YoCHxcE7+qebTWgn0y+jGFQiHnNOUKBIwxXHF0ygMRV2HIvypI/FMWa+51hpnJgFtNqRHJGeed - VRkrHm8dt05aLASdVr1SCEERcqGVTbqpBDHgFp2MTENzYjxGnWgJMullOVtemQzJAiOLiAytXan/ - ryny52Kva5nzjxB1eMQVJwTLSTFDBBzQaiVBgrYe54FfZNxNLAPh7Xxo/3O2PBcxTgzEyjgO9FRq - XU4I6DqWwCojGYccB4PWIJwopDx6jn7hNbTA+ApEMNcjXsXNrPw3zyKAkIjpvv4b2n7xJf8lJ9ZX - lovCGQZogaOFle4PgEWwAJYTrTThVsp+xNhjpcx5Ht3PY06mOq82MjitCOsiGNa3OGZEAEwnCoE8 - xzVWb1i3pbvcvmhw+3e/9/eY6Xtqxy5+zzuXegs8YA53LAqrU2aJARKCZswyULx/of8zFFdOOTKj - meBAYEhbgjiLDJnB0aGsnaWQbDlrczgQdruxIywBpVnCOX80Eck+el89OU7SlX6M7//ivap/rMor - 933xN8K5ubmdO3eGQVGRQcReHGttGWPN5Xq325VS+r6fZVkufHfo0KEkSRCxXClaa7tRdOzYsamp - yfn5+fHx0WPHZ//4j9++9fStcRotLMyfd/65V/3GS2780Q212mIviaOYH587VqvVRgaHiMgo3WzV - 3/CGN7QbzV6WHDs++9rXvnZhaclaWygUvv7Nf5g/fnxiePR73//Xc88+6+DBg7fffjsizh45Ojg4 - mEbxfff8bGpycnZ29lvf/F/79++P4/jDH/pQqRjOzs42CsHHPvoX09PTyGh4eLDRaLiuW6lU4iTp - Rl3HcTjw/MH85U0iuSPjOHaFC5zVGvVStQKcSc/du3ff9u3bZ2dnpzasHxoaOnjw4NjkBGnjSqcn - BJdyz549k5OT2844vdPp1FqN6XVTP/npHT+84bosy/bs2bfvyKFuu5NvRuVyOcuy5JabHcFWhXd/ - +OMbhJR+IexlydjE+ODwMCJmWkkp81N0riSyqiz/dN56BAduIe8UCmtNkiSO5zqO1CvQ13ptmXOR - ewKTpVJYaCzXkyTxfb9SqbTbbWV0HMdZmvq+34t7ru93ox5jrFarxXHCmas1tFrtNFVKqWa7sWFm - w2ClSmQazeVqtdpo1LVOpQOf/dynHIFXvPgFC91FDOS/3nTduVvP3Hfo4JkbpyhjIRW//40fHHOa - h+rHy44fdO22s569cWpr2R8ixV3hpFkqXLHYnDt6/OByfZ5n0qCdXrdu47oNKskY8CAIGRPW9q0f - V/e2J315cqGYX1Y/RutyuZwnOvnAlNZCCKM1rBC58896WthUPDLoxGKYU2/y/g4A5zxVqXRQSFas - hi95xZW19nFZxSxNLbiGslQLwUSWxNIpuoy5VhYwCBAt2oLxZCYYQcCDpBcxQmTWWo1IwMgCQ+TE - AEisQZ/YFUXXleEQ5W2+VQsYWEnWH1WhIQBLxJTRnDGjtBHC8zxCqWLtWP/27932ot+4smjDWnvJ - c1m5VEIHBOftJEJOjpTIASzrY/qoDxV6crEKU82TCrvCM2H93ywg1wFDJAQLlhEgWAYMSSIxIA7E - GCBaxoAxsjmOBMmeDHk58TWzyE6Ui/IyTF6csSt4izXXGwFXyjCwkr5AX6cHEBi3DAAsA0AGhEBM - 2hyaQ4HrKJPpWJvUtpotG5lGeTlrRzrKJobHXfB8v1g3bevLbtRyJOd5xwusXfMpT1/d0F86GGNk - lDFARGSR0HrCW1Q1JDaxft0Z526749hPlVWEkEN4f8n14NQZ2QAAiMiQ5btalmXGUK1WC4KgWCgT - RwDQ1oZhMcuynKJcLpdzo8GZmZnc2GhpaclaG9Z9Imq0WkTUOD6LiMePH9++/fxnP/uZn//iF/bt - 2/upT3xyeHSIc9q1a2eSJIMjw91ud3JycmRkhAMuLi6OTU4uzM19/IN/8YlPfKLkyPGpyZe8/Orv - /Ms/M8buueee17zmNWTsLTf9aHLduuHRkU0bZ+66666rrrrqyJEje/fuvfD007edeca3vvmt4dFR - lWRnnHHGz3523wtf+Bs/+clPoihqtVq5J3Gn152YnkKHdTqdTrdbKBWEFmm/pWXXZnx5RevEo/GE - QylVKpU6nU6WZTm6qFAoHDx48LTTTpubm3McJ4qio0ePNhqNSqWysFwrBmEURUEQnHn22b1eb2G5 - liSJIfua1/2XBx98UHJRqVRUZtrtttF6YGCg0Wjk3gXNZrMY+L1eTynlBr6UMk4TIrr8iuc/8OCD - uc/D8sJysVjIN52+T1au5PQ0N4DMF6X8f/LCUd5nMcZkcXbVVVd95zvfOfvscy447/z5+fndu3fP - z88Xw1AwnmXZ4tLS9KaNH/3kJ5575QtuvfVWzcBK3ui23/CW3y+UiozzQ4cObd620O5GxXJ1buH4 - 6OjwgDP44he/2C+Er3jFK/7HX356+/btl1566fT0uu//4Hu1hcU77rh9y2mnv+f/fG9M0fD0yI5D - u7at2zCxfv2BXUf8Yf/S0y87ousDYr8AFI5K2+Kuux52Th/ff7AeVKspqEZUT0xEQqMwaaziNJHc - CVy/WW+lqWbCsdZmRueMTmXNqpoT9P3YTy0Qkdbox/TX2VPUj8m7oVLKQqGglFoVRlyFmhIRA8QT - iNVTi6e6CP9IDs4KVx0Y6xenhJ2amZjePHGoe8TzQ9Q2hcSASXVKgsUqY9wWgrKMqbvYdbQ7yKpF - WfTBddH1uJtRLBhDjohEDAj6uzKAAwgsXzjJ9rd5sKsKMieSvzWvjyi/IQGzxIgREDIgtJpZbZTQ - 0uYdGCXK2m/sb8/EAxUrxrgPbqZTq5Zb3pCLxiQmZcYSB072JMgLsXyR44/TS3q8zSOvNFiWfz5Q - P8dYSREIAJgBaxA0IiEDAE4gjcsJmOVADEAQoiRCsI62Bq0wBByY7WdIhvVJ1/k4uWXcMmGRW2DE - LIJB0Aw0O0GVWhsn6jF0In/MKzH58LgFhziQQCsYrRSWuOh2YseT3HUlEWNOqeB97FP/o7Fv3nNc - bLj/eM0b//f3vF2FYMjYYhCBATRgiRNDAk4gDALkHpD/iWMtY2DlhrEAwDkao/Jsm0hzwclSS7WI - WeRouNl+yQV33vDTNEqQ0JGuXSn05ajn1Xi8HdSuSVlOzrDZY77FnnhMVr8gAFBKAeMAkK9goe+O - jIxs2bKlWChrsGmacinr9eaePXvKhWK5XGaM+b4/MzMzMjKyuLg4NjY2MjpUqVR6vd6ePXsIDCAA - 2Gc+81kPPPTgFVdcccP118/OHjtw4IAfBplOd+/ePT4+rnW23GxonTWbdcdxCFkY+kzg5s0zlUpl - oFrtxMmuh/e89BV8cnLyB9f92znnnfu8Fzz/v//Z+0aGh7IkPT4/9wd/8Af33PvTr/zNl9NO9Ozn - XS5cp9PrBoVCs9tpd1rbztg2OTVx8TMv+exffc5xZKazpeWlZ1z8jAd3PBQlUWa073uGbLPZBM48 - 6Vh78rKaP+NreIVPPBCw0+nk3sC5FsuBAwcGBgbiOO71eqVSaXBwsNVqjY2NJUkyODjoeZ4XBoeP - HgmCgDG2bt265eXle+699/fe+MZ6vV4ul/O8BxFzzrbWOgchGWOKYZgbeudH6FQpz/Nq9eXNmzcv - 1ZcHBweEK4lhojLuSC5lrtZDRAZOkXP1+PFUlFEFQX+PNFoTke/7wpHLzUZYKHDO3/SmN918880X - nHf+y172sr27dzfrddCm1+v1Ol3XdcNS0Q2DYrUyODi4btNGIYTSGSJmSTo2Nnbr7f9+wUXPGB4f - SXR0YOe+rVu3HluYPf/88+9/8Of33HdvGPqTE+v37tn/xS98OVNJbWHRdQpRL/urv/xsJ0uUSuv1 - Jb/ga9RBqbhv5876g83iyJCuNc6eOM+RnEfJoJWLx+v30o7lZm/kjA3gYL1XRweFyzqdjuP6krtR - K2nrSCWp43sAlglUWea6bl4Ozbe0VbztqUaOCF4FsuR2WflN88T1Y9I0rdVqQ0NDuVpALrqjlGKr - 4jTYz7DWNkqehtEvGRAS9FNDKbkySaajgdHqQnPRH3aXWgtuwUMg4Ug/8BkIx0CcJiWnnPSS2T0H - Y14eGKs4UkpwJPfAgtEknH4+B0iARBb6MjEr+FUEBkBImtDmgvWr+coJ5jxjaxMaWvGFyRWBGHLM - icyMDGUGpEHkUnAuIcUqrzo9B1M2PDraSZYfPvjwz3bfN3PultJU1XEwQ01ggCGdbKzNbb59n8Il - Q4A+n4fArhy1CQEALTFJjOfiOGiBQIs+4AYtcIOOYcLkPHMkBIPAwbhGG2ZSoQFAkkWwefqygihi - 3CKzwtHMU9wxgASGgeI2FYYQ7EoZZqUD1Y+1ecxqBrMyVIYEjhHCoDCMW44EhrFMGt/xErKMSKBo - d6JiGL7it141URrtRr2EKyo7LepmXGumM0Y5IQk5cEPCACMQBIion1wu/7SPvJQrpWSMpYnKU4RU - JeWhqqPdhaX5ielxkmS51aQ5CMS+fdhazhQ7UZg8SS2GHksBK49HUILXtCEfezPmnAPBiXaS45VK - pfXr11crg/O1xV6vN7N58/Hj8/fddx8A5CSagYGBCy+8MBdwHxwcXKot5FZH7XY7F0EdHh6+6aab - rnzxi7785S/VWg3Hc6ampohZsvbGG2+cm591XLfd6+ZtkSAIQs9P03Tfvn2b1m/YunUr5/z48ePl - geqWTZv+7//nb8bHx/fs37dz586rrrrquh/8W7kYPvvZz775lh/nIr+j284olkvNduvCiy9aWq6N - jo+dd955jufu2LHj0KGDl19+2e23375t27bLL7/84YcfVkppIEBIVYaMBUFgEcD0OYIWgP3SHSVE - dF03iiIppVJqZGTk6NGjhUKh1WpPTEw0Go0jR46Mjo7mRSyt9cLCgpRy4+ZNucnlcrOxUFs6++yz - d+98eGxyYnlxiUnhO25qdNRsEMNisWgUpFoLKdpRL990rLWdOOp2u9PT08DZ/kMHXddNspRLEYZh - p9NZXTxzYzjXdX+BAeSvPQQAMWD5Ri6lbHTaRadvaOL7/uLiIiI+9NBDP/n3f7/sOc/dtHHm4N79 - pSDs9XrI2Z9/6AOZ1sWBysDAwKFDh7rd7mCl0mm3y4Vi3IvIWC90oyzmnjM+M9o17aAc3H3f3WFY - LPhBq9UWQsSRnp9rSCZ914si6DbqoYfGpoEAzclhZqk2+xef+XjZC3pxqjhkHpIgm6WDjoOtXsDd - n7FdKRetn8af/Pu/rNcWt27c0uvGHFyHF9PEMOHYLA2DUmZTEMpYKxyZWxfBCt9nLd7+lIKIYI0N - sl0BskjZ14/JWXMAv0g/BgAKhUKO/92wYUO9Xl/K9WOMWS3SJFEspfRcL4qitdZ6OT7pxGB+HXFy - +fSkZU9bwx1GjCY3TBqmLVjuccYhjiJHsDRLiTLBPe46aZpKKc8586yq8qADJjP58+P7/rHuUVEu - Jkl3enqiE7ctWQSuybpCJLGqVoYWFhYC1xOCJ93E8SQg5OJ+Wus9e/ZMTU0FQZDj1BhjWZaVy+V6 - vR6GYZqmnuelqWJctlrLA0NVKUW30yoF3tBg5dDikkbKgMALm5ECvwKMlrodG8rF5ejCiy93R/wG - b/coM1wLh5FWnIDbnFjEWF+GDlY6LfDYc/SoEIKnSSQcyRlDIZuttnTdUlDptXsB9wbcchKlTPBO - lnY7rbBalsJNmt0yK7tasAwYcKVtlCalajGNO1U3bGcdiZYc7QmRpjEJocgy6RqiVr07PbKufbQ+ - NbKhs1SrHVtwpeymnXVnbQTIDLdJpoiTcKUQQhFpYygncK2CzZEzxpTRmdGOI3wnQK3SqDfkVdaV - RmuHF3bcd3+hUDjr4vPTAJdNJ0OdaeUXClnSzTKdCzD6YWAB672eKAnHYZFOLCMLlsggEFqgk3wm - TjVOFbB/kqvaKqxqbf/xEfnxKcWj37MKMMr1xIwx0nF0zjngPEp6WishHURYt2FidtecKx3MwGpb - KhSXlpaKYQERSZtOpzM2OprFaZqmw8PD84uLSGSIpiYne3E8PT3dbLccIXc8vDPHkC4uLhaLRZup - /FnzPG9mZsYLgmazOb+4oJTiyKI0EULkoBZLJKXUSgkhAcBxnEKh0Kw3c6Bku90WQgwPD8/Pz3/v - e98rlUr5ia5arZ533nndbjdvTLRarTAMoyjasWNH3mxK0xQZu+SSS3KtlDAM2912oVRqdZvj4+OH - Z4/kfqK50eP27dvDMDSZKhQKcRy32+3XvPrV7/vT923YvOnI/PFrr7220Wi4vscB/+k73337299+ - ztlnPuviS26+4cavf/2rhw4d2nLaViKMkqTTOXLXPXe9/4N/PjG1bvfO3X/+wQ9YsF/8v750zTXX - vPH33zAwMPCNb3xj564djcbyuo3re3FsUs0FxknPDfy81cJyRiSdlPbBk6p85wTVvGC/vLw8Ojra - brcRMTeoKZVKuWxHfr/5QQAAjWYTABjnSuuBwcHZ48eLlXK31/PCgAAMWCYY5y4hpCoFACaYBYsc - JXcSlQIAcCyUi/VWQ7pydRhSynyLgbzktnJPPp0zGAAQDJgCndv5djqd/H4tlkpBENRqtVqt9p3v - fOefvvNPA5XK6adt+9IXvlhbWDSVytlnnx3HsSIbGzUUjPdMFpO2ghmO6MrF+vLw0JBOMxAcCJcb - S9VqtdVsT09tMGSTKCUCxgQiF8xL0zSJlVWs00nXTY4c2vvzLWdsOlqfD6vlxeWaQFGQfqPRQsNQ - OtYVvbjrcSTq+pldjJZ16FPo9rhSTGnQaRZLKT0vsAod4QMyR2KWJYZZYwyXHBTAyUSVXy15bLV8 - sqofs5rQnJJ+TJqmhULBGJPnwgAQx3GeHuFTOf4nESt9BAA4cdIuZe8AACAASURBVDSHvrasJUZM - IBOgmLZkODqMCQYGGZCxBgyCUaCt0rGCCvpe6Bfcohe4reVkbmGuUAhGR4YXllS320XOpRQqM650 - GDLOMFciJrRE4Hi+tZpLlhfDPM9bt27dyMiIUqrT6eSUtHxhDcMwf6NShqMQQriuDwBMcBRokDpJ - lzvcdZ3A89uNphCOzgxa4QmPe+L0jWckrkpUhojCFcyFVMec5Zq9jOeqLMQQWA4oeUIWTpgjaUhr - 7fqeUmmmdNwzY9XRBGxtaWkwqJZFIVroRPVeWCwPVIuu7zDu1BfrupFOTJ82HFSkcZAYlwI4Tykz - xYjZ7PYHbvNOqxiTEQIiN0AguSJrgQ2OjGUZTE1sXDy0/IItz772B58KHFf47LkveN6hzux842h1 - apQhW2ovtdI0LPuu4yZZZIxxXddqXIWie9zlhmcma3fbLnJPBhW/VIHisdrexuF5VS7BWUoEbqfT - 60mTWuq2Firgo+BnzZz2w+/+c9zrRo7+7d/+3XsbO2yWlgthJ+tS3k8j4AQMgCGaPMN4Cm9kgJMP - A7Qik7jKZKSVyuuvPB6XJoREjEiAtZZ7XFkVm5inAhR2252iF2ycXn/Zc5/b7XZ3/PzBPXv2IOFv - vvzqr3/96+Pj49baMAyHB4de/+IXb5jZODQ0tHfv3ptuuumWW245dujweRdcsGvnznKxtP38C4Ig - mF9c+N3f/d2RsdHl5eX3f/CDKs2QY+B6xDAvqDiOs7qZ5QtXvoi5riul7HYi4TnW2t27d+fqEqSN - 67qjo6OlUil3nLbWdjodbbKDBw/mHtee53U6nQ0bN55zzjmtbidNVbfX5VIS0XnnXrB77y5jDAGb - nZ1dt3769NNPJ6I77rgDLZ177rlnnHHG0YNHlhcb73znO2OVlQarmTVgjcOEilOO7GMf/guwBqwt - FsNKqbxt62l79u3t9eLR8XGjlON5N11/k+M4AKxSqYyMjLRajbe//W3lcrlYKDSaTc6Y4wqVZkkU - GWvDMHQcJ1EZGPA8T6ePLcP/6wpa+7oGrPZ456fHaiz+Zw2hQQNAvuMi58KR7V63tdyemJjgjnzH - O95xySWXDA0MRd3uV//u72ePHjv/vPN27ty5tLTUi6PSQBWsBM4MERPCFaKXJRaoOFDppUmv1fYC - 1y04XMiwUHTc4OHdu7Zu3WYMtVrt0eExBnD86PzMzIxNTbPZnBwfa7froxOjC7UFkKyTRMPjE0kv - rrc7HkluEY1Fi6ZD5PJOnPhhUQZORyeCsWanwz3uCeG6UquUTJqkGRee0iA9V1njONJYbQ0iEQd2 - Io8hgL4q2mNP0JPIrGmNRGy+9p2Sfozreb7v0xr9GC4fWz9m7cc93mCeairHI5rDJxguCABggBCR - OzL3SjVEkhgjxgGADAARWotWgylUSm4iTUrNVld1ba277Lrl0kChW+vkyuVCOEqlwIQjnDTOOCMA - 3mjWCoVClqSu4xqwSZIEjouIedqXswRzcnt+XswNOH3f73a7Q0ND3XZPgkAmBONKKUTkUmgLjV6n - UBrIoh4kcdV1Y6NElg56IhXOwlJtfHiwpuouIANlk55Bq8mwFcQpIQCtXg/26Dto5Zj/yGnMT+QG - iFmbZZkb+F4oYx13o3SoXK3wcHn/3KQ78pyznxFA4e4jP+vZbmcxGy1XewrP9GeGoBqIEIApsAlk - BsHI1EDn+O7ZbVuGYybRKsYYMUaMaUMElKZp1IpNlg6KgINkIExGFjUQ05kJgqC+vBQWC0IIPwdy - qgwROWfGGLIMERlgv67J0OECBdpEA0Cj0dBZtGvHrsW5+SSO52aPMioXBgroArdZoRJ4itseCSDZ - 6YlUZ3Hkg/EJU6UhAzdRgiODnMVqDaIRoDkRnhCMeYJxqtV+AloLR4MV8D7PNbTyxw1WFw16MmC6 - 1bH1P7L/B7EVfEyuwbi6CVkgQjBk/YKPAsGAEEKgkCBDPwhdf9OGmWPHjr3tD972tj/4w0pl4E/f - /d4sUd/+9rdf/vKXv+Md73jb294GFpu1ps3snf9+58XbL/693/m9//bf/tt73/Wed7/73WDsJdsv - /vuvfZUxpmNlM1suVPbt3jc2ObYKkVRKASLnPMsyXFlwlFL5Cc1aa4xxHMf1/UajsXv37oGBIa21 - YHxiYmJmZiY/3zcaDcdxAKBUKqWJytOg+bnFoaGhM888u9eLlTJRFDmuOzY5USoV77777mK54DiO - AJkkydLScq8Xe5539tnnjgwOHTp0aG52/oytp227bPPs0WPEGXKWWerF0U0/vnl6enrTummYnHIc - gQRLSwtTU1MEdrm2dO7Z56zfuGFxfmlweKhSKBaLxbvvvscatXnTRsbYMy68UKnUKK2MDjz/gQfv - 7yYJY8AQut22dD2rNFlM05Q/ToXvqTZ8fYz4xbf4WgGFNT+Yw+ke/db/jNQ/IUAAQC4M47hOFEWj - o6OO6zY77fHxcYeLffv27dF7Lrnkktm548roo8eOlSsVxlihUFhaWvKLheZyvVgpk9K+78fdlCOS - sQLZ8PAwMmaYnZxcv3/vvqmp9Vs3n74wtyCFs2Xz5oMHD5bC0rbTN+/Zs29kcMjzRbfXKJWD2mLD - KwcZqV6cGuipKPM08wq+TtI46UphvKLLBbPkdLTyfV8ncdqOq9UhbVOTRN1m15UOWAtoUUgLGgVn - FhE5gkMml6A8Iev3K69k4IrqzGotmp+ifoyI40qlEjyOfgziUzv+JxdrWUGr/5hjhgBRCIGCU/7k - GGQGsM8PRWRWgbVkG73W3HxHz3VZ3YzI0XqvMT065BWcTk21Wg0muCNcMlZnRkpurWVIYeg24igI - vCTuAfNUZoH1JyfX1CKivI6di1/lwt75POfMzzTObGqMVYwxrTNrLQI3RJ2oV6kModESrWVZIpJv - 3/SPoigopMVo0fimrpsbztk4uHFA+Git4SdOQizvlEN/gfiPRThWVzGLAIAMKUqSSrmsyUZpmihb - LJZsTPXlpQ1jk8nh7qc/8rFSsQoBXv7KyzNu2q0edeIqhEXrF1jIQKRgUEcouAUHQXYW2mgYF9yQ - JiDGWBTF0g8yrdut3taRLUVwo9n2NR/44/tvvwc0MBeuv/+W5//WFRde+UypO/Vmo1Qtcy46cTPN - EseTnPMsTRk6Apklyml0XEopBWfcEkcF9eXm/FLcNUllbJhz3Htwf4WPcwhNxY/SODMdV4swHL7h - 7htv+vcfHTtwdGjLROnmkbGzNiAaYZkkFJYwt8tGAIYnBIie4njE02T7rl19kTdYo0bxKyzJrKJY - GLFVEeoVOen+MqKMykW8GEOXOUmUFQrFpBNXi1VO/GMf/sjdd9z5jAu233/vA3fedvvrX/s7t/3o - x7//+je8/rW/U6vVlo7PgzKCMOvFH/nAh+68887jh4/6wsl6ceB5rpRpLypXq3Ev2rVjpxcGMxs2 - pjrRSmvQDmdCCGNtbhiEK7zOVVhMLpIGAJ7n5aXiWq2WZdlAuTIzM5NDVnP/Ndd1kyQBgGazWa/X - JyYmcrhMsVis1+uIWCyWDadut8sEd33PImssLxfLpYmJCQOUJMni4mKSJI3a8p49ewYq1csue96O - u+8NPH/Tls179u2dnl43Pj4ugC3MzV1+ybPvuOOOdUOjnPOZyUlNdnp66sj+g696xW/ddtttQ+Xq - eWecfSg4JIS48j3v/fpXv/aMc85fWlqqN2rVQpFzXqlUisWwXls8dOyoH7jaUKvb4cjQcYDYr7G9 - gqemRNN/gv6To+H/gxCWtAHinBcKBYPU7PaZwHOLC6nKQj9IelExLL70pS89uH9/kiTHjhydmpoS - juScVwrFUrkihBjyi1AebDabuhf7vu8JzgVXSi0sLFrGJ6fWjw5NLS82RkdHS2Gl3qjVl2rFIEC0 - e/Y+PD4xujQ/NzIy0qjXNbjM5bFSw+ummgcPB35Vqd5gGAq0GWQUqKyA6EploFwtdWZraaTKYcEK - oTJq1+tTY8M8QzQ2LLgq6aGvuLEGEzCYaS24b4xhkOVrBp4sKPIUzW+e0NCp6MekWea6bnGNfgxY - YowxfJR+zFM//lOJk+AxrK9HBxaBcQnIjWUAHEkwkIIsgbWkAZhFbSEVnoMF6Q0WpARhPfJshmmt - VWOSeY5njImixHU9FcfK6tALAaxRqZDQbNUs2CRNAdH1gryFBwCI6Pv+Kvs9x3jlJfH8Do+iyA+9 - jCvKRVE0I0NgGRiyCjghA1I2i0T8+g+8dXDTcCaSRtI8bfyCTtYeZ1l5vJJQN8myTGm34KdaAYBF - xoABEYDtn4EeZ/2wj076ABBACCER4l6kwIaFaqrb/x977x1v63nVd66nvn3309vtuupXlmRbyAJk - LBfZuMiAMUxIJgkODDOQMTUzSSgOzIQxiWMSYDDwYYAZY4PxEGOMNTa2jCPLsq+ke9V1+z397L7f - /tT54z336KpiGRfgw/rjln322fV5n7LW+n1/4/G44UYOY/FkwjTMtaaY4yumoiA4s3HaGCjz1AXm - GU4LrLVxA4dRrwQloKDAsXaMxhZjA1aDNaUIXU+DpZS4Tf/C5uMt3ogc/x3/4vve+U++t91onjl/ - ZvbAXIqyUTzupYNao66UKqWo9JbIgjWGEEKBIAAwlmJCKSWMKiWSIsWAAhp4nVrg12fm50PmGy2T - MiloWZtp59gwbHwnEuM0zker8dahN7zyZcFrwCFP7Fxs02VCaFZmhCNptUUG78LuDAAwjQGs/Uah - BvZsSaqqmb2Ee35WEvRv/kR7gvzqcbHFUJl27HFZELl0NLJaS6WUAhV6fjIc+9yThTz12FP7FlY+ - /1d/1et2v+uuux5/9NG1ixddzq3W+1dWyjz/y099Sgm5uro6NzfnEHr6iSeX5uYDx5V5MbOwksf5 - YGeAgbz/fe/b6fUOX3EkiWOLkeN5pSwqQSVCSFVyTmuN3t3V7RXNHcfJ0mI8HiOEVlZW4jillE63 - O7Ozs1XWuVI2xHF85syZNE0ppbMz8xhRTHC93gTAR44c3en37nzDm667+djH/+LjJx9++Lu+67un - Z2c/91f3bG1vb26tb3e7MzMz+5b2DQaDna3uwf2HmrV6mRfnz5676sgVP/IvfohinonCIvjQ//UH - xNr1M+f+8Tve2azXbrrhptIUw8n4vf/hvbOdqfVzF/7RO9559913v+1Nb2wG7Z3hztbWFjG6XYs+ - /5m/vOuuu1796lcvTM9p0KUs185fePDkQ0CwxVhKzbirtUaYYoyfwxr8KuMlZT7MZePk6XieR3jW - 2enSZPMMpeSzeeJ/d4NSRKv3E8dxWuZBLUySpNTq+huOra6uTrU7E2fiO16v17t48aLjOPPLS+tb - W/3hgCD8P7zrh5q1+tzc3KDfT5JkenoaIQiisNfrSa3DMMSUPv7E6f/yG7/ebDaJhZ2NzSAIrr/q - mqmZqRMnH6SUXnXVkdtuu40R8uEP/2FY8972lrce2HfwV973qw/f/8iRq69fO3/x9ltfdduNx6bn - a8IrdcMOeTlIR0VSHmyv1GJcM4GjAyHBa7ea7db//u9+adTfbvitIle5zBEVSZE73OfYJ8hhmJhS - WlKhJy7jr3yto6oloa+WH0MZGwwG+jn8GCNVlYz5er/+lx7P6OzFFe3e7vVFIoSwAaQsAGCKCACl - VhsL2lprtUHGAvL9WrQUtRYjL6V4YFfPrBOXFjrnligtHMczygorERCXO0WRFkX22BOPzs7NbG/t - HDx42AYoCOplWSIDl4Nh9paiqjA3Ho/PnTunlGq32/1+/9ixY4yRUgoAsBhZhbDF2iKXBcko5hhh - YmI9ttN4XE+lW+ayTOvZJE4pRyM11KYgDiFKl7nAlJhLmQKDLjH4XiD2zOGfdQu2oIR0KcGUWq22 - +91We9YCHg/67UbHnaCt/hoQjCnJVbI52GzMNvJcpJuZBsmpA9qBQhiBiUsZUKUUoRgjbjSygA3G - CFGX8DxLmUMtMlappblpAqS/0ZupzSmqh5O16aOLj194ivnUb3pzs3NZnhmltDWUEUSwBm2MIYCs - MQhjiglUeAylrTbIAsYIEcCOK4kBTWOEHOQwZSl119fOg0udwB+M1iK3FjSiwy+7MiuU6/mUwKzd - B5zm2RAxrJBWyABGEgGuSjqX9oTPq/r+GsZeuXbv+qqG0AudH74m8TRY6FIhco9VUAGoq3lj78VY - a/Ms83nAqRNwv1VvtOqthx84eezqa5cWFj3PO3Tg4BePXPHRP/rImSdPWalHvcFgMHjj699w3333 - festt37u0595+Q03fvTDf/z677hjbm7hc5/57LFrrzt74TzlJPC88XAUhuFwNGo0GoSgSZ4CQFVT - AwSgbfVpVDMbIaRKxgRB0B32gyC48sorCWGMMd9xAWBjYwNjfPPNNy8sLAyHw62tLc/z7rjjDill - lmVpkQdBMBgNT554ZGF56dtu//Yrrrny+ImHNne2v+1bb//A7/z2xubWuXPnZmdnDODqKq6En0qp - jY2tLM6uu+rqz3/mnv/6kY8KIbJCrCwtXXvkqCjKg4sr93/uv2kt7/3s5xCBuYX5owcOnXzgwVfe - cPPH/vij999//9H9h9I0dxznZdcfM1npY3bdkSt//wO/c/6JU512kzn8+muv85lz9OBhcGgpZG80 - LJUGIAhVltrfhIn3hSRjLxbo7z3pGmgucsIZpZRzjhipN5vjNBkPkrNnz1abaIfxwWAwPTuztLKi - tS5EWW82ono9TVNs7HC7e9NV1/7O+39NFmWapqN43Gg1P/GJu7/z7W9uT09td3duufVWkYxzCr7v - O53m6dOn3/0v/6dbXnXLm9/85nq9/j3f871nzpzpdDo/9j//+N133x2GjQtPnv+d9//Wj//Uvxl1 - s0VnOlsbbbXPn9+Jt4PteEqIRQouZsTe/1efWEy8xthbCo5OtVfKc97/+CM/2mRtRZRVHlin1BA4 - nBIZ1FydaGYsliUGtZuQu7S6vvh89NVNVJdnm/fUQ38TfowSz8+P+UpUEl/XEfycJ941Ytw7XlSv - U4M1Boy2QDACRkAjq8FYVIlPABtkdpIdlKPEhB1bi3DAQuZ6jiHGgk3SwvMiRrnWFgE4lA2HRa+/ - 9cDxL9/xuteMJ6NJOvGCiBCilSF0d+1BCBVFQQihlFapbErpaDQ6efLk9PR0o9E4fvz4oUMH2p2m - yoW1yPfD3BYEiEVQqzm4EMQC0tLx7LDcPrh4ZGKTmsO6gw2v6dW8qCgTKCSWxMUUMJbaWIR1lZ1A - ViNTeTeiyp3xhb+GZ80xBDBoUFIwz6lxLxN5oXWj1Sqk7vd6C8uL3/Md/50F9GcnPz6xGUgAiqVr - xyarmTJCLuKOJVRqkAAWuIZSKYsp0xYhggFZbMHD1GgB2ChTjrPNySTrtKZiHANhw2ISus3lKw7k - MlMgNjY3PM9jDqOUG5DKaIwuWa1ZC8YSQMYYrZXFiDIcBoHIc2llXCbSIiGNw3lgse8gD9uZqVYu - C85Io9NKk3ww3vGjVoEkbfBud4eC9i13AldYVYgMOcxgUzUjEwMGfyNqSZdH1R4Ol4AoVQ/HXnxN - n+pSGxkA7F5TT79XY0xVx8IYV06BnFKmCWGEWhx6/mgwfOOdd144f95qFUURQfiLX7hv48Lq/pV9 - n/vMZyM/GEulSvHKm19OCbn927/dWluv1cqikEIsLS5evLB28qETvu+DNhS7r7j52MbO5tbOtus4 - QgipNSW8Om7sQR8IxlVqUwgROq4xJs9zzlzXdYMg4K6rlNFaG20Gg8HW9obneYSQShKcZVkcx2EY - Sqld163VnCTJlDT9/uBbbrvNcZwHHnggy7Ibb7x5ZWXlrrvuWt9ce9/73peVRa1WS9M0nqRgca3W - wJgWRTI3N3dmp7d68eKFMxfyPOec173gyYcfnZma7q9vbq+tTSaja6+9drO3ZbWJ6tFUo1XzA1OI - 7dX1h48/iDFdXl4+8aXjyWBkCiHTPBuP0tGwHYY73d5mrXH+qVO9nR2nFgIh1pg8STF3KH2xkfhS - 59u/+Uh6nlzO84rmAZ5rCfqN3998PdKo1OOeBiuURAghQGfPnp2am221WgDQaDSKotBKV+K3nZ0d - ZXRWFotz80VRMEKVUgTguquufeqxxzutNqW0VavXavU8TwjGv/CzP/fz/+49rUZt/4GV0Wg0HPWj - KLrpppelaTzqDzjneZ7/7u/+br/fv/POO8fj8dmz508+cKLOgh/54R+jgLjFgR9oIaMo/P5/+vaL - 3tpnLn7ujN1wW/4sa3z2wRPNzuGV1tybXvG6yJv/i3vuMwBBFD76+GPjUYKARm64f3nFMhWG4enH - zotYGmk5d4QWl+8A4EXPVeivS/c9l75g0dPKTACglBKEASMtq0v7K+XHiMv4MaPBsCxLzKiQkgDS - UPFQEK222ZfTUL5ZZSVUGfpUl0clXwcMBCy21lpkDWhkLQUEVoBVxoIFbi1gSxkghBDzXczABwdK - FA/iUT6MeF2b0mVNzQxoA8bUgihJJ1mWOQ6TUiqlDh88hBBRpcIAoK3nONZIYwEDopQapQkhVptC - CIoJY8xhHCF05NDhQ0cOf+xP/ysY5HIvw5k2xnEYxtgCRlZ5jjvsDTmmtSjSWikoJ8VgfXJxfnFO - U2OpzUScTkae51kKgLGUigIHAIzAImyRqgh1FWF2r0OzqmdfQqrsDpdd92FkK+slgjAY43leLAtN - QYAlDh9mo0LYK49csX1q84d/+UezIp87OP+td92aqQwkNGudGq4hbMtCOE4IFIQGQMAotgBKyd1+ - cGON1aVQvsuV1sbCdDh1cefCyuy+sUz68XYUtqeWpk5tnq77NWKAWLTQXFBKWWNUacDudoUggilm - FpBV2mpLMGGIIwRWWpDggA+W5EJ4XoBAN4KoiCdJkhVatOuhybM0S9vtjkxEq9XJS4UUzid5LWoB - UmDFWKSEguN7wmi41GNbdT3vfYB7Y+7pSh2y9lJX7CU3xEv+5GABEDaYakY1BwBJS4MMMQRbrHe/ - JbzLGETGgAGCrdW2sDZT1iLwEHiIc6ytlhYqvjmCSh2A7Eufl82lAhneZRsSZDExdFeRj6xGoLEy - 2BhkMQFrrZHKGFMUwmoAi6xFBjBguraxJUvx9ru+u9fdfvtb35In6XgwnG53sjRVWve63Xa77XBO - KU3iWEkp8uLIkSPjwZBhEtbqySQ++dBDjJBarRanCcb4yJEj/fFAShlE/mA0LLWKGnUAXApBLVhj - jbWcUot2U1ZRFFWdOqUUhJDK4ajaoFSXahzHk8nk1KlT29vb09PTFQU/DMMkyZRSvhdOJpMvfelL - q6urJ0481O1ve40gTdMHTzx05syp7V73He/8niuvufqJJx7XWkspA9djjBV5Xhnoaq3/7KMfs8Jc - cfDQgyceQtbmaRr6gSgyMPaeT3/62LFjWoqV+cV4NLZgrNLjfv/Egw+cO7O1b3l5NBgOuzvzV189 - 1ek4nF84f/6pR5989W3fhi2A1K16sx7Vy7xUGNcazcW5RUy24zRXQjqeq5RCl+VFnjXxfoUpkxcd - Oc/6Ibr8kfFXjAZGu9YleO+E+fcvqAKDACOEpFKYkampKaP1JJ5Ua6oSEgCCWu3d7373wtz86dOn - V/bvS+MkS5J6ve667rg30GCCMNwj/RVCuF4QTxLfD+YXF7jnLq0sU84qYI7jun/yJ3/y6jte0+l0 - OOe+7x86dOhf/6v/5Yd/+IcX5+bZ0vIPvPOdv/X7H2gvtJE/MaW97uZjbrM2jvOVuaXm6fDKaLG7 - 1Wu23VD4odea6xx2w2azNRu2GgKk8WDl6pWp5XajGbWIawf5l098Ocvkq27/9pFJh2ViwRBClDaV - gGWvf6I65TzvB/TXDsWKFrU3nqqeNwSwm280VcUEsAVOvyJ+jChL8nz8mF6v57iOUbrIUodxxlmR - 5ZQz132aH6PN0/yY3Zf09WyXQVDZ4uyW76vl2mANgIlFRhniOBYyTaWyhYuJAWH1WCOFWVgWlNHQ - CEMBCNYWKQS21LG1ZbPeSnUa1HiWxH7pBaimC+213dG43243B+OBsWp2dvaVr7zFGBS6kecGDDGs - NLMglQGwlBItldWae54oClkK7nmyFFPt9q23fEuzXjdKv+0tbwk8T5e2zFR7tpHkcSkLgpnvu+Nx - TylBCElGKUhc8yKkoNOaSssy5DVQlloT+REJ2MWdtanpJWF1PknrPGh59dFkXFqDPQoUOQ6XUiVJ - 0mg0JmkSel6ppNGKOXy3PLGL7cNgDQFMLFitgZASGezyuMyY72uQru8URfZk70JnvvmWH/oua0wQ - uec2T7ss6PBOOckNKBeIZaYQKbIOJpgQSMsJdsRVVx8qypRwi7ShGJBDC6Eoda3W3WGyMH1oVIzT - MndCl3M7zHdazaiOQ8hBTeRUOC2J6E+G851Ob9Cv1cJCiniSzM0uXFy9uLSwlKfpeDyenpoaDgdS - yuWlfafOn5mbn/NIAQIAsBmIGouIE3JGjVHNwPeDIE3TmtOuO53+6vlr9l+7MxhmRdGcnl7LNxTl - pcmptKHnjSajeq0epwkjHGGMsLXaAEZgsUEIW4wq+h8yFpkSK0KxNlIJFflRkRZSGC/0lch0YeZb - yzXVXOYHzq+eHzhbJRQNaE935s5vrwG2BNFWrV53w83uhuIoSWPGcNwf3XHF6xCQLRjs5NsbW2e8 - huPUw9wImeccE46IUlrTl3bJKGsRRogiq6XSKnJDJnnWL6aimf7WkBJe60SxiROTYE8rLC0QkYrQ - m8ESNaO2zE0h9Nz01KlHzhxaOShhrAnK0rzb7bqOwzkvsjLwwng8vv++L0V+VGalyEXQDF5+401P - PvmktIUS0uVOnudKyONf+rKWynXdXm/gh8Gtr/rWLM+1NRZbZZQGMz3TjtOUUk4JscYgRLQWQFml - /uOcx3G8vb29sbFBKGcOV8b0h4Nmsw3GToajhx9+2A9cdcwLpAAAIABJREFUpdQHP/hBpdSBAwfC - MAzDcHt7O0kShFCj3XrggS9v72w1muGZs09+6YH77njj66anO+cunN3YXOsPh0tLi71et9VqXLhw - YXp6WlmFEGq126urq9NTU/F44hGmmDfo9q22eVnWGo1ROg49Py8LbU1ll00p7fW6+w7sy5N0eqq9 - s725f19rNBhgShqNRpzGhGHAFhEgnGFM87zk1JOlScYZJa4Fur6+eejw4SzJGWGIk6IsqxWkStcx - xixCWmuGCTYWqr3pM7/3Z1aQ91YVC6haePDe7dheuiO6fE3CAJWju91bcV5wbXpOpwt62lTk72FQ - DdVhHlUoMGGUFwbNWh0zOhgMrLWzs7M/+E//+a233loLo3e+851bW1utVuvokSuqFvSpqalHnnis - PTs97A/q9fpwPGp6nROPnFxaWZ6kCUbE4d69997LGDt8+PBOt0sImZ6bFUKMRqNGo+G67nve857b - brttampqbW3tPb/wc5v9zQ/+8R9Qp2aBRkHjU3/16be85Q6GqYrVp//w7uPnTkgCTEOE3f165R23 - 3bSzNTlwsLUz7JZQCki7o62d/naSjtr12mxnBl1PUiGsInFaFkLVuAtgkHk6U/I3zwyjy/581mPt - 7WywBWstNpfYevir58cU4ml+DGEUALI8p4xVbul7o9d+w12vLQC5RKCv2F0AFu86DVqDFDEYASCi - DFIWWYOwQdyCIdaAsRpZhIzFYLG22CJmGcPcUqKYQ9yiLCtMjgZtrY1qNdfr+GEQ+lF0sDbqTazS - CiRjDCFkjVVKoUsMQ865FwRKiOFoFHjewsJCPYq4687Ozha54ETMz85OynGSJNXeT8iCO5SFDiCP - cAYIaas0aGOtqQ6gxlSsdA2Gup4GjDDFWjmaq5GkggStdklkLCYSK6V2sbqEEIsRQggw2tsxI1ud - yKv/GgAgjGFKhskQHBL64TCOtdAz7dlma7aYFKXVQpZZNllqzLv1ICI1lrI4HiPACgRooJRhQhEG - C9p3eAn540888opX3UEJAmuVEgqIRYQRAgZJAWkuMHan642kGCmhbao77Yar3HpUL5RY5AuDYsh8 - p03awFHH6ZRcxCidgRkVqiZu1YNai7ZmnZnTSB9aOlCAnvGLw/yKpyaPz3amOdDusLscLe+U29ba - 0A83h9tTaI7gAQ5hBs+WoTzIlqanOjtqjIFtyx3KMea8LBJTWg97SGOP+kCwlFIbxQjd42HsnSt3 - W8gxMgQZAxgRqyxS4PHQJdwJ3Uk5Hl4YBjwyVkdjn023+kmvhvyAu40yshg5zK2LCGfadCHnediK - GMPb/Y3ve+s7PC+66o4bb3r1TUsz8wMxitMU+cx1XSuF1oYQol/Q6PN5A3HmSFVapRnFyGI5TrB0 - 2ig8FCxeefAwAjJQo3yYOBxJhAGsVGUQ1uJBHKnmztbO/pUDm090Nza2Dh06dP7UuaWFxQOHDw02 - N7tbmwghZCwhSBTFZDIp81xrXeFWr7nmGs/zKlVBJZmuTj7D4RAhYoxhmHQ6nVqtVugSIWIRYIwx - RUWRKS0o5YRga7A1ds8LkHPOOc8m8draGiDUbLbTsgiCwIAdDsfGmEl/ODc3t7m13m63uzv9TqfT - 7/eFEGfPnq0kmePxOKyHcRzPzk5vbGysbqxOz874vv+lBx4o8vSX3/t/SKPWNzbKPJ2Zm2u321Xy - XgjBCV9eXp6dmVleXl5eXjmxsdNut7f7PSf0dga9l7/iFf1eb2Fl2Y2C9e3NK6+9ujvoX3HllVqb - rCgncaqkKUqJCJbanL+4esMNNzx1+nR/OBbKXH3NNedW125sdaxVaVYApp3O9KnVC9x1ECKcu9qY - PM8Jo4CQNsYC4KphEV5MJfrcHrjLbwdknrvtuDReDEC1KTEApDoMX77ifGXx93kHA5XEWoKqoIFA - UJlLKSUmJBdlZRx17NixM2fOfOADH7jmqqtf//rX//mf//mjjz568eLFOI5dzytL8cm77z589Iov - f/H+rX53NBrlUvzi//ZLh49ekSTJ2bNnmctrUWNhYeHLXzq+tLJsrX3bm98WeuF7//173/Wudz35 - 6JMf/pMP/+f3/5d77713ZWXlqquu3Nxe/9lf+oUfe/dPz84t7gy3l2dmFqZnP/OJv1w5uvBP3vzP - vmXn3AOPPpROci5JYKe+dO8j5dj2J4L7Lgab5bHrICGzSRwPBoOd4WiYxX6trhNhLDiMGWMw3oXd - VciHamCZb4i8x1oLCOFKJ/LS+TGu63qeZy7jx1TtwM/lx+xWKiqUxdf/fb2kqOBvVVXFIAUIqvYR - iyzCleMfrswcESIYUwM2SVPOeaPR0Favrl9otJoWQa/fD8NwNB4Ph8O5mcVGVIvjtBTCYiCMIguA - ESJEKJklKaaEEYopqTebkyTe2tkhjNajmtbW4W53p5+peHF5cTRMe92BxZYhqEehQBK5WBIlsJRY - KqI1NRgRI7W2IIQyuXKwa5Wmksx2lmfplBSFz/1Ht5+CEIHZ7ViilBJA2O5qU59bvtyrVFsEmZam - KJ0gpJSWuZgNp0MUrV9Y8yKHl8QPfCXlTGcmFUWuJWcGVDHJYwc47K47TJQGY2wAaQycuaLUjuOM - xcRixTlHGEtlpFGEU8/3ERBbykIUPgk97JdQwAjX/IYc6bJXkjpLtjLKiUY63y40MSKXRVyknVT1 - FTDQpSkzmbZzV/kXz691ZqZZTnrjLs/59umdw/sPpBuxrut0MxNChMvh8PxgNpxNt7Ig9CVVsi8S - LxZSSVGG844zIeCSqF4rEBsPB0EtKrJSWo0YwpiABUyY0dpeNpA0Bg1gMAhjkdTWIJc62HICYBXu - rvdnZ5omR3/4a3/gjaNW3ErHo7Ix1iACU69F7Z10MC5SjFjoB5SgzGbevvAt3/+WxlQtL3MeuYgQ - iUx7tsV4KdMyEyUnASbEaG1UNWe+tPGvpQSlCTE+ZdQiXZShwjNu5AkzzWsGsMaZbymm3kTFxqjA - 9/NJXiONmhM1arXj95+oRU2bQK+3Mzc/U5RZ4DkJ547DyrL0IocxVpZlVaGuTkphGE5NTVWsNiVk - dVgNw7DX6+3s7LRaHQBwHGdpacnzPCNslbqQUlYg/Gc14V0u1KpAMjMzM1dccUUY1pIih916Onns - sce0Ur1ez/O87e3txYXlffv2ZVnWarU8z6vVavV6/Yknnjh74WwURUmStNvtQ1ccWdtY/+QnP1ko - ORz13/3udxtkt3d2mq36xsZGURRVt34QBA51hBDnzp175LFHL6yvTi/Ofcttt775u++yGG1sb/3k - T/5kPB6fW10L6+1f/8BvLS0tWYQcz/2Zn/mZLz/4yE/99P/6slfcevbs2X/1r38eIQpgNrY2fuV9 - v7rv4CHC7xlM4o994rcjz8eMlVl2z5fvu/fxExbBNddck+ZZvV4fjcdKKdf3jLVSSnSJ+7fXM7Tb - of/MXrfntPBfUpVeug969h1eLP62Tenf9NhNhlYDlGBSaToqy6jBYLC0tDQ9Pf3mN37n0aNHD+zb - Twi56aabfu7f/lvXdQ8fPhwEAW806mF07fXXfcdrXiOllFpxzkslSyGOP/DAm9/21jhNrLUPP/zI - /oMHsiw/cGD/hz/8R+9973tnZmZbrdbRa65cXFx47JHH9u/fp4QKat6kHAqjsYe3+lsiLQ/uW7jh - +uu1ONIbdYHiK2dqB2aurdcb2xe2lhqzg83Bra+96dz582940+sNmPGkL2VRq4UWNGK8tEgABWmL - IueUMUIYwco8Q+J/+WX5dY1KJGkBIVThkV8yPybP80aj4b0APwZfxo/ZRcJ/M/rnXzwqR0MAMAg0 - MhgbsAaBxWAAWYssAoIMMtJoabSwWhtGHeY6hOJuv2cxdKZnMEVCFGUpowhFURSGNVHIcxcv+F6E - CbJgGSFKKasNZbQyHLHWctehhiVZmqZps93CGMdxHIY1IUStVlNJubW5LQVCCAFBnucCADBkKWgK - BluNrcbKgC7KHBsceKHLXGksZzRLtcr0SI43dlYfOv5QZ7qlfXv0FddwhwtREML2FCVaSIsRRghe - oA3LACBOkTFGGQTIUVz1yqRQs7hJJ8h361SRKJrqpt3S0YSRQTw8VO90uS2gAG09XeMOphQjDITg - JBWcWc55WQgcEYuYBGmsQQQro5TUVmtGeM2t2cKokcSE8MS1EmoLNaONT71ZOteV3Vqt7lO/4GLO - n8tJGZqo4TTCVhjy0DKruAi9MFtPD+0/OkmTWX9uqb70RP/xudkDNRLNePOLeEF4QlK55O6L6+ky - W6Z1Uq/XA+rJmjzUPDRKJsiwKTw1toNerwuFssq64E/Pzo5k3MsGSmk/9JQshRD0Eq+lMqrEyFSt - CQRTyhgIRRTK49xFbr3W5oKAxA3WOn9y9UhwlFo8F8wmmuRFgnNMhe24rZDXwFIQIGTmB+5we+B5 - ruOyQpfrO5uzc/MCiSfPnTq40mYcB9S3lIA1jDHMiLUVs/ElBCGEESpFmowTz2CfsJoTNsOGLiTl - FIB42OeEJ2UmsfRCL0tLTlyHOsOdvtVmcX7+qqVrLp5aT/qxKiWlWIgCkEmSRCnlOE6lY9o71QDA - wYMHjdm9Q2UxyBjL87wSRSqlarXa9NTszMxMlmUKVGXZIbVkPtfaErIrzrLGVg3OVeVaCJEkCQU0 - Pz9/3XXXCaE0AmttlYnhnCNMu91uu9MMguCqq66ampqSUvq+32q1Ki/r6tGyLMuKVAgR1ms7Ozt+ - oxY1GzMzM2tra9xz4jheXJrvDQbW2na7jRCaTCbDfNhoNDDGURTNLy921zc//v990oAVRr/2Da9f - 39lyKIuajVfeeuvvffCDi4vLU1NTp8+dBeZcce31T5y5cPDoNYVG/+FXf11rvbiyvH9laRinDz78 - qMFEAXrv+/5j4HkHDx5stVprW5sGrOt7FsHJRx85eOgQZhTwrt2evQQ1rWZphPFeAtyg57ZwPXNb - g/au+L8eJfUP8eJBNWgMGGOslJJGWWsdx0EYK2sajUaWZffff//9X/ii1rrVaCZJcuLBB9vtNiHk - qdOn/uP7/5MW8sCBA4PBwPe8M2fOuK6rtGaMUYfnee667iSJLYLpmbl+f2A0rG1sPvXU6UOHDoxH - McKwMLs4GgyvOnq1UkJavdPtWle7Nd/1vNEwXj609KXj9//AD/xA3fF934/zzAS8P4kNQg52UaZ8 - x8cOkiAymWFiosiv+UFR5q1OJ84k8wKPedoa5lErpdXKYjBGP90OeCkBaL/hyLivgh9T3RJexo+p - riX0XP3n3k7/bwU/5tlhAVsEFhmDrMUWWWPBADIMYWwRmKrJkWAgGEipJCGuxoA5o5QAQh/72MfC - elhpu86eOjs3N2c1tFqtA/sPN8OGBS21qLgmymhEMGE0iqI8z5988ikp5dbWVuV8FsdxkZWdemdu - cX5l/3xWpMgaSnmhy+Fk3PB9ZZVEWoHWyFhkLEYajOd6UpWFzlUhtSK1qB1x6jG/ZWtntk6ffuzM - Pffcc8ddr82T1HFdSikYwAgb9YwvaM9yxT6NxdudzLTWDnMQAMqsGhcz/lTDrx1pH/rEX/z5g6dP - C6N+4kd/4lPdLcYA+67D0HAyYiHPQXjElUaVRiiJMeWMAPMdAQl3HaGkxQgRoq0QWhNGrQUMiDHm - EK4m8vRDT43X447bCXCECzx5aCwyVcR573D/1Jmnmp02IaQ36F+YvZiX5WQyqXoqq45Oo5TLnbW1 - ta0DW0mWxnk2Ozt77syZpYVFKeVoNFqdvTgajQpRnpk9v76+vr6wVZWekbXD7nBremM0nIyKeOHA - 4sWdC914J2j4hc2VY/JYtA5MUeQYENZWXg67FVqDwCJjERi7ixYEY0UuSGGmo45Xc1a8RQT8THp2 - PB4RxeWODpai/vaIRI0wrLGc+CQqxwqlhiHCmavBEmmNo0suW42mwmJuef4zn7zHAD4NaxM7GPXP - AZWYcKVlqQRFlGLQyuAX1ao8N+I0DwKPez5yGbPYShjlUg16Z06c89kJqTQOGW1z3CYWYWvAd3yk - aJmKVth2Hf6RP/ij3/+dP3j7nW//qR/7iVpQ39neDoKbswlzXOb7fp7njuNV1aL5+XnOuRDi2LFj - aZpqLcMwHA9HxhjP8/r9PmPs6quvjuO02W5defRqYTUixCKo1+v6wm6noLW4GpZ7M4m1tlqzHcfx - PA9pU4Gwy1ICJWEYJll68uRJKWURp1NTU+PJ8Pbbb2+1WpUvkpTScZxer3f+/PmdnR3HcSodw/XX - Xw8Eb25vubVwY2fbgm532ohi7jjnzp1rtFp5nidJUqk4XdeVUlpjOtPTc8vz11579UOPnzQAjVb7 - Nz7wm5gxQ8h6d+eVL7/lxIkTW8PhmY31+774xde+4c5EqFEmnKi578jRtZ0eIWRjeNILI+y6UwsL - r/Cc/YcP+426lOL+Ew/meR61m2GtlmnRGw4QxlXrpLXWWHt5Ag7ZXfP5vVonwNPtt0/Pe0//2zyd - g7GX/ejplelZfwP83WTpfmOCIkDVZ1WtiAwzACjLslQSIaSUGg6Hd7z6NUKIIsu3t7evueaatbW1 - bre7srKSZVmapW7grz/26P79+xGjmDMkwSDY2dlpNBqTJNYGvDCgDndUEEXR1s72gQMHOHc3NrY6 - zVZ/OOCUjScTrVQYhtxnsRzHcayzjDJnc3Nzfn6+TYLxdq9IBxKD67oYaOhHoRPtjLeidu3C9mrU - DLSBvMwch5aMGWOyokzyQudSYKQtatRCjDGWoJSy+JuWn6hAMQghY74afgxjbDAYqOfwY6RSlFL9 - XH7M39JBjwGwAQBkDFYGDAZjrSUWwCBiMLfURY6HPZe4DDs+9Wym81K0aEOo8t7P3RvWastLy3/5 - mU85jvPym14ehmEaZ+12GyEoioIyXM10juOUZZmmqVLKdd3V1dU4jgkhR44cmZ6e7na7J0+eXF5c - mZqZGQ6HUzMNx3GLNC9FyRyKXFcZraypJhtkMQZCDDZAhCzBWOpx5nJjMAYkpcrLbJDL/k43z/Oq - 7alWqxlmszTh1IHK1Y/Qqipt0Ytl/pAFI5XOdI0FM9MdR1CUAgd29tEzDuO+71GgoIzMFfJonmdG - 2n7S++0//e1a6dPcrztToJi2SIIirrE82xz1bgjc1BaiLIBqTEm1zSWEuNhDwq6dWvt/f+9PZ735 - QIcRigZr/aP7j44GQyXE4HxvdX09rEVSykIK1/eUUnEcY0IwQpUpnRCCEUoAPfbfHm20W5MkNtYS - jJ9CTwGAFrLiNRei9Ko2iDAsi8L3fS2VVeYJ/KgslTDi8cajqUhzlYbNYCzGQ5hEjz/+pn92l9Nw - DYG8LJFVrsONVAZVAh1kkMFQbYqBYuo5LjVWDYvj9x/fqs9HfpP4LKx7zWgmpM2m32kebJTjJOn3 - XCek1pOy8Ci3GFPDRFFQ0GlaQk1tbq3bwApT/srv/gpQ1rlmsbUQtkJHWEMIwoQagpBBCDDlxJiX - kIkxCMJapIwRZQ5WlRZRCYHBjMDs4f0Nt40IicssxnFcJsZDnDjV8LPaFKJ4y5u+8+f//b/9+P/z - 8Yg19i3t73f7V155xebmJja2Eu80oprWZs92sdouVHyBw4evWlxcvO/eLyilyrKsPCCjKBJClVK0 - 2+1Hnnz8W771NmCoPdV5/PRjUsvqaCSlpIRgjBGQvaR1Bb/mnBshK5YB5y51naIonnrqqY2NDUop - p1RrvbS01Gg0fN+fTCZRFJVlWZ2Nz507xxjjLk/T1PUdzrkb+EEQjOI4CAI/cLv9XqnE8spKmsWE - kKqHpsqbEiDD4TBL0/5wMLu8+MbXvy7Ji6WVlfMXVz/ykY/UWs0kSY7ddPPSwf0Hjh6N4/jAoYOP - njnTnpvZHgxp6Ash7nzb22q1cHZ29jd/8zcVQdhzVjc3yjJ/011vNVozRpMkue++++K11WE8RowC - QtfdcKzX62lrCKV78zbA89SIn8ng3o3n3cH8Q3xNgmLAGkyVEqCUGKOUUlmWMdcJgsBa22q1sizj - nN9yyy2HDh36z+9/v8N4q9NmDheTMXP4cDwKojDJUsKoAYsItgB+GDCHE4cBxkLJ7e4WxtgiM0kn - Cwtzp0+fXlxezJJ0dmH27OnTrVYrL8pCEMCAwHaanfObW7NznVKWRS6e2FxfnJ6mmEiZS1oqJraG - mw4Zzs1Mj5JhBbRuNJpCukqLqN6kjDPGPA9pIKHnCSW1lkYrlxKLwRpTtWcaa9BucQfsM0whv16x - +1xfO36MlM/Pj8GAEEKVLunrjTp6aecDi6sWtl0HWDCAtAFDoILNYaII1ZQZxgwlhoJFcRJHvOZQ - pxqiZVl+3/d/79bW1qMnH11bW3vZtTe0m63pzgznHAMRUpZlYS14nue5HgZiuS3LUgk9NzNPMWs2 - mzMzM71e71N3f/rzn//8HXd4t97yqoPhPg1FmqSe61sLaZq6HqUIKKIYCDKYaMwVwxgIxkCQsgop - XJEwCllgSwFwY6px9NorMMOW6AQSxliqsiphDsZqrTDGgGAP//oCXwt2KBOF9DzPGLQ56Lmat2n9 - N//k9/7snrsZoZbYMrD7ju2niDHisIA4fnjenv7Ab3zA9gBSaHiR0jhJCuxSxAx1xMINS7GIcUSo - 4YjiQgiOEELISJuLnBfMpna0Ft/8suXJhXEtqEdhqAaKF9RI1b3Y5dYxEyNyEYSBTazPfcaZBXA4 - z/OcEOJjDyOcjCeLM4vdbj9wPc75YDCYmpou87xU4LOwKESDukRTA9rVnoMcVCBqqO+4oig5YaET - FuMiIoFriJOzvEwYomun1gMaamIlEsJYBKCUuizvoe0l10RkscoyTcBTTmCcfH0Uj5lhxcpVh2kY - 6FQlG8NsSvzAf/+2px57/N7P3oOxg7mLLBhAIhdSKAy2WatpLBzOm826cGWn0zkyd8V2f1DUrdvE - suxrI7SxBoxRGhmEEf8qYJNSW6k1woQSYrTSxgoLiRJR1BgkqTFWgPTbkbS6oLgsC2utY3g7apg+ - XHfVtb/6C7+6uLgoxuLs2dOD3lCW5Z2vfd2ZJ04VaYYtKKExJRhjz/PCMKwmluPHjx87dmxpaWlq - amp9dW1ra6tSR1JKjTHT07NrG+vb29urq6tTU1NO6HampyilyighhO+7WVkg0BW9c68IXk1WSZJY - qapVHGPMGBuPx2fPnvV9X0pZCTBvvPHGypegmseqOlQ1y2mtk2HCOb/zzjvTNNVgMcZJkiBGo1rA - GHMDL45jhFCleIiiCCGUpqkqVbvdXpifl1rVWs1HT58eDAb3PXh8dm7hTW99y2/8xv85TCaHrj5y - 4uTJJM6WlpYe/+STt99xO+KYBvzWV9924cKFhx47KWTR6XTm9i2s7qwrDAeOHnE9/sipJ7Ik8Tyv - 3W4vHth3avW8QaCUHIxHbhT0Bn3uONXpqHrL1hitNUG40hNgjDW84LUNANV4vdyQ5Bk5mMt+8Rk5 - mMt//+/4BujrkU+iGnSVIwAAIUShBPdc3/cRJUmSVF7KrVar2+0yxobDocO467oAsLm5SQiZmpoa - TcaLy0txHCujrQaEkCjKTqfT7Xa56wwm49mleRODF3plKQ9fcfjUmTMzczNpkcfJBBEc1iOLUBAF - lLIsi33fHe+MDi4c2NzuuswLwtCdI3E54T6JbZxk/fpUuzEViHE+kduBE+SZwNhLhTDEGIOK0iiN - hFAiL4RWHraY4rxIHco0gChL5jq7XTDVeo8xfKO4twihagUDBJTSPVNlfSm+En5MeRk/ZjgcVocq - KeUeigohBH8d/+abGhhZjKxBFjBYc6kqTIEwTagmTBBqEJbYClBaB45T4XWqRv1Wq3XixIkvfOEL - yMIN1x/73Oc+99RTT7361a9RSlkNrsur9IBSajAYSClbrVZ1UkQITU9P53n+iU98Ynt7O8uyQ4cO - JUly7tyZ/ftXmIvDIEjHpRKSEaq19h2HEc6AUc2Z4E7pcssVkpZYYQUGDIABGy0V4wzATMrRuBzH - aowQ0lQrK/I8xxwcxpVSUohqmjPG2BcVMmhtMWG5VJzicKbZvbDTaE4507Uf/dmfphaN4rHfclsz - rYmZJONEGOk59sZX3VT0x4emD+rYgCQWuDCKOBxzNOytrVy/3wYgrDBGg9Auc7VSoBBD3Oeuh/yY - xDAE2RNt2vzHb/1Ht3/L7e/+8X+JnTArs0kyabemhRBClQHxkiQhFozBxhgEQDUBYSwAomi6PrV9 - ccv1vYjX+v1uJ2jG3XGr2SzGuQFthCIeIwhDaR3HMUYJIUAbjLEWGggJuFuKMvC8XFpWYEfwuamZ - brfvEqefjSVVjs8IxkoUCFEMBtAumsgggwATC77vU4mxsdSiIs6FLbjHOo36atwXMa7Nzhx72U13 - fffbPv5x9+ETJ9JxrGRRaEkow5z53HUwYhxG/QFeYdbaJEmMB2Uq/chHNZuKIZQZ44hSKq2x1mJK - CWFK6Rd0jn3hIISwSrKsjIOxQ6jNlcPZxc2zaZI7NS+a2cfBtdQoJRhBVtssydt+8wtf/MLMzNSF - nTVroN6I9q2sDHcGletQrVazFjmOI7Wq6jtVV6/neSdOnLj11lu3t7fH4/F4PHYcp2qaGQ6Hxpgo - qgPA+vp6r9fr9Xqe8ClncRwDBiml49RJkVcbDkQoxlgpWTk+VpMndZzKMklrnWUZvZSlIISMRqNX - vvKVVfl7fW1zbm6uLEvf9+M43tnZcV230igcO3ZsPB4fPXr0wZMntre32+12nGej0SiKIoPseDKJ - osgiVJWTGGOO43DCrbUXLlz40B//0XgwLMu805muimi+7zuOMxwP//m7flBqXR38rr/++tNnz0zS - hDDy87/4b1ZXV6tXW8mkCaB9+/a99z+9N0mSyXi8f//+tbU1Y8zU1NRkMnHDICvyUookSSyAEKIS - PFbKr8pSitBqh7dLKn+hqKSIz3BkhMpx9KWOoH8hg9sNAAAgAElEQVSIZ8RuY+/egscrMlKacuzu - ylM9bzweN5vNhx566EMf+lCn0czzPI7jIAiSJBmNRgcOHJhMJnEcVznGJEnGo9HCwgIAlGW5uLLU - HXYtspQTz/POnj8zPTOjjEyytNasCSkxMnEad9rNJMk8zwOlmKZJN6m7LWSQKDRYAz4ak7HwS1rD - m+lZh/rNdjS4sIn5lMfr6SRpL8xuD/vMD/LCEOZjREGrTi0UoEbj2PdDpaTGyGK8K+u3thqFVUtK - 1ar29f6sq+0LQgjQbmm5ur1yTftr+TFlWeLn48d0ez3HcYzWe0maIssZY67jZlmGKKlO/3CJH/P0 - i/mGBwIwShOMwRgMxmjpMKqMtcoig1zkEoFIjjhm5agQqWjX/SLJWlF7MIgJw2kaF3m6f2Xfe37+ - F2ampjGgKAijIKwcfACDUopzThmJ49hoTTEq88zzPIWR4zij0QgAHEbrUTh/041nz56Nouj+L39x - fnHGDULGiJbKc5ydfrfVaWqp40EcstCRTqBDJ2bEwV6tmZZFrCZe218bbPh1F1OrUYkoyrR2Z9i1 - nWsBGY2N1JJzarCpHO/27AMxrhz+nieqtIIluDSWuqwEyETszNUHKOtctUQlZog2+XyaT6xDqHEN - xtZSjUyi4le+9hYuiEs9WehMqLBRL4wqRbaMmsaDHs4NkoQTI7WWYIQNmOtTv+gVdcYhMaHjEYmW - Z/a99ttfE/LoigNHLqxd2OpuctcRZSlK5XJPSxN4oRACGeCEWWEYXNLmWChzUY8agEyZZKETWGU9 - 7pVJEbkhAPa5Dxp0oUIn0KVCFjjmGIEsFfdcrXWe5y5zZaZqfi3NRqEX7AwHDnJMLt2IGky0EoSB - 0ooQbCxg0AppRABjjLTVVhmNwBKgTlHI73rnd8+E7Watc/zJh/i8wxjPTL45WP/lX/uVL3/hi6WM - 61O1jY0N1/etY7VUW4PRyvxinI14wDaHO9xlUIDQIsC8KEtrGedcCVztvQwmewb1GGPQL6GctKuP - 1YYY6xHiMT+fTELiPvnIkze/7tof+8V3BbWoAPmh//ujj0we6XV3mnNNbRXGmFJaFuXJkyd/8Ad/ - sOyq648ee+t3vtXl+WAw8DyvcF2ttRCVx/hu0ccYwxj79Kc/Xa/XP/vZzy4uzhtjVldXV1ZWqind - dd3KQcwYc++9986vLB0/frzQJSLY9/28zBuNRtXkG/iBEKIsS8/z4JJGoYL2YkwuNbda13EqbO5o - NGm1Ws2wtrKyUpblcDhcXFwcDodV0ZwxBgB5nkdR1JnpLC0tBZE/HA4BwBgzHA7DRj0Ivf5woMFU - jfl5WdZqNSllv9+fmZmRSrbb7f379pVlWZSlwSgpCkrwKJ5YjNzA78x0Nja2CMMWawkScZTkMabo - 2uuuPHXq1K59I1ae75dlubyykpaTSTox1kzNdAajPnNoFEVpmvm1qNvvtdpthJDjutV7r/oUGaVg - LUEYEwTamEvdjfiS5xVUbhJPNy+CVopzbkxlnCka9XqcJNLYeqNRllophTCpvjVj9NeV7/X3LCi+ - LMFjrTXGVlkBxlgURVtbWxsbG5ywMAyN0p7nbe1sz07PVNWN/5+9946z7KrORNfa4aR7bq5bubpb - nSShjNQKSERZYjBRMBgMDs822Ibxm+cJZszzcxwnBtvY740B2zM44GdjgxiiLIINQhJCQkhqqdWt - 7q4O1V25bg4n7bDmj1NV3RKSQPzAnuD1x/3dunUr7HPP3vvba33r+37hF37BGDM1Mel53uLiouu6 - ufI0ADSbTcZYtV795J2feeChB5VSg8FA6z4iAqPZ2bnFM2ellJ3O2hvf+MYTx48/+uijxUJ44403 - +ii+9KW7gnKj1e4hF/VG9aYXXe1VIJgT62olC63lVg/SMoaTrMo7wo9rRrm8GNZnpt/zu78nhBgO - I62NIGTWeJJN12utXs8CEkkhJVmTt6vkXiT0XVKL+Y5jO32S/z/fsX5MnjPL9WNyKapcWEWTPf/c - /49PYX5KCCYICQiQwGilkbIs45oHbkgD7enCbGU6WzNvfcMPD5qRGdLExMSw26vVK51OZ9+evcvL - y/1ub3py6m1ve9v6+nq3211cXMzxqOd53W43ioZSylKplB/1BoNBp9PpdDrj4+PlcjlfOgeDgdb6 - 4osvvuSSS4QjK5VSp9vc2NgQzJdcFAoFMnY4HPpucN3zr3tk/rH7P3//bf/HbYH0lo6c5UU5PTfb - Gnam6rMD2810Kh1uwQIaQ5wxsyXLawktwLd7zNrOGKfKAOMWiIwxqZKe6wOzoAPpgqLuyqoMnGSQ - GceSZFK6wkVuYZgOGIGPKfiYSJWyzLhghSLghqmRjg2A7zmO4zGFblDghmfdtO7XcADSOI5x9FAt - jc5+6vZPCibn5+c7/Va+CFi7aUaVd+eCJQbIkZlv4oLk9JRtEyg4j7SY4zMkIDznSpFrQcZpAgAO - ug7nTAiOgoNjraJU16vVoltQWjmCGWAmTTzumkw5nitcR4FRlBpriAAFBwBNkFhlBf/4nZ9qLTXH - 6uM3vvxFUTxkqa9t7+6HvnLnFz4jCQuuwwgG/VGpUs6skVI6IOfvf8Jz0NvpbnRbUTQKwiAZJZ7j - xEqpVGvSjBhnErnIN6a8H56sfa7iGxwQCcHaOB5FSVoWPliamppYPHt6emaCCZmA7kO7WCxOOuMa - tEWMoshBx2fBqVOn/v3t77r2ede//30fcB2/2+nv2LVrNBoNh0POuetKY0yuGJ5zwvJ6dG4ZfeLE - 8TAMJRfD4bBUKhFRoVBoNptCOIcPH/Z9v9vtfvGLX8xIFculZrMZlsJOpyMEyzPBiOh5br5y5ue9 - /E+Q0jl4MkbnjU5XXnklEVprq2FpbGxs4cwprfW+ffvy3M/S0pKU8sorr0ySpFqt9oa9/HThed74 - +PjHP/5xhfTB//Inf3fnZ3/jt37z0isu+8AHP/j5L9w5PTt79uxZrfXevXtXV1cZsSAI7vzox976 - jp8+ePjw2NjYcDBAS2EYnj17NkmiqampiYnG6vqKReF6Mk4jiyrwg8Gwm6mYyAghGCeyqj5WbbU2 - hsOhEA4H3un1CoUCIQ6jKIoTy7FULodhmGXZ1jBNfnnzLWNLYm1L2wIx1w0iIrBEAIxAIAMEwVET - MGs4AgqeKJKInEi6jtHaKCOkIAvIudYagNgzrNLfiX3S/+oh6DzIl38eQojt9KDv+/v37//Jn3j7 - oUOHxmp1xtjJ+XlltOSi02oHnn/fffe95dfffNNNN+UddHEcdzqdRx565M1vefPs7Oxaa/21P/D6 - z3/xc3nWoVDwq9Xy29/+9le+8pU3v/RlrdbGZZde+v/84v/9q7/0y48++ohf8F784hd/5m9vF8Ra - q03icjjoTU7VL9iz66N3/uU+Preml8WE6Aw7O8ZnP/XpO8KRe9sNt0Wt9EN//DdvftvbfvBNP/xX - f/uxhYWFaqXuSs8Pwdp00OnGOmlMz3WHkbVWcA6IpE1+uLHWWksM8bvItfoO7rDtVr3t9Mxz0o9x - Pc/3fTpPP4bLc/oxmA9we0oQwKbew3dpwM8x0JIhIzh3uZCcg+Ache84NoIQC551HeNEoyH4sHJ2 - FRRbPrt09NDhK664Sgjx6KOPMMZ27pzzAvfAgQNf+MIXVlZWpqamvvzlf5idnWWCl0olVzpLS0s5 - NAyCICcPGWNOnjyplDpy5IgQotFoDAaDcrmcZKkv8YljR4xOdWYefuAx3yuUGpXp6cnWytq+fftq - xbrPgrvvu+e3fuO3jx954ob9L0hZerp91kokx+bWAVLiMBtJ4QIItBbzFppvSrc87R22vQXidlGc - oRCCG/KZI5m4wKsnzeGpR09Y7VhlFdHY7rl9Oy87PJg3AVsbbWx0m+ONMeOAG8okTSxa4wJ4lCEZ - psggY0wKwTiiZdoYplBFQ6awyEuDZu/afQemr5r+iPeRcrGcttLP/N1nVKJSnbq+Uy5UhBAqVUyy - XNbfkiWgXP0vH/uT9C2e5ik79+X5RPNtHgDaQsHLtCZFiVagiIiMIbBYLdfGp72dE3NmqLVOABEM - QzKB8LUlrbIMNDGLiCRAWwPEM5M5fgAMrrjlBfEgHkYRmwqNzgajLniwMVy5/vnX9JvtQwcfBwPP - u2jn7I65bxx+bGW5Bwb2XLS3Wis8fOqgEdAd9CfGJ7NRyoAV3MCCzlLgIBEtIiewSIDIzzUBPpfI - TEbGFAJP+A7Eju+HJx4/0V3t3PXA11WAiUpik/7t3318dv9scSxkASdL1XotayqLUKlVB9Ho61// - +rAZV8Pa+PhEv9sT0sn9yYmhBaOyTQv3NE1PnDgRx3Geb875c+ViKT8I5Ss8IvZ6vYWFBSDmSD4a - jS7Yv9svBO1Bi3HmeV6WJUIIowG2hGGQn/vMEVEbk7d2A7C8fXJ8fNx1/W63WwrC4XB45MgRKWUQ - BJOTk5zzU6dOxXGcN1pzzlFgEARcsrvuuuviSy/Z2Njwy0Uiuuiii0ql0rvf/e63vf3t93717rW1 - NSFEtVpdXl52XRcMENH4nt1LS0v1er3V6Ya+p9PMWlsOi1bpeDhyXTk3NxelSbfbJqsl5wxAq9To - hDHGkJdLoScd1/PQGF4MGXLXdeuVqjEGmOCcR0kqhPB8X0rZ3Njodjqb4gg5BwgwZ5RvZ9aByBAR - I8RNPwowlgCQMQ5o0syREqyxShXCAmapz/nZTntqdkc/io1GzjkQCC4ybRh/msVi24Ltn9uUnhIC - tuwQEZEhA7bpmJoTYsbHx8fGxu66665PfOITL3nRi1//+tf//LvelZeZKpVKuVhaPLXggeM7roqT - NE1rlQpaImsYYz/2Yz/2S7/yS/VqVWVZvdbIdNpudxGpWq7d85W7AdjU5OQVl1/1xc99fmVlrVQq - LS+vvvzWW3//N9/z7ne/++d/5deLpYLrO1zgKBm993fesxjNn+gcfXTpsWuuv+r4waNXXnn5DK9H - veErX/KKt//Iv/6dP/qABVsKAk+I1eXl9kbT4bZeKxUmC04YDOJECJGkRnDJOVdKw5bVwD95ZiJP - QuZc93zrfa76MSKOK5VK8Az6MXiefsw50sw/VRBjjKElMEhktVYmJaMREYPU3VhuD3rCL4U7Gjuk - Ca644uqFUwvNlbVLrrys22sfPz4/PTllMvOVe+5ut9tnlxaDsICIaxvrt9xyy+rq6r333uv6XrEQ - DgaDvAC/tLI8HA5938/XX9d1m+3WG9/4xgcffFBpVSiGQohWp/fV+79mdSpAzMzuGgxG7W4rSgYX - 79k3NTOdpZoy298YtRZaZazEy9GQxZ1u76Hlg7PPn3MbjDs8yzLf9zJtADRjAET2/M7pfNzPesnP - VyQkIqM0y6wgKGb8QpjumOWjR1ZcLCRxOrKqo6HyvKt7ixvOVJkBhWGInI3UkIuCgRQZtxISm5EU - JLS2KFEKITkiWSJtmRGN+mSd1z3wvv74/XE99cHrtfqdsFv3axYMk6zgBxatlE6SxNYgZyzf+fIb - 1W5XUp4+nqZY9rTInhEQ2eFwCJw5zHdclwuGGsBwrbXJCOLMjNRgvT+xawwZWMj6cSfVKRmtjNWg - UVIuWo3INEK5WMu07iUj0tkFF+5mvc7ASWKT1KerP/Azb5oVO37mB9958OsP/NXHPlQuhTdd9+Jr - r7v+qw8/eMfnP5cm9ENvectVV132D9/4h2P9J4rlsLW+4aDbWd0YpXpUpOJkIMHVNtGGgDPGNk3K - BTL7HHViPM/LtIqyVJvUZkoEfmlufHb//rEzG//izW/gXMRp3Oo3S43SMO2rKGacG2UDJ3SZ2+y0 - J6dmumd6M3M7o87Qc4OTzVO+7+cUjTzyFgFjzPLy8tGjR3P+Sq1WKxYLeZ9jnkXIC0m+73/9698I - gmDQHyFioVCYnZ2N02QwGISlsNFobGysZVnG0Mk5eZxzQ5tLynZz5TYJRqUJIiqjAZiUUmu9vLzc - brfL5fKZM2dGo1G+oOWnx+FwmHMrO53O2aUzR48evfnWW9I0VX26/vrri6XCF7/4xePHj+cFrDAM - 4zi2WyGYWFxcvOGGGx45eLAxM52qzHO9Zq/PrC0Wwm6ntRwvlcrlSr2iktRkCsymp4fHXZc5gFaC - CKRLhOvLK8ViyXf9Xq9HBhqNxolTJ4MgdDxPchEnSRRFjuvmudv8n8lJymSepJC6ndTniLmTOyMg - tGBsbonlMoHG6DTzHH7bK185PTVBRH/yoT9NAI3SjhOQNoiMrOWMbaKib4pnt5L93zbyclJu+EOW - rCFCrY0xxWKxXC73er0zZ85MjU++5z3v2b933+te97ra2Fg8Gkkp+91e4Hp7du82NrOZUtoyAJWk - nMBjbnujOTM5dfNLb7aads3tUpmZnpy8YHb3MB6+/z9/4MMf/vOJxmSlFN5z1z3XXHnVBTt3k7G7 - du0+fPjwK1/96tkLduzau0sRKKVKlcLUxPjJJ47NXTqxsDK/q3bB/Dfmd05ccM8Dpx3G3viyW6uV - OpO8Wi4aOzLpcG5q7LLn7TtwzVVk1CgZHT0xv3TmoetueZmMtdGZ0cpxXL3VHUdb0pP/4xBgvwP9 - mDTLXNctnqcfAzYHC9+kH3Oe2MM/0fhAWWOt5ZxJzjljyAVZFmDoc49VwSVeDWrxIPuTD/3F7bd/ - ulqtt5pru3bMtjaa9XojGo6sMoLJXXM7VtaWZ3fM3Mhf4PqesbofDx567OFOpyOQtdvtWq2WS44C - gBAiiqIckTuO84KVG658/hXNZrNSK/ej0bFjxx588KGFU/NJFI/6qlguoYtuwY07/TTOpqbmKuO1 - 6cmZclBdX1uamBqX0NtYXn/B9Teu2w3XEbHNtNKkiXFhkQExIGSWAVqzafR4buzn7+WbXinnlV3y - Fz1XkkKHiI2U6aV+AzBx3FXlYFZwfKFxAkpl8H3r6DirjJU7qmXBGmuZ4MbklXjGERNjJRMC0Sit - jLGMO0y4ju8woWO1Ea0XKSzLyqEHDtku6VhduPfCuDvqdXr1St0iRFFkojTN9KZS33mThef7FgA8 - vUkdY/QkWPbkeCrE8V1PW2O1SUzCrEQNnuMJV2YmiTrR8UPH7vjKp3/gJ96UsphLHg+UZYYH3HcF - Z1KbxChijDHGyUBf9YURQbmAod+zg8xTg2hoVYREB1529Tc++/CP/9SP3Hrzy37oX791ZW3pzz70 - 5x/9wn+77IZr3vTOtxjN/tN7/hNjcOPN189cPuv7ns708qnFj/z+R6Tj777p8mtvvmZqPFRaGW2B - c2BI2qCl5yrXaxGiZAScgQTueSL0O5BSyDgHO+a1eMyAk2N41fP8QuZkJrPSFWqotFUaVKZ0kmRc - OJk2fqE4f/LU+MRUlmlEjsDz5Guh4KdpGsfx2tqatbZSqZw9e7ZSqczNza2srLSbrVytLj8OnT59 - +syZM+OTE6NhTEQXXnhhpVLZODGfN2znMAUQkOUnH8zJ6bQl3cs5Z4IGg8GZM2cYE7HKPM+LkpgI - wzBc6/SWl5dzTbw77riDMVatVnPaXw6JsizrDXvGmCNHjoyNjX3yk58s/ENYGquVatWjx478xNvf - 9o1HHmo0GmEY9gaDOI639eWyLDPGHD58OMuyVqt5wd7d3fWm77mgrVE69MM0TcuFMhqIeqPAL/hu - AJoAIZCBBKmVbjQa1tp2p1sr1RCx3+47jpNG6dKZJckdJLa6tFosFodxpK0pFot5gV5ykeqUrAWW - qxRt3fZ5LYlzJMrPZ3miG3MXdgKOKAAYMGTc5eLGA9cduPqqXq/36U988tTahuS8VAj7oyFjTBvD - OTdGwz+dFMj/dJGXkzaXJwTMnWPzTyWH3o1G49ixY7fffnutUm00GsvLy9Vqtdfr+b7f6XQG3Z5k - QqfZWGO8PxqmUdztdHqDTq1anT9+/NSpU9def23cj9rt9nAwGAwGuXD+8SPHVJzMr66NjY2dOrVw - +sTJ5cXlfqe/b9fuwWg4MTt5ZmUhs6bRaHT67YVTJ1/zulsCV9x351e//PA9iU596a0fGf77f/Xj - 1bB+6tRCIRhrtdc9xpGyfre5cOL44UOPIpeNqckdey6sz+3UBi0gaYMA+dyDLbiwjRj+Cff1vJaU - ZwvouevHCCnb7bb5Jv0Yq3SejNkeLPxj9WE9S0gpjQFEVFpnVgFKo8hYkiRdJerFRtmt9DvDH3rz - jxw+fHJxZXlmbjrRaaFcGsVDx3XRAZVkZ5eXfvpfvRMRdZoVSkXOuSVa31gNw7AYloNSkTsyVlmW - xIAYigJKETiyOxysLC//v+//Q7I2TpJCELQ7HdctZFkSFlzVH+7Zt7s36I9snMVptV6kztCQzls6 - Tx8/ddXeS0+ePglVuvySyyKPClg0NhHg2CwqlINIawZs094Y8mZy+x1oJo+iSAAPhF+qhI3Ad6CQ - 2EGpNClSJrhT8gKOngEqeMEQVBonsYoNM64fCOmgtsYYsMzhItUZF1IQEXDiaDZb46xKFaW2ghVh - JFP41le9JYTin7z3jx5/7FCj1nA8t9PvJElWKlYCN4ijtl/waLOpbjtf+2yjetpc9/mF/E3nly1a - DCnNOGNSChkwK+NhnCpjVKrQXHTZRbddd9ueK/atDFcRUcepRM8KacBYMmQQrRBokZAMFhwvibNS - ISSlHc9ZXV+pjo+PVK9cDk3fFMb8/Vft31vfYx12on+mMhdedfOBen28Mju+FK27Mrj59S8PC35j - uuaOieZovdvroAYJLJB+yS01yuOCZQylRcWIwZa83rOAtWcK3/UyMplOGTIhRG/Y48D72dBlnk76 - jWqj2+8jmPmVo67rOIGQjHslP1vXic7qjbG1Y+1Ov+8WC9aC1rZSqUVRQnZTNzZHnDkVbGVlBQBc - 13Vdd2Ji4oILLuj1ei1qAgAi5g4ABw8elFKORiPGWBiGV111leV0+Ikj09PTo3iUty8FhUArAID8 - 4MT4JpLIwxI1m83HH3+cc2kQfN9PstQYKhQKnfXmxsaG68k4jpcWV4QQ+dEiCAIicl3XGEOMNjY2 - uv1OtVrt9/uG7IEbb1hvt+r1+tVXX10ul0+cOBFF0bZQVp4+R8QwDOePH5+7YFeaZadPny77hd07 - d60uLt9w4Nr9+/fff//9szvm5nbu/NoD9zPGbrjhhoITpnFy80tfel9QieP4RS960eLi4pEjR970 - pjcdPHjwgQcffNWrXtXudu666643vPFfjkajv/j//5IhOlzk3Y757qC1zoGU1lrgZs/5dtvE1gWx - uekvWkICBigAATDVmedKj3ObqvXllZPF4rDfHXZ7w/4ApUQCDoiAeX3KWsvYcwTI/xvHORDDcoHG - rR6WXASiXC7XarWXvPDFS0tLkouVlZVqtToYDBzH8TzPaL1rx87f/u3fuu01r330kYP9bs8vBBPj - 47d+3y0v+76bgyBYW15ZXlxurTXL1QoQ1EvVKIk/+jd/W6/Xf+c9733nO9/5zp96x80333zrS7/v - ox/96Gc/+9k//MM/3L1nx+2fuV1zZbhdXD8z1aiXAv9vPvzXN77ogOiJV9/w2rvvu7e90X7FzS99 - 7GtPtI+Nbnnh9x87cQxAG4jRxvGwVSq4nKOy1OlHCcAgiRq1qlbGkdKqTCuV34jWGMYYbvlXf1f2 - 9u8MB52fFvqu6Mfo7Jx+zOaWs7UDfdfh2nP9XUrrbZ0EIRzpeFYyR/kslZ4TZJ2sN+wL7sxMzF7/ - gpu+8Pefz/RovblBBnbM7Bi0+2GhkKisVg64EERULBb7gwGBkZ47PjWptbbMEqf+sGcRHEcAZ9qq - QTxwuHB8b8++3WuttXql2u6342QkhSuEsNbJtAqKYbvbIc64x1Olmv124AetXlumIyrg9PT02tqG - 73rWtTrScZxZz6pUC4dLp2ASw5mwiEAC8h0az7Vbfju31zZ5JCj4ltgo0jYZtZq9e75y8NDfP3z6 - ofl4Y5TFmSgIf6p03clv+HPhjudfwH05Xh8f6mGWqWE/coD5ItDGJHEmHCFRCkNIIISjwKY6NcaU - glIpLI+JsZ2w48S9J//Lf/1Q1knifnTpCy9dX1lXRjmu43vFZJQM06hWrGnUxiiylgHPs9zbjMZn - Gta5xNL5JMSnM91lZLkFA1YbrSlxkJOFoBii8Ibd/vzhE3fcc8ed93/uJ//dTynQBkwnabezdoaj - LNOKNOPgCIEExhDptCCcuNsPHKniqF4KATKdxEFYHqLq6/6O5+184YGXLKvFBztfjStw4FUvrMup - FbOxurFuQb345pdxwIW1+cXVlemdjWLJf3z5UKMyxpnHLHSbnbFxnwkhOcvAgtUSkTEka5E9hynA - CNI4FkK4yE2mIVOhYZVSxQklAxkNIz0YeAbK1YonADgoSofJEK2ohnWeiHa3G6fJeGMydMqd1c5Y - fXzQH7FZJ//dbFNI0+ard7fbzaVKwzCcnZ0FgDyJG0VRsVh0Xbfdbuflp1w/c8eOHVrrVrvdbG4C - HWtsvoUjbmIj2CpM58ckrTUC5B2siFwD5bK8RNhqtZIk8X2fcRBClCer09PTOWlPKZUz8VdXV4Ur - EHFmZqbdbhcr5fX19XvvvXdhabHTbf30T//069/4hj/4gz+Yn5+/5tprW61Wv9+fmZlZWFgoFUq5 - DoXv+yYxZ86e3jU12yiVSmH44pteeMstt8bDaGxi/BXf/yoAniTJq7//tkqhtry49IbXvcmT4dra - 2m2veePBgwdJ8+9/+WsCN9xYbb31TT+40WwunFp47atf0+33/uZjH42iSEiptCZFQghHSJMpKSXn - YvtMyBAtkc0LSXkwkWN+BGDEkQi1JWulkKCMJSsFf/yRR8+eOGFUyglJaccPoigCAGNMjhGfuVb7 - P56VzHOM70WqQDBA2lLsJSJjDSillCqUilrrVqs1f/TYi2686Q2vv82R7uHDh++4445apaKMTrKk - 1Wm5oT87OTUcDhuT48AwSRLHdQ1QpVr7/J92vhsAACAASURBVD/8/fe9/FYppeu7QoiN1kYQFJRS - P/qjP9pqtRqNBmPsl3/5l3/zN3/TcZwkSVzpWAtHjh7e6DeVTlDwYsGbHKt7nlcJKwsnV1516+tP - rS7tfP1F5XJ5dWG56hW55aeWV/xO/8BNL1jbWOecO1y0Oq1Cqdgf6WGUxGS9IDi7vFQKXN91Ndk4 - U9vgmm8ZHcMzpyi+SQn62d7zpHrBuRaMc0LUcL7pF22+DZGBIcpF6rgkzvJu4afox0RxMjY2VgxL - WariOPZ9hyyQBZ3pUljK0rS10RobG6uWc/2YmEmWqYxv6WyeO0M/HY75rsyNZ21SZ/nYLeblCTLG - AKGw1hiTKMIMBv1+tDzMmonQbvagrl0ydvbOs7NzU29564/YzJw+fvIb9686gcOBd02PENIsrRQq - pmRHceR51vFst9uVRniBo7WWwh3pJFGZFNyExi05K83lieoEMrYSr4qaoxlwl3WSXoqxKzhzQHKn - 2W1K32UBa9SrBw5cF/ql02cW7vzy5z938HPJxkA4ImJDavBHv37sulfcgI4iJEHIyRWaA4nNYTJt - mDEs2xL0e8aLQvgkzwEASJJYSBcQwBdQcxazdjfU+19xwDUOJzRoWMg3TO/S6R3Sc7kne3Ffgw3c - Ekutr3jJ+lZpJ+FpTH7R52QTk6k4S0EDWs/1kzhjNGTA+r2+EOKaA1fzEX3kQ399+tQZjjhWb/Q6 - fSfwHcez2mZZpm2mrNpU1ODnNFufJc4N6lvdVbncJGPIQBAIjmjJaJ2R1Vrrggwu2nPhSnep3+y2 - opbwZWu4bnyFAbiuI5ArY7S1CDynIhmlC55rjXJc2eysFxu18bFGd9R1pBep+HRvYf7gn+6+aPdI - RovrKzQ4M1Gfjm3q+B4y9uUnvuQxMbdjOomSTtzNsqQ8VX3Xr/8HBLFmhqlMR1kHheCco1ZkLROC - IzNaPR02e/pAAAJyhCQEDgiADFlQCE2mOtEADIRBIYqiQqEQRwOrDQJ4np/EfRdd5ExrPVapDKvD - l1z1suZS5+Dg8SzNBELB9TpKMYJiUDBKp5Tmf87zvDx7MTY2Njk5mXsnVavVfAOuVqv33HNPvV5f - W9twfc/3/b179xpjCoVCo9Fo9ZvNdjOsFjnHdq/re0UAUEo5jqOtslu7rNY69Py5ubkrrriiXK5G - Waq15lKkqbr77rsRMQiC4ahPRGNzY3v37rXWBkGQ+zflle7HDj+GiNoqPyxkWpUq5RMnTgSl4sTU - 5Mra2u+9732VSmV6dvbk6VNhGDqOMz8/Pz4+nmU6GUXj4+OdTifKoov3X7i+uLyg7dzETC6yEIZh - OSwzQB0rT7iloMiAq0SpRJnMdJodtJwDV4nqd/oFrxC4ARrucicdJskg8qWn41QlablcHgyHxVKp - MTmBiAsLCzrN8gR5fgZmgAyZQORSeI4rpQwciQSIKBhjgEbpLIlUmpFmxgJHCUBfvufe9fV1z3ek - H/jFkl+sNtttx/O00kJwY/Jj9qYy1nZqc3ta/XM8JQQHDsCIMG/qFFJYMq4nm831RqPRqFePHjvy - G7/+axfu3ddstlutVhgEWmvf9zKd/vb7frsUFqXkO3bsmD95Ik1Tx/FSpcKwFMWpMdZxnOFwUAj9 - fjIKqkWtrVMMOtGgXK/2RgPOmHRd6bpRHMdx7BaCXrtXr5aHnd5kpd5cX/UK4vjjR977xHHkLAPb - GQy9YqFQKHSarZmJqfWzS43JyUGkLQEjbbO0Eoa1SsXxw8ygsqY3GFYb45ZMJSwgGK0z6fDMaGRs - O/WRKeV5XpZlz7SPby/E25X+8zouIO/RsLgJTXLcggR8E4nb7V9icNN3FwCQGBIwQk657wZyyxmB - RabJZgSaa8NBCA7GGqWTiErFskHRbPeLfjA+NjEYDdfWViqVWpqmWZJJFNyyICgNO4N4ONp1wVyz - wzZa667vWoXRKHEcx3Fk3nTt+l4URYI9ST9G4Bb2/47yNBbOPzvYrUeym57VSMAskgVODDOjfCHQ - KEdwqxVDxhyjZMIDpzhd41YIw/NL/Wsv+kWyHAy5KA/QgR9Ub0p7cTkoZSPlOl4QFhYWz6LDG5ON - tdaK4zlBEAz7A0+6YRiurW3UajXkPNUJYzSMh3nPsMMdQUJnFLhhs9muT9SJNGfQbm3UatUoGXWz - PknwygEIDgz30kUve/fNDKBmi0iAfDLGpObWlac1N0obzqVrgwqruuDtKu1CwM898XfhTGi40ZQJ - FGkc55RA4FwplSeez2/gITx38znIQWtkLKNIePzKWy6/5mXP5xYZMWYZABhmCa1hZJiNlVZSIEpm - nYJ12MbwxRdd98nb/3rYH6z1Wv/ira/riSS1WlttmXGlNEahYcxxHe5WxkprwfL0ronR8ihKI9/3 - PekO+yPX9ZMkY4xxicYoFOiAs/m5fhtaSpuO3PjUV57yHoZgECwyQ4CEiNaYFCz5oSTY7FPavXt3 - yS9OjU+4nNVrpYSlRekkOgIkRbKdpOR4jhekpIdxhEYHjhxmke84kUnDWk1bMMpIUQACIy2rsULJ - WcFFJWzBK/CKSGBIABlqDcNwh4MAq3ZFjosBDa2wugoHh4cBEFwpiAmfaUitIkHEEMFYDRY5A6JN - 94MnxzfvN0gAyCzoHNUS5wYgMhYBmO8gUEwZD0RCKRIwwS1iltlA+oxkqgwzZtDq/NHv/f7H/+JT - 11x2eegW7vjkZ2thiVRWDcNBv0vGOp6UjjcajcDSRGM813O77JJLdaZKYTHw/LNnz87NzSVJUiwW - x8bG2u12pVIpVco75nYBgNZaeCJJkrW1tbw/QCnt+77ReRuBo5SyYFxnUz47DMNhtyelzEk2AJAk - SSWo3nvvfUIIzfny8nKtWp6bnbv00ksBQEqZZVkutffYo4+eOnWqVColWSpckaps7/5986dPOYGf - aYXAU5UBZ5bjMIkajUYu1hyWiqnKAMEpuINkKF1R9qr97sD3CkS40Wo3u13OuVJq6exiuRCSyoTg - g07blwLBRqOB4MiQAs9lSMVi4fTpk9VyLRlFKkkrYaXoh52N9vjkZNEr7Nqxq93t7JyZk57z0Dce - 6rfWX3jLLcePH6+WyoPekLSpVutri8u+5xU8PxnE+6/YX/A9QTxLIgboSC4E67ZbnSw621xzA19I - tzfoFav1dpLy6lgGmHJmkCVxxrxCRoiSaQJEnk+a87lltHUA/p89E/O9CKGMQs4Fy9ODBpGEK4Qj - Z2Zm1tfXf/e97/3Zn/3Zyy677Md+5EcR4H3ve9/x+ZPFYjEz2g18YUQ/Hly486JO1F9vt2Z2zC2c - PFVrjHeHgzRVjuM4HN3AJ8QoHVEGUsphMhyrNdrNFhi7a9euk/MnGWPCkTsnJ0ZJrMgGlepGc21p - eWVqcrK91pLSkU6h1++7hbBSDArFsNXaGCtP6NTEqVbaKmuE66pIlUu10WhYKrFUkQUghtWxuuOK - tbW1UtFHolEyVEqVqzXOeE5b2y6v5FTTZ7pG28akLLe22X49V7rY3KefGoyelIaBzTwEAAB/6rst - A0BgjCzSprNdXlaVCIIjB7DGKDJa2zgeuS53HPEU/RiwFA9z/RhnZWXFLXhjY2O9fj/JskIxNMbE - acKlIIQojoWUZC2wc/+3AeLfhQnCniEds0kTIWYNMoNoty4PA5uPn8Aqbixkm8xQsJwICJH7pMkx - KDQyMjGPEjvKSHkYeLbQdtoohUW7jk1HSB+dCIYu82OMmqJlGDHBMki5gAQTZTLJHYGCGZEpHVDU - YT1lM2u1w8RAdIDrxEljERsJQxGRRCOBELi1LKfmEVKGBk0mlGFGkSZjQYOrYens8hMPPvHA3V87 - efb0O3/xHU7NSSEFlwgMy4vl1sJ5tfPtfEZuFEdbFRpO+Z1mAazm1jDFBDuvQHP+XYqGETLjSG/U - jYWGnRNTCWSYYZEFEYtgZFhVOm6hGAS9pENkhHDjLGMec12faVaplx944GvRelKtV7XWGfFGY2Jp - aUVsutlbxr9Dj91v+VObZwAA4bjKGLRWMEdKToRxHI/SweyO2QceeOBFR2/68J9/+Nf+v1/NeGZt - R6fK2IwDN1pJECCcURTHSVarVTzgGqI4S5hAYEJbow0gsvycQUiWK83V9j9w/nN7Hl1s0+EPLblo - HWIMhQDGUdu8f5Y2ec5bRdonSRcSA7Sbj5tzYfMRyT5JPn6bWAOWACzS5kTY/HEGgEAMwGaZDlwv - 0yqU4dz0zOMHH33s4YcO/PCBU6dOT09Plhxv2O9Fw2HB843WRJQkSaFQ2LlzZ71e9zzP87xccSrL - 0omJiZWVFWttsViMomh6enpmZibLtCFbKddAcgkUqXhubu7MyoIhkyQJkZGeK6XMy9OMsdz3bVsS - wnEcAMg7nqQjy+Xy6dOnT506FYZhv9XZsWNHIfCuvvpqS+A4znZbUy4/RtZabT3P64/63/+aVyVZ - 9vj8Md/3M63iLC2UiqMoipPEcZxWt+NJJ0+cZ1mWl8ystRYIiTFAMFYZy4AZY544fowBGm0+9YlP - NlfWdu7c+dD9X8+04siOHz3mOS4APH74sTiO0yR54siR2em5OE6PHXlCKeUgXzy96ErnysuvEg5X - Rve7vfpko16rAIeZ2alDhx8bDJjWqlqqNdc3JhqTnpALJ+Z93w+kq4ZRWKw6wger0VJ7ZXUw6Kk0 - kggOQ8YRONNkFYElNIyBRRQsP+ABggGWn3stbBlM5ncXMYBzCkz/jGOeEgI5B4BcM0BKiZJpo7NI - xZ2OtfaCPXsmJibW1tb++I//eM/u3bfddtsH/+hPFhYWQj9obzSBs8nJ8WNPHL/0sucVC6Vuqzs3 - syNVGrlBiY2xxunTp0ulkkVeKBSL5ZIxptVqrSwt79q1q9furK2uFkuhdEQUx83mRmZ0sVI+unS2 - WC5pA0udyA/qjLvDKAVbsBF3HM4i6xiGmR4lo7FGrRgGWdb3mBhYMplC4J5fkK6jrbFgHI6j0YBz - Uko1ajXtu9baTJlMZzmbJE+K5mN/lmu0/b0cx8B5X8I3dc/mxbnN1/ItJwcleO4Vg8gACJhFy4gQ - LAABGADQfFsMwDJLjJAjoLW5vIEUYKwajkwYhn7oujG3moCM1ikCGthk9nXavZoUnuf6foFMuq0f - k9OB87FvU2RgW5QsJzh/5/fStxUGrUUyzG6jOyTLECwwQg0AhMYAIFmDFgA0ZCjQMuFyJjlKzoVk - rOQCkHaUx1zmClYAB4RfcDlnvusJLrjHhWYmUAYgw5RzMKiQACUhA7BW+kIKXnB8EXBrSQjmoASX - iFsOzHBLDhlBxMEAISMAVJaYRUdKRGaFAA6GtOHAkDGOM7umVxdWnKIXVP3BaFAchaIoLTNWn9c/ - b+326v8sl4jRNmqxgGDRMoL8cuXXZHPbRGvRAmllEixIJvipjbWP/PnHvvKxL7iAFuhvH/3SZTdf - e92tN2mE3nBUrxTjKHWcYDQaNYcYa7fb7b7uJa+J1pP/+O7/eMmeyxnxEydOTE5O60xprQEsEcEz - l+e/K6EyjQwYMmOMSiMilK5olBonT5588StecsWVV77/uj+6/+QDNrA2sBowY8gAkHEHBGUQWgFK - Uy8xLjMmM5k20lowBMQY55yTfvoW6Gdq1tt+PefUb4tcP+U92z/InrQk5MgDgfK9ZpvojYBs86yT - z24AoC0d+iclgbcEtTdfZY7nxUniiTIaPHTo0P/15v+TRvx5l17y0GOPL6+uJEHxyksvP947ahFc - z0vT1HH9OI4LhUIQBFEUVSqVjY2NvXv3jo+PCSHiOM6nebfbLZfLlUql3e5yoNFotN5pvep1r5WB - c3UcPXHiyNLKUq7GS0Sw1fkBW5wY2JKNySk1eYOYJYqi6NChQ7lmWG7PtGfPHqWU6wVaa8f3GAIa - Mz8/PxqNCoXCYNRPR+qFL3xh/nus0mfWFwphOD4ztbK27AY+Y6xerzebTQYouRDSTdOUiJCznMus - lCmEoVVaJykiep736MOPJElSLddIm+dfddVgODx9+vT4+LhVemVxSQhhtXnowW+MjY1ZbSYa48aY - ibEGR5YYMzExEQTBkSNHWq3W2aUzwzQ2RnvFYGJiYtfePXfddVcQBJ70BmlmlL78kksn6uOdVvvo - 44esNmCJo0iiiIzVWUJkVpZX0ywBsERoLXBE3JbL48gYGQJLhrZmO//2KCP/XFN6SmwaQG5JIzPG - ee5s5/v+2NjYl770pTe/5S3HjzxxxRVX7Nl1we/97u8Oh8OpqSkhxOTkNCK2O+1LLrqk3x7UyrW1 - tTXr2mQQVyqVSEft9Q1fOr7nZUpFo9HGxkYQBGPjDZ2pNE2Hg4HjONPT00tLS9VabXFxcf/FFy2s - LNUmGu1+f9eu3Uunl6UbomWjaHDBzh0ba8vFYmF9Y6nWKMfxUNlEMq/d3kgHiY3Sifp4p9PJtI6S - JKxULMNhHFVcyTgVwiCNk/WNVaMp8H0uRK476brudreelNKYb+vMabfKRnhuoXkSbwaf3KCxnX3Z - /FnaLG3meIgALLNIltDmd7LJVzwAREBtOSEDBGsQiTMQjjRGJyoyQ+Vpb2JyrNftR8M40VqAExR8 - o22e+B30hnEsy5VK4BWfVj+Gnb8kbRIdvucQnxAIyTJLwPMM1va1AQAEBqg3LxQSoCW0BgGJlOVk - mUVkyGIcAWfWAmBiSkACYj5SbipcVABGZkZoJpEKpGUKDNEaFIh5axq3GhRpIsOIkfFNDBq4JS7I - I+0YFCBdCcxmoImjRkNAliwQAJA1xCwYohSVAq1BAZG2KqNko9ccqtEgHfiVgDncCXxg1hIBESJt - csktnU/i/laRC/5uYxe7JaCXN3eSRQCwruSxSgBtM265rn/5i6979ate62pGBAMTjRydSYOcCoWC - FA4KQMs9zxsvjk9AffHgwt133521te/7WmvSutFo5KVGRAQCxtj31IwDAaQQ2hgiFEIwwY0x2pg4 - zmqN2r333nvNzdd8+u7Pvv3f/GQLOiM7HI5ixRxrVeC43NhsqC6Y3MmKbKV9Nu5HhaIn/QJjLFIZ - E5wBmrzp/FnjfJ0FfHLk3827Bc/fvJ+OHY9PfvKUR0ZgIYfPuFkmQLDcwvbikZ93LADlcAcAgBFa - QMiM9iSzCHv27zt99sz7/uD3/6r60X/3H979hTu/sHLqDJcCONvk+HOeKc05z93Uq9VqFEUPPfTQ - 7OzszMxUGIb1ej3X+67VasaYtbU1a6Far51ZWLzvvvtufvmtzOW+7zebzbyNAJESlWllckaU1jpn - nubZa6WUw/IKKWRZxl1HStlut8vl6nA4LDheEASzs7Opysrlcr/fzym9juPklgXW2tFotGffvnK5 - DACu6wLARfv2x1k66PYKfuCFhdNnTtdqlVJYzLsZfNfLO5VIG4O5Lo6MokglqS+dXF7r/vvv9x23 - 6IUZF77ntZrNWnWsXC6P1eoqzUphccfMrNa64Pm7d+46eXx+ZmZuamLy2LFjnPO9u/ecOHFi1+6d - N9100wf/+AOO7w3T5OTJeS6FH/pCylKptHJ2mQEqpd761rf+5Z99+K6//5KKkx0z04zQEhil0dJw - OEySRGtrDSFD1/X9IBSeK5MEwDoCgYNBBtbkqy8yJNzO5D1JgQaI/bM2zLOHyHfVnLKXqYyjEI5w - fW95ddX3/V/+pV+6/oYbbrr+hizL3vGOd5TL5dxxcGFhYW1trVQqcRAn509fdNFFD59+eKw23mm1 - K+VSr9VxXVelynFkwfPX19enZ2YmHDkajZbOLs7MzGitJycn+/1+c22dc57bAJ08ebI60egPB7Vi - +fjhJ1zmgTStTnPn3Nxac6kTrYfj2MpWhFFeyalWgmFvRJmtBUVfhpSmySiuT0wCE61OFzjjknMJ - pKzWeqJRHQxGKjNxkpRKJSllrsVEW/pd3/IyPWXFoi04cn5sLTz0FBwDsLUTbKEeArZZlkIwzDIw - tHUsI2QWkBFjBGgZIxSEFsjYDDgQITJjKUuzLDOJV/DCoi8k73d7OkkIpZA8TTLHdQajOM2M6yTh - efoxOU5F+Cb9GHiaI+n3JsgyIrLEclV+3Eq2A0OwBAzIsDyJagEsoQUOBKCNMUiGkOccFy6sJW2N - dH1gaK1VbooCyFgrNWPMgE4dZZmxCMiJcdCgiSxDhoiGW854CinzmckMR2YQjTSWKcsMcqbRJEZp - AtoCXhwRgBhHLgQSWWFBEmeSiFChUrRv51y5WHnBC1+wa2LXZ7/xWceT5FC/3yp4hVzik4hgS8+Q - nlly8HyH23NX7XwcfF4dEzDns2rX85E5QnoF6TkiXDu1aC1UJ2tYoI4ZOAK15kZrgUxprUhFUbSc - pLVazTUu9+WWyeiT/2jO3/zeSw/k0B/PoWgLYKWUzDLpeJVy9fTiGVMA7dnMAniesWAs6pHuL7b6 - xg08nw8yyUj6aBm35ysDW3ome/rt0Z7/QWzDlPMdiOg8Z5LtN5+HY56m6Qqe+hGyc4+bfH/LLXDa - bOAitJZy2hzbPhrlp5osy4qlkhpqpXVv0Hc976fe+Y6X3XTrz/zsv+WOvGDP7rW1Nc/zCKDb7c7O - zLgeG41GuYdJ7suRYxrG2MrKCiI6jhPHcb1eHw6HiBgEfhRFa2trYRjmiC0axq7rIkchhNaZtRaA - PQnaEW3rzlnYBH9aa+IsDMNqtdrp9MbHxx3k11xzDSHk9jXckdsOYkEQrK2uxnE8PT197bXXKpM1 - JicN2V/7lV99/PHH//AD799z4f6zy2dee9vrPvbfbucMjdJhUMiyTHJuGXOkVMZYICmlYDLLMsdx - HOm0m62Djzzyd5/6zNXPf/7c1Nzy8nKn2criJHZGJ47PZ0nS7/e77TZjLIqiYX8QRdGuXbuOHz2W - xUm1XGxtbIzimEvxta/eNz496TqOJSoUCuNT40mWzp+aL5ZK/X6/Wq2O1errZ9cOP/745z79Gc4E - aZOlqe95caYLnkfGdhR1Wm0hBKKWjuMGbn1iHBgMkijKUmTMmBQQrCVgMj/OIuQ4xm7eZvndRd/b - POj/GiEs2Px0wTmXIJEza61O0/Hx8dFodPHznre+vv6nf/5nWZJecellx44dq9XG0jSdmJjYvXvP - G9/wL6uV+nA4rFYqz7/iQK1S4pzH0XBsbOzYsaOXXHJJnCadQf/9H/xAr9uNk8TzvF27dk1MTBw5 - 9Phqtzs3N3fj9Td8+e6vcM412Z/7uZ/b/7z9/+Zd/3bp+LGrL79WkFw5vXTdFZe+/Z0/Pr94dOfF - c1rEo6Tb6TaRoOAERSfkI6pTJe2r2sRMZWLyN373fQsrS8RFp98LAg/Q9nptzvmZbqdWG5+YmIyi - qNvtuK4bBEGv18vNBxhjWZZxLp7lMm23y25vJ0+Ljp9SjNl+DyMwuLlg4WZ2dsvwD8EgANnt3DKz - HAm4FYxAkuBIyhJZZpTWpIERIgFnnOPS0tnZ2dlyuWiMGpphkgxdGVgySinJOZduu93VWpcrpfP1 - Y5TWQgjzzfox/yhpSkY5QCHYxAd5uYIB5U8QDeTpJwBmQCurmdiayRykw7Ms45KRBZUp7rvGGiLi - UgAHYkZ4zFqboUIERZuKO0DWoAIEDoicW7TcYVqlruPbyEgptVbErWWUGW0JDJFGTYwBIhARks3B - HuNxlgGARm2YMWjIGJ3pNMkeWnuks9Q+fui4Bn3DS2+0aLudLpOMc25y0bCt+BZIcdtwCLdqSAAA - YDeFzmELE1sgIERtrOcVAFydYjRIJIlWtDEzNpOmKQGuNTeGFEEAmjKHHLJMCEmWlFJpf6S1vuEF - N6iOGY1GQghAXF9fn5mZ05nKsozlPJ7vqbAQMa00IkPgWmtjFJGRrnA8/+TKyZte/qKLL774sssv - ++TDn3Eo4Ewi8MQSFy6lwDUmreGhkw8CwMTsxNgFk3GajWwkSh5xYYEY0bes3OXxFByzXfLbbirG - LfT5tGN41hQme/KTfNJbRsCAcnsKBmA258Im/T+/BThZAMukSFTmOSUTk3Cdd73751XX/sWH/toQ - O/7E8Wsuvky6Tl5hcRyn3+8L6eadCo7j1Ov1s2fPzs/PLy8vf+pTn8gleq+88koA6Pf7OVfG9/3H - Hj/039l78zhL77JO9Hl+y7u/71nqnKrq6uqlujsbSQhLwjKZEIIKQQdkccEFUK8C6qAzjveCyChm - BNTLyIzc+5kPXnUAERlEAUWGACEBTUIIJCGGJJ1OL9VdXds5ddZ3/a33j7equrOOQXBmPh+e6k91 - 9zm1nPOuz+/7fJeHHnrIUHz3u9+tUIdx1O/35xfmC1mWZYGMuq5bO/9SSg1o2GEO1cSU+hLquq4C - K6W86KKLpNSdTsejfG5ubjwZnjlzZm7P3larZa1dX18vy7Lb7bZbrTiODegoiibpeDqdRkn8pS99 - 6VnPetZrXvOaT336b/76b/56s9//3Odu7G9tOp5Xh4fgTrqc1doCEM5qprBDqFaaELJv377JZKIq - MRmPPe4oIWda7aqSaZ4Fnj/XnS3LMoqi0A9KUTXieHVlxffC5uzsxtp6kiSzs7N3f+MbfhIgYr/f - Xzy4f5Knx0+eSFoJ5/zwkaXbb7pp78ELl5eX97Tnm82m60ccSKVSTp2qKB3OtVTWGFFV00kWhJ62 - 1ne8KE6azXYhC2utEII53FplEACQAFrDtpn+Vu9ohc3OEHlnxXKexPW7sQOPKkaAGLD1EUmBWgQp - pVCSSFmHXGxtbVHEa659wedv/Fwcx9LIpNXs9/srKyu+F37qU3/zoT/54DOe8fSnXXLZxvpqVVW+ - y7/4xS+88Y1viPzgy7f+/Ut+4PuDIGx3OstnTl988cVvfvObp9PJW9/61oWFhZe85CW/8su/dPxn - fvrMmTPNVrK6uvJXn/jYxz/woX/9c29ave8+K22n3fQxu+VLnxiY4YMpO5uePXjxgaqqTKqW7z95 - 4cKR0cNbT29eFNNkdW3zZ3/+33DOpfVZ7AAAIABJREFU3SB0PQ8ZrWRJmUOomWm1rcW11f4kLZqN - tpQKEeM4NmbXBeHJrFMeN3DrfMkSPNK/61FfsFvUoEHA8zprtKRmdNWkGIK1ZImgJdQwqjk1BIBq - MHVwHhJlrTZaAyWUcIJEqGI0mdoYPc/DGMfjiVAV5VxJzZhDGYMSsyxzXF77x9QmDdu/fQct35Z2 - 7/T+TzX76SmdUWiBWEO3aUDb322AEItgCQJwQ3a3JLFgkEuiwRKrVY0eGcSyENpay0ihStBECEGR - McK11kZLQowyGgA4dwEIECCUAiBFVxsJxhqQEjRYm8lcEimkJAyEqgghhHsW0aDe7uoZBURjjDWm - DiC3ALXPvWYGqUVEoJQ4SJE1oka3273g4gvzPLeO1VYbYzwnOD8Si+yaEj1xZ2ABdmih58rU1rCG - ww65b3u5b42ykrOgnOrA+nsbswe8+f/3t9/z4N33DqbDf/eOt85cvMfjvhvziRqpPCXIALDRaOxn - +xuN8IsPff4zn/kMZrRmejLkhw4dWl/f5JRRShEQEfV3GInhnCujjbGEUddl1tqizMbpcGFh4eYv - 3PSsF1z53j967x/98QfHkPagl2elEIojIZZ53HctK1OhtSZ7IA4jwmWhNDgMtbXWamuJeULE6xGc - sMfM+M7HaXbnR/iExpiP+K85j4xptyeA23sTrQE0O7llxhBTL280AUWIrtczgHSbvGnqGGRCSJEW - IQ8rIfqDrQZpSa03e72Dhw6VUgyHQ4rECwOLUB+uk8mkDl87duzY8ePH5+fnjTFCiCRJ6tCiZrNZ - VVWj0XAcZ3198/jx41mWNTrt/nAYteI0TcMwrM0djDEOdWr2yfZ7P2+TMsaqLK9jBBDR4VxrffDg - Qcfx0jSNvWA4HE6ySX8wePUP/yjn/Oza6ubmpjLm4MGDcRQVRcFdNhqNvMBNpykivuoVr2g0Grfd - dttFRy74/ff8xzf/8i/V+XFlWcqqMkoR1zNKa6attcZarK36rS2KwmX80ksv/Zmf+Zm/+ujHZmdn - VSWY6wshJuMxQYYWiiwHY7VS2TQlhJRFUeZFd6YzHk+LPPddDxGXT55aXFgoZCkrEbheEAQKTG/U - T1rJ0tLS2bNn55aWDi0dOvrAg/1+f23lrCjKME5YEDAkWkgppMd57YLTarUIIdx1klbTC9wa5VJK - obWey6W21moKIKxBVBaItcRiTSXYXlI+ioJ53gH2jzqz/tes70QHtj1OIoQopfKyQE4IJ2EYSq1r - RtX8/HyZ57feeuuhQ4fq/nQ4HO7du+i67uLi/qUDB62xUZgsnzq1f//+5RPHie+66PV7vZdef/1g - 2H/6069YW1tTSqGFwWBw8803P+tZz6xPg+Xl5VtuuaUedh4/fryqqrNnlh+89x9MXrQD32PcKDXf - TbRKf/XXf/Gh6fG7Tt49VRNinMZ895v33Tu7p9s20Q+98BWuDj//5VsB7GSSZllWSuV4bpWViDZJ - kksuueitb337O9/9e7ffehd3nSiKyrLYdcXdDYs25sn6GKhVSI+3M87vYJ5oD9UzpMeKksACtUTX - q2wAsICGoGVoOVqGwIxFa7QmDnLmUGOJUbpQRlplJeqZVmc4HJV5NTvTiZJYCFnlhe/71mhrtZQy - juNSZP1+v9PpNJu1f8w2z5fsLC5xJx7yyZu5b0vVmiNmDDWgtiH3enrEqCXUIDOE7vypN6wAhQ7R - VimrLAUH3Qq1QzlQW9CSUaqAMsI96lplDaDj0FKWymhCiNHbUJPW2uEcDFprkFBCLCWMUMoY147h - DpeqqjssbZVUyiISxkEjUoJ1nwVAAAnBugsxRkspFUpKCeOMc2drMAhp6Hk+o5xwcEInHaec0BrP - eFTv8mSbGmv4/nxVQn1s1DZ69Uhie3RJ0DDCrCbMkogFalJ6HisGUwfIvrk9jTBCgKosN7N15kAr - 8VFhUaqVlZWNfE1tlW2v8eLrXqzH9j03vIcxxgnf3NwMw9AoXfvNf6ePB4sglKzJs1prqw1l6Lou - c0g6TV96/fUvfP41M4vNz/7d37KWyxuu9MogDjRUBCgopcqqEceMMa31YDKGtmsYEUoKJTzH5ZQY - qZ7wV59HcHlUjwI7zf0ufrbb7sBO93PuBz1KnQSwjc3UOqPt2mnZEai123xtMASMRtBINBKNoAjZ - Js4g8Hrz4zZhwvM8nRvXdYMwzAa5VTxJkjAMTz3w0NXPed7qyspoNKpdd7W2ZCfrajqd9vv9brfr - eV6aTg4ePJim6WAwCMMwTdN2u93r9e69997xeBzHsRCi0+ksXXhoOB6JtSrLMuox13UBsZYFMLq9 - ZXCH6023I5dBKeW6fillVVVhHAkhwjBMx9MkSe68885Op9Pr9ep140y3M+ptAcDW1hYhxKLDGMuz - 7AMf+uC/+ZV/WzuSX3311Wc+tlKn2+5dWEiacVEU9WvoznQGg4Hre4ioTG0kjQyJNYYTOtOeiaJo - eXn5mZc9PY5jpVQjSabTaU3oAQBCCKdUVBV33SSKpVZ1hJPW2hpjlA7D0PW8QpaO44RhuLa2RgN3 - ptv1ff/0mTNRHADAvffd104aXJL9+/f7vj/Y2go8V0rpe56hLHBdpdTMzEyn2y2qMogj3/dLXWlt - aiTJdXkUBUIQoQS1VgpL6rES1gnx8Fhxxc518rv1+LXdxNSnq8cdy1AbVffdVVVFUZRlmbU2bjRK - USFiGIbW2noWM5lMduB6G3q+LKs4iso8r2UynPMwDCeTyXXXXTeZTLKiAILj8bjZbC4uLhLEzc3N - Cy64YHFxsdvtbo1HUsqbbrrpD/7v39uzZ4+3wK1UvuvNzs5iiwghGo3GaDSKuiEA2MwCgNZ63759 - lNJ2o+37PgIuLS2xOEiSZGlpSZUpQYVgVlZWrr322sue/szZ2dn+YMulJAzD+ryVUtb0Xq01ANZX - Q6VU7XxQlmVt1FiKKoqicToVQnDH2SbQlVUYhsPRIApCRDRS5Xk+Ozs7ngwJIdZg3Rutra76XhAF - gayEtTaO42ya1iz6oigch3HmTYtcaBu4XpHnrSiihklhAGglLeXMIEoAUcpGKx6Oeh4nSZhIY7M8 - r8C4PAx8P50WUzOdabWx0Tx16vRMe05KVUmdphPm0CiK6vzIgwcPDgaDXr/vuq7Retfkt8wLzrnn - enmeI3uEf8yud+S35X5GLNQwPTGWEVpUgjPHaHCQhCw0pbKpCXikx1UzaPZW1jnnrutOy8yNvOF4 - evjSw2fOnp2Z7WjQW6Ot2eb8OBtHbkQNzbbSmaSTlzkSbHqtyorxeOz6vue4tY+ilYYCASBWA0PU - wjjMVUo71CmqYke9qRCpw+j2fUZbqzXW7cvONLGG04EgJXWDA0ZDZSs3dI2ByghwQKOtyry+OBqt - HcexcC7p80k2pt2eMBqzrWvb3mj1c3UrDOcwP2PRgtUMKUGwRhHufOGWzxEXJSjO3C986fNHrrli - 5tBc4jelyYuiIJoQEh4+eLAJSROiO2/6yoMPPjhdy+pWXlXa87yqqjhlsDtq/A7nVBBCzA6/2/O8 - ShTEAqXE5c4377n3nru+fufRO1/2Y6+aQjHS49PZSipzJIaA5ZyFcfC917xoxuncsXrXyclqY6Yb - +Ekmh1IrBxxEoqWm/PHt23c1R0+Gwj5GmfUoXKdmiJwjwJx7cluW+JgfaSxoa3Sdgm0QkVELWEkF - 1EXCtJKccocRZowqcsqY7/C8lLqqmOR1mqkiWSWFQ1gpqla7PR6PJ5NJs9ms4ZY8L1utFiJ++ctf - PnXqVG1zZ629+uqra35MvV6tWwop5ZkzZyhnjLFKlHNzc91uNy+L2mkTDaGUFFXluVGNytTvoQaH - pJTT6TQMwyzLsiyzFrOqBIDJZOL74Wg0Ch3v4YcfXltbazabg8Egz/Nplvb7/clg6Pt+GIZSys3N - Tcr53fd8/dChQ6dOnWq0mkmSnD59+tixY3E7WVtb45zffPPN3bm5OAzzPN9YW3ddtyzLmsjZaDTW - 1jZAm26nM9kain2FqsTMzMxwOCSEWAt5ntdKzHov15lfjuMYa2tjG4qk9hWkO5PHoigYpVYbxli3 - 2VIUSlUJIaIoCgJPSrlv3z6ORI7L2qfD4U6dpTOdTptxUpYlIaTVbE+zNAwjyngtCN2+w3recJy/ - 4Q1vuOqqZ1sw/8cbfk7lYpIVSLnr8UpqbTUhVGv93eikf3xtE0Eey/B4IsePqqqazTZjtKoqz3N8 - 37/t9r/XWiL6Z84sR2GIiF+/604/CABAGbDW3Hrrrcxx5vbMG2OOHj368MMPr6+vL+zZc//99x87 - dqzf7584cWJmbvZ973vfDe/87RMPHc0GYzGtYj8GoyoHDz3jkEdbtKxWj27c+fGvjkajA9190/Vx - dbFoHewoA4PRUILJZdHvb45Go8lwsL66VuZjz2VR6BJLDh482EpaVbkFxgJ9NH1v963tRkPXpyhj - LEkSUVbpZEqR+I7bShplWQqtxoNhDZ82gqj2m3EdRwoxnUyCICjLsl43VFW1/8ABo0yepp32zOqZ - lUzbJI7H43GpNEH0HHeYZy51ucsdxj30VVEVRZkkzWmaUdcrQQIjGsDx/VJpY0yr0Tl75qRF2urO - CqkQiBFQlEXguVprhmRhYX59ve/6IaXM80KpRa1HYIytra0FQdDpdCaTSd2haq3rXg0Aais8ZR/B - dH4SCuq3VsRYVgcLGUAghDtgQUubVyI0TuIG/3DbvU7Jss377rntLk459d1SC+vg6mBt/sjCm3/t - zauDlZKVjUZr0NuKk5AqGnsJZZQWdH/74DcevsuNHGNte7atrJGVIJaioTucydollmgEWqMjj72F - WcRtL59zuoDzv0yT3W/cHX4RgwDE7BIa7OPcwJ7CRnpkDHbN9VQAtZkQwDkNv0GjHQqSEaHyDG18 - YObaV3/v99rv455jQlJGJLelkZpxRIeBAKPtRn9jc7Ke2IBSOhgMyqmoG3qtDCMcdoAHgoRSKvVT - S2l+qoWIxmhrLABMJhPHcQhFoSohyjAMlZBlXtx55218xtfcCKfgIVDO860Jw8CJvN/5z+8ZZ9Or - X3rdkWc/7eH+8qA/SbrNOG7IqiwqFQdBJavv5Kt/1F7eHR7VA0Fbh9HtPlsrvgCMsdoAWGNNpS11 - KPco9fOirArBfaZQKilAydDlmRCikImXNHjiOE6apnNz82srW/UiE6ytV0S7HUYddzqZTPr9fhiG - AOD7frvdnpmZqSMh6+kPY2xzc/Po0aMA4DjOeDwOm8ns7GySJPUPYXr71lBzhGEHPjTGaLAAEIZh - kiRlmp08eVJpnSTNSitCSFbkjuMppZjFLMuEECsrKx/+8Ie3trZmuh2KBLRBxLIoms2mtkpbK5Xq - 9Xp33HHHffd/88yZM1lRXPC0i3/rP7yjMdN+8y/9UuPPmnfddVdNVJqOJ77vR1FUimpxcfHe++67 - 4opnPufZV06Ho6/cdrtSqhZA1cmvT6m01jvwJuGEO47jOI7ruq7LQhYBscYYykmSJKqykeenlXVd - l3NeC0LrtoxSKozJi8JYQEoQQIjKcZw4CLMySwfTMst9390zO+d53ngyqqqqzArPdYuyGg4L1w9r - gV6tA4dzs0gC5/Eyv1uPKvbktLRzRrQItZjEcf00y0opwjCcpuPPff6zh5cOvP6nX/fnf/bRuYW5 - Qb+PaP/tv/uVf/WDL5tMpyeXT+1dOjA3N9udn/vKHXdEUfTFL93iOM4znvGMH/+xH/vwhz98+ODS - hZc87e1vf/vLX/XKshRv+PlfWF49/Y7fvGHvRQdPHD3Z9BMSzCoZ/8WHPitYac46lzeeWfl5keVo - SW95eNvpO86GZ+dbC14Uu9wTQsiysMaIoiyyUkk0SkspG1EyHo/T8cQowYN4N0EezkOVCaG7MgSt - dVVViJhl2VavnySJ7/uDwSCbpkKIRhzvaXeKonCROg7vTXqO4zie34jitMhr32tt0XXdupU5fvz4 - 4aWljY2Nubm5Ub8vy9JlLAiCUoqqKDzHk9pWqRBWtKJmphUFBWCciBlu0zLVAEKUC6350ydPXXzg - YJVOD+0/tNnb8qgnqoIxKisBmiDSsiyJhZmZmd5gSAhIKRyPg9kmPAFAjdC6nuf7vjVm1z+G8nP+ - MWgsOX/9bQG2kfZvw9GGFkArVg9TtLEECTLCUFUKDLjEiyBmJQ9F4BrmTH0HOSm4BSJQTJbTwwcT - krGINCnNKSEBDz3iTwbjYqO8/MDlBNjK+kqTt47MHzmbrZRpJUF5gWsMaK2ZrW2aCa07DAIW0SCQ - nSig3cP9PN4SeQxT29g62A0MsY9IzbHbOiuz03+YWm3w1OsRVkSw/eJ21/pm2/94e3saD42WhSLU - uJ4mSHzitBMwJM0zHnEaOZyyShdSSaU0NcRUlct93/N0qgeDwWte9SMeBO+54T1pmkZ+HIZhmubb - 4jUEKeV33CfGaACobxjpKPU8D4yp8inj7MILL7z2edfMLLVP9E8qtEWZWiqpVUarqBHpXM8s7f3+ - va90Ap/EzlBM4k7Lo7FGpbUkgAyxKIpzrPB/rtpx9H5Ep7tb1lrCaB0zApShoWgpA48Y5qPvem7A - OTHKMDBgtRTGaM91lZCEgcM5WLvV6892ZitllNB0JyypRgUAwPd9rXXdxwRBMJ1OZ2dnl5aWkiSp - v6AeNjUajV6vt7q6GkURc7jnqYsvvnhhYQEZEUJUVeU4TqmrOg4ItrV1UHc/1G43NGVZpmmahBFj - rBYo1Zl6jDkAMOptIWKr0dBS1ssnlzuIiNQqpZIkcV13MEpPnDoVNyKpFRDMiiJpNv04OnHy5Nt/ - 49+fPHMaKHqeUwfg7N27Nw6jXq9XSZEkyVve8hal1J75vR/5yEcuvfTSK5/xrA9/8E9rqOlJ0LVH - WZWe22uU1lZ+xhippM3zyWhcGVmioS5TRhqwxqjA90db026rnQ+zsiyrqmIE0zSlgFmWQQiO52pr - kKJVmlLK6faSOM/zmjjBOVtfX8+r3IBpNJqTvPR8nzsuE9IiVcZqbSl9hDRpl5f5GN3rdwugRmJ2 - 4Op/VNVCf2OMNCrN09f8+I+GScg5/ZHXvFpJSSn1fY8QIrX65kMPXnTJJfsPHtgaDR86cfzQBUem - 0+mLX/ziepTj+/4b3/jGZpykRV6U5UPHjuVVyUPfSXwnafzD8Yf3Le6vJqWTtJaWLhcyy6rR91xx - faVSqUpEW5QZsdQFpxl0DPGiRjwR2enTp4JGnAQ+J9TzAgAlpcnzyqrJaJRWpXQczjmvqgp2ZMZ1 - K1M7Vdenotbadd36ZCjL8vDhwz/9+tcvLS0VWV7jNKPBsFZoAwClpCgKz/OAICGkqEqg4IeBVlYa - XU/TmnEjHY0Dx5NVudCdW19f9zxPWUUYVYCpkI4XccJRGQpYpFm30xzmAxuQickxcjS1RlmfO1un - 17tBQ2xNuklbGCyEdoIYKfns5z93221fztOpdJBY02q1jhw5Mh5Nz66tF1ulH3hBEOyCTJPJhBVF - s9kMfP9x/WPwPP+YJxcDf2uFuJOJTCylTBnNkRNCIj/wrb/y4Jl9Mwu9B3uO9Ju0jQpBWKOtG7pt - v/26H3rtFz5789U/8HwndtbHq0HkM6Ae9TrdzjtveNfaqfXu3OyrXvuDp86eUlQlM8kgH1dSuS5X - ysK2ERmcD58QMLXH4GNr1xvX7LivbutHyPb4AC2QHSfiHeWz0UBqzjL51l13EOyODmlHzAKwIzu3 - OyJsrBUuhlqjwRDOK1qNZYWWh67HDSBDgVVZZgoUEutS7jmOw9hMYw9R5CDb34L4jp587395b4yN - MAzn5uYmw+loNAIgnuNKKbXRjuN8p5GY2jVESqmlqQlbDmWu6w+n/a/ccceff/KjN91x02+/+50p - ZD3YWqvOrom1vMwoBQOWhq5xecnteNwrURmlDdUWLQHkdegxoNTyf/wivuXaNueF89CXx9YjHpda - uYwbQrXWDnEJ5bq0ciJDx3PBMUrLaW6hcjzrea6h2gdugReFLmQB2ighX/WDP3TjZ242SAGAbt96 - za5sp0bqpJQ13VUp1W635+fn68lsVVU1v6R+ajqdAhCZpbPd+UOHDrmeN8rGNZjhUtcqWzNyzr8O - KKXqBMT6kUajccUVV1zzghcAkOF0EgQB5Wxzs3/s2DEpJSGEUCjL8tCBpX957Qvqe7lDmed5jNKj - R4+ub655nhcEgWUEEUfTiZRy8cD+TFZHjx1bOLBvMBq4vpc0G5vrG8vLy91ul1Iae/Hc3Jznee99 - 73tf+5Ov/+QnPkEsvPO3/oNSqs4t3pUvPP5Oe7wz83z+Uz1GR0RrQBpZWSmltGjKsjQG6u5Na81c - R1Vl3GxOq3IwHG1sbLTb7c5sxwsDSmlWFg7BwPfKstzsrQ/HAwCbJNF6b/M/ve8Pzpw9vXff4ur6 - ehS3tra2PD/w/KiopLWqNkHeOXK2axdF/m49tp5MV/yosrUvBUEA8DwPAN71rnctHdz/wDfvf97z - nmetPX3ylJTSC6KiKIQQlLM0z2Y+8XELMD8/PxwOkyRhDu90OisrK0kQFkWhrBmPx51uN8/zbrd7 - ZmMNjEDOeeBtDPoRD2/83Bdu/MyNoe+5nFRlakFEDX86HVliXY8jUJe4ZS61svKtb/XD0KA2SnJC - BRBrGVIaRo4oK4rW8zzPdWvWfX3m7y4yHnurrtELrfXq6up99913801fnI4naytnL7/88uVTpxpR - bK2VUqZFtm/fvtFkXFQVpbTRan7zgfsqJV0vKERViz6IBc91mUVdiel06jDqeC5QEEqmZRW1ZhC5 - lgYroyox22685Puve+Dkfe1DM6vFRmdpbipKoiEdTC5bvHDjlOyQ5K4v//3BwxdOcvGN+49desUz - skkmhIqi2Fo1GfVPnTrV6nQd7s3NzWVpXpS567qMsXp1lWVZJYTruvF5/jFgLCGE4GP8Yx7P0vSf - UrUISWmL1iIlQElVFdxlnJHpYOw77M7bvvKiK16ElurS+jwxYCjTRVoSjWiQEPLFL36xc9GM0+EY - mYA6k8nERSefZCiNzVWxlc615mmDpXI6naY8YJqYtMgiP9BCEVOjLLtm8LtvdZfUfS4Xy5z3LOxk - QRjctpmnps6gIGjBAlILelsWbnagTQsA+BgzoScv3Fl1kV33w0fajW/LuhCIJfUr0WCEltKiRKoo - UA4WjRCKIBIC3KEMKQAQY3WlikqujdciGt3ZuzPS3oljx97w+p8t++I9N7xnac8Rzrnn+EVR1YA2 - AaKU+k5zYkRRIiLnLgE6M9Mty7IQlTLKC4PmnuS673nR3MXzN93zxcotaeRMycg0NPWJAkM9VxDc - yse60HEzbntxIadKVdyhiKiEVFL8M3B6tgMEdj/v1BPqSggTtjYgokpapi0tCa0wNq7MleO41PWd - 0G4Mz2YiAw/dKMiyKvAakFtZiSSMfv2tb/vEx/5GWRL6UZ06Yozxfb8+YYUQNSrT7XbrhIGDBw/W - uQGU0ppjzjkfj8eu6y4uLgIQwujhQ4c9z1Na12d6vbDZKTh/hVtPl3bF50bJGrcuisog1L9ifX19 - bW0N6rCkqojjeGlpKfKDoRx7nhd6vud5D9x///333z9Jx81mc5xNLMU4CGYDf623ud7bZK7TCbt5 - UXRnZ9fWz2qp4jh2GN/Y2FhaWlpfXz916lRelrfffvudX/16HEWXXHiRMYZRWtvJ7BrS7GjMHvOP - 81uZGvWoMWdrCaUUaN0dIqLjOJpazjnlhHAGAM1mU5VVvSkAQGjluK7Dqef7lNOsKAAg8BxKEcCk - 2WQyHPV6G1JLoAAEG40GAPG8wABZ3LeUFrmpqqIoFFBtEYEAEq0k2fZ63r4MbK9pvgvDPF49hSam - LillEAST6ZQx0m43N3rrP/HaHwejl5fPUIf2h32FttFolJUjpWy0W1meN9otRAwInj17tt1uV0Xp - ui4S0u12q6qqc+E3Nze9MJjvzqZa9Adb+/YsUqCq0JT7qK2RkhFO/HBrK1VGJ0mTUBhNR65LB3nO - mesnHimrosg7nRkrZZlmgKANGGt935UoGaUIRimhtFVK1c4Hu24QNUqxq4NVSk0mkyRJEDHw/SgI - m4ePPPfKq+65++7nXnnV52/8XKvZBACt9SSd/PCP/MhnbvysF/inV1aWlpYePHp/lRezs/NVr7e1 - tfU93/e9V1z+dGJhMhh+9M//7DnPf8511137zQfu/8Y/3NMbDnyXCau0VCAx9nxOcHaufdHFhzK6 - 2b5gZry2mfKe9WzoxJTiNx+6/bL5i08dXzm4uLQw1/nFH/7Jn33Tvwap0YIsJRgRxH4QRACm3+/v - md/barWU1FKJsiy3wTMpKaWM88FgoJVqNBrn+8cYqWowBh7lH/PtrVrgQ8BYoASVFhY0sUgZOoRM - R+NBvx8H8WSYSw1VVvghoWiRECmrT376k/MLs1vDfqvR2De7Z723tjA7h4LwnDrUaYYNIVTg+ivr - K27LS1qN3BZFOdXElLqgyIAYso2S7Fqlmh1jPTBACBqwxGL9sb3ssWgBtYVtU7Kd7qJWwuNurAlB - 0LsNB9hveXy9OyoitfUVkp0XWs91TI3FaACE2tGYaECNjAB1KGFAQchqmjfCEACFEsoaYwwFZJY5 - jCdR04dwbuYIF9h7cP1rX/tai88sLCwIIeLA832/LMV2f29BSsnOrQu//YUWQj9QRltty0pQnxtt - GXNc1xW2zIUcpqNhNr7s2ZdNYJpDISbVltykLtFopkWqdJbMtDhheZqONgeBzz1GdSk1WEapIUSZ - /0GiyLendqIGdngL53/eeac7/2AOr6QkhDqOAyUQQUMMfe5+48t33fjpz47H4+dec9Xr3/QTc+25 - geinKs3znDOfGkIsGGNe8pKX3Pj5G1/+8pd/6tP/nTGGGqSUoqzqOy4hhFJOKZ2fn280GmVZ1oJq - KWVVibp834/jeDAYtNvtK6/YdqvgAAAgAElEQVS8sqpkuzPje2FeVcThdTPkOI7UcjeVhRIGO/Q4 - pZXDKSIyxjjnFrDObvN9HzmTUp44dfLEiRPT6TTxQ0RMkuSSSy45dOjQ2toa4czzvCzLjh07tnLm - zHQ6tWBrMPjA4SXmOPcdfcAYUwnVmmkrq8oslQMZhiEhxHPcWhbe7/cdxxFCvO1tb5vpdjfOrs/N - zp5ZPn3DDTfMNFp1i6YfAx9utyznNTHnJ7DCjoihRrO01ahUVVWKgGBWESAEqGWIWFVV4IVSK61V - VVVeEpVFyRFarc7c/Kzr+2melWXpetwL/KrM19bOTkdjY5TWApFJqYjDsyzTBvr9gROEiDjT7kht - CqkoZUqbsiw559s5a+dpYL/bwDxRPeUmpt7TcRxnWeYwwjn9qZ963XOf95xX/OArlZFxI2p3ZraG - 4zQrgiBoRFE1HvV6G57njSbjxcVFIDgajfYv7ttYXYvjeGNtY2FhYWNjc3Fx30a/5/veuL+5f2GO - VdnG+roVZt++fZWUeZmNC3B8L1loW6vHWU7RcuYaixJQWo1KIkIUB61m4jCuhKSuRynR1lTV9tgI - YQceRWSMlWVZ36pxJ/itbmt2z88gCBCREToajXobm9de/S+fe+VV3/OC6z78Jx+456tfy/PcdV0/ - 9C/8tbf9VZZfe+21vV6PMQbGhkEwGo2UUouLi1/96lettU+/7PKXv/Llf/mXf/Ha17/u9jtue/bz - rlTc3vSlmwmhBgihiADKaFFM+gM2nG5eeNnB+HDkX4QrxSo6oFPVbTXvXzt1zbXPcC53Ds9f/KGP - fDxkHK1xHRZ6fhRFlcg44TWJsaqq0WhEGA/DEAnUs9i6QWGM1e99V5oURZES5/xjyLag1+4aaTw5 - DPOUTi2DYMFaiohoQQOxiICgpFDtqEmFueTiCx5++CEYO3JEhulElZVELSAnWmmi17fWv+9Hv0/E - IpmPDTXg2EkxdQQVGfvdd/zODMzcdeJuqdTCwsKwGm0NBxUt3cRlSIyRoHYWyrsWUggG7W4TYx9x - XSPnXTWMrj1V8Xy6LkELaMm5qZN9JM0Td51jnyInY4dJU/N3iYV6cACWPEppSSwYNBIEOL7DHGu0 - ziW3uk09Lwwp2lQUwgrCwPVcjgRzVRYZVo6XBKUqY6dVuzlvrm1ubm5ec9ULN9d62XSdEFYvZ9Fq - 3/e/0+OkPM8BgFPH4bxO4dHG5Fm5NlxN5uMTK6e/+o079z5zcVCMhnIoUFhmNGIF0obcJ9Ewn6qi - avjh/GxXF6kRgqG1iMZoIMA40/+I5O1/StUCePIIhK9eQD8C7cPdvwhRgB4yBE4MhMwPKk/0Chzb - SHieg7F1W14zy6cAhDlupSrHYbpSYKzL+A+9+tW/847/+Gv/52987qZbCCHE2O0soVqea23tdNdq - tTqdTn2Nqh1Kauxcqe1QglrT0Gg0hFDtdnsyTo0xRinibkfp1fQXRKuFrLNx7Y4NIOccEevZkBGy - xn5c1zcEx+Px0aNHa4J2fd2Yn5/fu3cvInLOHceJw2ir3NrY2Oj3+57nUU6G49HigcUDBw4Qxs5u - rpdSeMyppBxNhp3Zbm/Qb4aJVXplZaXT6SRJsra2Fsdx3Ta98IUvvOULN588efIVP/CyRpz8w93f - 2FaDMwbnDCO3DdbhPMs4OA+JMQDEwvZqFgEQKWU1uYd6rrEKt7V6xnEcYkFKGUWRoTrLsiuuuOLe - u+6WZQEEkVJjFWGUOdxYm+XZZDgYjUZaCkrR932LphSVEpb5/kw3KkWljJ1OpkgoUnae/wJljJnH - TMS2G5r/zSdK34lWjAFse79DDV3hOTf4xxQBQMa4lKqqKs/zAs9ZO7v6/ve//6Uvfulwa8A5J4St - rKxESTOOIgu4udlLkjifpvv2HYAzZ5QytcR6Y2Oj1gTOznUBrePwtMgchxuj9u7peg7bWF1rJ0nk - BmjNoL/Rnp0hvjsus2E1QMQg9lRZaSE4Oo7LCDJEa4zIclFmqZaVw5gx1vfdShttlRuERguOjICV - UhtERmsZG+46RcAOPFiPcuuTfDQadZqtvXv3jvuDjY0NlzCj1WCjxwl1KRNFKaVohY3NjY0LDh2u - DaZ8LyRSuFEQxfFwOJzpdh568Oh//r3f/53ffWdepJdfcdnq+pkDB/bfde89DqOTsnTjSFcGOQ28 - YK7VasYOUnrJJReVjUyr8oH77o/bcejzcjLxOP63P/vwf3rr/2NT1ggbCtSePXtKUQyGfUrAGCW0 - KFTped7sfLe3Oawq2e3O1g4QRVHsWMvb2j9GVNWuf8xoMKyqinCmhMTz/GO2G5rH62Pw0UfG+TOa - Wl+6g0bYXWTBAhhtDNYUWw2UUY6EIcml1I7JVLp0xaH3f+7/e+jvToFFGFpwOaAEBRADUHjWhc/Z - +7S9IzMkEWwMNoMoGI8nnaDNG86nb//06QfPuK777GufaTKbymlnvtMXAykF5aCUchDBgsU63qDO - tLJ1a3JuMWYB0RALiArttqecAVLzXMi5AHOyK4A2u3YgaLZBFNwNdHtqs6TH2cIWbG30XIvDHzmw - MNsKbK6NkVIRSyIeBUBZqWVVSDCEQ+D5klmjrTaaI8ZBTIwjCrFVbG0OVhljz77qOaEO3v/ePzx+ - 8kQzbLZnu5PJRAjBGKFI/xmIvZzz2tieEpameRzHyEGUYmHv3iNXLL3gqmuWnrF0z7Gve3sCpQQN - iEMdyxCAbI3Hngs+C5J2xxaiWJtGjHgksmAUWE1RgRGVMmx3fzxifvCope2j7Cufepkn+Lw9U6w/ - iIVKSAKWUJCiAGMTz0unkxMnjj5w/B+m1ZhzevL0ieNnHp5iahsgrY7iOJvmPokoI8jo4v6l7/+B - lxHGtJKgtMcDQkiti6mptbISk/GYMOp5Xu3jkue51rrdbu4SaNLpdGZmRkqprK29JCZZ2p2bHWdp - VVXGqDRNHc+h3NGgrdl1wtIEmZJqh3xDXNe1hNbx1FVVAaOIWJal7/ucc5mXcRxfeumlQRDUdjW9 - Xq/ufjjnQgildeRFnU7nuuuu467zwNEHTx0/0d0zP5iOXUajKNrYWN+7d+9oPEDETqejper1elEU - GWPa7fYb3/jG/+tXf3Wm1Zmd6dx///2//mtvu/P2O2pLGKEenxNDdn1vzwM5tuV+9V1AG9jp2HZn - aZwyA1qKykWuEbd6/flOFy2cOHkyacbaGKDEWFtJUQoLYBzGlKzOnl6eTkYOo67rFkWmwPpJFHF3 - MBmXeQFSWKTM4bMLC6PRSFvleoG2llLKGMpKUEIArIXHkVo/kRbniQ5dg+eowY/9yt3HH/e7/7do - mRju0AbrQD6LYC2x27ecnT1t66g+BMCqqjqtNiNMKYPAGfW+ee9DgeedPH4mCIKyrKxAVGCVzor8 - wIEDp44vdzvdjZUNVGgqxSkXpWBItdZhEEwmk6oquEOD0H/wodMLi3tyWUrQuVDduVY6ylqNRiNM - Atc7s7ESdpNKZYbiWKWh72fpZKl7YDJOOSNGKg262YyrMmcGHItCG5+zSTE2jKTTrJFERVkysAQo - JVhmues4aKzUul5YaGPq+zchOMmmc3Nzw+EwikKlVO2Uk4TR2spZlzqe606nUwJYZ1saa7TUnHKX - u3Od2fmFhc3BVqPRUEZzz+3MdLZ6G2/6+Z97/U++9uzyqa2NXn99Uyv5oquvueurd8Zxg80k6/1B - N+z41mfKArGR1/YxaSWtb951f7pa5L2USJisbB1u77/+e1/iSNcPZvqbIwTX9YOo1QziwKKxxEyL - sd+IClEwMEHkq0yN+4OgEc3Ozk4mk16v12q1qqoqy7I+NB/lH9Pv9z3P1VrnWeY4juPwWnTt+l4d - Cni+fwzDHRNSU4cUGkADlu9cKIwFMGgs2lpXaoAASouGMyKUZRS1QkQd8MAoDJvJpMopR5uYV/zK - Kyevy4upIIY7lAHKygiN1k3csNNY16vgoVXGb8TGar8RFVoIKzuXzc49bY4AAlYGFKckrVJKaFFa - a8FhLkcCxopKBEFYlKUXe8N05Ee+BigK2fBbWTpJvECVeVlOksCTVelHSTrNuBNowwhhhphzwiVL - LFpFHnG7IttZANvdz2MvCk9+g9x98tyFYweVIWAMml257s6DBMBQAkZrgoQxhpZWlSbWOo5nrbHU - FFXJuA9oECFPp9LYxAm7jY6fuHNzs3dP7/r4X/4lL5zhaLJwZH86zV0eiLKKg9BYJaTknKpvE5Dx - RJTXShtCGLUMNAm9UAhhlLRMTybDO+/c/PRn/uYTX/jLd//+u0cwmIHGWbOSZiPCqZXVjBeh5DGP - e/dvhMad4eF8o8mQTKdTHkX9fLg1XosWmqRFSyIooJQabc1eF4CWIIEdKZnZbhDrrtQ+pT6GPJHl - z+5erInhO58ZAKLWKkMOQHFLbrgJP3TNwaf9i4uoRJe6wlTGM9ZYy4BzIkEB0VoUCMHTr3z2773v - D/7+M7f+i6uOzs92KLL+ap9ztyiKsiyLokji2OWUgHEdF9EGgT+ajP3Qo5RO0ikAGGMczjmhIisA - gBNiKOZ57rp8MB7EzUZ/PIwaSdJojaYjl1KDQKijjUVCjLXaiHoEjzvRubKq6vAWY8ASQggJgmBz - s08I2Ts7//znPz+Mo9psAhGjKKqRYK01ZawSgjF2ySWXOJSl0zQKwne8/d9HM43/+qcf3BoO3/Jr - bxVafuJTn7znnnvQgpKCANSmqY7L19bOnl098453/MZv/eYNszOdX/iFX1heXvZ8P80zUSkEqrUF - QM/1iqKgYLcllsZQJADbrcHO2VSvY4jUCgDQ2jzPk1aTMWaszcssdKIkjo/MH9Fanl5eNkGAiITa - L9/6dyunTlurEIkwFrmry8LnRIlibW1NV6XvOnmeF1XlBUF7tosuP3lmxXKHOa4yWmuLyIbTlHs+ - KmsJ+q7X7/d93/dclzE2mUyacTIejwGgTiOfm5ubTqfU4VVe1OOCIsvn5uaKLKuqsiqrVquVpqnr - sKqqGBKgxCJQQM5ZUZaUcqUU5441pm5wrTWEUWm0BUCGlRSUOe12++zKSqPR0FJRQEpIVVXnq9bP - P+L/V+hyHjFO2g2WQ3yUAwICYN3FhJ5fZDkBYEivf/H1z3/u83zH3VhfX1paOnny5Pz8/Gg8FkJQ - h9fmiXN75t/+9rcTMJ7nOJ770pe+9PnPf/4N7/gtLeTC0vx///Tfrq+vv/KHXv2Tr/mJp19xhUFz - ydMu+oU3vqmcqK2tYewFPHDe9su/vrp1hjfo8tbywcuOnF1bSbxGOpjuibq95fWu3wn9RtKeeen1 - 3/9zP/dGI5Xnh5RSI8s8m3KOLHQx10CQcZ5P01acWKWFMZRRx+FoNABUQtSsEQAASgCgqiohhMcd - gyClDJNYGt1stf72xs8snz7dbDYNWKNUVhYf+NAHhRArq2cHg8HmVv8rX73jwNLSqdPLRVEwl7uu - u7a2NhmN2+323XffDQAf+tCHL7n04mc+85mT4XhU5Wqwxv3g9FamU9FwksXZWa1xa2PS4MHw5ESt - q82tDZTGNRRcb9irvlmenGupVmcegfQGvaidIFqlKm2NBUsptRbTNKWG1YiuEKIess7MzIxGI8ZY - zfPVWj+uf0wpqjCOtNZFVVLOLEJeFIxzawyQcyNlDZbu3EwR9PlamtoCendMs/PM7jFmYDubuXYk - rW3YDXCQVAKx3HM6cyFDRoATQIvGgNZgtVWaWE0VMERKjFEaLFqwxBowGg1aZBZAVA73LEGptKUk - DBOHWKWrfDT1qOsDZwKYBEcTzxKiTUDDXI2VsCFvEAXNoG0dl1CjtVbaInd0neS8LZy2COdxBh9j - MvNtYd7trrTOgeFgt9dS542aADSgUUKBNchdq40yykdOKZhSSindJAhcp7JKGwuAju/HNMTcnl1f - 4YKuidUHH3zwp175OjEwv3vDeyjhe/a0y0yGYVgWJePEcZhSCvDxzeK+LWURXJcrbXWlESkjFImt - TCVElbSSfYf3vuiF39OYix8+ebxwy5HdGtqRcJTvsYQHpkIoaVzRay69frLVu+VTn1ktK9/x/SRi - Sfyi619yIj25qfrr1UBQwQnXWlNkiMipg8Ts0CaM2cG3vsVUmn+MIVAdjFNTwgkQRGWt1hrRMtcl - zKiqqrShSKWW0khQgBw0aqmUsZJx6hisivwb99730B3La2c2HPyaLPJ8PNWCVlJESey67pmzK73N - zUYUA4AlmOX5Rm/zyJEj0zwzxlilj588sbG2HgbBTLNFkaCxBkERosBy18nKolKyUKKUZVVVhDCL - tKa7nnsf58G0dYyuroQQIs9zQhgw6jjO4uLi5ZdfwRhDZeqwSWttkiSbm5tBENT+qO12O0kSSqkf - +Xv37q31qu7c/Prq2k3/7SOn185eevllH/vYx06fXn7Dz7/p7q/fVQlRFdmBAwdajebm5qYXNBhj - t9xyy5VXXvm+972vlTRu+/vbP/qRP9+3sNhqtYIg8DwPCDFK1dOlXa1lrXY+579Mzjkxa6M5ZYRR - i8A0cxwniqLWfOfidmN1c63X6y0vL1/+9EsppZ7j5nnO6ox0SoEDaqSUOtxzCAYcZYkO0omorAGH - u14Y+HHUnp2rrGbelpCVsagAtbVoLOWuUIpSKoRI03Tv3r1FUWxubgoh5+bmsiwLw1ApVfssD4dD - aXTD90opFrqds6fPzLTap06c2LtnobNnAS0IIfbtWcjz3ChZz++mWWoJcs4roepZAafcGOMybhAI - IUKJzX6POLyUZTNurZ5dZ4wxzh3HqayVQhJCHNe13+Gx7D+l2A7Wvx0Qb+sLsX3E9dnuiCyIBaON - 1ZoAMiBXP+d5H/rABz/4x//1+pdev7y8fPjw4TzPB4PB3Xfffc0Lr33Xu951442ffdkrXzYtx5UU - +/cf7M7NvebHfvjkiWVZVVabD/3Jn171rGe/5S1vec5lV/3x+/5oazhutRq/+etve/juo/vnF06v - nhnno2ue8/w7Hrrt7+7+0g/+5L+KLwy+tnyb0iJR8e1fvnVvPHvVBVftm+8+8I3j1jAfG26Q9Da3 - UqmktdTl2githB9xUMKlPiUeCL1NXEUAY0ojtDGO43DP1cZoawghhFHKmbYGKaEOp0A0Qi5KBfZ9 - 7/8vv/jGN1367Gd89rOfPbJ0aFpkRVF8/K8/+ayrrvyTD33wa/fc/cznXrVnz/zxEw8njcahQ0sn - lk/t37//Xe96Vzqe3HbHV8eT/KN/8Vd//IE/Xdi3+Id/+IcnT6+HzWBx72J/0INcz7dnxbQyVkgp - 77n7/sXh3PR0OY8LHDhQdD3PFOHXv/HwvXLloiOX+p1kdbQqVGmpcTzWnZ9j40FRFCCJYxwtDWWM - cl5VlcxzAzaKoiRJamWg3YnyeZR/jOd5tfXkrn9M3djVTJpdigzs3lzrxc0TtOL1bOV8PgWxoGuX - WUSLxhJriDVG1z+WMQSF1loChBOXIbWa7AjgCSNEWVoZAWCBIKFUKYU7S6ldR3gDiMS11NXKaG0N - WCyNIVJmRURDx7CYR1ZZpsEXntWeKbDUZQPDhDZ1qYs0G0MFDChHcBqp1sx3hVKWICKgNcYajnj+ - TOd/bqEFSilnTqVBKRtQxizlEjzuMp9Nq8xqqVBZBoZYh9JploYmbjVmYhK2nbbuqwcffHBPvK/b - 7W5sbEydyaEDF6ycWQ5cjzFmzBN69n/7ylQiR0ocHnACYLQFg2g5p1mWjYaTLCu3+tMLly60dtR0 - mLHcKECJOteOZJH0xidWDl/9wjHIu7e0SEvuQNbLZy70fWDVpAAPlVGGWKTUY4wARbSlKP9/5t47 - WpOrPPN9d6z8xZNjJ3VUBgmEAtFgxtjCHmNjwYB9ucFEM9c23BkYZGzA2GODB4wNrMHMBWzA2BbB - CBS4FkFCQqFbqdU5nT7py99XuXaaP+qcViOJIVxhs9fqWt2ne/Wp+k7Vrr3f93l+jzbiJ+ChPfX4 - MW8GKSSmBBsw2jDAFqY4QyZUHNt1r04JS0U2KAaEcYMVpzguEmwUACspdmULuNfrTTYbvfX23PQ2 - 1/c7rfWVtbNG60G35zluKXwRSlar1bvuubuEsjDGjFRSyjvvustxHAQw6g8IZ4RbmDNpdFbkQb3i - 16vcsgxGmBKEENpw+J+3CdmMsC6XBeWb0vf9OE4ZpUEQTE5OVqv1PM+xMpzzJI2SJLnyimeXlrcT - J05IKbdt21ZGVMZZDACc8zzPt12w49iJ48973vO6o8GXvvJlJ/Dr9dr27dtLjlxE0OrqKiO0XAlV - KpWDBw8eO3bsZuerRqpwGE2OTyilOp1OVuRJkgghMMC5n3K5dsEYI7qhPnk8yhcANrWeaZ4hRjEh - Uqvl1ZVBHkfHc2yR3bt377PtfrenFZTCYWwIgDJaG62RRkUh8zw3okACjFLl9owQartOpVazfQ8A - SynyXBRKEYYBUNndU6BLtaIxhnO+vr5OEa76wfz8wpEjRwBgbGwsT7M0Tpr1Rrffs7kbhmGzVm+t - rk2OTyCE5ufnf+u1v2mUlnkxNjZ25vQpzvn8zGytVut0OtyxEUKEUSlUGbRMEdVaU0y0kYSQoF57 - 8++8udlo7D9wwPG9hfn5JEnQplgNAKTRSimGn3oz87MgN6bIAEIb2ZnIADIb/cLvayObzXBNBEhp - 3/FEXoDSeZZRhDEgWYi9u3Yvr6xYnNertSLLd2zdVgsqjVptrFmfnpxsdTqttdUkSd76lt9529v+ - n4ofdFrtA/c/4DnurV+/7cILLzxx7CQY/F/e8c67vvUvWshhrx8EAXXQI4cfveyqfZ/69KfvO3nX - yfZJt8YvuGCfGGRHH678/HOff/Suw+N7rnvxb7/577745QJUnIEi9npviCwHydS1CQNicbT1kn3h - KG6tdZMkIQRzzsuVptZaFnkuRfk6N5tvxVIcQyktHa1CSWXMXd+7+2W/fH0qiiuvec6zr7umSDOD - ESGk7InWJ8ent8x/6647i6KYm5urVCr333//3OLCgQMHXvKSl2zbtm3UH1ywZ8+X//mrn/q7z9m2 - LbWeWdjielb77IrnWAVInSeVIHBd5/SZs2vrK/1uX8a4UZv0nVqaFUAYAZ5JVSCy/8RhLeT/+3ef - itJ+XoS5SgGbWq2hRLcIC4wIpxZmuHzfCyF0kpRXND093e124zguFZRP4MekaVqr1ZwfwI/B5/Fj - YKNb/MT1y4+yVtcIFAJCkEGgEWhiwCCEtVKaYIQY1gZlKkOKIl2qjI2RCrDRCBDBGCENoIQ8t5PC - oNFmyo8CTSiOZSYNsVwHMMqzlGjscH/SH+svdTUGrKhruCUZ6AoQsAER7uABT5JspjJDbBTLUaJH - EplchYQyqXUZZr3JvvuZWcGUq0mtQSOOGdOUS2hQR4Th8cOHiiJf3LVtcqHZzkYCtFISkKGYOJZj - WRZHHCE0Go0owacfvXt5efnqX7gm7I+Wl5er1SpFOC9SrSUhT8r7epovQVsW01ormYs8NxIjjplD - uBNAAaurqwf2P3T/ffsvufYy4vIY0n44sv2gULECsLhT4ZUcRaEcDls9mWRbZubavb7CWkvFwVJG - D8NRdS4YQWyUSjKJNOKMYIwZsbUpF9j4nNTp/18V7cm+pKc4amSMxloDBsyAW4g4xmJAgUKVBuNe - A2E6KsJIpkB0kudZnjKbGiHLh9RxHEJIvV7vLK2laWp7bi6yMI6a42PTC/Myk8TihmJMGKHUSJxL - 4ThOrVZL07QU0s7OznZb7SRLXdf16lVjjDAQpYlfCVxGO72uAD01O4MMKi0OYL7Pn1galzBseLmj - KEJKZ1kGAI7jxHnGOS8ZYLZtM8CWZa2snl1dXf25F71kfHx8dXW1LAz7vk8IyfO8JGkhAgcPHuwO - +r/2yl+vTzY/+ZlP7d27t1KrveWtb7nj29/SWjebzR3btuR5XmQ553wUDZsT4/v27duxYwdFtOIH - IExrbf3Ob31nenradd2y2Iw2owYIIRtSX2MQ2pTcGzhHNwUAZDSzOOZUGVPIvEwPaDabTMTr3c7J - kyejKGrU6t1ut+J6DreQwXma6aIAQzhmFuOMEFFghDC36Pj4ZLVaJ4RgimzXRxYT2KRGIoIJEMC4 - nLKEVnmelWdbVsc556Xl5fDhw3Nzc2fOnFlbWa1Wq4SQOI6N0sNef3xqEhuwuRXHsVFKCQna7L/v - /ksvuWQ0HK4sLb/ht18/MzvNKXe5KzZI30iDQUAUGFz6ybVhmCnQAsT9d9578MSh17/xDWGaZEla - wtzLparUGjDCiDxOofhZWLZ8/6DYbHYFzxvn/vg4K9BsiGK00hRhYgBrU/UDm1sEcJokFFAlCHzH - XVlfsy2r3+01avVd2y7IhjnK0LMuurLT73mBNzk5KdOiWW9M1JuOYy1uXXjJS1+03mljy+xc3H7h - M/b8909+6OJn7g3TIheZ7TsLO+enGjPtVucZW5+92m7t3rrv+OGjDcvzCD/+6KGX/7tffMbiJaN2 - 3+MeB3tqetEKh9t37ZuYmaUoY8wk2bDWbHz1n77kNBuBU/d93/e9tMijKEryrFTDWZalwSilCMLG - GCGlKsGNxmRZ5rs+51xqdff3vudYlhAiDqPp6enHHj3IbcuyrMFoWKnVRqPR1Mx0u91yHWs47Csl - ZuemB4PB4tYttuMKITMhjhw7MTUxEedyfHp6vdVKChVFbaYVpsLGOItG0ShcWV9eWl02yhBlLERk - lLu2U2hTYJRzLIm2AqfXbXmc6CJ3LWtx2xatZRiGnHmeW8d5JAtBCQVjClNgizAArXVJ7qGUep5H - KQ3DsCiKJ/Bjyq/45/FjyrUdgifxYzbX38aYjVbLDyjFl+CTsiqz0QkxSIHRAJqcC5IzZU4RYEMx - AYMBG9AaASnf0gDGaMAIIYIAsFbKGIMxxVD6wDfytw0CA9pQpaVUhmpKkDZG5RRRD7t5N7/ntvvU - AHSKsCJ5niudKQSYEjnIsrcAACAASURBVEpYUWjOaaVZuezqi6wJUhtzBnoERpuylqQJBkQVM6AA - K/OkLtLTOH7cpoZDeRpnnHFUGE/gbZPjGSIHHzlLKJrfdYkDlSjqunXbcJ7liZSqH/ZSyD1wIIIk - Sf6Pl79OAfr4f/v4ww8/PDc1W65rkySybFbmKP20hb1lhA1Hlus6FFmFEUk+iuJEErnv0n3Xv/j6 - S559yYMnHsrtfAhh6mWZSalHKk4wGMTttZNbF7eMiDgTd7sQP3LPHcogb6Kxc8fkXafum9gyu94b - DtJRRgqbWbbDERAMkOe5kpqSp+u6NhVK3+9O+kFH23KVFkYqUFoLUwiBlXGUPVZpTJEpCoxZVi8Z - CZUiTDi1lc6RNpQQJIzRuuTUUUrTPKecIUrCOFpfXemNhhahmcrBkKIoAuaHaVLij0+dPLlrz569 - +/YdOXLkyOHD07OzMjEbf0uJKHLE0CgJbdeZnJm2bDvJszKqHZAu4yDwZuBXKYVBhGKMbdv2PI8C - Kns0tu2qJC5pDnEcM8bCMO73+ydPHc/zPAxDx3FKgkuZTDcajSilRVHUarX7Hri31+tddOklx48f - DwYdIcShQ4f+4kMf+qM/+qNHDz02NTW1srJy5tSJLMsCzxdCIAKPPHZweXn5tttuW1lacW3HZY4s - xMzkdKfTWV1dvWDLNsdx8jwvpEiy1Lbtc711gvC5Skw5AWEgAGC0lFLmosCUUosTRi3XUUanaep5 - jm3zNEVlBJIxxnGcPC2QLv2WBiFAGiGDCWFl28K2PM8NACCXmTJghMI2NxoZhBDBGm3ECRtjarVa - HMclnFAVIgmjhYUFY0x7vb26vDI5PmGUKrKMYpzGsRcE3Lai4SiJ4iAIKr4v8sKuWr12Z9+eC1/1 - yld9/KMfWzm72lprz8/N2dTWWtuYazDalGIgzDYLFAYUBWQAbOAawLGc1to6s7ghWEuFARWFKAmu - hBAh5bk1weMSgZ+Z1czjmpgfdE7YPN4UwAAaoTiOKaUY46WlpX379t1z3z3dbtd13U67Y8bG0jQ9 - fPiw53lZljFC5yZnGfC7v31Pc6JppLnqWVft3HHB2NjYsWPH9j904MUvfcnCjoXP3fT5WCYLFyz+ - zd/+zanV02s9luWyWm+2et3JmenDDx17+ctffujEwbOH1r56+5cbzZqOs6Tdf/avXJH2sxPipG9N - SKENQG8wXFpdXW91jh496jugdYKpkUb/8qtfoSTutEfLZ852B/3yQSqjH4uiEEqWDmSMsTam7KFC - WYEQYiMGkvPTS2d279y1a8+e1tpaHMdAse06vcHA832pVWOsefLkSc9zAaBS8cM4qTebzHGPHTs2 - P7cwHA6bjfGiKNbb3TLJMoqSsckJYrMK07311Vq1EXgsy0U/ivI8dyxHpLnruKNo5LAAYyyKLMwK - aaFuEiqR+ryKECqjaxHBnV634pnJ8RkHWXEYpVkktZRYlSEDoihKxN/p06cXFxcbjYYxZjQaPYEf - wxjr9XrySfwYISWlVD2ZH/P9N4w+pxb5ocNgU4b1IoTNBoaWEGKMEqq0BWFAYJTQWgFoTAAjCoCx - VAAIECGEYIMAyMa7wWx07g0CCQZsYoROixiEwcpQYiFhjh049vV/uEW1MUqxhV0jlefbQotS9iFy - 4Vf87lL79C8f3X7FwtW/9BzKESdUSqkRYIyMMgCIAPrpGo4BoPwkf9gEsfm04jwXnNtagY1Zo9pI - w7zf6jebE6oo+p2+Ozc+MTF1qr+amczxLUygOTk2yWdt4E1oHpaHPvSpD8kBaK337du3dnaVAAej - qpVKXqRJkrium4uf6hVjzm2llM5xWggMylBECPMsrz1qHz58+LY7b7nzgTtf/+Y3dKDbg24LuseS - E6kuhtHIxVZ1unnfI4+++w/ek64PGswKLNtx3MHy+t9++BvTe6Zf/YbXsHGGPGS0ErLQymAgpXuF - UqaELBOjy/Mop3b0E07N+Ec9GlzWLRghDrctyjgFS3DXcMdyEYAAKUAYjJTRm75JQggYtbGpcCxL - 5oXrutFo2KzXi1ykMrvk8ov3XbjHFJJRWj6Fsiiq1WprbX2s0dRa+75fpNnitq3z8/P79+8fn5wo - pMiyLKhWEUJxmlBKpdFHjx3rDwcSmTTPufXU9I2yCQIAG8WYJH344YfjJEGISDC2bSOCkySr1Woy - zQeDQZYntVrtwIEDZWz12bNny1Z12UCRRgZBsNZa7Xa7R48e9QL/nv33SmT+8A/eHQTB2972tuW1 - 1dtvv/2+++5zbb59+3aC8DAcua49kWetdtv3vG3btmVJqoWu1Wqu6wa16szMjEaQFTlGyOK8pJCf - i1zACJ+vjofNSgwhBDCyCTYIaWSyLKvVamWW9fj0BAD4vp+nxfj4uMqLXq/HiGWMAUIoUKORlBI0 - 4oSCUaKQSm5wPXKpKMIKNEiUiUJqpZAxWisEhBAwJkk2kmocxxEIA8BgMChlPdVq1SiVZJkQojR8 - ZVlGOa/Vao1afdcFF9x2y62M0B1bt01PTR0+ePiWr3399ltvsxkf9Qc6V8wptSIYjNbSEIaNMRih - smqBMdUASMtCCYVUmcjrux4wgpNEFSLLMm5bhFJtTC6FRTfbr+jJUsB/40HLCNmS5XXutfQ4Eeg8 - IWHZW8GU1Cs1IaXQqjE+9vnPf37r9m1veOMbv/Wtb1m2febMmSAIfuFlL3v/n/7Jt77z7e9+956L - L7786JHjru9xbue56PeHX/iHf3J9b73d+tRnPn3d86794te+eOj4YWZbjxw5eOLkUWKjXjhoNqaG - YTTshSgn01NTv/xzr/ibz31CdWFLfedw1HeMRQh74DuPOhf6y6IbDovGwrZMyyyJK56HQDcajW5r - yXGxkHK13emFiRA6i1UcJ7ZtlZXS0ihY+v1sbkmttNZgDC3Jb0oRjDHnvuUqIevVGkEYY/yFL3yh - KIqrrroqFwIR0mw2e71e2WSp1WqcM1FklmVFUYQIidNsYXExHIaEkNW15cW5eYJQFI0AYGK8STDq - tDvIt4llK2M6693G2EStytM8j5JYFrkfOFbDLlieCZkjbQX2WLM+GPSoY+dJalNmDHYcl2KmpZJS - ZlmCEfJqnhjmeS40KkFVpGwGA0AJ8TPGWJYVBMEP5ccI8Tg/Bm3uxowxGBBCG/UP+H7LzFNKZNB5 - EquNmbk0MGkDG//bRvombLSIDMblL4BSQoOMVkopbIzBGuAcifVJ3pBMGk4thI2WihHqchYgl4bI - 0qzoGugr6Cophwij6rSdJVlS5FoACLCnLUhh/ei6QNlzX/oCTKTt4JHKDKdKFQYMLtP7niZ48dM1 - BGhmsbzQhdBZ2Dv9yMmlA0eI1FoU37r98NbBiV1X7k0Y2EHFoXYM0TAMw+SYDmXRK9pHWm/6D284 - e2jtw3/64cFgMDY2tnq2tTA/G4/Csn+RJAlh1k/x7A3O0oJgZhEbIWKUFqpABCNEGo0G9+nk5Fi9 - 4d350B0t0YaK6pIhmrFiFderVQC00uosXrH7jTvfTjI9ZtvtlRXGGHDqTzRbSddt2meHy1QTAECE - cIIxEGOMKAql4BzUFQA2Yq9+8sv4kTWPCMp7G4wuikIVIkk1xApSdezISSW0wQhc7I77qEoMRnme - O4HFKFdDgQxoqRhjRVQgZDDG3X7fc2unzpw5ffokMQYDRgY0GJtbSBshhMX5R/761lff8Krvfe97 - t95yy2UXX37wyMHnvvC5v/f2txVCCK3K7SgiWEppObZByFCQeWE5tjFGb3qMz8GiNgDf+HHQeRmC - SCm1LEeCKQ0vruvmeR4OBlmWpVnKOb/tttvyPG80GudAo67raq2XVpaSJLFsCyF04sSJO797F3X5 - nosv/PjHP94dDjDFtWbjzJkzvu9blt1qtcrJo9Vam5mf831fbS5NsiID1yvDjJRSruvWajUACDy/ - UqtprbOsBEMzsznPqMeRxKWfRSqlmGVx2zIUwjTBhJxdWdYMTcxNrZxdPvzII9c9/0XD4RBjbLlu - GudKKYQQJRQrtCk0RIxSgxA2pQDHWBRTixegE1EobQihQJDQyqiy1m/oJhp4MBiM+oPnPe9537j9 - 9tnZ2bmZmY9+9KMHDhzodDqf+dSnP/axj73+9a+/9OJLnvnsZ733ve899NDBz37hs6++4VV/8sfv - f/jAw1laVKvVJEkIkHAYMcJtZkshMSBGMQFsDKGAACEDpU8LtDKEIIQJxVSBnhwbpxi31ta5azPb - opQGQQAY5UWhwHDb0mrTg2meuI75scIQfhr1Gwqbj+DjFKDzIArnflN6rA0CIUU/GhHOMGcHH3vs - rb/3u+tra3suvnD33j3r6+vjU5OlCLTX61Xqtde87rUrrfWx2QnHd9a6raBa+Ycvf9GyrCgauZVg - mERfuflrw3Dg+gFh9NChI4Qgbtu2i6XGotDzM4ujfvzb/+f/deFFe488cuzKy59zzXOfl4vMRlxF - eZ16apTXeC2J84XdezvdtWG/LbTCWmVR6Nqu6/FO2Pe4s97q1utNTKFWq1FKtNYyLxRSFGFNCGwy - oEqzcEkSyYqcEUoIEXkRjUZCiMDzuu321VddZYwJw3Cs0SiyLAzDIAgYY1EUcdsOh2FzrLG8enbL - th1xmlTrjfX1dUoIxWRhdq7f7VFKA8dGBEuRDcPUsS3b91bODiyranlBlgkhZaUe9IZdt+lEOCzs - bCAEcSxCuRBhrx2KqKg1JjrpEAeB61SKHESqfDcwRq2un2WEz87O2toqoMBGSS2FLIwxZSzUxMRE - v9+P43h8fPwH8WPy8/gx/X6/jLMRQuDzjAmwWYxR34+m/aFYFGSwMQYbjDQiGlNV6hEQQohpBBtx - JUZLpZBGyCACGhulldEKDKaEEyBIgzHn0DWbx02JLzKklBQTQpABLWRShGQILndcRAhzQhlDaiyb - V0lViCwM85JzR2M8MznRPr529sTqieuPTD9jDlE+ShPCAbA2WigEFFENP7aW8ycYPwgFAaVi+jwO - DeV8EIfMrlqWKyOz67JLnnX5s1SUKqUEkwOUKptgigU2rbhra2YTUqvXG1M1mtET8ni73bYsq16v - F0URCl06IJA2hGKEDGPsp+1JsLijNSiBCCBCmEEgVJapTJKiPjk5PtHcs2d3Y7HpGydEI86t0M4M - yLgYUsMbzWaeRqpQk7VmFEfuuOf7flRkraStuFkervGAU461UAaUVAppXfqBGSPl1vy8UbZ7foKV - zPkz5Q8/YowwNkYaYTRhlmv7PKA61UgAMsBtKzeFsGQoE8OMZbM0TbnjAYBlWUoJQggiBAP2fT8M - Qy/wW60WoxgTLIWyLAsZk4rctR0MJoyiQsmdu3c1xpqdbvcFP//CxcXFj3/sE1Nzs2fOLiVhTF3b - 5twYozOjjClEQThDlJhza5YnLdnP5QqVpZQgCBYXF/ft21ep1BCjSikNhlL+yCOPtFfWOOdKC2NM - miTXXHNNvV4v1455nluWNRgM4izu9/thPBofHx/F0czMjMT6wf0HMCW274lcnDx5suw6DUVe9ruz - LLM9e2lpiVCqlMriTAk5Vh9jjF108SUzYzPdfu+799z96KOPDbq98l1bdrJK5ey5kKTzJiuMDFCK - lVKAUSYKjXWt0UhlVm3WevEwTdPhcFhWTbIsY4QghFzX0bkop02VCpkXp06fLvK8XgkIIQiAlBMV - NoiSKI8L0KM8DeOIu45GgBDClGitz3ksHMfxbOfAgQM7duyI4/jNb37zW9/61uPHj2OMu+3O4sLi - b77mtffvf0BLaVnWI4ce+fCHP/zKX/21Cy64YP3sGue8VqmmcZIkSb1ay9N0dXW1WW+srKwQjbXW - mShs25ZalVKkchtJGNZaI45Tmc3Mz12wfQex+NHjxxSGOIqAEm5bCgzSGmO0mWv7+J3wBNLSv+Gg - pmzOlV06tAE31OcC6MyG49qgjd2vxkgh0BTnRn3sf3yi+ZVmt93ZuXPnmVOnGWOdTqder5c/GCGE - 7dqZlprqXMmCy27eF0JRj2mCHM8d9IZKqcCtCyEJYI48TqlMMiVJtT4m4m4UJYcPH37ta19LXFgP - WxOLEyfXT7uObzIz7jeS9XDcqyOlbdvuhKECbHGnUqlRLWxGwyjLC/CDZnfY96qNVEgtlGMTVQhd - 2iql0thQXG6wiZIKU4IAlNIWZQIJz3aUlGkYzUxM7d+//9qrrgaARqNx6tSprfOLaZqGYTg+Pi6l - HAwG5Wvg5OlTSZFLDUUhSg8zJcS1nW633ahURZ4w7KhCAkKMMd/mhRRhngtMkePKzNjci+LuhRfu - oy4kOOoXnQLliAAobGO77tai5bCmqkgw//Jn9eOwM+jLzFDMkQYNyg3sOIvXRiuTE9OamUFnwDEr - E3ZKL0D5GBdFMRwOXdd9Mj+mvCeewI9pdzqWZWmlzhVpsiRljNmWHaUJ2eDHKASAgGKMEHqSxuq8 - gTTCQEAYl1oyFRwxC3PGeBiNLMeSWiqsuesMogGxGEKmkLKQhed4WVpQxjWYsqVFnvRQAQAYjJDe - 0KcrwQgGo0Fi1/KiIsQKIUUgM2P1KQTgW37f9LCB8bFxmcqAeXkY131vbRAt1sew1NkgtJhQQgFG - gBQpRWHmx4ZcP43jCdeLDEglSwtGlmWeVUl6CVZFw/GVUtIohFAhBLFImseOZZEC5Ule9pCbQbPl - rz/22GNpW8Rx7DiOyiXjPE0iTjZUkMaYnzYIwhiDMQEAJSRmjDKqNcEGF0WxvLx87733/vM3vvy2 - 970dwDCgg2gkosRywCOWyaCGcRpnk26D5zIcjMYbDVXk4aDrjtVSJqFQBkEuRdm1BIwRYAAwCBVK - nr8OPSfN+gmn5RJ4+KMcQQPSygDCGDMijIl0QUEQi1KGsYEcZQZJiRS2kERagaIUF0XhEJKlGedc - KYUUUMsuROo4Trvd9jyPEIQRFJBpDGmaZVlGLUYZxowYZIbR6N4H7jtx4vggHEV5okAPRoPCSIUM - Aq1ACyURIwgjRrlSCmOECNKyNIRvdI5gsxZ7jmxebtYH/UHpfNwosBpjO/bKytry8vI5WByl9Kqr - Lp+ZmYFNl9D09PSJEycOHjwYRREAlG5tznmv16uN16WUjsVt2x5FI2ygWq2maaqURAhFUVSpVJIs - cV03imMAwJRNzc4aafr9/re//e3LnnXZM5/5zN98zWvf954/Hp+afM+7//DGG29M0/TTf/uZG264 - YWJq8k/++P3vete7oih6x39552c+85lHHjv4B3/wh//w+b8/ePCRd/3nG2+++eZ7Hrjvjb/zppu+ - 9MVBPAyTmDG2vrq2bdu2xcXFTqsbBIGWUglplDYYAcZaGcJZtVa/597vyUJorcfHGt1u13GsNE1d - 35HIAKeT89PUsZhlAcGgtTKalB+sMSU2MAxD33HLVRGltFqtnj59etu2bY1G44H77j967OhwONy6 - dWutVrMsq9/vF0Vx+PDhZzzjGffe9T2tdRRFzXqjUqkURfHZz352NBo947LLbdsetPtjY2P3H9i/ - d+9evxJEUVTuWn3Xu/t73/2rv/orbBE7cNIi//Yd//KN7975hje9Mc4S3/fjPCt/3KVm9Cd8vP9V - Bt3g827Cf8q+0rknXJ8jx2w+34QzjVEuikIKg2B1fd11XWU0YgQxEtSrmFMKLBcFNgQY6Xc7fj1Q - RjDfQgi5FS6lYbbV6nYoon4liMKEMWY0IQSyTGBMKaFHjhybn13orLa88THbYrlKGPBwGDeqk5hQ - wLjbiaq8EqaCUbS6tjwxNcmZPRqE9YrbqHpEgxRi2Iq8Zs0LakmW2ha3GFZ5QQFt9gUBAMCYsq5Q - ZqQZAItzhBABVBSFRSgwboRcmJm9/vrrb7rppt94xa/dcccdcRx3Op0dW7amafrSl750fX399ttv - dzz/db/1v73j3TeOj08MBgPX85IsrlWqr371DZ7jHLj3/rWx+sMPPvSOd7wjy7KP/PVfxnE8NjM1 - kqo2OdWPUwS4PwgvvujSV77ylV/+xhcKYoyQe56x6/TSaQdznEOVkilnaiyf8Ei9Pj39q69+1atf - 9zqMOChMMRMy1VpKJEfpCA+Iw+xatZrGSa7URh3VmCRJSiFzWV/5sfgxpWRdKVWKhAAgTVOLMqnL - 2Q2hcjL/Ya89QkiRFp5liyi3DecKEW1kllaYiwwRgJjHBr0hQcAcgrABbJ+3HSxj50ox73npwee9 - 2xkhWkswkmKNQWEwFuMu5i63lJREYb8yhpEFUrVbQwLc5z6SyGMeZIoTbDN7DSKWZVyAsYE7doxk - oZRS+kdDgjxtw8APaT+Xf+W7bhylgBTHFsmL+akZ1YoO3HmPFsXeKy6em2q2i57QkAultPKsgDgs - jmOSoZX1lagTvurnfyPpFR947weEEI5lD3vR5MRYFidC5uhfpfUtVaEKVbGqmPAwDLkhTtUq8gxJ - tH379pe88KV7r9h37733+vNB5qTGAEaIaiyixALLFMWM39zqLf7DF/+eIz2s+S977q8Y+3CniIsi - sxyOOJGidIpuUJWf/ioa0j/GsYQnITAACgEyyCCpMCbaSI02sUBGY6mwMk91uyGzoT0risJ1acny - GY0iIQvfcgghju9V6rU0inujETUoCKqtTptydmZ1eWZm5r/++Z+v9dc1QUJKZltCCAJGo+97bM2m - 1++J3/ocyWCTtnAO8M8YY8wqtNJaD4fD73znO4QQSkie51rrycnJycnJ0nojhLAsq91uHz9+vNVq - SSMrlcpaa7XRaFx99dVfv/WWMAwvvfTSg4cey5LEdRzs+6urqxjjZr1aLtZHo5HjOaMwFELUajXf - DQopRC6H/b7LnRe/+MXPvvKq0ydP/sIvvuy511734AP7L7300re85S333nvvDTfccMMNNywtLW3d - vu3aa691fA8z+u53v9t1vVyKD3zgA5jR7qB/8803Hz5+pFKvDZMRxthy7ELma2trg8Eg8Cqj0cjm - 3LFspRTnjDKsQSul2utrAGhyera9th4maVCrJkmikPHr1fr4WJzHCgwyWoNhhBiMiAKllJaKElya - Q+v1Ot7wfZqSqbNnz56TJ0++8Y1v/O6ddzHGvvjlL33tn7924/v+0BgzNzenlLrksksPHjxYrjBc - 3+sN+r1eb/fOXe95z3u2zC8AgJJSLirbscIkvvTyyzAGqQwhKEkySsiu4a6xiabluQePPhZUK3sv - v/Smm26inKEcIYyllIhgh1tSa70RcPp942dnXUPPPxWNHlc2bBRJN8xoAJtvfakUw5hw5lUCQkhn - vcVtSxkTxnFZKgzjmGIslPJdl1BWrdaBoH6/73keIGSoGvT6vuMZACDg+E4YxxrpMIsQxuOTzePH - j27fvl1hKKSYmZpNwyjNM8zRVG2mE/Z1gVKRTY3PEps7yENEBjVLUZXkSX84tBBJolF7bU3LohZU - SjAhItyYTBRSFsK1bCPVeYij8mpNqfBAG3pVU3IthRAKEAEUj8KVpbMU4Vf++1dcfMG+333z7yCE - yujKVrv9zrf/p9/9/d975zve8fa3vz0Jo4pXQYhWKpV2u724uCCl3LKw8LG//mhrZXl56ezfffoz - hw4f3LFjxzMuv+zAgQNpmuYIYaBaAVJQrVbCMDx+/OjLrv93aFwNcfc7j909v3faZ66n2Lduuv13 - fvXNbju4+vIXfuST/8PCtOpXGGFGaUIIUkYaaZAujOgNevWgUbMqoAFTkuc5AJRd23KlEkVRkiTG - /Ej8GMu2Hccx5/FjCPs+fgxGqPSwIFNmI5knhO6VFb4NqJRQ2CCbOEaICrN96kGqEcIW2ABktbdm - YV7nVUFlZ9BlActNAQAECCfcGFTaoQhhZY8Wgz5XGN5QrBltlMBYMYqwFpxjj3NPWa5rO7bn6Apn - gU4NI9RAEdSqPIqQNjUniKNhXkQ6kxUOW6eazpTVQv3lfBAVGSOWbTnGoKyQmP6rqvL/1x06bEAk - GTOIKMSEwKN83CUiM3R9UKSpvT3yKm6iTAZGKYyQMVmhJZqZnKtCMD42fl94701fuckz1dLKEQ+j - sfrk6upq4HolJwZj/HQRe596IM2IdgImksRI3Kj5AlS/380g8yt+OIoPHj78xdu+/KY3vXEJznZM - N4kFyiOPuMi1qCJiqCglFjjxMCqwGY2GHeglSaaQ4b6jaD6KBo5F0CawDRvYVII/vZfx435GelNG - gBXS2IBCGpNN6RjSGwjszf/WbD5B5wYywDDBGCslEdKVaoAx+LbT6XSQZAihLMump6cJoOOnTyxs - 23rw0KGFLVv+0zv+8/YLdlz3vOf6tao0WhTCdh2lpS7XVQhtRFuUy5Sn+Iw2msjnljilIaAEwAgh - CGec8wcffgg2ZL+CELJ169Z9+/bZtp0kSbVaNcZEUbR///5utzs2Nra0siSlbDQae/fuTdN0bGxs - EA8feughvxIMh8PxqYmjR49efNmlCKGHH9w/MzNTzmDlOXiexxizbbvf7WZJblmW0eaaa645dPBw - a23t+c99wX0P3K+let4LX3Bm+SyipDE+dve931tdXZ2YnqrUa48dPrRn394kS3v94dz8fLVRP3bs - 2Pbt2x3XbXXaZ5eXu/2e47tJkpQ990atSRkuBLeZDQYwxgDG832RS2Op1MTVWr2QuWYkSWNgvkQm - GGs8+7prgmrlkUOPRnkqQOV5YQSSSpUx2dRiWqpSed3v9wfd3kUXXTQajSYmJ//0z/7sgx/84Cc/ - 8YnFxUXHc0+dOR3G0fv+6x/Pzs56gX/qzJkbb7xx544LvnHb7UkSrbdWm7XmddddRzE5c/LU/Pxc - UiQWtwjFhFKhJGLIYMiVFEJY2OIOP3bkyO13/EuUpW/63f/4+je/Pu/3tuzY9rVbvs5cGxOSJAnn - XJfuVKGM0XiTE4OeVA/+N7cp0XMUUChzsJ7qH50/kVJKwRgpZVkJdF03jmM2O1ut12QhyvfiBr1H - qW67Q2wuM1WxAMoCYgAAIABJREFUK4xyADAI+Y7nOE6R5dVKpdvtUIakEmE83Lln99Hjh+cvmM9V - apAsimwkiooVKIldz06y1GTQbDYF6LA/xAoB0kLkS0trmEOj0XAcDcIghBqNhhDC9m0mi34cqgw5 - jkMZTtNCKXUus/RxWRdCAFCiU8oI6410oaLI89xGrNlsjkajM6dOF3l+5RVX+I6bZRk1qEizUa/v - UFsJSTGZn58XQlicEotnRb5z+461tdWXv/zlVz7zitkbpx5+6KG/+ssPX3TRRe993x9dcskl1//S - y48eP7bW7Y7Nza+2++P1CQs4EsoNrNnF6aLItkzMnT52rOq5zKUqyke9QbUaHDt57Lde8L+PeqM0 - jbMiL7W2hBCR5YQgow1CyOWuyGUchlxRz/G4zcvyCcaYc15eeJldkvxo/BiaprVazf0B/BhAtPw8 - kX4cuvCDB8YYEwym0B51tzQWzFA89NBDaS+uVBpRlk4sTu7as/PuI/fwptXwGqEIOWPKIFmo8+1P - WktAgAx+IiTeIIaZ1oAAGynyIiMap4agxPS6vTSLTWL32iGS1HPsILDSvBiNBmEYjxy/XvWZ4wgY - hgn0hgOyomMvR0xblBSAlBYaKKY/RXwtnFcQ/aFv2Y1HEmkpCovZSkqDSaVeGUS95RNHB4NulifH - Th5bbPJg0pMmUblybRskxkBWV1dTFrfTdq/Xu+7ya9QQdbvdnbO7xsfH86QoFzQO2bDfI/I0QeF+ - wBVjDHmecmwBRcPhgNg0CDxqaHfUTVSaZ2JubuGbD91pTVg6gHCUcNcaDUOGsEedSqPZavW++53P - fvveezrt1UufcfH9Bx/yJmqK4FRkAgvL4UgpAI0fT/X6KbXHfiSLNTYbWV3YYI3AYA0GK6SRKSvC - mzfzRg0GY6MVeurSkTFGC4lKnJUx4TDkmAghqn7Ftu2lwendu3Y1m83xyYkTp05Ozc288x3vvOwZ - l1977bVBrVoIYYzhtqWUOnerlXuP89co548NlsF59ZjSFVF+UwDI89xmFGN84sSJ8fHJdrsNQk1P - T19wwQW1Wi0KE9u2+/1+GayrlCoZtUVR2La9a8/O6enpdq/b7XY1MZzz8eZYUK3EWTI3N7e0tNRs - NmdmZsoTy/M8GSV+ENQadYpJFEUbIg+ElNFLS0s7d+48e+ZMp9PZsmXLwUce7XQ6QRCsrKxoMEG1 - IoTAlGgwpbyGUpokgyRJ6vV6pVYdhqNbbrmFuRwAHMdxPY8Q0mw2Pc/jnGsjR6MRAGBK0jwZq49l - SSq5CHxfFUoWYt++i55z9bXVahUZsGwWp5HjOw/s3x8XaaYKbQwi2LIsohRCKM8yALC5FUWR53m2 - be/du7fb7SqliqI4efLka1/72quuuupFL3qR67rvec97siz7x5tu2rJta6vV+shHPjI/P//BD35w - bWV1Zmp6YnKytdaemZt9/gtf8JWvfEUDpDIzADa3lS4MAmLRMI8wprbtGIAwCevjYze8+jdOLZ9e - ba9Ko23LWW+3lNEiTTWCOEvHx8ezIpdCYIToebL3nzVrEjyZ2FuO79+7bBB7NzgxWlNMHG4RQEKI - ua0Ljz76aJ5mIi+MUkIIJURRFBhjDAhpNNOYPXPmjN+oDAZ9RAmluBrU8ixhlHY67SxLFhYWoiS8 - cHHXAw/uJzYZRGtpmrq05rgezZhMhZZS5hIQ1Lyg22oDN1pBYDmUCIKN51SSPDVSDUeRMSSoNCuN - hsEoTeNcFRXXzo3CRiGhA8fVUhm06cctlapQXuDGFw2APu/3gJHtupkU0mjX91zXzeIEA+q2O57t - 2La9e/fuQhdlDkWZHFvxA9u119st17EXFxZGw+Ff/MWHDh987Pf+79+98tlX9YYj7nq9UZgKUamP - OZVabWKCU6vqVnWu0iRhHCzHIi5BCgbLvUG3GwROHmcmU4FTqzbHnGbDaOE1Aotj32MAOcLCgDTG - YCBYEMdyGZNFJkajEca45F2WhZMy/k0pVXaXfkR+TF4UlmUF5/FjQBuMMUZQLgo3pKbntR/OzYNl - d3LD1wZgECitjAYwBnKYhPGl7qnu0fViWBjfDONozB+bhqnjDx6f3TfnTfsE0apTiYowSmJMEUKI - UGLMhtoDb1ZfNnsECBmqCxsbjkEYkxJjUYxBQVFkQuTGgNJZGseYWEXYrTanRtGwn0bGQDcunDFP - G6QJMzgb5ghlJiNaEIS5jTDKtVRGIUKJpj87xF4NQCxmKBHYJFmuCDly5mSrfyapI63tY3ln1D3Z - 8KfBJSnWDBlOCQXmct/FbpZkCKFqtQqEuq4LAHmeS2k811GEKiWMAUqp+inPWRtgeIIJYSAxYFTe - Y77vb9+745mXPNObDEIaJSzp5QPXdYc61RZBjPeSNBGoG40SD//qG36z31uvj1U6OpbI0pQCJ4pk - RksCBp8/856niX56Rllo/7GOGwsa0Bs3ksFQ3sZ6sxK+GSSKMKCn5iZbliWEUAp5nielCMOw4vv1 - er3Ii6W19euuvfaTn/wkRvjgYwcfPvjou9/97qufc40E/bVbb6nXGlc851mEECUVpVSZDWk9ABij - AAwyBrR5MtRxMw12o9p6TvICAKXYTiklpXQcp9PpYIyb441t27ZNTEyEYaikCYKg0+lwzqvVqpSy - 1WpNTU1VKpXdu3cvLM4bYwaDwY033ujV/D/64/ddccUVi9u32p6zZ+/eG/7Dq1ut1vzs9Nra2tTU - 1Pr6er1e94NAaT0Mo2F/xAhxbc8o7ThOHMcvfelLz5w6pZR62cte1u/3AeCZV17RHw6UUi94wQu+ - +93vtlqtyy67bHVt7fiJEzt37Zpf2LK0fLbWqE9OTs7Ozu67+KLGZHPX7be2e21EkDEmSaNTJ06s - r6295Bd+3nVdIUSRZhp0p9+xPKvebIi8cFzXtqxBNLztjtsHo1FrbY0QFASBV/H6w0F/2J+en0uL - 1EgVp5FRmnNOMeKcC6GCICir2r3hIE5iUHphYaHfH/QG/bMry7Pz8wDQ7nY559u2bTtx6iSiZGVt - 9cyZM0qp5vhYfzjwq5UwDBvjjWqjCl81X/7al4KKjwySUmZ5jjEeDAan15YsyxmMRpxS27Z3bNs+ - NjY2t2UhlwITMopGTHDMWV7kyuhao54VeenhZYyd/wT9m9ddnjyeqFLEm96HJ5wr3oTdyUJqCsSA - w/i2hcXnP//5L3z+87du3bq8dLa022mtPccFgLNnz1YqtUZz/C//8q/CeATGBJ4jhMjjZHV1eduW - rU6VDYyOBkMN5szJUzsWt45PNe89cPfY2BjRaDgcVFlFKHnpZfvSInUaltN0e0XfDmwtZBElNjCa - 69nG5PJKS1Pu1ZvrnX631T3b6kRZ7trMtojtEJ1kBLGikAQoIzRXoswcMCUOFiNkQCNgjOVSEGUI - Z4SQTBQlnnIYhyvra4Ph0KsE3bXWV7/+taWlJc92giA4u7qSZdn73ve+Rx99dL3dOn7qpBP43XaL - ck4YPX3iJOc8DMNqtbq6urrebn3j/7vjN3/rdVPT8y988c/989e+fmZlnXN+emkZtO4A8R3XsqyV - 9fDBR/Y/86pLeqtdmpDjdx+WsjAKJiYmTx0//fIX/sYt3/7Wvu0X9ZOBAME42BxRhlzXjrOcATdZ - hihY1GIWj+N4FIZKKd/3gyAIw7BcwWityzJs8aPxYyhjvV5PPYkfo4WklEppYFNsW7Jf/tdlBMuy - 0jynlJq88MEzo6JJKtijSBLPCSpQDSBAKQS8KnPJXJ7FOcKYEcYtLrWQSpX+UmOMRhoDaIMf318b - rCVGmCAMCAShnCJghnCLVyoVbgHLCbJxs1odDbqUA2XGdZntVNJEKYyjLKcOAg+o6/IAMlTkRaGJ - 0ZYFmCEwYLB+8uz+rz7Of/9motCyYI5vMCkQ8KnaRZOTDmEY49yIrgoTUtZgaFrkgBAWotaoBeDV - F+rJcvzNb37T1RXGGMZ4NBo1axOj0dCzHYyx1pJzrn66/SSMMeWcqgxpbXw/KIwcRr2cFGE6PHDg - wBe+9Pmbv/n1P/nAn7ahjQEQqFE0YDbOVWEYUoR4k1V/rN6oVOd2zyTpKFcSGC5EIVWhUQFIYcDI - oCepYfCP3wP6wcPgH+OIAJmNG5YAbOyVzEb15XEktEHn6jflvuu8obEBrQ3FRGglpWSMTUxMlHBC - pZTved/85jdf85rXPPzww2VE0c033/yJT3xCab1379477riD2Vapz0XIPFmEj9APXN+hzaf7XC3W - aFPKYjaYCBhv2bJlNIqCIJioNycmJkoAlRR5u912Xbd0FQRBMD8/X6vVdu7ZuXv37kLmUsqrrrrq - n/7pn9a660KIf/zHf5xZmPuVV/z7z33uc3Ecz8zM9Pt927bX1tYAY9u2pZTK6CzLLMvilBpjsjTN - 09wN/Lu/d88oisDg2267LYqiIAjuueeeSqXy4IMPPvjggysrK0tLS/3B4MyZM1LKRx99tNkc73a7 - Dz74YJKm661WHMdLD569//77bc+mnFqcM0bq1Vocx8NevygKKSVg5FhOURSY0osvvWjp9Nm1lVXL - tf/sL/78V1/x68rombnp//Kud3z0ox9dX18DDH7VD5NQg3E81xhTIo+lFFmWGYPKopSUUim1ffv2 - U8dPhGFYZi4aY8I4ikbhxMREkiT/csvXL3r2s8Ll5bLdI3PJOee2dXZl+e///vP3338/53zpzOn7 - DzyAtOn1epZlIUq01kJJzizOeavb8R1/YmLCKA1Inz59+j/+/u/ZgRsNc6MVR4xylsfx5OTkY489 - FgQBxQQZMPopNDE/O+OJsQPwVE2vc45PZIBTyilTQoq8cCz78GOHrr322sOPHbrtlluTJCn7FDf8 - +isXFxfXlleq1erxU4fXemc93x+frIdJHEdhpVK5cO++5159zY5t23/l+pffe++9b3/725Nh9OWb - b7ru+ddMe+O4wEVRVNxgOOrPLc5eff1Vdz3wbVbHjy0dkLZ0jG2UGB+vDVvDHZOLZ44c8u2xqYVt - b/z9t3/77vv/+yf+hjg+d2zKkcijcBhhjB2LOcTKYiWVQBRptAEJwAgBRlobg8BgBBhJpSkYQkme - pRbniBLuOmNTE5SzOEluue3WZz3ziiuvvHJlZSWN4vn5edu2z5w584vX/9IH/ttfTM/MuK4bDkfN - Zr2z1varFcdxZF689z3vm52dff/7319vTrzzXe9+01venGTFqbNruQBA0sbIKI2QsRlESW98ZmJq - ZvJvP/1301Njp04c3Te+7+EHD2RFvtRaW9y25+u3fscjjVu/+Z3Z8fFUhd3BarXhMIYc19IIKwlU - UqaYEILYlFqWUDJOklL97vt+kiQl26A8/sT8GFk8zo8pb+5yW4bPV/+hJ74lSstbIbVSSmOo+VUF - EhJgkstQcmzlRlVwkBSRBRYD5vr+WrRmCHCbE0M45arQWhcYA0KgQT9lCEBZXTf6f7L3ntGSneWZ - 6PulnXflOnXy6axWDi2hRBJILQxCmGCCMXfGwxjDzDUY29drxsx1wHiY5cFhwnXCFxvGF0yyDIIx - GAMKbiEQEkrdLXWfjqdPqlw77/2l+2OfbjUSDkLSXb5r/P44vU5Vrarqs/f+9vs97xMkRho0T/MU - FdSEBgaVxqAy7joVRm2D2VGUgiQu9QzsYkPKXGJGMdMwgTAZmilCrnIts6BEcKQ1AswIIaDFPyVO - Gzium+ZZLgWjVAAYrslzmccxxpi6JrNthYUChTG2DMPFTpHJw0cPV6kPEYTD4Edvun18Jup2u2wP - a803B92x7/tZnFCGGWMv+DhJY4KtJM5damPMuptD6pB6s5nhHBtQm62/7nW3XX7jxd944KtQA1yH - TdHjDkcKKZUjyjQRbs0BjgZBz3FokgSmxRCiiHCDammUDFiF9Llu4al/Swzv+TiQz25xx2d7qbOL - rYIyCvs8DEYB0QiXmI1CEp6xnwSk4jj2fd80qciLIk0R1sF4Uhr5m45bq1WOHn3S912EdLPdePB7 - 3y23Jffce1ecxT4BrbVj21u2v+icV8rT8alyK1tKgtFZKmEJ6JbGDTzNShwaAFODKaUWFxd9v8o5 - dw2LUjoYDBqNhmN7QRC4rtvr9cp+pQSGS4m1Rgoh9MADDxw7dixXBTZY2VXffvvtX/nqV2dmZkqf - 4larlWXZtm3buMjHk0nOiyiKqn4NIaSkIoRoqZvN5s6dOx/53sMzUzNX7dt38uRJxth111139913 - 1+r162+4QQgxGAwWFxfjOD56/NhLXv6yo08cVUpdsHdvnucf+9jHrtx31cmVk2WQXJqng37fMKht - 27Ozs4PBoOJ6YRInSVKr1aSUmCLDNq7cd0V+8d6f/Mmf3FjvduZnEUL/4d//0sLi3DiYpHnGLNO1 - vCCKtBaU4iAIDGoCAKWUIKQAA0DpnVOtVg8fPjw9PR3HMRA8NTPdXd9gjNWbjThNPN+74PLLT58+ - 3Wg0JpMJZpQaLI7j6ampPXv2/MIv/kLVryRJQjHO89yxTM65YRjUYBJ0nudhlDiO02g0kEbj8Zhn - uVvxJ5PJ9Oy01IoyhhkWILRGmJAojks8gmKylaV4Pon0hz77X5il89npRTUCKSVihpTSoGxpYXEy - HP3Izfu//KUvGZggajQbzV6vd9lFF+/atevY8vJ1L7rm/oe+U63642CSF4xLOT0zFYVJd2P9i1/8 - AtGwe/uOz37mM1EYnnzy2Ea36zHXs5xJNKlVG6NgUq36x08tp0WgaPbGd7x1iG/sq9HB5YM1x/MU - +4vf/7Nfeu97Jw8Nrrrwxs/89d80zfrC3EIcp5MwQAY1HJQVfH6m3Wg0jh05pYG5lhemWTlN1koD - fsrvRIMurWIkgBACKNVaM9MQQqRhhBk5cerE574wvP76Fy0ubRNKXHTlpQZlXArTsCbhRBPy0lfc - tLa29oUvfXFmYX40HrRnp/uDQVQUjUbj9W98g+d5opCe551aOf2L/8e/c2sVqRRXGhWiYhpCy1ar - dezE8XqzJkTR7XYvuvBSHicv3vdSytWO1k5CaQpSe2aYcwQWEuAx4//+0z8RQuQ5LwQ3XU9CHgYp - xtRkVjLJABHTNCWlsigmk0mtVvN9HwBKdwetdWmc8I/3jynO848ZD0d5nmNGRcERKtlFCqFyLwkI - gwKldMmwkhpBqSwtT6ESGdJcdye93/rSbx248+6T3wttDFpCJqD1Nb/5qWnWNsgue7G9RC3mVL1C - 5MlkBDlIpBgjWmsuOMblqXteNB0AQkqpXIEGUJRRhh0lpOWYbbet1oyKSxi4ntvAQDzHKnjILMYx - EGJ6rh0koQCiRAEMFhcXK/N4DJN+ESd5DkANZkkgBRfseWfFnJPgApRUiadCNc+Nd5EGjYgGooEo - TBSmChNFABRPuWG4Eedg6EJmAARpqNUbJrAgipkExEjGc60wto3hJDKVtW3bjgr1a6hyDJaPnlzW - IVpYms9lfubMGdf0FVcV38+yrCi4adpF6Se2NeA4K0Y+ezQVOsc1AdiKmMCA1A9cqs5f78p3wACy - UA5xsCAYUKPWKnQRjGOOU454kefHTx09vHL48msvzlneV33BeZf3pRaGYWRZkeQRmETlAhvAZeFV - 3CAObbM0k1ayyIXWJpgAuKSsns3LOK+7PvuXf37rH+QNlH9JvNWFl18AAWhVjnUANJTT+PLrYgCi - gJw9LxQAuK6vFHDOTcfWWknJlRDNdisOozAMpVa2bRec27Z9ZmWt3qgSzKiFs7SoV6ul8X8URcww - ECobKKTU95mWEfg7yTElb6YUWpd2O5xz07RLT7lWq0XpVvJAmfhDKb30ksuvueaa4XBIKS2HTVLK - JElqzVqapsw0er3N3bsv+JHXvLo53fofn/rktx/4Trfbfe3tt3/0ox+Ng7AoisWlhTNrazOzszkv - +v2elFIK0W618owXQshC1uv1LMmCJJIgAaMoj6nNFIUwj03fDrJIEV1oXogcMRzl8SSPuuO+36gE - aVhv1WvN2pNPPunWPK6FwipKo4QnlmVVPbdErdIoQghJjbK00AqlSZ5zqRRMz84N+4NDhw792Jvf - /IlP/Nlg0LMc+70//75f+LmfdyveytoZ0zaiLJZaIaRNZhGUUEolFxhASokAciksZgDG48GwXq8b - hCYIEUAnlo81Go1SK+p5Xr/f73Q6FjOCIFiaXzhz5kytVuN5sb65+Su/9qtxHNUq1XKJztKYEII1 - CK2kVlEce65rO04cp4QQhHAZHCuEwBglWUoMxhgLk9D1vbQoDMM4ferUzMxMHEYgVRmj9Zyuhxe4 - nvKJKX+is2T470uxPos5KgRAScqLMup5c219pj3V9Ks8TiEtkJBZEFqMiTxPk3hueppiYlFrcWbB - d3wJ2nHdMlIVaQjHk7e99a2KqLe9/S0rq6fe8Jbbf/M3P9KZ73h+rc5nsyxrt2a5yKcazZZVeclV - 1zbq/je+83XzokoyLznEBWd9FX7lb770hsVXd4inRynw3JDgO3arWVdIFhjmd86hLP/m177x9re+ - /ejRleNrPYWZaVKZZ1oqQihFWCgFSiGMsAZecGoQjAlgVIg8jDUjtNGsbG6uTs9Nfe1rX3vs4KO+ - 75e+kKU9URBEpsmUgnLbihkdDAae74ecZ1rXa5V+MG5Pd/r9frNWj+PIoEQoTTGKoqjiOlE8Xh8G - 8zPTvcm42elESRSudf/805/vd3tUAQNdM50iyx3PHabRWGSVqen+aNKqtYLVzfmp6f765o+/Y7dQ - tDsYW55v1SuFVMMoIJQiRCgyeBYLLipVP0mSKIoWFxfLvdEWJ/cf7R9THv2n+cf0+33LMrWQcZxS - kxrMyJOCGIxaLE8KA2GlEFdKaCFBAtZl+pGJMOc8URzVoXP94kuX9t8kSKc9jYkhFTiN6uao1w97 - /p7qUA+oQ4fFkMvCbfpCFAAgpcIYMzBAPTVEUuXKjzTWHBGJAJSCvJCaKM+ukEL3usNhPyAIa8HX - Nk9TxNI078zMEGpG2ShNRqBws91iioEQwGH91OpIZZknCsNF1AYAqYVGCv8wA+GtLfZ5DzwdooKy - z9t6LcGIIo2klBghxhhCWkmuhSZSO8iq0YqtjGKYWspxLCPVaX8wWNo+e2K0igzwqv4wGFQwlpG+ - Zu5qBTDS4WPrTyKfJkqBxRhyJkEcFFHmZr2oZ9WtLIlXuysX77oYEoGEshgTiah41dWNNct0hMg8 - 2xv2R51GG2na3+zOLsyPg5FpG7koLNPKBceYFjlHChGETWIWRYYpEkpmWVpvNYfDke/7ecYt0xRC - IKSTJHYqTiFy13YGG30DGX7V5VxhiQxiOJ7VjzbTNHUyY3Vj5eGDDzX31AKIwcNCKIYJQxgpZCCk - MVKSI0pAKY1wJiS1rUJpjUCBxsgAhLSiZY+lAQOCHyBdeG4MJ3XeQonPIdlPe+T71lJ1Nh4LI13G - SxCFtEZKAyhUgiFYlSwypDRgAAzKBG0AgMZSIaoAF0pgTIASLoRGWoFiphEkscaYGobivFDaMG2h - wLadRr3V7faKAoqCVyp+kRdac0ZNqSTBpXc5GIaRJanJGGyBBFRpXQobqWmUI4nSKs2wHQAop1el - 7UI5Xy6DkIIoNAwo59QIoaNHjlUqlRff+NJKpQJaP/Lww1opKUSJE+Q5p9SYTMK77773jW9+i9ao - 2Wg7juNVfI40YzQIAqWF69mrq6vTc7O5ljzLgijes2v3pDfgKUcaIUKsmrs+6Pme98Cj3/vCl754 - Yvl4vV4/8NC3v3n3XQvblr575LH7779/aXb+gUcfPHXsBDXYkfWTjx0+1O5Mvelf/nhvdX261X79 - m18fRZHlOa9/6xsm0UQQyShGFMmMSylBU9d1wzRJsrzRag8GgyCIMMaNajWOUtt2u4Oh41e6gz4z - GSGYMZpkSZwkzc5UGIaEUZASQE0mockspBHGVCvAiGqtDUJLwrdlmlpDEscMEwRQ8T3BC9NgAMCL - 3HXsKAws09AAw0Hfsa0izwyTAUAhObPMNM9c30vzDDARW3gjRgi7lSrSkOQFokQBACgMoKQqE+uY - ZQKAVsq1XODaJgwU1L1KFsZkS6379Evmn1pH83Qk5lz4QNmWn79olwLsKE06rXaSZaXGeG1tjREq - 8sJkRtWvcF6ULw7DMI5jjHGR5kEQCiGpyZaXl3fvveDMqdOOa011pv7wo39Qq9R/49c/uHxiedeu - HZv9zSiJu/0JosxyzITnSnCLYarYA/fc/9rX33rh1PbvHj+YqzAHVDPbOoRX3/Sa7WqH12oyi1nM - QCCzKDBN4lXcasOu1z0Rx4vbtx87fro3HMdJ5tcdAE0RlliDVApDOW4mgDRoijAoXYiCUmpZVjmS - zPOcGQQh5FcrSitiUYOYkyRI0zwuMoQQI0aaJUKLJM1Mbc0uLgRRmBX59Nzs2tpau90eTyYY4yzL - LMsyKOv1enma5mmmlHJdtzPdSNN0FEateqtenR6HweHHT8zPzxuImBghjSdhmHCETHOmM31k5fTC - 4vZoPLEtL89Es9l2XD/LVybhBExiWZblUaxpEiZK6zyXSINt22WoemnP4DhOvV6PoqiUZT13/5is - yB3fE4qneUFZ6R8TM0aBIwSAMSZlhCqoEmDgghOEiYWQg2pe05+vYk18t5Im+WQSKEc1qnWHO9WW - H2RBIXJiGkpBGZlGYMu2CCGiFd5iR6LSRFcCgEICKY0Q0sjEBANQLiQTmunS5lupokiiDFRGKAWg - YZT1R32QGiNWFBUgBBEbNEigiiCFQADiGiQq4XaJ/z4r3R+u1DN+YqkFAowo0QBCSoQ1wVTrAnNs - U9Zh9e3Owhf+6g49EnmUSSpojd64+1rO+MgSa/0+M13Prwdr/f/26f+KJGpt61x80xVjFcQyR4Ci - IKQYt6pNLoRG6Iq9V/h7nVqjOhj0WnarblXTKE/TNCuyqen2MBxYjhnmk3qnEkYhVdR0zDiNcp7m - kCmiwyiIuds+AAAgAElEQVSgppHHvFFtDPvjmucrJJI8atZbeRgqQ1ObxCKpOXWkxTgeVyrVosid - qpvy2LbtYThoTjewxkkU81wQYuZJRnMEFJaWllo7Gjdfe8vUhZ1u1jNtK+JpEqfCFAgjSinFrNxc - KRBSa3yWSXJOvXy2dcD6fDe757vOReSeX+fsTbeeQue/UmtAZR/zVJLoWf+Ys6XKb3xWY40BKGhy - 9s3Ls7GMVZUaaYW2nAY0AkKokFJpjRGSSispMcbD4ai02bVNwnMOCMrpUp5x4No0GaVECYlLjZKG - KAhLZIUyVt7DpJSAgDEmzmZplWmIWZadPn2aGQbnUiKwLCvNszznjUYjj5LS4rZer08mEyllr9s9 - ePBgmqamaWJCgiAI4si27XEwieNUKdVqtU6cOBHH8Y5du177utsznh8+8mQ5w1pcXHQq/vKpEwXn - nuetnV5JJ+H2pW390ThMYhd0vV5vt9sPfu+haDRxbJvz4okjT9SnmgefOGS5dqVeXT6+XPFcAD0c - DvyphlevbPa7g26vXa33h30teJIkLWM6l2I0Gbam2loqSsjmxviC3XvGk7Db7/vVutRqOBgRTOut - eunTePPNt/zKL/+fnU5nZna22WqVPn6d2ZlGu7W0Y/vRo0elViIXrutKKUGdo0Rr+P7wFvSMn39X - /V3P/j32/0976ge+8p9aa/KPr2dtP1pxvTzPSyNnpdTeiy/68l/9z0cef6w+1Tr8+MF+v9fqTP3G - h//jjS9+8YUXXjgYDRvt1qOPPlpp1A3LlABxkq5tbjYa9f033zrdnorDhDDDdr3p2bl2Z/raa689 - eOiJ9V53kiSTJAKpXMaU0t3jXb2Sygcn3ceOcEsFSUL94McuedXR+4/fd/qRt7z1X/zVd+7+CTGS - kFqmHnRXx6PBJMXD0LWISarN4xtdwRUyMFBVSKmQxhidG4LAWVYHxlgBCMExBoNZCKGiSDHClun0 - w2EUxFiDYzqWZfmWhyVijBmGpTECQzu+h3HEhRiPx1KroihGw2G1UomjaDQczM7OMkz6vZ7FzE6n - 0x8Omq16GEV5npmuMZmEpuEhbQ17abXWKSqWbTT7vU2DYNdhRnVKaFGpVje7g5rT0rF2iZ/yycZw - Y352tjtYV5BSWmTZkBCXMFR1fSXiLMsLrizLIpSmmShB4HN6Jcdxyk0VPAf/mHJsrJQijBZprpQi - jJzzjylDkSjCBGGqEVYalQ4dBDSWuQKeZURhU9M8LtR44hv+LJtSHJBBYghRoghXluNqhIUUhCOl - KMJoS7IBpPSiOYdplLcDDHBuRIgAKamKoqCFNiTVWheFhK2wFSgDX5IsBqkpA4ywAKUxLUW4BTUQ - FRmWqUa5VgpJjODsioNfMHUSVkhpVEitEWYEg9ZQCEE1ptQihCjBXcttsFoTqr0nVnfWl0AZTKBk - XMxD++HNQ+aCncXKm/Y5Qo1O+1vf+ZZN2NXuPlTssanAWkkJ07NzFVw1kTENnWPxE5++48/zbp5E - +cIVi8HGZHW8Xq3U3Ya3OVhHHLSjIjTRplaOlWUJQ4bf9LIs1lhavs2hkFwJlCsiMhYJO48pyrQq - 3CzCk8xKAKOh6ku3yFk6kWNNJdIATBdYJzJijAzygTVla4WSNG3NNLEkpiRRFnCZL6+s3HNofeHq - bV+8684P/8cPFyDGMAEXb6QrEkuhZMnDIJRiRqmBz5K0zjOXgx8KOPshDtvZT3navaE8TZ45rMKl - oZ1WWAPWGmkgoECXSAyAwhrhsg/SCDhRz2rgVXJNDMaklFxKwzBszy7TURBChCAhBOcF51whsG1b - I6WUEkVhGIbv+1viZ8HLK92yrCiKJGhCCEJIFLz0uCtpMeUnJkkymUxM0+ZaSSkRwZZlEULG43EY - hpTSM2fOfO5zn+Oc+57X7XYxxsPh0LLtWq2mEOR5XqIy3/zmN7/17fvGwahA+onjRx4/dNDx3UOH - DrUatWazmefp6SdWxnHYbrd9yxtudBemZuLh2DUYIajWbDTbrWPHjqmCI8ENsPMw3Llj27cefKDd - ahAMayunF6amDz76yNLs/Pye3QeXj9amWtOt5s6FBZlkk+FoenYuydJRFHiuU9+9+/iJE0pKBnh2 - avrk8RO260212lwohFDGsxJ5ogj/63/1zr/43Odd161UKvv37z9w4MC73vWuD37wg/v37y+KYnFx - 0TCMxw8dHAwG5abxaUCg/oETu3+uZ1nPuolJ09SgzGTMtm3LdS67/PJjp09eefW+TnsqefWrkzSu - 1Wpr6+uFFFzJkydP1ludC/ZeBAxt9Lqd6envfe+hvXsvDILgbw/c99KXvHjPzt2/+Z9/a252wbLd - 7z7w0Nz8fL/f3+itaZBexbJcNw2S7jigxP3IB/9rteG6PTeBnEZ8I1xXtDg0OiFt58N/9Hu07kuq - esNV32eOQUSWKsnSRFScislMpRlhyLR0kiUYEMaYYKzP89I+dy5hjAnCWmuCcVEURSEs2zWpmUap - Z9nVSt317GFvFIWBadgESBSEJZ2ZMcYwSYrEcd3SrrEQHCFUr9Y8xw3HE22a8zOzcRx3N9Zt1yvS - 1HPdJE/XNlZ9t4IFpdi0GROJcg1fFApRRj1TWSjPiiIXNtVCi5Zbz4PctWy/3vQW506cXMYM+75r - MFTkSSRzkxnKMS3P5EpirTCGUmpUqh9LEXWWZe12u3zwufjHpGlaq9Vs2x4Mh1J/n3+M4oJpo2wQ - KSCioVyKFVIaKy45pRQQYdRwiE00rlJXx9JiVm9j06l5uVSmiYNMcShM2yWCAcEENMZElmnDT63y - W5qOc/qOs7YcT1GMCSGmadq2AADTNJtTjkWsguvyoNVbVde2BUfUtAqthBIAYDLq2iYwKTQR+vzM - xxeug9kqrSUgQEgCQufs/LjiBqYKyTKvioPgXHAuZCSUQpxyrIjP/I28ME1bE7Q+7NtjJZgChk6u - ntjv7s91bGidqWJ18/hqhnUGNtizSzNqpAZZXymRZKnluLqINYK0yCvVapzFtanq+vBMpVbtDXq2 - 6YpCZkWWFVkqMqpZEAfUYkVROJYbxIFfrUou8iIzfbMf9izLIgbrT4aOa8dFxGXRbLSyODEMI0kj - xkwhhF+tTJI0ywrMdZwmyTiqVn2NFGa6055Ohum+G66Jnfzug/cJxjEjyNGccSBADcYYU6CFUqW2 - pbRzPddInKWbPNdp0T9YzxgWbsEw50wYzwOHtr6Y1oCQ1BpAK9AKb42kNAIgGmnAoGmZNyrx01Wi - CoA8HVL6Pj3glpEuYwihnOflr77vB0FACMnz1DAMQjCipExDU1phjBGlQoiY85IvXAY0jieTkkKH - z/JgpJRag1Kq7GkwxozgZrO5fft2z6togsssaKXg8OHDYRiW5g7lGlKr1WZnZi699NJSswkIEUKG - R48MBgPDMoUQ/X5fSMllsW339qpX7Xa7ZmQrpUqJUyGLarWqEVRsd9wfVixHZLnKCpMZluNVHPfA - vX/baberjic1lVE6GQwMjafqzX440VJddtHF3VNnaqaTh/F6krar9SROgzwbKT3bmjIQOfbkkWa7 - 1arWh+EkTeNrrrxqdeVMEoSTwdAxTJuZg/7Acf1JMJnqTCdJMu4NFhcXP/CBD8zPz1eq/vLy8s++ - 730Li4ulvcgf//EfT01N+b4/HA6jJHZdtzSzKe8+5WEqUZnn8VT8X7aedRPj+36eZkmSKNCfv+Mv - vvzlL193zYuiKDp+7JhhGP1+z/M8z/Ns1zly5Mj07KxCRCHIBNeAj588dckVV/E8X9/sEkQ/+7nP - M2KkaVyvVk+tnbnrrnsRUr7FZBYZnjmMoyANlURjXrzmLW+PNwdzs9MXoBu7/c1atdHymrqfLJ84 - 2bhwaSTDVjT76S985lt3fWNt/SQBvq0zG6Qi5woyJlJFtAFME6KSLDaZhRAqlTLnExC3dhjldai3 - 8AlGqFZKSk0xvfbq69Io2XPB7tMnT9u2BYAwRuNgMjU1FURRmmdxmhi2deDAgSRJTNOM0oQQsr66 - tjA7V/H9UX/gGtZoMJxfnHMr1YcefnBhabvnO4kIKzU/6MZJkmDOmGW89MbrHz/66Mz2asCDUIRG - DdvIT4LRZVdeALEy6sbK8dOV2VYQBM1WyzBNMRIMM6UVz6VCejgce27FdV1KeZYWQkjHccoQNdM0 - 4zguB0OWZT1H/5jyEbf0jwGpJIACjDHDREpZrstEAwVENcIakNIaa8ZInueAEKZECshS4RSs7taH - G5vrm6fD8WTni5eijZHdMKuWqwwiOCCBCCMIECiCtVIAWm1xHs+/QaEt0qbWWpVyO0KIYRg2MA+8 - xJKMYdM0CbZMbKoowxgTzEyratmuJooQitIEFxw0NAlUTRYwQjhCEqdISY21JlprdM5D6R9bf/+L - v29uUHKhGcKgQXGBEaGYgkaKi0KB5zmJFgdPLvOGSiz0RP8MS5DBCVjo0Illr1UPgiNu242LOJfp - 4s5dr/8Xb6IK6i1/kA7AA40UMrTdQjzTVDJXG2FvvGNxBxOGYrDRXd/W2eHX6kjoJEqazbrWKAvy - qtVAHCBHtUotF1zERaPSHAYjU5ki0lXHp6TAnLiIMm5ayCgYopgI5jHMKGK+ARRMnamG1TSlwSiT - GW+wlgYZjIO52cXT/R7TbG56mgcJMQkSgBUyHGOSx6c319fHvebcVM5yx/GIiWIRWJYjUCGEEEJo - pIBgTIhlGSVYuEWYPU+r/E/wLqGRAlAKFCClQGOt0FNSO4y1Ar2Vqn2ewq808NWAFMAP4pZvMa/B - MIwkSbgC27YVU1LKIAhKp7harRbEuOSylGLpQnCkFWaMESIBMKK+Rz3XIzgVUqZpCgDEYOXqAYAM - w+BCbFmIYYwx1krXarWlpSXH8YIkVkpZjj0cjofDYblxKooCALZv3753795atZokiWVZnU5nfWPj - xIkTJ0+eLEXgcRwzyySEGJb7yCOPJKKYXpjRGF1++eVRMF5bW6MmLaHQ4WDwh//tv/dW1lihovHE - sm3i2di3MUXvePtP9Nc2XIU9wwrDUBL03p9/30//zL/9kVtuxko7muBcJHFsOLbXqj92+NBdB+79 - tV/51UcfeLDielgDQigRhe17v/k7v3PdvmtnXvO6eBwYAKC1VNDsTP2P/+eTtVbzzW996/333z83 - NxcEwdvf9rbp6elDhw5+7ON/Ojc3t7mx4Xqe67rValVKefjwYcdxqMFKzBvO+h3/PSL2f64fop51 - ExMFYbPZNAyDEDI7P0cQNlybR8GFl17yyCOP7LxgTxQEG5ubS0tLnU7Hdd3NQZ/ZVs6LerPGbOvI - kSPtdnv7zp3xJAClHceIoihOU4xxxnPXtqIo9F3bqDiCKGVYYZR/6rOfZ4rJIFNSek0vCEMKSEdi - CvuWY+ffZjHLj596Ysf2echSl1IKOhgOs4Iw088zgSjlglMAAYJZjGGi5VamfNnElFcjlHNfpUoF - ctkym6ZJFGipbdO56aUvue/AgWuu2Ld5Zt3ErDT2lVnxipff9Lf3HVAAK6tnrr76mqNPPDkKJhXf - xxg3m80VtXL1vn2Oad1z191Jklxy6UVve9vbur3e+vpqFIwV1piRKI000kWRoAw6Lf/Vr7rBbRaJ - mYTIlfaUW/McanVPrpsFmTY7MNT7b7hh1yV7X3HLrT/z796HiBkGGVImAUwwGNgcjseE2I7jEGwm - cd+gTAhhmiYAcM5L+KHf79dqtefoH8MYGw6HXIhqtYoNHI7CEr8RSjFCtdAlKUyfYx0hAAApRSEE - NQxCCAXKgJnaqJiVteT0E48dJIRQhAf9vrQ11MqUV0AaCCCpdcl3QQgUyHO+BUgDPg+zxxgrBUpr - pbTS5WZHc8HjOFZK5XmejmKLWEIi15cAMBqN8jRjwBzLFkIgIkCDVkLmhYZCKwJag9ZAoJRfPT+y - 3L+zMNaaANEaaaURIIqw1loqhJCmpjEaBgTwuz/w/ryXFYN8ym2anEyi0YMfeTLw0p/85fckhEuR - 1lr+qc3j4EEcZVnC262ZIB0WWUpsEvOxYVuqkHmY7t59waGHHj/+6BnlKLNphyLkkbSJaVnWZDIh - Bhv1B6355mg0ck0vDXMDmaPxuFXvMIglB9f2gYNFrMkoqLiVYDgxTZMwiPOEGVaRZlkqfMcNxoHi - 4NS9/vrAczye5Y1GYzgZFRmPgtRjfhin0SgKBqNGxS+k4FgSm+VCtBamUp2bdWsUBVQXVGOBeJrF - wKCcZgKAVCCFFFKWbiWwNRsuBUpPKRVeuHrmm5fN9Dm8ROln2ACcvYUppDEoiaBk8OAtWz5cdjB/ - l8jrmbUlgtUA5TVOKAAqIQGLGQUroijinMdxLAuObNswjCzLtFaWYQIAz1NEKSFEK+CcD4dDAEjT - 1HVdRAnGuLzeEdrSIm2tkBhTSkWWG4ZhGAYAlDBtFEXLy8tCiGq1WtqflNlJnuelaVqy7kaj0fr6 - +nA4LMfcg8Gg3W67Ff/IkSM7d+8Ik3iq6oOJN3obs/OzWSEWFhYG4wEAYIxnO9PDbj+dhJvrvRdd - ta9aqz1y5HCcRPfdc++Pvvq2A3ff85LL9k1PV+aWdszu3Hbvow8O+/311dXuytrN19wwOzfDKF3a - uePQ8aODza5NWDoOsiBabHZq1appWYKiYydPeKbdabW/cueXX3XzLUTKbYtLD37v4UtfftETjx28 - 8eUv/fwnP33Fvqt4ms91Zvbs2fPbv/s7S0uLw17/kssvKy2JoygCgoUQ8/PzRVGYthWfzeQBVaJZ - Gv1zI/P81bNuYsqDJLWOkgRjvLSw8MSRJx97+OE3vunHhuNRvVnv9vudmZkySuno0aNzO5aSImeM - BMGEWVZ7ujWZjB1hmxZzbaff63mekySZ67qdmdlxv5unqZAZ5mmYZcxDnuWlIc+5WFha2OhuBFTh - 6UoSRBftunDy5DqxzdW1NVxj27fvnEz6VUZcx8dAkEagEAFCEVjMwCALmUkssYGhVJpojTCCZ1Cv - S2MpoYEQCirHGnjG84yDxnv3XkSBvPbW2z/3559bfmI5CMe+7zPDuPmmVz762OM3vOTFn/r0p0rM - Q2s9Go0oY8eOHVtcXNy//+bf/a3fth1z0Ou/+10//fCjj0xNt6+//tr7v/PtXBWaQpREdbuCiW7U - fUbDyfjodTcsVHfXurK7Gm+s9dYhjy+5dmb1kZNXXbQwRxY8o/HZr3z5xTe/zDBtQAZBXpYQAxuA - EQYL6SJNACNNCfL9mtYqjKMSVimbmPJySp5X/xjDoL7niUKc849BCGmtpFJCqZKWu7WvJIRSalIm - skJrRKhO07SXbXzr4W8dPf4EIaT5UGd1smZvq2CMoyJm1NZUa6ol56AFQoggpNW5mK+n7hBbbMrS - d0shpWTpLJoXeRDrNE21Bs55NMkTyDBhlVoBAHka5nHIiImabQkaMwo5BIXI4iTVeYJZgYlEoJFC - iBPAzwMCrM+GEZ533j31JMJSE6QAa4Q0RgXSCBEESqmQRxLLAst3/cr7fKOWj7KqVTUR6/VXqS2F - xVMxVFwbwLHE9ZZD8SwAJqYxEoWkTCkDIaVMzKmwKHVd73j3ZH1bszmOIxl988A3kCY6UJDqbbNL - g96QEepXvXu+fa9XrVBNBxv93dv2nDh54uDBgxKrbn9zYcfixsYaNVjFqwajoF5tDIdDTHW9VVvb - 2KhWK2maEUIateaZkyvzc4syE71+b/eO3YMHvh3G0eLi/Nfu/ua27bvWN3t5kuy9YPdDj29oQ46S - ERgAPizctHPMR0hgs8JSlQgMiCECTGsphEAaE00xIgyZiCDN5dnQBgWgFFb/n6Ew56ZF59RJZT2N - ynKOAlyydiUGpYnEpbIOI9jywcNw1m5JY3hKyvQPVIlLIq0FF45pAUCe54yZopCtRnMwGMxOz+S8 - wBgPuoNKxSsHxwBANEggFDPBBefcsW0hpWVZoyDwa7UoigCQlIpSVnYzgBA1zHOz+HKQV654zLYM - w3jwew8dOXKkKArigVJqbm7u0ksvLX1fyq2vZVlnzpx5+JFHDMMoiXfVanXfvn2Y0ZWVlTOnV6Mk - BIM6ljc7NxfH8ebmpuvahKKiKGzbFFlqYHTvN77xvn/1rgv3XBAEwTvf8Y6f/eV/Pz87k6fJG193 - +yf+y+9/5uN/1mg0Dp1Y3v+Kmz72iT8RWbZzafG+u+96x5vfdvmll0Zpct3V+/7yzr8EwWu+h6R4 - 4P5vvf+977c990x3wzTNNIwm/eFLb7jxI//pN799993rq2s/9zPv46CuuPQyi7D5+YVwNP759/8C - AtQf93/qnf/6vvvvcxyn1+vVarW8KCqVymA8KkmHQojRZGzb9lYTAwAA58CY0qzshz3v/n9ZLwRN - 7Vk3MYSQUmJu2zbnvD8cbtu2LYzj9c2N3Rfs2Vhba7SaWZaVfpDbd+4Yx6HhWUE/rE81ojBxPNc0 - Db/qr59ezb2cUqoxarUaZ86cGY/HrWbN8ztxEiJGXWoJwLgAD5nYIP31FbdqreU9y3a1I75z+L69 - 7kKmEtMxsck21rtTjSoUqRYQhQkzLG0YueCSQA6c2SxNQoMaUnMueLmNYIxhQEIILbc8KMub9xYu - jVBJGfHtiuv6g83+8pFjJb466PYUF65lG4YxmUwMYpw4fvw9//u/vfPOL/K8IITMTc+UBlWlwfYN - 117320r9+q/+2oc+9KFX3fyq//xbH5maar1i/y1HjhwZJZOZ+fbx48fn5mb6q+s8D+dnp10XzW1r - 0Sl09Nhqovp+C+OCDFfPbPRPHH7Su/WNL8fKw6AR0hu9HrN9hFi90gjHiVIKM2zZFV4UoYody261 - G2EY4jTJsqxk7ZX7gGq1WtLxnrt/TF76x7QbtVptPByXOy3OOUOG0CUyrgVojZEGrRHwQmKNNOg8 - zwAJZLNYxmdGorDF1bdcq0CnVkGZ40zVE5xiQSVIjbWmSgkBGggmGGEC8jzPEihh9lKmhJQqhRgI - Y4IQQeV/TjDGTNNQjAHkWoPgvCgKwrBlsCzjnOcIaUQpNhBQKKRSQhRKa4o0RggpDVpr0FogeAHN - 3xSAwlQpRRCjGIEAISXGQAxCGA7D0PdqlscGw7E2KVTIWjpwmKWqyK3aQTD0fWcQDSjF0aSPzCoQ - VG201vtd23ElRwZ1uIgNy0rzWEgQGOeyaDematvrzm5HNCXNKI2pi91XvvyVK8unN86s3/a6237v - Y3/wqtfc2l3tbqxs3nbrbQ8//HClUunMTv/NN776iltf+d2HHhRCvPzGl33lf371Vft/5MCBA5jq - 62+87nN3/MVVV++bTCbr6+u3vfq1X/7induXdu3ZtfsvP3/H2975lr+884uGQfe/6paPf/zj+/e/ - 8sknjx47sfyan3jNH/7J71c6FSFURBLWNG541fXOlBOISaoSiaWSilEslQBQBDGKGFEMSwSKgEIE - DIWURkpiJbHESigs4YVvZJ4p9EDnOZ4/xTcHQBo0ArlF3QWiMCBQEgMgBBg0PueDt4XBIPVMiej5 - fRI69xEIQANRABocZpb0W8swCZC1tbU9e/ZQhN/9U+9yXVch+KM/+qPNzXUEQAABVxo0A4yEoghf - dfU1P/rGN2it1zc2Pvqxj0kph8Nhp9MxDCPPc4yxZVlJmpYdiVKqTJcr6XTlfYFzfvDgQcfxarWa - SPMSjVhcXFxZWZFSgtaj0aher29x/wF83+ecX3jhhZVKpTvoE0J833d8z6p7mS7iOO32N2q1GmNE - Kp7nOcNEaz0eDBfn53/j1z/0uh95zWQyGfP0wksu+Otvfh0DHLj3b8+cOPWZT35qc3OzMTs9feHO - zfV1RsiJ48c3l49/46+/9siDDwElXrthU2PcG6wcP4kB/e099+yc3ya0SkRBHcsxLM+y77jjDoLQ - X3zu8+tnViXCV7/ompWTp2ZmZiQXBw8fev/PvjdM4sXFxcFwSAzabrezLMuFkErFcVytVqMoKped - TqdTTue3onjO8w38Z07M81LPuonJ87ycL5TucFrrEydOzM7Orq6sKKUajcZgMLAMkzFmGoYQghA0 - HPbr9XoUBQiTMJwAQJ6nzWbDsqxwPMnzjGGstWq1GhJECmqU5a1qNR2FMi3arXbUH1ItZqerIzEy - yDjjozxOdl24k5/ua2UrhAkytEQiU1BgaaNGc7ofhuC6GSir6gnOcyGoZQBSIi+YsQWWSCml0udQ - h/LOLc9WeWVa9taepmS6EaQVKCFEnud+xY2iiDGGAWdZVnZ11WrVdd0yKNW27dF4/OM//uNCiA9/ - +MNXXnZ5o9FYPrlcrVY7nU6j0SCE1Ov1Mm8WY1yr1bLuyPf9NE0dxwmy0dLS0qmDK5ngLa9dQiCX - XHJJr9fbs2Ou2WxaYFUqlVqtNuqOgyAQXDuOwwwjmISGwRCWWZb1+33btjudThAEpV661BMlScI5 - r1Qqz9E/pjwlfM8LxpMkSrcvbR8OhxujddMyIUdFliGqgRJNkKSYMFwUAhFCkMYSGKUUozCbUJcU - wK9+1bWUMKFVJnJb8wAnQR7bnj0ZjtqNdpzGQBTVSINAkpiEaK1BY4VAgdaAzgXZIYK3krShjNIt - qNS+7cZERFFBC2AW6jQ6nl9PMpHzLMu44xrXXn3jE08eJYCUkIBBKWWZpiKqKDX2jqG1AESklOT5 - 4on+ADwGNAKOtKZIK1BKM4IRphopoaQSwnVdqYWU2vGtMJnUnGaZuyC0KLjybDsNI5ORVPKKYyOp - KDOCMLAsRxaSAsVcmsjWhbSBaoxAU7dqdeOhdNTP/sb7UQo60hXs0YzeePkNGyfXwl500ytu2nvr - BZ25aYrYfXcf+N/e/C+/+tdfvfLKKzOZd/Y13/KWN3/3kQer1Wq73rzuddfMzyzd/q7bgiC46pIr - d9yw47obb+j3u8vLy6955Wsvv/nSbYtLlBqX3Lz3la+4efb66b0X7jEdY3pfa//Lbj106BB2jW07 - drEU2bsAACAASURBVHRe3pI2SiBBNjKrhqZqWHQ1kwgpgiVgLUFKpDBCIBGWRCQKFQC5Hg9GGOP+ - aHDrLbcc2VjGNiiGKKWZyDB61kvcC12EUSEESMAaOaZX5JogKnJpUAYAUnNJhFCFIlIh6domElsj - 7zRNCSGAcUmt4IK7no0QGgz6zVpdSZlnOWLaMk3BFUgYDPu7duyMw6Tq1+Zm5qempnq9TSSUYzqD - wcDzHdu24+EEIeTX/ChNKl6lWWs02+1JEJZmdJ7vcyEwxghjqVTBeTlNFkIghAghlUql5NWVfg2T - ycQwDNu2T58+3a413vSmN50j5QyHQ4Ox2dnZKIpKKzzXdcMw3L1799zcXLVaVQg++clPaq3f+a6f - ajQa7/w3PzW7uPCFO+/4xJ/8KWPkXH51edN57JFHsVLj4dB1XWQbBJAouCj4XV//RhyGkgvP2SL8 - mcygmBx54slkdZNfWiRRjE22a2oqnEwQQkVRfPbTn+FpppSSSkZBuHNutsgyRmgaJ/1uTxYctKaU - eI6bxkkwGkupTp88tbG2Tg126NCh3Xv2LG5fyvO8KIp6q5kXRVEUpcVfiV1lRV6mzgGcZxuttdal - z+o/13OtZ32FO44TBAGltAxh/zfvfnfJGsOACCGj0dB3PcbYxsaGbZoKKa9R+ZUPfTBJU4sZluuk - eaYk5Fk27PW3L21TSs1MdUq2l9bKduzTa6frU63uMJxuTlFJ4+7kdbfsv+ziXRvjk2oKxW0xJIlf - qY2ObV5Z291fHs7vvvzY6U1AanFm7uThI/d/61uDUeTXGgHipmEozbnOa46TxxGPc9u2pdLn/KnO - n0uW6wLGmBAicnVOWiyK2DAoM03C8Prx9Tu+cEchBaIkybPNzc1Ktfp7H/29o8vLQohJGKyurw0G - g10X7Ln//vtNyzJN87Of/eyZlVPHjy6/593vVkr1+/3hcPjT73nXQw8/fGT5aK1d70VDr1KNZCjj - YqExfWaln2VYFEamjPsfffzOr9/l1X2mWIPWZv3ZdnMRsH3o8NFxECYqMylVSmjNvaqbBGGUDU3i - ECwBIaUkQirPJcYIEduyrDJmbMu9ilJK6fPiH6OETKIYQDFibK6tW+6Wf0xRcMd1M5zFeYoZVaBz - nlODaa1Bq1KDgZBSRBUgEZJhnmIwNQZlIY2IYBhpjAERi2rQmGmCCFYSFIAsJUoYQCNdou9b8lSk - tFRbanCEEUaYUupQVqd1USOuy2xcqxiEKOI4jlAZJmRx+5Is8iCIPNeN4piCBglT9UqjqSckYpLl - cQYapMYIE0roC755QhIAAxKAsEKlzkoBKGpQpRQCAkrxPPct38CImeZ37v0OT5Nt26auuOri44Nl - qbBpOblSZIsMogAw0hhpIEphoAWUlHSECAaENdXAMHK0jUyVSKpYHfsBG+uq9k13qAbmtMHdnBj0 - ZT/68j50O3unjgyOdGY7F7/44g2xuXT5wmQyGchRbhfCyQfxYG7X3Iba7OyZGcmh0TLtxN1Q663d - zRObJ6+68Ard0Jt6w19ylyfH9rR2mnPGenS6vuhthL0NWLV22GMeIQMbriGg0Lh0TxEASoFUoJVW - xCBFWmAwAJBFDMfxnjz65Cc/8UmE8A0vvs6HiomZUIWWUEBBGVXyhT1cz/SJ2eJ+obMYCYA875VC - KwJaKk0xY9okOZajrFlvWrYVjMcKKdNzOC5SCJEFCqsoC1Qii1QQMEpfAK51lqQmNTRGPMsRQq5h - 8zSzDNNwfYxJnudFVriuu3v7jo2NDd+vgtIg5Imjy7Vapeb5a6urFdcrsizKuWdaSEM0nmSCu7az - ub4RRVFvs2sbptaaC1HSB+HsalkqGQGgxGWx0qUggNKt9bPZbBaFuOqqqyzC8jw3TbPEIcrlNIqi - oigIIa7rCiHm5uYuu+wy23XSNPU87w1veMO73/3ul73sJcurpz/wgQ9kIv/DP/qDr33lq6urK57v - AEAYTHZt204pXV1dfdFFl2mENEKDwcBo1yqVSp7n7XZ7/dCpwWhYBr0lSWLbtmEYzVp99bEnwzCc - mZlBJjt58qRlWeU2jHNu2zYAjEajdmcqT1LP85RSeZpGUVT2ZKNxUBRFq9EoadH9Xq/RbFoYmZSV - 8LzkwrKstbU1hHGz2eSplFKWKRCI4LJpe2FPxP+F61k3MUmSVKtVJQTF+LJLLnny8BNZkq6srNz9 - zbs459VqZTwcvuc975mZmfnKV76yY/cON3SLNDlzZnVx21K/3y9virZt/t5//79279y1e8fO+w4c - +MVf/MXX3vbqn/u5n7v73ns+8rv/BWNmIlEkYjQY1gy73qx89ouf0fX8OD8ZL+AhibIoma/MfvLu - j79k9z763ceQsI6cOvn1r3/zidntjz12WAKN05S4RpbFeZ5Pt9phd1B1vRwjQpjgfIvJjxA+ry/e - YqsxRAgG0FIKRJAErbQApCjDvd7m0eNHx+Ph/Lb57samZVl+rYoxevDhh2648fr3ve+9SZa+5GUv - 7ff7Z9bXFhcXuRC1Wu306dN33vnlbQuLBLPHDx7+/T/8g9tuuw0Bueuue6ampjORI0QMauSxMLFz - 9OjaZZdcMgnM//BLv7+Wrl35sivsfGnlsfVo2DPEZtr97vqjxRRtV+16bbrlYE+KQqtcqJAauVsn - 2SBVIA2LaJBSStA4zwutFWBUNjEl7lISeAkhaZo+d/8YtOUfgwBgNBo1GWUusxwbRIEoKXWkmBCh - lQRFgJyPo2qMFNrakmDX0AhJjRHGoHUheJELhHJQKOcpL/5f9t40TNOrKhdeaw/P+M711thVXT1V - d7pJ0umEkIF4gASOQERIkFlAgno+ASOCGPV4MCAREAJ8oucgCPKJyBQRIQiBBAgJISETSTrpMT3U - XPXO4zPsYX0/nuomqDmXSKI/ZF314726ru736mfYe+173UMqHBcJGIJFy4BR1oyekrNmZREEMqSN - oF1jDWhNXGSK0Iy/DMRarZaQfqIIEF0n6PSS+RMLo5URZrRjDAwgIBUQpWCGhI7VSgtLhMDthuT5 - ietjflwAjGAdqy1aZsUGPWLDe56IbKpSX/iSC0SoFso84R7zWkdWKNUtLoNziyjClMUKIQHrcYEZ - 2XOjZbSnvokBswRgwRiwBi0KAwyVSorlPGrb6DQ//9HPLx1auubq39973lPf87F37f/OI1/90lff - 8YfvfPd173nrb7+11Wm96ffedP2Hrr/qZa9/+tMvuvDCC9/+tnf+/T984dp3v2NkpHrBBRe97U1v - +9AnPvTJz30yiqLX/errn/XcS6+55pr5+fnfv/b3/vDt/+slr33ZW9569ZHjj/76W3/1E//nr37t - Db+6d+/eneft+ePX/+n7/u6DsugO0ijBlLMsnpAALIGxYIihRcgcTZhmnJgjnKgzbNfbHBgH0e8O - 2mnLk96QaeRMSBmlkcOdJ+xmPU79231iCMECMrQAJJG7zIm7SYH83onmlrmn3PndW4GREfpZlz9z - bdhv1ZsYoOtJnvPzXr5g8xtEFhJSSiCQXPR6ke/KnOcP+4Odu3ZMb9oU+DnOeafTyeVyOtHHjx+/ - 7777zjrrrBv+7rOc88rIyHhlbPcv7BQZt9eYycro8vLywwcPxDpdX1q7pf0txvlKfT2bqgNABktn - yHTW0CilMp+FIAjQbMitkyQlowuFwr59+7S2W7ZsiXsbfvkZ3dV1Xa1UkiTj4+OXXHJJr99HRBTc - 9/1ms5mm6cyW2Te+8Y1X/tIVv/Krr59fXbYSpmenf4T6ADabzdnZWWuhWh0bDOP5haVLn3Hpo8eP - V0bHgItWq5PPF4kQGOSLZcfzVuuNiZFiFCXz84uFcsUiq05MJsai0ptmpoGJcnkkjlMAllneZHtT - rNJBFMVpUms0fN/v9/uu52lqS9dZWFoanZwIC4WZmZluryelPE1tcV03XyykRnd7PSFEljGXiSG4 - FL7vZwj3/+Xh+Vn9u+snbmKEI09PHHSqfNd74fN/4fbbb3/wnvtqtVq/3dk1t5MB7t27d2Fh4RmX - PuPGr99YKY3UW23JRblQbPe65UKxVmtc/773u1J+7C8/+u53v5u0ed3rXnf1G98UK92uNQulCrMU - 9QeVUiHvuhNbptp3dK59xx892N4/H7YWorWJicn24dX9ndt+5+rf+eanvvXWN/3PF77iFeWgyKXb - G0TamrGxiXqvxpTxLPXXa6PFchKlQjjGACI/zUp77FTydCDIhh0CguM4ZG2hmI+SqD/s3ffA/QSw - Z++Zk9Ob7r//vpGR6nA4GBmtNptNQrz4kqcfOnL4r/76E4VyqSyENqY3HCytroyOjpI280uLb37L - b0spH3n44CMHDtz8nVsfenj/5KYZC+hw6Tu+wUinsGl6uxeOVMd2vOyl28a3jq11187afYl0WRon - 5SDHNS/JgumYYWeADnzzuzcvLyyOjlccF4VrQRu/KImstZYMcMYAQAiRqBSGCACu6zqO47quUsoY - kxlM/fT+MRwz/xh12j8GUyiWSzmnUK/XCC13neyqZgZckotsdSQEYEgWiBhleUuGkHGBAgGs1VyG - LuPgemQNWOOAMFYhMLKkwCByODVLykJ4ABgjkwEwljC7FIwoTdNu2u31ekli0m530FAmhiRdLFXG - CKC2vppEAzAQhr4QIjN8b3c66Xo0CNSQ5VJjQWSkPIv040loT3QxsGizJkkDCcjM8k95jSDPbEPI - kGm1mqanTVepYeoK2WsPDi8cV0Vupdu3Q8d3VJIFLZ2ySiM6RRkBQiQwlqyxlKEdyBEJeqYPLC98 - 8RtX/2a30TVd+/377zjaPLr1rLnXvOpXOp3e5z7/+Xqrubq2/JUv3Xho8dBX//6fIhieXDp5z/d+ - cP+jP3z7H/+RMbSwuPj9B35wYv34/7j6DZ7n7d+//5ZvfGetszK3Z+cbXvfG/fMP/s3ffOrQiQNP - u/hpV77oRY8cPvDpT3+23e+uDta/ddMtt61+32h0AhmpHiHjp7jgRJTZ0SKicCRpTNNUojBkmp1W - rOPp2RnJOHK7ur7K84yI0jTmkklXwL++dzxh9RP7xHChtWYcLVGq0mQYjRYqt91622xh/JYvf104 - vD5svPxFL7aFdFDvOK6rmE1Shf2+RH/jGxGVUoH0wFgwtlyoaJUMur1CEFbyRcfxlFKh602Ojj30 - wP5hr18uFI8ffdR33CRJLn3Os3du34FIcRyjtIVyXg/jk48eWzo5ny+X7rzjjny55AR+e9DLusbT - dNRst87C6jPEJftAj5kuZYOnkZERKd1Op6OGcbaWNhqNubm5YrGo0vTw4cPZgsMYq1ariVaLi4ub - t8waYyYmJvr9/pEjR84995wTN86fccaeF155xWc+85n19dUMFd60aVN2+nrw4f2dfu9pV1505jl7 - x6anErAnG2ue5yHi0uqKQbjg556+uro6+5RdYztm6SN/MTY29vDyg4VK+fyLLoiHUVDMj05P3XTr - twZxpJFc35usju3as3ub1qXRkR8+sj8zkhgdH7Nab5vbIZDNbt9RrVZnt25xA18Isb6+PrN58yAa - ZtxByXm/31dGA0ChUHAcZ2F5aWVlZXx83Pf93qD/MynSk1o/cRNjjLHcep6XzRoXT85vHp9ZPHHS - F862mdnFpYXDjxx4/a+8bmVpOR5GnvSmJjatr6xv3byVENNe96KnXfTQAw+WCuVCmJ8YH3/koUfe - +UfvvPbtf7R50+ar3/Rb1Wr1c5+94aabbpqbO2OtvhaG4era0kCkZz/r4vLWqdx6vdescz9YWWsU - g1y93fmbv/mbD/3W+1msX37liwFMqVL0836xXIqH/bzwd81sLoZBzvWXF1dqpt1WSWJ0Fs6Jljhs - qJMyGGbDhjJzp2WYDV9jFcdJ4jhCOOLb3/2W74UPHXpIxRrQdto9ABCOTNNUSjm/uLBp0yYDBIiN - ditDESemJnNBuLCwMDZSZYiu6y4vL8/MzCwuLLmOXygUjxw76he9tZX1nFOQjrO8vppo/Rd/+bED - Rw8Kj0uPl0Zy6+urhXxIRFEUcStKfikZRiOVQqO5NlItWWPSNF2rr7m+FxSCJIoHvaG1IIXHGLqu - a5NUKZXhKJkpZxRFmd74ifKPcdDlUqSx8hy3NxwYo13XLQW+73mANGSClBaEEnmsNfCNcC5ATsDI - AhITRpqYuM1gB5O5EUrpggaJLI4jDxzJHaMMSsYYs0AWOWHmm0FwqpFBRNIaBEdEIBBCuAwh0lEU - xXEsJRpEk0Iu5w2GSRAESRLFw54U4Ie51KZMOhFTEEIXeD9WShrloeacu4xZg6ANWHgyib1IwE9H - 7ZzmgWaneWbRYdpqC5Y7otGtoeLD3nDTzi2edGSBH19fLBZGtWRkwQIC18wwQgYb+eEW0ABajWRP - CSK4JUKWbUhCCKZhdbU2Ho7GoBSz6LI+xCgFIRLg0RPHRveOoyNmtm4R4CprGrYdRUML1IehdB0h - 5EptJSwVWrajkYTnADLpSw681WuPjowNIEq1ZcB8PxgZG1VgheNacFPDuPQjULkgP8RhFA0D37XW - AhIAIzKE3GbOcAxJExjyPY8U1Ju1oBxedvmznv7MizjH1KbMoUa37pZcx3UiHSMH/i8Ezv+5ZY0x - xggmAMBoXRktuY7j5kWz13Q8rqwGo9tpPdEDh3GwNtP2wykBs7XWE0IYppIEDPqut/fss08cPdJa - XS/m8tYYqw1HlnPDfqt3/PDRJEkEcWsNKJqd2jw1Mho4XpQkUXeYywc56R6YP1ZbqTkoBXFOOD46 - ppHqzQZzJWeCCSQibY3NxsBAHFnGI8yIvdk4CRE9z9VxFMexIYvI+/3+WHkEAFqtljFmZmamXC5z - xhqNRpqmuVyuVq+vrq4WK+VyuVyv1x999FFlzdlnnz0yMjIzMzM5OXnFFVfc9M1v3nPfPZzzfrc7 - tW1LtVo9/OjRaDD8+Wc+57m/8IKXvvJVo6XKORdd2EuiN//e21wvSGK1Y27X2Tv2XHzZZTpJhOse - WZnXygZhfnR84sjDh/bs27dldqtKYuZ5qaVhkhbKlZmt2y77b898zvOeL6VjwJ5YXfUCt9Gqz27d - 3Gk3n/u850fxkAtHCGdpaakyWs3l8kEYfvrTn16rrRtjhOd+7K8+umvXLkIolEsn5+ePHDkysWmq - VCo1m83BYLAROPCzetLqJ0dihHA8t9lu9/v92emZ48eOEehquXLo4MF8EJ555lMWlpfIWjC2EOaG - w2HUj0erVeTOWm01zBfv+v4Pts5uIaJkGD14/w9b6/X3vOc9+Xx+cX7hD/7gDy6+8OJqcXR6YvL+ - ++7ZunNre9jMV3MxS1JIBHDTjld/eMKr+o31xtj4toC817/6qsOHDm2b3lkoBkM1TPQwSiPHEZVK - JU2Tfnfw/VtvG6z1n/XcZzEmkrRvGSKyH4d4N8puqFoAOZNMGms3FCqCM8lQYHc42HXGGXfccYfn - eXv37l2r14QQRpEiAwa375wzxkjEWrNRKBWttSqJy+Xy/kce3jq7JTV60O15rjs3t/PAoUOzW7eU - x6qNZjOfz49Pjq8tryTxsDJajqKY+7jaWJ6annAd0Wk28uCCW3CF22y3CvlCkCswYv10mFjNGBt0 - h1ZZItQGSVNO+ixFwa0xGgwzFplkGaNFax3HMSJ6npeNdTNq9hPnHyMM2UQrzrlwebvRxJTlczkB - rJsw0FYiI6UdIQwYA8YgMwyAGFmBRjjo54Oc0EzHNo1ixi0KdKXs9XoOF5QKGQQCeZSkhIw4AidC - lt1F2tjoGScLANpuNKYMkTFksHFqzPZpx/cHbjo2Nlart13XVSrxA1kpFcOw0OvHqVYJJCDB9T2Z - K8RBbIVkyYZabSOzmdkn0wSWWWAMLWaxhcQANrJYrdVM8NRoyYSXc43h+XIY5nNixufAcyX3ZGPB - MA0EnmBpOnSAcyJEQ5ABGtYwC2gRshS4zJIfwRAjRozA0nAYb56ZiXpxAqlfzg/ZUIFNPGWljSCq - bK40qCFDZ3FtUY4L4xvFFIUEGizYR9ce3T69rTo5ct/RB/aOnKNzpotdBtwbD9ZhXXtae1pB0koa - AOBV/FpvrZSvGA/WoM0K0iSowQyijvWN78vYxtZqYJhdAYt4SoFsiRAZKqUclPlKzhOuhjQRQ845 - E0gS8kEuNlGqFQGhfdI7mJ/UJ4ZzLhAQSam414/ckjxw4mF/1D+8fHjnuWdorYUvfrj/QVEQpalC - TIkx1nW9HAVu4maS5syiiQtklkkpZ6dn7rz11rWV1UG35wuH+8x1XSblI/v3G6UCx23XW1u2bFFJ - ev655+k4XWt1yiOVnOeHjrdw4uThRw7YVFVL5fawv3Xz7PatWwdJvN6oRzqlzGzJWksbnJiMA5e1 - U5lBg01VrVY7ePCg5wWRShERGA6HsZQy6Q9rtdqxY8emp6ezvOtOv3/gwIFut1upVACx2+3qo9Z1 - 3f5wkAG9jifb3dbC0uK55+/L5XIvfelLtm/f9oM779Bar6+vbqRkb91WGql0+70PfPCDDHG9US+O - VV/4khcfPHpky5Ytz7z0Wbfd8u0rfunKRqOx++wzzzj7zHyxAAC7du9eXVz+k/e+Z31l1RJt3rWj - PFLZuWd3qVK55JnPuPnb3/7GN76RLxaYFC948RWO5z7toguVNfMnTr7gyheaVI1PbXrKWWeGhbwf - Brl8/pJLLvnwhz88PjkhXGdtfX1mdvbW793+4IMPnHvB0zLWICIePXr0dDBLJst4sh/F/7L1E19Z - ZU2SJKVSKQzDEydOnLl7z9/fcMPhAwd//tJnHzx48OZvfHPLtq1v/8P/9dKXv8wS9Trd9dXVQT9K - VFdwKZAj4frKGhH9j1/79aWFhfXVtcMHD68srTBgZ5959lve8pbrrv2T+fn56mR1pbncM4NCIScE - PnL3/WMmKK6Y2Zrz0PceKJYrh27//qXbL+guNkwPZ+d2/++//sgLX/1KZdNUx/V27eGDjzDHOWNu - bvc55/rS5VIm/b4mGwQ5MBYtWEuZ3C2TJz5G9WYYQ86FMqm1VroOkUlV7PuucHiURhoNSOzFfe45 - lkhKDokeJkPhimazrY0plEue53W73UKx2Ov3/SDw/KDZaLiuZ6xdWlnZvn17p9dPtVZGu77Ta7e0 - ThGp0VoulPPN3qJGIz3UiTJpo73SLhVyJh1ISBnK5fq84wYpRXKoy8Vip9FwReA5RSIfwB0OwSQ8 - nxuNB3GaptaiIpAuz/j8mSgpw2Oy7NmMZPdT+sfk8vlOp5NqJYQ0ygghOIeU4qg/cIWQHivni5yA - Z4GbHDUZQksMLWNAHAwK43jgVb2qa4WGZGh6jiO80JWBWOlZD3zj5ArlgkbT6Q6VogyGAYl2I3yE - OFlGgACIKPkp0zPEbPnwkIVhOAi01tpFLJfLjLFCoZCmKRGWiiO+7zGSDtNRErvcQgwlwUvFsONA - S/GIlNVgiZA5BLTh2fcE18a/aZAROrBB2GJILHM9YUDAGKKxoBTqBEE7uks2Vyl1BrEnXXRsUJEp - Rlwbh8CxyrUma4kMA4tAaIkssyCBM8vBIgNAYkRk0Fow3GW+76+211zpPVw7YGKABJussVg/KV0+ - XhlvDbvralUIubS+lBbjk70TS2bBD9z1tbXj7WPjuyf+9s5PTUxNju/Y9Mk7/+qSCy+5/ZEHKqXy - 2NjY9++9fcvm2dr6Wr3WnJ6a+tbJW4Cx3qC7+4zdB2qH6nboON7yyvFNW8awYJCp2PS5ZGmcAgoE - iZg1c4wBAlht0iAIFCXKaGKm2+tKZLlcDjg2Wo2ol46MVpRRTKAv/TiO/wNy7f7tPjEAkCaRFBwB - wGFQdMFj3qZ8ZWbcDmh814wnPccRjW5NBOgEbqJ0FA0S0GagjMZMQckIhlE/7+QYY1bpfJirr9cQ - IPSDTROTiLxWq0npPrL/Yd8PF5YWy+Xy8UePXf7c5wlko5XRWq3WaTSnpqbqrfqJR4/V19aDIEAC - 0HbT5HQuyCdGqyRFBlprMgDsx4YhGcaZvVyZz0K9Xj9+/Dgitwxd1xWOtBZyudzBlYP1en0wGMzO - zq6vr5dKpeFg0O/3G41Gq9XiQkgpDVC32021OnLkyPkXXvDQQw+NjlebzfptP/j+jV//GnBMkiQf - +tNTmziXnU6vVKw0m+1ao/6hP/t/XWKS8Vibt/7+NWu1eqvTO35yfvvcrqtecxUHTJLk1ttvS8iE - YT5N9cmTC+953/vzru9yUSqV3vDWN4vAO35yod5s/eKLrvi1q34t57ndQf/3/uD3O73u/NJis9VS - Wn/ik3/tAJvZNP21m77RaLWY4KlSwpFv/M031ev1nTt3Hjh86P985CObNk+Xy+XpzZullEmaZrKJ - crlMRHEcA8PMjuRffXj+q82ZngwK0E/cxGQ7GSIqo6Xn7nvqea6Qz7jsUiB6xrMvLZaL/X4/i+rk - jlyv1375Na/9wj/8gyuders16A8nJ8aXlpZGR6o3fvnLu+Z27twx9/GPf9yk6tprr33xi1/8ghe8 - IAzDBFI1HIqcg6lMtIkStXfPOde/432+g6N+/uLZp1rOB2I4N7X9pq99p1Iev2v/wedcfrmyavHk - fDLoV8uVkZGRWOuF5eV2o1kulgq5nDLacwOVaMGz6Ef6ZzITRLQIxlqBAjlTsQLOckEgiXrdAQBw - ZJ1O7+ILn26MWVxcFExyQJUoj8uwWlpeXh4bHbcIUZwsLy5PTU2trK1I4YxVx1qtlud5g8FgbKRa - q9WGcZSa1JCtVivNdjNVZqRcGQ56jLFh2uECHCniuDns9cenxtr1hnZMu9/DgHkF4Wijk/70xKQd - RmvriyPlitKZ2sg1mjXqfQmiMlkG3TO6R2QNaWY4ZHlG1kZRZIwpl8thGGaslyfMPyYeOJ5IM6tc - ZQu5ool1vV4vjOYqExVEbg0IwROdWsdYZggJiXFikLJQOTkIXS1cJaFP0JUi57rgS831ilaQX35V - JwAAIABJREFUuK5bCotaWm/gg2eUQyg5oWWWwJqsjwEEJI4AjIO2BtAA54a0NSnKXCEYiUtgDBFR - IczHURz6hWEScc4l+ialNB0GQQDWOoFY73UdKX3XTcAwxslyQ2CRMc6AADLzDtpY2AnJPCZuEE/Z - gQBh5mRzioZCSMgtQ8r8PyhzteHEkDDTrRASI1Cn4hTsKX8RRsyCYYYAKaM6KatAQqfTdXO5tu6W - /FK706oUQmHBWK1S5bsemNNRiI+FHhlaYIYjIUfM7NQQUAMksVIm9cMgioZ+PnB8VspVllbmC5Mh - cqpHtbAa9vvdkcpInryGrpWnC1qnvaizeW5Tu9mJxXDnuXO1dmOxe+LsC888VDswNjfqSHexubDt - vDk1THut7tY9W+JhkjC1aWaamnCyszhz1ta1WiefY5vOmhkMWnHUyeckZ2i1caQElIQCiBEhERIR - AHImo0HELLjCFcTC0HeQ97oD4HqiMN4edF1wiWOsEpXGwnU2rsOTVv96IPC/sIqBU7fBEYI4GLKW - NCGttdcmCuOdlfbm0S2r7eVyoRolw/GRakSDXr/bVX0v54Yy57ue081AU4MMBeNKpcRs0o8dIayF - 0POTYdRudoQjGWPlYimKIq1tpVIJgmBubi6ze8nyF33fz6gqzWY7n88zJpQyU1NT27dudQu5QTJM - 09Qv5MhoQxYZMJZ5Z5uMSc+FlFxkzDlHiMmpqTPPPNsL/FRrIhoO40xDsHxyoZDPu667uLj4vve9 - r1QqnfmUpwRBcM455xBAkiSp0SsrK8urK4VCgTvyxhtvdDzZ6DSFL/P5/FqjHhZyALBt27bjx48b - Im1tOujn8/mpTZuu+Z9/cO6es5IkWVpdW66tcSl7vV6hVPrbv/v04sn5Rx55ZGJi4ujxY3v3nbOy - tkaIFuB9H/rA9PgkadPtdkvVkc6g7/qeH4bv/JPrds/t7HW6y8uLy2vre849Z9PsluVabX5p+Ytf - /srSyfl8mEvTdOeu3bVW8+xCnhj+9u+8dc+ePUtLS0EYGqDeoJ+odMv2bdJxWu12ptsSriOQZV7G - aZxkx7+NJ+FnZN4ntB63iTlN4ErTVEonTVPhOlrrZBi5QiZJEqfpffsfvP+hBzzPq1ZGFhYWsvuU - degZoR0RwRIApGkaBAEAtNvtXBBGUZTG8frq2sYbztnRo0ff+973Wka1qGE9IOTD1BIEgvlf+tIt - U4X8eOB3dJxylXKrOCdkreYRAU6n1jKGEPFtb/7d2lrNsSJq94etXm6kOIyifD6HHAdRZK1lwDJg - Hjgyzi1kZt0AmKmQLEPOmDCGkAEQC91Qp7rb742PTz7yyCOXXHTJWHV8pFyJB9E5O89SaZpNoITg - iUqZEEKI2267XVhhXZN0huOlappqiazV7YlySQje7nUSnY7lAuE7x48fr4wUpWCDQaSUaff61dEy - tzDsdX/zDW9O0xQcYRzeU7Hw5GDYHx+p1JaWto5Np/WknCutNtaK5cJdd/8AhUrTHhhlwckF5WQQ - t1rd0Hdy+aCbdJBBEkVgN85w2eLV7XazHPInxD/GD8KxsbFur1lfr5XKY0mi4niABBbACd1UJavH - li/Ai1A6FlNjjbIKOSmdcMvcVIw6lU9d//F8Wtg1sbtbjw8dPjE6OSV9ni8EJo3WFk6yVO3ctp1x - N3bMw/WDcmvuOb/6gk7as4kayRdRJxZYFwx6rk4UZ4yUQS6AqYQijtoL5SBWx1YWW2s9g5AkSaex - HPcNwOruM3d3u92VxWWOwho7Nj6Bkg2SBBjU21F7eTAM0oEoWjfQwhAnjRYsMUJJjFuR7f6WWyXI - AjkoUZNjAYkSrRGEDw4Qi0RKYCNSzGDB+sIy4Kn1aIB9MOgox7UcyRKSFqCZJTSZfyuDDWERZJlK - yCAFjmzDlxZYvuhGSVQMXbKRHzqxSQEA0GVSJhaQCTjFLWXE7IZLBbPkAJ7SX6OBbBtG5Ewwh1lr - XE8QpcChEy3nSoJsglxwSZHp+SN+TzXJU8KVNk094RLyfrsjpYzTpK8HYSkgNJ1eLV/Kp6Q0YSox - ttpYm8uXo0EKlpUKI/1e3I9VeXyko2JZddppJyWe2EEYuEBAynBixDgBWCCLZBEJyCIwYkDkCJcn - wAdCKnb4hwevfN4LX/qal1VK5Uan8aUvfOVw92iadhzpWgeMUf8sH/EJr391QzrN7YXHmDEbAIvW - CMriPY2xAmTFyUNTj6gwOVjbEVShphhZIMZDaYB5rgRkw37XQYsoBBNgkDF25UuuvP/ue5q1lhqm - jvRc6fQHkecFSplcodjrDZZXV3KFvOM4y4tL+/bte8pZe5TV/d4gsz9Q2sRaVUfHW50OaZQcNs1M - X/xzF/eiXkZACXw/SWM39LUxyiilUiGE68g0TgQTAtAYAib8XL7X7pRHx8bGJ7kjASCKou1bKmmS - 3H777VF/4ArJUTSbzXKpsu+cfbt27VpZWXF9J5txn1iYb7ebjEGv1ymVCp7nrDXWmCOthTiOBeNJ - klxwwQXf+e6txpjxybFWrxN4oRDOnXffs3PPU1KGXqkYJMkZZ575zVtu4VJ+4+abd5911lq7Vd00 - 1R0On7LvnBOLi34Y3nrbbeedd57v+77n12q10dkZJviJ+5f6w+Hho0dL1aribGRq0inlp3bsuO2u - u9bqjW/e/K295+5r9HrhyEg+X3j00UePLsy7YfjwoUOvv+jiTrdrEKoT48DQL+UPHTnSi6LG4qLr - ukJwvkF7BiKb5di4Qj6WFvNfDX15sutxm5isF8ni2vv9QbVabbRbuVxupFDq9/uEkC8WoigiIk9w - cIRm2boLGf0MjEWLSLRhK4mZ8ymedi1klk6N+zeKEIAZLphlAJZxkMxyNYT6sDlYaR1PIrSJQqMF - KcYsMEacgQSAJE5zng+W4v7grLPOykzner0+cSZcBxlXWiOQRAIE/TgrmpQy1QoJpOtkoxOVpkKI - Yq5oLYxWRl98xZV//9kvXLDvqbd84xYk6Hd7ExMTvX77F1/4wnvvv/fOu38wMzPz2l9+9bve/Z5S - uRzHcafVKuRL0WD4sb/8aJzGjuO8411//IEPvP/YsWPlcvm22267/XvfzfueRAhdZ+gHDJhO0zN2 - 7OrU1o8cP1RL2iNzk8d7a0v1JY8JGEYlgyeCqRE2MejE03Pbrvr1q26+9dtVZJxJRCSlgDSQSlMS - mHIJTDJg6Bgwym4cpBCz2VAURVmX+dP7x3DhxnHsCDkyMtJqd4VwPMcTgmudDuMB18DyjHGZaoNM - C5cTUylpBC4IhYVhs3PwzodgHY7L41Y5g8guPdpMdSIFuEDpoEtxdPKeEwyd2DEnkiXYzJ/6Cz9X - 2TrS7zdVo18QrkbyPCfWBoAxLo1hFowBQ2gMam2ssQEAKqUypVU0NEKC53mDdGjRaiJrle+GqVKe - 53PXAwYpQJqq1LPEuGGgrVFkEJEzxhGIAC2StQBWM61BayBjgGtgBJJx4AAIaNBaAobAgWcpCZaR - xdQqi8q4BoA0CWEMBwKWxcxlzigbzyQylrVNRMCQWQQkQMyUurChL0fDfmSjtYHdwKl99NSrtfEL - AgRmDQHS6dcgQ5Zslge+4UwDAGgtACNLDJIk8vzQWttpdV3pCZImMipSTuiCRe5Ig2DAaAnWJGiV - 5zkpGAuGIcvni91ufyQoQdcolQqLBGA5+sLrdfo93XcrIXBLyNzABWO0UkhMSql1Zk5yaiqzYVIL - ZAkMkiFfeD5zR3LV5eOr1WBEDdTUyHQn7kpwAhkmMo0ohcchwP3HFCHgj7vIWAbaGMsJkTMmmEbQ - FDK3EATT1bGp3IQLogvdA62jTR1bZplgRCYIPNNTjAFYa5W5+i1v5im97MVXXv2m31JB0Gq1lMqI - a67neYyxXC4nhMjn85zzfeedu23btjCfW15eDsOwPxxoa5jgiUqV0WfseUoaKzA0MlbtDfpBmEuG - ke/7aZoSs81m0w/9VCnXda21QOT7vhomBDbz02KC+74vpev7fqaECL1wfXXt4IGH282WJ51ut8u5 - 3LRpevfuMzZv3lyr1XK53OSmiXa7vbCwcPz48Xq9HuZzSqnUaMcRYRgahO6gnyuElUpldHz09ttv - n5iYQMaW15eLxWK/O6jVan/3mc9IIUYKJc/zoiSRUs7PzxcKhf2PPHzg0MF2u10sFtfX1yuViud5 - URwhZ1/92j/5vt/r9YwxxWKxVqtVq9Uoir705X/MBWGj0dg0Mz0/P1+v13fv3o1cHDtxsjscnjhx - YqRcsdZOTUw07rm72++NTYxf9553l0qlKImttZ1et1guFwqFLMAyTlMfnQ1O3ikBbBbU97PG5cmr - x21iNnxsjc2cjgaDQRYh1uzXJiYmxkfHfu93r8ksboMgKJVKx48fzxzwshvHGOOIcApNJSINREQW - KMNmBPzot1kRgmEm5akBYuhy9AS5VluuDVoTCCKrECwgEjID3IKwDBRo4QpKtdXaE7JUKi2vrijS - GoBzzrjI6CAZx99aC4+TRYKWsqBBsGTJOlLqVDHAJIoH3V672SJjz9237/k//9xPf/JvVZLW6/Wl - paVev/OOd77z5lu++dd/9fH/541v6A960hXAyfFlCg53+J6du/c//NDnPvc5IUSjtnb1G964c+fO - //3nf3btH/x+FEWe5+SDIB30uKFha0hKuyBHioX/fvVr00pyoHkoXNEXjmx2FNlab+F7D131/Odj - q7xt9py//cd/DFkl8MfS1IlisgYtJZzFjA3IgIo5YMC5w5gQjk4pyTi52Q3KoiuzRuSn94+JBkMg - nc95xUIh2rCPsRvHDrsxskMCsERIHJCsRWsciUTEkTEmtLah9FWU6oHKhSNxTzkMXY0eh6JXNm5e - W0AUSdxzhJcO4tFwxLdO4BR3lDcVwfv6PTeVdk8pbbW1hllEtESQjfIJyFpO4DLhMA7GMsaCgjO3 - 4wxg2B72o3ggQ2e0VJ2ZmW21u4N0GJsELFhUfiDJIcMsAiBZlm2jlrS1FgCRQTYHEgwEJzDaEhBo - AmJao0GAhFJtdQoWkDgojgKk1YyMNZbprA+3lqXIhDEWSaFVYJExOIUe8Mx8PktcR/jxwdDGtv4T - QtNkmMr+lj2VlQinkQMERgjAkYARRwJCEh5TmMSRcdCvyGLBLTTX6kEQJCZGxRGMG4a9dJii5p47 - HA59hmiBCYbatOr1meoMMAVRylI7URgbtnuFQqHRae/YtP1Ya973C5bxSOuk0/M9CUQWgSMpsJrb - LC7cZpRqyGRt6EiOhpRJU55YY5Rr7j50nz9ZaC0vj2+afuj4w27Rs441aDUqwdH8hzu7bzhHZ5// - xd6V6XoAKVPQGaMSmyomZc41AAaYAC9FTZwBQaYQ7A8HZZGPhrEF8MLg/AuedsXzfvHVr3j505/+ - 9C/fcCMAKKNdz+t2u/kwNxgM+v3++Pj4+eefL6UslUpJkmQW+Bn7LUtcyebCc3NzHAUHVhop94c9 - LkSj2QQAY8xIdUS1m7lcrtPtSimTJFFKCcazFZ4RIFESxWDssNdPkySz2Hcc59DhA48++mg+n0fE - KIpGRwtbtswWCoXMUw4RTxw//uixY0eOHOkNB0EQFItFpVSQz83OzvzwwQc6g34YhoVCwfW9eq3G - GOt2u2vr60HoZckGSZJMT0+3mk0p5ejoqB+G27dvv/nmm+M4LhQKW7duffvb3z4/P7+ysnLhhRde - ddVVWut+v/+ud70rn89PTk7GcXz06NEPfvCDtVotDMNNmza95MW/1G63t+3YnsvlPve5z914442j - 4+Nbtmy54KILv/a1r/3Ka147MTHxw/vuu/HGGwuFwsMPP5yZSszNzb3iFa84fPTI//epT7VarVQr - JoXrukbrTIgOp36IyP4sXuDJrMdtYowx2fOapunIyMjq6uroxHiv18vn8+12+61vfWvGVEJE3/fL - 5fKJEyeyhwwANhweicASA8wMHswp14TTeSJIP2piNmwuGRmhLBGSw41kioNFh3EhUFNCNuVEgpCB - sIQaZcopFVqRcgXngL1uZ3pyIla62e2Uq9VUKWWyoy0CQwtWk2Yo/tUHSmstGGOMGaWzVKB+nKo4 - yQfh6Ei1VWu0Gg1G4KJTX68JIQSyXrsT67haHFleXhZCbN26tVQqTUxNZBGmuVJBcP685z3vJS95 - yb59+06ePP7FL9zQ7/df+6pfHvT65+3dxxir19elw7qD4ezOM9utHqa6XBqbGp2cGptoOGsl6/k9 - NDwCxiwNc76gND5rz1MEL1nDu8mQrJDSl26InCFZolhwJZGBsiZNSDrAhGCCpD3NesmyZzOOS9Zu - /rT+MYmOBtphxAimJiYbjdZw0FPaMIG+7zMJsY0ySQUKodSQO2ABOaDSGi0KZIyxXJD3MT9MVNHP - pYOm50quNPVj6/AITGpJCkHEOHchjrnCznIz1LTcerQn3Hu+c8dztl4hBEnPU2SIZbHTxBAZoSAm - gXlCFsOcFMIPAsdiqlWt1bYuM4IxX5KDrV53vdVIjA4qHPKQz+fzZd3hvdRYZkByFIxZQmutIi0Q - NDLBODAkBAOkAQRy4mTJAFCKCtEyjsoYjZYDcaOZAYuaGAPOQGQMDzIcGTLLGIGxjCwD3Di/MZtB - l4/BTLLaeH0ws375yQ54Fi0xDQAb8e0EkGmwT8X72I0xFstmrZbpXtx3HIdbCRGGLKwG1Xank/ZU - rb6+98K9fdPtJwNNRoMho5GjYDyJh5K5knEBmOihNACpCtAtet7hw/cj4jBNZjdNwjBCSa7nE7A0 - UdyVCMA4J4TYpMAFnerY8BSShARxlARe4PjSko11XJwqT26Z3PffntputYCRBmuEQReFw5VJtNlo - Cv/Ta8O8l5iQwprUWovZKUtAouJOYhY7K03eQUQStq8jK4BlLb61YRjyVDDGnvv858lBbn5+fuv2 - bblcLvDCuV07Z2dnc7kcpWnmmFAoFLLWJ0Ma4jjj+Ntdu3ZlUUeDwWA4HDqOo7X2PE8waVKttY6i - CBkbHx8PVX56errWqmXve5IknHPGGJOSiPDU8s4A0ZIjJWfMlQ4DlEKCpUatnsvlHMdJtJment6y - dfuOHTsYY61WK3OCSZIEAQLfd103SaNBrz8xMbF9bgcira6uVsZGhSPBUqvV6vS75XJ5EA0nJiak - w4fDYT6f77Ta1157LUOcHp+87777nnXZZV/84he11qurq+12+8Mf/vDLXvayhYWFLVu2fOUrX3nt - a197zTXXbN++fffu3ZdddtmLXvSirVu3KqW2bNmS/b9e8pKX7H/wocsvv/ymb37ju9/97kc+8pF7 - 7713dX39/PPPf+UrX3nZZZd977bbP/KRj7z7uuu+/e1vL9Qb559//l98+M+f/exnX3PNNdddd93T - f+6S5zznOV//+tc930u08jwvHgyyE+CTbu39szpV/zdib/bInv782D/PNsVMdMcRwVoGkP1kSBoA - wGOW3x/RaBHpx6dI8OPnFW6BESCBMCAMgAHOAQRmR1ewVmwQJFFzBmCBISOmtEYphZTdYdTp9yyC - dJxYpVolWVxZphZkp465/7Lw1A8Qnf7MAclYlaRIxACLxWK73/Y8j3MeuF673a6MVVq9VmbiwhhL - lDJA/V47DENiVG/Wvvq1G9/73nefc845L/yFF/i+Lzi//n3v37PrjFe9/FV/8eE/N0Bra42+Vn23 - 1m73xwvVeidaXWo9cteRnRfPNo70WktRLWlJYl7X5qIimkohN6lSz3VC6QZhIZ/L5znH4bDPHdJa - oSXXc6y1qU6NJUvEPCdrO7K1DAA459kk6AnxjwHDLKnMP6Ys/FwQSsF6va4yqZScMSQiQzaz3rHG - CCCy2pJmjDvc4VzGsW20W14/glgWcsYB5jHBjWXAPekaiUZpAp4PKimYSEbloDjqhaIXf/sfvxIy - rps91+LQAFo21DF3pUV7iguC2ePELANlKNWMMeGIVqfX7Q22z+5O1TAJ+hJ4e9Bz/RCMMpCChljF - BeQIBsFwImMJUaBlRFYIwQE5cCCGBNZqrW1qNXKJhBYYkTJInBEJiwCMW8G4o8lobZgynGPW02uN - wIGR4VxmylSGmPmiAmRevUSMgPiPZOT/vNi/c6H8sQmL3SCNMEICsJYYZM5yllkk4aHWcch9SZIP - oJTPyx7u37+/0V277Of+WyBla73hhpIJniRpGHg2STI9rRBiS3Wm3q7JhHJuaWtpagyqw0az1WqN - Tk3kQIyFxbVBXXeHXl6iH3qOE6s4I0obZoltUJIz7xwgm2FOnudoq8kYFIFf9o0yveGwHydOTgAH - KQQTLEqjWEUA5AV+kqb/rkv009Y/uzFZGLVFIK05coaAZBBQSskINdl62g5kaq1VQ5UKm9jUMHCk - x6XtRxEa8EBun9vxpz/8s9e86LXa2mEctVqd+fn5pdUVY0waxwsLC1MTk9kb3Wq1Mjwgq5WVlUsv - vTR7cw8cONDpdDJUxvf9NFbZeh7HsR8E5513nggdHnif/+Lni56jjAqCYIMfmWVWAyeiDYTeEiII - QoGMkIGxmW6RMdbpdFxXzk3tmpmZISLp8DDnDwc9IFvMF45Fj7YadUJQSm3bsX3r7Mw5e886ceLE - B6//QKFSeu/17//FK35x1+4z1uvrxZHKH//JdSfmT87Ozgz7g/p6rVqtvutd73rPu9/9p3/6p+12 - +2s33XTeeeetr6/Pzs42m81bb731uuuuu+OOO/L5/JlnnvmJT3xi9+7d+Xx+MBi88pWvvPTSS+++ - ++677ror02MaY2q1WrYANpvNfD7f7/ezVO1Op/O9733v/vvvv/fue1zXXVhYCIKgWq3ee++9H//4 - x3/jN37DGHP8+PGZ2c179+278847e4N+hmGzUxJOOLVp/szp7smux21iOOfWWmvJcZxGo5HP52u1 - mud5vUF/fHTsuuuuW19fd12XMRb6fhiGi4uLnHPBeNajZJQLAECCjShja+1jXu3T46QNNccpYNtg - QkSSPBc8TzvGkAKdMq0DNKAcYyWhq5kFrpjQHBJIg5zf7XQ456VSqd1uHzx48As33BDHcZomiMg5 - I2t1qpAxyYUxj8uJUUqhJVdIybhOUg7oOk72oGfE5H6nd/e99yQq7TV7ZGy73R4D/enPfiaXzyda - tTrtTVotrSwmSuXiuNfrjY6Ozi8u/vzznvvQAw9u37690Wg87fzz282W7/uHDx6q1RrcE24+H6Vp - T6u+NWltrehvm960vRrmuvPJ0TsX2nE8sIiWEMJgwIwq3XX3I6XqVGpBgDAqYaRCX46PjLT6TWMM - GkLkG+bDpI0GpvCx6EvGz81cwJ8w/xhFVicb/jGbt1bLFSLb7XfiNOEWwINsV+NghBDWpqdTz7Km - yvelGMpipSS0h4JEwAiVxoSzNLYQWzQON7GySdKMW1BMu82WgcGY8PNewLUeqVTAWOmIRCnPdVNQ - hLRxErJExFCDSZVR1lqIhsP2WmxJmNQMO4PltWXVaAIHMHJ6dpvPnYFJIQGrbKvZSoMEeB6JkbHG - EAMQnBNmnmuMGRSMA7AEENAI60hCFywgs4gcuQQHSFnFHMY89AzYxApknAEyxhizBGhBMsPIAABD - wRgRIVkEAMxUOQgIYBE5/Hjcwb8AaP5NxQBOxw9kwACcmlFRpmNCIrAbwigGFq0Go2wam9j3Al+4 - rXaj2WySVsVicWV1xSk71XKlR5GhlKMY9Aa+5MiY4DxOlCdjDlAullgEtdoaFnS9sa617rZbg7gr - GOSDcMA34LMkijVoJhlylrFhTuuzTtF/AACIDGMcfSdJ40F/aC0IKQRnInTX66tKKSGYH7jCEdbq - NI0B/pORmNNsiOyDUXojBVrp1MTIrCMdjjxSMTGmrU5ISSmMJaU1SZYolfPzppdKx1lcXiaiW2/7 - 7qtf/erNU5N//Efv5I7M8PLBYLC8vHz33XcTQpY7mLH1MzbbsWPHLr/88s2bN/f7/fvvv39paSlL - A8gFebAIhlCw3qC7e8+ezH0gC2FOkVKdBmGYEem4EK7r2kRnKKzVBonSOCFrtVJobKxSApgYHUuN - NsaMjVWnpibCnN9stIcRq1arg14/W4UyqeNodXR8cmLLli0jo5UD+x+WUn7203936fP++9zc3Ic+ - 9KFmu/Wxj3/sq1/9apY4Oz8/n8/nQz/X7XZtqt7+9rf3O91Brz+3a9dnP/vZYrHY7XaLxeIHPvCB - bdu2XXzxxXfddddHP/pRx3EGgwEivvnNb37Ri170yU9+8o477igUCpm+0nGcL37xi7/7O287dOjQ - xRdf/KpXveqGG27o9/tMiOXl5a9//evHjh3rtNppmr7i5a9473vfWyoUiejo0aNXXXVVv9+/4YYb - gOHLX/nKfr+vrfHdsNvt5oMANvIdf4bE/AfV4zYxWXsukGUvSRiGsUrDMJwYHRsMBt1u9/rrr4+H - Q8ZYpVQul8snT57MtEiGLBl7WoltyEKmMoCNKDqBDBHRZCOnx3xjBoNwxQhQS2m5UNwCWQHaoy4b - pKjQKgHoasaIGeDEOFo0ynImOJdKmU3T06+96nWcy1RFCJYzRNCWDAAAMUuPu/hnR5bMmlIwHkWR - 4zgZjprL5bTWTPB7fnj/tq1bz9p7dpIkWSM/TIYnFhemZmeufstv79p9hhf4hmhktFqr1aqj1Qyp - uuzS51z+/Bd8+av/pLWd27Hr2c96juu617/vfUI6i6srucnR2rCX99ywlGvMr4FgjpO/+84HWp3a - 9MQuN7f5RGOFu66j8JzdO+6/d3FqCr/xvXu37pnToFaWF0o5T5BxBfieZ6zVKkk0kSUmOCKzZDIn - mGxgBABJkmRhKBk/5qf3j2FMZIRtQtA66fS6BKHrujnIdfsdrRUhcCkIUZMVnAFjnAtDZIkGUWJj - AsFTSrTQyMxCY54JzhhIbiUa4PGAO36u6Lg8NYmDKCt5L3AiPXR996lPf5rqDTs/TFCF0xr7AAAg - AElEQVT8/+y9d5BlZ3Uvur6088kdp8P0zGiURiNpEAoEWQjJCImMibIAY3R5gAtMMDjieymujQwX - Z66ItkguQDxsyViILASSEEJxxEgzmtTTPZ37xB2/tN4fu3s0YJefZcD2e5dVXV1dXd1VZ5+wv7V+ - 6xcYUKukpFwgM4Rt9C+wSe3SWidZSinV2qrM1KpVyYBKqDmVNWgTFA7zkm7qekFQCboMiCEqV0Zo - AE0IJ2Ch1CQDRWXBWCgMaoqMcFdErpBATG65BUGQMkYFp5aSgsikQILEck4otQjG1YoAs6AwCEJt - UBUUCwSDhCBzCBHMCEvKVCiLJesGgFL4yTsi/rs0N6Rsv076x/JkpcSaDS8/AKBADAIQNIZaiYo5 - XCnTy/vtdnfukbm5Q8catTpQ+7U7vl4br0+ftjUDSVwhAs+gLR1EGBMAam19rR7WhBDFID5y4MBj - hiY6B4CF9aW77r27NtwStUA0/X6RGGulKpjLAcBaoJTa0oR5k7OMsKFOl1IDNUIIYMRzXcEdqaQx - MrdxWPMRvRLosqi1NQAb+Rv/8fUvpkISBMYEBSj37BZAGYkUORWGkwxjjYa7XFlJOEVNtLbgQFzE - AfUKJW/5+7+XSn37O9/55i1ft3nuC6+92pdaSaUIZytra67rllZ4JUMljuMSYJiZmSl3SaurqwBQ - UtZc10VE13FVLo8cOVKtV8IwXF9fj1WaZVkQBMTqIApMaWVurbXWIFhjGGUAUMoCdJpHvucwKhzP - cRwD+NSnPrXQheM4QRQ6jmMMVmtRCQO7rsiyLIqCiy664MILz+ecZ0Xq+74q5Pj4eL/ff+lLX3rW - eefe+PdfGhsb++UrntXv9y+55JIvf+WWEvRNkiTwQt/3L33GpXffffezn/Wspz/96XNz8x/60IeO - Hj26Z8+eQ4cOvelNb/rCF76QZdk111xz7rnnfupTnxoaGhoMBi94wQsmJydXVlbW19fHxsbSNG23 - 2+V8/slPftJ13d6gf9ddd23bto1zXqnVpJQHDx4888wz7/ju94QQr3r1q8oF3OTk5B133LFv3771 - TntiYoIJPhgMfN9P8yzPc865KbfZ8AsM5l+un4e8/F8j9lJKKaF5nnue1263uSPSNM2TtNls+r6f - ZZkjRHmSlVMvoQQIoUiAsVLnUjrEG7TGGGnNRjKRNbC55/4xTgyCJWiV4oRyS4yxFrUXuNFwwx8N - +JCbk8wQScEKyxhSaomLnigENYJRzxq6tLyeZNlQrdVvd6qNCmCBaK3NASnngliqlGZsw0vzBMBb - Pq1Ka8aYAdBaA+floV4efk7grR5a+9TffXZqYipTcmhstNfrlczWXOWUM+Bs1zm7M1l8/Ia/dRwn - y7JapZoMYin1zMzMW9/x9la9Ya2VRfGxT3xiMBgQBMYYGhifnJBUtephu7cmuDcxMfbQQw98+KPX - c6l6/bWhreP7O/Nuo9brDcbrY2v3LbSX16PhoURl333onv/75i+tHJvdNjYqKPU4V65XGK0KXRgL - lrjC5Zyak6CX0rGgZL2ULQj8LPxjCiUBjcMZIWR4pNbpdJNkMDwyFERhboq8MFh6C3GGQJWVCFYB - AlrH8TwWuEYYapOeUWyx4tfXe12oEpD4uDA2BGJ6EQsQjUwy2YVE9eujtdW17uzh/USa4/3VMznJ - tfJ9N0cNaEoyKCIAZQwEMm4JFZ4rXMcw4rrsKU++aJDkK2urkKnIr0xOTI+NTM4ePd6NY9dFQCCW - BMJPKSqgAERQxplDgCOC0QCFNYkCSQll3GU0EA5laS9DQ4AidwXzOefUJDbvMyCWUYc6nCg0OQel - FWrLlQAftYEEMdPWAneIQAcpGq4MoDWlsg9LVgwQAKRlwDVuLsv+PYWEWko2EpVLZkOJ8FACQMHa - DQgGCAJFAEtDUi2KggATvvBH+em1U888/zSKQDgjDBKdcc/xuTCAeZ57jou6oJQqo8MwlEIwIY4t - zI1WWqeedcby3MLLf/2aTqfjBv7s8vHmzJaBTBKdZ1ZGlYBqShjkShpjheujRVpyjmCjiym9hRyP - I2IZCVJy1bMsdRyn02nXarUSICwFTWWnbvR//kB84vVCAg7l2kiwyDlzBDPGaGM0InUcCxYEdQK/ - 0277wmXcoQKlUAwoMcQS6PV6Y2Nji/sXA+I0wlBK1Wg0Sjg8iqJt27adesopURSVjNry9nX06NG5 - ublOp3Pdddd5nletVs8444zTTjutHFEIUquRAd1/8ECaprfddttNt9zMAiGiIEmSAmwzbK6urfm+ - L4SwxlhjCSGMbFhplPNPnudxHLvCE64ziOPGUEvKnDCaZVmaZ0bZkiKzuroaBJ6UskxDLEUeZSgb - IaTb7Z5yyimf/exnX2zVZc/65U984mPHFxduu+P2v/7Qh4qiaLVaBnU5WSX9wbXXXvvGN75R5cWf - /Mmf7NnzpCuvvPKOO+44cuSI7/vXXHPNq1/96tnZ2d/6rd967Wtf++IXv/jTn/50rVa76KKL3ve+ - 9+3evfuyyy7Lsmx5efmRRx5BxKuvvnp6cqrRaKx32gsLC+9973vf+c53rq2tRVH01a9/7atf/eqf - ffBP9+zZ88xnPrMoitkjR5VS99xzz/nnn3/zzTfPz8/Xm42/+tCHHnroIc45MEopVerH1pclJFxK - O//D33f/p9S/JrGmtHQKpRvpOYQwxqzSvu+XUMTLX/ayRq3eqNUZY/1Ot1SvlDK/JEnyPG+NDE9O - T737v/9hv9/nnksp1UZbAJeLerV2zu7d7bX1arV69z0/mJiYAICZHdu++a2vWGuk1J7jFygtxf/x - nrd/4/vfaOt1b6h5vLtAXSrzfLhWHxxfm2pMBl3Hxtz1au9857tv/vJXb/jMZ9Ne2qw0iiLmnAiH - WmulNpy7GpnrB1k+cF0HEbM0Cf2AMQoWS5KXlpJSGgR+kWau7yVJQhj1wkBZQxy+uLby8L591WpV - ZirPc611pVJZ66wCpa7n5EXhR2G32200Gr1eb2x45Hh/sdFoLi4ujY2Nl/hts9FcX+9YBKtNPaoU - hcqyzAttRCxxWJbnWrWbFe/YwhGUCXPhwIOPeWPVpWNzuoDQmpXjPc8JHzgwP7Fj5vCBQ5Mjo7I3 - aFVqx+fn+6udcLzpcT8r8lxKVMD9kDPXgpGqKCezLMtqtVoUReVMViK6PwP/GIVISK4Kx3EIoHAd - KfNurxfWwuZQK8vc9qBjCRhAQgnjQhFpEYEwqdEQ6GaD3/7D33YG7LmXPScStRu/fvPR1QXuM+YQ - lcVa6yBq7Jjc8Yxzn+6D88bf+28XPeci0eALyZLn0dGztmW9wWXn7YyJJC63xqI1QrBMSyqAMVZk - WeSFgywZG9rS87NU5sP10aJt7/nB9yt+XQhRdXxm5fzssaSdMuH7jqtUCgUMD434Q/6KXZWWc8J9 - z1sf9A2aihdyAKPMRHPLRGVK6vxHBx6VST4xtW05Wzn/9HOr4Hztrlu279q+vLh89s5zcBQNkAcP - 7S18NtIcI4ROTE5ISA8u7ZeFxCSfrG+Z3jJFwawOVjTH5WQ5NdoJaKE0AHDKrLGl4ZJF/DGxLmxw - u574ZEPxx1ZTJSBKGCHaIgVwudBSCc51rnzhk5gH1kqZK6Gph9pVnNJcKcYYIAFOFWhrAAk4hFmt - CRIgFNHmUgJAJouoWS8MGltEE8NLadd6ZAC5N95YUT3DrGYInMcmp8QSA5RSChw1klKbvsmvK/PD - kVgwaAlBZTlzCNgiTz3BAW0l8K2ShACjgMABwBJQ5ueYEPHvqw2nA0pO2PkDA0vAgLZAKSH9IuaB - UwKJ1hgFSiO4NGCMDQ8PH7n3gVqtRnJTFIXLXVWooijCMIx73fHx8W3btpUuscPDw9baBx544OjR - o2EYMsaWlpZqtdrFF1/sOE65IOacR0HlkR89un/fo2V6orW2Xq/HKl1bW2uONDtp3Ov1giAo18fx - YDA+OpZ1B0qpEo5FRC8IgFE38MHYOIuD0EvTmDBKgRAGjuOAAwY1AoaVAABc38tlBgQsGE6YMcYL - /F6v5/jewvJSWuRzx49PzEyfdfZubc0gjY0xp59++vLycr/XI4SETgAAR48evf766y/9pUv279/f - bLbOPffcG264oV6vM8buvPPOG264YceOHXmef+Mb37j88ssdxxkfH4/jeGVlZe/evTt27HjRi150 - yy23lJSgmZmZT3/yU4SQF7zohW9605s6nY7rukyI3bt333TTTR/84Ac/++nP3H333f94002DwaC8 - 5y8uLm7fvv3ee++9/vrrW8NDz3jmM++//37hOpksSs3XyS/3L2gx/wH1hB17T6D0Wsr22nrgeJc8 - /5LPfPJTN910kyNErVZbWFi4/vrru73e4sJCISUiFkURVavamrVO+6yzztq3b180HO3atSusVC6/ - /PLlxaXv3XnHhz/84Vu/9rUzd53Rj9cfO7CfG9LrDVggqM8Ozj22/9CPrn3bax88+mC0PXTrjuu6 - a/OLX/j85/72j//84NcPXPHsZ/2vP/twQLnKlaBC5srhgjK3M1gJ/Opar1etDBW5AkslakJImqZR - tRKGYZIkAFCtVpnlMi9e97rXNaq1c8455/ChQ/Ozx774hRs7vW7FqWljCKWP7t8/1BzS1hJGLaAy - uhP3vSAIK1GaJs3hoW636/t+kWaB67XbnZmprfPz85V6bW5ubsvExNrKep+l/TiemZmJ43SQ5S4X - SpletwPUovB85jsEibXdfrcSuIRR5jpZWjiu6xK6vrI6HNTnji3svPDcxe56o9XI89TllFFqlWaE - poOUBp4XRkFVZP0syyUoSykru5ByiEnTtNzHl/IirfVP7x9jwRJCuBAWMU1TJMx13UwWvOBAkQre - aDRKRBoIMcaAwygBTkONRhldHa43K/7S/vk/uf66r3z9G06r8tZ3vytoVdqDtWo4LSiTiT68/8AN - b/vYw/fe++u/8erR7c0V09E+FoRQBcpSGYB00BLDgTACUirulJOiAqBJlg41W8Jzo2akBCQ692pO - hUcecxkyQ6gRrl/zieXC4Uk/qTTCdQqEMya8Ggzl1qy1OzorhO85TBR5MeQ3jFS3ffW273ztdqLw - JS9/xZlP3pO28+VDS6+/7vU6iV/0oudtGd0y1Zj6wW0/+PCHP8orztkXPOmKF13ZW08Hx/q/+ce/ - GdScneduv+qVz50cncyXiqtf9zKP89PO3HnlS54XjkeFjq2yrmCMCqsRABmhZSYzAJwMw2z88ESa - GCRg6OOSnxKx2CQoYeAEWZYpZZil3HKi0Lee7pBaVFOsoJ6dWz8aNN0CcuITZQwgp+WmB0sWi/2J - B4METBk3RayxG/2WBTAULLWKWks3srUZWtwMv9w07yeAFB9nxZQSKg1kowEjAAQphce30htoLgCQ - n6e93ROvE7S/zWjPTak8UiS2DIUoU68N0lLPb4AyAEvAEV6RxrnMA4iWlpZKcFSm6ZahoTzOKHVc - 183zHAB830+SpNQ2G2MOHz588ODBcgSN47jVau3evbtUCXmeFwRBGIbttU6apv1+X3hOFEWdbndm - 68RMPXzw0X3lfdsPfdfzSjWT53mrq6sBcygA57xSq66JVaO0tEYZ7TgOpWAp0UojGoJWGc0VWLNx - hJc3GbaRXgeMEgvIBO8n8dTM1oXFxUaz+da3v+3Y0sL7P/CBqBb++V/+5b5H933rO7c99NBDvu9P - TU0tLS3FcTw8PLyysnLkyBGVF0KIiy+++JZbbpmamgKAXq/n+/7evXt7vZ5S6sUvfvF3vvOdsu3o - drv9fr/T6QRBsLa2Vl5ROc5NT0/Pzc19/vOfv/rqqyuViuu6Js+Xl5fPPvvsZrM5Pz9/9dVX/8OX - vnTrrbf2u708z7vd7utf//orn3PV+9///mte/aogisp10gbzj/1Xa5v//19PuIkpmSJZlrmuG4bh - 3r17f/01v1arVoeHhpYXFiM/aNUbYOzY6OjKwuK2bdtW2uuU0jhJhOuMj4/vffjhZqMBALfeeutz - n/vcpz3taa961aump6cffvjh97///S9/5cuF566urzmEMyakVYVSfjV40vnnTY9PHO/NGrM2yOPZ - pWNVx5+aad13/w8uOfvimcmpp11wkZTF6PBQGg+mpqaMMavrq6eePuP7Ynp66/zcilaykNqhHCgN - w7A8v0dGRrrd7tr6uhAiDIJTTt15/Yf+90c+8hHB+Zve9KZzn7Tn4Ycfbve6lNLR0dGSuz46Okop - z5VEJQGRENJut9vt9dbIsLXW8zyXCt/3Dx06RAgJgqDf728Zn1yYXxif2KKUCqJwaWU5DCuu72mp - uOsEolnojAgBVICyhBFg1PWjJE1Dv9WVGRdRnhVE2wzZqWede2RuYT3u1iuBIBSJsVa5Hh8kfaAV - Cow6bhTWqObr3Y6Wiec7nnDzLLXWOo6TpmkQBCVXt2S6/PT+MZTpokgBwAIqa1zHcbgoEpllmdRF - VAsr1crjja+xxphMS+EXaEki82KQt5pbR3eOXvj0C659y+vncH216M/2jvlDfocO8kHiO/70k2au - e+azKuDevu9bxjc2hIHOQicACmCZ8kBTVFZRoIxRhwmkttA5JTQIoqQbS6oePXog6WS5hLzbExp6 - 8UClSBGYQ9GxSgEXQmfKq9bbq4vWwn0/ejDKJGkJ5dQscSy1rhcYa7IsGxSU51wr1Vld29IaywaJ - VSZwfJRGxUXIvVD4LvNMYTkKqhk1zHd9a2jgB9Fw1AibbkB0oWuVepZIbmnNqxhVDNVaPnc5EgDw - XS9Ns0JLzw0QsUwLKlT+03/aLUGkxhJbCgDpJk5BkGhtwaqKU9GZBEUqvMI9tiM65U//4q/nj8x7 - Q+K6D75X+gMNRWIKwhiCpWDJ5nnMcKN/sJsNBJLNwExmKdJNws3jTYwhaE/qyRiU/VB50lOGFDeU - U2SDLQcbgnCyoa5CimUfs1kIFoASMEBOkiD+5/QzJxAye3L7slknrto+bsdnN/+mTP20BkqemfI8 - z0XGJPU8b8v4+GB+4LtenueMsSzOA8+nhKi8oADVqJLlOWNMKTU7OyulLIFha+2uXbsmJiaKooii - qOxsBoPBbbfdVmSy/HTneT46Ojo9PY0OIYTEcVzu45Ik6fV6rVarUqkwQuUglWm+vLrS7fc6vbYj - xPL66n0PPZjmGSGECaG1JhS5ELCZXwYbfm9lEvZGGWOE4xhjDODqLf80Njb29du+1UviqFnvZwnz - +CuufmW1WllZX4uiqDnUOnr0qOu6JYX51ltvLXmZk1smPve5z911113Dw8P9fn90dPS6664bGRnp - 9/v9fv/DH/5wlmVzc3PVavUjH/nI2NjY7OzsQw89RAg5ePBgrVaTUv7FX/yFUVpKuee8J/3VX/3V - RRdd1Ol0cinzPH/7298ex3GlUjly5MhrXvOa++67b2picjAYvPvd7/Z9/557f1ipVO69997bbr89 - CIJycvN9XxfFz//N9Yv6sXrCTUwcx8aYwPMAgAKZ2jJR92t5nq8sLpXv1263myQJpbTdbp93wfnc - d2e2bx8MBmG9GsfxrrPOeuzAgWazOT0xub6+/p73vOe9733v3/7t3+7YufM973nPxNTEnffc0e12 - 015SrzcTmeY62751++zxQ+31dYEME0MpTtbHGmHl+NH1J732/LPHzxaWFzIjDJbXFie3jhtU4xNb - tkwN1erByOjQrbfeumPn6d1HDzHuuK5QWmdZJoSwaDudjta61WyWrlD1en1ycrIShI4QQ0NDpQsT - AABilqZFno8Nj1qt4yQmFkPPp5SmRUoQpiYnAYBSOj8/P9RoddvtycnJzVhs0u+2t++Y2f/oYxNT - W6pRZTAYUMAkjpVSQegZTi1xEGkcxybXAXdGGi2rAairDVVWoGHMi/zQXV9qa1jP+smp22Ycl3VW - l7zQk1ZmRoW1emwxzzTTQlAlmBP5QaoTY7QCUpppluyW8orKrqXkJv+U/jHCQWNkseEf45bKBZcL - qaTU1nGYcRUBAGNLq2ZGeD3wc8AcVbPWkDpdjpfS9f7s8pFKqx47NuPKhFb73Jg8HIqKTC72V9Nj - A6ExHI+kbzLMU0wEE6iQMUKJscwioqWWE6aVoUgoZVqp1JhCSQgZ9dnIzOiOp2459M0FRQAMQgUM - gEELHMADbRR4kOddoAAt4A2vNl4fkBS5U620MhVLrYqi4I6o11v5ek4ZG5ucyLoDP/QcR1iChJGg - 6lOtB+lAoZZSDfI4qIWSyFzmeZHKXPIY2r12M6xoYgZJXwiXC1+BBgaJzDWxnBGlVD7IXMePglAW - mjBgTPQGXcd3yw/gT471T6ysKVGqk4zzKFIk6LquUdZIbaQZa4wtH1+aPXR01zPPmT1wTCV2tbtc - hbopiATLfS+VKeeOASAUSjCmDIQqH2Dpvb0B8xALQA2xFijFDVQGCWxSl088MErQbv5AATacVSg+ - frYDsVA6Gm88Dxu0qRMiphPC8Z9v0MATrA386Z95bG5685Qp15bCiasBIBRxow9DRC21aylaO9xq - 3ftP9041thU281139sixqdGt7Xa7pPFSSouiyLKs0Wj0+/1SPVoyanfu3Dk9PV1OLCW/TWtdr9ez - LHO464RikMbc8fbs2VNt1Q7NH+l0Ol7khWFYahVrtVr5kUdjXWD1en14eLgzvHb44CGLOL+0eHx5 - KY5jx3EIZ1JKYxSlFIxVtuQhACO0lHpsZNEAlG0TdwQieoG/tLbih2G1Xstlsbq+1mjVmSOW11YL - Jbft2L6wsFCpVBzH8R1/YWHhyU9+ci2q3PfDexcWFi646KJf/dVf/fa3vz0+Pr6+vv7FL37xXe96 - 1+zs7JYtWy688MK9e/eWbOUPfehDz372s1utFqX0137t126++eZvfvObURRdcMEFI0PDDz300MrK - ytjYWLVaHRoa4o5z+PDh9/3Jdb//+7/PGHMc5x3veMeb3/zm2dnZ4eHhPM+zLPvyl79sjLnvvvte - 8YpX3HrrrYM45q4jpfwFDvMfX0+4iSldUrTWSZIUReE77q3furWztl6mZOVpxggtsrzb7oDFlZWV - pMjuv/9+RHSjgHN+zw9/2Gq1+v2+wzin7IBSu3fv/t6dd37tG994wxvecPmVz3rLO99itQlEeOTI - XJYXlXqUtrNH7nv0hc97zuJjd3z37tsV0Wmaetx9xp5fotJ74MH9l55/2T9+5Za3/M7vTm4bPfb5 - I4rkB448VuTJ9PTk7bffsb6ycsr2XVFUTdLU2NxaQxGIRaP1hmksEC2VzIs7v3fH9q0z4Zm7Bv3+ - 9++4c/bIUTR2rDWss6K31n7+lc9Rhdq1a9fx44sly4QQ0u93p6en8zxbWVstiuLcXbvv/P5dhABY - s7S8xDmfmp6en5/vrq9vnZqIs8TljlGFYGEl9BmLuoN+kmsRuE4UUcsCn4/XW888/2kLx+bqQ8Mp - oDPaSK2yALTQW8J6d2F5pNpYWVkKh8LOYP3ee74vGRiHSWIN4WiJkTrp9auBX6v4TNk47qdZEXgh - 57woCtd1Nyh11pbUpZ/eP8b1wjAM0UqtNScUrVVaOY4AbaWReZYlOBCUWW0sNQ5n2posGWjOXC9Q - oFcHq17Y3HrGVoJmbnkhtjJoNCjoQbbe7/UqYeT7teZow8s45CqjRWbSgR14UWDAEDSUCWskCEIZ - oEEEICAQkUPp0FtUKhXLDA2oE4qrXnnV9xp3BiQyioZ+SAmXVipecM5NgWBp2s/7eY8G0tZpLuyg - kIVW6JtcI6GGceK63lJnJWDR81/2wte87Nc9YPccuO/w4sGhsbFLrrr4V57/vBDEHfu+641662ud - i6+85PIrn1VAdmR9Ns7j0dHJxlTrq1/5KoK8a/FOf8ifn5/fOX7GZz/1eQ15W7X7Ol5NV6nP3cjr - 9QbdNK5GVWut0iqsVqT+McLg5pn3hM/rEz0QwMY6yQJQpLnMOBWu7xlle2lcqdUmp6fuOXLPXHdh - 65atKx1919wPeeijz1PICKealBgDoZtgTMkXpviTjwlPODMRLO1eLAA3gBTYBlBBGQKzwPCkk56c - FGBJTmiuS0MbIKWnDdk46Q38WOGGbuA/s5P5CRLETzwttvRGLv8SN/9gcylmN6yKAQAYoQwNsUgs - aqmgkLqQr3nVq/7+85/fPrMtj5XDuNaabu5ryl7BWlsURQmaTk5O7t69u1RNM8ZKGLXMD5FSFplE - bZvDrXP2nD0yMpLItKT2nzA7KTUBZSC8KuTI2ISVemVlpTcYWEAR+NKaJE6stYiMoQUOnAnGGGfE - McZuurQba7TewGNKVi+llBHUWu+58Pz5+XnhuSurq8xzduzc6Qbe3OLC1pnpbre7vr4eJ0mzURsM - Btaz9Xp9ZGTkyzfdXK/XrbUzMzN79+5FxMXFxVqttm/fvsXFRSmlUsp13dNPP/3LX/7y8PDw3Nzc - 9u3bl5eXPc87cuRIs9ksn4FLLrnk4x/9WBiGSqlms/nWt771kksuAUovu+yygwcPAsD8/HytVmu3 - 25OTk4zQPM937zqrKAo/DKIoMmi3b9+eZVmlUjGAWZY5jP2831q/qJ+oJ9zElIWI1ajieV633fnM - Zz7TrNXHxsaOHj16/PjxSqXyR3/0R1rr3bt3P3LwwNMuvSTLsp2nnbrWaSPA+Pj48PDw2trapZde - eskll+RxsrCwkGXZW97yFi/wb7755qWlFa31zOQ2QsVos2Gt3nf//tXZtb/+Xx9e7S4efPigMarR - aK20l/uV+PoDn5wOx9//3r/goRer/kL7uBPR/Yf3W2InJrcqaZ5+8S+DsSurS7qQrkMBNKCJwlBr - rbWu1euZLHq9Xjmj/N2nPyOE6HY6o0PDvU53bGyMAkn7A4eysaHhl//KSz74gQ8+/aKn3DP7gxKu - SJIkTvpnn7nrH7/97dLU+HkveP73v//9ajXKsqxVryFlE1vGPv6xj62trRiDb1YC0sQAACAASURB - VHvbby4trdx227ee8+znANhGo9Wq1da7A8E8QTwrU2SUaDIxPqbyntOih+YP9FZNT6cE0SnsY105 - HYwks9wVfn185Hf/5+++4TffKCp1tdpLLWi0jBCHoc5T5FZ4YSBc5bq60OX0Y60tcxxLgWVpQP7T - +8fU6liJfFqpDAYDY6wxiNYQ5K5wACwoLU3GgXIg1GDp9KaAOK4vEZI8HhobIpY+Ovuo0XJ8egty - d2HtOPP4UNSs+15hTC+Pe/20hXVHIxdaCh1ElYGJqzQosxWk0cxSACQENGrOA601EKSME4K+48SD - PmZWWnnWU84cmRqvhU2VI3dcC6BAK0gtsT71rcLRxpbV9qqiiVe3XbvI61WGDWmJRXC4Q2yWZHGl - 1iCKPXRw3/rCWjEYnHX27tGp0d5g0D3+6D89ckBnyZlnnTbbPlZt1e85dM/BgwctMUPD9ZmZ6YXF - Q4919j/6yIGg7gRNt0WaQ1Mja0n75lu/3KhUsyI9fc8ur+VojUWRCN8Jqq5RFijlhPfigee5j/ce - WDpfkycqU6JIT+AVJ0ZGC2CJ5a7ghK3HndAPtJGr623f81e7K++87h1DzdFe2hnoBHMNlFBHEEDD - SBm3ZAgAAYJIwcJP8mjpT2iNGQIAcgQkFoy1ZIOEW2oVSztLALBgy2zvMtcJCBK0QEq/O3oi3+lk - C5aTcQ6CJwE1/zVqM/ppozs5GYKCsvk7ce0IQKAMxEICqLTvOA4KkJAlaWNsfM+Ze/7b66699eab - wUIyiEs5klKqxAy0NUmSCCG2bdtWygzPPPPMKIrKDsZ13TiO6/X6YDAo04XQQDWsjE9umZmZ6fZ6 - xKGc81qtpkH34tjxHEQcDAaMsWazaZRO09Rqo9HWGvVqva61ZoKP1KrGGCa41tJqY4wBaxmjQAhn - 9OTuasPNlhIAKKR0HC+TxVXPe+7/vv56Ygz3XeE6vUF/aWVx69atjz12oDU0lGZZFEVRFJXJR4QQ - TzjXXnvtvff8cGpqas+ePcvLy91ud+fOnfPz81rr5z//+fV6/dChQ1ddddVHP/rR0qzP87yXvvSl - P/rRj7Zu3XrFFVe8/vWvbzabeZ4/8sgj11577Qc+8AEv8IeHhx988MFqtcqEOHDgwBt/402f+9zn - HC5qtdqWLVsOHjy4urxy5plnPvnJT37JS17y5Vv+qdvtVuu10m8iyzIqOOf8n5kh/KJ+7vWEm5jy - FBRCpGl66NCh85903pPPO69Is7WV1ac+5SlBEBhjer1emqY7Tz11aX3VGDM1NZUkyWAwAEIcx1lY - WMjz/OGHH7799tuJscaYarX66U9/WhkdZ+nO087o9XqDOAVDk15W8YJkNX3Z83/16NKhC845/9I9 - v1Tzw/by+tTkzGK3N+inZ27dKS+X6/H6Z7/4d48cPrDYXhjbOgKMrPf6nLjfue37nucONatSSuoY - SilDWsRpFEUMSLfTAUJa9Uae5y4XEvJGvd6q1S9+2tNvveUrg14/jeNqVKEGZZLlg+T5Vz7nZS/6 - la/f8rWFY3PJIHYcp1INf/2aVy/Pzb/whS/86Ec/2qrWjZZZrozGai0qckXBfudb3/zgn37AEV6t - Xgkc8f3vfffsXWceOPBo3G7XW00qC0uIMYIm6PnCRdqoutEFW4fOblUH9ChZMb5RvTjo6+Xv7vvV - Zzz7VO9JIRv74CdvcKFiMMxNsNwviB/YLGZEeo6bZ4ktjIzRum7k1ZjlJbF3k3fGSobKz8o/JktS - RjFwWDWM4lRZrSgQ1IZwwghFJK7jgLWCMgSgFrnD09wwBEFIX6WOyywhw1uGAG2cD6QDw0P1rMi7 - /ZWKcBkVwnN5EPLcw0IpojMtc6M95lJlHcsCIWwuDUPCCBIkyKTUlHC0oFWhTIpaEUoaQ418UPRk - hzfZAFMaiEKQXCuJykDm+26WdrwgOBgfog4RHq7JrmRSUNdaOkgyzpBq43LKHbbaW/NY4Lf8cX9L - 3feVVX3bIzWR6cG2c7bVAlcEfCVpd2iPj7qntk6jFD1BQGZR3eVB8NTtFwOTiepRF3qyS3nlvF9+ - SlV46911XncTWkhuPcfPVJHnqcs9Y7QBGlQirRU9ebVS+r+dDKv8G4og4ZZSZEDK/gCRWErAENBW - 5VgIT6CDSZzxhpioT6xEK81K/dBjjzSbTUohCKI0T7QER7jEGkOoJRaILU1d2AbPBiwpWbcnNlYb - pBkKQJEyu+mtQAABDCnTkU60P3QDGyJ2g/lCgIAFYmnZtCAHACAlY6bEYyhuZEsBRVvay2w2DRbh - PxrgP/kF+ReoMGRj/XUi51MgUKQMLUVKEAwFALCbryylVOaFZxlYnJ6c/OHggT3nnLswN3/aaafd - /A//eMaOXWmaUiCC8zJmyA+DMhxm9+7d5RK50WhIKdvtdqnHdl3XGFMURaVSueqqq7Q0nDBpVLfb - lUo16s3SdsHxHMhTYwyhtLxFWGuTJBGWMEJbrdZTL7zoec97nhAiywptbakVkCpnjDG0xKIrHGtt - mcsBcIIMs2EDRylV1jDGCKN5kSG1FpA7wvE8owhQTLJ0cmqqWq0OBoOFhQVKsFar+dxLkuTjH//4 - pZdeOjw83Gw2f+/3fi/LMgBot9tCiI9//OOXX355nucXXnjh7/zO7+zfv7/Vah05cuTP//zPzzrr - rF27dlFKr7766tIjoyiKRx55ZGJ8yyc+8Ynpma133XXXO97xDsdx0jx3HOcP/uAP3vzmNz/5SecZ - Y37nXe8Kw3Bk166lpaWPfOQjk5OTN954Y7/fP2fPubvPOadSqWRFXt4VAZ/oZPF/Vv08Wrwn3MSU - Bm5IiAXcu3fv3gcenJqcDFxvYWEhGcTlu7bktMqb/oF77lJnbXh8rNPpVSoVQmlRFJRS3/OOHj3a - aDRW19aDIEiyvNGoIWFU8H2P/mhmZvv83OL2qR35egyUfu6zNzouOFVxSx5TbYixkRsZJKkBZTCK - ovXOGnNQBJw6JAhDh1IlcySUCjE0PGysXu91LZrIEaqQYEFwJ5dFKSdWSuVZBoRopQTnSX/gOe5w - a0gIoaUcHR212pTIqiyKIAgcLpYXlwTjrXrD9/1Od91h/NixYzt27BgdHVVKjW0Zz7UqKb3DY80t - k5MXXnT+l770JS3Vq6951W+88Q3rSyu//Vvv+PjHPvLYY4eMtVsmxpQlRARVL6g5QSN0BaNbT93G - t8BIHD46t0wp5aGRvT6j8WP7HvilSy8F5Y4NDVMgRlODNAyrRhrOiDUKCbVM5UiKDAQQv1IVFd7p - tsuLLTdBpXWBlBJ+Jv4xSdbv9ng9qlQqgFKrGAENGFQEEamgnu8bozgjBqi2gLkqPSekVa4QgeOt - ry5rz+eUIAOlCoLa5dxzQ4ewVFt0WKoltczn1BcBasMpapVDhhQYdQUiQbNBPUAAxgilRBnLGPOD - ukVtlExl4UXe6mp7eHhsMEiACK2tBmA+Q2oGustdYVTfi6JkEE9Ux/IkRhBSaca053PXEVnSQ60d - hzcavtYAEkPfKwppaKkxtywkwqEaVC/tEY9YpnNjmECFyuWuyjPCiHWwLwclKuW6ftzPmCMRsI9a - ck2IyWQmTUGYZYw5nKMmFBllrMTJStbqSYljG2f3iX3NiR3ET9jZbeh9sHTrA4IUS1cYAie4MYQT - ohEYdNNuLaroQh3sPCaY6Bed5ki17kdFkptY+sQ3aDUBZMpyBcQisRaQlRxb3CT2IqFAYSNz+yQ9 - 1MZD2uhICFKGhBCKm0c7EHvyqmXzfy19fCl1wlMKzAaksaGxIgiWUIpgiCWADDeIxvBj25xNv2iC - m33SpvvfZtt0IqepZOecsKr5Cf+6f4u4/QR76UTWStnbmR83qSoNmgEeJwlRBEIsIFAGgjkqsR7h - F1544dEfHr/9u7edc+quQRK/7GUvmz00X6/XHYeneZYkydapaWttmWHXaDRKmVJ5uA4PDxNC8jwv - ZUecc0ZoaaszyPOR0eFen/iVKC1yWeg0TYV1K0FoKBZFIRwnTVO01nXdih8sHV+84+477vz+HcYY - wd2iKAxax/EohaIoHME4Eq2Uw7gxBunjjRwhxG6as5ePszQkjKrVTq+3ZctknqhBEnPOq1Gt02u7 - rnv48OEoirZOT/f7XWNMv+gzQuYWjn/67z49tWUKANY669Vqtd5qDOI4iqJjx+duvPELmSwoQlCJ - xibG2+322MT4vv2P7D94QCk1Ojq62l5rNpulSuuxxw4cPPjY52/8/MjIyKOPHTBGB5UGSfP1zvrq - +tpf/uVfSik7nc65Z5+ztLJSq1S4Ixhl/3DzTYPBYGllOb/77ttuv73cTHnCsf8fTKvGTYDwX0cu - T77P/FcrupEtQ04COYkFsBQsBQvEbmoakSJSRERLKdFGeZ5r0AyPjSRF5lfDoBblqPx6hA5jgbsy - 6CRWssCxlBhAIUTJRUdECqwS1Sjlg0HChIOE1ptDRxcWGqOjCmxrrLneXxmabC31V0xAFQcF2vOC - rJs4xFVIckqV7y/2Yy9sSGDKCbQbGhZqxfTAOoq6kla5q5I+A4kgM526kUc9JzcIwgXBCqtLb4My - WoEx5nteGTXgeV6pSdZaMyHiNE2KwhDCXHe91+OcSyXrjSpBY40axD1CiAE0FgutMymdIBjEKWVC - G6RMKG2PHpt77x+979rXvV4wPrVlYs/us576lAtP3blj9+6zKpWw0AUJhBYWuHZ8Yk3uuqKf5gQD - V9cHh7NgzTOHDSwLXPMbdPvF510VhE2NwBgzoKYnxlzUZtCrCR4KRinEqBKH2WqQEtPr9fJ+bI2p - 1+u1Wq3sSBhj5VJJKUUI0VqXfjBRFIVhSCktV0tlzIrv+wDQ7/d7vV4p0SrtvY0x5eCVpqnSMojC - OM2PLy7X6/Vmq26ppQ5njEltFGJuCo1aW0UYkxa14JpyQjmxVDAeFwM/CrSgihDLmCcClwiBzGEO - EopCKEsVIcRjhhiZyYhEI7qu5oqp6lTcLRbXOjyqcOFRyykIBGuZ0iQjXAMFqY02BIgrgQ6k9KrV - QZESF4ApzozLkZqCai0IcEBOGVodBUFv0Kfo+aTmoIc2JSTRauAIJqiPmqlCoSk0TTPsG1YAt5RY - a3LmGkWy3ErGOUVCjOXUEmodilKlKFgBSrKU+ZpxSynJ09wVLgWpIVM2czyOSvnEqYlQaM40AYXU - MAEONQyQI4IxyMtkcs43CNqCKaWM1kwjR5LJTBOjjOQuZ6XNL2OFVIRQRlh5CFtqDdOGWkOxbDhs - ecpqFFToQvqOm+eZJTasBNUwMqmlMWN9VpHVURzFZdskLZpg5PqMEeZAYTPLNFBCgFtgmhpDDQHg - hnHDuGVkE2QxhFpCCg45t5kASbmmzAIvdymGEEPBEAooiBXkpM0URQ7AATkCN4QaAobak9OkCCK3 - hiEyCwDUEMeQkppgJWCBVjgMrKFAtTRWE1CIShK0xKGpyangQggDypJCY2agIKAFAQfRQSIs5biR - V2sIaLrRPFlC/sW7Ov7zL7LxvbwaAGAWCCDbnNoRQDNQlCpKDaFILAEr0DDQiEZatE5guTM5Ofnw - 3geOHDqcK5nm+p777p+dPdJpr6RZXKtVrFZJMvA8h6BVqgCwG/kABgb9ZHRkfNvMjh3bTrEaXeEJ - 5gjGrTale8J6uw2UEEaHRoafcdkzr7jiCtd1+92ezx1OGRrtcEYBEU0/HXi1KLPKcsIDd7m7ykOH - eVwTYxkSTpBAqgovClKVE4eleRpWo6ASpiq3DDQYZGAoKrTtuO+GgQbs9LpDI8NSF1JrCoQRisZG - foDaVMKK4LwMTwAAoESj9iJ/6ykzp+w67aJLnjY+MTa/MFdv1oBiLrNqvZIWKXcYc1guszRPvMDt - pwPqMMuB+WK1u+JXvUKlXiCEy5FivVVvjbSYw4ZHh7zQS7K4UIXwhBt4SZ5qNPVW4+ixo7VGTRrl - hf5znvecq6+5+oN/9sELLjj/E5/4+JaJcQRrjHZdxxj9MzybfyZV3snpRnY6KaR0XJcylmYZoZRx - rqxBAAPoeh7hzBJChciVsoRoREuIGwRxlnV7/bBaLaT0g4BQaqwtDXD+s68P4J8jMf+v00X5wUDE - NE0rYVSt12695St33377r1x9dafXmzt+fHpmq5QyK/LmUGu1vd5sNtM0RYBut1ur1brd7tRkY2lp - yRNe6AcUCKF0MOidtvPUe793+9gpWwkYowvLnVqj0lvpG6EbzVoS94BiJ25PbJ9u93tz3cX6SCvD - hHKYO34sqlUDzzFFGrhu5FayfupSPjY0XBhdqDTPU8ICwhnlXEslmKBoCimFEATA9/08z/MkzbKs - 9ETaMjY+MjZaKEkQCiWr1VrUqC0sL01OTa2vrT2w96E0y9q97oYjXK/3B//9D+MiW1he+tH+Rysj - rSCqzB2fj2rVLMuq1crqI/u3z0xHteq+R/cbwBu/+KW5Y8de+cqXP/Tww3PH5zOj1mQmAs8WPVMo - RxFtsiTNOQs94x34wZFDq7PL/SVK+ag/tJ2Ozx0bOOmcNYIHHgfa7SyfPn7GSLN29EAS530UNKxW - FWT9PCcWQ+FqLYkmBKkQopQUlr4vhJCftX+MdV1/dXXVC4NasxbHsSxUFEWS5HGeCcEMGCk1d4Ui - yiJlQOkmCFBuHywjaJCCpUCMsYVVFsByEQqOlvE8h342FDaTpV5WqHGv1l/sbpvaPrB5N+shAHWo - UYZxatE8PuDiJv8VAMiJEMXHf0HBWthwN0FikaAFS5FuTsZIQFNSUj3KTwoFUJboco4myAEoEkBi - zUZgYUnGKMduA8TiRqQitcRqVpIeKCCnJ84vYgwpVwmUAAASSjaYqifP+pQxg0YpJThHgkCJQUus - DcKwyHNOuXAdxZEIboWN08TnXgnau65rtAFCKadSKi7Yiafg5LFxgzVFGVikDKTMqXCQeA53KlEl - XU9v/txNRJGhkdYrXvvKXt7N8jy3MYaIxDLGrAWDlsLjNB2ClCIF0Ju6Iwoby6PN1RECN5QSALC4 - IVmCEnEheHJcUvk6kk1lE4VNrkxp+k0Q6QZ8Yu1mgGz55iojL5RSSikAA8gF4y51XMFSjYMiYcL3 - g0BmihPiel5uMi4EsYgatNbUMAJAKQdGCeqToSBbxlL+2wpP+k42h9rNF3eTv7OxODvpFUdLwCqw - lFJZmFSqB350/9bJqbde+/ZzzjnnbW9/x3v++/+IHC/LU0Rst9uzs7PxYBBGkdZ607GHEkKMRmPM - hRdeODMzs7S4uLa2lsaJMUapApFQyj0/sNbERTY+veVZT35SvVXvDPrf+OY3Pc/L09xqTcXjPF+D - tkxaH+QxIokaVYVWWZMmCSc09ANEJAQ+85nPvOgFLyiK4vM33hgEQRRFX/jijTd86pMWTZomQRgC - JV4YSKMNoEUbZykAMMYZMJWr0neUEMIoJQhgsRQT+L5rkCyvLp12xqmf/eTfgDGXXHHF+OT4D+// - 4c6dO+NeX0rrBa4xpnxKLXn8mS9/I1yepqnnuMDAWo3EVmrRd757m+M4F1xwwcoKACLhBBELlfm+ - XxSKALVowyBExDTLrrjqype//OVv+r/e8Def+Ju3/tbbB4OBlNL3vE6nE0WR0f+1+phSggoAnHPH - dcsbe2lXqJRyA99nfuma0+51wzBM0tR1XcoYF6J0UnZdNwiCYCgoikKjjbOUASkpB1pr/l+AyPyE - 10nWGEYpGFsSppYXl665+uosy+aPHet3u6efeurBw4c551unppeWloIojOOBH4VZPKg3aogYRoGU - Rb1SKbLCaukKNhgMsiyjw60tExMu4TLPTZp3evn4mDcy1EzjJNWxdQww7dbduf7syspyrd6qjLCl - I8cDNxpqOUXa86tD6+1BLPN6o8odtx+nro/aGsJZGASEEms1IrfW5qZwuNDGalkURVENI8d13Uol - kJK7zuy+H23duvWGT30SCQwNDRVKdjrdw0ePdOP+Smf9yNEjhw4f3nrK9sbIULVaLTWNqSyecfll - 733fH5993p7h0ZG9Dz90+hlnZLLgnB1fXDj37HPe9o53xr3+YwcOLK225+aXhBA/uP/hA0fmc+DA - qWAEpWSMMp8Bx9GZMSf0//GmW7advtUzjXNnLty3/+GKH9WdGvbJXfcfuDN9bMcpp7v1qKO6vUGn - 0GlQ8WrNRrqYSmUgB26EllIAo4LnRpkcCaO+75e+L91u1xjjeV4Zj/Cz84/BUgoBjIqIB0FAVUEp - RYsl/FOSbBhh/4oweGNXznmZPEopBMwRBeGZqaJz2uSOu7/9nbjTdQL/0mc/958euN0Q26fSbYYW - EFEhgXKF/wRNbMulg6WEGqoJUkRTOrDhxq5k44BEQmCTxwobxnFQEjE3POgQDNkUxZANEzZDOBAg - SMtx3JIyodoiUoQT1nPUEFOe9RSMJZuoNNUn5MOWWMb+H+reNErSqzwTfO/67bFHZORWmVWVUq0S - JUoLQgiBhRtQG2N5adsYI8sWAi/gpe0zfc7MnLaBAWOw+4wNBky7ZxpjDIcxWALJEgiDtYEQWqpK - KtW+ZVYukbFHfPtd5sfNTEmAFzFimXvqR2ZWbN/9btz7vs/7PM+LpJRIaQDFLJoJJWXmUEQISkSa - JJkmVGaCMcaYpQCSPMNaOo6TK5lryQjW9LtOv2k1gKSSjGKlFEYEKQQKaazTNOXE6gw6Dz/29cBy - ryxeWfWqi9EixhgUII0pZhiwUEop03t0oz61RWp5XrJm/ntTj6ORUgboRRsUEYVgg6S9eQzD84It - 04gAYxCmFoa0xhptmds9X/KDkVZKCM6wzlKMQCrBiQ25TPKM+tymepxmnBFGSJ6mqc6JTQFhRTQo - rTCA0tTg0whgKzKCjXYNAPg7hdMv+jDFX0oI5/yfv37f8vLyBz7wAZkhpXFrdW3H1PTMzEyxWDx/ - /Gyr1bI4D6MN0yatNaV8OBwi2Gh1lOd5kiRJkrRaLYxxHIeUcgBsO0kYjlfarXKjYkpOpj7i+76x - AzUlZqNhxICUUoRTpVSa5q7rDnoDIcS26Rml1LA/YJxvn5vfMb1z+/btBw4cqFerd99zT6fT+bW3 - 3vbhj/wlsy3P9cMosm3bYiwch5xzZtlSyjyXtmVp03sba0JIrnKlNmwATUXVkBlc143j2C2UYVOL - UCqVDMy/Nb7TJNcsfZFJi9mEUCEEIDBkwT179jBmYUyzLOOcm74WFnCZC9u2wzB0LDuKonA4mp+f - d1339ttvn5qd+Zu/+1ut9f79+w8dPmyM08SPWAQDz//2GaN2k9iY/X/QapVKJc/zDCovpSyVSoPB - oFwuj0ajeq3W7XSiKDIb+Gg0shkHqfQmQdvoQn5o17Y5XnAQY6BsrTUGFI7GnuMOh0Ozhnbv3j3s - D/bt2ZMkyfyOHaC0XwioY52/cCGNE+E5WSa0lnEcuzaP4lBk8eTE1EBm8zMzh7/12EuvPNjvd+P+ - YH7bLGX28mo7UiMh8oVL5p0C7QzXrTKP5Li8vYAUiHS0baECqW6Wp9utrud6jdKOJBHabPyUCiUZ - Y3GW+EGQySxOM60lxggTDgCAEUHYcRxEiRRyNB4LIRzHueraa3/8da+lCHe73U9+8pMS9LbZuZlt - 2zRCH/2rj9VqtWZjAlmMu0673xNCVGrVYRze//CDzZmpx5984sgzRy+55JJ+vz+KQs/zXvKSl5w7 - f/6dv/PbFJNeu1Or1WWWj0fhVx96GBECzAItk3joebYGmckcMD5x/jR8GWdpenF5bXl9aWb7FBv4 - o8U4hLxSmRqLhBHaOnqo2+88fvLw8bNHJ+caUToGrBuNZrvTH/diyplHXQAllRJSSi0xxqaKtNF0 - OsuMYcyL6h+Tb/nHoBzq9bpH/Xa3rUAZfaaJlnKRmxX3bWepOboQQgpAggaMCKMIaYJw2OrWaTBZ - qZfA0v2wt7hiF3wG2qaEUWya66ZZJERmAbIsKzPWPi9kaARIK4UFVltHr4aN8/U5Kh7DpN1gmZgg - RW3pTTavYqPMYagPGuGtbkQKYYMiSARIY9is3qpNVoexRZHP2p0YHq808ZAUuWvZOSihhJTS83yC - pNmVLMbTXOSJcH0+HI3BUr7nCykEytM0s20bU5QKAaAJI0p+93NXKYXQBjNDCm1zS2uklIqSGECl - Km7ONbAG7OOlbFEQiSnimCNKEpEopZHWgAFjorV8ds42IjmEnx+L4C2iBNL6OdYvW1qmZ6dgQ0u+ - UedGAERRjZREAkChDZoQYBP1bMykQvCsA54Umc0djbWSSinMOWU5CtNUO5gwDrGgFHvcTxREUoBE - uZYIIYwIohgRohTSGjba12ptWD4/yKG1VkrahGith8NhoVA4c/zMjrlLw1F02WWXnTh8ZG1tTUpZ - rBRmZmYmJib8IBiNRo7nIoSk1P1+n2CCMX7kkUcwxq7jBEGgJxQhBGPg3I6ipD8YGr+MNE2/8pWv - ZDJbWW8Z/i8hxPRLMmeVofnHcWx+AMAiy5VSNuPD4bDX6TZqtX6351lOnIdSyl27doVhOOj1Ll1Y - 8ByXU0YQ5pynacoI5ZwPen3HcZSQSiukQQgB2oTRIKWUShpNEwAIkbmuC6CEEK7rLi0tXXbZZZzz - U6dOtdvt6enpfr/PMDGxmnG0+pfm0zwGALjFtdbnzp2bmZkhhJ05c8a2bcaYiGMDUZv9zWBCWuup - qamzZ8/+3u/93kte8pKVlZXRaLRt27avfvWrxhMvDMN/5X1/iGMrBlVam8lECA0GA8650Mr0CDKi - 9FKphDH2PM+YsxtXDnN2mGbgW85D5nVMWeaHe3WwFcRg/e1b23M5bht/QUAAhBAME4Jwmqa33Xbb - gcsvB6U7nc7Cjp0LCwvHjz4zvW3W87z+cPD61752bX39ypdd+c7f/R3Xs9u9dlAodTqjSqPcWl2e - mprctXNHGmf99ppMk+uuufrAgQNRNH70W9/ora0Taukkc/2guq15+9t/yZeGJAAAIABJREFU9elz - T/l1ez1eJwG2Hepze7jWRYN0pjiRt3PPKgFiP/+mWz5/573/85N/xxw3EbJZKQPoVmsFY0U5wwht - MDkQFkJQxrRSjLJcCIIxQiTP0rVW69Zbby0Ui08/9dQNN9ywtLL8yCOPrLbWzi9ekFIura4Mo3AQ - jrvdbp5mGOPRaGQWOuf8sSeeIIQ4rj0eD9M8azYbw+Hw6aefpowRQh3LLlQqCjBivBKU1jttTEzj - V0IR1VJiBrlIEePd8fDpY8cLlre63OmPeosnVx1CHEXjJD9jj1cHXb9oa5SDlkfOHE2zJIpGmciB - YJu6pQLpdvo4x4xyobNUJAhteJcmSSKltCwrCII0TaMoiqLIcZwXzz8GZ5lwmZ1EoQIZhmHJKVuW - pbWkxscCY0JIJjJjFrK1xjbIqgBKa9NQRorcyDGVgjhPqlNNEokL68tL58996o7POradgbqQ9nTZ - u2bbqwMapFmKEHK4JZLkX8mEnru6v42guUmoVBJvPv07uKjmNYxJ/NYZ/DwWn8awaeqPNGDAatPT - RG1WsjYKVQASbaI1G2TYDehCIiBq65Gg0LOXQwCZ1oaYEKlUrrVAmjFGNNK5sDC3bWwzJ8e5znSs - Y0qpa3tMMiklEIQI5DrXGMgGoPDtAylNKVVSIoSzNAtKpXEYCi28wM1GGXLgP9z843maVWrV06un - nKIXJTFiQBEBAVIqDGDa3SNFTEMDhRXWABpjhQGrzRBQAWCy8RgNoDQSgNRmKQ1j2GymrfEm7vLs - vcOKEkkAgUIb5jEYgCiMNBiDX4U0gDKuMyasoQgQSCkEpQw00RJsRAn1OkmcJHnAfIdaapwzzMpe - eZSOlNKIEISpJsS8KCiNtMSbBaCNKiDagGS+62S+iINSmsQyyiKunGq1OrJH8/PzCJCUst/vT05O - miyZUjo9PT0/N2fZ9ng89gJfSnnu3AUppRSac/7FL36xVCpdceBAEATlYgkAbJsjRNbW1jvdXpIk - ruseP348PPQktWi73/MLXmfQLRQKmdhosrZVUUIIiUwSAgSRaBQW/YBT1ml1LMw5ZZVSeX52G2dM - ZOLpI0//3M/83M/+zM9NTEw88eQhRpiUKktSizElhJaMEWIxHg5DjZFFmdQaIaQR0vrZLvSmog0A - WmuEsFKQp4Ji1m61pJTjKAKNx4OxZ29Y8wFgtZV9PP+WAQAhSAhhUaa1DsPYsqw8zRbPL5kwhVk8 - iiJKCEIoy3PGWJ5L13ZMbb3T6UxPTy8uLZ05e7ZYKPR6vUKx6LruVmCnlPqOG/hDHhusLK0xxpwx - A2IZryDOOeccIaS59jwvjuNSqXTmzNlt27aZwM400mGMIYREmvmOa2x+NjLSPDfC/h/2Jb7wbyBj - zEhUKKWL58/ffffdN95w48WLF++56+53/+Ef3XfffR/98F/OTk0v7NiJNJSLxTRJ2mutYb+nhUyT - yHGcXKSO78Vx+Mabf6rX6xBC8jz9L//LH2ybnX7Fddce2He5xx2i8NRE08L07IlTgNT5xTP7D+4f - 50NlyfOts48c/vpy+9yhY49Oz9YsIq55yf4Hv/ZVkWaVWpUwnuYZIrg/HMZxGBQ8ioESRCkGhaTU - WwVCpbXhdlBKC4VCsVhMRc4Y63a7p0+fbrVac3Nzc3NzaZru3LkTIRRnaavTjrN0pbWGOB2FY0xJ - JnJuW9y2bNu2LKvb7VqWVS2XwtGIUmrb9tzcHGOs0+kwZo2jMAzj4XjkB4Ht+ZZjU25bliVzyblt - WRazrHK5zCjvD8Lzi8uFoII0iUZpFss0VkkqJ6ZmNaE5aEVQJgXGuFQpI4SWl5dHo5HneZVixeHO - JoyiDeJn4JY4jvM8N5+KMQabG4TxjwEA48ZrYDYD22xhjCYGN78mSWLWrm3b5mFGuQ2b/jG2bbfb - 7X6/73meIQtvKBGEMG/0nWtuk1GOEEKAEMIYUYIoERj6MunhfMBlC9L//Kfveed7/vdbf/+dL3vt - q6684Vq34EuQMs9EmmmlDK3ne/gKbHFIFVKSCElyiaVCCmkgClNJqaRks0qCNSBFiaJEmQRAYY2x - fu5fAACQBqII1mQjQdiofWCkORiJMVYaAdEIaUQU3hQQmYdRKglRxByhWAPRYBGuMgm55oxx7qZJ - LnJlcYconA8SK0VOTlUofO5byFLDDMYCxdq1PZEJE9thjLX+N9JEJTVopKTEQIQQUohypUxdwgts - /9X7DrziwN6De5QlmU9zmWmtGVAKmAImiACAlJpKunk52gBaRFEin61jIQ1YUaLo81xuNEaKIv3s - vcAAWCOiMFF4a2qQxlRRKsmmYFsRhaikTFLyLNdGGV6MoRlRzGSutNQ2dxjl0TCUw4xkGEvKkeMS - J21HYpCKQZYPMiYpA06AAiCtkFQgQMuNNgcYa8CgjJL8e7JL/l6GAR4sy7JtezAYnD9/3jQGMpR8 - Y+Nk4FJTEU7T1HVdAOj1eidOnDAtptM07fV61Wq1XC4zxszJlOf5+vr64uLi2tqaMTE/d+6cUqpS - qWxitJpSijEGwFtlGrNhUkq1kAyTLEltbmVJwghd2LkTCXXh7LkL589rraebk9PT0/fdd99PvfGN - P33zzZft3TdRq3fbHU4Z0iCyXKYZKE0xZoRShEFpLTZ2LoMHm/YIW5BwnudmszK9r82GVvD9RqNh - WdZz4eQtOOS7bDV646LM5RjXHEP4M36AG0/E2ESH5pGmpGKohFLKSqUyPz9v3PaM3hYAzH/9gJbF - v3uYU8AEgiaVNfNZqVSyLFtbW1tfX8cYG78+09thOBzWarUoisy6Go1Gg8HAVGDMVm92+y2Q7Ic+ - MNbwgvBRswg6nQ5CqFKpVCoVAACpAt8PfF9JyTkvFAquZR8/+kyjVl+5sFSvVF3LnZ6cAY2LxXJ/ - MHJsz3Jsv1CQWu289JLJqYnBqP//fO6zR489IzQQbFnMVrmymFX0i4xwpPH81NzBq68s1yrbds5d - de2Vlx/Yf/ipZ+Jw2ChV5iemiq5fcItxnGLKMGdu4CokCNXXv+LaqcmGZVmccEKYkohRSjCWQlBC - LMZ910uSZHV1NU/SiVpdKxWF4Suve0XRDyrFks14rVLttjujwbBZbxQ836JsYmKCc04tnorctC1d - W1mtlErDfh+kKpVKFy4sIYQM9XV1ZQUDajabWRrnWVIuBsXAl1kaDvocoTQOR8MQEEmTPM+0iMXi - uYvr6+ulSnlh145+2BvLMfiQslTaCqjM0lAmGRLAELOZi6nl2D5GLM9kGIZZnhNOnIKrsdZIMUbx - ZpRsEEXjSq6UMhQZAHiuf8wWG8aYEZuy0dY+Yr7VJiKJosj0UfJ93zgDbaGvW69jtk6EUKFQMO/+ - fOBRwbPat41PqA3PcNOoRiPQNlsn6ZqrRk0Xdk2c5tFJPBzVLTxTtSYqp1cWB4OByy2XW1gDxvjf - WUvako2Axlhjc9qBxhqbpFuZYhHWmErGJGOSEUkMAEMVpYpQYVFJtp6LFaGSEcUMKoA1JYoQRamk - GxgDANZAFKGSEEWNPStRmCjKBSeKEUWIwlhjojCVhEqLSo40Na9PFOWS05xSsHSOsKYgEQKqJcpG - ecOpTPIyHeR6KBxt84zOlqcbViVaG+hEI4WwxqDMjvO8Tcfgr1gjrBEhVEplqJQcc9CAJKKU5jrH - FvarQQxxN25fHF6UTCoiMcMYEGhNNaGIYg1aa1CaKEIUAdjguyANVBEm2VaghjUwSbgkZq4A8GZQ - yJi0qGRYY6MDpxJTyYiiZDOfJgpRwajkSGOkjUgbmNFAKbo1z1QBk9h8EqwQUZgiCrmmmhGBi8Sv - 8RIVjGurRApWxqaDqQav4LG2MmohSgEjjZUCpZTRDyGE0MYtA6QV3iyBafTtuusXfRjBoEn0Lcua - nJw0/PpyuVwsFlutVhiGxm/NZCDmIA/D8OjRo+12e0OWAnDw4ME9e/aYiMcUlMMwPHXq1MmTJ4fD - gbFUmJ2dvfzyy2dnZw3r0/Sv3iSXbBYOJFBEKcKUEEYoxVilctQbeZa9trxy6sTpKy47cOtbbnGQ - 9cA/3z9Rq+/fs3f7trmXX/Myh9tXX3VVrVyJRhHFDAMhiGIgSZhqpTCgUrG4f+++/Xt2Vyolow/d - 9JQBSk2YgbVUWipGyGgw8F2vWCymaSqyzNQ7ZC4wIIoJxc9y7779mNWYAEnTXGtU8AOCcL/fF0IY - KTVBuOAHAJAkCdYYKWQ4f8Vi0dCJLl68uHfv3sFg8PgTTziu63lelmVbu6jW2uh8f3T+bQFaWusk - SdI0VUqZhhJZlpVKpWuuuUZm+czklMOtRrXWqNbC4ahSLMXj0HdcmeUgFdawf/9+w0B4LjZGCHmh - n+f7Mb6dE/NvvouZFOPTvHHzZGbMW0ulkulv3Ol0LMuamZkJgsC27Vq5grkVi2Ta87nNJiYmwjB8 - w3/8iZW11Te95ZcDz3vw/ge8ILjt9rcfPHjw0KEj7d5IC5XnkjE2Y09XC5V9l+4N48jh7vrZ1tS2 - ye7qapW7hYJdCMrX739ZgVca1SkE1PcK1Xpda91oNgKHgsxe8YqX3XvvYBzGhDDOaC5VluaM0zzP - Qek4jEyfes92TN75iU98ggACAMdx2u12rVLVGrIobtRqJ44dQxjHYeRa9traWpIkhUIh8Pz1tbX5 - mdmnDh+5ZOdCnCadVmdubq7b7ReKRWrx1dVV13WVJFkYz0xMdjodEwVjUIPeerFYdMvlJE+UAoYt - jOnsZNll7tLSBWRjbINXstr9duC6HDvZqO9DCYFGiGkFUSYIo+NRFocZJxxjPIz6GNFiuUQF1jkm - hEqRmVKOSa2EEOPx2AAknPNer/ei+scURa6klCqTxWIxH4v19fVC3a9MVswrY4zTPEXWs2vJONCb - oUxJSRtCn0zzTGqNLE4sJ8yzJI88bkuS24z6jK9HoyRJvMB1fC/LEplngJFSejNgeAEDaWxCebVR - S1KbJQ9MpYkwiEKAiFRYIU2opEwRLKnGRCOtsCCSEMWxYhIrjQRCmChEFOXCAgAFOYDSWBMNXFCi - cU4UMrb7GnHBiMISK4kx0hkgTSSjklHJNVIKCY0BacQkJZI6QAGhMIoQoxRbBFMRCzFM9zaurIL1 - 1PrRMacYnCQMdzUWEIizz5wS5Yy7DmN4lIyQJOjZRkPfPszZZjO+IWiSEiPEOe8OunmeV2rlUTzC - PkuzJCgXu6MeozTPRJakSmiGQGqEkOaE04xiDZLkSEvYCASpBiyxlFghDVgjJjjWoJDUCIPCAIhI - ThTBCisiJJYaAZGEKkok1UhJvBnzacwl10gQBYoAaCAKM8ExgEZSamRs7qjCVCKNqMRKyJzbTCgR - D1PGeNWr7SnuYmCdXnp41B/uXdhRnyxMkWYC6XK6tDxcAaIUFRohhEAARghhrQlCeLO3AdYbEmsT - wZDvPySjtXYcJ4syg4Patr20tDTRnB6Px4wQ3/dt2x73Boa9USgUtNZSysXFRcdxCCFKAsb4qquu - 0lr3er1CoZAlqdkBhsN+FEW247iuG+Xpy66+tlyrnls8F4ZhJlK3GMRxLLUyPE6tASFkkFfQmgDC - ABQTJSUBZDGOpLp8775/vOvufr//llt/eWpy8u/+9lOz0zOEkFe8/LoHH7j/sr377rvvvrGIXGaN - e4OC5zvc0rnAAKWg8LKrrn7DT71RaHX3vfd87f5/Hgx61OIIQS6zLNsgx+BNbLjRaGilx8ORyax6 - vV6pVNJSmRxso2/d860gN316NqohSqnhcOg4jmnxrZTqdDrGW49yxjmXYkOjbnZOznmjWltbWzt6 - 9Gi5XCaERFFkFBKGRJgkiSHRf98XxAsZJgs1xSOTmprJMYHvq1/96j/4gz9497vf/d73vvfTn/70 - ddddd9ttb3Ucp9VqBUFgyLxzc3MY45tuuunYsWNZtkENNhC+Ugp+BLp2Py+IQfq7S6yNn4RZD8Zb - xSwpIUS9Xl9ZWTlx4kS5XL548eLk5GQYhu12ezweJ3nmOM7FixcHveH5ixerEzWFcSzSMAz9IHji - 0OGrr75ybnabzfnXHnzo8NGn5+d3TG/f/onPfGa9vb547uJMcyocjOv18tqF1rfuf/Rn3/TTK8h/ - 9IEnifWkBRhn8vK9L9s5d9lnP3PXm3/u1rNnlhHwcZicPX+OWXRpeRFkurB9+q6777znH7/y6h97 - zWqrl8YpIti2uJRCCWlRxh1XCenYdq/bdRwnjeIDBw787m//jgEY/uiP/ujB+x+oliq1YnmltXbN - wasazQnf97ltGXo/pTQOI9d2QKrX3PBqkefHjh8/df7s+fOLl16yq9Pr6DimCJf8YGnpwszEdBaP - qZaO42ThSGdJs1QaRiHmtuMGw+EQFK4XSp1258pXvXTn7u20oNppK8ajneUZJXTSiWaspmwLO3Nc - t8TLxXNry4ePHc1T7Vpe4AX9Yd8vB4mOO6u9ffv2nT11Og3jYlAIZSSldF13K14ZDAZSSsdxSqUS - 53wwGJjbakBFUxISQpj8w/d9I8w22ZvB4QxwOhwOzWtOTEwMh/1+f2hhZrlOhtIoipDAvu+naXrx - 5IXr4RowjgUUa5BbJMGN6tFm0RawsZpXgIBzrgAURrnMXUIwBiQF4wSUTvIUU3A9W4OKojGYPAM9 - a3X6bwpGnsMwBVCAEEIAWiFAGlGEENJCqVhNFSfPH1/aPrM9V/lqv+VPBcNR36E+JFD1K2EWYlDd - ftgsV3WCqeJJnmYyRlQ5zNepdrWNEAIpYimJQ1Su5DCbnJhpj9tIJbZvp6MsQF4WCcvG43zEPEtr - 6WI3i6WjXUmkglzynOTYRo6OkZTSrtqJyBDChFpxlqJEi1Ddccfn0HKv1+v/2C1vGnaTU0dOPf7V - b7BcXHHd1QIskecStEucPMuAkH9pcowNt2EVYI3TNDVlb8IwstgoHWmiFFLYplEWE46UUtyioMGi - JI5SrxCMRgOL2xNBc319TSOwAzsXaRKlVXtCCj0KB3bFylGuEo0TVHCCiEAvSSi3kaLZSNYKRYrY - WneZ17ntWauLraJVqhbKvdHA85x+POCY4BSVrdI4HmZRYtd4mshsnBUl2MwG0JRbQzXOooRkrOgW - B/GIMBYE/nA4gEz5TlFJduff3/FAHzmOs+PHrpyoNlqLK5/7m0+XrML2nfPb9+2oTpR6eCiVUEoC - RgSTDZ+X55yFWwnlD8bXzJwUWwWjNE2JYvV63RDtjZjIYDCO4wghAs6Nq4rneUrB+vr6ju0L+/bt - Gw6HlmWZ2CUOIwDgnHe7Xdu2pZTDUf/lr7y+UCgAgAFTOeZRFFGKGWNAkFIqTQXnvFwqXlxasij3 - i0WGWNgfb5+ae/nBq7QExpjI8j/9wAePHDnSaDQszFud9m/++m8dvOrK1eW1K6++ChTavXOXZVmW - Y6+srMzMzAwGA8D4zJkzWus8zbTWxsFhfX3NcRyEkFLSIBxmg5K5cF03HI0YoSAhzyVlzLKdUqHc - 7/fTNK3VaiaFHo/HhUIBYUwIGQwGzLYKhcLKykrRD5RSSGNQOgiKCCGHy2gUlUolTnieS0p5nuYI - oSRNGWOGRJhGseM4/dHQ9lwhhNAqywQQnOQZIEhFDgDU4j9qEYwZpuhjQhmTcxrlqbm0Q4cOvec9 - 73n3u9991113IYRe9cobvvzlL2utf/PXf+Oyyy4bjUbNZnNubu6Ld99l0D7Lsgyf0rKsJEl+FIxi - Xpg6ycBTmNE4TWzbdjzv4Ycf/vK9X9q7a/fM7Oy58+fbnU6v13v/+9/f7nVf9apXnTlz5tWv/rFT - p07v2rd3ubWaSsEc23YcIcTXv/GNo0eP7tmzx3edYRT/wpt/6brrrj92/PhDjz5SLtem57alUeoH - xfX1XrPajPvJW37uV2b2zRRp8f4H7y+6vgwz1Y8ufmv1V1//lv/tv773kW8+mYgcISJzEYbjNI0d - C8dxPFmvX//Ka/NcxHEqpXRtN46HAJoTyhgbDoecc5Hn9XodKd2JOw898OCRQ4fzPP/5n//5S3Yu - HD18hBKyfPFinue3v+1tH//4x6df+tKvPXB/HMeEEC1kmqa/9KY3HTp06OnDR3zff/krrjt28sTu - S3adX1x0HKdYLpaKxU/9zSe6nc6Tjz/xX/7g96enpt70pje96lWv+u3ffWccx57F10djnIhSsSIT - 0VpuX3/9db/wC7/w5YfvGaOOq+jk7Oxib7Fcqwc9uxIyh3slUSPYm96z593v+eNffcdvuFbQizvR - KOKMWRaL0gQ7+PT5k5NTk0lvvHz+YqFc8kul5eXlYrFoViEAGLQW4xfXP0brTKZpKkhOKSWMpmmq - uaTcSKYVIUTAC5MgIg0MFKgNl32sMdIKa9MLcNNK9f/TiYIQYCQ1xhhTDFhnMtMgOXCXOFqgCacx - 481ioO12VyQ5R5Yaq4XmQhnKPd45H8UVv6JDRQTd3tgOAA9eeIC6WOZ52apNuZMUSA867Ww1zGOm - SNWrT7JGvVw5PjwWj2MuiQ329slpG6wlsdSO1/qDkFt202/OeTsZkIfXH0CgVKo55/PNBQDU0e3h - ONQSp3nmeHZQ9WTMTz990U30nrldVBNOMeTKRtymbNTq1ecbsRxhpbQGRrlGSv2rtJjnmnJqANOd - QCPTgXDT/RaUMgVKTEApkSvXdogCizoNv1mHGg7QUn42z/M8FbVibd6a00DyYd4fdzOSllh5z+Ru - BqwHLYW1UIhT1y7a2/w5CkRncqx7KpeTleaUN92AiZbVOp+c4YhgAQXuzxZmRSGLhuvjaACKNYrV - S+xLLHAX5WIrWxcyDyxP9cRCY37kZxfGK+1u3ysUmOWBQtzyp+qTXCZSSp1Knea+VZpqTNIMI4ny - KOt3clTBjGHCiCZUgs6k0EIJUBwjQEqB2pDKb/BGv+9pKCHE5q4YiyzLTGnj93779y/be8XOhV23 - 3/bWcbtjvomlUgkATOqcJIkBJLRGtVpt27ZtzWYTAGzbTpOk3W4bN5fhcGgAVMt2a/XK1NQUsfk4 - 3nBPcD0X8tS2eZKlYRi6rlupVMaDYRSGNuNXXPHSg1e8FHJ935e+7LsuQbjRnFC5AACG2cGXHIiT - JHC9hx56qFQqJVGMMf7Hu+4uBYW52W2mkdP22TnC6MmTJ9fW1qLhKE6TudnZw0886RULQgjP84Ig - eObEsfn5edd3xuOx67r9fj9PMwAIPL/dbruWG3jerbf9mut511x99d133/3ggw8eP34cAF73utf9 - 4f/6h8+ceub2229njN16660f+chHtmoIa2trlyws3HjjjZdeeiljrL3Wete73mVIfkCw4e2VSiVM - SBiGRruwVdgy2933+6a/iMPgLgacg81kFQDW19cNjt5ut9/whjfYtj0xMbFr167P//3nq9Vqv9// - 7Gc/u3Pnzo985CNnz57NsuzPP/QXWutms9nv942qw5wgP3xt0gsKYsxpYTIAzOg4Cgmjt91+exJF - ly5ccuzYsZdd9/K5ublRODaX57ru6upqr99vTk6HUZJLzWyrWCzmUsRxHI3DiMTHnjnu+W6pXPno - X338//q//8Yv+FNzs6Mw6oWjeJROFqrDwfjh+7/xs2/4Txe659yGn/L8uoOvRBpUnFdYIW6No5xM - zy+8709v/scv/9PiykXGGMHYc22t8hMnTnVa665byMQIYUwpykVaKBTSKDKJ5vTUVKvVUrk4s3hq - enJqot4IguD9739/Z72NMX7Xu96llBpFoz2X7jp27Nja6urP/PRP3/Sam750773xeMwJNRjGL/3C - L37z69/44p1feNvb3sYY87yg2+kbsth6q7Vtdvbw4cO//OY3b5ucLJdKb3/r7U888dhHP/yhKA5n - 5+a63RXqFIrlcmt13eXOtumZfqd76PATr339j8tCNCDr9z1x78K2+X4Uzs/MxE+svv2tvzY4FjUb - C//zC3dagClglUvPcThlhKPRsJ+g3C6441HYH/ZK3J+anByE49FoZIrHW2y1DZE8fhH9Y3gQBOk4 - TsOx0MI8PkoiQpGB7pRSnDOppZH1/FtrbQP62+xsrPBmXx7zXInN4bppcm+eY9iz3yH5+9fjGwJE - gdJ6oyGyEhIRbBMbC5x1kwqvVaGuQKlQ4QwVPB/FpA6NMlQutpcIw8TiKCM4wXWoE6BUkKJbyAY5 - UuC6fgB+DCOmGM7HOMMF7vvga1BqrJI8DOxiPs4LlUCBzgYZp3bVpy7yubRqUO1D31JWnAqfuXKs - q+WqDc5auEol4Y6LZKq0Hvb6XMlGo0nSbrvdnQXU7/fmdsyvnVssUV6uFEejEfax0ahJITSSz60m - bc7MhoxqYxYBFH6OzBvL5wueN37TWgIQhLBWwnGDPJNUogIENWgILi7GWEuthbKwVYCyBc5FdnGQ - 9AhQpFHZLSNAIfRlJDIJGEuEaABlCpjmPE1zybISLbmeW4BCghIR5q5vqQwFblCDmgZJNdGZYNSx - iVOAUhFKPTJYTVsA2LU9rJM61DikK6o7HiSO7wHoJIxTUABAHcY1w0gzBGE01kgVa5VytcId2614 - PdwDAClzJYTQAAhMXz+RZ3hz0jT6AUUwAJCmaaJk2WrSjPb7/XK5/Oijj/7ZB/78bW//zSuuuOIf - Pv0ZU+ft9XqGykYptSyrPtG48cYbXdfXWjNqGTK+EMKxbeODghBqNps333wz57bUinNOLJ5KYzLE - HMdJ0yROY4zBpChJkpj68nAwshhTQj7y9W+cP3Xu9MmT1197/fz0nM0tAAjDMEtSx7JWl9e+8cjD - lUrlwoUljOmH/s8Pcc6vvvKqUqWshfYdP0/yQXdw9sSpVqctpWSE/ve/+nhQLIxFxlw7z/NWq7Vz - 585er5fmSaFQiOOYUqqlAgApdRAUX/qSA77v33XXXZzzQhDcd999y4tLWkjbtm958y9vm5951Y0/ - 9rd/+7cf/vCHLcsql8tCiJ3z258+8nSz2fzIX370Qx/60F995GNYWFZjAAAgAElEQVTXX3/9rl27 - fuu33vGxj32UEAICotGY2Van09korHNutrutO2IqKVsNJb5t/Eic6s8ZmZKcEoyJQoCUNhypPM+r - 1WqhUGi1Wg888AAARFEUhuGRI0eyLBv2+/V6/fzZsx/84AfPnDkjpbz++uv/7IN/Go3DPEuHw2EQ - BJxz2KzG/LAv8QUiMRoBd2wTl3LH/uhffWx6csp3Pdu2T588Wa5WsywzBKhSpbyysrKwsLC+tu4X - giiJG836KAq3eBhBEDi2rZU6d+YcpdR1PMZYu9dlrp1kSVAKKpVa3Avnd+z45Cc/ZdkIXMRL1oXO - ksJAMZ2sTITt0bb6zNrZVUZsgT/bH48as81cZhQjUMhmdu76uSSrrbbrFXKJKLUMuiCzzABr661W - qVQCpa+44orFxcX2uXM7d+78yZ/8yWZjYnl5eW5urj8cloPierczGo1q5crx48cRaJFm6TgCy7K5 - laapEhKUNujoxMREFI0xxZxSTGmz2bzhhhtKpdL999//xDe/9cEPvP/6669/wxv+4zve8Y4//sCf - 3P/g/du378Ru0B9G9UaVKNwddEtlf2Kq6QduZbp+uLXOKS4Visziw06/3259/s7P/+x1v+j7HgY1 - CIdaCILReDjyXHccDQGDRopqwjnvdjrYVtPNadVGrfZ6uVw2jD+TSWy1e3zx/GOUZTmcc0tYSkkp - Dc0DA+gtbqBSplXfvz222sqgDT7s1s+mbbJCm4jBsxHM5hNf6EAIIY01KCmkwhohTBCmiFLB1FgH - XrEI5ZZeqxfrQxiOh6GfFjEQDRCQwrnOqcn5CYlRwS9zsNayNQbMt/0kSrm2qKAW5aefOU0riFBs - AfexV4Li2eRMxS4Wqp4FDsSsBGUNoGKlLJFmCUWMuxQDQhlgiYtBEVIgwIyN7aDVt4pWOA5H2dgq - O5ZNZyvTs3MvKSucZdnTvWWv6A5V9oab3+ACuvehfyrZhThPHN+J0wQRUPrbXGG/czpMpc/U+JBG - pt4GRgxkmkgb2pBCoEBirRFBQgisEBIYAFtgQYQhR9xlmKF0nKEyokDTYcaJ7bpIDyETskSDAHzf - 8kiuiWQ4Jy44BIhPghF1sOuyjFMgHCwLOFHUYfY4i1KRgY0QgEqk57uU2GE37KCO1/A4WJBjzpmK - c0/yBKIcNNNOpVKTSgMmuZRaJC+95uA1zX2hHB9ZOj6OR1NzO/7TLb9Yo9UY4l4yaEWtUESSb1hR - E42klELmQkhCsdzcAzfFSt89aH5xh+d6SSLDMCSCX3vtte7YPXny5MGDB1/zmtd86Z57r7rqqkaj - 4fu+hakpExi9jMFmMKZKKQTERDbtdlsXi0EQDHp9QoiUqFarSamjJKaURnEskFYIDP1zHI2xxfI8 - 1wj8YhDH8Xg8ZpiUy2XfdmxuHTl66OTR46DBokwJ2R22pZSOZTNGT58+/eijj4JUvuft3b3n4sry - /La52dlZ13VtbuVpKpTqrK8/efhwt9f2fN/y/GE4VoSGYVhq1JZaq/Pz206ePmUgEMdxjDgIAGzb - 9l135eLqwvYdrdW1PTfsfv3rXy9BDwaD1dVVUzf3PK/X6+3du3fQ7b31rW+994v3/vdP/HUYhtPT - 02tra+Vy2bbtO+64o1gs7t69++LFizfddNOJEyeMaV6WZeVyWSEwSijOud4kAgohxGZXAa1/4H5B - 3+swfMRNjdKzPF+lVLfbHY/H7XY7juNqtTocDo8cPuw5zrDf73a71Wr11KlTk5OTAHDPPfe8/e1v - P3v2bLFYNNh8nueUMWP1+8O+RKDGjhL9Cxql70yZhRBRmlDG0jS9/IoDTx06vHf3HmbxUqUCGHX7 - vXK1gjn1At8J/c6wrzlOVR7l8WB1RBj1PM+2bSWlzkWYjUBpjojv+YiSVqtFOEVIBgWn0+/09IBk - mBPql4pJPBr3hh5ym6Vmd9D17OKwM0568dHWyQMHDjz11FOYkkv2Lpw6c6bWqFFEx4MxCoJSse66 - frvdppxnUaJBKK2lFJhRrTVgPDM72+12Hds+eeZ0vV5njJUq5T//0F+MBsOpqambb7652Wx2Ol3P - 9yv12tLKcr1ej7KoVqmuLa8YilmtXscYp3lGGDVdzSYmJtyCJ6Vca68jjb7whS9885vfXDp/4UN/ - /hdBueIEhZt+8o1TszM333zzOMtOnTu/cvzEtu3zjW1TZ0+dnr9kptGsF0pBFEWqF6MUFVm1Qisk - HMSpTqygNNGsTjcLbjWoBEXPadSLkxPl9WUyGPTyPKvPTKhxDwukYln0i+EoWlpZqjUmAKPV1dVq - tWq8XoxW0NzNF88/RmmNHGoFQQCZDsMQJLIsS5J8i1kspURky1FVfefiek6XvmeHRnrz2NhwW9HP - 5bpv5EP/zuho6zWfXd5SS4QRaFBKaaQJJQRApAInJODFiWAiTqN77roXNZSzy/L9QoNPWOA89eRT - X/n6vVf9zBVKoGgQ7bnkMqn1U08clSXV7/Qd8Apu0aOuALl+sTNbnMIWwTnTERaufOifHp7c3YzC - UWAVy7IxDsfDfHD62KnKQjkoenWnlvfyIRo+8ND91ZeWxtGwYlVzIR3w1seti0tLe2f3crBRqiOI - B1H4z0+d6pxedjIdBMFLb3h5lmdW0frwpz5WKxSmts8gDhbhw3GfWpTZTGX/Yi1po1Skt9osb7aS - 3ghntloxbDRMwRi0llIrxmgchwWrjDBDAgFFKEEOtnOIGWdqqG3wOFg4xx53NFJxkozWhk7FGuFR - r92jjpclUoZ6XB9xybNxmrHM4rTX6nfybrneSMIcS4IVIYpmYY6qBBPEgFLuaEWzKI/ikFSpT3wq - KUdcRTLpp3KUc8+1sIU0U0QMo4FfsMt+7ZsPff3BL30p8N291+yfnK63xq37v/aQjPXExERztlmY - KjpukOg0TzORZADIBPqAqdJCwQYGY3TgPwBKLwCEUciZhwmxbXvHjh2fO/05HxVuu+22T3/60/94 - 191iHF578CrDtR8Ohzu2b0cYR1E0HA6FEHkuLctCQBBCweYwZSmjMUzTNE1zjWDzMpXQynXder0u - 1nLLdwFUb9BnqcUYZ4xiDePxGIR0HK9WqYeTIShNEI7DkFOLIEUxOXni5KFDh6SSnhcsLS4jRGq1 - +uX7Lp+ZmYnjWGZSSzXo9xbPX2itrlYqFQ2o026XyuUdc/NnFs+fOXOqPj15/Pjxue3zx0+dmJyc - LFdL6532oNevVCoW4+vr67Ozs6dPn56oN+69995PffrTOxYWysUi1ogx7jjukSNPveMd7ySEtNsd - RMivv+M39u/fDwoWF5e01gW/OBqFX/nKV6+55ppbbrnFtu0v3vmFw4cPI42yJFOgpJTr3Q5jrNFo - 5HkehiECQBhvOQJjSpSUz/We+YHp7b+HYUSjBqUDANDAMDHkyFartX///pe//OVaKZPZvu51r/sf - H/9rADh79uwb3/hGs/kjhN7y5jfXGo2///u/v3Dhwu7du1utljk1LM5/FC79BTv2GnTROKU+9thj - QRAo0KNw3Bv0cykazYlMilTkYZr4QRClSaFYwBhT1zbnpdFwSqkUIK1U4PmI4G6/b9u2ZzuN6eYw - 7XcHPWZb1VJNhqrV7k5Xqw7z60H11LkTLHcKXpEh1ur1d22/tNVqP/nMEeqxycnJE2dPTE9NNZtT - vW63VKzmed7pjXuDCGGsc+k4lgYZhkOCmZTa+Ap0+r1ioWBI6a1Wq1KpXH7FgU9+8pN33HHH2972 - tp/4iZ/4zGc+U6lU17ud4WhECPnWE48bgne1WvU87+y5c/1+/71//D6EkF8snDp3lgfe+norWdmo - uPlBcPzEid179jz++OPcthBlZxeX7ELpkt37+lHyzw8/QgjZvevS1vr68WcOT83OHDl5JJThk0ce - v/aVV8etpNsffe2Or4XpCAl12aWXpf10/5Uv+8bTR6brw6W1i4mORmFXqcbkZMNz7JzQLMyY4igj - luY6BcZYGEe42w2CoF6vG/6KYQIa0pzxfTFBhlEn5Xluqk5b/jFGCwAARvuwhb4YFNGkL2maGt0E - tTB3bNu20zRFClFKJeQGiUEISSXJ80NltRmzoOewcY272ZZ5K9IbwYrerBxtHbdYP/t0gOcpEf79 - Q2rFEEUIYYQ1IRqDFiJPRNmqusKpujUHPJvY09um+lYnHI0sd5aDVXRLV+x/qVYgsxwU8sCnwLIw - r83X+lnfAsUL3AG/P+4ysBzmpyqxqTNRmG6QaaqsRnWiLZGF7In6ZN1rWGA1qnWE9Wgw9KR7yeSu - aZjaNjkbwYgSHkVRszztgOs5vu/7w9GgG/fBRU6J2y6rupfs2LGDY1IsFtfX12q1ysrKyo1vfA1g - OH3udNVBIo6ojYTOMSCFTGeof3kYxTtSGgBptaXVAuO/p/HmHdOIIikVgNSYyFwQG1Nm2dSxwS5w - 39VOJx0zixAgAfgIKFEkTgWmYBOrFtSbzmQEvYJb4L4nKKLaquAyxbTsl4Z4nVnUqxTqpUYDTShP - 2qmdZUJLlEfCRo4FKLD9br4uE+2yoi/8Kqn2IdQCcWTZHGQ8qPtVgfwzogMU56Bsh6dJutK9OLew - 7eDl+9I0HiWDxbWzQbX6stdel0caIaSJbsddRAlgiSgQQgiihkyQpSmx+LN8cACi0GaPa/Xv6Dj3 - vQ+6KS9Oo3T/wuUzMzO/+9b//Pijh5544gnf9/1SuVwuR1EkhLhw4YJj27bj5HmOiKFuYKVUt9NP - 0/Smm25aWFggGN95553GG5dS7DhOvz8sVyuj0SiVoj7V2L1v7579+54+fuwf7vx8mCSOYxl8wkC2 - INV4PBZJmqbpaDRaW1uzMI3j2Hc9matyuTQeD595+qiWqlqp9Hq97XNzIlevuOGVWMNgMKCEJEmS - 5+n5s+eeeuqpYrEISg9Hg3K5ePXVV6dp+sypEwcPHry4tkIIGY1GN91006OPfevosWdqtZrv+0II - RihjzPjiy1ycO3euUqudOXMGaX3jjTceO3bs1KlTCwsLzWbz0KFDlmXVGo3HHnusXC7XarXBaFgo - FJIo7ff7YRj+9V//tWMxzrkS0liCXXbZZY898VixWIyz9Mknn1xcXCwWiwYNIhhjjBkmzxUlfP9u - +os+zNYNmw4aAOA4TrFYLJfLExMTb/6lX/rgBz9oikTG1cz3/YmJiVtuueV973sfIWR5eXlm27Yk - SbZv337y5Mlyuew4jmFK5f+/KycBQJKliOA4S4HgmdlZRmmr096+ffuklIvLFzVGg97Q87wwiaWU - lLNBODCCLt/3NVKj0YBiQjR2uAUI5WkaxzECzRBSCK9dXOpF3cpENcn1uQvnmtWp5kxj+cKSxVCc - McdxCGM6F0kkJiqNi+eWirUSBCjR6SgbEo66vZYWkhNGECWWI3WcC0EpSbMEMKVEWRYFxACw1Mro - ijv9HndsQim3LKnUert966/8yo5LFrZv3/7hP/8L1/fCOHICz8szhVGz2UzTdHp6ulQqMcb27N3r - +l69OZGI/N3ve++l+/bsv/yyz/3D55BMCcGe766uru7et/fKa6657rpXvPdP/uToiVP/9f9472/+ - 9u8Ox9EdX7hznMqJRnltbW3QW9++Y9tap5VTZRetar36Zx/4b8V6IVVhjTUvPHmuSO2vP/NwZWr2 - 3X/y34qoBBks7FroDtf7vdVupxRH4czMVKfd7/VGWiPL55iQ0XjgBLbre91uV0o5OTm5tLRkFJLm - a2m+hAZsTNPUbFKbigD1XP8Y8701VF/TcAAAtgpSm7YuYHI7CRo7yPd9Fetc5gopzPCW46fW/xob - Bm0WMLbGlpG0xCAwCGwMcwFrwBKbH5AG46iqENLfU34sYUPAqRCSSiEFDFOCqEiVBz4B5rsBI2QT - rA4oUNdyHccDnvfDHiOOAFlCwVRzGpeUyhSJGQB2we3DYGpizrUK4ziyNPF5UQNuNCazTIRJLBGQ - Is1B9Ac927KQrXzbIQpx4Aw27AeBKaUQYVSBooSWyuVxPLRcSnwa63gwGBVoxS0VBzo9ev6ppheQ - qO/VvJyrUCb1XdOdcZdbVIPUoGORgFIcM6S/izv3Bj96oxvAhuOpIR6BBqwR0hgpAgCmarnRbgFr - 418ihWYCXPA88G3qQoqAgBKSKEyBUWAW5mMBFDMplUNdAhQ0SuJYEqwyDClSIDUgLVWWJjnGduZg - jTVoiiwpkBTI4p7nBCAxx9y1nVaU5Ymc8AoFHfjgY8BYIYwZVrLklwMURGBhwKPxOHNTQrRWqVJA - CZa2pg4tQRBDPhRRmkvGXM55rgX17VhHCikkAHJN/1/y3jzMsqo8F1/TnveZhzqnpq7qru6mB+gG - xADSCoICjuAQSZQMDrk3RqMRNSYajbnmuZp4o0GNiSYaxDEEBxAHZBAEBOmmm57pruqqrrnOqTPv - ce013D9WVdEgXCVBwd/v6+fZffqcOtVrr72Gb73f970voBomiGCdmAkQAgmwwiwMwCnn7/+a9/xL - mpqGjqbFcXzdddeNjY3Nzc1lMpkLL7zwxPjE1NFHFhYWCCEepXEcHz9+HKoKeSkghHGcWJbVbnVz - udz69etTqVSr2azX6zxhnucRgiCEnU6vf3BgcnJSt62znLP7+/tLlb6O7yl0R9MwIUR5b57nuZY9 - NDCQxDSdTluWZZqmRXQMsJQwSZLID0Qi4jhJpVKHDx8eGBgwDOPFL7qo1+uVy+Wpqam0m8qk0hDK - /eHDKcdNpVLtdru/v3/9+hHF5ie5uPbaay972Us2bNhw9NgjL3nJS6ZnZxZrC4puqtls8oQ5tk2j - JAiDoerAec87/9CRIwCA/v7+Cy64YP/+/dls1u95NIrPOOMMhJDneRdfdFGhVLr//vsZY7Ozs5s3 - noYQevihveecc069Xo+i6MIX7Lrggguq1eru3bs//OEPf/Ob3zzvvPO+jL/80MP7wBoPtTKE1ujs - VibKKQMAnnoMe3aYXJUTWaP4ghAKzhWfEEJo//79rVZrZnr6xhtvTKfTu3fvnpma7nW6pb7y9ddf - v2fPntnZWVXF0j84qFKjUqmUqvNX2snKN3pKTXra7Sm0QD0kTdMkF5ZlsZi2uv6G0dHTNmwihMxP - zmzdeBqE0NLNTCbdC/xsNltfXs6V84eOHDZNU0pp6JbkwsCEJ0wwjgCEEJi6bllWq9MhuqZhUi7k - uQSWZQBJfL9nQFwtlwYHy8udWq5/+HjthJNPdRu9YqmQWdANpGd0q+33+quDNaPerndSqayl2xho - nW7PcG1dJ3ES6boexyFDAEKo6ZhShiHSiabEUVX6qq7rvu8vLCy8573v3bZ168c+9rFsNksMPfK8 - JKEJT26+5WYMkW2atmk1O01F7+jPzdjzM1IC07bma4v33HdPEIUxS/or5fnFRTeTnl9cUKnvnMnB - wcGjR4/+5Qc+SHSt2Wpt2rJ1avyRSiFjGFqn1cQEupbdbDf80Lv00sspi3UNJtS/ZOfzTYBbPc8o - FiXWZATCbpBx7P/85o0qNldbqrf9nsQkWywtLdaRxAmN85l82+vEKMlkMmEYLi4uDg4Ozs/PCyGy - 2Wy3212hUng6+WNAGISJ4CnTdRyHAR60AoYYcYhymwjGiRC/UPwXrgj7PkobLlbqQZ50TJ5qT6bJ - t/amOCWWhKCUBCqOLAQRkBIwqAM7S3JOYIGuJEDTgD6QGQABJwHJ5NI61FOOW6f1sl3uBS2CdMBg - GqQMoKdRunZy3kk5KCIaJoZhGIlZMSokwlqzbWiWC9JSgtP6tzR7tRIpwxg4aScDUj5Kr8uNdGgr - 9sMkTJysiQFYVxg+2u7lKpVmp2k7tuXYcRINWNXFRBBHn/fmYxQ7joMkqnVqRj61bv26uNOEJlxs - LKVLuXbk6bpuWZoQUkAghUQYI4ieLKn6MT0p0SlBpZVPHwd3cc4hxARgSFFGy4o2RDG0c7YFLS3W - cJuU032CSS02dUAs4JCe5pJ0PpdpBR1DGASQDMjlWQHGBkgA5roDLA2QFEzlRAFRSBLdFSkCiM0t - x7elAKawLOgIn6GskeOlbtiLQlnQ8xrVAQAp7mZpFvnArzcrzrBIkNRkGeeGjWqPdJnoAEM3bCOK - wprfkILrBMSAcVPXUzYLWYKQhIKKJBEcE6hpGoQACQkgFEIwIAFagV5+Bfm8Pz9eEQACKNFQyYVk - MYvzqcLcwvzB2w7dLn4sOUlnMlEYsl6QzxWiKLJt17KsoaEh0zQBAEEU2rZNKQvDsLa0nCTJyZMn - XdfVNW1oaMjvebZt27aJMU4SbtrWkaNHDcfmnNfrdYngcqPGOc9kUkTXes2m6diWZbXbLce0wjju - NJq9Xg8AoBNNcpFQigC0bVsCgDSiaVoYR2NjY8VicefOnUqopNVqlUqlXqdrmuYa8avnebquX3zx - xUIwN5Pes2fPpZdeigF8+ctffvPNN7/lTW/WdX3Hjh1S8l6vt7i4mHZTqVSqUa8TpA0ODhJMrrnm - moOHD9WWG61W6znPfS753OdM02QRveyyy17/+tff+J1vAwRLlfLCwkLP7+kayZbLMycns9nsoaOH - DMM48sgxx7I7rQYQ8ujRo5zzm266+Zvf+fbQupGu7ylBFd/3GWMAIsYYgpo6/q0d7Z7NgSRlKmGR - c05pBADCGBumqc5sURjaqVQmnb7pW98e6h9oNBqFbO5EMtFXrbRarUqlsrS01O12m83m1q1br732 - 2jCOLMeSADiuS+M4SRJFKPVM3yIgK+ylp1R5gBVl+xWuKgCAXKUrhxJgiBhjWEAkwDVv/7NiJpdE - SeD7WwY3ep4HgEhl0uVKqeP1FpYW9K2nX3jJC//Hn7w1m8svLi5aGVvDum3Zbb8hgfj8v3zu5ORE - LpN9//vfn8+mi8Xi1h3bbrnjBwLKdqdnEEdHGg/jz37mM9+86Rs8PbiIl0eft6UNehvsQbsH07PG - qF51pOOHosPEdZ//yh133vf3H/s4gTYBhAa+YULbsTBCcUgtYmHNgBD6vY6pG0TTfN9XlH2FbK5Z - X3bSKcO2Xvqyy8fHx3/0ox/pppYkMaW0VMgByCDiu/c+YOrW6Ogo5/z48eOZbHZhYaFcrgSBZxhW - p9OxXKfT6WSLBa9Nw4QhTQ9pjDnGhCAI3ZTZbjaA4EkYCEbKhXyztpROu4hgN5MOw4Bgw+v5XdH5 - /Be+GMdxyjRZGORdN+h2NYShaXQFjQCKqRyqDDbmFrOW4zXbA/3rOdCWmu18uc8wLD3ye5HvOA6N - E4wNCGUUxsqDXlxc3LBhw8TERLvdVoQTTyt/DCREV1xPij8mbWT6+vq6cXs2bgEAdF2XUEAAgYQQ - YiARhFhKLqWiqFPn2zVZH7V3SgBW2F8AAFgCJFbVW1Y3VLbqEkkAkJSP82AezfM45XikGPYUXxkH - QEhhaBgkVCbAdtzAj4p6+ehdh392w/25JP/V6AYCDM1AMaAB8rCOSUIwx1giAUVCYgEFFuQ/xI1I - QAlAolMJBQKYSA1zDADgSHDEBeBQom8m3wJCMpgIzAXmUKIbk+8giTjgAnMBhZRch9oN0bccYjPB - mS4ZTIiEgn3HJKl2r2kXjRk69ZK3v3TkOSMzy7OYQKQj09JE6HOdOK4Z0cjOuIwxR7cEBIyrWycY - AsBXNInkKV2h/n7itWFlt0ar9e2CYaXpLQCQBOs0pAa20jhFmvjmL36X1tnN0feMQGeUSysRmAsA - iNT+M/42lIBrjCMmoYCCfDf5HoSQkYQhplAfLMgN/BsYYIF4QigAEgGCOESMcMApiTgQkCMiiMFM - AAXVfAYZkAgLbCYGkIhpnMIEYIEkuE2YOtHr7U66kmmBtjVqvfJPX1lnSzELOabCxFLoXGIMgBRc - 0BhhIGQMJMQA2tAEXKxQCa+MQYDgzzvRUq4oXv1397FTq+dOGb0rI5sQLUliAKmQVNM0jegwwRCh - MPFb7cZwYYBSlk5lO83Wlm3by8WSbdsRjTHGKn3t1ltvZZwSDX3zW//ZbrdLheLOnTvVebper1uW - wxJx8OBBAFBE40NHDo9PTxEdj09NuulUq9tigmOdCME9r5fL5SDGCWVuNiOlRABAIXVCLEOP41DT - jCAKM7n0la+9UhExqG3eMDTCURAETsq2XYuymHN+wfN32bbth0GSJAIARPRavdE/MPTSK172ta9+ - 9erfef3s9PT2rVu+++3vvPRlL2vUa9PT06UtW9XB6ayzzuq2e5JxAMAHPvhXV//hH3z9P74OMDrr - OWdZrp1ynEqu+O0bbqxWK3sf3nuytpArZKvVat9gJa3rUccbLvctd1pX//7Vf/Qnf/zBD/01EHLH - ltP/8A2/51ju2Wed0+i2hzduqFYGas2mH4QEa8VcYXp2FpHEdW0BEJNcCiDFiibdWlr3qQ/xWeXY - SCgAEBBhjBCGhHNOkyiTSnPO64sLO3ac+ftvuPqv3v8Br9uzTeusnWc+9NBelog4Sj70wQ9f+4+f - CP0gk8kUy+Xzdj3vq9/4uoCAckYkA0g6ju37PkHaM32LvzQSg05hP0QSQAgRgA8/tBdx+IV//tdP - feof//NrN5x22qZ2u71YW/jkpz/ZPzCw+6HdY1s2n5ybwxBPjp/IFQudTieVSk1MTJy+fXu30QIA - fP3rX5+ZmRGcQwi/9pWv/tVHPmzpdrvd0DWjXCz4TS+tWYtzcycnx//nB//HrRN3wmy3kK+gRMTj - y0fu3vumt1557033X37hS798y/ehBJIDhDCjlEBQKGaYoAuLJw3DyLp5L4gFR0gjgkls4SSmBGFF - i4QxKpfL9WZD1/Wf/vSnH/7wh3fu3JnL5f76gx9aWlqKw4gnVGWtj4yMxHH80J49Z5555vxirVTp - W1xaNE1T0DCdS7danUKxKDC0HWdxaSlXLIiEKarH6ZMn168bEUKkXTefzy8s1QPPE1LGSUSpZ2q6 - 5AJC6BpZDA0v7DpOPgr8xBeBSNJuuet1Y49KU8MIO651cuE01kEAACAASURBVGbekkjXrWLZBBIl - gieAd8OuDZnpknTWWpxf0jRNN0yEEGOR7/squ2V8fHxoaGhubq7X65mmmX06+WMY55wABCFknIdh - aEKL6MQwDEdzVHo/WFO4kCtMC2uMvRL+HCi/KpEo18R05OOXhyc4wD75+vGEeTMSAgSgAIIgJLng - TEgqWSx6Cz0cIMQgTIBgPAkEAFIDhoBCQs4A51JpX0MEMZBSQCYlUFpIAiAoZQJitjpllGvFoQCA - q9cSAiyxgEBKTgFbfQcBgCBEMuEJoZxLiDUkEIRCMka1EHEkG2xhZm780Hh2NKNDw7FSXhIIkEAu - OBV0pUYLIYS4gKcQIgsAJFrBV345e9SDEejRhF8g1S9VYpQQCQZ63TA+3jt434GKNWhxAaQgUpM9 - BCEgEAIgOYxXnwHEEgsoExkJuHpYggAAKQRPQIIk5ECoK4EggRLJREDVcogkBEBQGQAAAMBkdZtP - ZAJW5GkhhIQx5sEI44SG1GMdN621jje6tV6cojpOOEoYRETDnBIEAJQCQSU5KYDUIABIPIFA5hOO - q/+++7JqPy8kufIggZRhHDu2AyiK/DAM4myh2JpqmboVBF5/fyVqx2EcAQWQC2gYVhCFaqrOz88f - PnxYzd+pqSnTNIeGhhRLHgCg0+kUi8Vez19cXJyZm4uiCGiwO+9Xhqo60DzPQxqkLNGRpk7tyiNR - DK0QrNBERVGEiA4AME3TMKwooVEUxUkCAHAch0aRKm9UFQDNZtPQdHV8j+PYC3zDMHTTxBgvLC0O - Dw+PT56wbft3rnp9yKJjRx+pVqtXvuKVuy66sNVuXHf99fV6XTE1TE9PF3NFBKFjWs1O+93veRcT - wrDs973/LwuZ7NT0bN7NYIQ+85nPtPyeXcpMzp8kh/YbANU6vXXVgdDvuZZ5cnHu+q9/ORaJoRl7 - H9537NCR07dv/8hHPiII2r5zx8MH9hNCyuVyq9HklLtuulgsLrdbjDFCdE0jQRDoCK89J7laUPls - MwEVnxNK4oTSKO1mbNtO4nhhYaFcKO7YcebQ0BCjSRAE2Wx2uVbv7x/Ysu30h/btdTPpiYmJR44f - a7Vamzdvtm37jDPO+N4Pf3Dg0MENGzb0Ol2ModK1fqZvEYD/Qk6MZFzV32qadv75544fm0gAhQTm - yvmJyfFCoeBkHJok84sLEMKlpSUhuO1YA1Z/tpCv1+sYo62nb280mhpC/YMDH/+HTx48ePAfPv7x - jZu3/NWH/lqzTM5lMVNI5bNHjhyp5Mrt3rJE9HnPP2+gv7w+HqZiZmJhJmU46/uGF+C+e35y/9m/ - de6m7duNO+8QjOWz9kBfgfHQD1pmxsqlU7lydnBgYGF2IY7jSFLMpeNYURwSQizHyhVyzWaTckZD - Vq1W2+32gz/b85LLXwakVLKuhUKBBmE2m2cMLM4vZdM5hJCG9U6r22k0HcdJmW6hVFxYWJBMioT1 - ul2ka7ppqNrghDHLNDHGg4MDpmn0uh2F62bz+SAKLcvADOo6wRCJRCax0GBKMoxiYaVyYSLS2UIQ - dDVgOPmqv1wHgiBASqlK3Igzruv7Pg1C3cISRFL6URgD6dum46YtqwNYkggJgUREw4SvqBpFUVSv - 1/P5vK7r3W73aeWPYZxzghDGOJEgSZIwDDWh6Slim/Yqy/OzKVy8alJKLriOsGocQkgy2el0du7c - mYrThkgRaQAhIQZAg0wysnICW3FNfuEVrDLI/TJX1YAkSTQEhQAQa4wxgjml1LKzXDKPtvfX9vt+ - ICXUMObJCjUIQlJKsZJyBIEQAvzCuN1/zyilBGqEENOyrCzWdf3888/P4JzsyZTpRkHApcQEcs4J - WdkCpVKWgODxSTlQQInk6hUBvPYaCLh2hafEcVbQtVPW0JUiUoIppRAh9RFDrOEtLs3tXsn3wpKL - hHOuxA5+IwwhRAUlQjcMwzCMWq1mEQtCWCgUpiZObBnaospPVNQmjmMJpaZpnudNTEzMzMwUi0Up - ZRiG6XR6cHCwWCwqKjklh/LAAw/Ua412t1ssFhnkSRgowgXbthVJLiGEs0eflRBCCAkhAqu5omts - sKq6Wwio1Bk1TQs8zzAMVfaSSqV830+n03Nzc47jaJrW6XUBAKr8p1qtzs/P9/X1TU5O/uO7rxkY - Gdy1a9db3vKW/v7+iZNTP7z1+xMTE7pp5PN5k5iGYdSXlnRd73Twxz/+8ff8+bsrlQrWyLvfdc1f - vOd9tVpN0OSjH/3ov/zbv3ZCv7a09Cfv+NOH9+6ZPn6i5wUTExPZVDaR4u3v/NN7fna/bVospu99 - 77u/9Y0b3va2t11zzTWUs2NHj95/309f8IIX9DpdU9d1zcC6Nj4+XugrxzRkNEHI/A3iu4MQC850 - 3bQsCwHcaLSyqXQ2V/DCaHr2cKPVPu+889/z53++b9++HaefUe6vHh0/bqVcIGSQxB/9u7978IEH - BgYGLrr44r94/192Ot11wyOddtd1XYiA3/Msy1QMh8+sPWUnhnMOASAIhWF43wP3j42u70WeF3mG - RZabMTaJjZzqQP9yqzm7MH9GtU8QNLxuXa2xDDHKFwuO4/CEYYz7CsX/9bcfqS/V3vjGN77wkksO - HDpYKBXXjY6Uj1abnRbi8pydOzFCUaeTLedm6hOt5UbeSunLYMStOLotl8NOrf3KV75q4/COuflm - JpeNqR96XQRZqZytDPb1aM9Op7xu56c/ve+cs57TarVkTCFSih8AQKhY2sIwNGxLJaVu3749DsJm - s/l7V1/93Oc+9+qrr5ZMer2g2Wy3W91qX0Uk0rLItk1bm83lYqbQarU0w1iu1TFEKiB9/MQE4jgO - o3w2F4UhAtDUjfHx8bTjyoQRiGzbAkB6nscEk1JiUwuSEEmkQwcCEnkcApkyM52W76YyAMuICq9b - l5yPjIwcPz6eTWUnDj4ytm6U8SikoZO2KYsxhrZJKGNR2IOAISz6B/oay23PDxkTpmm7rqsE5EzT - VIIJmUxGSlmvP438McmqbjYimKj1lDIqdRPoAChZAYggfNYFkVUBOcAYQiik1DQNSxyHUbGQRy1C - mEaExpJECC4SyUWyltQp4WOuQKi0iZV3AILiFPDyVPHLlWj6YzfgR18jKBkXAEoIIIZJEkMNJjEl - OEY6hhDGcSwZdxxHYpEgwWgINUQI5pxBISGEYoWS51frxBiGAQViMaOUwiRZWlrSNI1HSdSLHGzH - YSQhgFyjSQQ1nYlk7X4FBFDAn3P4kEQSAyygQFJKJKEQAj7RH7jiRJ5qa2QYhBAmOCEkThLLsnjC - XMdpt9uKFODUJMdfaec8jSal5FwYGKskSl3XMcf9/f2Ts+PDw8Pjx8dLpZIK32CMLcsK4xVvZnZ2 - 1nGcIAgAAKOjoyMjI6VSSVUXqt7Qdb3X62GM17RgN27cODAyGCfR9Pwck4laE9TPq8RW1clCCrBa - mSjipF6vj4+P67oZxJFlG0TXPc/zPK+5vJzP51VjVPmP5GLv3r3dbtc0TS/wbduuLS+nUqnacl1K - +dzzzvV9b9euXY1u8yc/+UmlUhmfPHH77bcvLC3lCnlCSBiGc8uzqVQqnU6n0+mB6mAURTSKjz/y - SLlScV33nHPOOYD3EQCr1erhw4fLg/1eI1iaXzhj++n7f7bHtSyZsJGRkaPjx1/76td86+abNE0L - et4999xz4w03XnvtpxqNhmYantf7yvXXbxobq83PKVJjxFmpVArj2LZtmiTPhiyQX94YTYiuAQnD - MNaJjjHWdaNer28YXZ9y061W6/P/9m87Tj/97LPPvvvuu794/ZfmFmZzhTyG5J/+6dPlYumC88+f - nJz83OteBzFuN5rlaqVUKrXbbUppKu3GYUTgMz+VnrITY5qWFIDS2LTNSn+l2WlNTU95cTBXWzBT - 9uTsySRJfnTHbUEUFgsltTgfOnQoZgnWiKZpumFM7N9/2s4z6xI4tr1n70NnPLTjnp/8ZGFpsTrQ - f/DwkbmZedu1ZqdO5nKp+aWFTMpp9lr3735w1+XPq59YfuCOn/gidEwHdJMLz3vhsWNTt3zv/rf8 - 0Z/cde89f/bOdwd+k1Ov5zWanZrPEzPtqAI8yQVUXCKQxbG0LEtVBS+3mpbrEEIopSJh73vPe6+8 - 4orTTjvtwL6HWUyfc+ZZu3fvrlarmXSu2/Iuf/HlOtG3bDnN6/RqtaVKpRqGgR+FAEEuZUTjIApP - P/30+x643/N9yzB7vR7gIsRkZGiYMyYS5jhus9FMZ1O5VLq2vMRYYhpWwrUwjKVMiNR4TDcMjz7/ - ohfM1E+iFGI6TZfTnV47DLxCOn/Jpc/nflIghdrcEjaRJOCBB3/KpGCMIYCRFJLzJGYd2tU120m5 - CJF2qxuGoaLgVLl4uVyuVqtRSguFAoRPL38ME0wADiBWMmOSJjQIRKxFa0nET+vQfXoMYyw4gxII - IZhkSGAopNIN5l2Jk8TR0ioohDGChBCJwRM5MQhAASSUQAAJhBRruIO6awXBrxp4IrdmDa6QGoIq - Yos1AIRpEgCA4AAJqGEdQQIhhgKGSUwh44AjvCpXu0JpBX8NXc05h0JKDhFCmq6r0QIigJQONjEA - koamQyg1QiCDa36DABIi9HMu4AriorKmgBAIYKj6AEmE8BpCc2q/neoRQwgpSyDEBGOMsOSJYBJC - mM1mCSGu63KSUCaFFAABSqn51Je+Z8QIIRJwyaWmaUq+cfOmzdf82buDpPf2t/5JX19fq9VSj1vB - Hio0rGI9iqo/l8uNjo4ODQ0xxtTkjePYdd1Op7O0tGSZDhPC95P+dQNjY2O5cn5+cc73faRBxhkh - +NTJq0JLCMA1FobA92u1muu6um4mghMNiVV8pVGv1+t13/crlUpfX9/g4ODkxIl2u62knRLOcrlc - EEWe53mB3+12kUb+8E1/cM55537mc/8kJZifX8hkMpOTk+1e20m5QgguhZNyM7lsu9lqNBqCybGx - sR07dlSr1f7Bgf5K5b777nEMs9nxv/vd777/A3/xrZtvKlZLL7/8Je9733t93x8cHt0wvH76xOT6 - 9evvvffe7Vu3ycNHTKJdecUr/+7jH3vzG9/8yCNHWp22F/iXv+iSf/uXf5ZSOpZdbywPlIemp6eL - 1T4FdxFCkiR5FoLKT2gYEymAlABBrOlmwhgTIIij2fk5xpih681m85577jlw4EASU9N1SqVSnMS5 - fLa+sMBofMvNN3POHcdBhMRhFPS8II50w3Bdl8aJkECgZ56k+CnPZBrF6kDAOQdI1pu1v/7bD1Uq - lf511Xq9LgmwDesL//7FKIouv+wle/btveSll84vLW7cvCmMIoxxHMfrtm6VENSbjde85jUf+chH - 9u3bd8cdd/zOG15/xRVXRGG8adNpH//4321YP3j0+JGxTRt6gX/sxORSo/XZT/9bL2lPHpiUugyC - wAFmR9QO3jdx+pnPe9lrfntu5mQCEp2Q0PdYEnV6bY8xqePh4XW/9dxzF6dnecIQAlwIiYDasHVd - hwTHcayA6GKxqGna+vXrl5aWDKJdddVVX/jCFwzDDIKw0WgSSF776td+6pP/ODo4/I2vfR0rrELT - gij4wze/6Qe3/tC07cNHj1x6+WULs3NY1wTn5UJRsX2/5tWvvueuu5vLDQihm7Jf/apXPXLsWBD5 - vu9LycMkZEAQg1hAp1GEQVwp202POhXnZGtpsjHV9lq2YddPniAxNEMjO3p22sBDY6O/c/Xvv/Ft - b3LcbEJPImBBBjDUNaiHNJ4+OTcwMJDN5IFEzXbH933LssCqUrHruiqc+XTzx0DOk4QxgKWmaZpG - uORK4x48Fnh4ttnKEZNxiSEAWBFCpFIpEUgQagbWkQSUUyEEhJJx9oRo0uNOZ3J1s1WaqhBCCaQ8 - pR/Ufwoe68EAtcdDKCWHiAgpOOVS1wAAnDFIINYxQVgwHkVRIih2DB0hiQWUQEophQAAQPzrQLxU - uRnRNB3oECVqkEAGpZRxGHHOoYAMCskBk2oDEuDRNKbH1NGf8o4KiEkgoVSl80ACDjjgAACxIrwl - 1vAtddcrvwUhxZ0NMVKU4lEUIRN0O57neZTSIAgAYhJLTdOYFI9/YM9WQwjFNNakAACUy+Xd37/1 - K//0lXe8/Z0XvmjXpk2bGicbURSpMh+lAaKwFgBApVKhlI6Ojlar1Wq1qrwWljDTNBljrus2m00F - uJq6nsmkz911nsSIMeb7fpIkSkZAAVdryiFCCCkBwUQtBWpHV8uI46QEBACKIIqUOiCNomaz2Wg0 - hoaGAADNZrPT6ZTLZZWEZ9pWLpc7PjGhgN5ut7t169bDh4+m85lcLtdoNLLFvIBSNw0Sa67r+mEA - JAjDMJvNlstlJbPwx3/8x5e96JKNGzcu1muvuuJKRUOVzWZvu+OOS/UX/e7rrppdWvhfH/qw1+kW - c3nDMO6+++52vXl+yv3ydV96wx/+/gtecFE+n7/1e9+/58d3HXj4wGuufNXmrVsOHjz4R29+S9pN - zc7O6kTLZDLNZtN13eXlZdd1WZKoYBn4DcFjICKcc4SgYVhCiDCMTd0a6h8Iw5Ag/J5rrikWixgi - DGGz2YqTOFUufOzvP1pfmP/0Jz8Z+QEU0jatrudrhnHPffc+sGfPUr2m63oURZqhI4Tks0Dz8ik7 - MUq7MmYJwOiOu378zj9928LCXKlUghKcOHGiVOoL/cjQLc758lL9tOGhBx98cMuWzUxwP+glSQIJ - zqRztXp9dGTkbz/6vwvZfLPZtAzzP274z+u//JXh4eFWo91fqcxOzwz29ddqy7lCod7qXX75la16 - fWv59K2bdlppw7LMqUcm+lMVjbjNUPzuGc+N/O7Xv/qNo/v32aah5F4FlPXlxv0PPBh1g5yTShKO - TAwgZpxHSeS6bpIkmItabbFYLDuOMz8//853vvNNb3zz2NgYo/SHP7y1v9J//PhxKWA2k/eBt/eh - h198yaUvvuRFP/rerZ1OZ25mRtM0BuSVl1/xwM8efMnLXxZ97SsD1X7HsiUEXqer0kcwQmOjI3t3 - P2hb/RMTE698+Sve+ta3/uk73w6A4DyJEhqzRErJZBTRyNAB0fxshu44q2/z+WOT3cx8VOvFfmux - 0+eOHb73wFuufANaQOuHtvzD5z4LwNVEM/yASWEKagAGCcYEmIJ1KY27nTjlYsdJCwC73W4Yhuqg - 1mq1+vv7pZRPN38MMQyDCchpnHCKEMKQEEISyNfQV/FL1Ff/+k0IgSAEcnW9hki5bs3lhhU7KAGC - hgYiCAEhpModfUJ37NQg0RoWsvaza57KY4CEU37TGjwDAcAYSw4BhGs0DwgSlZCgQ4KxBiGGAEOI - Ld3gMuZQSgX4IwQei/H86mztjoQQSsfD930t1jJmWjJJIFGFnWogQYBVNcepvBrKfVGYEwCPv57a - Y4++ho/pf/Wjqw0CKtmcS4EQSruuFwSGoUNTS6fTtm23IcaaHvGVBPZfQxc9LabmmqACALBt27aH - Bw71er0TJ06UDxe2b9/+zYe+qcIrXmdFUj7hVEqp6/q2bduiKMrlcul0mhDieZ6a5up8kiRJqVS6 - 4oorWCIoY7quZTKZbuAnnHLOdV3XdZ0KDgBY81eUcS7EagSBEGKmUgMDAxs3bnScFJMCYRAnia7r - J0+eXFHD1vUoih588EHOeT6bGxoaUsBtGEe1Ws2yrEaj0Wg1DcPYt2/flm1bj0+OP/DQ7mq1GtDI - tMxULmtYupNycZeMjY016stSynaztWnTpk6jmXFT+x9++PCBg0v12qYNY81GQ9f1JKZK6eWBB38W - xXE65Zr9g/Ozs4uLi2ObNw1e0Odm0t+6+aZjE8ckRKZp9pXKk1MTkef/9Yc+mM/n4zhmgmuGUSoU - AILLzUZ1cIBzTiw9iiKiYYVGa09W0/csM0op0TXGudf1NUxs20YYCCGgBKauYQg/9+nPjK3fMNhf - MTQzkawXtJuLi5e98IXfueGG2A/OP/c8IOXD+w8eOnL4ggtfMH3iRGVoIExoTCOsYSkEAvApZTT/ - KmCb/0pOjBIWAUD0er13vedd27ZtS6Xc8UeO+b7vWClKqWTIdV0MUMhijgAFTMWh07ksxpjGiZtJ - T05OGpaJMS6Xy91uN5vNxiyZm5vTieb34nKx5IceAqjbCW+66QeM8sFicWZ2yrAw0oEXdDOOawu9 - 04uokTFsp7U4X85mUBKUSkWISNcLrEJOM3hCZTaTj70AIUIMk3ImJVMVxYqLs1KpYKwpbY7FxcVP - fOITnPMkjguFwiNHjpZKpVQ6ByFuttvZbL69XLctu9Vq1Ws1Uzcyuez80iID7OTU1M6dO2+/8/al - paVyuWzbNtaIirCqlLdqtXrZpZf+zd/8TTab/epXv1oqlZzZGYRQcaiSCnsAQhcZrOPndbuYs9Jp - Mja2IcY+MPyF+XG3lB3eWDy292jCO/fd+6M/uPhNJsLrR0YgkJRzLgEEmkEsEWPAgeCYJbBYqHR6 - zSiIq/2lbCanBK1UQm6hUKjX64ZhPN38MVjXNSxgIngiKOecS/64ENKz04kBCiSHSOVbqPQCAICK - lGFg8Eih6JBJLrmA8Inv4fF3+iQf/bwL9LhPpRQYYy4kBJgLKTmAAKBV8SkpIQYQY4wREolIkiRi - EUeMAIhXSFqBXPGH/pu98gtMJXQrxxch5LquAuQMZPCAQwg5QFJwhJCUfM0pgRA+iqOsBtokACsB - OAnUVYXnHg05AXVdrcR/oq4TQhBMAIKMMq749ziXEoRBsMIFgKitQyEEVl98pjHwX9IUEmNpDmb4 - rLPO+n7uR0mSbN26dceOHSymAwMD+XxeVf+pnDa1SyiiBBVUUpXJhBDl/0EIM5lMp9NRoCxLBCLE - MPS5uTk3m1FOs2maEALOOaWUSaHQnRVpAsDVNFE/qWlE8dRBCOMoNkwNAOB53kMPPUSjSMWdp6am - Go2GrusXPv8FivhUQcITExMLS0tRFGVy2SiK7r777kNHDrd67QQIx7EopQPDg70wiKIIYtTtdo8d - O8YTFsexY9mB55177rlnnrGjuVjTdT2dTgdxRAj57Gc/u3PnzosuuojFtNPpjIyMjE+ecF376LFH - brvttje+8Y0p3ZyYmPjUJ/9x/ORksdR3yw++H3r+VVddNdzXz2KWSacTxWETBl+87t8FB+ViSeV7 - MSBd1w3jiDGmaRpgvxl+MNGxws6z2TSUKAz9brdbyGSiwCfSHKz0rR8e2jw6OrZ+5OKLLjF1wwfx - wYf2wDgaKBbPvOii6//9S7fcfEvMucToc1/410I+HQc+kzyddhMmIEKr/JjP6D0+1S+osavSPAEA - GzduNE3znnvuWb9uREqpa3qz2ewr9nc6HQ0RbGqci0QkcRyns5mO1wMApFPZOI4zmQyEsLZYy+fz - al+MaOy6DsFY0HB2dnZ0w/qlRhtBwzJznbA7P9vJpQcD2kpiWi4NNZfrACBMTMFRQoXikpWcW6bL - uQCQSEA0YmKoBUFsYkMCHoVMInhq41dnY4IQAlxgjJVKs+CcMZbP503TjBOqNNUAggDBIAxc152Z - mTGRLoRIpVKNZkPN4fn5+Y2bNyGElpeXNUNXh9Rqtbpz585zzjmnXCqdffbZP/zhD1/7ut+O41it - C4r3qdFsglTekCCKouVl3/f9Xg+4ecuSlioTaLfbmUwmMr1du3Y5joOY5vu+BLJQKLiuK6VUoR+1 - kKmdjBDCElar1TLZbKlU6vV6jUYjm82qGSiEUKyLTyt/TDufyrquiziM41htsdAEyhkCAOi6HrLw - WZAH9hhT4BNLGIZQSqkRDbCV2L9gglNqGylGEwABgnDFP/iF9/C4Qu4nef3EX4WY85VyVgix4zhx - HAshDN3mkjGWrAFmOtFUCQkiEEsg5cq+AuCvA4yRUkogMUIqjBVFkZpTcZIILkzTTChFGAkpEUZC - CLjKuiJXiqtXGwkFAADCVXhmtVJL4S5gFbY5pTYendKGR9sDIWZMAAQRIgDCXtdPO+kw8rCNlW6z - YRiMebqu0yRBiPxiJfVnhwkhNE2jMTUYAwAUi8UjR4689KUvPXfXOb/7uqsyODM3N9ftdqWUlmUh - hJhITNP0PI9znk6nFxYWVPK+mstpN9XtdsFqAg0AQNM0ylin01FqIaZrKvwsDAOVJGfohhJ51TTN - 931N05W3pNyaZrPJGDMMo9fzJZCmac5MTNx9990IIYKQCnIxxvr6+nbu3EmjWNf1QqFw5MiRickT - qtpAyQgAAEZHR49PjDsZ17bNXq9z2pbT5pcW/DiiLPYC33GsZnOZ0SSXzVb7+mZOTm/cuPHrX/va - to0bTxwfT6VSk9Mn/+xd74JQZjKp7918U7PRKGRz9955Z7PZHN24KYhCDIlBjJ/d/0C9VhsfH++F - wSPjx19/9Rvuuuuu0XXD//C/P7ZhdGxs/Qa13L3wxZdYht72exIJTcNSctuxw9BHBEOIhGA8ocVi - cW5mtlwue4Gv67oUQNd1GkZrz+7UbOhnKp6OMQ5poCMdQS0OfUKQY6fjOEy5dtTrRd0uD8N/ufba - 33v975oceqHnFlInDh46c/sZ1Uz2gR/fFbW737nhhnQ+v9xuHti319KInyRAcCmYRghliYY0tKqM - DSFUvrLKMfi13eN/RTtJCGEYBuey02ldcP6509NTkR/uet6uH//4x9PTM1tP2xYGsWmaGTddbzY0 - 18QJwxput9v5fL4X+HEcR34QcD4yvE6HpNPp8ITZrqMhHASBoRNGo3wx12i0bCcVRGJxrp52MpoB - /TB0CrmF5enGQidtWQDiYrqYtENDN4Bh93q+AYAEhGCTJUCxKCGE/CA2HUJjJnQMASBEh/Dx2L6U - EkG4dtqgq2RNTHAEoYTCtK1EJM12e/dDe5BGMvmcBLzWWO553i233CIBOHbsmEoWfmjf3i1btiwt - LSGNFAoFz/Ne97rXrVu37q8+8IE9e/ZUyn2MMdu2exU/ogAAIABJREFUm83m0tJSh4ZUkynXDYO4 - udwtGG5IgOeJEbtiGfqPb7rx9r13YUuzkENiRHrw+Pi8mS/05YdLfX0J4JxSBKRpESYpZTEAAEtA - NOkHHYwh1iHnPAh9hKFpmgqDUeoYigjraeWPYYToQRBgfYU/RgoggOAyeYyKNYTPKi6oZ12t1P9X - 7Mn69ckY+n/eX3my6//fHhlCiAuu67oIxZ133ul53uc///nRdes/98XPWpa1NLPkOI5hGBrCU1NT - ge9bjrm4uJhOp5eXl6empizLUgltjuOow9j8/LxhGIotnjHBmZQQ2rYVC6rbFqQol8sNDAzMLswk - UlAar6WyUkp1XedcIA2tHYTUpFarpe3Y7Xb78OHDtm1ns9l2s1mv17PZ7DnnnDM8POz7vmPZQRB0 - Op16vd5sNtUqRAhxHGfz5s2ZfG7y5NTIyMi+QwfOPfe5XhQkSVKtVicmx3XTUMhoqlCkcXznrT96 - 5zv/bP26kde96jU/uf32b33lhqbf5kIIDJEE2VR64Owqj+lrX/Xq9f3rQhpi3fy7T3xcZRlfduml - Xqf7yldcyQAfn55cbjR+cPMt2VT64osvHhtd/753vSdh3At8rGn/8MlPWilHN43eclAqlZbbLU3T - IEIrTLUx7Xa7inhC7YmcS9/3DaI9bmcBz2hGYEgj0zZ5wqIosi2TM9rrdXQELcOyczkE5cF9e2kY - 3HXrbXG7J6AYXr8u7nSp7x3e//A9d/6k2Ww+cuQIE8LOpk9Onkg7btBqCCEQF0HkCSEAWQnjapqm - yuzhKYzGvx57yk6MOlhzmuiYDPUPfP+WH1x11W/TKPnJXfd0Wt1MKltbWKSUGYbh+34URalitu31 - nJSLid7teAAAzcLpUmluZrZZX6ZxjBCqVCtTM9O5XC6VdhiNM/kM4KC5VC/YaduwoZWU8/labX7Z - X87m0ywDHSdjYb1xYj6JKOdGzHhfqbRcW/KDoBcEuulk09lOSCWQhu0aBcx8SoguEWQ8ITqGqwgY - h4+ONjUVEYEIIwAk5wxiKKWkLOIiwRpqd1tB5I+fnNiweUO5v5x2U17gQ4I6QW94dN3td96+fmzD - /Px8tVrt+l62kF9uNBYWF9udjh/FQoDbb7sTAnzp5ZeNjo6Orl8f0GT//v1Nr93uNTnlJacPWjgO - wNjmTVwUPvq3/z6wfaBJzTNGLj0+eazd7g3mq6Vi/tjRxuHFW9NmNhA0imiv28ZowLRkKkf8XhhR - yqEwLOgHgW5YQEBKkyAQEEKlUK8otNWKo2KCTx9/DNN1M/ACE9rQhJqmSQ4AAlw+Kk2gjiPPwj3o - lzkyrLBar5YJ/+oaAyV4MjodAR/zgYTPxgoJ+XOBmpV+O7WtUAAAhNKVBODp689Vth0IARQCCgF/ - QzIXntw450IKrGEAwIEDB5rNpgjEsWPHFpbn+/sq6XQaQkgpjfxg//79/dVqoZSv1+ulUsnzPMuy - GGOLi4t9fX3veMc7+vr6As/ft2/fwsKCoqmUEiJIsKYRgheWF3dddOFFF12UzWfufeD+T37qE/VW - 07LMSr4/iiIVA1rDFdZKrw3DUHCLqmTs9tpzc3OapgVBAKWsVCobN24sFotrEWrHcdR3CSGtVstJ - pWzbNm1rbGxsamYaQnjdddd99P/8/Z49e67582semTjupFM333LTkUeOep7XbDY3b9xkajroxvsf - 3nvhrgu+8fWvil549113Do2sa3XaoxvH0rZjWrptGbfefufW9RvD5TZjrNhXmZs82Wo0a4tLwvMP - PLx/dHDYdOyYRc3aEmfU97rtVuM/7r//iksv41yaluVk0yPDg5Mz017QS6WcXq+jKAvWws2Ke6Jc - Lnc6HeXkSSmF4BDqz+yAeZwRQjhPAJQASJpEBGHDMABLgiDIWhaLaeD5gDHbMkcGB6OEhj2PxzRj - u3y1sIMxRnQ9DMMwDNOFAoWyG3iJFDrQMMacQSgkkIp4CUohIVRh8V/jPT7VL1CWOI6DMQ6CoJDL - n3vOeQ/et3vLli1LC4uukSqXy81mM0kSomu6ZuRLxepQf7PVIgh3vV6lUplfWEgoRRI4puU6Tiem - pm7UFpfSjlvI5paWl3p+F+rFRqNRrVYDn9Iw3LL+NIjhxq3P4Q5L8vLowjHOk4qTec6WTagjC5mh - 48emCtW+nWdsOzk11e12e14QRVQ3UMwSSiPJuEaQlBIDCDGRjCueAwihIhZXpuanwurVMNV1nQOB - NAwJCuLgwT0PdtvdTCqFJAxCv+P1Ep7Yrju1Z49pWzMPzq5fv/7k7AzSSBCGmq5zINOOSymtVKtM - ii9e9+8Yon/9/BcSTolh9vyuadiRpBrUCCBQQCkQh8gLxXKTFkpjNEC5lBuwYNDFxXU5SYX0ORcW - thxkuiDuXXfdlzhNOu1lLiInRSTShUdj5hOkGyZBGEggCSGU8SAIlMMxNDTUaDQ6nY7v+7qu/4r4 - YyAGhBBdMyCGNInkat0vFxySZ6MT8/8wCVdEEH/97oKEAkAMoFgpcFq1ZyEx6BPbivrSk2InSCqq - 3KfNnugx/WYNtycwhBCQKEkSE+oAgEajsS67rtVor1+/fnpyqpqqKn0+Xdf7+vr6+/tLfUWMseu6 - irNOCNHr9VS6UhiGilshlUqFYRgEgeOkbMttd7u9XjeOY0WmoBlE5e+PjY35vler1XRddxxHLYxr - M121TUMIAEApRYhQSk3TrFarCqSplMtnnXVWX19fu91ut9umaXY6HU3TlOaa7/v5fN5ynFKp9Fvn - nRsEQalUuuSSSxSr+759+4YG133/R7f2In/37t2WY0dR9NznnCM4Fwm76BUvllzQMKrNLUTNzg9v - vqVU6YsSesnlly7MzTfqy43F2r49D93e1380X7Isa+sZO0rZfNp1+0qlW278Zn1h8Xt9VcMyIxan - 8tnhgUFT01uN5rGjxx/eu292ejrm4rTt2zKpdD6fp5JTwbvdbqFSTihnYiUliECocq4551gjGCuY - n6zBMGsnt2d0+ACCAOUrek8iYUxIjSAMNdPSBOdY1yr91UMLS2EYNhpNiUC2L2+YNgew0Wx7gZ9w - ARCKeEKZZELOLy1mCnmk6+1ul/MYCGgbJqVU7QKPIxb69d3jU/2CEJwmsZTAMqztW7dfctELT0xM - 5NKZSfeEYk8aGRjedNrmjtfrdjuGY7vZ9OFjjwRxlEqlJicn+/v7JRdBEIyOjLzx9/8g8Pxjx47d - cdvtr7zyCpVH/a/Xf1G3bN0KI8G80Fvfv+59733bPff9uCvbx7uTPE3WDQ/oBgHLYaqrD1SLnVn/ - 7O2bpGX+8TveeduP7vjKV77W6/V0nUgNJSyKI7/Tag/09Ye9AOmG7bpR4EkhpMrqkEDKFW5mJgXA - SEgpOJcISgQhwSyKDNMkOk54cu/999KQ9vWVej3f87o8EYQQiNFK/A+jQ0eP5HK5MIogwR2v56ZT - UgLLddq97tLSkm7oWzefpohnWp2urpmlUjnitBt2OOW9dodH0jWzj0xOTFw3RyyNgbgXdDUTZHPp - Q8GhXq+XzeZay628U0RcRrEXhb3+gb6R0UEhxFJ90bAszdZZyKM4NDSTJwwiQnRNQqQEaZMkSafT - qVRKStnpdH4F/DGJYRiUM0G5EMLQTYwxkUR9/RmfzP9vQ/LnElke+0/lyihytl+pI7ayE0PxmBZA - AaAAUqwQ5AMhoXh2btBKaAkCoTQj5YrOJoCPJdeXEAAo0IqL8xTgklODSo8PTq2++Zvi5v2SBlf3 - S6UdPTs7W8gVa7Wa4zhRFCGETNPUMTn99NPLpZLtWop8vFgsUkoPHTo0MzOzYcMGVWSedlOjo6O9 - Xk8lo0gJBQcRpUtLi4pFRkWQFedv2+tZlqnCx0o+JY5j07QEW1VylpILvrqXi16vp+naGWecAQDQ - dd02TU3T5ufnU6mUil7Ztg0AIIRs27at1Fd2XdewrGq1GoRhEASU0l27dn3pS1++4MIX3HjjjUeO - HKlUKoOWvnPnGZ/9l38u5PIHDhxwDFMwXsrkDKLV5hcA41PHZ0u5fOwHQRylXcfQCYtpFIZZ10GC - 0yAyIPZbHcl4EjMg5OEDB0uZHGCcRxQAHnuB1+m0mo2JifF8IYMhJAgDAAq5TKPRGBwclATtP3xI - ne4AFAgBjKEQLE4EAKBerzPGAIWGYQjOCSGc8jVuwGc8IQYAEIfUdpwkSUQiTNMM/YBGcalQyDgp - QKlh2n5MoaaXqlWo6d1eu89ep9uOk8mWqv3Hp6d3P3goXSw0Ou10Om2n0tPjxwUhjpuOIhrHlOia - XHHb5FpJ5q/fnrITY9s2F4IyRgiplMo/+uFt//SJT33q09d+/5Zb+/vKAICIRa94xSsAgocOHdxw - 2iaBIGcs8gPDtvor1XazZRhGuVDcsf302emZ8WPHFxYWBvv7+0rl8WPHLrr4ZUcnJ3YfeIiYBpPC - dZ0TJw53G/Mnxvde9fbXlVvp43jxeGPKtVMojh85cPT5L3pDB3d/65wLP3P9l23DctIprGOsESBE - FIQI8Uwmnc+5QdeDBAAgGI0wRAChtU5fc5xXqnIU9qBpKv0+4RQwyCQzHbPVaQMAgIZDFiVAEANr - hp4k3E653W437aR7vV7P84ZG1k1MnsjlchKAhCVhHJmmaZqm3+3tP3Qwl8tzLkzTlBDMLS7ESWwY - mmC8kE1TnQtOs+U0lzBOok67OTw42G4sLU/Op9NuznT/L3vvHWXZVd6J7nRyuOfmW7dyd1V3Sy2J - lpBQAoEAI4RAIIGNBDzsNzACD2Njj1njMMt+vIXfM2M8YHksDWBhWIADGJDAJkmggFBOrVbnUDnd - qhtPPmefvff741SXWgmLQcB4Xn+r1l1Vfet2nbT3/vb3/YJMJEXT+omPAawUnVLZ3lhdk4lCiBwl - jGPmOA5GGk17nEGWASxtAvdyYTrG2MzMzOjoaC6jmddjXmL9GJmkbFM/JkOZgIIQIkvyL3EM/1Tx - LPrfZhlm04LkZ+p5PFcc74VewSb0Nf8cBwCC5+QrL4Qs+V8vOHyBnO/nlAj+27kyLzYYYxAJSZJY - zPJMAiowBx8UnaLwRC55EAVB7l8dx7Ft26ZpMsbuuecehFCz2Yyi6OMf//jCwsJFr7hw9+7dmqbl - 4P0giOZm506cOBEEvq7rjz322H0P3y8gl3VN13WnUvZ9r9/vK4qCMc4h/IwxKMTT0oWcAwAMwwAA - DXxPAnh4eJhSahgG4LzT6UiSlIM94zg2C0av18up16Ojo41Go9Pr+b6fZrRQKCyuLL/jmnd86at/ - f8n5l+zYufP3fu/3FFOd2D553buu932/Xq2srqyU6kOKJAUDL4uT4aFmltJGxfZ6g+bIMBj01lZW - Ij8oOs4TJ2aSKJYAQpRZilYrltMgkonEM1YuVkLPVYikyBJSdE4QAqDZGLJN0+30Ohttrz9QDR0K - gDF+6qmnFFPPk8J+4AEAVE3L93UI40ajsbK0XCwWwzhKkiTj/FmVmF/6vIcEkImUUZqrNNGU5foj - qq5DguOYJ4xLmlpqNK646s3veOvbZVUSivSt27+/79CRRqMh6ebw5NC1111HmShWy4yQmc+vuF5g - FEpDQ0O93iAIgigMEcaSJOVLQL6wbplt/WLip05i/CgclmVJkpIkcV1fV/QkTSM/2jE5ffTo4Xq9 - HrgBwTgX8aSUJoxpqs4FIIpMKW02h1mazs3OXnftO1516SsrTuG2225bXVn74hf+Ng6jN7zpyoSm - AiCskjSI6o5TMZqNmrljuj61s3LsGI2TXuUMp15qZPPuQ3/37TQbTE8Mnzk9aRlyxIIoi8M0cRyn - ULCZt6FZplVQBeMYGmmcBWGaJIkqK4xBIAAUua6GQCL3H8yfOYYQxJjQLBWMy7KMJRQloaorRCEA - AIGEpEpEIe7AjwNfCMFEJqsSB2x4ZOT48eNe4AsAaJYFcdSo1jqdTrfTq5TLjlNcWVoeGx5dWFjQ - DEvTdQ6QpuuqitIojiOXcwAxcqPQSyJZlnVTDHrzGgJOycASWl5fhamqWLaqGDxlURLMzi8WDFMh - OoJakmAky0lKhEAlpxl4IQcpZ1hwiCQIAMh3Qr7v9/t9x3EMw4Dw56UfwxEGACRJAjEkMpYkKS8w - IoIy8cs32vhZQuSVhp9+eKLcluBFvALwYh2mBOQCov/V6jEIcCA4EgAJgHJvPMD503ieTSftZ3zi - RYeAm//DTwb58p8raukXGxhjLrJ89OV4tYbTGPRc0zJd1zWEQSnNByBjzLIsP/TyVXZhYcH3/TiO - oygyTRNjfOGFF+4+c7emaUEQ5O3g5eXlY8eO+UHAOQvdiKiKVbSYyPq+RylttVoIwbx2m5d8TnZS - NsllGGMkYI75zdtJEAkiy1lOd5Jl0zRN0/Q8L29GZ1mWUwFycpPneb7vJ0lSa9T7/f7u3bvvvf/e - j370o9//4Q+uuuqqK69+c7lamluc//73v1+w7IX5+Te+8Y1et89T2ihWojC0bXt8ZPShIzND1drS - 3GyxXm02hrZNTA7V69nuM3/03TtM3ZCBFHtBGkYbrVYaxYQQIITImKEqXIgkikMaLyws5Foba/NL - JadAowhKku/7juMcOHbEi/2J6cnllcUt5YR8NpMQajYbsydmyuWyGoXdbpeyzaIUOIU18rSywC+p - FK0Q2Y/C3B8mTVO7YDmOk6bpwuJyGkZUgFpzGHB8+913b7T7iiaPTG9b7nTO3LULyvLY1BTStTt/ - /OOBF3hR2PN80yo0R0eWl1Z0QzNUXWTMDfxNxQfOgcjpv/Aks/B54udxFZ6RxOQTNM83ji/0AYg4 - 54Kx/HFMeUJkSTONlbVl3TRyxEz+fGdZBgVACBqaapg6FyKXNioVi81andHsk3/xF9snJ278b5/8 - wz/8w5WVlbddfbVhaotLS82xUYAgSykIooKpDgYD2zRlgGkQqQAZsrl8dG5Mqg5VSoqsnb/nFWEY - Ok5JxnK5VMVYUXRDUdQho2FaSrfffvz+J9587VtmZxYppYqs5Y8XBwJBAITgEMC8owQgACDPpnMT - PoSQruuQwCiIczExwIA/8AEDCCIkEIbIMI04DjljQeInUToxMTG/uDg+OdHpdGql8vLS0uTk5MrK - iqLIrZW10bGRlbW1QrkURZEf+VwIkMHU5ZxRJjJFV5lglKW6hghhqiR31ltKwfbSgEDJLKpYU7t+ - HyRp5CcN29l9xhmDTjcKArc3UGVVcNTt+ZiDidEJkG5ADhOeQYQYy/ItFISwUqkMBoOcPZ4/yi+p - foyRxqmkKvl2JA1TLGEJKvmoBr9s0d5NS6OTgU5q7G4toVvv/oSj/MWcwE8YfS8Q6BQn5K2PbppB - /owh4AsqQTxvDsHhJmonB9XynypJeRGxVa/6CfG8fxEKwNAmhfuXmPg990+j50u5tq4tAoCmNAVp - 1awpiqLJShiGjUaj467ruh61I1VWcvS967qKolCaDAYDwzCeeOKJHG2ae7UihPbs2aOrWqvVMgxD - 1/W5ubnDhw+2223DMBRDT91usVjcvmuKsvTJA/uTLC44dhTHuWX9ybUZIIQQRPwkPgYw4Xleq9XC - kpwxlmTJFlcAQ0gIWV5ezimQhJDVJM2V4sIwpCzrdDoZ54ZhHDp0qFgs+svhJ975F9t37ti39/F7 - 7/vROeeeXR8a2ndof35Gr3rlq/c+thdDBDIGBUBMrK1vLLValcbQW95+jW4aURolgs3MzMzPzCEI - qtXqNW+/9szx6V6vJ2l6+a479s4cp5SVyuXzXn35m9/6NkgwkaRjc8e/8e1/GQxcTdVLlcrr3/jG - wPN1w+IE/dUtf7N79+5CpbSwNC+EMFQtOymJyRmLs5Qx1ltaZmfsKpfLGefAdaMoMk0bcp73fwUA - m25fGDxT2PYnkTS3PMVekojjWJMVIQTj3LYsQzPiMMoZHoZtaZZBBfid//wRDGCz3piZm11stVba - 7TNlBSrKtW+5Oqd6TDabn73lll27dq2tbwyPjR56/NGR7VMjIyM5pxpAmDHGGct/zKv+PxXF+ifj - /JAA7OQreM60wwEgAgoAGEKIYJwxytIMQgAxwJKUMQYAME2z0+nmCIlOp2Pqhi6rlFLTNJMs0W19 - ubVy+PjhQewpkswzQWly/wMPZJwVi0WAIEvTubkZjLFddA4fOnDGjp1zx48RhGUuZo4f3/fooxec - e96xI8fPOuusX337r13//vf2RMoiV5a0fqvvYE2YRhJKjz989DVvusJJqsd+eJcroqH68JNLC1Pl - XbXS5Pd+cN/rLn/zj+959A/+s9Pf8L1e6LrxRmdAEJVRIXXDc845Y+HErGBQVdWEMoARUggUgnEu - uIAYAYi44JK0abEmIGBCZJwThChjsRcOVRonTpz43d/87c5Gd/vktiAIMYCdTqfZbLbb7YzRXD4E - YjQzM4O4mD18bHR0NPHDZqW2urBkmWbou7qu9f0BVqVUpIII3VQFgmvzS2OFWkoph0IIhmXUX+m8 - 7S1vO3z4sFkwZR17zJvYNr2ysqJLqkEM0ymHviiPVqLBwLFsEMfBoO9YhqEoq4NepVqP3XBpfm6s - 0SCIr/Z8WVERQBLY9JXNPdtyokHeM3rp9GPIYOBhDlWMIQFRFOVLTRzHPvNzjLAQggsO8dNirxAi - COBLi2HY1IR9vreetfg9nbW8iPkCCoBOdpT+J3oWuRnki3l9+iy2fhQIiLx6ccqXQECg50tS8jVR - PP9SLl6yjAIBsCUcx4VAAJKcvcIhF5ABISAQEAIIOMjVh0/BxDzjqH/qosmz7tep+JitFOcZpR7x - /GkNz1O0U37tFxmnPqhbecypqxc8idPKG7W5qAGldGxo4stf/Lt3Xn9tt9MplUqe51FKS8UiRqjb - 7ZbLxcFgkMMyHMdZXV1VVbVer+/Zcw5jdDCIDUPTVNkd9Iabjdu/1yoVnb7r+aE3NjkxPrVteGx4 - Zn4miUOZkCSJojg0TTPNOAAAISyESCklBEEkVFWGUPiBt76xtu+pvRARVdcgxkEUSpKCIQo9v1Ao - xHHcaDSuu+46VVXbGxtf+cpXjh+fkSQpYwxCuNHtTExMLK+uaJr26tdefsstt1Rrtc9/8fP33vej - pYWFI0cO2kVnvb326ssuf/TRRyUkBV7g2DZNUgZFmNKr3nbNE48+Nttvs421kZGmH0TVapWnlDK2 - Z8+e9qD35OxhIQQKlNXeum6oWZZd8ZarV5dXlrsDWVOCKMygrGiF9Y3BzjPOPnx0ZnXgykRaXVsp - V6srK2vbdm4/cez4erdtWBYAiDEaRVG+S5d10mq1rvy1axuNxg/uupMLwYFQDIXSBEAAoGCAAcAB - BkKgjHMA82ELn3nDnye2WtjPjU3z9ufL4/NeP4RQURQIRC4uhQmRZTkIAtM0FYQd0yaEHF9YMAxD - VZQ0TZday2u99R8/+kC/1yuVSgAASZb1gtGPXEdxvv4v3zRUDQDwyCOPVOq1KI0Ljp2m8dvf/c5e - r9dut5MsMizVCyLGGKPUthxKKeeCELK59dkcq6ec7DP68qeOy82GyHPHSP4NFABzgDlgJ6stDIi8 - NEYQQBlgcRwnSQIgUBQFYiQg6LkDVdOuvPLKK6644uyzz+n1emmaPv7443/zmc+2u12McWtjHRG8 - 1ml94i//ojE+/K6pd3/nO98BQmhEf2zfXsZYvdFwI98q2YoqWWZhcWW5Vqutra0xzgEAmmHe9q1v - Fe3ihz/84YsvueSvb7z5kScf+dRf/tWv3fCeKBSGYjfrTdoPwiB1vXhjI/jkn3+6Q9uHjhwxS3Yr - 3Ggv9CcKlS8OvpL54nNfvnV+YTXmKUZSqVRJEuoHEYGpbWmm4QjA4oRSmnIG865Kjjk4BXkAIISM - ZoQQDBHNMgG5rCoEYSFEEqX+wFeQbOv23Y/ftefMs//2f9yytrJaqVR6vR7n2f/zZ//v33/lH7zA - r9Vq45OT3fX2y889b2FhgQPhx0m1WHr9Fa9fW1v74V13ForFD3zgAzt27SwWC1dc+UYhxCv2nN9b - WOcMNLeNzSzOpG563svOe++73v3P/3wrtuRESriD5tfmX3PpZSRFg/m2nKrTY+esLXde/vKXv+Wq - N//+7/7O8PDw4eMneu2O7diUUi4EktFGd90wjGLJ6XkuEgAjpKqqECKKIgBA7gCXq9W9tPoxLKZJ - kmQZhRBKsswEY1kmyGYH/aTi2S+//4EEYCfrBFtLxeZbJ7/JRx865fVniRevg/L0EZ48rhdOmZ61 - ND/z2j6D+POz5i7/6lbpWXEquEf8Yu/5C93QreAQ4C2z8V945FcSn1L2E8/5BcwB2NqAAmhbNsmI - 7/sIQMdxbrrppjRNdV0/dPBgiKJyuRyGoZBkVVUxRDlFKG/xhGEoy/Lw8PDExITjOEKIOIwYY2EY - UkplWc7Xdds26/Wh6TN2qZZBKU3TDEKIMOJQSBilacwExhhDgDlnsiwjsNlBTpKEskxRFKdYVFU9 - TBNFURDBQsBCoWBqerfbnZubcxzHcZxisZhRahhGboCgqqppmpZTaLfbtm0vLCzkTgiyLJcrRYxx - t7NRqlZardVLXnXp3icfT9NMNlUBkYCYSEocRrXG0MyJ2SuvuXpuvSVhcsc/3P3ay15zfGb26qvf - Njk5fumllx6bny2Xy7KqHpubMyrF7qGDsirFArzqdZcfnp/DEnrqqadGR0eX19a2bZ86evTI7//R - fzlw9NiRI0dM0xwbG/MCHwhEWeY4jhcEhmValtXr9brd7vDwcMG0XNfdt2/f/Pw8AABjnCbxVtU5 - v5Mn7zEXAEGBBIAQPMf69JTYTMfB06/PO+yedxOVI7VzDgdGUJZlAADNspimkGBVVQnCPMtmZ+cs - 00AQ9X3XKRaPz8z89n/6XcFYHMeB59u2PTY28oN7fji3MP8nv/HrK8trhmGUS6VLXvVKz/Meeugh - ScIHDhzYPjnOGM2yNEmjmNFSudxaXR8dG1t84khiAAAgAElEQVRbXlMUBWNEKc3x0c96tAXcFFZ4 - kSe1FafOMM+dbQgDHAKkaZqmaRFNKMsyyhgQqqYRRf7u7d+//Yc/eMMbrnBd94EHHiCEhJ4/Ojqq - m2apUtm778lrr7028Px6ve72B697w6/kPt15x0FVVcoyd+CzTHhhZFoFhKXOYKNerzfq9Tt/fO8b - 3vzmQsGZmZkpVyuXXnn5zMzM0Ohwo1zNoDDUwpH9R0Zrw4Sgjjd4/RVv8mj/4rHahZdeDgjmCSuo - BZmi2aNzZ1x+ZpzSJEP/dOtXHnpsb8oiSUMCCSzLnYFvaEqaxgCgjG/CX5CAnAvIBRACCSC4QPnD - wzkmBHCOAAScS7IMOGeMFUxLRiQKwuGhoave9Ka3/MpVX/78l1Qih2HoOE4UBZdffvm3vv3Pn7rx - Lz/ykY/UarVKrTq3MB+GYXN0xHXdKE22bZu68867L7no0v0HDkyOj3/izz4+MzNz1o4z+/3+vr1P - GrKhW8aTR46Vq44OkTcIHr3vwctf8yphZ9Rh9594eLReMTWEXVpVnCtf/kZ3lY285rU3ffaWX7vq - rQBJnX6QxLxcagQioxlPWaZhZeB5sq4psmpqLImjvMCbC+Hk9ZicVfSS6scolqUnMIoG/SRLVFWV - JClLshxTvNUlRQjx/33gCqfjdPzcg1JKmSBUOFrpuuuu+9DXf/v666//4A2/SQjZtm2blMoAgGKx - 2G938swgL6lmnO3Zswch5DiOZVm5mAKllCapLMsQAEVRTNOcmpoql6qUZbpu1mq1hGdu4DLGkiTR - TT2hMcY44wwTGeQQYwgpTQFE+ZJpGIahqDt37nz5+edzDiKaSpLEBMdY8jzv2MHDORyHc74F0Nm1 - a9fExES5XM4YC8Nwdb2VJMmhI4dz54ojR44YphnHseUUYh4DjIZHR44ePZpSSmSJAyEpytp6yzLM - JI767uDEwtyeC15+7NjRZmOo73v90G9OjHUCd3Dw4Hnnvsxnac3U5paWDhw+lLIsgwzIZHF+3q4W - N7rteqPW6m7Y1ZJRKvg03nD7F44Ot9vtS1/7mltv+7pHQ82xe6HHBIeEMMEhwZ1OR1aURqFQKBRo - nAx6/SRJBlxACCHBhqpRSiHACAAgQO4wdTJRRvCUwgQEHIg8QXlWURGc8pFnx9bi/YI7CihyQast - 2B5jjEiSZVkEIgzRYNA3LCN3zsr7el//+q1f+9o34tCfnp72Xa/b7Y6NjcVh0u12b/7rm2Zm5vr9 - vhBiamoqjuOlpaWRoYYqyYqizM+vJUlEFNkwrV07zuh1+o7j9PtumqaqRDDGzziJzQN+dvryk6Uv - n3t5XigIA1wASDlLKU3TFEqYKLJCyMD3dIlYljU2NjYyMmIYRr/fX15eppRKqrK4tuL63onZmb7r - Zlnm2Pbc3FyhUEiiOM2ormpYInEYAQR1XYNEIpJEVKXnDgrlcgbAvkOHqo0aEiDM0tr4SBiGh2ZP - nL1nz/z8DAQZy7Ju3JqeGMkSOj+/+JnP3aKoct/raoYKJBRFkQxlXdIgFb7vk+99F6uS50d+GDeG - RkrVSkJDSSGaobXbbcZBEIS6riOEEOQsF+YXQJy6yYYAAygQyrOWfB8jGEuSBENUMC3LMLI07XW6 - ZacYJkF7fSM3VKvVapqmWKoFuYACXHzxxcVicRD6dqmIZIlzbhiG53lTU1PNZvOyyy47evTo+S97 - +Yf/w380DOtb37rtjjt+ODW1I0gSs2BTU1E1Je35Q5XmBeeeb5UV0sQHegf0uowIRykVaXTkoQOj - 0H7V7l8pasq20eGYJUmamVBiAgNBktiXDEWgjPIUq9LA9yAixXIpQDgI/S3j7hw3nqs1vKT6MZAQ - OdeP4bnYcZaBU9zjNh/mXzZc/3Scjn9bIcty7qiazyfvfOc7Dz969Jxzznnksfs915WQnA/qXE53 - MBjIqiSEgAju3r07iqIcihvHcRxHAABd13Vd9z0viiJd1ycnJ4ebo313EIZxkiQZFDl7JZc/SZLE - MDSAIJaknGWtqqqAhMBNOZAoimgU+75PKaWUMSB0XScQRFEyOzu7sLCQuxqtrKx8/etfNwxjqNHQ - dV1RFCHE2traxsbGibnZdrs9MjIyOzt71113FYq2amoPPfJgkEaGpVcqFbtcuPueewpFJ6OUZpmp - 2xhjBMDQUL3d7YyOjwVJzCCMs9QsldwkHNo2udxen5qacpOEEzS7uqxbxrXXv/OTf/mpmGfbdm6H - MukM+qqlp5ztOucshNDw+Ohae310cnwQeMdmT6ysr9WbQ6Ojo6+4+KK+5652WggCBkScJH4QTFSr - tVqt2+msr64hAGuVCufcD0OeMUVRKEvQZjEGIbG1XUMnFRgBONlOySUhXwzA69Q4mb5w8By82SYP - H8C86B7HsRACSZuaXlmSJjRtDDezJNV1vTvod7pdjLEQPPfAOX70mIyJrusiYwgh2zCzLCsVnHxD - u7KykvfRPM/Tdb1eG+r3+76POQCdTm91dXV1bi6n4udiJTFNVUnOe6OnCk7+z+5f/5VchiBAOOCM - sUxwIkuSInO4mXQrmjq1Y3rbtm3tTmd2bm7Hzp1+ECyvrPQHg1q9rlvmueNja8srhUIBICQpSpQk - QeBbhcJ6e6PWaIRxZDtOtz8ghPRc1zBNy3GCIOJcDI9PxGE4uzCvadrQ8LBjWT5nq72eIFiHqOA4 - 3sDnaYQgagzXIpr6XqSq5sANyoVySbEwJ+urLUMzDaMYkSCIfSjhqR2TrVbLZgZEGWM0ZUomANEs - RBkTiGcMQYBRDucVOY765CUScMsQjgvBOOACIoghwgDmMChTN5AAG611TVGHhoYW5+ZNTe90Ooom - 94O+ZVm+77daLVnXLNtujo5QSv2BK4QYHRubnp6+8cYbC6Z129e/8e9+4/8cDAZ7ztmzY8f0mdt3 - Hl+cNRpVl8aybShELUoFkeHBuqvpDs5Ad9DrZR5WQa/bVcOUANGwC3XNdlTbkHUV64VyrTY0vNHu - BaEHEJckISuQgViVtcALgZB0Pc03THmtZYtqlBcbX2r9GKFLqmVZKINhGCZJggjKf2fTJhCiXyqk - 8nScjn97kWUZJhhkAACQpunq6uqFF14IIbzoooviKNr3wFPdbtfzPMdxMMaFQkHR5CAI/DAAJ+05 - 8zGYC23ntAZd1xljURTlYrsIIcMwsCQxRnOukyzLaZbmEnlZmuSiCXniwhjDCOS1WIwxx1iWZU3T - dB17UYgxnl9cOHr0+OLiImJiy+7Rdd1cTSo/jOXl5SNHj66urhZKRUVRut1utVo9ceKEF7jleoVy - ViqVyo3K/OLC/Y88sOuMMxaXl4pONY7jtfXWxNj40uI8hOIvPvlJWZE+/6UvYlnSdc227c998W+r - 1eqx+dkj8zPz8/O2bWuKur6+vmvXruMzJ+rDQzf9zWf27t2bZbzZbK6urgIAdu3albDka9/8epIk - ueRErkJeKpU6nY4QIqapYxqZ4JRlE9smNU1rtVq9bpdSWiw4+UwoE8IBCDyfyBIAz+6YoKdxMACA - Z8gl/FRij/zppvMmgOrUdyGAQjCBsUAiyzjlLPdzsCw7SRKaxP1+vznUuPf221971VXz8/MQIbNg - 97q9qampyA+SOCYQEIwb9fqDDz0UBfHk5PaDcwcgRkNDQyylkmGWSqW1tTUAwNzc3Pr6ei7J0Wg0 - RoeHtSuvUhRl3759nHOMgabrLMvElryWQKce8Cl529M4uZPb3OeUpsTWP4qT1FABoMiVwfMvkrMf - pdx7PUsZYynLaJbJijwYDB555JEHHniAYGnnzp3r6+vHjh0bGhqybTsnthw7dgwJ0Gw289YD53xk - ZMT1fV3XIYQQ41zUlciSCoUQIooSAWFO7UuSZMeuXXEcL60sa6ZlF4ociDRNi4a+srg42hxZb7c1 - w8w4VXRNVjSMCUAoiCPIBRKIY1gfri+1FgVh5VrV7fX3H3iqWHBUgrEAkkwYYxBLkGBAJACByARE - iEDEnqmouKUtmI/2nIcGAMgB+ZALQTcNlXJ9/QcffqhcLq+ursZxnE8rn/70pyHBumkcOHTIrpS8 - MNh/8EDoB0NDQ4Dxer2+c/v2111xxW/e8IGnnnrqxk/d+L3vfPeMHTvX19dnZ2ZkQ51bnkslWK8N - HZs5oUbwrPFJwFC12Di6cmR5fv2uQ/dBSygpr6XadqlYtoZay12YlY4cnkkEC8I4yaiiS4atQcoY - DRHMgABZFhNCMFa7vZ5pKLqhQQhzoqM4GS+1fkyaZZEEsK4qKlEppTTJIMxJ7E+HEOJ/NyWy03E6 - fp4hhEhTahINIXTffffdd9990vnKzTffLGB6//33c0/k3aJBp7u0tCQTCQxEkiRREm+5mymKEsdx - oWAlSRKk/vLycsG28/YxQsgd+GlGFUXLgBAEZSLLh3y7284L2FmWMSoURcGI5NDRvLaaA+MYyzGd - NE0jLEvtdvuxxx5zXV9VVQmg3IRyZGRkfHy8XC4jCNM09TxvdnZ2cXGRMba0tKTruqwoQRBceuml - pm3ccc8PTdOs1+t+HOzatWPb9LZjx4/btqOqKpalMIw8b2BZlqTKBCMAYbNY7HS7GWeLy0vlcmVj - 0FMk2UBoZGJ8dnZWVdXm+GihUgLzswvLS6VqxSjYkiQdPHy40Wg0m82E0oWlJcMwhoaHt3jI/X4/ - 35YHUUgzHqeJrKl20anUa61Wq9Np66pmG2YaJ2EYqqrKcrY5QhBiJuBJuFWedDwXArKFh/qpCxMC - ApEbpopT85hNxnuO+EyShHOgqqphGJqmpZR2ez3Bma7rq6urdr1uGEapXAYYCSHq9fr8zGxG6Whz - mGWp53m9Tte2rEalkaXUMkynVFxaWuJAmLrR7XY1RSWyZJpmtVKXZbnXHbj9wd69e5tDI48fOJCL - GSYpZWn6NPz0JwtUnowXAM5xAHM7++fJ27aCcJFBiHO5xjiNJUWWVUXT9TCJecZ0W8+yLI3Ta6+5 - 5lOf+lS9VhOcS4R02u1qqSxjwjPW7/aGh4YatVq32+13ewPPrVWqnusShKAQuSwBh0AlJInDSqUC - AOj1erVKye13ESK1SjWhaRh4cZrYqhr6/rbxiXa3R4gMIRYiHQx6APUhgbKqUIlqikopTVjYildD - EEgczhw7PtIcnh6fJJBE/cBSdRanRMcYw4TGGaeEYCwRIgDn/GR38iR3H0Kek9oRZIJDjAAQCCHK - MkIIQDDNUqtQWG+3e77LMfzx/fdtm9peH2p0Op36UKM76K5urBdKzo03/fUll73ScgpCiEKhEIah - F/gyke6484cXXHJprz+474EHDcO89dZbL774Yi7Q1269LUvSoq2laSwISWkYJ8Hk0HZV0Z964tDN - n765fnb9RLJgj9aeuOs+jJXZbjbfVwePgT0TL19c+cb2Sy9YWu0srq3YtQJRQLliAi/yQ5/Fkabq - LE1lyUASjtIkSmKEoSRJlmXFcZxX/DbpkS+xfgxIkgQSDBShaZoseEKTLKOc8K2J76cbsqfjdPz/ - PjDGBOPYj23iHD16dNeuXfv37wccUhYONRp2o7C0tBQEAQDgwIED3XaHA6YoimGZubJLTreOoui1 - r33N5ORkltI777xzcWFhSwI48KOMM4ylQeCPT20746wz6s0hu2z94M4f5P1ijDFnT2tE5cXULZHW - HEKXF2ksy3JddzAYyLKqKEoWJZIkjY6ONptNwzAAAFEUcc5zMwQAgGEYKE1kWYYQXn311XbRmd45 - 9d73/8a+A/s+8d8+8d9v+quZ+Znm+Ojnv/DFhx995MSJE9VqdXR4pNPpGLq+vLxcK5X7g4Gi6zTL - SqUSpbTX70OCJUXuDvojQ83R8QnfdxcWF/NSk2PZYRh2uhu6rtcb1fGJ0X6/H8dxrV4pFArtVrvf - 74uTBk8zMzOISEHgKYoSx2mhULSswka763mBrOk56zNOfSIrmmGGYci4kDQ9zSiAJ3Evm0Q8dFKh - 4FmSBy+YwbyIBhM/mRYgcLI/JQTMe46cc0Lk3BaUMTZwBxmjUADV0Ndb6y/bs6fT7VYqldX11vLy - 8rnnnptG8djoKIHo4L79Z5111tjIyNrquq6quqrJWFJldfvk9iAKBeOlSlkwtrSy3GwMh37k+/6e - PecFcTQzM/OqSy/zfX9tY4MxBiDUdT2vBeQnc7LNkYOEnnviWwjoZ78BBYCCIwgZ4GCzBMXBpmr5 - 04JdRIaSAABBKCEMZAXLEs2yMIryXloUhBhjhUjVUrm92pqenl5aWR50e9tGx1eWlrdPTk5OTtYq - 1X6/X7QK552zZ21tLd+sJ0nilIrdbte0rb1PPen5AU0Txy60N9Y5E5ZlhX4AAWBZAjgjEEmSJENs - 6SpE4OjMTKlU0TVt0Pd0Q92xY2pi+/jsyozTLHmpF7NUVzQZSlE/sPXp1EtKRikOE8TQxtoGBwhR - qBI5imNMIESZrEACMWCbclun6kCfurISQuI4zhk6GGPXdXMAPyI4SKLF5aVv3HZb6AWqquqqsbGx - gRCaX11eXl4cn9q2/9ghBkTGWO5IMvDc8dGxjY0NN4wmJyeXVlcIRLd965u24zx1YP/Bw4c0We90 - uzump08szhSbNlDQ4tyJibHJ9spaRTG2TU85ZYdUlbF4Na2i8898pYk1zQfVyDBTVWZ6fQdeCLrf - +eHt5UYpiAee3wkT19RlRqVk4CoqSgUAnAdRJKuKYKnruo7j2LaNEMqLMfkk8lLrx6CMZr7vK0g2 - DANASF1KKRXKJjtpSwDqdJyO0/Eig3POOStYpXA9VBSl01mw7YLvBo1qY21tLUDh0NCQJEmQcc/z - tk1MKprs+36apq7rVioVjLEkSXEcn3/++RMTE52N9n333ZfEcV5y6HQ6EGBZVXJnJcdxLrzwQqLI - s0szd959J6U0ikLbKWAGsyzjIsMYs+cIzOfomRwio6pqqVTq990wDIdrjZGRkW3btkmS5LouYwwI - kbMgc2JBji3duXPnjl07Hccp16oPPPDAgS987r3ve68iyb/5wQ96kf+2a6950xuvfPTRRycnJoIg - yDX9hOCqJEsSLjgWZwBCKDhHEGtarsIaQQgXFxebzSZNUlmSRpvDt99++9jIqK0ZtWK11W6dfebZ - URCmUYwAKBWc48ePR0FcqVTybkCv01FVFUJkaKakEICgoWpxHK+3WqqmIQh7vZ6ECZYkhFAYxxBj - JnhC05OWF1BsonqfiQaBufbBz8Rs2GQ2bf148ht0snsoSZKm6bIsZ1mWk45z2prv+4VCYXV1NQiC - crk8Pj4OITx8+HC9Un3nO371jW+44ot/+/kdU9Pnn3/+rbfemiTJDTfc8I1v3BpG0RVXXPHwww93 - Op1feeMVC3Ozjz7++A033HD33XcfOnToff/+/SdmZ7761a9+8N/fEMTR//HeX881nTczmFOO8BRK - EXrBEpR49qVBgCPAoYAQcCgQfBZB+2QQATgCCJ0s8/OMAcHzQ5GxjIjEGCMEJ1FcchzfdW1Vz8K4 - t9Gulyvn7znvvPPOi4Ow3+97rjsYDF62+6w4jkuT22RZ7na7rzj/gsntk3e+7w5ZVh3b2Gh3qtVS - GMRer/eWN7/5da+5vNPp3HPPPY889vgNH7xBUTVC8Mf/7GOlWt2yS8vLK5VCedDv/t9//H995vP/ - XTFYjDuh7qck82gHpaI2VHLbrZJWQRlnXvqFL/z9Hd+788a/vin1U5xBBJhha37iE0I4jRQsCwqS - JCWqzHMVDgiE2GytIQQ5AEwIAmFCqUhTLEm6rqdxnAkeJvHo+NiDDz4omKiWK67rLywsFErFpfU1 - zx/EkIdxCAlmYvMZsm17fXXNsK0cDFupVIMggEAgRRp02rVabb3TqY80e+5A13WJ89Xl5Ua5RBNX - kvnKxtJf3nTjoDtojI0cWj5ammq0vLYM5BKxQIeiWNhOqRP2mQrNouFH/bdfe/VgQNfX18qV4uT4 - 9g4yOxtdQyukAAUgy3iqCKFpmuu6vu+Pjo5yztvttqZp+ez20unHqElCdU2L6aZ+jK0USqWS8Jmb - 9XIOdkJjgkkG2KkZ5Kk55ek4HafjWUEICeMkBamKdUVRWq2WM1ySJGljY8OyrCF7qNPpmKYZcvHq - V736zF1nQAw6nY5TKuZGATMzM61WK4qinGkIBbjgggt8z4vjuFKpdDodVdEFBPfccy/GmHO+vr6u - W2an08myjAthmmaWZVGcbekv5Ee1qcaeJKaq5YPXsiwGQZZl09PThMgIoZJVyM1i4zjOmVNJHOcd - qB07dkxMTiqKgiRSqVT8MOj3+6MT48VicXl52dR1x3H4gMVpdP07r/vCF78UBWGxUOpsdBhluqZB - LvK91mAwGBkZm5+fdyxLk6R2r9toNGTNarVazWZTRnLix7ZmdVudHZPTge/zlKZhRBgsWvaxQ4cJ - wlNTU63FVcxgtVjqtTuKqmOMoUDNxvDRo0cbQ0PLa6t7zjt3eXnZDwLBoWBAIGjoFkYoDENFIowx - CBGSJAhhXucWQiAIJSQxylMay0RiLLNsPUmiJI0kSUopLZUq/X4fIWKoao6/BgBs8SE0TcuRSTmM - CZ102dQ0DSIkAKBpyjlXFAkJkCRU13VGsyAKdc0UQjiOk6eJeUuRc57Pr0mSQAglVXEDn0NQqVQs - 3ei027Zp+a4HuOh3umWnFPlhsVhMo0SVZM/zCradUQqEGG4MHTt0OEtoHESqpMhYyqK0YNj9bt8y - LNf1ABcSwvmakktvMMZ0RQUAMJplWcYFcyw75/9jjAUSSZLIskwIAYD7vp97l/bdQalUiqIIcIAR - TKI4oWmt3giSWNPUgIs4jiuFMqWUA44BIXmuuKmKcQo9XVUUz/dlSVIUJQljGeE4jGiUUEqvueYa - CSC30zNU7V9u++bnbvncjZ/61N9/6cvnn3/+3T+8s1gs/smf/IlmGvue2Fu0LN8b1Gu1bq/Xa3dq - 1UoYhkkQDFUrlULx4L7962trvdaGoxuvvOCiz97yNxud9bGJ8ZW19ZkDB3ZMneH3PMcu7nv8iSf3 - PvqFb97yyMxDs+GyNWS7rl+xSn/0H/74r/7443wRvHz6on/5xvdkQVjMWcQM1bYMm4ZJ6LpJFsqW - wTiIEqogXdM0Bjcronl/N4fCgFPAMfnghxAmSRJGUaVYoVnmR+HA98pOaeC5lVK1XKu6npemaalS - DsMw41kSR1bB9jyvaNq5KFyWpP1+v1KvYUJczyuXy+sbG4ZtrW2slwql1sZ6seAUCoXAX9/eHGm7 - /YiFJaMoIuqHqeVYvuuNVoZ9PymTQmejS6olQaBTt2dbC8Vm2Y+9pfUNU1fSNIJQEEUd9CMFuhLQ - xuqTq2stSjDWsKzKPI7zarOiKOvr67qu12o1z/NypvRLpx+zVCgUN/Vj0KZ+jGBC0zQLWfnllSQp - Yxl4rnzA6Tgdp+MFIl+6WMzyouno6Giv0ys55TAeWJZ1/49//Bvvem/e99F1XVXVON0sovd6vSiK - 9u/f7/t+s9k8duzYvn37MES5/AHnnFKqaVrghwcOHVxdXQUEI4SeeuqpTHA3GiCEABJZlgEEZVlm - jG1qgQrOTsq1E0JkWRZChGGIEMmAkGW52Wyqqp5lmQxxXvTNe9YAgBwzm/+LoqqO4yQZzYVtCoXC - 2traYDBglNartYW5GQ7BV7/ylZs//T+++c/fbo4M+36QZdnk5OTq6ioXAmEgWHbmmbtaK61GtTbo - dAkho0PN97///a9//ev/7otfmpqauvTSSz/76c/Uq7Xrrrvu6//0FUrp29/+9rvuuuvoiaPvf/8N - Tz315L133/tbv/VbR44c+fKXv/yxj31MCHjDBz/4ta997Ykn977nPe+RIErD6O1vu+bb3/uupmkQ - gLJT7PV6qqpmnGdCIITSOCkUnV6/T6AcBIFt20m4qRaT0gRwKGEiYSgYo0kkE0CwHMexIquhH2Qp - k2WcJRlBCILcXIIQGSUxHfR6qqLLhMhYTmlsaqYbuJxypAHBNjMYQgjmCEIoQ55FCQBAU9RSsUgk - KQ7CMArzNIIgwoHYlM9ACCFE+abKVxAEBKJms7l/31PXXHn1WHNY13UaJ6EfVEvluZnZLKUakeeP - z6iS3EnSowcP2YYZ+0EaRrVS9fb5pdbq+sS2SSLgzPETTqlYcpwTs7PjkxNu4GMAbdPqdDqarbr9 - vqZpCiZxHCe5Ml6WyRohCAPMkAAgYwDwgmFCCEPP17Dk9foQQk2RVYKtopMJ3m5vMAj6/X6lVIUC - BEGAMUaACCCeth1ATyN/gQAgiqKCbbu9virJqqzcfPPNhqaXCs7a2to9d971hte+DghB03Tn1LRg - rGDZo8MjB/cfmJ6e3r9/f7Hg+GGgKWrRLnixTwiyC2butiOYVC4MK5JccQpvfcvVrdXVP//zP4c0 - PXPH9A3/7n37Dx/6x29+zXKK5caYBGQZKok3OO9le3717deuLM313I3KWOHux+4tlSpp4ttFcODA - k1e/7JqxodFqqRqGsW0VLauIBCQQKZLcqJUsx9BN/cTxOXcQMS4ghJRnAEFJkpJc1SBjGBHOORAc - EcyBQAhxICRCGOcZZ4ZtEUUOwnB6errX7hKM19fXfd9PKc3Vuzc6nVKlBOKIMWabVpokeXYpybLj - OAihdmejVq9mWeZ6g7POOuvgwYMMsOZok9MsiiIaZkzLJAEBgkkaJlEyWh3ubww8P6wMVVnqxzwd - atieu0448TiL8YArmqFjEukECIIhS1kWszRDA5A6kqUXHF2NGYuF4IzRzXoThDnYRZZlRVGyLMv5 - 1S+tfowEcO6dRCmNskhAoRdVQzPyTq0sSylN4ekk5nScjhcdm56CnFNKKaVBEBiKtbKyUq07nudN - 7djBGOv3+/nGPYoiylLDMDjnc3NzR48ezdlG7Xb7m9/8ZrFYrFdrO3fupJQqikIpXVxc7Pfc48eP - K4rGEbzzzjslVcoED1IfYihJJE1jzt4aKxMAACAASURBVIWsqgCANGE59QGyzf1ekiQpkXJWtqIo - BAKEUBhHOWNAAEEpzfeKuZELyzLXdQ3DKJfLkiwTQrKQ53PL6urq2XtedvnrXnPRJRfcddddiqL8 - w1e/0tpYm56efutb3/ro44+12+1KpQK5SMJICE4kVKuUn3jssUqp2u+3xkYnKKV+p1+xHEezYMrD - nicxWC2UZIFMScUMBn4sAZIGSdT3daToWAn7ftEoKFCqFsqYo5WV1ZLttNfWLdWoV+uqqqq69sgD - D5bswsLi4tjkRKfXlSWp6Dgnjh2v1WqGYSRhND87Vy6XFSJhw8QAWoYJhBAUCiggBoQgRhPEWRZF - DIqM00KhmHFIsAIYhAJkcYoxykTGBeMsU1TZ1E3bstKE9jp9RVY1XacJ5ZSrssozoWkaFAgDCCEU - jDPGCJby5wRjTOMkSZIoilJKhRACQUnCgHHOOBBCYLhFamm324aqlYpFJADgPIyDhbkF13Uvu+iy - ndO7/IFrm4V6rXb8+HEIQKVYaq2s8ow5dtEy7PnZhUKhqKsGS9J+u5PFtGg6jun0Ot3vf/e7b7v2 - WoiRqRvewK04xbWlZQyJpVlRGCgYQ8Z1WeWEA4Q4zVRIAOBpkiCERMYZz0BGnVIpVxyOXM9Pw9HR - UadQyLJM0Y2F5WWCEMA4DVJNUQEAHPBneCc9A0sMIefccgqB602MTVx//fVHDx959KGHbdueGB/3 - PM8wjPzRzIkqhBDOueu6O3bt7PV6GWeUZUEcCQQNw4AY5QhfQ7cE55zzpaWl973vfeOjo5/73Oc+ - 9KEP3XDDB13fe+e73zU2NtEPD9Xr9fXVdqVS7fNsYXkFQjwxse3EYPbEiflzd5876A0yl2pIe92r - Lh8vTMRxfOzECSxJmciIjGVDgxAW7UKjUu2663d8/wfXXPuOAweP+F6KMEnTFEtEURQAQC6HkCPw - EQJ5+QvkOt+EMMZUWelutAHj5VLp1ZddlkXp9u3b/Z6XZZmsqa7rxnEIECQyUXTt+IkTkiTd/6N7 - c3/2mEWapi3OzZeqFUopo9no8Mj+p/apqhoHIacUQ4wAqJaq/X4nQVTSJVmWGUnf8+vXjY9OyKrU - ctuRlFgVO4qioOtWtYpMFCHh5fUVhJBt2P/45X8wFQNwpCq2AFnKYZhS0R+UqhUY9aPU9XzPktSc - UQkAkGU5l5yybRtCGATBS6cfU6CUAQ42O0dJkvBEQCFRzGQGTgNiTsfp+BlClmURiTRNC4WCu+JP - T0/PzB0RnG9vTNFNkRaWJIkkSa4/yEUQZmdn19fXJycn801Lmqb1en2o3siVSHM07t69e3M7OU3T - vChkgp296+wkow89/qBu6gBswk3yrQshMMsyDBESAgCQZ0J5hSaOYyHgIPAhhABBSVJ0XZcgBgCE - YZhr2EAICcZ5a0mWZbfTEULImpqjjyVJevLJJ2/71q1+OJhbXhgMBn/6p3+KJURkab3djaIol3g4 - cPjQyMgwAhwhsNFZ33HGjqFKg2ciTbIDBw4MDw2tr6yqRJoYGaVJCjNe0Ix2u72x1hofHT+0/8Dy - wpKlmxhI/sBTiSYT+dCBg0Hfa9QaoRdAAXZOTQ8Gg1xgolarrbc3vMDDGBu6zlKqygrnvLfeHqrV - WZa1llcs3dg5sS1M4iSMsyzDipImkRACciRhGSKBGBScFnW1P/BMU4/TDDM26PuCE9MsmaYZRxFj - lKfUNHTAIRMZi2gGORDIVHRZUgHjNGWGoklIjqLIDVyEEEeIECQhKQoCIYQA3LYKTr0UJXEQhSyl - EAJCCERIMLYlN5rXZiDB+e3IUtrtdlUsTUxMfOamm+uVatG0v/rVrxJCer3ej+66O4oDRVHuv+fe - WqOeRvGDP75v184z54/PBH3/la98JRFw7+NP1mo127QevP9+w7IsVX/XdddXy+VOpyMTksZxv9sb - Hx1L/JhwsNHtq6p6xq4dxaKTl+oZo6ZtSRKOokjTNMZpEASu6y4vL6dZ6jgO4Uy2jQvOO/f6d7+n - VKv+/Vf/6e/+8StCsEGvbxl2LhTCGCNbijkcAi4APEl1QhinlFJKNUP/9Gc/84e//we6qv3Bf/mj - j3zkI0vLy0SSAIRBGGqq2u5119Zbi8tLExMTrVZr43jn+MyJKE0sy0IEMyCWlleDIDALNgDAsgqe - HyRh5BQXDx49Fqf02Ozc0bl5TdO9wHd9j3O+ODffXe9DgRZPzDqmxiC6/Y67L3/rawdr4V133NsL - +9Vy1ZC0i3e80l2LHzjwyBtfc/XDTzwGZRwBKlS8sLIU0gRx5rl+FgOQAYQkIaAQAhIMTjovPPcL - QcgFEIwjAKEACECZEAQhT6mCyPkvO/ezN316uNa4754fHT58mDHW7fcr1dJvf/jDP7rv3kteealI - qCKrq8srhaLjuq7jFHbu3PmHf/RHH/3oRxGAH/vYn46Pj7darf/4od88+8yzf+u3PjQ8NPL9737v - E//1v45NjtTL5vLaYr832D4+jjH49N/+lZcNChMlXkYHjh2oVSoqk1A/k1N528QZScJqjebvfOj3 - 7vz2nVkiaCSSKJEUPWXU45mbuEiv6rZeTAGlKWMMKUreHVNVNVccVxTlpdaPWSmXq1mUppQKyE8y - v0AYhr7w861YPoRO2nidjtNxOv714JxTmhKkYIw9z0vT9N3vfvfuM84aeO0vfP7zK0srrusihLAs - I4TyQQoAyLKs1+vVarVcDWX37t21WmVoaAgKkMvDRFGUGxulSZbvOjjnF19y8dTOqfVO++CxA4ig - IA0BELIs58IMhJAtTEy+CJmm2WmtHzp0KE4STTP8ONI0DUskihLOOaAs16FxHGfXrl35x+fm5vJ5 - IElTzrkXBpZlYYlIktTp9846Z3dB2FDGM/PiwKGDAImB5yU0MyxLZBnNsmqtlnEW+h7CQDMNhHHG - 2R3f+fYFF116yUWv8N0gjWJT0rz+ADJoyHrkRZZmEUAkKGFIJChVi1XLsINBYKqmBCXbsFIjXZpb - KthF3bAefvjR97z3N9KMXnDBhR/4wAf+00d+Nyd5jY6Ozs7OEkmqlMv9TlfW9AzwkmFjCN12t/z/ - sfeeUZZd5Znwjiefc3PdSl1VnVvdLbVaEjJKyCbZJJMxNoONPwwDNpY/Y5PWjIeMGRgvhiAwmGCb - YGMJJIKEHJAAhUYJhe5WV4fqyvnmk89O349d3caG8YBHa836lvv9VV29qu69p/bZ593P+4RajbpB - zpiUktrYwEQJwDnPswQDECaxlMQCat/2bRKgNM1ZxEzDO3jwcKlaMwkmFOUZMx2TZawQBVIIYGBZ - DqV4cXF5eXnxBS944cTUtjTOlldX1tbWLMtSQkrJoYTTJ59YXV0Nw/7B/Rdfc+1V1DB64eBvb/67 - MI6lUFIwiBQAACKIEVTynwUWaZoamCAF2putRq1BBYRcNspVatmBXz556sRTnvKU2dnZVqt1+OAl - 7V53147du3fvfvihR174ghft3r33m9/85tOuvX5sbOSBBx542lXXXf/062+65WsvedFLv3Xbt1ud - 1sT4tna7/YLnPO/AvgOryyu3f/NbJ09M85yP7tszXm/ajpWmKSeyYJkJsSw44lJmmeBcZYxC6FkW - Y9gAKM6zggHftg2Ca+VKs94wKA37A9/3McRSSg44hJAA7Rhz3vgNAgkABEAAhRAyDKNgrNPpUEqr - 9VqtVrv0ssNSSkRJIbjp2AXnf/yWt1x77bUv/bVXHDlypDk2Ojqx7ZZvfVMptWP3rsnJycbIUM6Z - VyozzpMk6QxC17INxx4aHb3t779TrdY++clPGo79ylf/p5GRESb45l13Eohsy4IKGAjbNs0Yd4P6 - hz748eZEfczenm+cNZGdhNlMPHfbyh3NYOxTH/vCjn17c8BWO2u9tAst2Iv6QIk8Tycnt734hS+f - n1nMklxCoD8RhBAICQBQXCilgJAIIQQkAIAgJAEwCBFCKCGAVBgCA5GNTreztvHKl738+b/yvPvu - vJulOSFkpNYIfO/XXvDS4489duiiA/OnZya3TwGpgFSWZbmW/fa3v/3IkSOcc8dxvvD5zz/yyCPv - eOtbfvV5z7/+2us++P4PIAXe8573NIeGDdM7/sSZoOION0YlEwXLPvw/PxBbyenezMPrRy9+0cXr - C0s16s98//jLrn9p3Z5QhfGP3/+BCZw8EiGNHcOuV6sbg17CMtOiGIGFzbmxsbFS4AEoe52+jlDR - U3CNu/R6Pc/ztJ3Pk+cfk1OEoYRpltm2TQABCOQszVG+5SUjOMT/V/JqLtSF+v9r+abfz3usYJZl - jYyMzD2wGATBZz7zmdf959fs3bt3Jj/bbDYppQVLtzY3ATTuojkrpmlWKpVdu3ZRihFCkgshhOs4 - cRwLIcrlcjiIDWoEQenS3buGx8Y6nc78/HyapkE5KIoCY2g5tmSKMUYwIoRIJTTaqjk6+pRvmqaU - 0vd927bTPAMABEEgsgJCGEWR4zhXXHFFo9FYmJ+fnp7udruu6zqum2XZeR+HNE1f89r/59DhS1r9 - zR8+9MD0zEmIEKKo1qjnTECMOu0+xti27U633WwOra0vWdh0fPfa66+dnp4en9h25N77mtUh13X/ - 4R///vjjRye3Td1+222zZ8/u2rXrgfvvP3HiRFEU0SA+duyJJEzm5xfb7bZjuXfc/vfbxicRQl// - +tcNy2w0GjfffPPkrh3/9N3v3nPvvbbrGIbpus7y8vLw8LCUctDtSS6yKFGMF2lWKZctgCebo0II - wzIF4xY1LcNUXEnJMQCmhWdnTkVxx657b3jNb19y6aGV1c1nPeP5XNJDF11etQIBhe+7CU5M08xh - bts1zTtsddqLsysrKyudTmfn5FS9Vk/spLOxaRPLprZCMkmjJM56nX4e57wQrmntmNxRazSggf76 - S1/UEeI5L5SCUnEthkeYSCkLwRljlmVBqRBCJd+fPzu7ODPbb3X27tkTlGqPd47VG9Xvfve7/U43 - CIK77747y7Lh4dHFufnWRrvbbs+dmRUFO/XEiSeOHvMDt7Wx+YXPfs7xveknnvjlZz37U5/+JABA - FOyZv/T017329TzLo24fKCULQRTkedFLkiRJqtUqoSYUijNGAIz7A6VUEkcbGxsQoyLLKAAVPzAI - zuJkfubs2sb6zJlTSRQiiJSQECmt2KeIEB2XppMztXZLf0EJjZLYMkxCyFdvuumKK58CpLrlG7fu - 3run0+lAjISSDzz04Ote97pdu3ZJKWtDjV98xtM9z8MYx2miz/o5KxaXV2r1oSTPkyRpjIwwxsIw - zNP06Inpl73qNwRXnudxKT75+c/leW5SkgxCExETwm6vCzGihvNnH/3ob/7WqwqRAaBQbL/kVa8c - tDp5nNjIpNSwXOfgoSsXVpf/8qYvfv/uewrCzcA0XBtyOeiHZ07PAwCQSYVQAIKc5RRhpZTgnCIM - lCIQAaUwgEABpYOTgMIIM86hAhRhnuUl11uVgGd5mjMK0OzpM4HjUoQhwYtn5+J40FrfKHs+4EIV - vFKpDI+OtLudZrP51a9+dWhoqDlUr9frnc3WVU+54rqrr7nrn747/rLmrqnJPEkbtfr45JQkZIil - o6MjSBQVzyKESMiBLVdaKytoXUpV3el2lzqRaI+PDY0bO2rexMP3n8LAbpRGfNfvrHfyNBUqEThH - LhRC5IO0E3YCxR3DEr7UciF9KiKEnHci15vRk+Qf01xaWkEKUUoJJJpRL4HUGMwFCdKFulD/vlrr - rJUqAUVW3ItLpRKl9Otf/zovxNra2sTExMM/+FGe53meC86jKOKcSyU1T3///v1pmtbr9Vqt1mw2 - W60NjLFj2UVRSCk1KDs6OqqGISLYdf2LDx3qxSG1qPZJ0zMgAGRRFAoQcE76wBijhqmJ/4tn5mu1 - 2oEDB666+mrTtDPOiqJI84xSs1QqLZ6da7VaWtNLCCmXy6ZhXHnllXmem6bJOHddt9PvLS4ucilm - Z2ezLGu1Wtv37rjngSNAz/ql6PV6cZq7vrdt27a1jfUkSzAhSyvLlUrQ7/cty/zLv/qrxnDjsaOP - +qWg3e2Ypvnggw9ecvGlQ/Xm7MzM2PAYBnhjveV7JUxJGEe27TabI0XB19c3N9ud5zzvuT/84QOV - Su3SSy/r9ntTO3Zdfe11c0vzl11x+fz8fJZlUnEAoEXNeBAhhBzLefPb/9BA+InHjt740Y9Frd6r - f+NVeqbGOQeAONiATOZZJoQosjxDYmN5gYsUSYcKXjJMZ3ySJ8y0rYodlGy34LkNjYKntmUApHjK - 1ttrq6urZ8+e7ff7pUq5XqrJXGyubFBKS06QOPkgCqNB2Ot3Ou1ee7MTuB5CCACU5/nq6qrjuUXG - ECX6IIowhhCirRx5LqRQCkAIoVRSCCEAAehvvvyV7vrmoNMded3rCLWLLGutt3zfL/lBr9vTA5aw - PyiXy7EZm9TotLqmbWFIClHkaYYJ7nb7QaV6ycGLsyLfuWNHt9eb2rEjCsPO+oZlWia1lBCVoVIp - CFzLlkogABzLCsN+nudhEkIIe71OkiQFy4UQ1WrZs60oitIoA1Dce88P7rnnngICxw8MTCRE2tRD - FAwjvEXs3TLc/5eeeUVROJatuUK33347xVgJGQRBFEXNkeGrrroqTpOlleU/eed/Gx4eHhoamp6e - TpKkUqlEUaTFLHpOYdr24vLSyPiY67rziwuVeq1Uqa6srGx221wowzQgRq3WxtSOHf0wZCz3fJci - 3Ot3LcfmUpaC2vz8/Kc+8ReC5YSQarn8jS9/yzVsz3ZUIZmS3SIyPEdIzoWwbKNcLlOCBcsJpOVa - 1aDW+uaagYHjeoVgSZI4pgWUUgBQQpRSUCntdAIgVNoKT0hkEMAUJsQ0zDDq87zwfd913W7WBQDk - LPM8r9vuOY5FTSNwgzRNDUJt2+73+5ZhdLvdaBB2rM782Vk9k54/O9scGrrhTb//t1/+ysbq2rvf - 9d7fes2rL9pz0eOPP97ttqFJLcPsd/vJYNC4eK/lBXNLq9Wg2l0f+Ka7uraGIUuXemWrCjjq9Qcl - LJrVJgbYdV3fdwuRQSIRVxRDw8TRICuXy2Ev5Cmv1RqB5zPG9KFHSqkdNm3b5pw/6f4x60urUpzz - jwGSSw4NqMlSSilMsLgwS7pQF+rnqWq1mmQxVMQ1/Ouvv376B6dpbv7ir/zSZZdd9if/9b9OTExo - UZLCpFKpAAA0kup53p49e0zT7Ha7YCsVRMRxzCkTQkghqtVqGIb79u2DAPulcpKmS0tLDMigEmAM - GWNZkXkVnwkeRREiwjRtIaSQUp+CKKXlcjnPcwNhAEBRFAiRJE0opbVaLU3zmZmZRx54SBN7DcPI - 8/zo0aNpkhRFUS6XGWNhFLVarcWV5VOnTkmgdu3asbi4ODzafPTRR7vdLiUmgAooRIjhedSy7bX1 - Vcu2kyShBh4bG1taWhgdafb7fcgBK0Sapp7jV8uVwSD0PO/Y0aO18kqj0Rgeah4/8cS2bduEEKvL - K/1uz7bd2dnZ1eWVAwcOzJ2d/dpNX5+cnOy0unfcdvvQyHDcH/zwvnupY5X8IEmSSrWU5nlWFFxK - g+CiYCamnmW//rdfm8eJSMXevTuj/kBKQSm1CcEYQ6UEY4JlWZZsrK1meZym8d59u7IsWl3Z+O53 - v9/uhkHg5xngeQEBSJPEMk0IQJamp06dWllZ0UNDy7JGhppRmrQ2No8+9rg2w4UQ9jvd48ePr62t - pWnKOc+LtFqtFlF/fX397Nxcp9ellgkRUkAwAQkhQkqEEYZIKVUwJoTA1DRNUzIuhABSEmL88O4j - 11z9VAKgbTqtjc3h0ZEoirrdbsn3LMvq9XqGYYSDAUbI87x+t6f3fAgVhqjb7pQqZd/1jtxzb7VZ - 27l7F2MMQTg3N2cbpuO66SCyDFvPMfudbjgYeJ5rQBwNwrXl5TTPkzRSmsNQpJZlBaVyu9Mul8uQ - UNswMVH9qM8BJKYRtjvUsgmiYT+qlWsIYQwwl4JAbS6ozqmTAIAAQAUQwoJxnfb8uc/+BVQASLV3 - 1+6rr75aMSEL7rtekqWVSqXgXEFo+17GGSAoKTLbc+JBr1lvFEUhOfM9l3PGpajUq1ESCSFqQzXO - uePZgnHG8sDzk0GIFajWqnHSG0Sp4XopUwSZG61+szyMEez2N4Up1tc3sG1hy+1mnALSTzKj3JAE - JmFvrNnM47BM7bjVJlJYnhPGcQEkMImkOExiSkngeqwoNJl3i87NmN4spARIy5QAAgBJCQgx0jSv - VCpRmjApFEan58/+3be+dtX11x45ciTiaZ6yTBSv/4M3Tu3Zdced/xRlacW2VlZWqvV6v98fGm42 - Go2RoSZSqFKqvuPt/+Weu+95/PFjnMvZ+YWHH3tcAPL40UcpYusryxIb2LS8UumxkzMv/81XHXnw - oUvhRda62z99Jm61ADUHm4PJykhrKfGq5nq30+m0iiJK2cBGxAyIxJyLwsRUhlxGAgbYMYM8KTrt - vleS1Wo1z/NOp+M4jibzalDkSfeP2bVz5+mzW/4xMlWcc9szEwi1dIJLxhhDBjg/ujrv1XQBpDlf - /4r+fD7V61+Zpf6r//2/WBBCAP+59Hf0UQkAcAGB+z8s7cCZhzmA4MC+A6Ojo6PB+OHDh3/v935P - SWkE5sbGhgZEPc/rdrvVeoWaxnmS/tb0HADbtrUfiRCCEFIw5npeFCV5wXGSYEKoaTiOQW0LEQwQ - 1LZvECMJAIZQKeE4Vq/Xsxx7eKiJEJyePiEVj+PMdV3bdoVQACDLcjY323Nzc/Pz82mSYIxtxzx1 - evpDH/qQ7/u/cOWV1WqVc97r9U6dOnX27FkJpeNYlmPHcXjnnf9075EfUNuaX1loNIdyVgghgnKw - vrmJCC6VfO2IgxAK+4PAK/U6fYwxJWTQHRBEkcL9TvfLX/zS5ura4UOXTo6O52ly5vTJRq3aabWV - Uo1KNWeFYPnE+CiEcGNtZcfUBAAgDQdjzSEIYW99s+p5PEx6rfaBPbuPPvIjwRgTTEIAoJJQGoRk - SSyKIs8yJaRjW3EYWQZFiDKWSyEFF91uP4oGvV5XgEJKXqmUoQuOz5yu14f+7MbPdDshF6AQCBDE - oSh47nlOliUzM6dPnjy5JWulFEGaZVkcx6ZpmoTe9s1v7dmzx7KsJ554AhKskfVSpZznueGQdrdl - OMbi6tLC6uLy6sqDDz9kOY6CquCMEooJLopCAmmapiq4QS3NpqCUAqWi7mDvxbs2O+2VlTUKUJFz - z/PiMEIQWoaZ54xSUwgFAHC9QEggADcss+A5pigrUghAyfeLLPdd+9Chi8Ms6Q0GeVFgQlxKNdnc - sqw8Sz3bkVJhg1qU8iKzTCNnRRLFXArbtjXVxLQdiHFUFNgNQqE4QEVWYAwVdRQmiRLYMjMJRMG8 - UiVNCtNwFUAYAPKTt40214MISSmBUhjjd7zt7ceOHTuwZ9873/lOLcrS3KJGra4g4JwfP/HE1NRU - v9/XzFDNAul0OkEQtNvt2lAjLwohhJDCMkyMcRzHLMtd19VBjBahBGHLMGfOnB4eqZZrZQWMXjf2 - bSeN0iiPsmjQHGsUPDN8Y3l9zbLdVmez6lf3XLz3zPwiy0QQBGdPnxmuVShEw/VGkeXEsuM0tQgy - XSvLMqUklKoQBaUUQAiU4kJAIYSUCJ4PmAIQQrUV8XMutpAQRHAhxZm52Z1792z0uyNTEy/bMQW2 - OHdMmz6ZrrNjz+6lpaVKrdbpdcvVymWXXTa6bXxheemiiy5CCK2urmJKnvXLv/zo44+FYej4wemF - 2cePH1teXS6VStR0Vls9LuH4xLZuN2UJOvrDk0mcDLPhicqoQWlmZvsvOvjDe4+dLQ+yVNSbdcOg - jz/+6C8+8/pKveI4Vsa8MIqoazmWx3MlhMTUFApmeQEQ1EqiVqulybkAAH0yA0+afwzS/jHjE9vm - 13gYhh71K5XKameZjhHNoQFIEUKkzrK7UBfqQv0MBSE0sYlNVUTF5//q85dffvlle64oMvamN73p - 5ptumnl8xvd9IUSpVJqfn9+9e7fv+4Mo1AzccrmsDWPyPCcEG4bhOI42UtP3PqVmvV7OC85Yrq0T - OoN+u912HAcgaDp2nIS2bWMCoyhK0qherzuuHydht9vVkAwAkjMppeRceJ6X5/nx48c111hrHvM8 - 9zxv+9TOvXv3csa0mfvCwoKGiDQKfvr0Sc/zLjp4oNaob3TahmufOnXqul+6rl6vnzh9cnh4+PTM - zMzMzNjYGCGk3+8bhEZRND4+niVJ2I8c06qV671O54ornvLu//bO5/3Kc9IoppTGUeT7fpokGBIA - AWMM/thB/Z+vsBayQEgAkkJIIAUvWJbnWYqhZEBAjBAGGGNKkFCSYIwBxMSEAAIBipwRDABQnBdx - HEfRIE0TiAFFtBAqzBLGGFfQ9atPf+bVX/j8F8MwNg2HUrMoCqVEljEt8gUAbAUF9PtSSst2h4aG - giBoNBpTU1NFUTz++ONRFJmm6Vp2nKXdbteyDEhQNOja0LvlG1//+J9/8pnPftbcylK/38sZAwgC - BFnBIIQQQJ2CniTJ0NDQ+vp6lmW1anVyclKzoxBCQCKNxP+86xOfe4BCjDAlhBCFIJJKA/wSbWXO - KKkAAFxJAyNEsMpkmqZpmlqOiSgxDMstBabnxCxfa7WZkNQ0TdsWkiEIBUSCFUJBqaRUSALEJZBS - 6hTwn9LE6NIkUCkEAODtb3/7oUOHFmZmb7311tW1NUKpfqTNz88/9eqrtBu0EhIqsLmxgRFKwqhU - KiVSpWk6NDLc7/epYbiuK85JlwUAru/neV52gzRNB4NBpVKp+F7g7UrSQdqP+/3W8Mi2Qa/r2DbA - olGvzq+ckZTb1G7uri3MzQxNNpJue/p0G2G77AQGlZMTY4CLjIt2f8AUzIpCcz6UUhghCDAmUJ9g - IcFASK4klFth1ue15VABhaDO3fOH+AAAIABJREFUXBZScqA6gx4yaLffu+07t6+srNi27XneysqK - 7/v60a5zEHX+JUCQEIJMmhT5N277NsBIKAmkglL9453fFUJMTU3Nzs6aprnWaUVpksYhskxAiELU - spwil+213k1f+ppUWRL3PJdylpqmoYRaWVk7Pb1x9NiJgwevWFxcrlXKt377W/1OGPcTwIBNHMvk - XEKIMOMSAEEwwQhxztMsYbzwPE8HtIJzoe3g3Cn5SfKPYRjLOEnP+8ck3TSKokqlspmubREAfwJm - uFAX6kL924UQGiQDUzqO40xPT99/+qGvdP62tdHes297FIYaExVCEAjvvffeVqvlec7axrr2bG21 - Wrt27bJte2Zmpl6vRlEEFYAQep6nlc+27UKIpYIQKmiQQjGmZD/sAQo7nY4ng4JljudJtSXJ1nEi - YRjq0ACN8ZzPgPTKpSRJ5ubm9MBaFIXneZVqaffu3UON4SAIsnPiqV6vt7y8XK/Xdd7k1NTUNddc - c9HBAxCjiR3bH3vi2G233dZsNsfHxz/4ng8960XPaDabpm21223f93UUts5+6nQ6E+OT8SBcWloa - aTZnZmZ2b9/d7Xbh5HbbtllRAAAYYwRvQYOanwf+JUCov3P++Q0h1JZmlFLDsrjIFASCCcaYAlBx - ri09MTKUgggRQgyMFAA4z/Nut9/pdDgvMCUQQmq5lmUTjxok7veKXTsOZKmgxMmSnPqGbdvncbKi - KJIkoZQihDzPK5fL9UZzbGzM9309NIiiCABgmqZmNGo5xfbtk3/09rd++MP//anXXvPxj3/80eNH - r33adf1+H2OMpPBLQbvddixbCAEBVEqtr6/v27fv6NGjjUajXC77vr80u3DRrj2aPKBxu593fZ6/ - brptNS3Ltm1IMODCsiyMMVBb+eeMcQUARLiQEjBGDDo2NqZ9hwGC2DRMzxEY0iTaCHtKKgalkBxJ - KSRjCkgAEDUopUwVQjCdXYCBgvCnNTESAgSAHmQSjKWUb3vb25aXl2uV6shQc2JiIssypVQcx7/w - lCufcvkVpmlijNfX16+49HCn0x4fHw/D0At8JeSZszMHD13ysY9/nNpWNAhzVjiOQymFCgAhRV5E - om9SY6QxJIGcOX26Uq96jlFkrFmrQykAZ57j/8pznjMy0aiMeMGQe9+jR8a2DXuW113bmKiNWtCZ - P7ly0Z6L19dajIkH7v/RwsIiMgyvVMYGNRyXUrzR3gyCgLEcAFkIBoFCCEEEkUIKqi0YRimAINAp - BEpxIBFCAiiAkQKS2KYEan5liSI8OrmNIrywupxkaXfQrwQlaJA0z1KWO6YlIaC2BaQQSvZ6PYhQ - tVGPByFnzLUdIcX0mdOGYSRFHm6uE0ot16FQxkkuE2EavmNQXvDjPzpmmBhBlpbcNA3jJHJczzTd - U6cWTad2/MxZx3FWNtr9dmu4Vi5i1t7sRIOEYNpoBL04jMPYtm1iUpkLhGFRMCmlNpweHh7WIiON - Wz6p/jHxef+YOq2VSiXMyUZro1IuiVzoVgljVPACXTC7u1AX6mcu7atLuZmHuW3bj84eJZkxuW1q - enq6FAS+EWz5aGc5h1zn+Or06bGxsSAIMMZra2tSyle/+tXj4+Mba+u33nrr6upquVwmhERRohQ0 - qZllyWAwuOTyQ1dddy0x8OLa0mc//zlAkAIijuO8SIeGhmq1Gud8Y3NTFIUm2P34oPC86b7neRpf - IdScnJzcsXOqWq0KrpaXl33P03iA7iGklHESN5vN/Qcv8n0/SZITJ6c/8eef+h8f/ciNN964tLb0 - u7/7u3c/fLcQ4tSZMzkrDhw40Ol0bNvu9Xq1Wq3b7Wr6nShYpVJJ03THtqnpM9OO4wwPD+t86SiK - bNtmhVBKYUL0dqfrn2eyAAAAtLU/UIpLobmDjDHEuZBCQSClhAQTTBDEtuXYlsszhiHAGCNElGKE - UEpMCCFG1LAt07Y456VKrVarAYQWipWV1fU4EnmmgsAtMpameZ4z/ffS8q5SqaS/qNVqIyMjQ80R - zXbQqgutzNABf4Zh7Nu377IrLkcIzM3N6Z9dWlpaXl5uNBoAgCzLFIK6K5JSmqbJslxKqeNitm3b - liRJmqa9bvcjH/6zH93/oPb7JyZmjGH6v8Q1fmptmdAAAIC+GkifV89f0vMuNdocn9hmkWVMcIPQ - oeEmQVgpkbMCEAwJKXhRCK6gJAYtgMyLzNCMcgQJNRRUGGNBEIKIq+JcEiT653f84wibAiBJEu21 - DAC44YYbLr/8ciDV3Xff/dGPfnR0dFS3XQcOHKAANcrVoigemV8sl8uSi7mzs9VqleUFAnD/vosm - Jyer1arp2EmSIADLQUlxsbS28eJffeFLXvRiDNGt3/j63OzCS1/xkubwqONY3/7Wt776tzdVgura - 6kapWori/r6DO3//LW/Ye/nOs5tnsAf9spf0BnW3PFkdzjfTidLOL3z84xKYD9z7GFBkcWUtTIsw - SS0giyJDCAqWshxDCJmSQgiMiATnehcIAYTgHKq5xTaAQCqJEFIAYITjOKGGMblj+2PHj1166NB9 - R474nnfZ5Zc/+sgjrudJCAghCqGq73HGCKVr7U0hpV8ulWrVKIkVBNigXEnDthAlWbfrlYI4ji3L - tBy7094s0qTklx1kpxEvGHcst1Ee4iylJo77/aBSVcAQUilk98JeY6Q+c+bk2MQYEXJoaCjuhxQZ - MpfxICOebRODGJbl2ADCQnCluIF0LAUoiqIoCtM0tUWhvkvNJ9k/JgZSnvePGa6MYIqXNhdolepX - RApijC/4xFyoC/Wzl771wjA0lU0ImZqawinN01wfPPwgaDQatm0zAK+7+rqLLrqIsdywTJ2spLXW - OqdGmywEQXDgwAG9gUsp85wFQRkTY3V1+aHHHgEADA8PV+uVMIsAAPrBiSktVwLf9wEAq6uraVZ4 - lm2apm5ZzplxG0rBOI4ppfv27dMSAYea27dv9wO31WoZ1NIKx263OzU1NTExYZhmrVbrDrr79u0z - bSPP8/3791PTOH5yutvtvvrVr773/nsfeughheGf/MmfvP9P/3TXnt3Hjx/fsWPH2tra5LaJOI4d - xxkeGkrjzLVsxQTFuOT4QRBUq1WNLvuep6XFQG3FO29pOH6ilFJFUSCEAASGYQQW9kpBrVZzyoEw - IMRASUkBAlyxJNPoPgBAKSgF0LxP2zEty6lVG4FfpZRatlMU3HZ923Z7/TAcZLbpZ0lBsclyVq3U - FRAYY9f19dnv0KFD+/fv19i2bduUUgUQAIBzbpqmZVmMsf3791955ZVFUSilwiTO8zzP02oYHj58 - +M4773zmM595dnH+zjvvHAwGY2OjgzhKs8xxHJYX+kSqo6be8IY3POtZz9L5oKMjIyzJ/+KTf07f - SNM0tbD571if56hvUiollMzzPIoigBFWIMsywRgACEGoYTDtFoYpIQAKLqBSjDGEACGkEAWBGGMo - BOOSFYoLDCEGCACKscJIIhglsTSkkhKbJlNSKaGUQkpsNTE/ad9hWZYQAkEIALju+qe96FdfmKfZ - l7/85VqtFgQBACBJEpPQQb//n171qr/5m79ZODv7aL/XbA7FafL+97+/Wq/fddddBy4+mEsupVyY - nasNNTCA3VYbQTi1baJWqnzlS19eWVroR6Hnebfffvvc0uIf/+GbZ8/Ojw6PFhn3HNc1rdmFRdum - 111/1Wv/6Lelwx+afqAQmUMMmIov3fjZL33sCw9955H3/tF//a3feZMAhWFZ/Sg2TDuoVpJoAIBy - bKNUGgrDMC+YBMS2XQm2bryf9pdQ59e07oIJwRjjfr8/CEN9QYYaDc55HEUYY8uyOp2OZVmmaWZZ - tra6Ojwy4rpuqVwuBM+K3DCMbrdrmqZhGKsb641qTVOFtFt/q9NGCJiWiQjW5CkIYRYnge9yzgHm - gzQ0fBOZFALY7vcgJQCBHXt3xnEMlIiigiJkW65hOUmS2ybtdvrAokON4U6nk2WJiYkCQt9ynHMp - 5eLi4sTEhFYx9PtPrn+MCf6lf0xbtsvVck3WFrJZvZUURU4dytSFJuZCXaifo7IsM03HAla3211a - WirhyqAX7tozmaUpyUmr1dKzkm3btmGMlSKWZQVBUCqVGGNHjhw5fvz4gQMHCCFJkgCpHMdJkgQA - YNu2UjDLiunp6cXFee250O12syLtdDoAgDzPuShM2/b9apJsZfHYto0R1iMkfcjWuwfGUnJGCNm5 - cycAQEqJJSCExHGsnfGCIIAApGmaJMn4+HhzeNh13Yxl5XK5H/Ysy3rkkUdW19cGg8GOHTve/e53 - D5LB+vr6idMn3/2ed6ZpevPNN+/du3dhYUEIcfLkySAIrrjiirf+8R8DCV//2t9ZXVz+4Ac+8OlP - fCqO43a7PTc3t3vnHillqVSKokhjJAVjerv7V5dXb/tbIxVRcM4LwOI47nQ6icgYUZgQoJSJCFZI - FZzxgnOupEQIAwAQJAApJSGmxPdLAAAAIDUsywIK4jxnaZwqBavVqhAiCIJOp5XnGaGIMabxb31h - 9QRf93/9fl8BdH6ar8Xzmi5DKSWEIIw8zyMElUql733vzoXFhSuf+pT3v//93/vB9zUPVQDl+V6S - JJZhJklS9gPOOef8lltueclLXvLhD3/4oYceqlWrr3rFr2OM9eGWp+zfsTjVuXxKpRREEADAGAMS - AYiklAAhBBA4B8Zoc2fbtra0+hhCADDGxMCikJTSgkmW5QRhJhhGSAEFhSo4l0ohwxBFDghVUnBe - UIwJRhgC9FPHSbq4kggjzjgriuXl5fe+972tjc319fXeoK8gaLVa4+Pj7XabM9asNnzHNalRCUom - oYkCru1gCLUp5OLy0ujIiO95mBAIgOe6khVFnv/iLz3tkgMHW93OLbfcctfdd61srHoVf3hym4DQ - K5X73d6ePXuyNN4xtR0K+QtXXjk+MnrP8bt7g/72PdujTg9J5ATed77z96999muowq948csNYBjU - 8vxSqVZ1PNe0UDlwLJP0Oq1qadvy6noU5wBggiATUopzFiZyq3sASumBMYRQKYkAVEJKJA3DYEUx - 6PdLQbC8sLh7x84kSU6dmHYcJ41i17IBAPEgrDbq+3bvSYs8HvTbrVYYhoZpNhoNJWSWpPVKNQEh - JYQiLLnwPQ8liUWN+nA9z9N+P8x6g4pXrVVrg16/4FnKY8+3G+P1QTpIstT1A+pDA5GN9iIhRhon - 1aDUaNQ2VlYBhZbnE9NgTHDBACvqTcdzXSQVFFwJqfBWZ6Y7jH6/r/kx+lZ5Uv1jbApwu9cd2tbY - 8o9RojHW2GytnT8ASSnBBanKhbpQP3Np2pkJzbSXep7nui4VtNlszs7Oeq5rcotzzhhbXlxaXV31 - PI9S2u/3G43GyZMnT506tbm5qfkxN910U7/fH2kO1+t1PW8SQgwG0fz84pmZ2SSJLN89duzY0tqq - ULwX93Viq+vZ5WoVE6jFMhBCalj62KP5BnqulGUZIYZhGFrSsUW5K3gURQiDarUaDuIwDG3LMk1T - H/wsy4rjWEK5trbGRNFsNutDQ7/0jKe/9Nde8elPfxpjfOONN544ceLwUy7/3Of/Ym1tbWpqKgxD - 7Z5XDkp5nv/6r//60572tOuuedr73ve+T/zPj4VhyBgbHh5GCFWrVcuy1DnDCAS36Dv6U//4FOz8 - 7OM8SwZCSDDR251GaKAoBOOpVEhAJKBGo8lWki2ilEJKhWCsEFA/uYHK8xxCLDhDlEAEbIsO+h0p - MgCZZW+NeHzfNwwDY4gx1nxnPY5BCJmmWTBxnv3T7/cty9Jue1mWOY4ziKNOp5Pn6U033XT33d+v - NOof+chHhsZGnpg+sXPvnihSCkGtGxWMG4ahY4L0B3zJS15CCBkeHh5uNu+77z79+7Mswwqdj+r8 - 2ev83g4hpJQAggkhACOKsO7MCMScMf0Q6ff7RZa7hgUIEEKY1JBSMsHzrCg4E1CFSdTttA0EJYBA - QcEFVciklBqG5fvtPibUSKJU5JmBKEJIc1n/l29aDxpcyzZN881vfvN1113XbXceeuihWq2mg4tb - rVaz2Wxtbiolo0GoMzyXl5ebzeaWKNd1u+0OIaTVaunTuV4ZpmEApW688caZmZlLLzv8spe//M57 - vrfW3vzPv/bGv//uPy5vrvfbvR1TO8+ePetZpu87RGGVcRNZRSws6p88foZAUrNLeQae/cwXGMSV - KaxXmgJASs2cszCOwjTCgJlWkGXRqVPTL3j+i5mA6cJynjHbMTUSo1lU+uvzSIxeQ+BcdBQQ0qDU - tex6qdLdaJUbzWgQ1irVg/v2R1EkpdSEfIhRnudFUUjGLUKlUtvHJ3LO2hub5WoFcGFgEthu3O1D - CC1Ke5ttw7bKfrB4dk4gWQlKdrUEmOwPOkmWmCZ2qnYsIwhUjPrBqB8OOkoASDzDUg426051Y6MF - ihyZOMrjMA1zyZEABjUHg35i2RU/sCHpdjpKbQWW6ht4aGhoc3NzMBhoytiT6h+TGIaRR+mP+8fE - cVysZFN7ppRSeZ7bjp2wBNKf9za5UBfqP24JIQBSjDMT2Uop0zRlJPcf3L+0YidxvDnXGhkZEUIM - DTc1Nx9Cled5kiQPP/ywfi4yxlqt1mDQGx4eHh0d1ZMgSmmWZSdPnlxYWGJcGoah2TOQkjRPVltr - pm0hghzHJoQsryxq7xnOeRjFNjX0ECTP83LV141Fo9EUEAwGAwW3NgoTE9u28yLV/yyKQlPx9BQ7 - y3PDMHKe+74PkBoMBkme3fS1m0/OnKGOlabphz/84TRN//orX5pfmK3W66Zpah1NrVbL08xxnKIo - nvOc5zx4/0Nve9vbPvi+D0SDQbVaXV1d1czcwWBQCoJer2dZFgQIAEAIOW+o8VPrfHMDz3EMhBAK - KgQRIYQAiCXCEtm27TgO4EoJoHOrCIb6N+uOhBDChVAKSCRc204iwXiY5VG94Udhi0tZCiqdTueR - Rx7p9/vdbrtSqej0K50WrndsaliaMUMp1U+ZRx99VP81XddFlERJbJp0vdPSvjvVarXdbtfrdR1v - x7kglBRFgQDEGJsO1f62z3rWsx544IFaraaUuuuuu66/+joppSZIyVwIIQj4+Tbo88YKP37pzkNK - 540h9PM0ieOoHwWOa5mOgYmeImmJETEpNQwjJ6DghkFZxiFGSMpqyavX67WRJrWtE2fODMIwVYIg - Q3EpBBNKQKUIBliCrcB0KQE2adzvIYyJadRqtdkzMzt37vzQBz74xje+0TYtwzD6/f7I2Oji8lKp - VJJS1mq1+++/X0dG6cuUpukPfvCDbdu25Xne7XYPXHyw1+702h3f9ztx5DiOoIRSuraxHmdpyopO - 2D+zMOdXy8983nOe+9znN6qNQZGdOjvjG1aWFZ12CzEUbSRzJxbC9XRhaeXIj+53LJcN0vHqrpWF - werSwy985ou+c/vHXvCy31rfbDEuAQZ5kSKVhYnJ0sSyrM3NzX6/j6ABIUQKEIgwhghArRsiEGGE - EUJxHGvr3sD1er1euVTK0jQdRFCoPM3+xwf/+3333bd7566VlZWiKHSnudlpN5vNKIoYYyNjozMz - M3/1xS9W67WNlbXh0ZE24yO1xtl+yON0uFo/fOjSbrd7z333Hti3z/HcarXKLzl4/49+mGWZCXGa - xLVKtd3rf+222+743m3KUZwWcT6gBozDqOQGiEFLWmytGG9ONsfG9h28+M1vfZtVcZPVHNtUclEU - mUWsbBAlAtieM1SpDeIoTpPzp4owDHXyZavV8n3/SfWP6bfb3VpQKcQ5/xiW6czb5eVlPY0CmuoF - 5Y97iui6oFq6UBfqp5aUklJiUSvrZpTSNE0//J4/O3ni1O/9/uv/9AMfYD3e7/ejKKIQaaiV0q2p - hGaNaJcE27anpib27t2rG44gCNI09Tzv9OnTlJq69QnDcMeuHZdd+ZTl1aXVu9eyLNu1b09/0J2Z - mTEtqlOvNWRbLpd1D6Qlu9PT0xIox/GSIldKcSm05DsdREopTCCEECgkhKhWKuvr657nEULWNzYo - paVqKQxDJoparTa/tKh/Vj9FT58+PT4+rsOrhVK9Xk8ppa2E9Wjsfe97X6/TCQdRkWYf+MAHLj98 - uN1ul0olzf/QvjhamiDFVn7QT7oWnd+GNFQgpUQEY4z01EYpxQXH1CiKAlMDAKANyiGESZp4jp8k - yWOPPXbxwf0al6LUEJJplwoAFIKc8ejM2aMQSsPIW515v0R63TBJekryOA7X19cRAq1WS7+64zha - hQQhpEahZy5FUfi+3+v1SqVSURRhGFqW1e51C87iOORQSSkNy6zVam452Gy3Gs3miRNP1JtDEijG - WJplnufp1qfX673oRS86efJkkiRZlo2Pjz/3uc+95e9u1otEf96fyhn6NwpjrImkGtPSzVy/358c - G0+S5A/+4A8+c+OnMEK6G5uYmJgYGSMYFnGqmQkQQqmUgtAwKEQISDVca6yvrREu6vVKkiQ8Sndd - NvHK3/zNvQf2f+Yv//JTn/k0VhAhwEQBIZRaYs0Bx4DodC4OpEQgTdM9e/fOLsxzzg8cOPDQ/fdf - dviKd7/73RSToije8773bmxsbNu2bWVlZX193XPdRx9/LIyja6671vO8MBwM4mhubi7JUgihadtn - zpxpNoba3U7OmV70S0ubnuddf+11H/vkjQDBz3z2s4ZlGpb56b/4DFdys9+tNmtxZ1BIQQRsVIc6 - 6z2ZwEfvPboZtQKrdnjvVQY2AtOt25VHHj7N5gfzp1pBZQgCPNQYhlD1er04jgwqBoNB4NgHDx7s - dntFwaUQhmkLwc7bhZ0HYPTU9pxaWOhmVv+FsGEahLA8X11eGa41rvmFp77+9a/XtkvLy8vVavXb - 3/72W9/61t953eu++tWvXnL4Us+y8zgxMVlfXr31pq8dOXLkr77wl2EY3nnHP/zhH/y/N9xwQ71a - jePYchzDMF7xG6/4xotuKVcrBEPPs/M827V76gf33XX/Y0ee/8rn/tN9/3BmfjooObJgJcvtrrRf - 85Lf7q5nRa9759HHn3rNVbZrtPttYCBsURFzyzCxBEWWxXwApLJsUztStzpt0zR1BrWGoM6z4p9c - /5jOeouYBrGIYRgyVWmesiSXhtRp4RIIYhAOiv+DLf1CXaj/WOU7fpSHCU/KTlWfIvbv3/+ed733 - ienH6vX6LJ8zDCMIApZmjuNkWUaIY1mWvkM1zFwulw8dOjQy0oQQ8oJhjLU4xXVdx3EYE1mWlcvB - xM7tw9tGNABfLpctx+73+71+zzRNhECapoQQ27YVQL1eDwCg8QOWZCW3cl71QwixDJsxdh7Vlorb - tn3oksOHDh06ferUfffdF8ex7/t6OCKUGB4elkAcO3bsF5/x9IOXXDy/vHR2cf7kyZN5Lz916lRj - pEkoyoqC57zRaERRFIZh4PlasGNZVq/TP3DpgQfu+6FJqeu6Wnqt2a8/7gn5vz0mpWlqWZZhGFyK - MAyTPMuyzHMDx3IkEBqBjqN4ampqaWnJtu2oF0IIwzi86KK9lmURgijF/UGXUqqZlABIAFGaDWwH - CckV5Af2T731Lb+/vLrx55/8gm1bo6Oj+/cfzLJEv1Ut6k7TVNMTCyaSJDl79myapjfccIPevY8e - PfrEE08YhjE+OUEMmufp9++7x7IsSInneW+54U1pnimM3vGOt3e6XWJQCGGtVkvTVEkZhuHw8HAQ - BAcPHrz11luDILjm6U83DKPdbgMAOOcUEH3E/blqC6cngBDClSpYgRCqVCq9Xu/mm28+e2a2KAqC - sVKKUkMyDpTCClLThAUEQOUFV0gpIeI0gRBkaUoADGw3iSKYMZRzBSVBGDCxtroquVBqCxiTeGvJ - KQgIAYSfE4zYtr3RaQ0NDWklG+d8bXPj0ssvn9wxefHFF8+cPk0pHRoawhi7rltr1BdXlq84fNnp - 2bMHDxwYxJFlWZZrj1kTUkrH8/r9/tmzZ9c3N7TpJJMiyzLHdZvDw/1+/0ePPf5rr/yNJE+Ccona - dpqz2277ju/7YRIyVSATK4DyhOWI/OMdd623VuOHYmEB5RFlEM6FCS0ZnqYpGveHTp5YEoT8+m+/ - ZrW9GbJoqF41CKIYZlmWJ2lL9RiTSlKMCQRScqGUhBBqyrTS61spqFtR7X2nlGPbnLEkjHzTNqlh - mxYQUgpR9kpZkrK8WO2v+K6XpxlFBAHoOk6/11tdWrao0Wq1tu/aeellh9eXVwLPb29uNmr1X3n2 - LysuvnXrNx598OH5+fmpnTv27b/oK1/+8vDwsOXYq3PLI40hIKFghVLsfe9/V0pjVGaX9vcNwo5I - M8rBY8kjgUue8avPSzpibmnRg5aEyrANCWUchwbCSkkMEUGYMZYMQgih47mu64ZxpMfYepfRmKSe - 7D55/jGW67qZkxRiyz9GwzBMcv26CCGpBFDgAifmQl2on72iNCIGARxIKZ/61KfO3r+g+fW2bR88 - cODB7z2kW5ZkEKZp2mg0sizTqTg7duwwTVOjF81m87yX1ZZ1FsaU0m3bthFicKFqtcrEzu3YIikr - EEK1Wg0RvLKxxjk3bZvxXDclQogsz3iWG4ZRLpdLpRJ0/QMHDuzbfxGlJgfKMIyCszAM6/U65HJu - bu7s7JmxsbGLL764Xq8Hvq+xBIyxVMrzvE6/E8fxytpytVodGRnBGL/yla/867/58n333Te6bZRz - vrq5btmGFwQKgl6vhzH2fV9wMT4+/sY3vvGTn/jE+Pj4gw8+OD4+/uxnP/s737zNsiwNw6ifcL7+ - t7sYx3GklEVREIPWajXLdXzfl0rFcYIwztK05HgIkaWlpauvvvojH/nIm97we/3u4CmHL5NSYgzj - OFRAOI6tX4uxXEhGCIyinm1RxgshRLniXn3Ni1ubg0//+ec819FCqjy3XdfN81y/4WazyRjr9XpL - S/Nzc3OaNA0AKIqiUqmwVMm1AAAgAElEQVQ0Go3h4WHf96M04VLMz8+Wy+VOpxVG4b79e4uiaDab - G522TplAEvu+r9k2vuPqY+q73vWud7zjHa94xStM0zQN47d+49XNah1C6DhOOkiyLHOp93Otz/Nw - wPnLq8d5BU+PHTu2OL9kYKxBF4oBQsggNM8SwJCCCmNECEmLtNvvaD5GnqVIAZsaGCKoIEEYUrK6 - un7HHXdkQKy1O0opQkme55QQBYGEAGizO3XOCF6P5SzLWlxa8koBAGB8fDwIAoLIa17zmssPH77j - jjs+8YlP7Nq1a2FhgXP+2NHHF5YWgVSnZs6srKxIKYsit+2tTlxKyaWAEGJKeuGgMTQUxzHAaHlt - lTE22hyWCAKMXNfdbHUUVgoTjEWtUlpZWWrWxgBX1DSSgj1x4rQCDFoAK9qPwk7YRZCO1EeXZxab - pXpnueeYVpqzwcwJ27frIw2KoBKSKQEVwZjkWUqwCSHEBFGKi2LLJv1frXINo+lGXouKt3LnEdIG - l47jCM655O12u16pxphACAvBAQAbGxvlcvmKK64wLWt8fHxiYiIt8unpaaXUwUsuue6665aXl0eH - R6688spLDhxcWlqa3LG90+nccMMN73jnf9m1Z/fG5uZ11z9tbWHJgHRq54RrWmGnZzftJIy6rXZj - qJLBkCf5cLMBpKqUqrvHRu743vcYYBTjIAgQkI5ryZzleQohNg0LY5TnRRiGEijTsZrNZrfbHQwG - tm1rU0hKaZ7nT6p/DLMssW3bts1Oux214ji2keO6bg5QyHva6VwokLMc/dx2ShfqQv3HLUKIlMKi - lMf8yiuvOXnZmenp6fHx8e3bt7fb7UsvvVSPh7ACWjRq2zYTnFJ6+eWXc841vJpl2WAw8H0fAag3 - N30UmZycrNUaUkEApEZoJNoaRbW7naTIMIFFUXDBLMuilMZxDCEaHh42TXO9u5hlmSq4pvcSYhAE - /z/23jxas7Ou832m/Tx7fKfznnmouSpDJUWSSkgQAoYIFxGTMJqmL0QFUZDrVdr22mKjtN5WWkRp - EEUQCBKRQSGEFhJkTMhIhqpUajp15vGd97vn/Uz3j6eqQL0KccXVq5v6rVpnnVrrXafqfc/ez/4N - 39/nCyG0CbZtWym1trp6/PjxXr+za9cuo/bd3Nz0PM/kGUJKo8LZ3t5udba11sPh8OaX33L89CnG - GGNsc3PTtm1zLIdhWPASABAEQZIkzKLb29vT09M7dux46IGHd+7c+Zxrrt2xY4c50MzcHCFkhL3f - 7cj8wxH2Pwpz4pnnQlmWAKM8z6G2GHMQgb7nAS53TM+11jf/3a2vXZ5f0qWcmZ5bXFy8/NDBKAqJ - hRzbkZJLqQFQnPNB2IvjMBx2AeSuRyqVShLHaZooJYxABCGkFWTM4ZwnSeL7fqvV2tra2traStNU - SE0IMb0l01jqdrvmnTz++OOdfq836A+HAzvwLMuaG50znfKjR4/O7d5FKa1ahEuRJAkC0HyGpjfh - +/7rX//6ycnJ4XCIEbIJNYLfKIosSMzV8nQDYyyAEkJohE2KPBwOfdthnr+xtqmlBlqbEQfGWCgJ - McYYSy1XV9YLVRaiyLIMII0xxoiUvNBlGec5sShx7IIXC0uLJ5cXBmns1GpCSUZQEif1akMoZYpi - UqrSQtS8z263OzU3s7qxPjk5mZUFodatt976mc98xlCiX//617/vfe+74447lFK79uxO88yvBFES - z8zMaAwLyRFCiJKkyDDGRZ57nucxPyvyaqNObFYIDixc8LJSqwohjp04Pj09XfWDZBA36yNxGruO - F2ahU/OohaQsykJXaKUsSiUks7EqeX+7445UXOworkScjVXrlFjtYoAdjBwwNTJu2UQpmUaRzzxI - YBiGjOFafTxLEoIszrlUGiIANQLGrPtcGqnPo2IAAIbahJDSujbSwAr0o6HtOnGWtjsdiFCjORLH - SbVea7fbAIKl1eWZudk4Sb589903/NiNDz76yNjYGKG0FHxu967BMDx++tRgMBifnHz+j77gp19/ - 22AYYsu69tprH/zOIw8//ijwLNe1i6jAXPUGncnRpsy4hxxZiNHK2Ne+9vdHH0+phiATMNd7dlx0 - 5MjJS/favW6oNKhVao5FoVBYKaWlBkpoTbSAGAILCSWGSeQAaWomk1me7/T+G/BjZN2vBkHAURmG - YVmW1KaEECih0QX/CyfIhbgQF+L/NyCEQAMppY1xFEUPPvhgFdd//ud//obrn3fwikvHvPGbf/wn - O51OOowGg0EQBEWRKaCNBMH0RM/xWIVRj56Hx5gb2ff9ohRpGvMsQxQxx/Z93+QBlsNsm3EpzaP9 - bAHDHGN0YHYbMYTmZIAQQ4vkeR6nyWAweOyxx9aXVhqNhhm733333YSQ5sjIcDiEEDqO02q1lpeX - F5YXGGNGC3LixIkPfehDSZEfOX4sCAKVqCzLaiN1i+IkyyBGAIDzfJosy972trf9zjvf+epXviaN - 4ov3HXjly1++a2aHgdYYDd/5JEYpDSGE/2IT2BRaCCKtdVGU2iRktj3kKUFWOIiwBsfax7ACk42J - er3eb/d6vc7MxCTn3PMdhKAQZVFmeVYmSZJlWRzHkheMOnkuZQEBsx55+LE77/ySRTylgBTapC8W - xVJKk74sLy/3ej3zu3O9ACGUZdlgMPj4xz9eqVTMHH9zc3Nra4s6tuO5Y2NjSZkXRQ7iWCj+/ve/ - XygpgO73+9VG3bbsKIoQwrVarRZUkiSJ4/jGG2984xvfaH5UvVZ76L4HnnjkUXBuJ4tzzsjTo5H+ - I1UvhGfdeJDrIYR4WUKpGaWWRcqcC624VpQSpTUEqDvoA6C4LAEAOS+ZY2NCAMaKUBz4KYaiTMsi - t6ANCOIIZWEoEVIIa4wAgkJpDSAAgBBEJJBmvkjy1JTmls3iLM2zYnV19cYbb1w6s/jnf/7n1SAw - Ewff90+dOuUFAcK43e9dfNlBKWVvGNq27Th2IXjVc02lPowjodVwba2UolKr1ur15eVlhNDExMRF - F10U9voccAJQGSUEQF1wqtH26tLk+FgSl4hY1GMQYiw0QqrIc15KrIBnMYVVd3tramQqy+KpXdOD - aAC13uoNoNJTUzMEwDzNK7UqxhRA2mr3PNcpRaFKrpDG1NUQQKUl0EgDBYH5iiESWkEIIcEMMI1g - mZeU0iRJ87JwPI/a7MMf/UiUJnlRdAf97XbLzFbe8MY37ty5813v/oMoTeI0GZuYKAUXorzuuT/y - Ezfd5LquU/Efeuih//6uP/6rT9/x9t96x91fuefbD9z/kpe99O6//0p1pDHUean02pkz+6d3Eoh7 - W50K8f/mE5993kufe9/X7iuGQgjtu16WJWNBc2Wx1Tkz2NqI6yNjFNrtjdb01GzF8yue3yt6lBKo - YFKmACBsWZhgobQRuFSr1fHx8V6vl+e5SV+eaX6MNPyY6bmz/Jg8LLIs01Rihs+agyBtWZYE/xoa - wYW4ED+ckaapX/FUqSCEd9xxB6X09ttv73cHv/y2X6zX68unl43ks1GpQgg9z0sSRahlNDFmQTdJ - Etu2GbPKsqTEMpwYg38lhBo0LYSQUsqB2NzcbLfbcRz7vq8QQAgRCIFUJnWo1+uO6599QksphJBC - QQjTNNUaAoIBAKdOnVpcXEzTdHx8fGxsrN3Z3tzcdGxPSvnsa64xVK2FhYWFxcXt7W3Lsmzbzoq0 - UqksLy/HafL8F97QHQ7m5+cty7rlllvu+tL/WFldmp6dxVpprTc2NkZGRoaDcOfOnZTS1772tfv3 - HpgcGz/62BM7duxg5OzgG4DzsIzvor/+5RKKUqq1VlIRavm2z1zHnGxSSigRpZRh4lRrIivSLOkP - upVKUOYcAFCvV+NoAKEued5ut/M8j+NYCiiEsC3muZ7gMs8Kqcv1tfZf//UXHJchiDkXJrk0/YlO - p7O5uTkcDg1HVErZH4RFUYyOjh4+fLher09NTS0sLCwuLmZZ1mg0cl6maQqAgpQopYbDoVDOK37q - 1afmT//dPXebahNiRCn1Xa9WqxVp1u/3tdZf/OIX77zzTqN9GR8bg0IzxgxTw8JWnufMsZ/W9XlW - EA00IURCWJYFAMDzPIxxHMdASs8LlJRFUQANAYQKQwF0yUtjZMEYI5xoICmllmtrjHLJc15C386k - KApNXVsiqBCgvltkmUUtjRGiTELEpdQAIgAIAkhobmrlWq02CMNarRaGoXnCfeITn/iVX/mVD37w - g9OTU1/9yld+7/d+DyhtDIM8z+v2e9Nzs6fOzE9MTEzOTGutGaOGBogQYsSilNYqQRhHqCxczzu9 - cGbv3r1Zlm9vt8abo1rqUuZVLyhL4bruxsZGY7QiSs0g4BbJMtHqdXWpGCaNSoC1NTUyMcyGcTx0 - bWe8Wdc87Q9aOS02u+0dk9OjE3Vdylo1AAoorgb9lNr+SHNseeUMxiQvMoIkQkgDqQAE4FwPBgJl - vJMQ0lIZ+1ZCLakVVzLnpdBqfGZqeWHxiWNPvusP3z0cDGZnZ2dmZnrtjplTbrVbI6PN3mBwg/1j - d971haTIwjhitr3Vaf/JB/9sbWN9OAhnZ2ef+6Ln79ixo91qbW5uAgh/9/d/b2N7y2/W+zINXO/K - qw5vn1ndPzZXhHGNVm+49kc3ljcvnr3kBc9/4erGesXxdKFtyNIY7Nh7iczB8w5ctLa+2W8Nhp0B - yATV0GW20JJLKaXSAECkEEIIQcmVMTrxPM9gds1M/fzt/czxY1A0TAaDgY89z/OoZmEU8rLELjYT - OnihGXMhLsTTjGqlWoicF4oQUhTFwsLCZRcfuvvuux3PKsvy4MGDZVlubGwwTB588MFeryclJ9Qy - Wy2e50VRZOoW17WFEJRYpr73PI9zLqVWCgipHYcRh0GKOoO+UNyA0XJRKk01hEoL4/ZqhDVZFJ/f - UgRAOo5j8iEBdJ7na2trw+FwZmZG5uXy8jKx0Nzc3OHDhyuVyurKytTUFITw5MmT262W53lc8TiO - 8zJjjF188cX/7d1/8MnPfPrVr371Bz7wgZWNlcsvv/w7Tzy2tLwQRdHIaHN9fd3MO0ZHR48fP/72 - t7/9tte9ruJXA9drb24/eP/922ubBoEDzpm9/6M9yH+6nXQ+zNKTOc3yPOdKpmmKHWa7bqM5UqsE - WIP25hax7SSLjPRCSt5qbaVpzHnhuAxwFUVhFEWEUJd5hRZA43jICfY410CgvXsuDfy/L7m0LDsa - 5hhZtm1LxbMsiaJIa222ycxMf2ZmZt++ffV63bwdSmmr1TrrosC54zh5OJiZmbJce21txbOZ7bKX - vOQlt7/242a9hjHmeC6EsFGrh2GYDKMsy0zHy3GcarUahiHnHHBVq48Y0WqWZIbL/K+If6SJIQSb - jAIzZhwYhRAWpgghoRUk2HJtyYVt2wgo6jiEEL8WuNVAYtiKBsfPnOFCA4Kpb1NC4jiKk5xBVxGi - CMmVghbWAEitIcAAAFLIgmGGLRJFkRN4hkFUadT7/f7ExMRwEL7nPe8hEHPOLUzyNDVyzrm5uXa7 - PTu744UvfGG/37/44oufeuqpJElGR+pGA08JMU7upeBfv/dbZVkO+v0dM7PtdltKVa/X+/1+xfcp - wtEwghp5tuNRm1m0UatubqxVx6YBRlqoycnJy/btu+bqZ8V5B/igr4dOzRn2BrtGZsKFrYY7wurV - XpIgRHrt3sPffjjP8yLLG40mh6TVaWMyqFcb6bDvBz6EsuClVAoA9L2fvrnejXwHGeILhBBCQq1K - pRINwjzPO53Oww8+9LGPfWx6ckoIMRwMOp2OUaJtb29jjHfu3v3444/v2bcXWWR8eqrf7z9x9Igh - E1BibayuMUqPPPGEbdFaUOGch3H0rMsOnVxZ8D3bDfwza0tVxz2zvuJT+u73vDfKoxzmCUwzIBzP - ztOs4gSokJpjkFm1ajPJEwUkptYgijUm1HUcpMNoIAS3bYYQLkohSkkIJQQhhAaDQVmWo6OjCKFO - p4Mxfqb5MRhC2Gw2B2GY66zRaFSr1azIhCzNqYExNrgLg1c01EwFAfhn5HY/5CvX/8IncyF+qCLL - M4iRXwnKQZmVKWOsNxg4jlOI1CF2GIYAwenp6TxOBoNeq7XFGEME+75v9ncM1sys71arQRLFxkrJ - kFQotc1DUUoZ9ftBo1L1A7fqAYK32puEWbbjxFmsBKjXK5ZlJWkahpFNLFOWIIS4KEqeKyFzkdYa - 9SzL8jyv1WpFlrc2t3bt2nXoWZfNzMyUhWi1WmNjYxBCs/PIKKWMFFFGKL360NX79u2bnpv9+Mc/ - /s1v3+c/7BFC3vjGN261WldfffWO3bvW11fjOD58+LCZg0hRXjfz7Ie/81CtWu1udXjOx5qja0vL - HnU8zzsv+DMpC0IIaKD+ye1k7H7Pf4Mx1hBIqRUQiBDP85qjY14tKJAseLG9ORQlv+bKq77xla9D - qWoj9cFmvznSqNdqQghCKCMsV2mjWuNpqRXM0xwiMjE9hbDlB+7KytIg7DpONc9VWhSOTR3XtSjO - sgxhYIwF4jg2i2ZTU1NTU1ONRiPLS9dxzs70yxIj5DpOWeau605Pjl922aWN8dHD11z1B3/4bsuh - n/jkX938ypcTSqGWjUaDK+7YjDHW7/fjOEYaGKGSRfHo6Kjjsq2tbDWOsQI+cyBGQkqtdSm4TfBZ - I+Qf7CuXEhEIMCylgAhblgUwyrKs0MA1JXG/z2ybMaY1FEpiahW8oNCCEO7YsdNCyMIkLwvLtkqg - kixTGgKCbYclnCdliZRWCFmOS2wHAJgkCVQ6sH0AIFAaAwgBIBRRDaBSitl2XhaaIIvSLMsYs+Jh - SKDJahXGSEPtVnwoFYSQF6WN2UtuePHi/Jl9e/bPP3Hy9JGnarXaU/OrZVnu2bMrg7oo81a7/fNv - fcvX7vl7D1kpL5BQSTjcs2dPv99ntv3Sm37yi5+/U2PkOk4py7/46Idf8Zqb91w87fB0s7010pxO - wiiPhr/0Mz/zW+/8j7BRjlw5tuL3jj55asQf8Vb02BpspMGB3Vcur7WXet1PfPrTX7/0W3/07vfY - TiCE6mW9ar0CgcjD2NGISJhjXCiouPBsB0LIlcQACqmAVIRapiEBAMQQDYfDXbt2tVqtIs3Cfri1 - vvkbv/4bAAAjcZVSZkkKITR3CwbQ5O+UUmDhlOdRlppGGQAAKo0A0lxgiJD+7lTW2L+XRGa2KKHE - ClJNiUJMIVAom1jtXrs5MRaWcW2k1m5vU4Sw1JbESNu81Hal0k3DYKSObfSLv/RmLrLeoH3JRfvX - l5fTKGV2RQOS56WmGmCACDJch36/73neyMiI8bB9RvkxuWUxZCHGWJomCCHoorGxsahk88OTZydP - SmELC/D93d7Pd37/Qb75QxIIQgDPH7la6/POI1BDoL57FkMNoPqfmekghIACSil4jgwGFYZIA6jO - DRvPvlJrDeH3/ia/9/vvfz384GEmCGc/rnO2c//0Nf/LJIgaAogwspRUAABEiEY6Soa+7WEYxIOw - UquetdxD6AU3PH+k3giCoNfreUHF1NyMsUF/ePz48df9n7ft3rPzzOn5Rx55JE8zKeXePXvSJG82 - x1bWVpltt3vtsenJH33hDZOzU/c99MCffvADCkLOuVJqZm42y7JBGJrsByPLsiyhVVEUoiy14L5r - KwjSOFKivOzSS+I41lrvnJu5/OBlWZYVWY4IbozUsiwjFGMMJyfHg8AToty9c8f+iw4QRpeWly97 - 1qEziwu9fmdpdQFbdG5u7u6vfOXZ1127sr5++vQZhNDa2prneUWZYQtttbRQAkPMEOWFWFla1lLt - mJ5d39hQEtRqjeEwrtVqGKJ+v2+7TpZlY2NjQslerzM6Orq9vV0baeRJ7jhOPIwYY7btDocDx3NL - JYXSQgEFUZwm1LMqLoM+o8RaXV2enpvcXN0IowG0QJwmruPY1EmjOMwjm7nNAKOCSCndSlAdqedC - urVKLxx082EiykxDji0vcPM8rwZBHA+bzabWWkpw1VVXU0oJQUopLVVRFFmSOp6rBAfKytPE87yr - rzqMMbQwTPKM2baAOuNlc2zkllfe8jM/98Y/fO8f3fazP/3Rj32sEvhhGBJoiTLvd9sYW4xYBEPD - /du1c0fBxeLiGS4kAtALqpRSgOD+iy969P6HNUBZXpZSjI00s7IgGCd55jKbKwmBTNJs59zc0spK - NQiyopCc1xoNUeZhHDLfRQQS2xp0OqbNEwTVksugWhmWHQ1ApV7b3tiilEKleVqOTowOeh0MCdBQ - aWR7njCzIWQRrIGEopQEIKkxlxBDG0HFSy2UcJlb5gUGiGe5SxkGGv0LxN5/GgoCDEDOSwgBY6zI - 8421tUZt5P/6hbd8+lOfeuLh7yydmrcsq1LxX3nTLROTY6dPn9p9YN/8mTMIgPZ26+JLL+kOBzNT - 0yeeOj4+OVHw8g1veMNX7rnHMJWvfvY1f3f3l2+48YUPP3GfHXhUQErpxNg4iPO1pQWkyj9677s+ - f/x/WM7G/qmDmytbB+jkt9/9N+/6j//5/q8c+cU3ve3mn369BWiaZK7jQwiFKJmN0yLWWTbVHOPD - JE/S0iHIshixoD7L5AEYE4gUAkCqUghCiFLKdV3P89rtdr/f9yadubm5b33rW1/+8pcZY8yixsq1 - 3++bU1JKSYllhGZaa4CgHbgCaACAEEJxQTCm2AJKI3neAAAAgMzJzYnMaMGhhIAABaFADrIJB1W3 - kqUpgLDT77gVF0DlOQ6UoshKhl2ASSGVojBXJfZIq9MaHatKrRaWlqbHJ1bS1cFgGHg12yYAYkRB - nMRGyRtFkVIqCALf9xFC3e4zy4+BWZYBeJYfU5JSQ40tXK/Xz/Z1EeKSA3J2L+4Hud5+OOO7tc4/ - 84Lvsy16If43Csuy4iSp2BVMLSGE1OrAgQPfvvf+K656VlkUxgio1qhvr69lWdbc11RKTUxMUNvx - fR8AcOzYsZMnTp81ESwlY6zZbMbDKM9zz3dc12WUua67tbXxxLEnXzw1iRDK89zY+lDXwQRaFiuK - AgAgtdJAa41KKc77KBHmaK2HwwGEGDMaeO6uHbMAEcuyeJYHQVAUBedc8dIMoF3XbTQajDFqYQBA - ybllWQDCiw9e2u12qW2lUez53sLS0uLiwu7du6+55prVjfUHHniA58XYeLNSq1kUSy0ghmezN4ls - zKJBxLC1Y8cO03nKeelXgrIsiyyfmpqSWiGEWp02pXRkZGQw6DHX4ZyneV6r1bSnTJu5Uq1zUXQ6 - nV3797Z6XaVUr90lQ4QpvPzgpRsbGzwrw15ofMIVVmXOlQTYoow5QEsEUZaFu3fsVkqVmmdKciCS - Mk1FTl1HQoAdWwCkhFQKKKAhhLZN87xk1GFMaq3LMldKeY7r+36WJwAopfXW1tb05GQcx1ABDZTQ - wEJQyBITggn87Gc/+/iTR8+sLrd63WMnjydJMr84PzUxkeepsbHjXCZJjBEIgiAIvDRNB8Mwz3OM - sW07nud1+t2bb76ZZ4UXBJ/527+J49hIO5rNJud8c3MzCIIgCCDUnU5namrKLOqnabp///4zi/Ma - yKBWHUYRxMj23GGaTE9Pj4w2RSmjJBn2+0FzJOqH/cEAWRgAoBSo10cGg4HWsN4Y6fV6eZ4VUen4 - HmQWBloWBYRQCQUtbFkUSKWg2aU2QBSINEQaKA2QBggABP5576R/Lsx6bZqmruuOjTU317ekFsur - S1yWtmdrrQfRYN9FB06eOv7UyRPEtd3AR4RcdfXhTq+bpunc7l2zs7PtbufgpZfNz89fccUVF7/m - wF994o5Xv/rVf/WJO17+ilc99tTjUOH9ey9aX9tm2pqdGudAXPe857lOENAKK3owwruqs6uPLqoS - 3P3Vr731Df9PKazDV18JgWw26s2ROgSCWGC0UceElFl6YNeeY489YcpbLSWhjOeFISWYd6QRVOco - CGVZGn27UmpialIolZeFFwQKgLGxsTSOC14ihEznBkIIBEQYAwAsRhFCCugsyyx6Fg0MMVZaF6Ik - ECmtFTjfZFAKAg2B1BpJQMxvSGEkMSJWHMWiGNrUIhiNjzS5FAXncZgjgjGxSwCTJKKUQIWAKiwJ - pkfq7Xbb87w8k2WJduy6aGtju8gKYG7z73kUmkTE6GM8zzPOCc8QP4ZACIWUmFqYUkPTKnjh1Fil - WjmXxEAtLzx+L8SFeBrBOa9WqqpQQogoimZnZ++7777ZmbnFxUUlpYDCcRzDQahWq7ZtGwshi9lF - UWxsbKytrWGMm83mwsLC+vo6gpoQ4rouAMCswkbD7dNn5uN4aB7km5ubXIssyyqVSjccjFfGZybG - 293WYDBQQNq2LbQ2fHNDfKm5vkloPM/XGJmlbogt13UjpY0cx7Isi9EwDAEAxoSyLEvXCcIwhAgR - ZYXDkBZ5p9PZvX/3pz/96ULxX37b2z760Y96QWV2x9zGxnqaJvt27wFQLS8vIwwKnkMMTeloEwcK - ZVs2L8ssSfxK8OTxp3zPC4IgTVOlVBgNHcfp9XpTM9MQwvX11dnZWaXA9vb2jrm5MAyLrDS7DsPh - 0PXser3e63WCwC/LstFo5DytN6v33Xd/pVIhADLGgASDzrDkpeu4EKMwilzKZCkg1M2xsSwvSs6J - Y5UldwIvBxJiVPDSzLNMS9Lgy016BwAoeX4etSqESPOsCAe2bUMIfc8bHx/f3m4DgAAGUgkAoYKI - l0IhbCHr1InTy4srx0+dnN4xO4hCz/cDz+v3+7ZNzT8ntUYWoRaujzQIQa1Wy+yfNxoNyUWe59Wg - cuOLXuTbzo+94MannjzW6w2ufvY1S0tLcRy7rj0xMWF2cS699NKnnnoqy7J9+/bNz88XRXHFFYfu - vvtuZlvPed6PfO3r3xibGC+l+OznPzccDvvhYHR0dHJyfGt1Lep2mecXYTw6MX7i9KmgWp+dngYE - VaqVXtSnHsOSUAwIjdgAACAASURBVOAigkslsyLthT2MsUIAaCA4J/j7b0s9vSRGQSCEKKVABAOk - 4yzGFrAprTUC5pA4jjFEAOlTC6dmdszNL56p1GvL62v1kUa72ykEP3DRRUePHmWuwxz7Fa94RRAE - r3rVqyYmJv7yL/9yz75917/g+RPTMz/3prf+yZ9+gAjcDKrpMNVa+NWKX62Fg0yn2BF2vJFJDS+Z - u2Q+f2R0cjriZavdrdVqQvCyyIo8sShijEAo/cBf3F79zO33P/fHnt/t9iXnGkDOudktNHZlQghI - zoK6jV7aqO7NQ7rdbgdeIKUsBW+325TSwHGMpst0VqWUZv4KMTbkaWJhZWhvhBiMiuBcY4i+p37W - ECqoNYAaSgQw0tACFGqCMLOZSyqEEJRlaVYWvs20FJ7jFFwqiCQEkCALUs+lWRbrIs1l5vm+g1ng - VqMkOzW/PD4x1Rid6HU6aZzkeYYwNKYnZi6eZVm32zXLCM80P8ailAKMpBaWZfGSK66grblTGmkw - xghCqP+XaeVfiAvxPz8syyp5qbm2tR4dHb33W/fPzc3tnNu1uLwQ+H57sZ0kiWlymM6E0eOnafr4 - 448vLi4WReF7lfn5+Q996EP1en3/vj2VSsW1HXPQbWxsrK5sdnpdAFS1Wn3yySfve+iBjOfAwkHV - n5yc5JI/9dRThGLGWFakSZIgYGGKzkMvjbrO8OOzPM/zHGIkVC6EKPIcnOPQB9WKUc5KKaEGhsLl - ui4mJC+LSqVCbLa8vPx37//yJz/5yVa/s91uB/Xq+vr67bffvrqx5rouISQc9osyM8QaqRRCsFqp - aSElkI7vBcC/5JJL/vufvC+oeEmafvT225MoMqtMWmvbdcqybLVa09OTCKEsO8uPGA6H46MTAIA4 - jh3PjqLIq/qIWYM42rV/byHz8WC002/dcsstvV5v4fS8KEWe5EWeAQ1LKajrCCX70ZAgUA98ISTX - ijhMQFltNqIicwO/Fw81gllZCCUNqw1onabp2toaIUQIhTFWShnc/zn/H2VKR2O66TAXEQyUtl3H - SIqFkmYfpSgKx/GwRfqdQSkLwWVQrXa6LcfxMM7DMCTUrdfrzMIIoeFwOBwOjbGd1trx3ChMe4uL - r7v13/fbvVarNTk9NTYxmed5mqZjY2MAqF6vRykNgmBzczNN0127dm1ubu7fv78oik6nc/Mrb37i - iSeOHnvy7f/5N9/7/vdtbm1xzpljO547HA4TnEBqYUqVVLWJ0XavizH+8j13Hzx4cHlxyXEcLbnj - OJbNkiThWjUnxryqP8wSqTXnAhNCCAE/gCPN0+7ElFJYlAIMS1ECBIJa5diZo45vQwLSIqnVGlCp - L93z5euvv35idrofDf1KsNlpQQiLslxZWZmYmBgmcZHl7/zt3w6CYO/evYyxYRK/+RffkiTJ5z53 - 502v/Cnf99eXN8o8qdUq263NVtj91Oc//6KX/x86YSy1Hn784e12++COvddc9dx9lxz684999Ofe - 9Esf/shHfvX//nUoRJEmWRwl8UCWqFJ19uzbtbqyYLkMDKHMuQYIEKog+N4/JtM767+lFcQIEyKl - 7Pf7o6Oj21utwPfHpybbW9sOdrSUWZICpaWSWiqIIGNMKIUIZowBBLHWGONCcF6WZzE0BqMHz250 - A6A0QAooBREEmkKEAKKKiAJIwZM0ATYueUEcVCZlwkvFBbYQQEgCyIEqypwS0Ot1A5c1K0GWJZYE - Vdvtbvdst+J69uZ23/OqXrUGoY4jcV77YpaSzI6DSVyeUX5MJIQgmEqlTAqoFShFmWVZBAbmLoXq - LBbp6V5yF+JC/NBGWZZKa495sIRKKcdxqpXqX/zFX7zpF37u8ccewxAbtez5nec8zxuNxmAYra6u - GmMBoBEAoF6vT0xMTExMQAiB0kqpkhdLS0tpUjqOgxBI81xqdfkVhyyHPvLEY3EcB/XazMwMplY/ - 7CVJYruMcy752fVG27Z93zeABoxxpVJLihwh5AV+VnAppebCYHDjOPYrQb/fNwaHzKKUUqBlHMcA - QmwRTK12vwcAiOMYQq2UbjRGclFWq9VutyuEaDabJc+TJHEo0wAwxvKiKItSEa2V8mxbKeFXGw89 - 8sjs9PRP3Xrrz9z2s+98xzvr1dovvvnNb37zmy+++OK3vOUt73jHO6644opf/dVf/a+/+/82miO3 - 3XbbH777jw4ePDg5OXn8+PFGvbpj187jx493Bt3n3fijD37noc/f9QXbd9KCNEebd9xxh5Ty+c+9 - fm1lVQKNbKbCHDLU6rRPLy5cdunFru0USZwXuef4BS81Br12KxWlCntFWaZlQSwLEmxoN1LKJEs7 - vS61GdSGZ6jMMStEaU5LABClVCmQZlng6azItVTMscsyhxAiiwgh4jSJi0SW0rYdAWVge8M4ytLU - 9/08z7niQiuXUtu2OS+ifj9LIgCAX61UG3XTscvzHBFs2SzO4lNnTk1OTg6H8ZkzZ0ZHR4UW7Xbb - cZx6vXr81PFms1mpV8I4XFhesGyrWq3ee/+3r5bF5OTko3/36N13f/miiw7c8ck74jS1XTeoVkhh - aamaYyNA6fbK6hBIIIRfr0yOT2VlNjo1VhSFbVcmJiZc3+v0usNkCC0UpvEwjrxqhVlMAyCEQD/A - QuvTTmKM/DgvCsKIxcig3/3oxz/q++7k9MTo1FjgelvtTnvQ+cRff3Jubm6r03rDm34uSVOjlg/D - sNlsGij15uamMTdotVqMsadOnhgbG/u9d/3Bzp37w8EA5NFEo9kPO4Uo5/btntm9+zOf/eKx00dZ - w94d7N1b36dzDjj+m89/CQL3fX/6Zy9/+au55rwQgnOINIBa8qLTbR08ePBlN9987OhTshRQA4tg - YlnmkWzKFyOzN0/3NE0V0OerBM65oRpcf/31hw4d6ne6o/VGo1bPkjRNU9uiaZFLrQi1Cs65FCY/ - YBgHQaAgSNNUA2DgmEIIbBb/oAIAKAAUOouowVzgEvpWQBTVkCV5Rqpspb0yOhFokCMlIQCy0AUH - mriMOrzMPUag4kjxMk0BAF/56tfW290iHUzNNPtRUqmw9c3N0ZF6baRBqRUOBlmWmSotSRLHcXzf - N41W8EzyY3QUJVJKCbTUUmtNKVNAlTLPsgyec4UFTw+kdCEuxA97nLNE1pZlmdr97W9/+4kTJ1ZW - ViCEO3bs2Nra4pyPj48bOYsZ3ZZlmee5Ibj4XmXv3r179+71PM91WBzHBOGzvZMsC8O40RxRSjYa - jSsPX+XXq0try57nMUWZbW9tbZ2aP91o1k1TWSlFqQ01MK0Cs61tYyvLMkJonKWMMQ1BXgrOuWPR - JEmUUoPBwAt8s9V4flEgzxKMse04FqMSaCllFEVBJdhsbQIIAUZO4CEI4ziemp6ilK6vLsdxzJiV - 5JknPUSgOVcrQWBhggFOi3SYhLfe+h9c2739Lz9244tvrPrVv/3859/0C78ghHjokUcuO3ToxS9+ - cbvdZo79a7/2a48++ujY2Nj+/ftNU2pyeqrZbPbC3q59uyDU99xzT6PR2O62cAG3tjeuu+45vV63 - LEuhJEAYEaJsrIBOefHwY9/5zqMPAwBcRnlZFrmsVHwBZaHErgP7qOuUgmsILMYsy8IYKwiU1p7n - TUxMzMzM2JQBAMyJCqE22YwQgjGnKAoAsRBi1649JtHZ7rTzPAcQIgiJZSV5dvzEMa3iQRhddfWV - 03PTtsuiPPnqV786jEPq0PHxcccOzGeb5zmGIAgC48AVx3GSJLZlO8w5efLkW9708x/98490et2f - ue1n77zrC6vLK1cevqrf7d13/73XX//cze2tk8dPXHrZwWZj5IGHHtyzZw9zbErprt27Z+dmPveF - z0/NzlRqVWrbE7XqxtaWhsZ2kNYa9X63t//Q5QQijNChQ4cmxyYNucNxnDAMC85PzZ8eFllUZIFt - MWbbSkqgy7zAhGCMoVTfh/Dzr0hiNARJkhBGAIL33n9vs1GbHJ9Q0HYDN80zrrgXuIjgoB6sbKwx - 1/nQhz9MHbsXDqanp80so16pllnu2c5gMNja2lpbW6s3GpVqdXll5Wvf+PrycrtZaxAqeJpPToyf - WV744/e/r14f+dY3H7roov1R1N9a2BqfHuu2h0gBAlPfGzndXXMs+ku/9Mv9VgcqqIRmFoUArSyt - bmxuK6UsTAkiNnaoZXN+1ljAzIPAeakHRoprxhilNE3POj+vr6+P1Ef27dsX9Qatjc2Fp076jgu0 - NqqaUgoNAGEUWthiDGLU7XaRUoQQTC2ttUFrQ6SFEPjcdoaGQEGlz8ljXGSpTLnAAxwBjbpxOHfJ - 3ImVY9YqADiPk/5Io6ELiAGTnGBNPexmUcIsjKCmCP7Ey266976Hh+Hy+NgkQXh7c3NktFnkyXAI - qVWzKK5UKsPh0MytzVjH3Eta62eUH0OCIOBpIXl5Dn901q3a4H0xxgpI/Q8kOhfiQlyI7xOWZZWc - p3nqguCtb33rf3jdr/3mb/7mf/3d39u9e/cTw2GWZY7jmH0rc68BAAzla/fu3Wma1uv1yYnpvXv3 - GuRrksRCCNtlaZr6gTs1NYVRt95oNBq1+mjTSPKNR73PvDPLS4SS2dlZBWQURWaoJLlG0Hg7IkII - JHLv3r1XXnmlZbGkyBljpeAAEYSQS1mr1ZJS7ty58wU3/OjIyEin0zl9+nSv08UYAy0NXCROk0E0 - 3Llz58GDBy+5/KAbuA88+ODf3vl5hUClXo3iOI5jIUQYhmblwrIZ51xwZVnMUImrQSUZJnma+b6/ - ur7uULs2OhJUK/1+vz3oVfs1jPHi4uLMzMzRo0eVEDMzMw888ECr0/EqXpRGRVH0wp7jeeubm5tb - Wze96pbtXoe59nZ723YZstDk1PjCwsLExIQZqTiOPzY2NhxGDnWiMHY97/AVz2o2m8zClFIIcKVS - 8esBpOSP3/++KqhZjHIpmKZKa4gR1FBr7fn+7Ozsnj17JD87ZQMAGJWCxSiEMEmy9sLCyvLa9vb2 - La98VbPZlEKdOnXKCDPKLFcQkJRVK3WpQJpnY83R6667bnxyTEB11113mW1tx3UBgHGUnBVie7Zx - tO52u1IpKaWAQhJdrdekUsyhWZZ1eu1SFLbLFJBZkY6Oj9Ua9eOnjzue3Rwb2drYrNQC5tA4jRzP - zsvCcV2N4NLK8gsP/NhWa7tar83MTPfCAbVZmqZlJCBGUZa4tiNy/uSxY8ePH5+enn788cdtxwmC - IOdlVuRciUqjLpQMkzjnJUOMWNbZTcMf4B552kkMhNBiVClVynJra6sos2gYUko7nU6n0yHYsm07 - y0UURc3xiZLzQnAFdKPRWF1dHR0dPc94RQj6vr+6ujo2NpamKcLY87x+Lxwfm+y22hNVmqfDKBs0 - Go2nThwfRplH3IXTi3kW12qV00+dKXhhYcvzaxvrD/terRkErfVNz2EHDuxXEgz6YX2iOT42WUiu - EWSYSg5QoYo0LyQ3NEZz3RijV5Oc2rZt7OZNBSOlrFdrZZbLvFyYnz/8rCvHm6PNWl2UfBiGCCGL - UgV0xkuuJPOcKIqOPPnkt+79Zr/fV0o5rmtsOBAGBGGlFDo33VNQaQgkBAAArAEUyEOeyJSFqB2w - F/7kc6cO1HM70ixfby9PTYyVEXeQBwvKhAsT5JEAAKC1vve++za2OpS5vlcdpGkh+N7dc91Bf3J8 - rNPaapV5o141LaU4jhFCxmksjmPjDPeM8mMqlUo1AwmPpUJSKcUlV0BBAs1rEEJaK6nkD9/O9IW4 - EP/6yLKMMcZspgaq2Wzu2bPHlX63202S5MCBA8ONYaVSEUIQoM0j0NQYQRAcPnxYKeX7flkIAEAY - hqac8DyPUZbn+cTk2MGDB3/kOSNSqzxP66PNMBoSh/WjQVEUcRoJITTUGkEuS9/3NVRlWUJNjLgN - IYQxLoRwHKderwuhiM08zwujIbaY1rpMs9OnTw+Hw8nJSdd15+bmDBfeNIMJhmVZZnm+3W6dOHGi - VNKYPV1yySWfu+sL65sbUzPT5vWtbicMw4mxUWC0t66rlJJKYYtoreu1mtRKaJUVeZEWhOGXvPTH - 7/j4J/rh4AU3vEBDlCTJa15z66c+9SnXdacnJrc3t1bX1y++9NJgY/30mfkde3eLojyzuPCsK6/I - 8/RLX/27aq1SbdYBVM3RBkQIYLC91VZK93o9zjkh1HYcKXSDUtf2ms0xDFGlUctF3g/ToswZdeE2 - CuMwzrNas+GayY6UXIqzzjZCFUVRnvOLNv4PAADXdRljnU7n5OlTKysrSZIRQhAkExMTURSNjIxw - zhXQvV4vK4ter9dqtdrttlKqFGWtVhsMhp7nJXEGGQQAVGq1IPAGYViWIs9Lh1FCiMEVFkURRZHj - uo2RkbA/zPNUCB5nKSQYU8sJ/PXNzVqtdtmhQwtLS1EauVUvqFXTIlcQSASoY0/MTj/++OPAwpc9 - 6/KV1dVS8Je+7GVHjz05OT0VxlFa5HGSpFk2PT195vSZycnJoiiozf7kTz/wG7/+n27/8Ecefvjh - X/6VX/nAB//s3m/fhwgmlEJE4iJDGBNq+TYD5/I5CMAP4hj89DsxWiulzkJQIKzVapurq4uLiy96 - 0YuEEMMwAudmKKaUtxhNstTzvDAMB4PB1NRUNAgNXg4A4NlOp9MZHR3NsswiBCJYlFmjUQMqZrYF - tUySREBrtDneWttuVuvEw1nOSylt12OWfWZ+aXJi1nG8XndQr48CKYTQGFPLcqQAUikldVEISEmZ - CQdYNnMJlEJLg0IyPRgzg6TEKvPCPN2llEpIBKAWkgDoWNSxGAHwS3d98dqrr/nrO/4qjRMppYZA - aPWym2/66je+fvmVVzz55JOHr72m2+1alJZZZtlMCLFrevqGF75gamIy6g/e+973/sSPv/S2227r - h73f/2/vWllbi9PErgfJMC/ScLQyCqXyq/bpM0dPbBxF48CdoK1k8877nmKSTQbj+VZy6eRlI3qq - CNXha5/zs2/4ha998/5cQmS7CuOg4nU6LYJks+rHYZcRRCAaDIZBoCuVCqW03++b9pJSSggBITSL - A88cPybdOT0ntNrqn1UKJ1li1Dbmp5lM8UIj5n+bUEphAIzjmHmkIYTMAtp5Uqo+Z3L7b/2fMf+E - uanxORUXxpgQYBJu+A9NXv6t/z/PVJgzqigKAthwOAQA3HTTTZdffvmb3vSmL95118apjV6vRwgp - kti82CAParVaq9WilOZ5DgE2YhSEkGN7WZYNB2GtVjNdnKIosEUsyzK4BDvwjMmOSVyklsY7SSmF - CBRCKCH9umdWpUzbxqwCuK7bG4Z5ntu2PRjG6+vrJ5481u12x8fHG43GysqKZVkGR2vgUlmWLC0t - PXHkCMTI87z+1maWZSMjI9/85jcppdPT0/VGo9PvciHSNB0dHe33e0EQnGX/KIixVa3WHYdFw8Fg - MFBC+44LNRJS2q7j+G5aZsxlcRp5vpeX2eyOmSNHjlx77TVRFHqB61RcGjLEMLYQYx5X3PZsyyVJ - mlaqVb9edTwXYTxMhuOjkzt37hwOh2maLiws1Gq1MI6UUHv37hOFXFpYHqnXn3P9897/vveOjTTD - cHD1s58jlHzqzEnq2tS2u/0epdSvBEjprCyKoiAAmTdidpFc17Vte3V19fjx41EUdTqdOE0YYwBA - 07fmnG9tbSVJMuiHp8/Mz8/PJ3lm5nRmxmS+hmE4Pz/vet4gHZgFNFOKcy7N3lO1WiUIbW9sD5Oh - 67oWtfO8NJnNxNQkQKDb7+7evbvguRe4Bc97gy5zqAYgzTINNaGEOcx27d6gZ7t2nMYQw5RnKc+Y - b3cHHYhBGPb9aqUoCtOnT/IMIIAtzBBrdzuWTaWW3UHvD97z7iuuunL/JQcePvKoBiDJs6BakTnQ - EEilgDormjyrhvkB9kCedhKjlGIWzbIMSAAwKLM88CvXXXddr92Lo8RhdpJkjuM1m81kGDmOHSax - 73nGJqPRaMzPzzeqtSAI4jgpiuLAgQMbGxvbG5tBEEghKrXqIEwhxFplWhbaAo1Gc6vTi6PI8xxg - Aea4ZTKkmA3C0Mbldc++dnFxtYhzy2IAYiHKfi8cbY5rhcpSZCXXGHq2pzjwHB9KkOQZoViB7/oR - wu/x1zDZjPmr2dbJ0pRCkkdJ2O0lw+h5z/mR17zyVZ/8+Ccqnm/bdlYWtuv81n96R7/fv+31t33s - 9turQaVer2d5ThjtdDphGM5OTX/g/X9S8fyPfOjDWIH/8lu/vXfv3ptv/snb/v3r/svv/o4oeZpl - NHB6cZ8VQ55kThVXa84f/urvlyy764kvbKbNG266PmvHVqoWHjzx0y97tRM2HVA/ubSsABifnsuF - jvMiF9y1iR84ZZFwHjPGEIZFlhNGz28u1Gq1TqdjlowAAGY+/czxYxRjVqvVsr2z/JgkSSxmSXLW - HtZkM4QQCcTTveQuxIX4oY2znj5KIYS+8Y1vnDp16nOf+9zdX7pnGId5lhntmtHgGwGfSRQGg4FZ - NrQsC0FyfpScpqm5hc1tq7W2iMUYUwoP08T3/SiK4jgmhCggpVJKK8aY1CLPc6Kx7/ui1GEYmh6P - EIIC5HleWZZaQyP8P3LkyIlT81prhomBuZ0+fXqrtW2qoKmpKc91H3300dOnTqRpalFqERJFked5 - 99xzz9fv/SZz2Y49uxuNxq69e6+beM7JU6cUBI8++mitVjMjb8uyoiRp1pqUWJ1OT5QFpVRjkJUF - 0mbXE3ApuOCWY2NGOoMusZlEijm01qgqpAZJ6PpelMRcKyfww36fa56LvNvtIIIggafOnFpeXvaq - PgCg1+sdObJWqVRqtYrv+xhbcTyo1WrXP+8Fm5ubm5vbr7n11uue+yN33PGXp5fO/M7v/E6tWp/b - tRu71v0PPjhIIkRwUKuGvb5jUZPoa6HyPAdaG8PtwWBACFlZWZmfnzcZSaVSsW27KHie53mWUEq/ - 8IUvVCqVPCt6vV4puAHQUdumlJZlMYwjixFC0H333Xf/g9+emJ3as2fPMA6X1lcoY0EQmEeA7/tb - GxtGM5DneV5whBAvSiXEnV+86zvf+c4D9357165dC0vLX//mN6pBhQP1xGOPZ0U2s2P27q/cw4uy - M+i3traPnzr5jt/+ba7KY0eftFx64sSJcDj80z/7s3avW2+OrKysTExO244TxlG3252ZmVlfX69W - qxaleZ6PT02urq9VatVbX/vvHjvyhFQKYOR4bhRFFmXgnMTi6XKwnnYSwywqhLAtGygdRVHfohYm - oyPu6uaqw1yKiZQw7A8Cv6pKQVy0c3buzJkztVrNtu3tjc39e/Z2u93hcOh5PmPsyJEjjuOMjo4y - i7ZarSKJPdcRRc4cW3Btu2xrazuo1CCECY8TGUZhRH2HUccd9+KN/ukTRykJIEBKqbJUCCOAyWAY - KQ2FBBATixAEsAYSKIWxZVG7VDkA/4DpCUwBJ6RlkhiltFKWjQlEXJYjjebs5FTUH1Bicc4921tZ - WdmzZ09/GBZFcfzkCQnkYDC49KJLbdsmhDSbzShLfd839l1LZxYuu+zQFZcfCgfRzMzcg/c/8KzL - DzUbo4ywucnZud1krb/tVoODF10edf8/9t48ztKrLBd91/SNex5q6OqqnockZOgkJgiEIZEAAiL3 - SsgBBRFkMGDA4z1B5ajX6Sp6PchVRH4gAueKDCIBMRMhJGSeO93p7uqpumuuXXve+5vXWu/5Y+2q - dOLxaDy5v9+9v5v1R2XXTtc3rG99az3reZ/3ebt525mZHo+iMIyGPd2tVqst2Wp3OiXX76ytFkte - u71+zXk/nvT5/FozjJNhENbqY8VyVdgW4QBa2YIiqDSKhO25rhtv+L74vu+6roEdRhBnSnKY9kL4 - x4Dxj+G2ZXu2lDJOR87fJhtTa03Y/5d2wC+2F9v/G5qUEgE44ZzzhYUFy7Jardbx9RNIdLVSsYRl - jLv6/d6hQ4eWF5dc142iyHJco8GPoogAM7McpRS1NPo8xhiCQkRGbcIoooqyNFfIh1mysLQQhiHl - hNuWKcZmnOXM9ZgUAWNRoZTSBDblcX6+sLa2dvLkSZPJGIeRlNJshyYmJur1urnUKIqMamdmZmZ+ - YaHVaU9v37awslytVj/xiU+sNhrNXmdxeemKK65otZsXXHDBX3z2LycnJxfnz9RqNSPp2LJlKyEk - y1QUhFplrutyLijhthCI2A+G3BJAqQbktkUTlqlUamm5NrV5pmUkE2qzYRwIzxKeFa5FuVIxTOJM - q/rEuNQqydJKrdzp9RzHoZT6jjs+Pp7L5ZaWVqIoMcH346dObp3csnf/vqnprdu2b19eW62NjV3z - 2te+8Y1vuviSSw9ccelDjz3qMhcBBoMBAJjaDqYDuRCc0JznF3L5Yr7Q7/ezTGkNtm0zxsIgbDdb - npebnJyc2bZj9+7dYRhLKU+dOjUY9pWWjhAEkCKurzdsRxSL+Wav87d/99Uv/M0X5s6eNv493V6X - mbAfIca5eGFhgSASQgr5ktZaamXbtuRCMHb48FPHjx5Jwmhl1VpvNReXF8NS+YGHHjj81CHLsQv3 - Fn503z2TExPDeHhmbs71vPnvn6nWav1e74GH7j8+e9L23MWV5Yktk0mWliplBNVYb4xPTq6vrwvH - LlUrKs3Gx8cHw+Hs7OzXv/m1l73ixwuF3IkTs1JKwW1pZAlKPTPoDQWD/9bV4nmDmCRJdCYB0RHO - T1/3lgvOOz8c9vN+bjgcEkL6/WGtVjPZPXGarKytlevVv/jsX6LWZvve7XaDINi+ffvi4pJt2xMT - E67trK2tZZZljN16QUcIngGLVSZjENz+6A03VmtF6tFm2nJnip2oCwC9xdZ5xWk3dlQiBPeCNGZU - PP7oo4cOHWKMMcZs3xOotNZxGOVsLwxjybVwBCT/nZsihGilTHqOWZullIwxy3FN4aRms7l75y4p - ZYLZ1pnpdmpA8AAAIABJREFUYRgwxrZsm3YKOQo0SZIgDrIsC4JgfX19EIVhGCJip9NxLbter1/z - mqs/9IEPWpx/7nOf/+M//uM0lf9w87d6vcHiieXSTL3f7y+eXPBtX4XhMJi++LL9KtOUcYi5gzlu - U55pl+UyoavV+pmFxZK/pTvoMkG5IFJlji983+VMy8TihJhy8LZLhWUlUpqXx9za+Pi4qRuwiUhe - OP+YDDb8YyDDQqHgELfVaWkiN00FKaWpSp//iHuxvdj+f90opYKJdJAyxsz+oVAoMEFN9jIhxHXd - znpjfn4+ieJKpSKlhDAyXpSUUkbFYDAwZgqcGT4msCzLdkSSJDKLNSDnFDhbXFx08r4QgnOeysTJ - +alMgyCwXctxHKmzIAg4tQ2YWFOKUqqkiqLIcZw0lTgqi6jMtodzXq1Wt2zZMjMzU6qUDZmklAqj - kDEWRdHs7Gx9bKxcrcRp+t73vndiYuLee++98667IpkurSx+4rd/6+jsUZNjEYahbdsGLRkvnHa7 - nWSpZTkUbKlSmWmTP/XEwScR6ONPPK4Vuq5z30P3O5b9nVv+8enDTw36Qa7gP/7448dOHP/29779 - 6GNPnDx9yrvZX11eXVxcYN/imUxOL8x941t/HyQBUBolcZwmhIh6fdyynJWVFbMJHA6HQohCoUAF - n5ub+8iNH/76332tWC4KIYZhECVxlMS2bQdxZNn2YDColMuaUA7E3L5WSDVqhCAIer2e2TEyxkxx - TUrl1NTU9PR0rTYmhMgy1e/3PcdHRC1VNAyyLMnlcrXxMcbYZZcf2Llv1y233h6r5Jprr/nEb/7G - 1T9xzdPHjjx99DBjzFTDNlV7Uelms1nM55VSLmOGwMtopsyHKNaWXa6UpVbddotzPgyDIAgoZ4SQ - VqfpOI7n+5ZlacQkSRjn6+vrF150QRjHr77m6tkTx1dXV1dWVnbu3JmmqWVZKysrWmvHcU6dOlUu - l6vV6g033PD444+PT0yYgMypM3MHDx40EkxElIiE/Hf4FwTQ/7Jx+WZ73kuKIywCYDGRJVmn1Tn4 - +JP79u597OHHm81mGIYqk2ma7tqz23XdLEst19m7d68AKrjQjmM7ThiG5Vq1Nj52yWWX3XPXD6+6 - 6qq3vPmnJmr1lZWVX//4r9Vr1Xe95+dyBf/3/+gPc4W8Y/kybRVd/1c/duPey3aqGjZywyGJBLP2 - VbYeb5DsbEhid3G5tdLuPv3UbJwFDz36ULvXtj1rGARxGlMEhwuQkgNqyKQGSgkoBEQCSEw1a9OD - xqBAa8aYJYRWihLCGVMa4yxNlRS2lWTpXffcvfcl5x87diwMw2BpMVXy47/9Gzv37jk2O1sbHxsE - wyAIBOfhYMg57/V6r3jFK2666aY//aM/vvDCCxurq3Ec/87v/N5/+dSfffFLX5ma2jqzY+dar9EZ - dicrk/12Z6xUS5LM90rtZjS+a6Jx6pFDT822e610ENRyxddc/uNSOeg4R+dODrMImArCTq+72m2u - ddrrW7dMiGp9OOiFgwF3PA2kHwyFsJAgACRJYpTLvu8blGaS+uAF84/hSZL5ljuMQqWlbdsu9/L5 - fCAHwyzbEB4pQl90zH+xvdieRzOcMSXU7EayLOvHfdDE516SJKAAET3Pq9fre/bs2bdnr3GqjZLU - MM2u68pMr66uXnrppZZlKZn2+/04jLIsUzrTWjNqM8GVynrBcIsl9l5wXiyTU/NnfnjPXUZcaY4j - pVSoGGOO7fi+b2YDznkSBVEUCSGUwl6vVygUdu3atbK2zhjbMT1TLpfHx8c553GamMLXZrYpFovF - YjGXy5XL5UsuPeDmfJPICQBREq801lzXveuuu269/dZ8qfixj934hS98oVQqGTHfzMxMo9kGgCyV - rudwSlCpVKapUqnUTx+dXW00506eQsT+sH/s6FFH8FanefrUCQDa7q13u93+cPCVr36l2x+2Wq35 - pfk0SrMsOT130sTRVtaWh2FAGMvn/STJsiw7szA/PT29tLTiONbExMSp02eqnpcvFhaXl1Ild+ze - VaqUC6Via7351FNPWY69a++eE6dOWpYFAIVCIY5jTigiAaVNzULGmcW47/uFXN51fQBwHG/fvn0m - B8LMxq7rGmlpEASoJCW4Z/fOqa2TlsU550ggXywSRuv16q69u8aC+qNPPtHudrr9/sOPPLJ9x0yz - 2cziZHLrVLvTa7VaFMjE2FgwGLKN+tJCCK20Scsv+G4xn7eF6HQ6GolEmUYps5jjO4QQZgkvnxtG - Q9uz/YIfhuFYfazVag2C4L477rzgysszrTTRwhFPH3t6cWHhJ1//Rtu2m41GLpfbMjGmta7Wyg8/ - 8uAjDz/W6/VUEhNC/uYrXx4MBpZlKZlZlgWUSqmfg1aQIIFzCun9y+35gRiKkElZyhcGvT5osnvH - 7m6797pr3iDA+upXv9putnbv3t1udt5x3Tsq1dI999x9/oUvWVxZznl+u9thgg8HA0Lp61//+ptu - uuntb7+eUnrHbbc/9tDDH3zf+++9+544CD/2v//m3Q/cpWDi5a9+xT0/eqC53is5fimXv/ySC3/t - k796sHvolvn7tx3Y1Wm0iwP67d/6wlf/jy8+dvfR97znhp9573sSCJggqYxzOTeKQrCY7Yic49UK - +f56FwSNCChUnDEj6h311Ibw0Bjcmaik4zhpmpp8fapJrV7fkaZOzrd979TZM6+65upLLr/MsqxW - t8MYGxsbW28151eWXN9rdzsAYHZIiDg9Pb1jx47vf//7+87b31pbv/uuu37xfe+/4oorPvLRGwul - UnfYX5k7Mb1zq8udeBjUS7X11call192wfmX3H3nQ/GdoeVbu8rnTdpDf8YJB0OK5a///a0vv+zq - lbNrl1xyUQZpEg8opjIZJkG/1WKO49leoYgiiMJYSqU0F6OYuoHeS0tLMzMzlUoFAAaDwQvqH8M3 - /WOEw7vdrrJ0uVqGWK+Hq2YezNLM8q0U1b80ul5sL7YX23PaKPgL1BNOkiS+73NqRUEMAJZluUU3 - y7I0TX3f37Zt29TUlOd5rVaratme54VhuLCw0G514zi+6KKLtm/f3lhbefTRRzllhBCpUsuyBHej - JD5z5vSJ06eu/olrXvrSlzKbjx07ct8D98ZxrJQyeUm9Xk/YvFqt+m4hS1KDSMwVso2dvcmN2rVr - 17YduxzH8W3HcDNxHDueWygUTKSjWCxqrae3bqlWq8MgYIIHcaQp0VoXi8VGo3HJgQNnzp7+3ve+ - d/DQwevf+Q4jrIniuFqtmskqDEPXdTnnWZJpANuxCCEmaO44ThzHxosFGDie3Wk1NdHGx6vRXLNt - uzZeW2s1HD9X3zLW6w78Uo7TYhRFlm1Xa+VerweU+vmcAqUJ5VTYCo3ThG2LEydOlCu1T3ziP3te - 7nd/93fr9foHP/j+mZmZ66+//i//4jMnTpz4zne+0+72P/7rNwkhMimHw2GlXA76A8FHmhidSpll - CtMkitM0HYaRuWyTbGEibkag3Ww2BeOlUilMYtd1fdezQu75jpRSaR2EA8b54uJir9d501vf/Ju/ - /9tnFs7e98B94xP1fr/POa1UKtEwSONEphkFAM4Nra61FoyZWI1JZPE8z3bdoNcfhoHleADg+z4A - GE2kUiqKomZzABtc/srKihmZl7/6Ki+f6/f7rVZrMBhccMEF9Xp9amqqXq+b8dDtdl3XDcPw+PHj - 8/Pz9bFqFEW333771Mz0yNkEQTAeRCFnlhH0/puSqp/dnjcTY8rlmCBCvz9sNts7ZnZyek8wCPNe - Xmc6i7O853NCo2GQz+WmJiYrpZLjOEAJtUUm5cTExF133XXgwIE7b79j3759SRSdf/755ULxzMlT - eT/32KMP7jxv36tfe83pxWVfFMNGk2h14fn7xup5oTB1h13WitxBTlk7d9fu+eE/fey9n+j229e+ - 7jX9uFOuFwtlP1/K7dixra8SL+/ZGqPeoF4uNDqtfpYQxjk4m7PD6MM5WVyCMlCacxGlmXAchTqI - ol44nD198tCxI7brpGkaRVEQBFJKJowmTqdxbCwssyyTSWq5TrVSyefzJ0+d+sY3vjE5Pnl2bq5e - re3YtfurX//ad2/9p/VmuzpWd11n0hbBIHRsh0ndWW+V8sXWeuevPvdlqTOJCkBjJoVgUqXCFvff - +dTU1un/8zN/5TnuzXd8d+wLpcbSCqgD45VcMef2u72BSMYmp/xSJUWi4phTqZQi9JxoTpoauwXj - LfGC+8fIRFLBCQETeArDkFvcSPFHVjH6uXD7xfZie7H9DxpjTGltGBHYsKU3004cRb7jmyg2yMyY - NiVJEkVRyfX6/X6j0Zidne33hkbdORgM0jQFACml7/sut5MkWVtbW22szc2dWm6sra+vz8/P274z - GAzMK29OauLRRldnYiLGHdikqbqum6ZpmkrLsQ2OKeUKQogkCB3HMXXWpJTNZpNSaiQySZJYghmf - C4VaKbVz154jR47su+C8L37xi9XxsRs/+pFXXXP1O3/unfWJ8S996YsAUK1W8/l8FCYL8wvA6ObM - prUGTTizLOG4OR8A+sMB5YwgEEIo55QzbgkFChDiDBOdlVzL9hwNynM9X3mu43DOGSOWZXHOc4gl - QTVip98LgkAynffz/UGvUCgg0Y7nCiH+9E//tNcbAMDk+JZPfvKTD953/6OPPSS1+r/+4s9Pnj79 - wEOPBNHQy+fsDam1xYXpLkKIsCyiNAViqtwgIiA1E68RzTiWzThXOvNcm1FhWVYUBFkSoSaAOhoG - iCrMkkKxGKj0kfsfPD1/9vTSmYXfWC5VSt1u1/GdOAx37tzOOW82G0orx7VQYhiG5WIpDEMtFXd4 - nKZGJ1AsFlHJKIr6/b5RQFLOfN9vtltezs2yDCi6vuPl3EzJQTC0XcfPe0qp1cbKtm3bDh09ZlwQ - hWU9+eST27dvf+yxR5rNRi5XMLCyXq8bEDMzM7O2toapzBd8otHmggExHrOWEIhgHO1N7dvn1Z4L - YiiC2Sn/czsPY3PiO66WmlNm3OWr1TICrq6taa3Htk4szZ81obgoirht93o9qdTKykqlUml2Ohqw - UC59+a+/+M6f/dl+qzNWqz998Knpqa0fev8H/uxTn8qyTOqsPxgM4qFCtbS87PO+SBQAvPSKKwed - QWe5dWDXRU/Pn8pxt0ALC2eaP/H+a5vrbYluIVcsO5U0lJ1ekGaq0e5kQnGbrjcbJw8fef1rfoIM - KCZakNH9bqSAEkQ01RlMEiYTPEkSJmWUJm7O54T4vletVhijh48cHgwGl1x26dzZuXw+PxwOKWNK - 6SSJOeUAkM/nG41Gvpjv9XrlWnVxdaVUrSBiu9tBQlKZdTqdUrmUJMnWrVsXFhYq9VochflSgaAa - Dga1UrXV6jDbay+tFsvlfrfru54AGugwzmLLdfpZ0g/OSEXiDAquePSxJ8ZKJUxlFISopGNZkvJW - p2e7XrFcsaKgs97gnI3qdW/4vjQajU6ns2XLlhfaPya0bTuWRCqpYuW6PldsbbVRnSrVxsY0YCoz - x3fCOGQWZxopMoKUaUa0BAAGQAABCTFFCRCAADWfifmGAtHGQH2znVvmelPWTs+JV1Ek56bo4bP/ - UAOhCBSBIQHQioIiABQUHZ2XIChizAnBeA7Tc14wgs+8JcaIGUCbbzbfQxM82wyh/fOX87nfIAUE - IIhEAxIABkBNzSxGNKAevYZIAaiimlBKtKYIBIEgEgQkGglR5Nm1HXDzrin9d8bz0EB9gqCJNg+F - EIIEAbQmFAkFpGTUFZvnMC7VoMjIJIkgxc1rQ7px9+eURgUg5zzl52QroHF+JsDOuT8kFAkQoEgU - wHNSMokG0GR0SooaEYBoTYCSEZ6m55xOEwDA0aVu3PbmMKPGqXHjQs3AMB/PuXhyTg+jfuZBUIqb - h3zOUBz1kolvP3N2ogmg0pIQQgmjyHzfDwZDBPSErylGw4i46HmeJVi33zOuKgDgeTkk8NBDD62t - raVpyrlQSj322GOdTqdYyJVKJWFbCnUSZPPz84cPH+n0urbjTE9vW2uu3//AA8IRQRomWWp7ToY6 - iIaImM/7xVIpy7JEprblUM6Mk5bruMarzUhSDMCKwzAhxDigGAmwmWeKxWK73U6i2HXdKAoBgFJK - OSt67pNPPun63qc//enaxHiz12q2W2ud5uLK8tatW5XKZJqOj4+fPH0mDMNipZwkCWMMFBIACdpM - xZV6zff9RqPRbDZzuRwhNAiCKIqKxTIAEGBEo6C82+nawi7VqvNLi3FiIuaKEcoQdBZL1J7nRXFk - uc6WLVvXV9chQ5kmKBXnNEpGELDdbmmN+Xz+6acPlUqlx594dDgclstF2xYPP/xwFIV79+5dWF4K - gsCyLNuy0iCyOacmPxyBACRJ0my3uCXSVDabTfPsGCMGZBhKxiiHTBo2ISROM9DKd23h2L1+f2Fl - 1S8Wer0eJWR5calaq2RKEkJc2942Pa1UtrS0pAlQwsMgtoXYs2dPFMSlfAEV+Dk3TLMkTU02+3pj - lShNE12pVIiwpFaZ0vOL8xdffHEcBb7tT1TGHMdptdury2ulSvElL7kojoK15RWQaqpaL1erqcxO - nZmzuaBIUcGlFx7otNuU0m3bd56cO5mmaalUWlxcLBaLTsleXV21rVgTUEoRuplhA89p/3Ykww0P - QXD0EzZ+fc5ksPlbHCeu6yJimsZKZaVS4Qc/unNmx1R9vLK8uoAcukHvW9/9h1e+8pUz27YNhgGz - OCGk2WwqxCRLZZpprbMgqhWKPzx67ILzz5+a3PLUU0/t3r0rA9ULh/sPXHTxj132wAMPpEnkgxUF - QZrGTx58bMuuN24l2xtPHFt8cC4cRuv5sVdf/sZCefe99zx19Wve+KM7Hvq5t38kHjJOC/1Y96LY - d2ykqjZRcb2L+irKCDjCUbEmLoVRcQqgGjcZGdOVaRpTTuMsLpYLQTS0hZUloZKx71mWIL7n6Cwt - +N5g2E+SJJfLDYdhLu/FUZrL5ZrtplfMZQTzY9VEKRSMe87ywmIxX6yN1TvrzVKh6Dlur9O1hVOv - VFGhIDQaBlTQUq3a6vSo4LlCfjAIOo12IZ9nmri+32g0LN+NUxWF6UR1Ym5uRfqMZCTn11CD7eYF - d7NUM8tmjPWDIFPa8xzf9zErGg1vpVIxPnWMMc/z4jg2fMwL6B8TJ36n3fa9/DAMZJYBUaiJl8sP - gqiz0EqlErYrlUZNeWYRxTjhQiIF0GZhpkBQA44kMwZ7mELfYNZFAgCjVWdzWUACemMB2FztmCZs - AzoQA30AkCBueAyaNUMDAaAEQUjCACkTEWSZTQb9Xk74oQwtm6swcf1KFMaOEJSB1kAI0cRkBiBB - SjVDJEiUJgSJBGPGqQklI58mCkA1pWZ1B9BEAiEbizRFAppKBNDEYAtq/pfGDKiihDrC6/b7uXo5 - iYbNTqtUKjiOJVPFkDDGY60ZA9CaIzINgEojSoKKEgk46o0NVKc3zBVBPw8cQxGehRbMN1Qroiml - CKhRa0CkhDtOFCVu5hAVO8KKw8B1famAMkxpAhRAo0FaSFBRCUCYIhQpwAh/6dE0RhhSGP0BGBDA - QRh8pqlWFHGEegEAEYgiCMABKQJySpRKE5lkOouHMl8pDOMhgC1BM0GZhiiLhFtIlVaUEAQKQJAw - zUwZNSMg0wAM9Wgo0hFYoUgBgWlCkAJSRUER0z1aEyVHqIoyRTlypgGIRiKRSkU1IGWaAwqClILU - BDfH9gaFTghSIJsIRlNEhhqIRtDccqK2BsKjIKiWC2941U+Vc5XXvPbaP/nDP3rivoeFYEmSGFqe - EBKGse2wOI4X5peAaMZYEAXDaHjrHbfOzMzY9nYgLF8ora428n7uR/c+6DleqVhFAt3eoNnvrrab - jmevNFfzpUJv0OWW5fsuIrq+A6CFEJLoJImSJMmUVIBhEp84PUcpt203lVJKiQQsy0pl5jhOFISO - ZZvSg7lC3sQmXNdttVqe45ZKpU6vC5ylMssVC08fm+0Ph+kaRllELYGUbNux/ejThy+56KJapbK8 - tBonKTAmHKfd6U7U6v1mSzA+MVY/fvLE1u3bqtXq2bNnoyhyhDU5Nn72zBmiIAkT7nPQLI1kKVdw - iF12KEnY/OnlrTt39qNgaWmpmi8ywhorK6VCkXI2P784Nj7eWGvncul4faK5sJzFyczUlrNLZyem - Jtrthl/wUSsECIKh6zppFtXHKmcW5upWPVWSUiyVi/1+L1OZ5/tSyiSJGSOgkWjUUnHLVkpRyh97 - 7LG9+/cFQVCv18NhkCRJ3s8Z22UpZZqmWaYIo4VicaWxrglIrRzL9hwrCkKgJMmy1uzxVCae4yFA - GsbMFoywSrkWR+ny2rLp3lIp1xus+n7+F97zvscffCTnOGFvmM/n+mH4ite86k8//WeT45MHLry4 - sbDsUh72wx2792hOHzt0aH298/Pvet/hJ56AVOudSRAMYpVd84ZrP/yxGz9246/cfef3Wytrk9V6 - r9cbDIe/9B9/5ZprX/vaN7/h6ldd/cRDj1CFxUKBEOLkcpPjE7d8/3ZAajlekimZRbl8MdMIhGnz - AlOuNBjMbtq5wkn6byBmnn+KtW3HaSK1shx7GA3rE/WzC2c8z7v0ysuyLCsUCiZwcPtdd9brdULI - JZde0u526+NjURK7lue67m/9599ExMX5hV/8xV988P4Hfua6t73ilVfdcOMvD6Lwi1/58jVvukbk - vHvvuTfv5LrN9raxycEwlhm95eYfzLcWpUdeOvkyRpgOM9VNvvH1W9fmG412NrNt1zCMBoPA8/NK - Q5Qkg+U2JfVavWS5bpTKKI4JWq5tAxBDNZ0TIQEAMKJ6oIRSimYZpBQJOI6TxmG/38+S1POcMBgw - CoIRZFxrPTkx1mg0fN8fBn1TxdrN+Z1uN5fL2ba9sLBwwf7zGGEnj82WcnkpZaPRcF232+shYrFc - ynvFWKXr62uel+eW0BKXFhbDYbBz+/Z+v5/KNGj3nIIdy4hwUqkXkmiQ891SsWJT3eq2/aIfBHEU - Z4zZwLiS6Fq2RLW2tlIq5vMFn3FCALrdruM4RmJmdLimouwL6B9DKC0Wi61Gh1vCdV0kQDISx7Hk - KS1QwlgqM6SK21wrM2trbfCAmckJaCSUaASDYwgAUKT6GZLjnGEN5m8oQaAj6uYcEpKgoVjMP9wo - urnB6ABoogE3QmxIGQEGgIAaUBFwC57QwmyDOBWoieA2aoyjBDDjgiJw4zOECkATglQRpalCroFo - CoQCAaAUNVKzsaYEgCHTVCMws2xRpABUg6ZIN1gKSpACEgqASAEBEbM0TeMsTTNCmO/7REmdUZtx - 0ERLJGy0gTFgBRFwYx//zGt/zuZEkX+/WTISAwoJbGyZMiWZ5pxQQkimEIDatpu3PIxiARQyIJpo - KRXRiilzcQTBkEmACEBAgQZNQANoZEANkYVACCNo2DANABsVWqmh2eRowGyMC4IEDcdBtIJMZRTQ - 8zzfcZVkSgFnNhMmszdwhBZAM42gz+WKNEFKETTTAKAIbDy1UdGWcwk/ghskkB7hQgKGl9JIgJhn - rQnTIySiQBNQAAhAqQaKoCg1FKMGRCBg0AzSjZFvDrx5Xp2qjCFz3byNrsVFu91O4+hbt39raXmt - Xhur1iqddgsATCxYKeX7+SiOzRuapBEi5goFk+G8fft2z/aiKNIaOOeFUmlsYoIo0ht04zQp16s7 - 9+2anJlYXl87fvaEChAZWAy63e72nduMMC6O4yRRaZyYCYQLEQ+CVru91lx3hIOICtFUbUTEtm57 - jhsMhoQQ27ZXVlY8zzN/mGVZO4yGw2GSpdyxgZKlxqrSOlcoOr5T9atPzx6lNu+vdK+88koK0O/2 - +v1hqVTqDoOlpaXdO3aunDlrI/UssXjm7P69+6a2z/zw3h+5rksRcp5/8sgxwcTO6e3FQml2djYJ - 48sOXKaiJB4EijvCsScKU2fXVsoTdUaYxa2lubMXX3Dh8sJimsqJ+vjy8urlV/zYyeMnVJxOT2zp - tJuLZ+Yuu/yyhx57aGyiTjnPsqxcKMpM97pdEzFRSmktATQAUSpD4JSOjGEpYxSJYMJ1Xd9xGaUq - lgAwNjG+ZcuWMAyFEI5lCyFAY6/XMyGOfL7oeG6WZeud9tjkRJTEVHBCSL/VyRVLuVyu1WnXt0wc - O3assbqWLxZSmdZqtZznq0wFwbCYKx47eGj/xRevN5r1aj2Lk5PHjv/s2//D6vzirm3bLS4OHTvC - gK4tLbMfI//47e/81af/PE+tWrl29NjxQ7NHBYFeuzM/d2bPjt01Nz89OVGv1//Tb9xULZSjYbB4 - dv7wE4d+7caPxv3h1i1TUZocPzpLEUr50gP33f+Wa38y7/kcCQD89X/9slvMD3v9jILv+5mSm1Q7 - PJsn0f8aUvkfNG44FyQbPwkgAm7mNeHoh2HUCQEEVIhMcA148NBTURIvLy7t3bu302xJKVdWV43e - YvQ55584e7pYLXeDQS6fbzQaLAo+8JEb6rWazuT6+nqpUPzlX/mY67q9QX/3vr1333f/7NKpYRB0 - O8GW6uSumT3tlcbffe1baRJmEGdcEY8p1P3uoODm88R/vHukXK0+ePhosVh61wfeFcbp8uoSAVnw - XCA86kcL/RCV5oSBZowQpRQlzNwxmvkf0YQKRtyT2WahBg2MCUqJYzlSAwAdG5sIgiAOE6WUTJVW - Kg7CeqUeDaO8l5epmpwcM4RHnlk0VQCwY2r6xLHZYi5fq1ZqtdrJ2eO1Wl0DOBbjtpUqtXD2bLVW - qY3Vg/4ANHJkSsvJiYpUg95gyc3bWRZrZDxnpzLLMpVGimE+6IQpo4W8xxjzfJ8KK04yz3bBzJBK - plkUBMS1ueu6oInJjjZEiyncuJll/UL4xwRZlvj5nOe6jmshgKZaSUm1AK2AaEKREQStCNHASEbT - jGVgjCisAAAgAElEQVSWEBkkioPWmgJoSoEQapgY3OQqAEHDaHKnG0vOqLFzWP1z3gFD7Ju3QwOB - 5yKgZ7MLmmhgVGtNKGqpZZbZ1EmCJA5j7SFqiMPIsfOgkAGjjDBKtAJmYkZAmHknCEUgmUw3rm/T - cRIAKCIiUK01aERigjKGdVAAgBQJIQAmpqkIEiAMEQklHAgjRABnkqBGgkwmMSjCCGOaUoU25Yga - kSJBPYrHIQAhmhCqYGPFNUsyIDCgSOB5hZOeIcMMTMCNJR+AMk4VEcA5MswiFacqTlPFWao0UEw1 - gkQpgRIORI9omNFhiUYwq7/5LzEMDRAARGIgBo5QKQVA0Gii3JoiUQgEUetnynARBEApEyGEJZw0 - CcLBMIsz28qFMrHGPMaY43hEU04YAFeK0GdZUFBASogmaMARIAGFI3pMb44m0ASAAqWgADQCUACi - gYBiqCloTTTVwDRwTRkCoEbQoFEjAGiCmqGmSJkCTUa1YBWhSEADIDGgjWrQlIAiBuBQJNS1vX4/ - pMRTqGq12rZt2w4fPlypVF7+8pefnTtz6JFHx8bGcrlcIPuGUg6CIE4SjdLPuVbGXdedmBibmJio - 18cppZ1Oh1KazxezLFtaWmw213Wq/Hxu//79u/ftyVcKqY4ZkFKhOIhD4KRcLldrNaky89YrpQaD - IUFgjJkS2Uzhli1bzj//fKIJIkqtGWN+lKtWq1ES5zy/td7Msuziiy+O04Qx9vTRI77rVSqVNE48 - z5NapTIrVMpnlhbyhUJ30KecDOJhuVSybKe6Y4dlWadPnkSlHTcXDIdpHNUq1Xf/7M+tLSxurVRr - lWqz3XIKORTsqcMHb7jhhnqp0l5tREE4Vh3rDvr1qcnf/f3fe+lLr9w2NS00QCqTKEZOS1vGH3ji - 0VvvvONvPv/5QaN15vhJjiSfyykC+XLppl/7+K9+9KNHjhxZWVjav30nQd1oN6542Y//wgfee/6e - /W956/86NzeXz5f7nd7FF19cLBa++c1vVoolA1k44ZmUxh9rNLwoJRqUUiZSbyAdY2zfvn27d++m - lCZJgkq7risYNwdRSiGS9VZzaWmJEPLud79bA2Zara6uLp9dMAffmiaZzpRSqUy8nL9zYudrr712 - z/59mZK//uu/1u33Lr/8iuXlZZuxYbdbyhe2b53+/Gc/u7608id/8Ie7d+zcumWyHwYFxxUIr3jp - S9/zrnf95Kuu+fX/dNMlF+79sSsvvuUH/zQ9UZPRoCPT//KZ337wnnsZY5/59KcfP/b0tsnJQavz - 8iuv+PAHPvSpT/7J1i1TmkJlassbX/+GvJ+rFstf+9rXPvpLH56e2uq7/ic/+ZLf+cM/cF2XGadl - rdn/A3VnuMnDHrGpG3iFbs7EG86/ZnuMBBKZaUaQ0Qz1/PKSyZ1zPHdubs6seZRSRqjl2MPh0PW9 - lcZqfXJiEIXCd4v1arFYnD97th+HnrBzpWKxUlleXtac+sXCk4cPTW2bHkR9AHbZRZcunFxsBi1X - uCdPzxcKuQwSCRIHOkoTUECjdBAmti1awbAd9QIdn3r87OTYuON7hZwt49j17DTVnDPCmEwzQiiM - MvUlApINS+NNt/LNxVsBGo2VEIIAWW+2oygZq0+cd955O3fs3rdnV6PRJASBciNVK5SKrusOw2Bt - be3w0SP33fOjKJOOsAhnRGOtXBGcJ0kyNzc3NTXV7/czrRgXC2fn9uzeu2vPrjSLw2HgMMfz3WgY - TU6N/9JHPjCI2rXJErUVCnXqzKlCIWfWtYJVqloT3fVBHKfj4+Of+tSnqLAoE5bjKARCiNaSoCrl - 82kWN5uNYrFoWY6xdel0OpZlGQssUwHgBfKPibSWPGEUjH9Mtxf3kiQmBBzHUQ5PdaCUtDjXTCdZ - iJaWNKFcSZ1yxjTRGgkjFMhojI10LQbHmCjSBoJ5FmzZCJoSBARggCYnT5swBADdkKdsNgqgEZBQ - CqiBGJQjlSJKcU6FYJKAw2yiieA2ZYJzK8kUFZQSalkMmNYoGYwCCpQCIQwAGOGaoKBME20u1Ij1 - CAACHcWVcON70AaiGRqQAUOiAejmgsyoUBoIAANicwfynHCaDjObEMfyUowZEAaEEQ5S6QzA8EEA - ptuMnIcgAlK6IRIiCJQQZmQ2/xYf7+e25yBICgBMU600AlJNBeO2sCwhmCIMqUUF5dqyLCoEUKJA - bmqGnoEOSClyAA2EbQpHTL9tns50F2wYrAEAIYwDAGhK5YZ8ijJgGphtW5nKUEnGmM2FpJZn5WSo - tZZBHKDSFrcYUVJKQjkHqjcZvWe3zQulSPQ/MwQw9KHBsAAAhkVDDVojAUBNUVOQFCiA0mA4No2E - EtQUDNo0E43WQJmhCQnVYDhFvXHqTfoQgigoFEpxT0kl3/Gz7/zwt29wS/71/+H6Y8eO3XbLrbVS - aXNfEcexEIIwzoXI5b2XvexljDEhhOvanHPj4zLygEnTNE3Hx8evv/76Qi4fhqGUkrtCUa0ylWVJ - lmWWNcqLLpZKWZAQQuI4NlWyORv5tRidXLlcnp6eFlQkSWI5ThRFTHDbtpdXV1KZrTbWLMuamt66 - detWA1kGvX61WpVp5nleKrO19cbKysrRo0ff+KY3vfmn31KplG75/q3Ndmu12ZicHB8Oh1mWOZbN - ORsMBozRbTPT6ysrl77kJQXLCnuDa6666sO/+h/f+o63E4Res33woUd/8R3vWjo7f+WVV371G99U - SZpE8drKahZEP/Omt1T8fKfVLlYr37rte43llSyI+mtNFSW7prfZwGZmZv76K1/ae97+ernyo7vu - TpLkfT//7icffvS8fXvuf+jBJx99rFIoRoNo/uTpN7z+DXOn5/fv2pvz8l/92t9alqCU9nqDXM4j - mkRpYjS8ZswQQrRWiNRYhhIAqohxnQiCwPO8TXBjPHzb7Xar1Wo0msMw6A8GSqkwDAmjfiGfz+eD - KAQAzvn6+vrsydm1tbUwDizLKvi5C1/yklwut7yy0u/2XNteXVx+3euuzbLsyJEjWqr1lVWXiYOP - PHLLzd91baEIVMfHhu22y8jZ+YXLLn7J3375Sy+/7ICbsw8eO7w8f2JlbcXhePL44bDb/uH3b+t2 - u5KRh596gmq1dWL8L//8m2sLS3/0+3/w6le/enL7zImlxYcffOgKynOeRxA+97nPZWGcLxUHSeQU - cpRSnSaKEkbpv2f6+dca3yR2zNQ/0p6RZ51rU4qGAJZtE0rjLNUEhG3NLcyft29/EIWDYIiIwrYY - Y4zQpZXlffv2tbvdUq2aKlkbH2t1O0zwM0sLWyYmtdaDOPQ8b2Fl2fVczUir353cOhWmoW27nVZ3 - YW7RoiLqh1ZO+LlikCT9JKAcbduKA7mlviUcRoAsTLExf4b7lOXEjj3TSRyPjVccl2uVZQmTmXIt - nzFm5nogBChkmQJKGNkQ0wEQPSqiBABIiXE5lFpZzM4yySxBKE+SdGZ6+9e/+rW3X/e2z372r6Io - lFIVCvlBMLzuuuu+/Z3v7Nm3FwmMTU4kMquOjy0vLwNAqVrZv3//Rz784fn5+Z94zdXv+4X37tqx - 86Mf++Wdu/b89Nveenz2ZLFSBADQWKwUFxeXK/VKH+O7Dz3YjlbiQ/1WsJor2e3mqorTdBi73K3w - 6vbcLp+Vdu3Z+7afestXqlVCeZjKWCpBpEIUFlNSCuoqQpMkGvT6+TwzOY0modqgEzOXwQvgHwOc - UyA6TeMsSR3L9X1XC6m11okSTFBKKaBWGTXsF2hNJaJCUJqqkeKSACWAFBBH+tENZcIzzSw4Zk35 - F94DDYTCSPWiN8H3M0vvM6hodBBtBgDDTKUUBKFANMgkkSkwJpIk8wnhlIHSmUwppxqTJEkcZhPk - VFMFQCAbXQrRSAkSnRGNiBQpQUo1VSAV24h8GBkNAkC2GcgccU4k27zaDBUFQkClmUyp1pQprQf9 - ru0XXNeNokGYREopBoQCo5TEWiIYvQvqEaIjFCngM9ogiqA3IOCzhbf/ejOLPSHAnonQaQ2UGMJM - oc4kQaQAlILtiDRIkWmpFcVESm2IJYmSMm36gSBQ5ARRgQKiNdGjOBIiRaphVKTiHOSkcbMGEwJF - BoCKJGBUxTgKBipGkzSUAhiFVCsiQTNpCRZRHSXhMBxAAZRErYBzikiBUE20BmCgYYOHPme4ANmI - RW6OPTR1W6lWZoakG5LzcwbnqNPIMwyOkTzDCI79c2YQCGhqeLkRmENNNcWRupwKHsURZzmiiWVZ - F1100Rtf9WZHuPfdd1+n00n7A/NqyyyV2qQx4SAcMItVajVbMLMzAQDbdk2RHQBNGPPy3jAa9nod - wSkXjAvL1JrjnAvOZZoJV5TL5Vwh12w2C8VcEARKSiUlIZwQkqZpGIZJklCtza+EkzRNvVzO6A0W - FxefOnwIEcNhsG/fvomJCeMu73keQbAsKwrCxcXFubNnWp12nKZZknquWytXlMpWFhYF43v37OkM - umuNlfHx8SSKm+vrjuvmcrlus+UKe/bpI1df8dJr3/zqTqd9y9/f/DO/8E5BYMv4mKPxtz/+8Ttu - uyOLoo998ENPzR23LV6vVVSc/sWffeo3/rebrrj0AOH8wx/8wIdu/EgyGLRXV6NOn0n9Hz/6K4Nu - 9w9+67f+6Y7b4n5/98z0vffff+3V15w8fDiKgvP3vDvC9Ic/uOviA5e01zr/91//10/+3p8AQAJy - 29bpz3/pCwZHZlnmCGdU4AlHvvAAoLVOU2n2jYxSxjhjrFAomAzQIAjazVa73V5eXGq3291uN45j - hSCEYJw7jhNFUbVeS5Lk9OnTc3NzzWZzMBgsrizX61XHcWpjVbMdba83m2uNYqW8ZWy82+9d/453 - 7N+/f7297nvOLd/5x/Fq5Qc3f3d1YeXU4ae3bZ8WrhP1e3nLigb95fmzRw8enKqMP3Tfj6JscP6B - C6cna/NLpylkn//sZyb88uGDT3Au+nHIAbutZqe5fnp2lgOmUTjo9dWZs67nyjQdr9dnjx479PjB - vOsVvRxSInz3vIsvNNQR0mcq/Lyw7XlrYjIlTZ66bdsm0skYi9NUIbq2zTk3dcXMsxkEQ9tzOOdR - mri+V61Wfd+Pokgwzi1Law2UaMC85yHAcDiMsohotWtmezrIOo3unl27gyBKdZYqSS3m5h3L5oN4 - 2E+Ddqe1a3pvo7VWG6sOkl4Uh1mcCMaHw36r1RJC5D2/3e7EYZgpxbnlOJaxioKN1KTndCgiKsBR - Kj8gJhIA0jRzLSdfLCoNzWbrf3nrW9/6Uz/95S9+yRN2lmWlclkm6Yc+8MHBYPDGN7/51ttvQwJB - GDLbsj3XmB2dXVx423XX/eO3b/7jP/rk0aNHn3j80de97nVXveqVl154ca/TVSjz+fzS0sra2lqt - VpOIEjA/Vrruup9JeHdh/dTS2inHZlk3HK53hRSX7rhsu7VtsBrPLS0DaCHsVEqgxMvlkjRK07iQ - q2RJGAx6TAjPy8VxvDGF2aYaahAERrr7QvrHgMqkRKUWFhZmZrYa/5igHWVJjKDBBUaoVBkBsIRI - URoVOdOUK6YVAHBKuInu/fOsuJHcYRTqI0byYkQE+FwF+7NWCE00xc3t9DOH3dQOmw0xERQUKECt - kSDNkpRzn1siGIQFXvbzHkeWUYpcE4syi1NkVFOmKQBohgQ0RQrAtDbJTUjICMQwzQkBoFpRQKKZ - Nu+xWZW1JpoiUM0pUrOWI9EIVGeUM2ZRlsWJRGCCMEuUeN6zPGZTGaXEISBQU00QCWU4Oi8gUkQE - QmGU52W6j8IGAUIR1L8rg/GcBzFqFAEBLcaJYohICGaQRTL0qGPZNmdWzELFpQQlGKFoUaSapooh - gAakVFEjBhpJhYFQJERzQhgDrahGggQZ3eguyaR51ExzrjiAllxpIhlSojlFAcgV1WhbwiGoZBok - nPI4DayC02cDr+xpgggKCTAmKDKpAdgo1wkBkWhNNlKTNACA2LAC2Ag+aiBaw0bmmhF1meFEKEVK - TNTIKLlHMm2tgWsYyYDQSLU1fU6OGMURAcNAA0EjcKSoTXIcguacD4fRRGlCrsvPfOYzO3bs8H2f - ovj5n//5+350753f+6dur8c4t227UqmkMtNAKaUm61VLahSKhBC14SaXZBlkozymXLFACBkO+wq1 - l/eE4FmaAKBt28VykXDm2U7kusP+IIhCsyorpZnFzPygteaUmnh0GqW2bQdBMD8/v7C0GIbh2tpa - sVg0+dUnT58yzG6WZbZtr6+vnzx+Qkq5uLyUZClhrF6vx3F88Mknfd/dMbNt9tTJ9fW1q171ym9/ - +1vD/iCKIsuyCvl8t9st53Pd5nqw3jzqep3lFSb4UKWvfNnLT52ZG/b637/t9qqb+8E/fDcMw3y9 - 0mdgc5EkSWNxKe0Pv/ft79wCkCsVedGvFUrTYxPJIOisNh5/8OGdY1vGx8eHcbQ8N18pFFtr648/ - /Ijg9OjRp0/MzkZJsmffvqOHDpeLlWptvF6t/97v/261Wi2WKpZrDfsDCsyy+Ihe3ciTMBtjrTUg - 2pZlWZYQQisVxzEADIfDUqnU7XaTJJmdnW2324Ne3yRzOY7DhJVlmVQqTdPbbrutXC53+71jx46Z - PC/Hcer1eprGxh5XoWaC3nzzzZTSt13/9pWVFT+fs7iI4zgIgqeffjqfz9vCkkk8NVbN5zzIVAZx - c61hW1xl0uLU4nzQ79qO2L5z7xOPPnLq5Oz5+/c5Fp+aHB+utPft2fXE4weJI+Iwynt+vVLllM4v - re3bsSsKwmK5FMZJHEZRENZqNcF5HEZ5xwujOG8LxliSJETwDZ+O/wnxy7/QNrKTNnZsz0FKZIOo - GWUOAFAggnNLiCRJGJCJsfEgCDzHQaVc20HELElBYzFfaDdbuUK+0+tUxuvpMEZKFucXEHFiYiKN - YiUlp4wC8Rx3bWW1XK2sra1NTU32e53jR45O1baM1apnF84mSeLkXafohMmwHQ11IosTRalTKOB6 - vBKoQcGua2VTShnHYq7AgKlMWpaVqpRZPFfIB0HAKFOoFWpEpIwSCqOwutKEEKDM4BnUWmtUGg3v - SyhTWmsglPAkybJM2ZZrWU5jdb2YLyRpxBlL47Tslrqd3vj4hBCCW6Jer/uFfKFQYILHcSwY/+CH - 3h9FwU+/9aeeOvjEHbfels/nUcmrrnrF7LEjZxfmfd8/cOBAY6WZy5WAs+JEMckyblk9lSWQtMJO - kfiOzSSQtYXli6YumZmegry1vj4QYLtODgnjliVsDsTKkiEBSUACUlQKmBDckVJ2Op1isVgoFAyD - YmKum2zn/5x/jMlPpwCUUsiU7PUGDvw32t47zq6zvhN+6unn9ukzmtFoVEaWbEtyExAHgyFgbAMh - nQBpsE5CErIkZAMsIW2TrCGEQEiohmVJyJrmgAu44QayLclFXaPRaPrcfu/p5zzt/eOMZMObfffN - hpyP/tH9aGY0957zPL/nWw3TtJFLfd9LWQwNSBGFEigACEFSEKCEznTIMJW6zOtEIJE53rdpSLm0 - VWwiAWhTWIDA5tBw8R+8cKvmh90fGFnyA/FFfc0P6nwByL8JYyyXQUAAbc2QDJXskm4bF86teDyq - kIpMhYJSaCLTMowxyiARlEgCoGI4U1ARRaBCEGCFZIaZgpIogiTBkgIAGBYMcwUllogKiiSSSEjE - FVJIAco1pLCEUiAhEQcAAUGQgDomQIlUcKhhQABUIPB7Uez5IIAuVibKAONQSC4FkmATxLoEKL5Y - ifqChPUiQ/FvOwy9aKj8gRlRCEkwBkghDVFbz2C23FptxI2iLOiUBjJAGhFCaFDTpC0VZ4QJxCTi - WBCdGUghhplEXCAFFcASQ0UwQBIqjrN8iMGSYIEVVhynAiksIZaaxnQAeapFAmVYIqgo4ToACGoo - YD5AHEBJOakWqo1GE6T0TLREiwQbIEMcYwURlEIigBmUEnIABVCbaIuCAEBA5QtUU/47QwAU3Aye - 4BDl00zOrUOJsKJSvTCT5AySBDCnjtSmRyC/AwlHm58CluCiNyrn7uXmi4irTbHz5l2eZrHjOL1e - r6QqcRzf8+A9//ipL5cLAxDR9dW1l1x1tRBCAhVGUavbsQuuruumbWCMkjBWgOiGngMwjLGUp0op - TCBnAmLAGNN1ihDEFBGIiYYTlsZxRCkdGR1SENTbLS/oE10LgghjLADnnEOk5QEneWB3ylgO7iql - NE1rd7vHjx/faNRzxYymaV6vPz8//7Wvfa1arc7OzgIAojg6ceLE8uISAABCWCmVwzhq1Rv3fOvu - jLOpLRPv/8D77nv4wX6nu/eyPcePP3/29BnHsgjWJMs0jDRMdEzuuu/b/vLawauv7vb67nDNqBbW - VpZklq4sLDw/t3j9lQcYYymU+191Q6fdpAiurCy15hZHS+Xp6WnOUgcX1pYWkZJYiDs++cntk1sX - zpy5cG5OQGAUnPWlpYFySbFsZWHhwe98h6eJhGj7tpmCaadB0uatb9x518zMjrX6Rqlc3bFru+5o - +c7CGMt1hy/uElZKoYtnZoQQglBJlHdzFotFz/PyhIswDBUEAMHcmpQyTikdHBqq1Wq2bWua1m13 - As8XQmBDD4LAsqxerzM5OXn+wvxVV129a9eOf/7KnWEYvuT6H6vUqiljZ8/NOaXi4uLi7bffftuv - vj3LsoWFRcg3q2MwIVahkEcDAwDCODYsFyjk9f3x8S27Av/7Rw77Xhj4UZZl9Y2mEFJlfGRkhDHW - 7XazLNu5cwYAMDE2dnLu7Mzle03daLfbecqw47pBEOiWOTo6msNRUimUi4TEvwJG/jsvkluYLj2F - l9a/H1rrLnmwBedQKgIRRXh6auurb7wxS9KxsbG1ldV81Mqpin6/b9s21TUvDv7nl75EIDJME2NM - CGlt1B3LVoxHUVJ2C0kUFyxbZXx8aKRVb4wN1YqaqSTs9NpWwdEEHpsa33PgMk/0zYreCjtO0Wlu - NHdMzXRX22XDDdu+SQwIsa5ZDz38iEEsXbeVginLuEwlYEkWGoYFBJJSSQgx3lS/vCjAbXN8Vkpx - IfLtID/BIISkAAnjAMFadTDLMgKIaZrFYjGNScY5xpgDnsSx4zj5Nu86TmOjPjk1de7cucGRYa/b - e+iBBx/+zgN//eEPAwCuOXjdX97+30uV8rnz84urK1Mz25aXF5XamNmya2H+gq6bA9Ui8Fh3pV7b - UjrvpQP2QJyELAOuVU0dUHaG15ZaNWMw9FMIqJTAst1iuWRaBkI8jTHjCVKqUCr1PN/rh+VqhbFU - CJFnPFuWJaXMPUc/ovwYynmWUzkIocHBarPZUCEcGxsr2A7nXKZZCgCEECMqkZBSYISAIARSyLAu - dMURAAQhpCRQUCl0iV/YzA6RYDNfAwKZp8X8YA7HC7foZogI4mCzVELmPm0JAVKXhDUXLccvfB0i - VEdQMcaQ4nGYesJfa68/+fRTuAOK0mFhCjWY4jQhAhBAMqRxiiVVSDGaCCipIlgQIJFAKiGRgjnI - RAnXlVKMME6YQgJKbGYmVEhiwQkTiCGFdGZgSQTiAgmOmQRQRzYPuQ4QpTgBmYAsE4woVNYKrU6v - MGH2jXhS+DFIlAYAlUBIBWFOJEEFcgfRJvkBFVQwnxIuvj//l5Q02jxCyUvPC0IQIcCkgDLjkvtN - /9HDj3grvpnouq4HwIcG5FxSqRmJxTkXFstIqpBCAlqpgyTJaMwx2zRRc4IExQoJKFMtUUASibHU - qNAUlLEeKSihQrowtFRXUMW6x4mAElBBKDeRQgnMpMaQDjLGQQRGy7XmUguX9KTCateMKsIzkUKs - KCSCMaLjFOQBO7mcRYIXqZfopoUKSbhpf9sUikOgIFL5jQQlAAArgoVOJAEAXLIXvTA2QwDEptn+ - Ik4jBZJQAawQlgBLtOlsQlxAJXAmFVGQ5+LvnNJHCigEDcPQgJaLKkZHR9uNfmVwuFguzc/Pv+bG - VwGMAIJzC+e7vR6EkEkBAFB8M7tFSakA0DVNAYAR4kIkSeKWikEQQSk0SinFQogkSwDF1VpNINlq - tUzbsiyLELS2sY4JTtOUaJgSPWU8j9SDEBqGIUHGGPN93zGd/MCDEBoaGkqSREHQ7XaHB4emp6ed - gjs4OHiJp85hoTwEvNfrIYKvvfbaaw5e5xTcB++7j1K6sbr25re9eWlp6aqrrrJ1Y35+XinV7/cr - lYqu69VKCUjR73Ql467tNDbqFhSjwyOVSoVnmUk1JBWFKOYs6Ht5IKeh6b1ez9QNINX6yurk9m1D - A4NPPvmkbZhbJibazZap6YyxfuiXB2u1csXzvG67Mzo66pjWeq+LMW00GkkcV0qlKGEIQMHkyMDI - 2flz+w5caboGpTQRHGIghMCUXFJY5nsMQkhxlScEoovHx/xtzAPuck4/l8VQQsvl8vTM9mKxWK5U - OOdxHFuOk383XdcN3Qg837KsG2+8cd+B/fd++56bbr5567ap//GPX1IYTc5Md30PQvjs8WNHjj0H - APj5N/9CeWCw0WkVK9V+vYEw7fmeg8uYs3anBzHhABJDEwgRw8i4CHm20ewZVoHqNiK6VShJCDHV - qWOeW1kGGOmWadr23KmzBdf1PG92dnZpfV0z9OHh4VOnTgkhLMdu+YFmGs1WqzhYwxhzwZFCUkr8 - H4TEgBcJAFW+yl9S/m8KEnO1PEAKaBAjAEWaIal2zWx/5OHvToyNnzh2/L6779myZUsSx57nTU5N - TUxM5FE/P//WN7frjZGRES8MOGCFSoVI8Mabbg48/6EHHqy5pdt+/zZCyOr62h1f+PzrX/u6n37j - rTt2bP8v7//A44eeRAZIU/b+D/7+e/7ru6f2TM7Nb6x66xwLSsiRuccrxJ6wRwZVdWPVzzj8xjfu - ue7KBz71uTvCIGFcEAPblt3s1XVDz1SiEQMDDeaksbrolXhR5N2myhVKAEDGGdW1HA+0XCd/8P1b - tsEAACAASURBVFKWeWFw/8P379+//5kjRzGBUspGo/Hxv/v45ORkEAT1ev3yyy9fmb+ghAjbPSxU - d6Nx8803NxobUsodO3catvXgdx9+xzt/Y+8Ve/7oT//EZ8lyfZ0jgLhqrG+kvbBWK3gLrZdduc9q - KwGDcmg9+p1vRyxNI160yvtmr8kyAwH3zKmlUmGISRBHqRBifX213+8WC+b4xEi300IYdLtd3XAQ - xn4/wlQ6jiOEWF9fHx4ezjuPut3ujzo/BikFfC/UdYMj1Wp2qlapWhnQU+InHgAQIcIFpxQLoCQX - FjUAhJhpKkVEEcGkrpsSCAC5UCIvOWMsZVJARCRESinHNKIogVDlrijNNLjICKVKKSY4Y4waes/r - 6K4hMEt5qtkal0wBkSsZ85xDeLGQ49IDACGUEkCkMESCsZGhwaSdvPymV8wM7wwveD/zyjf2Gq2n - nj0UwcSjARNi68C2q3Zf/cyhZ/uh56Muh8Kh7uzW3cPlocXV5eXwQqPb1CQdKo5etesa3/efO3M0 - koHmalnILh+/cmJsam7p9JnlM8SBiisttl59w0+cnj+13FjCBRRGCQ/U7NSuidr48uqFufW5VCZO - 0XE169qdV0soHzvxxFn/3Bve+qZQC2MeE4W5EkiCjEtCkWGYWRKlKbNNg2qalFxKkCvZCSEIAM45 - gv8GBjknOza9WApdSuuRAEglBRSIYN0wHERe/8439pd6V2y7ctfw7tXV1SV/Ybm5mGVs++iOvaP7 - KKVHzh9eaS9BAzjUHUJjszO72qwxt3zaCz0gwFBp+Mpd+y3qPH/qucXefJhFLnG3jkxPDk2HWfDU - mUPQBEEr3FKb2jGwozpQnQvOfP+ZJyrFsorgmD1xYN9VvbT32JFHYhQBIWQoX3rlwana5Ln1hWP+ - 3PT125UuWJIihTGmGGOKCeMRNEgUhxpCWGElIcSa4gIqsYnLKqnAZsohAAhCiClNUgYAxBgDThzd - zMIM+Xi0Oub7frfbrxRLiJIoCjRDFyKXRBCIkFKKSaGUAEgpApWQSAILGyqTLE0RBQoK4uCN9np5 - uJTxOBMpxkQpwQBHmOSoZx6KLYCKkkRB0Ov3BVCYEs3QhZSQ4IXFC+fOz2MMIYRJkpm6kWtQbMMM - 4khkDFGiBEs5q1QqUinfD3WdYgSFELZtr6wt7zuwnykOEMQY67qeSdHptMbGxjTT4JwTQrgQTEjL - MMfHx0WcUkob9SaltFQqBf3AMIy8dTJOkzza7vLLL5+c2GJZlgQqX2c0TcuTOFqNZpIk5XJ51+7Z - fQf2X1hZrlarRKM333zzwMCAbds7d+48dvLEK2985cbKKqV0ZXltbGwsZ81yDUOhUIAQJnFiGEax - WIzjOAgCx3HO++v5KdR13ZwZvwSQ5Oex3Isex3G1Wu10OjlUkI8WefVvfhQ3DGNp6YKu63nztuM4 - lmU1m83h0Ym8x8oPgvHx8TRNNUGTJMlUphnU1u2NZmPIGr4oRYcQQqA2xem5GTavzMx/ok61LEn3 - 7NmT/1d1XS8Wi7quI0QAACzLAISmaSoupienyoViXuhYrVZNxw7jYGZmZvEzy3ffe88bf+qNSKev - v+lW3bZ+7w//4Dv33z89PX399de32+2F5ZWO1+cAvvqmmx68+973vPd9lVo55aLldb5419czAA4c - PLjRbLzlTT//rnf+JkYIadqb3vLmZpAmAt76kz975ujzb/6lt2uWESfRQn3tvjc+zBHacdllWKh3 - /s5vv/4NPwUQCJV48vizYRzt3nOZgbVff/s7Zia3QoILtcr7/+SDhJBU8P/7IeX/dBFwSTW5mQ8G - 5EXHB3gRMHPpr/mQSRAGXAKpSrb7nnf/3hc+//nm/v2rS8tjIyMF2/nAe983NDry6MPf3X/1VT2v - N7t95+nTp3XTcF13bXH5TW960wf+y/t/+ZffVrBsg9BPfeLvIYS3/cavVwqlD/zh+2656VU7Z2dv - e9dvP/T4ox2/Xa2W/aDNePie973r0Omn2rzLNZFl2XCxcudn/+cH/uAP5r5z6oYrbnzTz7zFAFrY - S/od3zZcohl9r2nYhOjQKZpZLDjnIpMAQEqJVDl38YI1CQCQo6MAAEII1bU8WjuOY541mci279q5 - c+f2yPOXV1cPHjx49dVXdzqt0dHRMI7qrWalWj106NCey/c+88wzrm1bllWv1/Nb9ujRo1dcsffa - a6995StfmddGzOzcceT5oytrqwCjTIk4iUEmXVIsuoV+uztaGyxj+/yz8/VoFRdhAVRrRROUNIM6 - QVc98vDhnea4Swvl4WENac12R0gGoMpYFMUCQzNfJrgQSiiCCDRwkoW+72OMHcfpdDqlUilfdCCE - P8L8GE3XXdtNolQKgCnmnMcpUzBEJnGIAxTKNYNMcIAVIYQlHEXk7q/8C4hg5nMiCQJYAQEhkJKn - LLEtK8sSIZSm60IBnjHDylteQS5PhgSnLANACqCoptUGBw4ePLhtx7ZMT+pBXaaxoko3DZ76iUgN - wxAs56FykAIipfLDd16tp7DCAKVx2BddSp3R6fHh0gTqqBv2/TgCYuaaqcXukjFegBhpqTU7NFsb - HUY6jO1AEQlCtH18pwsLz889Oy5HS4NlIuigNThqben22oN7q9gCgjARqVfPvlYAta01ObOxTStj - AjUnK+2a2n3FK644u3Lm3MY5y7EH7JEDUwdcYM2tnb0s3GMU9X6/P1Qc2Dk4k2QJnNFeMfbqBbbC - ESM6zrLEMk2McYZEkkQJU5ahm8RgWRLEPV3XAUIQIwQVF0wppWlU8R8OPv7/ujb9VptEHsi1IBAo - ADAlGWcYqI7fNoV54y2vcElxW3VbEdTiJD6y8tSNtVfYlrs+V7/pspshQOR5csDaNzo9vnR6cU95 - 3+zIzvPsnH3MHtsy7HcC6clXXfUaCKA5auy1dpcHS71Vb3bqsmF9rC96tQtlu2zziPtr4XXbX1op - lybY+O5X7eIx5325d+LKAbfW5u3iZa5WoJggi5s7BmawgCON8S1gx7JcTWSsWZSlWeL3yriCdZwl - zLUNaRg6xDBhhFAGICQEMLGJnSAFc4c5RBAozgWQCAFk6BZg0Ov1DINOFScbS/WvfPnObqtddEtB - 6DHGdNvIsiznWCFAEGIIoQSCw00tFACAcKxDAjkAUhENRzLCLoxAeOXLrpzcMYF0kvvuCQYc8hwE - FReDxRVUCKE8c0Uw3u733vzWt9iGiQAWjAkgMMYGNcIwxBBZltVoNBzHwRARjVqW4Xlep9OpDQ7k - 26vjWkHgcc6HR8dbrdbTRw6v1Tempre2O52l5aXpma3NZjOII0JIkiQIYwAQxtjBRrvdZozli0OO - EEgpi+XyDTfcYNoWhDBlWb4GCiFyaXbOOpXLZdM0x0fH8s4gRHC/3y+VSmmanjs//7Wv3PkHf/ge - 3TK//e1vu6Wi4zgQ436/PzMzYxhGo9Ho9/t0dKLV6aaceWGgG6ZRtBUEmJI4jhWE4+M13bbiON5o - 1MeuvEy7KL4sl8u26zTbLdO1wyhyi4UoTSoDtXqjMbNlKkqTjLPpmW0MKoVgFEUAwVqt1u51EUIC - KNM0W+32NQd/rN3pOAWXicwqWGvr9V6vRy3iOI7EJgDA63vVajXvlspno/x9zreb/KPM3Z05uS8Y - z4udc2jq0k6kFMzHKcMw/CCwLKtYLOqaln/0AMIkTRFCH//4xz3Pe/bY8/VOoxf4Tx090uh30zQ5 - fubU+eXF+aULGtXPnjkzf+as4Tj9eGHftdd87/DTEIGVjY3ZK/akAJYGhzjnN938Bi+K7/nOgxiT - pbX1fpQNjk0WKoNbd9D2RvveBx+QXHSCfp8n+669lgN4+VX7S7bb7HU+f8dnB0dHBrdOrm1sXP3S - l9XrddO2EpatNesAgCMnngcYxVmaz4VxHOOLNTg/wutiDP8PrV3gIgB6UUPwwjSjlBISQ4QQAkK6 - jlNzqwSi0PNt02ps1AuFgmWYG6trcRglYSS5AFJec9VVrU6nUChUSmUg1Te/edfkxJaN5dWi4y4v - L+/bt+/yPXuVEE8//fQXv/jF8anJd/zObw2NjZbLZT/oZVl64ytfTpA0NChElrBYAXH2/IlWd+2/ - /dkfffi226cntt560+s441vGt2yd3FarDhZd1y7Q0S01SEDo+WnMlpc2OIOuW1BKSi6BVBfTjjeV - BLldEFOSsVSkknNeKpUGBgY4Y0qJp59+8ujhQ2Hft3RjoFbbWF0TQuiWCSHo+55umkEUXrZ3z4MP - PggVsIsFPw6HhoZqQ4OP339/1+s/+eTTYRiXCsWF8+effPJJgYBbLBpYt6geKd+yrJjFMhEUkW6/ - //j3Dp9fOdvP2gOTg/2sBygOQm4aBYqMtJ0+x46ZUO/F4d/e8el20I7jkGJk6kYcBBgoAIDlFABk - aSIF59SgCKGMbXYu5jUreTaMUupHkx9jOYEfCiku5ccADHPcO8uSguEapi2A4kpqhAqeQSwUlAZA - BKJD3/0+8LDoSUMZiiksASYQY8xYahiGyISU0rFsJngaJ3lxVc7xMck2fdQIKQiSLI3C+MTdx8dn - J177tte4k+7Q6OBadzVkgV4wCZRpmmJMc0oJKpSTLRdvb8xVRgByDNNCFEjs+1EiGhYpDY1U1sBa - DbhrvdWEJN0w8qLwxl03xiBpBI2iVVroLBQHSlnCxuAWDLRW0ulrfS5k1Aq1cb0KMlwksAcD0TcK - plUw67xeJbW59TlUgivBatEpj41NSiAI1la81bE945pG1ubWV+KlCXNisb3ECkkM4phEXYEhwFTT - POETqQEdQA1BrkyqoVRCBAiEGiCKAyygTjSKAVGYEC2RGeccUaQQVIILgdC/MafhYor3iwJTQO7o - EynLilbZtE3WZanMIm/d1MyaO8YM0cqa9S4LlvwJdzIAoQMKpEy5yZ9ZODI5vs20rC7opzQrbSlG - JMIVPDQ44oPABS4tU2CAjWgtBWKlt1IbGpJYhDgOWagR0x6y3bIlgDi2dCyAnqsXIIEpijHAjKTc - Yhlly4tLg0ZtZmC6hIse80RBUUigSQGghBDd0AbxoB+EUAAuRJbEBGs6R5ZhhpxzKQDWpGICXszv - yf8opGlamgrDdGQio264Y3gaxeLoPU888k+PnjtyDgo4MjSUJXHGU2JqKcsAgEARKEl+GgcISCwE - EAApCDFIAWIQcoQxhhrqsq50FLDZ2OiW3bN7AVYcZJmIISYQSiHlJZM551xShQhJstTQ9CCKHn38 - MYxJHEZAQoowISgvo4EQapjk9LGu6wXHbXc7EEKnWGAsO7+6ODEx0WhsUA2aprm+vq6OHjYMY3lj - Rdf1E6eOF4vFmZnphcVNKIJzruu6AgAhku/E+bqRJ3xmWcYzno8ptVqNCZ7zIwCAS7mCpmnmEmMl - pKZp9uCgpml+GMiM9zxvx2WzXIqbbrrp5S+/3rSNIAgOP3O0WC5dfuXe8+cv2G4x5aJgWgLAKE2Q - RrfP7nr/n3xwemLSLRYWNlb/4mMfzbg0HHdsamq+2f/Zt7yFEGKUC3PNDS4UJHT37j3PtoNfu+3X - d2zfzpVkGvrqPXfrlt2P41+57bb68uo73vlOp1RaXLxw6OjhVEpItT1X7js/P/eLb3sbVMotFpMs - Kw1UU5kpAgbHhj70ob/GGEsFiU6+9s2vuq4LNeA4zjJfhhizLL04iyiIkFISQpSfDxljMhNpmuad - DDrV8rfl0tKa40Y5+EcIUUoRjDljEELHcZSQFJM4TTRN0wzabDYHh4d6gX/u/LxhGK1uZ/V7G1Qj - tYEBzvnpM2cwJlnCqkPDVqE4u/fym1/9GkczWBKvPfLIQ99/AhlGL4mef/a5z/79J9sr69VCpV5v - 3/PwY4Xa4PzyYqsf7Nlz+dve/FaL6uura299+y+9/V2/cXLuHIPq0NHDt3/wzyxItgyPfvJzn0kN - GqRxu98d3TL+K29+m60bXrfned7d991LTYMQAoRIkkTTNMV/9AXAm0PM/44pv6Q5yClxBUBegwkQ - zKdvTdPafhtglJNHXAomOCK4WCzmps5Wq9Vqtbr9vmmajVZTKXXs2LGJiYksyzTTyPsUrjl43cc/ - 8XcAgJf9+PU/8aobSrXqb/7uu//yQ7c3m+0k9jWiDQ8OK6YAV0HHs6s2AMoqDnhN/5afunnr1m1z - p+eEgkop3/cbjYbf95UAYRKsLKZdr7WxtnZg/3WlQjEJ+GYkrFLgov/tUgaRjmnCMiWkUkrXtHyP - B0opIW3bshzz1MkTRKHS1q3NbufM+bmB2qC3sdrt93fs2LG2sc6lePSJxxElEKFmv2uWCgFL10+d - vOy6a1mSzl9YGB0aXl1ZtQxzdGTE80MEoK7bfj+glFJLS/oZV2JsYHC53WhGfS/sYRsE59f9NKC6 - xgTQdUGAzgLGiaQw7QfdNa+hWUhJgYDkacaYiGNGCHFdGyPFhZ+GmUgE1RDV7FwW4zhOlm0ejzRN - +5HlxwwPdrpdz+9buqPreqoySimPeMo4jjCnfPMcppRCSiIpmaCUEkRhhkAITW7SlNpYV1xhhbGE - aapRSbM4gwqgFBsSgUQaqYZELoyDVGGAsIQAQEh1zVYyWl9aXl5aPrW0sHJ+/Mqx1//C691BF0GU - ccYhhwRuimIuab/UJY890nQTqKzv92UUuU5ZK1g6LUbNlOmCAi0DmVU2GRKoggzoPrd2fN/o/pdc - 9bIji4eNqhXKYGBkKAJREZRjlRaGi0qTujBsx1ZABmkgCIMWXu4uu9iZLV/OgOgzb7A4oJs6wLDh - tcyqnYGsNFxaqi9oBh2bnDRMXQBRHi4tpouGo5uWqRMjBoknPM3VGZaKwDiMXKrBTIFECCElIY5l - YkqzKE55QCl1NJMrRSHhUHEuMIYEa+IHh9H/8/Wva6glAEAAZRhGmsZ+5FvAtHRdx7rlWh7w26xD - SlppxCkkrpZQCGAHtKUuYhQpG9a9+hSdrpAKASgjrOu3WcAyk28pTXkgwBZZql+gDpmenBkzRiIQ - ZSCDLmyF7S0DU+Ga34naRas4uW3iQnABclUtVSQVfdDzZT8SYZJl47vGs0biAx8CQWwU88iTXlRv - IU2VnHK/7ZlQw9S0iWVgSzchjyOUwiiMBEK6ZUrABVQSCAAhADn1rHIEihDCU44YHi4Mahm576t3 - f/uL9ySHQbloO4aj1piOiI4hj6VOzIwLAjUkqRQIAICIEoQLKISUBFHMMFYUCUSwBhAEAgVRkCJF - peHoxUD6CqC8QAu8yOciLhoqKaVeGCmlEpYtra2maYYApIgCqYRklFLBOEJIZKzRaNx6yy2PPPLI - wYMHjczyfZ9L3ve9iamJRx5/pFgu2I7RX+qXKxXHsZ977rnZ2cuiJIGUaJrWaDUBAFEUKQTziDbP - 9zFWeUFSvng6pp1bHS3diqKo1WoRkoe1AkxJDkLkSwrEaNPTxIWUkiMcRVEOn0AIgyA4+vgzf/ux - j5mWHmfxRqM+vnXL4vLyX3/0bxzTgRCWSqWV1VXHdTt9D+vGz77lLQ8+8ujStq0Z50rXDMc+cPVV - bql49XXX2oiudJvHjh2Dml7eMlKqVkZGRmIvGN86+b2nn1xaWU4408rursv3LLfqbrVsm9by8vJ3 - vvtQFEW6aw9OjA6OjlQGaxNbp5aXlx974gklRLlaRTpNBfdjf+vMztrIkG4brVZrx67Zo0cPe4Ff - qhTvf+jB173utePj48dPnbRdR4mcm+I0F1Zz+YK2l8Dc++l5nuQiR7lyFSbIz4EAKAURQkmSMMZc - 1+12u4wxUzdycjxOE6vgdvudkZGRk+dOZ5wVS8V2t0suOt5LxWK709E0rV5vDA8OxQo0Ws1+o/33 - n/7UxNCIrusLq8uzV+w9ce7vrrvh+h+74RV/8N73TY9OyISHQXrtS1/24KHHFw99f3h84vtPP/X1 - f76TQlAulg5ce83AyHDb62VS7bv6mr//zKcK1KgVy61uZ/rKyyHBdrEAKfnoJz5ua0YWxZqm7du/ - //lTJzDGAEIlBWOM/Dtyw/931w8n9sKLC9aL2sp+YMRBhBCNKgjiNJEYCia6vZ5hmpddvvfkyZMD - w0O9Xu9b994zMDCANbq8vrZleqtEkAumMtjqdgYGBgRUTqmg29ZqfWNibOy/3f5X33300WeOPb+4 - uNjq9sZndkxNb33qyLMb6+2C45rESQLxPz7zT7e88VbZB+FK8MT9j5u6MVyu3vrjt1YLo+/9oz9+ - 92++967vfOsDf/6XQRJCDNrtVuQHtdpAuepMTU7WB8ddu+h3QiEExgQqhV5Ell3yclxyXOcua43S - /H7yQy9Jo+HhoflzZ4aGRs4vnS8USpXhWpxlTqWEDK3RaRmGgZHmed7AyHC91SSECAEIQUbBEUCd - X7ywfXpbEkZjI6Omrne7XR1TBHASJJJnTs31ohAhbFbdroraLKgWdFQuKCQSngBoYKQpLliUpSyD - EnogklKaDi25Zr2xRpDUMcEIQYmBwlmqgijTMHVdF8IgiCIhkEa1S0lKOazieV6hUPjR5ccUiiWX - M6akYjwViCOEKMVQyiAOUhIApCAGTGRIwylQACghJObQxI4EUhe6ITQLmFmW5owvFIQiioCOEUIC - EEIINnSkG0oAAJRUEAPOpQSAMQYz5JhWkZYSkchUbjy1vjG/ftVVV11Wm81wFmWxIAIbRAggFYAA - 4ReF4OVDuUBSCUYwKBbdguHUk7jVWSPC8nh4pnPWX9v4/jPf37Z/py+FW66YSDtfX3BU4dSpk6MH - B73As5HbYq1e7C2vLk1MDK1trOCYzjG9h/12s9kDTVpFmktMzTx65uiO6d31dh1UlTVmhR1/vbVW - MgprnbXVzqIxTE3HaLXXpcd7qLvWXAstf2V5aWxwRBN4MboQhmHP6wKdhMCvDBRVkOAEFEmB6jQW - gmeCajqWUjJmaaYUMA59YGDHcoIkVFxqVBcY/YAW+v/X9eLZb7MhCChAMc2yDCtcLLoudnr1nom0 - ftrhEQrSkJhwaf0CEGBrYXpuYw5JjE2sEICaNEx9fX3d0/tdo9FPO4ZrFAoFFxYX2gsWctu99tj0 - OIfp6bOn19XGZHkGGkBABjTV9dujtfGV1eWGvREMefXOWsWutqLWmefPbN+6vTZTKdYKWdZcb60V - hHt2bW7MHoyTMKWsXKuWEI1TnwBNU7JqDSiFQ6FSP4EIqFAOl0eTIOWScAEymHIgJbpEnwkkFczL - 6iCCCphEIww31taPff+5ZBWAFBiZXrFKiCjGEwgBJ5JlXFeAQBNLqhiEEEIMhOKZTJlkVFEqKQUG - 4EgyqCA0oSmIUkoijnnKU5kqjSmklBIXAaGLZATGCgKIkGYammlAoBSCHCjT0CHALM0wwUIJBRXR - iFLqE5/8B6TA04cP3/6hDznFgomMUEZnzpx5x21vL1bKteHKhQvzhVJRIPnQY9+98cYbz88v+H64 - ffv2lZWVZqddqw4mSSIkI4R4nocJSZLEMIxLMp0syzY2Nk6fPl0ulIUQPc/TNE0zdAAAE1wIIbnI - V1QIoQRKSokARAhRTBhjmBIuhVMqnj57Js0yJkVrdRXpePay3evNepqmg8Zgs9m89uprnnr6iG07 - Kxsb01ungiT6yVtvGa1Ugm4fEnzTT77xtt/97S//xTeuO/jSysjIX3zkI7ZuvGRo8FOfu+PHt00u - r6wtLa+2641Pf+azUbff7/acUvEDf/XnK606g1BgPHPZ7msPvmRmYsrzvF9+x6/d8obXr7fba612 - zPin7/hcrVAquvbHPvF31cEBYmvtwJsi8h2/9Z84l7uv2DM3d+6+B789PDwkpZRZFoYhZzx/cyDc - VF0ihHJDSf4IUUoRgmmaXrhwwfO8PPQPALDpI5HyYvwHyu0X+aGRcw6kyrEcKaWCAFKytL5cKJfa - zZbh2nltJBCyXCq5rrO2srq+vj4xMaFR0ut3oYIPP/ygY5hhp+9NTl24cCGW8u7vPlAoFZ948pBr - WjbVjx9+bmJkNAzi584cP7e+PDI2/M93fjn2A5xwg+CVlRVF4cPv/UOE8R1f+HzseyWqb5uYPHHi - RLvX/fpD9yeCPfzEYwjCkukWTZvFCef8yPHnz8zPeVFQKJfUf5gs5odVfvJS7YD64dfz2oGMs0wK - LiUxdCY40chjh75nFpyhsVGsa/mQ7seRbLemp6e/9+Shn6iV/CQqFIstr1+qVTdazZtvuWV8atIp - FlY21q7Ye/nh55/VbfNdv//uP/vzP3/zr/zSu373P6/XGx/+0EcRwEvzqzumt5qkMDE0/U93fGV+ - fc5j3qg+DoQ8d2hhcmj8BDmbSvThv//4gZddu9pfz1Q8Mj5oWxpLk/Ulb31Fjo4Nl4qVdqPLUi6E - SJJE17VLUphcBAMhBEpljBGMIUJAyjRNAQAKANsyIgyzLKk31hutZqFU3Gg3DdfGhhaFfsRi23Lr - q6vVSsXz/UqlsrGxoShGBHMpMCWc87W1tV27Z+sra65p1ZsN27ShVBipLGOcc9t2FYKZ4lJwxyr3 - e6FRdlLFOONZGhOCdN1QTFGIqEGJRQ3TClLe7/c5izYWlifGhqCSkjOiMISUEiuIkk67b1lWtVYm - BAIggjiSiSSEuK7r+34+lKRp+qPLj+EAyEKpODQ00G334ySBOgQAmLqGBAykn2QpQgggJFUGoZIS - aERTKcKKIIapICBSOjZYIGI/4YBTTWNSUF3L4VaKCVAkSQUXmeTikgMOQqgZOkUG5zwNeOxlTAiV - MX2nlcqo5gwgTpOEYZsijcRZhLGe38kqh2E2RVFSSEANDUEKZZwFUYM1fIHMQrmgDTrSfeCuB88/ - c3ypvnj9G25samGj3ZGZc8cn/8aR7p6rd3e62CzqQojDTz717OPPd6LOT0y/YvvOGSy0p+8/XD/+ - QLPZnL1+5+6xXdBCnh+uHl+4/S//ZuqKsZ3XbT966unLd17p8OLv/s67iANf8trrqnaJlYXm/gAA - IABJREFUGrhQKH3vgceWnlsOsvCtH3hbQXMMop8+fPrQ176fcbbrxy/bv+OaLMx4yC1FbGIMGJWq - We2pZLWxxsOk4LjFmmMR0+NB5MexktRAGtRTnsq8BvzfaLEGAFxUzUkFXwBmpJQa0SnALElTSmxH - D9vBVx/+6sqR9vjWsctv3TUyPiyyrLvW/tJH7wQSvfa2V5uTBgAQE3jXXXetLizNvHzymlcfYEim - cXZ64dS3/vFeUxm/+lu/0uq2/Kw/VBt+6v4jX3ziy/sP7tv7hl2lYkn2wYmTx45+9QiHydW/fPXg - 9poOjHQjO3fu3KMPPTp7cPvw7Ig+bJiWztvZhz96u5XRn3nbz1X2jy74i0nW1nSiUYgFHSmMSAU9 - jyVhKiEXvhwaHGIFEUSsk/oSCAY5BlAhpJBQUkEoEUIIYs4VRkhyEIehRUxXs2wHhlxloWRUWpqO - IM5YkiVZxhnnkiJEBQQCEUKwRByJRKSZSBgkhGmaEiDDACEkdE4lMTBBVCNEKgGhAERgCDKY5yLC - /PiRY6WSS4VUlMREo1TTgjCkVEtYhhWmBEKEBWeQYiY4U+L4yROzs7Pjk1t+47feubi89IUvfL46 - UPu997ybSTEyPnL85DHd1grV4nPPHvvJn3nT0cPPeL43Pj4xd34+CIJyudzr9arVqpAsz3cxLQuR - BAF4MQvYzOK0Xq+fxLjklizLYrmWUMnc+E0Qppjka+zFMleQSxGyJGWMCSWprjElU8nnzp2bmJpU - UHIoVjfWMimGR0cGBwdt03z88cfHJ6bWG3W3WNB0A1J65113hc3W8ODQ+QsLe/ddGcWxYZkcqo21 - 1X++62vzc+fGhsfWGhun5s4WSsXqQG3b1ukP/PEHsVCmbjjl4g2vuvEr37pr4eQxveTe88B3Bopl - mbKzZ85smZl2a5VCpZzwTGH4L/fcO3fshGXoWMfbdu9arW/8zC/+woWllb/68H8fHd2Sxenx48df - /epXnTp1CgBw3cte0mq1+p1+qVTq+57h2Aps6oUxxjrV8qoWpVSabqLdhmFg08rTzy/G8GyGXxCi - 5am+AIBcA0AxkVLm+fhU01LOpqamFleWx8bGJIY9rzsyPJRxbmCKpOo2W0XbyaLYtZ0w9A3TPnXq - pIYwFGB669bV+oYXxZprFauV5eXlibGxc4unK7q7urq6urp6xdUHkiTqr/pRFMR930FYMa5pWj/0 - tsxu10LtyDOHt45NpJ2eoxlKyqXVFXdoMJOi0+8lSVLn61sntgTt3jNHjuy75mpCyMDAQNfrI4T+ - o+ikf7V2AEKgLoEVF5nhPBoBaRRgxKESCBx+9hmN0EcffXTbtm1zZ86Oj49zzn3fz8GxQ089GafJ - s6ePU9NodNu246xurO/eu+cfPveZL/2vL6dxsmPHjr/9h0/k2nI/Cg3LPHnyxJ//9YfDIHXsoqU5 - E+WJlQsLn/rEF3btvnLjQmdqdIeX9KTMCpa7p7JXU7i53DFK5Xa3NzI9/tFPfezhBx4hiNxy62tt - 3aDU7fR7QTeO/MzzPIBgThIpoVCe8r6ZcbJJR+bZdEwwQgiCmFLaaDWTOCwWnGq1XKwUR8ZHsIZ3 - XbYLIdRsNk3bjqIo5emWrVuUEArKUqmUckY0CoTKwtiiOhCy4LqdVgcAyLmYnpm5cH5heHg4TjKo - FCEEGdhLPU3XM5WFWaTpqOQWe60ukdJ1i1AKBFWcBJpOCZS+1+z4QOgWw3x8qKYbAACBIEAASq4I - oBBQBbhUMslYHMc6BW7BlFDGaZaz13liBLxYlPojyo8RURRgihzLdl1HApnKRII8MV5CCCBUAsjc - 2ayUQoAgQSjQqdKp1KCASEEN6gIxzoWfRIDGKUsAwZIzQGmxWMRp1O12AQCK8fx21DStXK5aFBFC - JIFKAmJoUGCu4bQdAQQwpxrULGqGIIpZTCjJ63oU/AFcUQGkkEyyVCqmI2FSTDFBQmKNLNdXElB8 - 6Y+99J1v/NUO6Dx59mhLjzTdmByf/Ks/+8sBPLDYX+wWG+c35ksYXLX/wC+95lc80DvSOzS/NOeC - 4nVXX3PFa/bHKn2+8Wxf9j2/N2gOv/Z1N739TbfVwcrZ7unBwcF+p+uqwuc++VmC1UI8f753lidx - lKGf/6mfHv6p0S7wnus+t9JYcah52ezun/6LN1nAOQPmjyw8gwqoYFs2hypMIy+IZcgIxhJCRXAG - hMyaST+SzCCGRJJFDBKsYUNyrpREBKp/yxyjXpDDoUukMgRACgUUhxQLztvdpqtZo2ODV/zclVt+ - bhcD/Nnk0NzKyZLjVpzBz3/kswCgb6/e1/XbVsWKOuHvvPO3BmntDDj2/MpRrWgJAbaMT3z2rz9N - gf7Y8Yf1Ii4NjauO+vmf/dmpX9zeAZ0n24/73X4BlXZu3/HrH3p7BPzHokfONc7xGO6q7Xz3O3/X - Bc4J7/kWb0V6qhO93/Dv+MjnNABOrZw7fOaMNeUMFCdSnnjtqD6/sZQsKw5UEVbdGqViub2wsriS - +hwQgzgUEkMgBSVXQEmF5GYfFRRSYqqncQYBHR0Ygp1sbaMRBgrYsFgaBIh6YUIJjrKsE/UFVGGQ - EBBTYWCgWZahAz2SYS/ucMYBBigFhjR1bBfcomFQDWscZhADrEGFMqqrFGcQCyAZgAhCIoUEAORY - qUgFEIwQEsYxhTDLMt2yEACSyUwKyTKdEC6EFKLgFmd2bEcInT03F4bhr/3arw0OD508c7reau7a - vfPM3NlipWy4tN7cmNo2+dgTj7OUX3vttYuLSwrKUrnc7fUcp9D3PSW5EKJYKvV6PYAwAjDnox3H - iYUaGRnZsWOHqZmcc4AQ5xwgqGkaIlgwjsCmQBVilP8KOX2vESqlhBgxJfu+t2vvZYVicb3V8MJg - fGp8eX21VCkLwfINBWPc7XYhQhmXCoLVjfX1C4v7Zvc02p355eWpmW333f9AlGRfv+ubo0PDBcum - hnF87iym5M6vf73b9x544MFapToxNNJrtiEAqL7+zPyZ0+fOQV375r33lm337Nm5ndu2S4zDNP3S - P3/5+ZMniwO14cGhp48cHi3XlOTtXvdLX/6nLVOTn/zcp689+BIh1Jm504Kr0Ymx+x968OzZs2Ho - l0qFVqtlUMNynYum14tpHbmYScqczOWcFwqF2dnZ2dnZfCKM41hdrFvKsXApQb4sx3E8M70td3X1 - er0oifPAFT+JIIGdfi/OYi6FbVoH9u3Pv+Thhx8SSVatDtabDbNgGRoxNMIo/tMP/vGBKw64tn3m - zJkPf+xvTy/Md7qt2tDARz7ykaWz8/d+5Rsnnnu+VHKeefap4enJMEt+6W1v+fqdX7nhmpf85tv/ - 06lTp+5/9KGv3Xc3h+qlB1/SbbZ279rzp//1j06fPrl15/ZXveFWRPB6fcO27YnxqdfdcsuN17/8 - G9/4xr9865t+GKIU5btPlmX/IRbrzfVsMxj7hV60/zcYs/m6FFKKHMtYXl4yDWPv3r1e6AMEnvj+ - E5ZlAwAsy+r1ekNDQ2uNOqAI65puW4Di0kD15MmTQ0NDaRRDCE+dOlWtVJMkaXW7pmV12t2du3f3 - o9T3wwG32ut0UqBNT2176tDTYRi0ehtxGiIsgRQyx9YUNQpOX2YcA5NoLE4oQJPjExiCTrtpmDZF - VNfs9fpauVpJeQoRYIxBBCDaLK/Jh99NizXniJL8GWOCa5pmm1ahUJBZWq83g77n9/pRPxwfHw/8 - AEvU6fSGhobW1tYoRLllbm111bSsoO8PDA8pIdMotk1TMq6kNHStVCidPn2qVhvo+56CeUS3nSQJ - oQhgbJUKXs8HGdBlSDNJsJJJiKhQBMTSk4pqihJNFNxSP+VSSskyr9fV3QKSgkogUwYRZowBBHXL - kizr9nqmQYslt4A14HlxHEdRlAdECiFc182PTP/u/BiqFEQI9Ho9lmaDtRGIUb2zRhDOsowLhgyU - T05KQYwpVxnBOIszSCFjGZaIRUwDRpZlQAjGk4wnQEGpBAIQIEQ0WigVhRAo6AvGAMqjSjCiRNPI - pmQBwtwEwBiTLNFLTooDTIkX+6meIYqAREpt6nlBnuSbb8l5yjvkVMPyYuuaAkoJCRQ3TOzoZhTG - HeBpwOwEveEtk0mSLS8vjm0d5oBnMK3X645uI4jSJM1AbAKt1WgODA0gRrzY84GnQ7M44IaBZyEr - TKMWbxWNYl95EslapRp3Ey/oEQw1QPzILxbLfhgQjXppYOsRBaTf94erIzJjYRgqR3mg3/c7xZIr - CO+12gLSAjQXzi2cO33OKpX2HbiyWq2EoX/qwtzx0yessnvFdQdK1VorbOfJKFAiiCAH/76TkEIo - j0hBGEjAmdQ1szRQJADX263S8BAE4Hx3fqm9smVma+j5QRoxwCKQEgMZxOh0OkVc0igJgS8A1w0L - QwQJohgnIGIgKw8Xl7JOXG+NuGOQghTEEmQiSzUdu64tA56CGAFYX9nYum2ax7xTb8dFn0JkF6yz - C13XdtdWVgedAQkYBhqkoDZciWTc2GgWLHvQqZ5YPfa9hcdNzS5uHy5PDEgq1xfXG+GqYqhUHhic - HHWLFgOphEgCriBUMldzISkVlMIyHRmrZrcxotVq5WqT90Gi+lEATVczNEqIQYEmkiCNlcIcKAWE - BiXWiWZQxiiMAEAAEQBSkAIGRAxIAVECFWRRKmiaiSTJIqVxxhgCCkIMFIQQCiXh/0Pbm8fpVdX3 - 45+z3fVZZ5/MTDKZBEJYAhFUSqkIaq211CLaulQpLiguuItLRSi2gKKUooK1pa3l596vqEClgLjE - AEEgARJIAkkmM8nsz3L3e+455/P9486EoHz7q609r7zmjzzPvO48zz33cz7LeyFEG8U4UcagUX6l - kufSE5Zn2UmSWJZNEIEQzllZZlAhOp1Ou9sdGxnpG+j3Im/68PRXb/7HS971nr++6jPvf/8lE8es - r9WqB6cnh0eH5mcWfNdbtW50y5ZfUEoFtzWoRqOhpAaDxhjP8/IscxxHKcUIZxZDQjKZh3FUbzaO - 33giYywIgmq1rkyR5zkTnDEWhqEuVLPeWDU60tvbm+f5zMxMGYLKEiiMIyaE5djbHvrl8zZvXj2x - Vqp864P3hXGsCjU+vnbHjh02F/0DQ0mSepYwhE5NHXp6z95jVo/f+R939ff1zreW9hzY1+p21k5M - zMzMtBYWCym1LLQ2AwMDM3Nz1Wq12+3Ozcw+9vD2kVWrWguLmkBsCqviubbz0LYHw0533erxbrsz - NzdHKS05Snv37t21axcWqq9Wby+13JrHLcYED7vh4zsej6IoTXPX9owxJWDZtm1joLe333GcTtAV - tq2UQqBaKQaEGTDKSCmNMWwFo80IJQhplgohSkAMY0xKmaZplmWdThAEweHDh8MwvPrqqxuNRrfb - NYDJXKbQlLVoEET1amNq11Szr3fTCaf88SvOrVarUsv7t97HCE2SxHNcmeXMYu2l1pvf+Oc7d+58 - x0UXfeOWb27ZsuXjH//4Gy68wHXs3lrj6b1PXX/t59/2xje/513v3Lbtgbt/es+je/doAquGh5qN - +jveedFLXvay5518ymte/2e33nkHI6RZb4wODP3Oqc//4k033n333R/42EcmJiaeeHrvQF//7/7u - 7x7Y8/SBAwfkGUUcx9VqNVNFJrNcSmN++zJ35eLkiK/esmMsgWVf2GcseREQEQkQgoRg4ViUYMFB - U0o3nXjcA/dtbbfbZ555Zru9xLkVx3EqM6SQFbnju5lRxOKWsFuLi81mExFMrlzLcYmQWZZ1k1qj - HiYxQ0oNUWmRhlGt4hcyrdd9k8kk7/b2NmcOzTDBQFto0LJ5nIfDw8NJGLXCmFUblJA0SWwiHC50 - Jo3MLUtU6pUozVpxx675nTRmnBClKKOEsXI0W8qvlzbECKDQMK1t2y2KglMRdMJKpZKnUmZp1a+Z - XL/qFecOD6waGhgAJIemp0sSsmXb7U7Lr1YXlhYdx3lq39O3/+jfw3bH9/1OkhKmGIDn+UtLCykT - vucUhfQ8J87S40/YsOOxR0fGxuYX52ShUjC9jcFC5SQsbrnx7+fm9hNf507eMt2cFgSYDValEA7x - Ddhprnr7+o4/dsOnPnJpw62xXHmU5haLdGI4zbRhlEip01AZLqqeX6lUhBCdTqdMWcqeSllI/Sb6 - MU6tVrEs3m63GbOOyMdQShmzOEKSZK1Wq1qtDvT2RVEkjaFAbMsJi66WRknt+k6hJKUFszDD0LN8 - mwOisSyOeWHZmOuIABoDhIArrMHhMSQQdbvaFJhloBEAHNfu7e2vVqvlaMkYRQihSFEp1BoIs5TI - FRSuSZxcW6owBRIOhjBc0TehaPAINQkZQ1QFAcMoRU4VEt8SzBgHdJq1sDk4Dx0C0BjtbS0uNus9 - UKULsFAHGVuhjnRvpbc13x7sGehA2wBWq/Ui0RQ0t6EFSzbYKY1zkzIhUp2GlWAJFiTJExmDNq7t - SCedh1kPXMlUnhnPrXdb0aBNQohzyHsafWHYNQr8vuoitAQIVqX5XGRz4Ti2LKRkultEwMHilKHh - WhuZG1N4VcetOZqqXMaAijOgBBRRlD67E/XfWCv+RwBICQWNBk2OKlXSbzRy0AdgH22CI93uUiS4 - 4BV3DzxNgYU07YTR2Oj43KHZGZj2wE8hk1q5xuWCZJjFEBIgbdNxuAvUDYKg4TWXYDaFuN7wgzTo - dBeG60NTMOWDO9y/qnuoC0AG+wdyIluwlEDi1K1up9Nbb0BuNMguZB3VyqFrubziN2wU2ULctD1G - KTjYaS+OTqxiDk8zpTPpMEcI4VicEoNGFSYxBhHQgEZGGCVCC4ICc0UJFS7thi1qKOQAFDRVhhUS - VKEKAKjXe5uMEWaFYdxZaiVJmLa7denV6s7qoWHh2qrQQTtoL7ZTjI1t0ixjXNR4pV1ktm2DzRQo - xl2jNeGAWFDKKeEajWXbUkpChO96aZpbJf5FKYoAWmdxMjg42FpaEK5rCRGGoeO6BvXgqiGpi2OP - O/bhHQ8/+PAD4xMjtVptdGxEMDpz6FDV9VQs8zgb6B88sHefTS3GGBAOGgutGCEW5wAUtCGIGpXW - WthcStkJukmeCdcRjq0JUkK5ZctCO64DQLUxO5/YPTs7e3j64PHHH/+uM04fHR09ePDgQ488XKvV - GHLGWKvV0lp3Fha2b9++1G6dc845vu0873nP279/cqd+ct3aicd37RzqHVhYWux2A8/zSlWInp6e - sXXrP/DeS+JOcMsttyRSfunGG1//xjekYewx609f+6cTExOrR8fm5+fvu+++2+64vchz4bjnn3/+ - H//RuaVIRK1We9+HPjC/uMgANm3atPOxx17xst//vd/7veOPP/5v//Zvv/3d7yRB6FerZ/3BWYcP - H77pi1969NFH162beMlLXqJUMtK/2rO8Ttx551svajZ7KpXK1Z+9BihFJEojpaQVRYRxBECDnFJE - agNDrSkhjNCyWHIcS+vCtrhWkhCs1SpLS0uzs3OIpN1uz8zMBEGQ5pkQwnV8z/e1MUma1up1vjA/ - dXim3enkeX7gwGQURavXjnPqFInyhM9RpLG0XSvPc8/z5uZmL3rnO+665+4oCnIsdu/ee9555/3p - n71+1ejIT3/+szNffFZvrbF/8sCx4xMgJUF9w01fHOjrP/PMM5/YvVcVRaPR8Ll95u/8br23V1ES - FbLR1+f7fqGUytXrLnhDb6PZDsKwkMNjq9Mk97nbU2289HdfdPYnL7v7zv/wPPcrX/3K6vE1eZpy - S6Rp6np+mqbA/tco1ketZQ3tX8EQ0yPGNgazNAbUBpVM5L59+44/4bg8z2cOH2aMxVFQqVYBaEkK - N8YURS6lZFUy2NMXhmHV8dIk0bKoezUGXLiitbhku47M1ejq8SRLXddGLJgQ3WAxi9Lh/kEmSBTF - vuNXfS9XWZCEjb7avpkDRVEMrRqNpUnjfKS3WaRJGgXOQL8lHMdxCq3iLHUqPlCkQDhnjEAQR7bj - 4bJOOwAlsOwADJ7nHaG9cc6TJJFplqZpo153Lbe/d2BizbotP/nZhW++4MorPqO17m32TE5OZjK9 - 5P3vu+MHPwRKbNf1Kj4qXevpScLI5uINb3jDk08++cD9W5uNxhe/+MX169d7rv/Kc18ZZ/Eb3/j6 - 9ObkwL5Jztjg4GCrm3bb3brljg6tuu++e+db+3uPqQU8DCr5w7sfc5g93hhp7Tr8/PWnLc1m6485 - 6dEDB19wymkMeGsplLmRUhFbIGrGuAajCi0sRoClaUoRLMFLdYE8z8sqzff9LMt+Q/0Y+pz6MYSw - KEooJ4LzJEkZY27V8n2fKRkmsck185ht24ikKDQt0W1EaSKR2ADLEmqmNK0hy7MLgqW/EBIAz7IJ - sWgDS76YZVmMAJZWfgAECSWcEcpgGa9BDQUChmrFAKkCYgAoQc6MAQKG6BVsx7InDkVEMICUANGA - BAlFQtBYDFDJlmwrWyFCgjmlxIDSVB1OD7ec1kKwwB1LKiWhONydRZdQoMCZxW1KaVYUs3Leslgr - Wiy0tDUTFuvKJdsWUR6EMvIsO4M8h/SQmnK531WxAWAGE54eKg4vsaU4jFGjpobYtFsECEaDCfMu - d6lCyQQFy0Lgx24+YXzNWmqQ2zxXeaVWGRkfhQqjvuXVPOCUaABS9sK0RgDy3wsiJYboSAZTSkkh - JZQyZgwYwFhm82xR0DYhhAjCuY2IsYpnYMYCSzLJLZZnmSFmOp/ybC9MQqW1AV3IItHpdG0KDQkx - tBi3LCvV6UKxlIpsMZ4Pk1Br5VXduXgB61Sg1Y5D23UJIWERT8opbrFYJzHk1BZg87TID8KMLlSX - Rk5DpEYmcdZjNeqNnmOP3wgaDAGpVRB1MSGZyl58zlmYmZpbBQFR0VU0N1QDo5RQRKpBL5dwhpa2 - R6XCLy2RVSV/iCIjBAyCQTQclz2iqOXbwNGixHOFY1ucca0IGIKGGGIQwFBVUuS4ZlxTA2CoMUBN - afWCAKiPDsYIpfw0MEpRG6UKSmnVdWzXkZZYWpyv+ZUsy9Io3rBhA1J20QUXffKvPnnqqaeeccbp - jzzyEBLo7+9vtZcajcbS0kJ/f28aZ0sLreOPO37v3r1Kas9xgbJSEuZXNkpJNbItDmAIIYwTyhgB - AoQVRUGQcmZRSicPTE0fOjg7Nze3uOD7vu26tuuGcbzvwAHUul6vCyEmJyfn5uYWFhbyouh0OsaY - 1aNj05MHTz/99F2P7RLM6uvpKXL15j9/k2Hkvvt+sX/ygJSSENLb7Mmz/OK3X/ThD394bGT1VVdd - 9erXnJfnuWs7FMj6iXWnbDr58ssvj+N4YGDgXe9616233qqUmg/CD33oQxdddNHVV1/9mte8Znx8 - /JwXn/3d736XUvrud7zzr6/8zAVvevNHP3bp0tLSFVdcce+990ZJPL569dq1azdu3PiJv/zknXfe - +Zm/unLNmvH2UitLsquv/Jvrr7/+FS9/xR/8wR9c+Na3vP3tb7/xxht9vxLGEVJCODVHK+AjrAir - HvUsHTE0JSRN006nMz09vW/fviTJSvqFbdt91b4oiqIoKqViCGf7D04+9NBD23fsCMOQEOp5Xn// - YBzEnu1bFpeZjKKkMIWT27VaQ6rc9b3zzjvve9+/NUkyzvm+fft27Nixbt26b3/3O9wSH/nIR7rd - 7qknnyKT9ImdOycnJwcGBjpR8H9u/R5QOrpqZHp6+vOfu/aMM874wIc++IpX/uFdd9319W9+IwxD - RAy63SuvvLLUCeOOfdXVV8/PzRljWvMLl//lp/517cS6detai0ulaGEp5OG5bpIky5o9v+3Fy8j/ - a///XFdCCgCWZTEqOOE2t2tuNeiEeSqPOeaYNMhFze4udVOalW9TaV6pVYf7BycnJ5lQWpr+aqPV - atm2Xe8bmDl0qFKpGKIrzSoSCILwwPQBZJQ5nAs2M3No48SGIsqyJJZ5ump86PDCIZ0WCpTVsBbk - oeqqShTJmfaBscG1MoiSECef3n/MunVBlBhCOt3IrVYYY7YQucoZJYiaMuo7bqENEqBACCGUrKgo - IqpcEoOEEFMoWShUulKv12u1qN0NWp3W/EK2Jn7xi1989llnv3v2Pc16I0mSWq026A9c/PaLtz+2 - 49NXXH7zzTe3u921a9fOLS4keXbeeedd8u73f/QvPzowODw/P//GP3/zlVdeee+990xNTTm+5zje - qqGRpBsnQTI/fdiuVus1u8jCBMj+7hPv+djbZtTUE0u743DqlIkTaapFS0GbDI14r3nlqylt/ODu - ezxaNdyp9Q47tT7gPmN2kaZcGEtQBUZQQghmWZYag45TJijdbrcoCiml67ol5KW8sf8T/RjOl1V9 - gYExJo5j4LZt29TjCk2KSamrXcJoqHjGxnfZIQaoAYpkmclqoOQQAeIyRJ9zy7I4pZwxlmVJCXbT - WsOK8TghCGiOqAHQI/Hi1+yafyWUlG8nuOyISBAIEFO6HxDDBdNGJ3mMaJRUYAMDprnOi7yQeSAh - h7ziVHKSoq1zLefDWUKIFopwBMZSGRdxbhU8iWNCkQKxLCtN8sDpxGlMLEQHDDUacS5bskSUilwj - MoupRrpAD1NFoiKpuVUiGCEkwyjJY2OMNgXhJAjKwpRlaVhnNae/rmXRTVIui5pb8Xobgz7PSCGJ - KVQqVz4hYRTx19zRfvN1xAkSDZae77rkyKAKoi6llFMGggAnWukC1FKyaFlWYXJqk0xlwhWduJuq - rMglcykI1KgMxYVoHg3Jaa4IKxgUtpmL2y0nSotYc+PVKsR2w7BbRAvEkIQldZ8RilGSYBYI5Ipg - JjTnDBwaZ3p/vqCyIuaZIJS7ArVJKURJN7W1Yzme59mInu2kWXLCSRuBamaTTtISvpBMSqKXeZql - Gzksf2tIzbK+0DKp8UgSsyxqVu5FQDTGIDFMlEJKLidgceAEyx6wMaUvBiXEkGd9n5BmAAAgAElE - QVR+8VnfcOmSTcj/E0DAGNNoSo3XbtSdmZvtadQ5p47jdLvd3oH+v7nm6sHBwaen987MHJqcnLzq - qr/+/d9/qe9VcpV+6rK/3L1797HHrt+3b1/Vrw0ODv1i69ZarWYAlNElPftZpO4VrTYCoFRBDJpC - oTaFlMxACZExxnDK4jh+9NFHlZaI2KxX+/r6Wq3W4enpe++5hzG2bu1ElqSS5tMHpw7PzpQadxRA - 2Dal9Gc/+1k3Cjccv/GMM07/9ne/9Y//9E//9r3vtqNgz549frUyOzvbaDTm5uZWrVpVqVROPvnk - PU/u/fSnP/3tb3+7VJbjnM/NzQ0PD4+Ojs7NzZ144on9/f2c80ajYYx5+OGHK5UK5/zY4za87W1v - u+O229M0ZYzddNNNN9xww2tf+9pHtz5w2bVXT05OLi0tcUtEUcQ5f+ELX7jhmGOMMT19vSvRhtSa - jcFVw51OZ2xs7IknnhifWGvbdpIktm0TzrIi//XnBQkQXOleGkCDpYQMpbTkWxw8eHBqasq2Xdu2 - AaAoivLI7+3pMYjXXXddtVoNgmByekoZ7bqubblSyiAIHMdxPZcQsrCwcPXVV2dF9qlP/+X+/fuH - R4YqlcojO7Yfd9xxExMTP/zhD+fm5u644440TTds2DA3N/fyl//B1q1bH3/88Z6enttuu62vr68o - CkQcGxvzPG/Hjh2e5wElX/nq3/f29tbrda31wYMH+/r6lpaWDhw4cP7552/durXT6dTr9XPOOeeG - v/u7arXKCWWMzc3NrV+/fmZm5iUveck3v/2tgYGBPJeVSgWOgi3/dtdKJ2bFBR6AAHnOtKZ8nUqZ - E8IYFWEQn/Q7m970xj+XuWKETE9PNxqN4eHhJEnCMHRdN5Oy2+02qvVrr/tCq9NWgEkU9fT0hGF4 - aGrKdd2yWxMHQaHV+g3HTk1Pg2CWIxiQ4YGBQ4enTF4M9PVe8I63u3XRM1yPdSQx7R3oC9qdTrt9 - 4rEnduY7LBF5IEeHR8fXrPvKV/7x6af2tcPIrdYcz3UYaFSmKCybG6OTJPM8r9ByuYiklCKsWBgu - b9DyKxacc8YQMeoGRumq6/U2eyqVCirNgHmeV63Xilw6njs9PaVBgza6UK3Fpf7hoSAIJiYmjDFP - PPHEBy79wMS6dXv37l2/4Vil1NYH7r/44ncnSbJ2/TGzs7PHrjt27ej4ow8/EiWJXa/sO3SgMVR/ - /pmn2UIe6szSXlHpaUQLe6hD142OPjW9w68I16YNr0KhypCnUFDHcxp1v6evb2g41ClEYGTOucMF - 1VopNJwyY1SWZSUyvBwP53me53npIfCb6Mdkz6kfY9tQrfqZzIuicG2vKPLFxbDZbPq82mjUiMSl - fD5N4x7WoIxoXD4BV3i+FAGRUAQ0K0rRJaWUworWmCHGACGMc27b7vJ8E5Ee8Wle4cbjkW7Bis9X - +Y8eRaT/lUURCDBEJFgaQeOR04QyZoTRyiiiM8xdxzPGRCrSVNuWkFI5dTtROUUwNnEdL0lzo5Vl - OblKGBGSFZoCECSCUMpAMKOxABVmUUE0dYTmCIIwwdIsTouc2kwbMEyBhYrmQAj1jbY1aA2GEKBx - 3uacMy4QFVqceQ6lNDOmmySF5TLLIOMGSEclRgO1qTaQyBSNIQ4zAIQRCmDwvy7W+/+zCCEaFSVE - IRhtGBOc8SKXwIg0kjCqjSaMcJdnOkuTlDHKGJM6F64ocpkUMWOEWTwHWTAlHJEXhaFIPBJLyZTm - tqWUitPUq/gyTQ1nYZ5oRhNVMEGwxkIaG9CFo4CShBRCCAVEmkKjVC7tsKxgubYV5RaAzokCrtAh - 1PKQ8JwYi7KluOXbzsjYUBxFSMCtuZprbQMQasCAAY5AkBGKtPQGB4MECFJTnmdIl4VcAABpmZgA - IYiGEG5QU8osywJADkiJ1loqpQjngCs9DgQ0BJEsu7o8y3dyWV3QkOfeulmWObZbFAVTql6vrxod - UTI/cOBAza/19/cfnp393Oc+t2vXrpGRkSeffBIRr7zyysce2zE4PDA5ORmE3fXr16dpXqnUmo2e - KIp83280GkoZKWUpio0r5gvlzYYVBgQngBoc2+acF0VhDFBKXdtBDSX6bWlx3nVdAxgE3VKpgTGm - lKr6lbKeKYnZaZratl2SDMI4FkK8+jXnZ4XcvXv3sSdsNAC1RvXETSc5vvPjn9zjA/T29iLiwMDA - 7Ozsl2+6kSA8/MADD2vdP9g3Pj5eIvySJHnPe95z8cUXW5Y1OTn5gQ98gDE2MzPj+/4XvvCFzZs3 - X3TRRZe8/30PPvjgrl27BgYGtNZbt269+uqrL7nkkktnPpam6TXXXONXK2EY7t69+9Zbb33kkUfW - jI3Ztn3HHXfsnzzACDVK/+u//uuLXvSimZmZvr6+c889d+v990VJ4jgO5zzOUmDPfUg/w8+CMmY9 - QyrhnFcqlXq9XgqYlOCYUgKjv39QCOFXKgCwa9cu17Kp4MrobrdbxvN3X/LeT37yk5+99rMvevFZ - J2w6YXhkSGp5zLHHtoPWn5z7qk2bNiHi+vXrt2zZMjo62u12R0dHhRAbNmw455xzfvSjH61evToI - gmazWQKoJyYmTn/BC/fs2dNoNFqtFuf8jDPOqFarSZIkSfK6173uis/8VWk40+12Dx061Gg0sjzf - vHnz6OgoIhZZ/qEPfehjH730wgsv3Llz5+Tk5J/8yZ9s376dFjJJEsd1S8ut/1ngeY5VdmKAItBn - l2jLihC4bJYIiIYSVnrraUAk/f2Dq8fGb/vhHZww13GSJLnzzjvLHuTEmvGhoSHOee9A/ymbN7da - LWFbhVZ+tSqVYkK8/tWvRjQ/uPX7QwODH/3oR9esHb/99tu/+e1vRWn0yQ9+YGxs7LKPf7oL1Lbt - OE8aoz2fv/GzQ8f0uU1OeBHHUc3xhWG33/btHl4ftgdloLc9uP2nW7addtppjz+xh1mu5bi5KlQm - tZGUgpKacla2FgRjRgMikpWS/2jhOwJAKSUGiywnBsHgMjhX6SSM4jgBgIGhwVar1aw3lNE9fb3a - aAAosry/p7dWqSildu7c6fl+mqajY2OF0bmU23fsGB4ezvL8/ge3GUJXj4ydctLJJ/7ZiU/s3PnT - u++cay+5qmnVvaDIfnjXPX/08hdPrDrhQGffEw/sn5qcndgw8YsHH2BRPmjcY9Zt3Lbl4Yl1p+aZ - QWBg8cNLCwvBQpAHbs2t1ytZHmEhOWNoMJfoeBYALVUgO52O53m+7zPG4jguwWi/Df2YvFLxOOdK - FgDAGDPKBEFAHObXfNd1bbChNLkGRNRkpReDQBGoIdoANWAQqF55zsmKvgIaggSMhkJqSikYZJwi - ojaKUAYASBABNYAGbeBZrRe6MvVYRj4hlOaR5llvICsMqnJnE4MGKAAhGjVQAIoFSEWKgubKqFSm - rutqQbQpFCdSF1orISwU3CiFYIiNMskpKOoRxogmqAkrDCDBzORos9hIxglSyFUqKGeMKCIBlcVs - NMYUmgKiRq20a3vEIBCqjLEsjpogJ4aiVMquuRnmoAFsRCA51cyA5VmEsjgOpSo84SFjOjdEMG4J - owuFihNa5nf0N2nGHG0e+ez4oKEUojXaEKQUCScUmDYKCTIGUkrKmeM4RSallL7raVRoEBGJgDzL - LcsypEhkjMQAB6kVUmLbtgFl0BDOhSXyqAAAQJoleRwkXtUl1CiGwhZZkRSYE4sBJQREbhQRVOUG - dQ4WR2qUi2CIodogajCKGrSZIyxdmDxLNYgoDw3mdk+vyTWxiLG0okYypQgiUEAKSAgCMxQACZhl - i3VCwVgAQA0jSBGRGo6IQEryXRlNyuaXQUqIKaV5EACQULo8zqOlHySUJ5qhsCJC+Iy+KEHynNyK - 8lVEzrkGiOM4aSVe6CqlXN8rTKEL3d/f+5Of/Pjss18yPT1dqVXXrVt3z713l7VHURSNejPP87Kx - utRqEUKOO+74NF1GmCoDJYofjqoQygeGADADRqnBwcHW3ELF9WSalXE17EbNWr3quX3NHillKtPV - o2MbTzi+t7e3pEYapVuLS5ZlEUa11oLx0g+4KIpzzz231qg7jvPil5zz1MEDfX191Zr/ne9853vf - v3XT5k2XXnrp5z9/bSrzml8hVHDBtm/ffv55r37He9518ODBAwcODA4Olt6QlUrFcZyvf/3rjuPs - 2LFjzZo1JbDPdd09e/a0223O+Qc/+ME1a9bIQu47sF8wPjAw8PD2Rw4cnNy8efPtt9/eDYPSnml2 - fl4IsW3btrvuuquvr69ZrzPGKBDg8OMf//iee+4xxpxzzjlf+9rXltot3/dLGlFZCz/D6T26bbn8 - OBkgWCY0ZRcvz3PHcVavXu15XumLWanUyoZ3GWYZY3meCyFGVg3ZFs9UIWyr2ewdHh7OpNq8+RTP - cx3H2b9///DwsDG6Wq1KKTudzrZt235013+ccMIJhLN20M0K+b3vfW96ejoIgjAMN518ikbMpLRd - 9/PXXbdxfOPdv7g7DMOq788vLngV3wD+4R/+4SXvuGTbjm2PPvro0KpVJ5xwQtmSGRkZOe20037w - gx+sWrXq6X37+vv7S+1TBqSnp+fUU0+dnp7+zGc+87KXvezkk0++6667KvVaedAc4Wf9dtdRmBjy - X2k1E8EtISytzfzsvC60KfRV115z8803f+2f/nmof6BWqTLGLr744tHR0V9s2XLSKSd3orCnr7fd - 7fjVqjJ6Zmbm0ksvfceFF732z15dFMXQ0NBb/uIv1q9f/7a3vS1ud6+7/gtf/9bXdjz6aKPSTNpB - F7UWptFfH984/rq3v5pU9L7JJ7M0Hqj0yHby//39v3740vdMbXvqvFe85r0f/HjDr1Vqddv1KOfS - aEqQMuxpNCmDIOho1CWAnFGORP+Kxh1Zcf8qE2FTqFLTqdZsUmXKlkOlXqv39Gx79Jdr1q5Nsuzw - /Fye54TiV/7hq2PjaxbbrcnpKa/TUrIoxQBOOumkVWOjUkrH9445/rg/f8Mbf/zjH/f09q2bWH/9 - 9dc3avWzz3rxoamD8/Ozbt1vhe00QAM4sXrMc3pf/tLz/uqvLzMLVq8Z/cX3H6x5jm+gb90IFnY3 - 6D61d7Le7CdgLSwupkUcyyjXmUvsWr0iUhJ1A6KBEcGJBkMII5xzrXUQBIhYrVZLW9ckSco4+D/U - j+l2u0mSEAaMkSzLXNeu1athGIZRFxhQn9bqVcdxELVWBqwjG4lCKUoEBAlBAma5aVJuwhXsBSGM - rYhKI67MmMyRWwagARg+B+PGADAAoGAoAEFTIgl+/TAGKCdYhBCGqGEF+loGcYPGaEMYMWCAArWp - 8ESapkgwizPf9/NUM2a6aVD+SYooZKBQ2cI2xOR5AcgMgsK8gMJxPK1yyhkSU6jCGCUYY4IiIiGa - UTQGbWIXUmspKWdKGUJBa52rwnYdpVSuC4NIOW91F7XWNb9GbchR60KBzGwhDEfgVAkAQRkwYBQJ - KlSIaH6r0QMRCS2TTSQMDOiiMAZQFpmwOFCKzGhEZSRhQDlBhkoWBowsjG25hmvKiWFoOBjAAlUG - KQXOCAUBDAiAIggciYqzulPlyHzPsSyrm7cymVg2IVRTQCSFMcSiLJWFZ/vl2QBGq2WraMyzxOG2 - 5ztK6ziJ8zzlVDAKRLDGQC0NolSFkkutdSfuEtcyFDQtdwNlKICUtvYKAIAYJNTAsus1QUqwRNcR - ihQBGWoAIJQSgogGkBqzIiZKCSOMMAaGEQKklBDEIy1wgKPSF4AyGpuVnfwcy3Gcsq4dGB6gnERR - lCTJwMDA9MGpJEnWrFnzohe96P7776/X6z09Pdu2bdu06cQkSXbv3n3MMcd0Op3FxcWBwf4kSTjn - fX19e/bssSxr2VFZmbKn8qt3nBgCwJEQbQZ7B1utTsmpIRQJIeWEWghx2mmn+RWXUqqMYYz5lQoi - UiBCiCAIKKWNZvOkk04quzW2bRdaazSu63qed8eP/v3mr/3L3914w5rx8Sf27OqEnU7QrVT80rKt - 1mzsf+rp0ZGRyz/16QcffHDDxuPG1qz+6le/+olPfOLWW28NgmDTpk3vete77r777gsuuOB1r3vd - +973vmuvvbacQ5XV19LSUpKlGzdubDabYRjWq7WypbF3796BgQHHcS6//PLrrrtusdU68cQTX/Wq - V11//fWu62qtL7300muuuWbPk7tHRkY+9tFLL7/88i984Qt7n37qL972lmuvvfaBBx+sNxt5niNd - thCHZ4eaUtlBAxpESknZ8C2HipTSUuC+UqnYts05LxViysbSwsJCpVKZnJwcGRnpbfbU63VqibyQ - lHJDwHGtO350+/NOO/WGL/3dmy/8i07Q/sa3vsUE/eznr/nwRz+6d9/Tq1at2rt371NPPUUpXVxc - vOCCC171qlfddttthw4d+syVfy2E6Ha74+PjH/vYxy688MLLL788iqJXvPzlvu/v2rXLdd1vfOMb - s7OzP//5z23blkqdf/75SZJ4nvfLX/7y1FNP/exnPzs+Pu563mWXXdZut/v7+2WaLS4ufvazny17 - SyeffPKWrb/wfd8YY5Um6owdwTD8FhdfmeseQSKZ50plnrkhSqlCatCkXmv29Q0cOnjIoZ7FLIvb - jVpzcWFOKdXTbDq23W21LS5KMObYmjVpnlHBx9dNfO8HtxICGzZssLhYXJp/wQtOk1n+p685//Yf - /uAVL3qpzVBcIH5yz89//rOtw2Orp1uHqk7lxONP2DCx4YG9W5IibvbVglYQd7rVavWJxx5/zxve - RlNx+qkviJOYEIaEOZXq6JrRLAkqvlWruLOzsz09Pa1OUGgDSAhbLouOHkVjqQbNWJnR2J7rGi21 - mluYB6mEYw+uGj72uA0PPbL9/l8+ePbLX/bC3/vdEhODRrVardXja3758ENnv+ScQut/v+s/ClUM - Dg2VMOHjjt946/d/MLRq+KGHH5lYt37r1q0//P4PmrXm4kJrx47H5mamm81GK+22s6RvbDTNVLU2 - 8NKz/vD0418og3Rjz8kbxtlLT3slAZm2W03OZ6bj3v7VSQ6rVo8e7hyKsq6wAEGmaUgDZJawLV95 - UKQSGONAtTaAhjFWUvLKYsu27Wq1CgBZlv0m+jHLZ+Cv6McgYhRFZYqtFBpjGCGccyggz3PLsUo+ - 1NHJ4q8sBDBA8aiXCC4T4AFwmXxIKadMmWcwCLgc4pEQRKBIDII5YvpFEEr85K9dzRwtQLsyI8Bl - NAMBNAiACs1yDGKgjRaWUEZRRh3L1WgKLcvKEihyiwHFQkshBAJmMqWcFoXSqAAhLzImHCq4QkU4 - QYrMWu5mCpsbVMpoISgxBI3hjBMjrMISGi1qG7lcVDDGZFGszOGUxTmA8TxHa23ZlgYCwA01uig0 - ZlyUp2NGVQk8NUYZ0IZRyoAYQv7nmJgjg2dKqULFgFFOtdZaG8IIYQSJUUYRThBJrnJKORWsMMqg - powaRI2KW1yBMgaFzRWCBs04AURjFC8BBFIBYhW4IE6xlBY5WtxuWrYBRxWxpakqDFINAKCBUeVp - sBVwzQhSTU2qMuZQBoYA0XlmIwGDVUswxm1uKVkonSEAteli1BrqG+zI0BV+nGeKLmf+1AAawpAD - 0Rpp6XxpCFCg5kjmUTp4lV6PxBAgCAZRoQGKzBBS6tStbGdEBEroM/2VFawJ0Gd1PMzyXfq13UsM - kOXsXGnNKTeIc3Nz3GJxltZ8b2FhrlKrbjrlZKXUQ488XGtUx9eu2bdv3/j46jzPy3nB/Py81nrj - xo2PPfbY0NBQtVGfmp6Os9QQyLPCtm3bdku3ZwBYoa4agHJkBhQJoRQNAYOlfE6e50WRI4JCxYH2 - 9feUqDvL4kEcJUmklErTtFqtCiGyPA1DSiloVJVKJY5jx/cQIM7iOq2/8U1vuPh97+5E4UMPPfSB - D7//Y5/4RCfoXHvttdVGnUTRzMzM2rVrDx86NDQ0dMstt6xdM37mmWdeeOGF/YMDQRTWGvWJ9ev+ - 7Xv/Z8uWLd//4Q9uueWWBx54wPHc9cceE4bhPff+uOk1t+3Y1mw2Z2dnv/Od71iWteepva973euu - +tTf3PfIfUigbE7HcVxa6zR6mkBJ0AnKyXutVuvv7w+CYGjV8MDQ4MLS4pe+9CW/Wnnzm9+884kn - pJRxHPv1GphfLajKu3hEYRXK241giNGgldGCEsuxS1xRkqUAaann6VVcRnwp5ebNJy8uLiIaYXND - wbVdY0ApqbV+9PHHDk4dCuLgsss/Nbp69Xvf+968yJhFkyzTWrfabTQGEZvNJqW01Wp98Ytf3Lx5 - 865du97ylre88IUv3L179969eymlV1xxBaW0Uqlsvf9+IUSjp6evry9Jkm995zubNm16/PHHLcv6 - t3/7N8dx2u02Iv7Lv/xLURRjY2OyKHbv3n3aqac+9NBDzVr9y1/+8mWHP3X22WcTQm666aYS3m4I - pGla2rmXJpe/3fUr7KTSrvY//QVulXhPRAyCYM2aNZnOSm+ndrsthKj5FdCmyPKenp5up+PYdhSE - HmC70zGMdLtdQqBSqUit9h3Y77l2o9G4+V/++ayzXxyG4UK4eMWVV7q2c8Eb3vIf//6TX/7il2Pr - R9qHW+liSBGpxIqo6Mx4lj9+/MR3bv7+K1/5mu0P7Txx7clJWDS8AW1AI+SFnFmYsTnUGla7s/D0 - U09uOvnUPC8Wl0JusSPRgqys8nzNsqwcr8RxXEKuHMfJsqy/r69noP/un9z7ox/frYxpNpvKwOzs - bFkcUDBKKTCmfOaVUtwSnsUP7N/fOziw+5vf1GjqjebOnTunpqaiIGw2Gp7nCSEQcfbQ4ULJKAx7 - Vg0kqZBpJog1+fS+d771HTqKOWP1/p6pcIHYJEujkWYjX1is8WqSWF6jZzqcH149sLS0oM46o6dW - tRnvdmJkrH9wqFrr7eoO6gIYMbrQBWqtS1ZRmqZhGOZ5Xu7pMq35H+rHlIl2lISA4DiOlJkqctu2 - LWZTQcs0qGQWcMY0IAIF0LDchqFHVZ9loCx/IEFNUBsgSkqwHUoYY6wkVAMAoaC1IstTIlrWgs86 - oFdi/TK8YDmEHLnQUXQPgsvpCwFiUINBRIq0FAUGgDxPGRN5HhHCLItneU6BCWZRhxW5EsIqtWst - YSml8jx3XUuhBkMIIRSIK4TgdpanlHCVZ4yTXBeUAreFlrpQinNHI5rc2IJSpHlacMptz02KmDJA - CsISOtNKSdu2wVDUJslDx7WUwSyNcqSEMUEF8xgFgsYQAwZQ6YJSSoForWkJiTEI5reJiQEAYwwS - tJmNiMogo8x2RPk9cMtijBaFBjCUEq0N4cv6rdooxliap9oYp2pDORxhFiOlNC2lBoo0961qxfar - onbfA1vb852eauOEU06q91eLInOIFWcauLFdC8FgoT2rSgxRylBODKGF0hyQAqGUaQWCc5CaAYI2 - hCqjZRYnjWZNgalXGwdb047vUWMMQ2CEAEHEZcl8IIQTykCvKBWvbBuzMhIqc2DCaDkWVWVHe1mP - qpQ7Q4NGaVRgwLGAoKGAdPm4M4RoClxBWbD/1+4PKeVrtGVzIZjliG4UljiGssm6sLDQ399frVYf - fvjhDRs25Hk+Ozs7NjbWai2WWNeDBw8ODAz09vY+tP2RUnvX933BlVJKSlmONp7zylprq1S5LGcm - iIRSx3MtbrdarSAI+vr6MinzojDEpGnquO7A0GASxXGa2JSWgje26zSbzfmlRaWUz3mcpYTRXz78 - 0E03/0Oq5MzCzMDAwJdvuunGr35FKTW4avjgwYOrV68GgP37969du/br3/zmpk2bdjyyvRsGF198 - 8VNPPVWyYO65556rrrrqtttuE0J84hOf+No/fe2f//mf5+bmXNd9/etf//GPf/yKK65YXFx8/vOf - v2nTpp/+9KeNRuNnP/vZNddf8/Of//zw7IxS6qqrriq0bjQaWZbNz89fffXVjNDh4eGb/+Efdu3a - pQtVsgouvfTSdevW2bY9Pj7+9P79aZ4xxmrNRpplRymWPLNwWd0BSwf5UrIKCRhA7thAlvs3lFFO - rSM1Z+k5xRhbbC3FaVKrVEEwmedKF5xzoGhbTpplWZHZruNU/LmF2VqtRgXJpKxUKn7VX1pa6mk2 - lVKtVksI8ZGPfOSyyy5L0/Skk0668cs3nX766Y1Go16v33bbbeeff75San5+/pprrrn99tu3b9++ - sLBw/vnnLywsbNmyxXGcM844o9Fo/OSn9/b19ZV7ozwUyh7M/fffPzY2pnI5Pz/vOM7s7GxRFKOj - o68894+uu+46Krjv+7mU5Uf7L8eY/+p6VhJztIhEeTFGGSEkV8p13SiKkGjHch3fM4AlPrRZq5ft - phNOOOH+++/3XDuV+Z133rl58+ZyKwwODaWdQKaZQi1cZ35m9uTnnWIIOK4b59nEhnX/dPMt5772 - XF73BcO77/vF8Nq1I4OrJg8eai8GNad6ePd0w7hezJ2QZlPh3PzhRx57tF7vBclPO/2l862sPRNu - WMUWF0IAphWJkkSZIssSqyLiuHvicRuiKJJSAlIAioYRQpCS5TYvYqkVYwgIx86kZIxZrpPnubDt - OEsd1+2kUTvs9g8PPj09WSg90d/39P7JWk+zRMvGYbenpweNnpycPPnEk6ampjKZN/t6LcsKo6hS - qeyfPMCFNTIyUvKWS8RcGSKllJZlMVYLgrher3XjCDHJMjkyODKbthWjIYaBjnyn6oiKAnC5H0UZ - OgIqoKI0yrpV33IZiZc6JkeLV0C4k1PtkZHhgeFVrdZsFi0RCr5TyTIJsAzWK+dBS0tLjUbjt6Qf - 41UqFWHzpYVF1+ZSQvm5iqIAA41mTwTd0iFcCJGkke0RQnlRKBfAsqywiHPIqEIkxnEsIUgSxTZn - WmvHcZSBNJGIOecUEV1bRFHgV5wgCEuxrFwXwhFAaJpn5TCK2xwi4EJoLJW7P40AACAASURBVAhF - SimYcmhIyvMGjppPLzcVVpozhABdaTiZYtnpgxOupbK5DUBAo81tADCFIUAYMFMYAGDAtNQEiCMc - VGgxqzzsPMtlUhuZ2AhIFAcwGilhGkBLTQizXSvOpKCW5diWcIN24FCRqpxSprkxTCvQOteO6xAJ - Ruly+wrGQBoBjBIKnGladqxKYIahQAENIUBQAwIrhxcGAeC/ZzrwzCpToJU+RJnrA4DUEgAYJwi6 - yDUSENwGA2iQE24QEIEBAQSlNCGUUgoIjuUgQaM0pQSAMGCUUJllYEzVr2vHyoKsXqv1O31b7v35 - 4qEFqtnYyOoT1myaa82nQU7RqjpOXkiKjBjGNZWptJlLkMZJUPGdMGgL185y01/tX2wvVF2PGOQE - syCggleqXpInXIgkz5hlGyQUqS2sME+EzYtcVe2KAK5zaTGaKUUFl0VKLZtyrjMjhDCAWkrgnuv6 - ulCcAmXGKKOVRMZX7MMoAHiOtbQ461hMG52lcaVSowQRjWWBY3MKaIxiFnEchzFWSK1AMVaaJRlC - GS43e5ZBoFTQslVACFFaF7k0oGu1SpQmbsWv1WpRGmnQ1UZ1amqqf6g/KzKpZL2n3o26Uquenp40 - zbntZEVxeG7e8yrlnU2THJaxaKy8s0opxngJW/E8L03jPM1camm17GKttSaUUsHTQhZGU04alXqU - hJZjIWKhlF+rImI76FIEblvKaMt18kIySwRxxIWwfU9TSPOsUqsigTCOqM3G1qyZm5vrH+iL4lgI - MTc309vbDMOuZVm1Wi1JkrvuuktrXW82jDGf+9zn1qxdW6nVDh8+DN3uW9/+dsdxpJR7nnrqFX/8 - yrm5OdfzcimDKLrhS1+aX1wUQjy2c+cjO3YgIUqp2dnZH95+W3n0+r5/6cc/btt2mqZREt9www0D - AwMl4lUXSiParsMYe9Ob3rRmzZp6vV5qujz6+ONlcCuFiWlZLZSQPsTy2OaMBd3ArfjctqJu4Hme - azvAaFZISzhZIX3XDcNwYGDg0KFDiDg0NHTo0KFGvR7HcU9PT5ZlbsVFYgqlNNFAiUYltVJZKjxL - 2FxqpYrCckWSx67vu5xLJaWUjUZDaa207untHR8ff/Sxx7gQcZIcOHBgbmG+b6C/3W4jgYPTU9OH - D/X39zueu/fppxzPLbSq1Kp/9MfnfupTnwJKOBc/+dlPv/Wtb229f+v09HS1Wi2KolatSinL76FW - q0VRZDF+1llnveC055fZwtDQUOmCzixR7pb/DUAM/Gon5qg6zbZtpZRWWmsttS6HDpzzJI07nQ4i - Us6AkN6B/lanfeKmTWmanvr80+Iw0FozSmcX5vM85512Nwh6e3ul0SZPi6IYHh4eGRmpVCrHn3Ri - lCbVqv+1795y5ote9ILTX/jWt771hhu//IbX/xlX7Kt/91XHcY3UIwMjVV4dqa26746t7VZn9aqJ - 8ZdtUIpmqeHEe3LXFO/kW+5/ePPzXhhLaQA939EmT9IwCBJGTb1W4ZznuYyihBDmOB5CfiQEP+uj - r1B2NRoDSDljghdoKr5fbTaQ0QKN43v7D056FV9KGURhpVKp9zRb3c7wwEBff//swny9p8mAtIMu - 49y17DRNN2/e3Gl39+7d26jVXdcFbUptWcuySm9YVRhNMQ1iNKZeqUbR0tzUVKNS1YLwijdcGWrH - cZ5mDvMqwq/19QQAnSRIi4SHKArlC1F1PZSaUIrADbAgTY2Wlm03rEYQBHEcO44HAGXWBSvpaRzH - QojfRD8G/x/6MRwAmKCNRqO12LUsvtxq0pDLIs9zWqGWZSmlQBLLsvI8oJR6tk1iEkdBb7MBGRsa - 6dv75KNhkABAxbdVLglAkkRomOc5/f2DSRItteYpKCCaENv1bKUUZaxIc2U0EFZvVDqtSLh8YWER - hoAwKNAwArkqhO1oDYj6uRWoiTmi61B6Az27GbnMvsZSEnFlrkrgGV/3/+QnABDQFEu3JkQghICm - QAEUEG2QMu5aQkstMxPGS2tWrS6C1PbsVrjkNvykCAkQy7KkVBSBIlJkDChBJKW/NCVlikDwGWYW - rEw4jgi6HPVhn1Wo/C8tgs8a2f0nOGKChBIGpvyDCWgkSAlQJZVWxnZdIGQ2mTeUuNUKUbQbRwtJ - B5nl+O7/Ze/Noy2/6jrR7x5/85nPnWtMJVVkoEjCICCgSBwC2urqFiMCilPwvSf91vKJPumlNLoY - +rlakUZBfSKKyLM1KEM6RAUCGJKQuSqVqtRw6873nnn4TXt8f+x7KxVIaJDQrn7r7T9O1bn33LPO - sH97f/b3+xmMwtooYxVCGGGstAFMDVhrjfNWkMYj1C+QnFqFCcOUWimMUZRiA8ZaB+sRQsSnISEk - m6ZeRCkQDgwwyrKiRhNCiFIlAFirOecaobIsqSSUMSBAfKbHsizLIOE+Q3k+odjGoacRsZhlZT5N - Sz8KlSgopVEQ4AAVuZ6O+0pMMQLGYZoOOUWM+5PxeAoTF1FEOJaQGWOYR9VeH/aSY72VmgHVxlQq - lXw8CuPAYgsCrLUHDhzY2dkZDof79+/f2Nhot9sAMJlMKpXKeDzWWs/Pz58/f75WaziY4uqvGGPs - tPK7LQ9wu6/bgJ2BrLWWEFSr1VRaUodyKCWcibwYTcYbnW2jNAEUR1Gapq6cgxDinKtnqOgYBJ7n - OYtqz/NG6XSSpl7obexstdlMs9UQUhpjCGcEEYcPxuNxHMRlWd7wvBuuv/7697/vfTMzMze+4AUH - Dhz4wAc+cOTIkSAI3vKWt7ztbW/Lskwp9aUvfOn49ceNMVEUveY1r7n99ttd+Patt976yCOP3H33 - 3QShF73oRVdcccVtt91WFMXs7OyN+/d/8YtfNGAJou5Q5zCcLIXTaXqeV6lUzp07BwDHrn7OuQsX - Xv3qV99+x38rpHCUIKUUIhghZLUppbTWxl7gh+FwOFzbWPd831gL1nZ2tj55+6eVUtk0d6xExlg6 - GtVaLWutECIOI4QQJrC1ubkwN+8q6IQzwhn1uO/73PMajcYkS8uyRAT7YSCUNQ5FK4n2cpdcZS4I - gjzPf/Znf/bixYtlWd56661RFJ09e/YlL3nJysrKY4899ju/8zvvec979u3b97znPe/zn/98kiT9 - fv+Tn/zkLbfcctddd509e/Zd73rXl7/85dOnT1977bVFUQwGA8/zCCGj0Ugp1W63e72e7/uHDx/+ - kR/5kd/93d/d3t6OomhhabFSqWzubPu+HwTBpXL+szvoHpv6ctteAwAuIZ0Tzjln1hCC80JLKeMg - BGyrjSrC9tHHHlm+eH48HF3/3ON33nnnVVdeubO55c7uGOOyLIVWYRRtDXpSKy8KtTW5KP/ps5+7 - 664vYIwqUTwajdI03b9//yc+8akkqmTT9P2//75Rd7zQXCzSwsdBtzf41V9+myaF8RWEZlAOJLKT - TMRJQ5XAJWuZIOtOvUrlg3/1V6ksFdVKlAcP7lcyu7h8/swT5zAQACI0MBYURcE9AKfddxuTwy7u - LsGAkDviOCdaKcRgOtZgR9NJnudxnCRJ0tnpCSFqlWqWZWWRzc7OXjh/3lUpBsNhEseUUguQpqk0 - emX5Iud8fm429IOVlZWl+cU8z/0qJxSFoS+EoJwrK6IwmebZdJBXg2YVEVEUupAX187qWkjioBG3 - 9LjY2hoE1JcxtwG+8shVRudppwNKEqt9jxagjZWE4lIIVYhaNYjCWApjZObWKVeZdGRel0rtf3P+ - MfqZ/GOEEHHF+ccIhKwEpfVuPwUuO687n5g4qBVFppRCxhJkyzxLO1OdTigxs60gS0WelQQBYYAx - hHE0npTd7k6RpZzjer05TUfpdCxE6YdBHEcajLGgDSaEAAKLLBAAA0IryolB0iJQ1hi9q2q1XxWf - hIxBZpfYAPjSdost7AqlLou8vhwNYAsO+nz9W9h78l1YZN3fIossI9RIY4UmmEVeZTQaLjUXsq1p - 02uWgzK0MSt4qQQPEQBMZYYRxoCJJQQQ2lW1ALEOAMAuS+Nr4ALZ5Xzt0qj/JeNpoN9uHuRX3b90 - BEJPT59+8rHoss+fWmyMtRiBQcYYR04rtPS9wCgYiMxH5nt++AdatZlRdzB78MBIl4KiAnCqDIBB - xEcECaEpsZQwocEYaQnB2iJg1hBl1SgvGcECAGGwoDmjVmsNFhDBQIxCSEOFx5wSmSmKCWWEMDqe - jhFDFltpJPWwNuCoKxhRRKwxttSCMKKJixom2hijShpxKfNJWlocVOszSaUxGo2SKO71t9PUeIxb - rRDIeiOWOs0FpNNx1KqHgReEdfANIdTsxTW5ei1G2BjHDwP3E2QJIthn3C3RlFKhBaakNdNaXV/l - 3Fvav291fXVubs6BiXq91u12MSb1ev3ixYuzs7PWoqIoxqOpkoZThi7hF4P2vlckhHSrIPe4zz1C - SJpnYFCR5T7n0yxjjAdJPE3Tk2ceu7ixMh2NrbU+95RSvue5BcedNJ5hIiCllDQ6CMMbbrjh81/8 - QqvVas/O+GFYlKXv+2mRUkqt1dZawijFhBCiwSJKbnndLe94xzvCON7udGqNxlve8pYPfvCD3W73 - ta997T333OM0SkKIe+6758iRI/1+fzKZ/PRP//QHP/jBgwcPdnrdTq9br9fzPB+Px7/5H//jn//Z - n3W73bmFhUdPnvzJN7zB2QfneV5t1NMij/wgz3OPcd/3iywbj8c33XSTkyt3u90f+uEfPnfuHEIo - DII0TcEYzn2llDWWYsZDZowp83IymdTrda31zf/mB2uVKsMkTdPA97XWRkOr1dre3Gy1WkIUlUpl - bW2NMVatVjc2NqrVahAE/X7fBe76vj/Op8srKydOnLhwcTkVOfN4EATU4wBgtbXIKqtLJT3PQ5hI - o8MgcLzGra2tW2+99fWvf/3MzMyjjz76oz/6o9ddd92ZM2fSNP3Yxz528ODBN77xjb7v//2nPvno - YyePHDkCBH/4wx9+wQte8LrXvS6O45MnT77//e8/fvz48vJytVrFGHe63ePHjzt1UrfbdRyJj33s - YxfOnQ+C4P777z948CBgtLa21mi3HE/Z5Zl/oyvPNzwuq8Q8delx0ZpuIlqMAIAxBsjG1USUZZqn - hSxX1let0pW4cs8DX8lFefrcWS3kdq/LOc+yzBhDPa7A0NAHo5NGLc0yVwYwxhDA/V7Po6yeVLbX - N5Q1QRDk07zRrBJELTaGAjDi02A8GVKMh93p/P4ZKgWyps4qrWR2dbBVrTeLQVZbnDWAx9nEqwTX - XX11UebL5y+0Zxp+ECkLPmN5JhBQzrnrMloX5rsru93daC9xTi/JrTHGCGNkzE63Y4w5ePDg1vrm - XHumjOPhcNis1mRZeszrbGzNtNtKqVaz2e12syxjjDk2STWqjtNp6PkAMB2N240mwSiKQyllKUtS - EgArRIE5k1KCpWGQiLTIlLZSt2abOPJFQieyRAgllYqPAmKJjby1zsYQ6yIfc6V3TZOIsaZEhFBm - KCWqkGkKGLwwqPg86nQ6AEAIcaR3xpjTNTxL/jFCKcVKbpSdm5sbjQb5JJVCYUmDOPA8b6SM1ppS - jghIXY4Gw2qSUEu11pxTDWrf0rwpRLW9+OD9J4UCxiDySZpqRonWEmEQQsRxTBn0+33GYXZ2Nssn - g+GwO+gWRVGp1pXWk2wSV8PpJKssVsfjUX8yXOAzuckJw6UQ2LFLLg2X5+zWUgDjEjbs7uaKL8s8 - fJKC+VUGBOgbu3WYCQHZs0izCIz7RxtGKGhkhKYYRZTXeZwifP9nHxj1JjhBN//YzWkvRRQppHzi - W20IIEfWtbBLBsLWYOs4P7vM02caTwp3n/Ehz8JAlyGYb6jkYzHCu80m42AuwQgRKWWJNSYErCrF - dPHovmal7dcCyYwkQnMoQUprECCMECCssDZggVhrDCIIIRBCYksQxgEODQBnqCgyjwFgpKm1CGNL - tTTGIGIwVggBavHG+mAjqvplrqMoznCqQWmrDGiEuQWrtdVgAh5apNNhVqpcqAI0CQJPiELk09A3 - nGEp1KFD+zr9dDIeKpvW683xeBT5gRaFQYJRYpUGa6QAhGHfYisOK9vdobKFaAhjTFEU2koWEkq5 - sdopt93hwS1TjLHAC4TSQghqwfUaZuZmt7e3hBC+H6RpWq/XAWA4HM7MzLgNplKp7uzsRFFEKe33 - h87ZzHnlXXpya3bBPAC4n7ujM8bILQK7/iVJMrcwf93x5/5g9EMYY49xa+1kOPI8z/e83aRDC8hR - nZ/BF0Rp7XmelNIgePGLXyx/6x2PPPLIeDqJK7HQqpDFpZYWIphg7LzpMEZCiM985jM/8RM/8d7f - fe/s7OzP//zPf+ITnzh69OhoNHrggQfe/OY333///cvLy/Pz80ePHj1//rxrKfzjP/7jy1/+8tOn - Tx88ePDGG2+8847PUEqXlpa++MUvHjt27OLFi1Kpl73sZRjjze2tWq1GCHEFDMeVTKJ4MplQjDnn - V1999c033/yhD31oYWFhdXX16HOOfeK/fXo6neK98CPHkdJaa2OwBeZxx4e97e8+7mhqrkyCrCuK - Y1mUbiFNksiVYZxQdHFx0SGwubk5xz6RRgWhx32Pe97iviVCSFYWLjpHCCGNvsTIcefGoigKuyvF - cPq1t7/97c6JbmtrK0mSWq22b9++1dXVc+fOPfrooxsbG8z3lpaWzp8/jxCanZ19+OGHH3zwQcaY - 29QuXLjQbreLoqCUNptNSun8/LwrH+Z5LvLi6NGjlUrFGNPtdmdnZ7e3t+v1elEUDif7vv/tKMZQ - ZDFCGF22cLshpUQIIYIcLUZrBQhrrTud7cOHD3/ny7/z7e/4Tc75eDjat+8AaCNLkaZptVoVQiCC - 0zT1PI8Hfm88dvIzREk+TbMsi4IwCUJkARsrS1GtVsuyTPMsjmMD5vzKmXq9zlkohclStTi3OJlM - 2jPNrY1V7lHGMSFElAowUtIy5m1tbTWbTYwhiqLesNeaaSmlKnF10B9zHloLFnuYAjLIWomxkVo5 - mGL2ik7u+kQY7TqQYgwAUghKKSUk4F4SxZUovubosc21jWazOeqPEULdTqcSRNdcfeyJc2dbraYL - ym43micfP+X2fqM1WEsAjUcjlxB5+OjRk488yjltz80Dsdk0i+OQAUIUl2CVsUBorTbzoqNXT4d9 - y8SBKh4xOTGFFDrCXk17EQmVsq1m06sGc/OtL991l0WsUNKAUiZnGFOssFYeQUbIibS1Wo17NEkS - 57frwLKU0tWKv0n/mPgZ/GO4K9IUOg/9KIoiBSLPc1Eoh7utsg65IoSsQfV6azqdKGUrQYIRXDi7 - viLWYw7/65vfeP11R9Ks7PYGHvPPnr9QSpFOcm29arVapFMLqFatbGwuj0f9G59//NjVRynnn/nM - P6ysrM3MzglhRJbRCtfI+Edji0CC0a7XQDAh1CiD0a6HmIE9YofF6BLdwGLsWiFOKHUZ/+NfvPFb - BBJjACAIsEUuhNKCsQgKUUZ+gAgxShejcYC8cydO3Xzda971l/8XaDqQ/V/88f9ltVgpdQm+9aLA - WGEtAmON3S0TOZB0qXpkAGP4ahyzWy1xDrB7rgL/0nfzlIEvA4Xfin2VBmuQRQgsAoMNJthiZAwq - dO4z3yKUFpkxOh9lhRaMcmsR4ogw5GNfGamNNGAwJVprCQqQ9ggnFstcesyjigfIRwQTbrenqaUY - GC61wpgywFQSmamQJCZT9991byWqGAo3fvfzV3rrKLSMEK2lBmmIFVogxDDGSCFrASwWWswuzV0g - F4CisiyTAAb9YTGF773p5WEYn3r87Lnza/NLV/hB5fFTZ8PQx5RRzyNI6zJPYm/f0tyxY0tpOWnN - LXa2yuWV1f5wSD3mURb5YUkKa6WxT/rcXYICeG8IXQLBAOD7vNFoDIcDl7DY6XWTJJlptVdXV1ut - Rr/fNWCCKBlPx0k18Zh39uxZSrk1yPd9cOc3C2Cx3QNJbkYVacY5dwZRSikplZbKenY8nQ4Gg62d - HedG4WwP3JLCOceAdjPV7JOv+WmzhAkhUitKaSHK3/m9/2yMabfbFqHxdJzUkqIo/DDQWhrQDGMh - Cu57SinGiBDm5MmTrVbrbz9+2+rq6tbG5kc/+lF3Qjt9+vRHPvKRN73pTfV6fXl5+dZbb2WMuZXt - 9ttvv+mmm37u535uMBr+3d/93Z133hnH8WQy+Zu/+Zs3vOENv/Tv//3CwsKpU6fe+mu/6rxrhVZh - GBJCFACythAl5SwOo83NzY997GNra2t33nnndDoFjJ93w/Vuh977NIBcwm0WY6e3R+CIPtUkKfNc - SOFTXykFgCihg/HouuuueeKJJyISnz9/Yf/+/VprFvorm+u+74XVeGfQNcbUW3VltDEqLbNxNtEW - wjCURmOMpVDWWgMaIXIp0wAAtNbI9wPfK4qCcGYQvPDF3/Hggw9GUbSwb2l9a9MYo6wplWzNtHd6 - XWVNxLljC1xzzTW9Xm+SpUePHi2Kokgzh2Ldzi6EaDab995770tf+tI77rjj2NGjCCGK8K//+q+f - efz08ePHjxw58rrXve5V33tTURSuUEQAHD77FlaLpx+XKjFuSXKM8914ATcd3QRVSgVRzDnVSpw9 - d+Y33v4f7rvvvjgIESJBELQazbW1DQeydvG1tUmSpEWeFgXzPdDGmQ4pIRFAOc18ysq8CLinlGq0 - W93eAAD8it8bb9ebNaUBCKc4KLMSA1FFWYuTfDKhGAAMIWS727nyyqNTUWhshBLZNPUY0VIlSVKW - 5WQ4mV2Yl0p3el1tiM89jECqUiuFEEEIYYScGaUz7dUAyOzRPS0QhAtZMkKRBYxxNpnGUXT4wMEL - Z8499yVX33P3vdVKlWhblmV/c3v/3MKhQwc7ve7G1lar0XRuQuPx2BGyEMA7f+u3kyRZX1//zd/8 - zePHr7v55ptPnTl97ty51dVVqUqfUaElpZ6W+bgY7jvceskrX7S1fYFWYUfsqGwr9KuMMJrZakn3 - V5bUFH9H5cag3fiBH3zNz//szwAJpUKIMCNyDCXSQquCk5BRP8v1ZDLxJHEHi36/7y42IUSSJMaY - Z8U/ZrdiZ5XWen19Y//+fY1GI03TsZwqZaRUhDKEiFLGFYTTLKOUhjw0A03AvuCFz+mtd1FR3nn7 - p7q9bq3RuOLIUalyYxTGOE6iNDNlWWqtdSGUhMXFhaQSYQynTp3EjFqrF/ctSKkajUq/M1ZC+CT4 - mZ9/0+y+eUMEBiKVBEKdWntvqj9FnYQNhUvbsH2aK+zJaQG7Dqp7V8p/nxVj957SWjCAyV4/yyCg - nEmjkdGcUEqJFrIosr7uRFFAwPc9NoZxaQpgYJ0HLgZkrQEEBqwjxCAAwMjuusm62gyAMWivvISe - 8i6ccJd8uxkx38ywyABy/irWIosIsQgMaESs0VYaQQgJExZ4TAoRepxyVugSrC2FBjB016QQdjXy - SFswBAFVVpcmIFxMSpsLwnk8X81QUGphKVIGKMZlIau8SpD0LFtf2f74X3zco96LXvbiH3jlzTu4 - Y0pNMQEwhGFwNphGc+YZZFSpCMJe4L/0u15y8o5Tk80hxsAYmZlpEZSeeuzEJJ0eOnRsdrbd6+5Q - mh04cGA8GgSe1+9t+h5BWlgDoU8sgYsbm8PJuEi9JIn9iE7D3eoIpVSC1lpjiq01GGMMT3JWtNZF - UZRlmSRJgFmc+GmeTafTer0+Go3iOG61Wp1Ox13ORVHMzc1lWaaUTpJkZXnF930EzHWmOOeyKAEA - ELn0/G64WnIppcte9jzP933P83zmuSLurq4TIUyo7/GyLHMpyrxw8gVKKQaQUrqSwOWTDlmwCLIi - 9wLfIiCMJZ6HMR5NJpN0ElUSpdQknTYaDRdT76CVq204DunW1tYf/dEf/fVf/1dKqZbK933XP4rj - +OGHH37ooYdmZmacF87CwoJb906cOPG5z31ucXHRIpBS7t+///HHH5+bmZVSfvjDHz58+PCpU6d8 - 33eOwIgSV42WUjrO33Q6rdVq7uRmrd3a2jp69Ojm9vZoMj516pRSKgiCvCgQQh7jrrLlrPwAIM/T - PM9lKRw5CRFiNdJgNQYCoKycW1y49Rff/Kd/9qEzZ84cu/aaX/nlX/aC4PzZs+//wz/MRFGr1Uqj - jJTDdMIYMWCBkSgMlDVgsSgLQog7LlKMjNk9k1+q24GrxxRFkiQY4yeeeOLiyZP/z6c//Su/8tbF - xUVnqAEAa2trQRC02+1Op9NsNtvt9oMPPlir1fbv37+6uppl2b6FxU6nMzs7MxgMsixrt9uXRKyU - 0sFgYIzRxi4vL7/73e9+xzveceDAgRe+8IVJteLSGMqyZJx/20CMxU93ksJ5njsmL+ccY5ymqTFK - Sq21FKq864ufHwwGoR9gTDHGlOKLq8ue5zldT56XGONoHDkbkmGvRxFxT4gxZoSC0px6nudNRz2h - 1ekLFxrtmVwKNDbgie5mfziaMBooiTDQilfxMd+8uBoxxjChDHuhNxgMHnz0gc6439o3W6oSC12k - WS1Oep3tyTht1FvpVCDK/LDGPYasMUYiZAlBAIAxJs5Mypg9QAMIgOzm8BiEELJAES6VBKw5Jvl4 - aqV+/vHrf/LHbnn/777PKB1FURiGRTb9r7f97bv/07tf/opX3PlP/xhXErc6zLVnEELC2Ode/7yF - +dm3vOUtGONWs/57v/d773nPe1744hdub2+6GBaVCwRYiGlAaZzwfrry2MY9C0caN37/9Y/3T2fb - 6fm15cAwktMaNK85OFstWgRV7nr4BAOP0AomVYsSQmLKhDWGgLJgrULYo5QYrSDLtB8wz/Pq9boQ - wk131yh8VvxjMN7FwRhjIdRoNGIBCoIAKniSpVprzDG6zCcGI+z6/UVR9Hsd0Z+orKxHQRx5oz48 - cbq/vHx3q+WXQvOgEieh73NrLQ14GMZlOen1OzudjYXF2eFwUKnXbPnAHQAAIABJREFUtdZaS2Ms - xhgIYMa47333K1+5Wl0foh0g2KU7WmsIAhdcbi7rc2CL0eXAxeLLWai7eMXBF7Rbwtl7Brzbk/r6 - twDIEmzBWa8hC8663gIQxoQQCCzCiAeetbK91H743KM3v/b7CiHjufjxwQlbMTayhplMCoZ9YhAB - igEDUGS1AePSvpA1CAG2oPdemEG7YMXumgqiS/ALfV2m7dONXe/uJ5eGy/rOu2yhpzzefVxPvf/k - o54isLQIFNKWgLUGwGCCQWtjLCFEK4HBUowIwUUxLtKMcyoU8sNAaVtkJQbEmBdQYqzVWhMM2Fpj - DFaaSBQYmlivszMcrfYJozPJ1bNBdaPsaGYRQsYYUBYTnNDIk145KFpBAxnaCpvCSG65UBITCxgs - AQMWCDLSbdnEGJdjao5f/1wWEAh8hJBSEoPCoNN0urbS5WwlS3OtgVLY3trwGM+yzGhbqVSMnI5H - 3bPnyv5gtNGBeiv3WMVaj3rU2DzPyjwtTGiQBxQTjJEyGu+p2S8ZniqlEEKVSoUZJEQxnk4OHj54 - 4pGH5+bm5uYXtra2uMe11p1O59ChQ+vr60EQNBqNkydP1iq1MAynk4IxZgyUpSR7ZhMAuxZ8jhyu - XBcYY8YZAPi+n6bpZDjOCpEkSVFmziPKGGN3aaSYUabBEI+JvCylcNuH1F9NqHcIO4hCpwbV1lDK - er1eu91uzc+MRiOhpFLKSRpdZ58xJmXJOfW5J4Qw0iwtLfX7A8aYQ3cra6tzc3MbW5vNZjNN0/5w - UBTFFVceGY1GymhHWLzy6FV5nm+sry8sLJw7d65Wq1lrW63W9vb28vKyqyQNBoNmsym0MsZIpay1 - WZZVq1UbBJPJxGqzf//+d7zjHSdOnLj2uc/9wAc+8IafeuMf//EfS6OzLKOMAYDTOrhjvxNyOq+K - IAgAI6lVIUqEEGUMYSzKkmCai/zl3/WKD//lR4Ioeuuv/er1x5/3qds//cDDDw7Hw1ar1ev33bGQ - c54WKca4EIUQwgKEYRgloVvACaYYYaOURQZTYhEYvXtMdV+EUsrl+97w8pf/yZ/8yXQ67Xa7URRV - KhXOuePh7uzsxEmSF4VSanFpaTqZEEJmZ2e73a4fhbpjnSbU87woirIsO378+Hg8vuKKK0bDIQAs - Li622+0PfOADhw8f/uxnP/trv/ZrF1dXbrvttsl06vDc/xB10mXD9RQcGsUYO49qzrkocOD7RVZW - kxpFOM/zuFKTUjvrZYSQUaoaJ0qp6WhsjAmbTVsIP6nQiOyCRKWQBSGE+77DKFIYG4wMWKUk9aEo - ZVypEcI5DvNJwTExedmIY6t0WRRFqTuD/szC/DRLm61Zqa3SthpHyFhkrM99VvMBiMgLFlCPB1rL - NJt4FAKPCCk9EjgZLdL2UtkNwS4hf3dBJIQivNuR1cb3fWQhm06jMEQAHJG4XsUI5dNJp9OZqbU6 - 2zuv+p7vufvuuxFCcRwra8IkLtKsVqsdOXIYIfSnf/qnX7n3vve85z0PfuX+m171yiNHjqwsL2+u - rwshYuYXUtR4gChRZdGerywcnLni+NIUDy6Oz6lkUjnAmn6t++jFre2e2X9kYfbwbPPIvY89jqyd - bbRFbkShfD8uIM1NDgwooWJaSkUJ8igjyloHrmdmZlZXV50cyZVbvkn/GPm0/jFCFADglpKZmXan - s60G6tChQ1EclKp08MVJGwhBhVKIgzFaGluLkvbMwtrZ82hqR53sB3/hx/pHd5Jq0w8iodVdX/jn - cWryUkilCCFFlipFKbMeDzw/PHbs2FVXHaHMW1/b3FzvJJXaYDAilGglBRa5nQ6HXUGEwZZzXuTW - 4xTJp6QB7y2nuwdDoikxhGoKAIZIgwAbBuBggjFIAwCxWCOjiFFEa6wsMsheih7bbTztUoSRawUY - h4qcFfGux/ze7l4UBeGYIq6EnJZpPYknIq+0kmZjThujPdMVnaAd9Is+R57GCkBYxBAyiBBswAJx - LrHYWgfLENLIAjyZsIlhT07liMZPER8+q8PufQZ7QvZv4k/dedEYixAQIMpqYwyjNA7CsiyzdMI5 - N1oHceD7vCiKNJ0EQRT4HFsMFoO2FhuCkNEaE2LAHUBxwPwKj/qFHm/1ACEqrm7VmltlXxqFETZa - h35YFDk2oYdRtVp9/U+9AQDVZxvr6xclKzXTFhvjQrah5JxjS7XWTrUDAKPJ2G/4w/EAhDGBLoqy - SIdLS9Xv/p5XFtM0qTbu/Id/5jkY5EllSinmZtpalkUupFLN1sx33PhcirX1qB9WT5xa/sIX7y16 - 2jsY1pNaFMQppNZaC0gZvYswjDVKWWuBAKaUGNRM6hRhbaVSam5u9uLFi1dddVWapmsrq8zjjqe/ - f//BjY2tSqVWFMXa2vrS0r7JaLq1teN7oTt+EECe52zWwFprzZOYFCHrQpRc4cd9W4yxGOMw8oUs - dt0iAKzWxtqAc6lVURSMMcKZc+8TWpHLeN7oMgu/SZomSZIVudtf3Xa7tbUFAFEUtJstKaWU0g+4 - lsZoTRC12iqrGCEWUWew6YzparWao80eOnTo/PnzV1xxxebmJmPMueY4+5wkSTqdDqW0Uqm4RpLn - eVabixcvHj58eHt7u1qtKqNDL3QbtgMiUojQ84s0Y75HOMMWut2uF0R/+ME/ftX3f9///n/8ymtf - +9paJVal8CiTWl8irrqzH2c+wlYokxaTSZZijAyyQBAYK4Rwvs6MEIOQz/3tzc2bbrrpe19203s/ - 8N5z587dd999rrxUrVYLKSxGWVlYizDGUZQwQrMiF0JhjLnvIUSM0owxDIQgghjGGBvQDkJN0ilB - GABWV1cXFxfLstzY2LikZUvT1DEKyrL0PI9g3Ov3Dx086EgzDgHXarX19fU4jl1RHCG0sbHh+761 - dnl1JQxDqRQCePyJM29961un0+krXvGKM4+f3tze8jxPaBXHcSmE8wX4tsQOWGQsgL3UTdkdBgA5 - G7fQ95U0nPvGQJFlAfd7O713vvOdQoilhcWNjY19+5fKstRCOnso509fFEWj0eh0OnEYCSGSamWa - pX4UjsfjshBHDh8+ceLE0sIipTQrcqEM8TimBFMkUTmajgC8JKqqXOPSNMIkGwwqUViUUwHGj5Oi - lGFUHQ5HCiyr+ogYUxQglIdIkWZraxsf+vBfJnGlNxq152cGg2noe9aWFoy15hJDTSnl+ErDyRgh - FAQB57wsS2uMxdih1zzP43qTEKqUbtSbqiilkhZ0nk1b9UaWuXqdBYyMtdM8q0VNjKFarzBGjeFZ - mZ049diXvvSldDz6rbf/x3a99v3f/d2/8Rv/YbCx6QMiWjNCk7mZwdpGSDnHBCHTrrRXlleuffGR - vJxU/bA33YkIBlNwD2kxrFd9jFWvv8OI5chGhNZ9fnB23qqUCr08WEGBNypy5lGPcKKYFUahXXWi - 1toVVyeTySUrP/jm/GMqWuvNze25ubkoSjCmg0FPyjIIAsK4KMper8MYI4xtbG+1q9VKPSytBjAY - GWSl1Rhhi7FBCBHEs8KOU7X/0NVld+JZ/U+fvfv8udVSQpTAgcNLw9E0riwVo6xQOuReWImtERgT - Uebz1Zkv3fWFStXP83Km0ZaSKMsnWOViCiH4DTRSHRJhZDECooQKEMNCIyAaaW2RsUAxUqUKAg8h - yIuRUSi0SaCCq1pXWW3Odh7jYSAn7MjSc1a6y6UplM3ajWaTNXIrznTOaj+bwqheqUtjZWHC0O/1 - us1mTWlZpUl/0seUUI9roQlCu30fuyeM2quIcErBAFhNEUYMxmJKIpKbUskJwkQgwSOWi5wxJo2k - mFBErDbKSGsssYQgZLVFxhqEwjCWINJyiplFYLW2CAggtGeNDwAGIUOQsciAfcZzy9MO+zT2a5cZ - Hn9tEeYZSTdP/1cYCChDgTirGwwEY2KVlaAxptyjAEAoswB5YSzihHOlARuwFrnwxF0XIEQAsEUY - GJ1mJUO07leWjYmSEHMW1qrbxUgBQQRTUyJErDUKrPCQKYvW4XYliqf5lFf8zmDbj/zSFsxjFuFc - iND3hRAI2K6KEazSqlar9Hq9Wq3SZ0NCbMCSwKOIok99+o5iNJpttWVJyhyV1CLfryfVwWhsESsk - BFFrMO4/dN/D/Z3NtR0bNqC9f7E+U+Es7JO0nCpUIuoRoYXBCAg1SBopExQGhEmlpFYRjxJe8b3Y - kdX2H1haXV+NoshaZAx4nsc5H48nMzMzo9EYAGW5wJhqhUbDFCEcJ1WngcdgAKyUl0mg0aUbAGsx - Ahd94Htcq10+JiG4SFOfMasUACAEDGODQBalQZCEEWhzSeyKv6p5cNkdz+dClpRgsMZaCANfSRHw - XRMmAwYB4oQbaRhmYA1YtEsRcteTMR5nAMAoKfKMYGSNHvR79Vq13+u6XwFYgpEUZRQGRivOKABw - zvr9nudxKQUA1Jv1wWjAfS6UMAiU0EEcAiDn58YJ1UpSxoSWhZS1KCm1QZi+4U0/M7tv8czKxV/8 - 337p3rv/+dTDD2GEDWippIeZY9ohIBawtgAYMe4bUIgYwLoQKbFQSdqykOU0r7UTaaQHrF1vRsxT - unjDj/9EGEcrKyuve/1PSimn5dQPA9AWY8K5p7WyGpRSHDF3VZlccaAAYIVBCBllAEAbDQgbC0Up - KOPIgrEQxclwNHYXFKFsOBp5jq1CPGuNtUApUUpWK8mw1/MZU2VZjWMAKNI08n3Ys4QFl5FnjEHA - PC6UxJQQQkKAUslj11y9trlRbzZ2Bj2hled5RVEgjCmlQquvXU32GvRfvWzsUan++0ci+tTMagt7 - KdauHYgxLooCLOaEcs7zPOfUa9ZbZS7+/M//fGdzq9VqlSLnlBljJqOxlKJWq01GIyfWdfx27vvK - 6GmWUo9LKQ8fuqK7s4MQGg2G9XqdeTwrxHA4nJmbXd1Y9WOvPTc7GRVFobil841Wb30r9IgFYYiO - 6slmrxOGlTwTVuBmu12AXllZnms2PEzjIGzW6q973esRQkaqhbnZnV4PESul4ny3u2wQlKL0KEOU - IISmeTY3N9fr9cqyrNZqW1tb9UbDOdFprSuVCvM8hFAhyotrqy978UuyIq/Ua0aqjc52tVrdVz3w - 2PnTN9x444MnHlFGM4/3ej3q8W6/5zovj548cejAwVE6mV/aBwideuLMHf/4TweuuspLEhZFJx59 - pJZlQhq53Z9vzqadbjEdJ7Ub52oHFCse7z6xfmKbJEyKcSzYlXPHVRmOSluWqSUcgGxtbeybX+x1 - t86ePeO1/VZzRvu4d+E8o6FFWOtdDbnj845GIwfMXSppmqb+3rz8VvxjBoNenudE6dAPPMazfKo1 - CUNfa0WcztiFI+1NRW2kKBWlcRDGvhedevxMlXjFcPqGW17tB8Tz2frGhhCFEGI0GlOWxBwhgqQQ - nGCpDUJkfX3z0MH91sra4eZDD5zuDopW+4DWFgMxVmtSalI+2QSxmBjAFgwgS9y7wY4sYrXRYBBF - EQsrqtokrSNw5U650aYtqQBMtAT7R2pYmlxbUpXxQXZ4Q6zPeO0+6SlWlFDYDLChvqX7mgvb422r - JA0wRRRTQhHG7jK05rIL1V1jCOBJJZS7kC0CTVzWgQKrFVIa6d04TGM1WGUFspQgBwQtQxRxgiyI - vBRaaascc9hYDQCEcNAuZtlVm1xZyC0N5ttUkfm6COYZB3YmfPBVt0/zzLv/Q2BgV2W+1+8DAOCY - TrOU+oHQigZBf5D93T/dPhc2u9mkUZn73N13H7rhOYx7mZhgZABbAxhzvxSKoiDwvGycC2yy4ajV - aKc293k8TEeEU4S5NQYjig1guxvsaKzdVVMhCxrSbEJ9MLpc3x6+6adePVi5uLG2aYRpNGZW+ynl - sLmzXYnCdnt2MOpPclFk+cF6Kw6T5x+fYbVYh/jMPQ/4eGxbnoe51aBlibjFDEuQ1lrf8+1YGa39 - gAulsMr3zR7YWt8qhbriikPbna16raG0nIzG9Xp9NBl3u92DBw+dOnWq2WgncXWSZmma7joH2WeU - C30j42sVZ9h+9e23Mp7mle0mEH+baohPjmdQ9llKMSCjrKWcamuklO973/vOnDtbIuOFgYfxcKer - hGSMIkoogDYW2z3RyJMfiPF8VhRZkafzc7OgYTqYFJnYt7jU7/fLLJe6nI7Gi3Pzo/7gla985dGr - n/OXf/XR/Uv7eoP+cDwGAM55Lkp4umbu5QN/zXvZNVZGT//4rx3f7JdIKc3y3ONcW2OMCSuVPM+/ - /OUvA8CBAwdcF5J7nrV2kqVxHKvym8hOsl874Z72NTzTL9zG5ma8O467Jl8K6ezsbDVOttY3tBLT - yYhzfvjgobNnz6pSzM3NdLvdSqXiKBSNRqPebHZ63UKUBw4fciawj514lFIah9EVhw6dP38BISSU - nJub6+5sX3X48NbWxs7FtWqjnUsRxuFo3BOQ1mp1ZdFwOrREl964VNNKtSompQY67A7m25VGEm1t - bG9vrHlXX5PUEgOaMtvtbkWV2FqdZwIsRQgjjAAjbYyyxgJIo4WUUiljLSDU6fcAoSCOiqJQ1iBK - jDFpno2zdH7f0lVXH/uzj/zFjc+73ksi0EYiC5z2Bv3fetc7G63mYxfO9ocDHocIEassBuLxYH1t - 86Uvf9m11177ile87PbPf25zOv7k5z/7/o98uDPs//rb3rbR2b7mBc8/c/pcsz7TakZby2s3XHk1 - Rma+ddU7/8/3N+YqR597hJyfObt6QQDgwg44HZ18XOZn23P7w0ZtpAyvVHCADTO5SFVhw7DCkN8I - WkRiI7FU2vM8MMiRYIqiGI/HLmbMfZvPin+M1pXhcCilNNwjCGOMjRN/Od0merLj7obREEURMywf - pEKmcwv1sjuamQ06vbWkyoMguPLod6ZFefHi9sZWPhqPMeetmdZY5nmeVZNKWkxm281mswmgNra2 - nUW6m6IqL54hLA9gz9sQoV3jOrQnrZdKR5Tk3TwVuUn0YGNgALTRCfN9oLKTAZJx5Fd1lECcd/I8 - n+C69QgrM+2DH/HYTA2ithXUpFFaG849BUopZbUh2MLX7Blfbw9BRmNlDdJEAUaEIIQpBkTBudth - rJ1ASRVG7q5MlCidG6QQcb0lY60lyJqnRiBbwNZJEL/9+8H/gGGdSn2vH0gsgDGR52uEFVjNSbw4 - gy32aPLSo9cQwlY2V8bjCWlRAshijTnNMpl4FVJ6rGQrj63edcfneSVoLLX+3U/+23FvOZipjEuF - GTegcjnBGjHEuMHWIoOMK0pobAw2gCBJIp/ydDK9/vqrzp8/e7DVOHzo0Oc++5XzFy4ErUVtTKvV - KPO01+soI6Na3KzOH3vOgcg79vgTq5LY0XRoLHg+yUEaK32fg+dnOC2lkCAoJ0VReLhyiY6wMLPQ - 6/UYY+2ZuQsXLswtzEoppVCtRnNnZ8ciOzc3d+HChaWlJaMhTdM8L5RSjHCAJ3UrAPCkU/W3+Zv6 - n3DsnS0QGKMBMGBLCFVS1CrRl7/0+aRa7Y370pokjLSQsceELpVFGoPzxcHGIgCX3eZQxHQ4jqKI - B7TfGY6HkzhKKrVqqbQx5urrrvWI59KnNzY29h08wBjDCFer1cfPnAaMLQJjTBAG0+mU86eG6O5B - k6/fw/3WjLq/3nDGrY56cWmPuOqqq0AbjHFW7hLACSGMMSnls0/r/TogxrmJuLbKrviWsyiKtFLO - KaRerx8+dODNv3ArpfTi+QvmVXppaYkQvL654YwRgyAYjEa1Rv2d737XaDo5depUvV7f3t7ev39/ - o1Y3Su9sbc20mpVKxfeCM+eeaFbrCQ/8pX3j8Rgz36/zbrfbqFV+4Ie+tzFbSdphL+0kM4klWuRF - NUhwZnRmTUE9Gk2n2bXXXHfq1BP3feWBaTFlIccU9Ya9xZgzRiUlxoAyhlKmlWWMIYzBWmNMGIab - m5thEiulyjRttFvT6dTt3K4QJaRS1owm44ceecSLAp6E1914Paes0+m0Wi2tlbbGD4NOp9Oan02L - 3DHVXX66xfjee++9/4EH//TPPpRnhbb6U3f+w199/LbW3NzOZLx48NDZC+ej0C+nAzWeHFicf+ih - B59z7KrFhQMWsMdpLNvXNZ9/w77vpJUkG2V1HJrSjopSBnx12P2///JD/axbQsETFlXjXOmd9V61 - 3liq7xv1hrmS2ihppOu8OkMIKeV0OnXgg1L6bPjHBEmSIITG0zTPc2SBUOTAEKe7pkauf3f5vMqy - gnJlrZ5MB0uNGU2QLaZnnji5vqasgbjy8IFDc2tr/fbsVV7kDSaT6XQK7iyS52VZAsDDDz/MGBqO - JwiFtWpNKrSraX/mcDHX+DPGaKud1oMQggzCFpvSnvvKE3j7QvboZH11bSQGQHDEqv0ruxfOP5EX - IyHTOK606w8tb1/cgc0X/8iLao1qrqkZ6tin5bRQSLMYWU6E1piBlorQXW+Cb2r1MACUWEOcEkob - 5ew7rAZLwCJrDUIYQNvdvB6ECDhtLDIWGYSsRQZhZK0GhFwryOwimF319f8XIMzecDoXN1zsvJts - RZp5nCy0Z3vLOxjXClkuzMx2sq5SChFkrNVC+p6vhESKBEFDlmp1eYVX4/pMI2aJ1VAUhdZWFRKI - QogkYWQyiexud223puEGB2M0IajRaKyuXbTztX946HS9yhirHz165dmtvtY65rHv+yIrKMWj0WCk - pvdNN7Y3JtKA8aG2r7KwEES8smMmUpV5MS1hqjyBCURekJYZJwHSyJHxDxw4sH2uU+V1l/sRRZFS - ZjQauXKytbZSrQyHQ3eNd3Z6k8mEMG6MIXyXkmitBfs/J7T41xiui4UxNlqXaV6Lk9FwGM+1qdca - pWOMEebIJWhp0ECpMgoAE8d+Mdjx4ZCFelIRQkmhfD/Yd/WBfn84TdMDS+33/t5/ft51xx945IGL - Fy/ecccdv/Czb77lllt+8N/80Ora6vnlC5TzmZmZ/nAghJBSukjtr3mF/yofzO5we4fRmhHqR4Er - 2EdRhIztdDoabK1WG43HSinXh6Ho28CJcSlpX/sLpyVzMMqRcAPOWq3WsDcoiqwoM4xsrVL9g/f9 - l5964xsH/f6Xv/Qlp2Erlfy3P/bvoijKy5IQMpiMdnpdzvn8wkIURU+cOVOvVH/7t3/7A3/wh5vr - 60kUf/Qjf3H//Q9ee+3VL33py/7gv7z3kYceDqL47z/5qS/fc1+zVacMP+8Fz/3obR/e95zFKYyL - QX7u/OOVINpZWT86d6DBm8/df8P5lSeWV9ff8BO3zM7O//Xf3uYFwTSbRJV4ad98t7vTqFV8zqXQ - SlnOmIDSGRQTSq3WhDFECeVMGX3FlUc2NzedHe3C0mK/3y/LspJUhZRZWfzN339cleKhE49mWTYZ - jY0xcRxLJRDGbnoBwSIvxuNJpVINgyhNs/m5xe2dzYX5fQ8/8uCB/YeyItWFSoeT7Y1OXE98zCJM - 7LhfDQIpUhD4ikPN5dXH/9PvvydN05lqc9wfYG2r9UY3S/uj4eHZfdM0zT1LKlxDKcqsEfrHrz+k - qCyNMMDK1CiGAONaUEN2rIgSqmAWW2mcFtpdCc7oxWmRvnX/GEpxGIaYstFgWGQ5wgQjZIzB2AUo - 2ieXTgCw2FrkUgiCwJuZbZ64/SzWMFOFt731F4aDjjFwYXm110+lZN1+3+DIgNVaSyHqldDnXsBR - v9//ru96mZT5wtK+v/nrTz/xxPmFxcOe52lTaqW+/vX2VT+x1jLCmeIrj66LC2KHbBGDd/pbfhAg - xNYeXCZGZtlEyCwI48fk+QyJi8XpV/3Qd5WFCqjPMDqYLACTO8PuxnhIa5xTD2OisSAEI4tduAzs - FWmfyYzOXjJwQaY0wrGkAYAYSgCw8190nV6ENBiX/wfIiakx4D39kVUWI4xAKMGAfnXUJSBA2Dwb - Zf9/9YHtLlv50gruBUEuSkI51jYGxgfZfFKpaP/MZ+8BTq98yfUHFpfOTldKrT1KwWikDAXLGMnk - dHu6bStW+pkKio3JBYMyZGmt4gkjNFilRZYWPva1y3twhEGDqMbUAGjIsgyEFsUkrOLXvObVviou - Xrh4992Pbly8oGkYV6vbW9s+pwuzc+PpaLbd0gW97sjS8WslZjGK/aGe3PHZLw2KHLVxGHLPY4pg - SrEGmeYFYdT57nsAYRie37oYhYlH+fbWdq3enJmZWV1fazabOzs7BFCSJEJISlkSVx87+bjvh5Ry - KQ2jnmsW7yqW9z7GS7LB/38808AYCyURxgQQZgSMSsJgbeViVK9aKZSVlGKhSsyoNRYTrMEAaLBA - DFiskUHIAgascqmlqiY1IcTZs+c5534YeKF3+vTpr9x730c+8pFclFudnR//qVuuueaaO+644/d/ - //cN2DzPz507l1QrBgFccm7eG9+IB/fXnqKeXczjqi8+95ySi2CMjO1sbWut4zhOi9yZcexFcREw - z/58e8ZKjFO679mU4SRJhFYuk8KA9X1/OBz2+/3nXXfd933PTe++/wEpxA3XXy+EoIxddeTKY1c/ - 56FHHnnBi1745XvvCYJgZXU1CILV1dVjx4695Zd+6cLF5Wq1CgBF8f+y997Bll3lnei30l47nXxz - 6Nu5W1KrFWklsIREMsn1PGYMPIcxtmGMsWbm1fPYnvcYgzEmuyhXjf0cwMgMBntcDkCZMUgEw7OQ - WgiFljp33xzOPXGfHVd8f+zbrUY4EMeY56+qb92+4Zx991577W/91i+kt99+e5Flf/DBD9544/VL - FxfPnz+vtX380a/OTU13B93OcIsQZKl55b99pTvBlzoXtns3BIwfnJ5975vf/obX/8envnDmTW/+ - v17yih+eHZvuDJNas2EIop4DYCpVvxLMttttz/O0soRgrS1jTlHkJVNEKZWm6djEeJqmvu/fdttt - H/rQh44cOXLy5Mlbb711aWmplMhzznfiBsEG1QqihDisbPLG5jM+AAAgAElEQVSKIqWIEodZjPIi - Rwjm5uaGoxFg0hqfzLIsGsYX1cUbrr+p1+lw4vTa27tm57DDllaW+5vdVr1WqXCPQnurlwzaQwkT - k5M51sDsensjdFyXOqOo73h8aqwh8jjLo9gowhzsGmHT3ijSpNBYJSJ3KK9XWxzzzdWtXQuznLOQ - 8F6UW22NwSX/v9wzMsZEUVRyzr9t/5isXPa5ftBoNCKEszzRUrquU7oXlIHVz6jAdvwebGltqZQ6 - ctMCTvPNpa0//dOPPfnEsF6HsIKOXnfs7Nk1a0JlFOEOY8xhQZalnfZ2s+bXarWTJ09ub28gcjyK - xJEjV6UZitfiS8lBf39d3k5CgDDGBpmSzgwIfOzB0DopV1o16mMKlId9ZfVoczDmexXDHS/0w8bG - dgIuz7qF74SDqI259ZWro6LoDJcXz9WPzCnCwEG5EdZadGnC+cZ2dS8dJAJDLLYIDMKAiabEYgKY - WFJqp63VBowFra3SFluruOsJLYEQi6wyCNGddFyLDCCyM2Og70J07PdYld4kxlpGKdfUZ3i6Ovl3 - 60+N+r3C6mP1O/tGaq0dThkhVgtCmZVWaOlQ58Y7brj9rlsykyPHpipyQ5JBVmQqlzn3HN+hRVEA - mDJaveRJw449MQCGUvMiOXYC8wd/8MGFVmVyfLLUxbD6xNp2d2y8iYztdrtpngySHrHpRoBUnjx+ - olubcZoL464LlDsJKSPfcwVKa42odTi1gKWQHDklYqq0rDVqUXtUYuGdTjsIglJIMTc9E8ex1KpW - qy0uLpZxkiXi6DhOkedwuY//9pgx/78qi7C1lgLBYClztBStVn24tISNJohasARRqSQwbLW2Ej1j - zrQzHV2y0rQ28MI0ziilzVrT8ZzNzfU1jO67775Op5OnWXOstb6+Xq3XPvrRjzZazSRLS+BtOBzm - oijtS8o5/J/vZDy7sAWttOu6FJMsTkoet1IqDMM0TSuVyjAeSSldz2OMKVHs6GC+0/UPNjHl6NdS - YowRtr7vJd3+cDh0CK3Vqp7nui6fmpo8d+6sBbNrfu4Tf/mXgFGlUtnc3CwblMXFxefd+QNZlk1P - T+9aWPA8jzF24cKFP/qjDz33ttsBmcOHD0ZRdNPCrltveQ6myAvc5lhr34H909OzR649+md/9udX - X334/MpZ3/evvvrIwT2Hnt5+aquz7fg8TrKvPvmE1Orhhx9+9Yt/1AH48Ve/1gISQlWqNaVto9ks - 8tgYuTA3s7y0GMzMKJkjBEIZTnd2T0r+BwCAsUZpv1J97u13PPboV1/2speNhtHB/Qe0VMkoTtMM - rOWUlebK/X6fMRbH8SiO6/W67/tKKc55r9fjnHu+3+33uO+laSoj5TjO3PxMURTLixe0NOPNlldt - nDt5GgAfue7odqdz5vETB+br7XSAkdNoTgiho2iwFUdjU5ON+ZbKiySNhZXRaLsWVprc3zs+2ZFx - bLNRnjKOdCHcilfiKBhTl3GrQAsZx5Gmgnm4GnoiUeaSZ24p/Csf3mmalu5V36Z/jJRiNBoZQKEf - VKtVbWRuzOW89SvnSvSM3YVClBDCBv1osJ6n7eLAfFivt266iS1e7Gys22H0UFHA/K4F5tf7oyhO - 43jUnxpv7N27d2P1opZWKTw9PR1Wa6eeXlpdXa1Up1qtVqezAc7XjeOvHdLwddtbGIhLPU96DrgE - G5OYGmlADoCKkPgecKOQHIphMtAF1daCxpy43A2EzrIoe+j4Q+na9tL2yt1XzUdxpip8VCQEKYII - uoQ5PfNeV+AxXw+HlAtkx3GssqjA1FDHcKYZlhhpoDt+PBYRQNQCBkOMsdZIZDKNHQo+AQChJSLY - IqsREGQAG7DfB8jLs8si0GjHwa+E69M49jxPAhhrR6qQBl/I2188d6JVbaXCdItktbtNfGDArCyU - MhZpC8RxSJEXxmiHEcJZlPeRlBQh6mGldcgcC1obpU1BCENgDDzDI8EWsMWggXrUGEOps7W18dIX - 37r89JNnz54HCKWUZ556amb3XjCmnD0Yp27FyePtfr+/ujgaH2eZ1v1+3xhgDjOmzFX1kGdiFOdS - WAMWGYd4hCBdyDRNDx48ePLhM3Nj842J5nanSym1CDqdzr59+zbX1imllbB2/txFzr1GoxFFsVLa - 8/0syy5hVgjgGZqWLfX530x9s4PpW0zs+q7VP3X8z+r2MUHYoRwB1lIgQCIXmSur1ToARsYQTEBj - AgwEZsYhhqhSNgcaAJecGAsAFjPmWMCEMGshy7K0SOuN2iiOosEgCIKwWkmydGxifGllmbu81+sx - xjClZYIvpkQL6Tk8zlLm/KNz3NfV/4LTjyzIvLDW1huNOI673W4YhlmWxXFcuhkVQhRF8d07lGc1 - MVcQMI2hlJbzfpqm5YO/Xq9jC3Ec9/t9pVSpXun1er7vO45DGCWElCZCQoixsbHyx86ePTs1NbW0 - vFz67eRZst3rbm1trm5tbG+1d+3Zff3NN73h5/59s9l8/c+/odUad7n/S7/4S+cuXrhw4QJz6cby - Zj4qpNay0EqifFiEjiuVGAzyw4euNQo6Wx2jjDK62RgfRCnC1A2CIo9brdbq2vJo2K9UKlkqYyEA - ULlpYpWWUoI21UZ92Ot7YVDxg6NHj778B1/6mte8dmp84uBVh5cuXBx0e4EXOIhoqYiBIPDLweQ5 - PCMZwRgZUwhJuAvaNKo1rXWhRN1rCCmD0O92eiXHudFo5GnW7XYnJydnZmYwo2sbq6MoueHYzWm8 - IWVar42PMsWAc8efmawDw+ubG17oSFRUp+oqpwSzreUNkeaZVshjCLDDXcuYKCDPFMOMU5KmiZa6 - NlbrD3ssINxl1UplpNLCiPJq5nleuv6UwSjfCf+YACGb57kZjThzOOee54ksk1Ja6+4MqUsFAAhb - hGzJIzbGVCr1gWrXa+7GevyCO++MBt0brjtGHW4RPX78qTPnNiwZWYKr9arDkNZqc3OTUkqpffGL - X7i8fMEAGgwGzG0QQqIoAoRA/oNTFMZYl5wYrTXa+QqjVBUFGI0kIIEc7oz6Ud0fy/KcBI7rIZUV - FIARRyJWb7S2VA8wHWW54Bo7Tq1eXUvPJ6MUGeQw14AkDmPW5dQSa0Quv4W0My2k1ZgbJ6S1cadV - p3VuPKwJQ3iHHoOtxdZirYxRRm50Nou0kBooJ4aC1MZgQIxqs6ODwpdCrQ1YsN8Pe0ll7XgQX1ra - BZ4vhKCelyaZ79cch6wPui/4oZe5LCwKudHd9hs+dUVURMwxjFPANM8lp5hjbop4s73BWhVhZGus - GosIKVQo6/tenA2xNX5QUXkpWQcAbJEuuyiDAAgIIRT1tMz37JlrNJpXvfjFg97w+PGTsWJ79uwb - JDlWyuOMEidK+oUpKq57xy3Pqb3I32gPJaMd0X/giw8Xo0TWACFSFDIzuQ3AYa7GBrA1wiilAkqD - IHjkwUdnZ+eRQO12m7u+lEUuipmZmeXl5bFGM0mS8qbOsmJ1dTUIKoyx0WiEEHLoMw8/BDt9fOnf - 9890Af9llJEaI4Q0EOJ4rostjtMsDCtZWiihSytOl/lCKAcQkYiAsXgnSdOiEobBGmEtVEmyNMbM - TE2fu3B2vDVbpEnYqDPmbG5uTk5Ojkaj8fHxdrtdrVYxpWVSNELIdz2pVZHloecLrez/ktbkG6wS - wvccXgqZS5ppSal0HAfbnVxCSqk0WmtNvgvH/qzYgWdqh2+MEFwKgwwCTykhi8L3fUIQZVjIfKLV - +sQn/2pzc/PAof1f/vKXgyDgnvuOd7z95mPPGZuYeuTh46Ef+NwtczgJIZjRm55z8/XXX9+8++77 - /+bTRVG8/e1v/9CHP/Rzv/CmP7zvQ7/+vnczykHDJ/7mU67nIQ3xcFj3GqcePePZIESNtKMefOSh - KudVJzh69R3XXHVs6aFzhxau/qu//NQb/sP/nSZbojBFIddWN7DNe73egX37amGlSNOS7SHzHAPm - LmcIJ2nKHUfmRbVSGQyHfUJ/5qdel6Xpxz/+8TzLuOuurqxUKxVkgCHcXt9oVmv94SAMQ2JBZvlY - rSGldLmTKm2EdCnL4yQt8oofRNHA84MoGlCHZnnKOTfIWopasxMb2+16vT6II7cSuAQtdrdrrVqU - FkI5HHNfczESzKfIWuYEOWjaqizFPQuohsn0+ExVB3yo85EllXoaF65PkHCJYQwxKTKLDPFYokdB - M+j1usNMzc/PjzVb3W4/y7LSKfwyS7z0pf72/WMoxUEQGEAbGxu1SnVyatJ0uwCmKAovcOMo4tN8 - x3p8BwPRCGEEyBigxK1Vx7i0CoYPPfjYhfNr1oK24IVQq7VmZ+cHsRrEcZ7nLufpKGWEYsDGyE99 - 6lOjUT+s1sIw9MK6VFAaUyok0zQVQlzuk3aaJ4RM+V+MOOdaCs54lmWUEKsNWMM5r9RCrfKwGsTR - iHtervPC5BwZi22t2biwuiWkRU2uKMqR0Q4ZpH3VG+3av1dWm0+cfZJwpiXkSWoZjJLEY9T3vLLL - f/ad9Q+0EaUgHWNqC3CA2ZFtTLTGYbyG61meTflTIxFRRjBCAFaDzG2OKJqbmn/n77/rB17xA7ks - MiMtoTsZTRYsAapLXoxB1pDSbe/7itr7TJUm+uKS4Zg1aJQmBKOq4zDOMymkVJppi4wBDQi0Vpz5 - juE0IWtP9z723/8E+fSam4++8Rd+5nT/bGaFAegnGvMKMJPkgluGTRkbCxbAgJVYF0iBAjfwOOcK - mTwTDzzwuRCUx/14BEOBvbGKEGqiXteyiOMUAHuuL8Tw0Ucf62xurm5AMI4au8YrIQ9YdcAKgjmj - rnZUZlOlCrNj82wuo5i1Ws1qOxwOG5UmoTSKBkEl7Ha7nPMkyQCwlFqpDCFSqdTK08LY1+mS4Jnt - 3e+XnvYbrRIZKqeL0o03iiLGWK0S9Hq9t7zlLefPny8d+jnnRS4Z4RjjMjZnu9ednBxXSsVx3KzX - N9e25uZ2JaO4KKTncIpZITJkJeXUYCh04VX91fXN2fldaZKBRIEXFlkmC6FU3mi+SukCY5ykeb3Z - KuVm5axVmosOh0NjTDUMy8WnMsZqzTjPReF4LmjzTBtKMFwxXWutG43GaDTq9/u7du1KopHnea7r - ttvtKIoOHTrUbrfLvEnGWJ5npYVdyRNP07SMSf7ABz5QqnMuB0dgjLMsC8OwfBcp5dTU1MrKSrVa - tUrHo1G1Wp2emFxcXASMlJC7dy0QRnu9XvkEMcYQhxnzj+34f8t1JRKDATRY9I+LMB3H0VoDwcba - drt9z13Pz5J0Yc+eRqNxzwtfcObMmenZWamVMYZ7ge/7hRQIIZkVhDtCiDyJT58+3e/3gyC4ePFi - NBi+7Z2/sba2ghld2Vj/0If/CFFipBl0ht0oqlJ/emK6vdaerE+9/c3vSki2mW5Pzc4jbYpIoET/ - 8i/+ehjj+cn5Q9dcm2Tp9nbXcRyrTej7RuPNza1sFFmtXe6nuSxywxxOEBitrbWMUoyxVopg7DBm - re1sb5dWKAcOHHj88ce11oHrtTe3ZCGuPnDoB55/19mzZ48ePXrx4sWiKLIiBwCCUBiGxphms3nx - 4sWgEr7n/e8N6zWPO2mKSzzjFa/4oaWLi9vb21/8/Bde8IIXjE1OrKyunTpzamZ29rHHvpKx8XBi - OtnOKXZFgcOg8Rvvfptxio1kVYdqJVqf3jenDSTLnamRM2maTTwZFybcP7P/hsM/d+/PhbyuE4OU - QY4hDlZYZ0WeDZMg9AFg0Bsy7tZqNc75YDAogYHyGV/Kkb59/xgh8jiOAZMgCEoht9badR0EtqTF - 2CsKABzmRFGMUFGjTaXM+lq7gthkrXLsOXfMzSwOBoNREk3OTJ88df7cuXOV5mStVstFLqXhnFNM - 8iStBM7CwmS1epXrB5974MsXLlyYmNzjeV4c94HtJOQhdCUABJdveGutNZfiJjCmlDLP5sMiKobF - KHcozdOMOh7zgTI6igXzqGV0qbvijFVb47NLw/WRSSXVORJuI5xtjc2Shh3E7kwlt1qDtRj5jmcY - MqJQ/yjL+O8tAxhpAIOIpS64IVSqUKtDwzVeHepEYqwBUwQEpFau8rnHEohxZBussZlts5BTbDNd - WEClLskgQywgawBZZMEig75fsJgyP+Ey+q+MNmA1IMYYBmAWzU3NbZxeWVkcWMTmj+yNedGDAaJY - 2YJYQgFZpZEBhrjKLRXE9YIKCYXWojCWUcI8i41EhTaaMwcEAsBXhBlag8BiBC6kaR7QII7jfDD6 - yR//oa3zpzGQ06fWDx266umlzfHxiWGnyzBq1OtJFmdZrvLMen69Xjty9HCKbLcYLm20o3xb1rBW - gBCxBlmDMKHMIbkcuczTiTbGlBaujpWTk5PxIFlbXL7xxuuXVpYZI5TSIi0uW+vaS7k5/1rPqvLM - lPPSTgwOY6UkEwBKwkOn00nTNAzDNMmRQoxxikkURWMTrUJJoWSz2VxfXWtUa5/97Gdd1yeEYEDZ - KA1dzhESIpfIWpdmRmqMh6NPO47rUG6UVnkxNz2FrYnj0WDY88PA8YNet99qtUrdaLmzX85gxphh - v6+13jU3H8dxpVIZJXGSZfV6PYqiEu0opHAcZ5QmQRCUAU+U0qWlpYWFhaIojh8/Hg+jsrOZmZkB - gM997nNCiH379l24cCEMQ8Zoubg1xpQT+J49e8IwvCzyKJcEZRdSZnqXMlVCyLlz56anp7XWv/3b - v/2ud76z0+n83u/93r333vvIw8f37Nnzxje+8b777puYmGi328zlpfTVYoS/K+qkHc3gs2qHkvn1 - pbWklFqrlRZPnz71/3zg9602k2PjJ596uow8rNZrZYaW53lxHPtBJU6TMk4yCAJuvU5vsL7ZDoLA - GBMNBx//5Ce55zLuBPX6qfNnKafb7W49aPqVUKZmY6v78Je/MjY1sbnZnto/kxcmbYtRFFd4sDC2 - L0474zPNtc2OU6n917f82oXVdWQtRqjIBAKNMZVS53meC02JgwnCYJmzg0OUgp00TWWZCJUXWutm - rX7ttddec801W+sbSqk0TnbNzlGElZSri0tWKt/hn/vM/dvb23meZ1l26NDBV73qVQ987rM/+7M/ - e/+nPz0xNTUzMxNlo6dPnti7d2+eib2799x49Nr+1tZ2UUy1mr/x1rfcf//9L73nhR/+4w8//sSJ - 6ZnpSOVGyCDw4nbUrE2FnH/5+Ofr88HCDTMr6fKTZx/+wP2/bZTZE0xeiyZec9sPV2mrvdV+7HNP - 7b9mn0cYSMsQBQ0IIaEKwzH2STocVWiANCRxJqUOw9D3fSFEURRlX1w+3r8T/jGcUiqEsMYSQuJo - 5HoO7BgL7fQTqkyduTSDFKIIgoCboBgKo+34+ISnSJbEj331RBx3q9XK7Ox8oYpKpWIR8arVOBNK - lRvNAjEHIeQ4jud5q6urq+sb/X5x8ODBvCDpRur5fsbS8sa7cgK39tn46xUtDtLWpDolNRJtj0Lq - mYoNWl5n0Emz3PFoVgxqlTBWApOk072wMtyCEFiNWQe2ozaIzB3HDBsdOqlIJNJSGsCG4NLBBEpR - +rPuoK/3dbhyHx4hhDAlklBNuXY45cwwKIBQ6heetRYzjAhgJalmvucXSqjIZN1CEk08Sh0K9u+x - k/o+W3GjS+okdClTEzNqAbSxyJg8imhB5udn252TW2fWLWPX3ni1dkBJazFCljCEQUNpzGqJrYxV - br7jOX4taO4a6486GnJEGYAiGFlktJEWiEXEIqMxQnhnOCOEsMUQg9f0jDEO49fffNUjxx9tcTI9 - OeP70ZNPPum2ZtbW1qZbY6oQg0FEHeJ5nld1Dh2YJaAfefRUhgFCSgiMTbT6pLDWSqEtAYyJ1jJP - UsdjRVFUSEgxVUoopWrN2tbSFifunj17zp49Oz45oZRKkiQZjTDGhFCAS4e443F25fRejrXLcrjv - ekv7vdYyl3dj6dhecvsoxVprIVQQBBjjEydOxHGEEHJddzCIxqoTWZJXwwrG+Ny5cxcvnj983XWn - zpyph8HK0oW9e/deWD2ntK6EIdKqvSmmwvqwPxzJnFUqKdJ+vb7dH7RarTTJO1ubMxPjJ089lY3i - ZquexFmWC8RiqZXtwXA49GIvz3MwttfrzUxN1Wq1frc3PT198fyFOI7L9MRao56N4jTPPM+rVCqr - 6+uc80ar2dvupGmqhdzY2BgfHz954qnSMX9qYtJa297aMkqXWQ0GbCnaKIoiTZNyjsIYt9ttx3Em - JydbrVZpnFHOXaVjbdnqlSh+r9fbs2cPxjiKot0LC8PBYGVx6f3vfz8GlIziQwcOXrx48cYbb3zH - O97x/Hvu/uQnPym1NtaWndl345p+cx7kAKC19jwPIcQ4d1y/Pxhce+TIaDQ6fO01a2trmLPFleVm - s8k5H41GlNJerze/sCsTxTCKLN4BRQkhcRxjjBnlgGA4HFGHhGF1lA4II/VmDWuMMKac5oVYXdtY - W18XVJ65eH5kMsvIRGu8M+w+3T1V495jac4JBUp7/WGu9I3HbkFGY6NzWVSDquNQo4dCCJc7pQFb - nufGWoyQlLKk9TQajWazGUVREkU7DY2USZJUq1VZiLTIlVLRaCSEaNbqzzt2x69tv8VIRS2qBuHW - +sbzbr/j+PHjoR+EfoAQklJGUbRv74GSGHTowIHduxauOnBw1O0v2XPHv/Tgb7/v/bt27/43r/qR - zYvLK52N6bnJ7jASRTI9Nr61srT3thuxJ47deaszAYtPnzh0ZGr2qnu0lLWCyifXBN62pPmSlz83 - +uxnGVMqz5rVOsWkGlYFsd1RhJhTqVRAmyRLQQGjDGNc2g9Wq9XhcHjZkugSHfjb9I+BUmIttUnT - tCgKIQQtmb9qJ5L3ksBtZ/iWr2NN7pHA9/2ESJkXUmRC5svLW1JuCQVXXzsRRRngRprkQimMMecU - NDjMsYqMRqPFxcUkGQZBIAtqjAEgxpg8l8D+CdkFQghdynw0xiit0yINw2Du2lk67SyMzx4/fryn - ttEkPbL36OzMzINf/Pz2sDu+u7nnwGFG6/WVszO0EcsRquiwEcAIzm+v0FT7tUA7RbVSL7SKi5EF - 7dAdZPibviMRKu92qy3SABqQBW4dlBsOji2TKBFGBmujIQdscc2vnzxxeuLoFBgDBiilBgyAJdYS - a4i9Ms36e+2Z8q3U3/s3WGu1NRhTgin1iMNYqoQmyDhYU4iKBHxKDAFAyFihFAHiMiqlUEjM7Jne - t383dvFmtNXPu4gawrRKR2AJcwmyxihpkacRMaikxgMAKl1AwAdrLefcd+nDDz+8e35ssx+fP7tY - rU5OTk5CUEmkLIqCU0aZk+YjXdj+9tYj0fr2RpoLIFVoBmOlgxljrPxESiGxpJxy7mRyxCjFCstc - pmnaarVWV1apdRqNRjRKfN+31sZxnKYpAGhluce+Fvr81/qaQjsGENhaSwjJ8xxjQAgBQq7rIoQG - g0EY+pTSMksICJTLsP6gW2s2rjl6bbu33aiFY42K0cni+jnsEMPsdhFxQhg1w0wHoR/w2khrIHjQ - j1zXN8YMBt1K1a9U/aULZ0tQBFNiAHrdDma0Hw05ZQCglKpXa/V6XQlx5tRp3/d7nS5FeKzeKI8H - YZxlGSiTJemwPyCMKiFEXnS3O34YSCnHxsYAoMTtMMYbGxuld3z560EQKKPX1tamp6cBIM9z3/fL - dMZyF+KSAZi9HDhaLndLBRxCKAxDQsiFCxdKgsGJEyeOHj0qpbz33nt//dfeFgTBo4985UUvetFX - v/rVAwcOlAwEJQzBWCr1z9XElJ17uZYlUBLajbEIclEAwPzc3DCJvvR3X7rl5luiJA6DoFKrIoRW - V1cb1ZrjutwPtntdqZQXBtbaQgoMiBBipLJGe67LHIeijDhUFQopUHkW+F63E1PX0QaCWtVitN3Z - 9mvuYNQNWtW0kKPucHurM12bqtcaHdW2Ds/zfHxmwhoUeExmaZ4mjsuztMgzYYE6nF2ORxfSlAs4 - TEipyZRK9QcDKaUb+EEQGAReGBRKDuORNZpSJrRyPHdsfFwKgQC1N7eCIKCEOJRtbK5PNieXl5fH - xsZ2791jEeKUH73m6MKuPSdPnpwcmxxvTfzJR/64vbbx717zvw83t13A73vHu44dO/b/Pvggt/iG - Q1cbZrlU8/vnlNBXzTcrTbwVnTf8xlgk8/P1c48+GtT95nhz9YlTDo0vtJ++8xV3r66fp0Gh5KBR - ZR6xvsdrtUZsC0d40toszTnnWREbY13XtQiVE1y9Xvc8DwBKbXPZX3/b/jEjaxHnHLDN08zzPKUU - YQxjLLUuG/zLwM/OgCOOpZpjRjLc629vr2w0XW96rP4Dd952yy3XVKtVRPCps2dOnVrsDws3rDDG - LLIYYyl0rnNrzPh4a9++2TB0Hdf75McfOHPm3Nz8gXq9PhhswyUM4tIotZeG7mXj4K+d4DH4tUBL - c/D2Q1Nk9vDUvt03LNhQD9K4XpnYO7v/0C37jJXYMfWwPu4vfPHEgyNnYFCubWZAOJU6IGModccq - K+1uEBJKECcUE4LBWrUj6r58L115Bz+zFr5UFgAho6zCCGkwZV4BwpYhygNXp4IRx4DVWgJYSglj - LFMZ8fnk7NyJ8ycWbtkjYWSMpgiU0QiAWEwMIDDYgkHIou+fDsYiUOgZnxhigWJihLYYpDXG431h - 7z/3+Ea+VdQ0IfDg+Sends9YT2MEnLtSK2kAE0DEGKy1azKQktghHdT9AINASAPkxBKmqbXSYgRW - WQzGYkA74mpigBiAFAoocpJHw+3pucn/7Ydfnnc2kcX3f+bLQuRr7YvTC3uQ1EWaWAtJMvIoHxuv - 33rd4YBTyusjrdaira0vP7jV65oWRtgEIUfMTxCkOs1TSRyLEVZaAhjP8zY2To6Hk1Q5JRI8Ozt9 - cWmxvJHBAiBsjAGLLhna7WAwCKF/NbgrCyFsjL78eG6VOU0AACAASURBVC6KwnUdxpiWMssyQlAQ - eACQpmkURZ4XENdRVjmc6m4hivQ973v32QtnR/HApahQWWWiJpmKbZGKuFmrqF6K1uVUbSYW5uOf - /uzKdi/J8qrrJEnCHYKs7G5v3HPPnSsra5ub7SNHbhRazVAC2D799NOVeq3ENjq9rutwWRRjY2O/ - /J9/6cLZc+NjY5wyWQhCSBSPgiBQ1hBKrbWY0Y2tzY989I9nZ2dXVlZIDe/evTvLsunJqfPnz/uu - Z6giCLm+PxwOAWB5efnGG2+kmJQCqDIWoJR0lGyBsskrdbuXdQnlgrY0JYmiqFarzczMcM7X1tbq - 9fqDDz64a9eu5eVlxlie57t27ZqYmLjq0OHXvva1t91x+wMPPCC6nXJvvUT3v+PX9JtEYpDZMQ9G - O/JR5ND6WOv2O5/HKZ800+12WxXCOKZWq4EFIQT3A0DIr4TGGG2N67oiLxgmBmOGCQE87PYZ59Ti - JIk9j4/SAWMEWc1cOhgOWECNtAZ0rVZrTtZTXdioTwwwwGPNxpkz54J6iLAFh0gtk1Hc3nJb9Wqr - Vk+KXGoAjCgjnDIhCmKhxAYQRjuUCMeRRhdFEcdxGIZ+EDicJ2n6+OOPu65bFIWUslqtjU1NfOWr - j65tbuxb2C1Bep7XbDRKkXaz2Rymw1qttraxfuLEibGJCYrJ0rnFL//dQ3v27FFK/Y+P/UmR5aDk - G//9z21tt//wvvsmJiYswZ/6m/8pjDp9+jR1SLVaeezh49VqNY6jfVct3LDvxjAMc6PizZFcT5fO - bTwWf2X3+KxOzUte80NPXjzP3fG17S3f8a0UWRojY4siYxW32RwbFHG3221UqpwHhhmhDVhd/i1l - CkQYhlEUcc6VUiVF69vzj1FpGiOEABPGWCUIk3RklSq3pZTa4cQ8M3wQKv11tNbayEaz4u/FdpS0 - tzc+c/8nlpciQgAwHDw8izFuNGq5QmCtlFIrbWRRDSuAdafTGQw2hUjHJiaNMfPzs4TS0WgEAMD/ - wbT3y9TInf7G2nK7d5APKCfN2WZno31iOS1MxjzUz7ujYd4Xw1xklZobDbtPnH/i4Nw1Sd6dPziz - XCxhJPv9NuHgOxVCSVdEAhQkI4c4jGCEjVQCGcw5l98MM8YCWFSSc43FZQqLNmAQge6gV+7JClUA - QFCtVPwgLTIbYuDYYEQcZsCAsQSB1pZatAPD2J0YYQvIoO8rvbVFcCmlBrTWFgFgJLXGFDkeDxq1 - 64/NeDQQQo2SAWNMYGO00dZqLRF2tdYMOQTI1ur6Q3/3oPRgZt/MPXueu95PpdAO5RhDOflyh2kJ - O5nkpdkdQgCAEQIXPI8jbBkjnuf9t//2gRaH59x0g9ZaqHzXwtzyxhqzyHedRqURVB2NizTaPHP2 - 6VG/+9QZPTYHrd1T2sDkVHVAZV6kg0FPeKkJNKEAGAHGUkgH0XK5XAo828udgONms3nhwgVtDQAQ - QqwFglkhVZmrsXOG/pUZ83WFLpmYX6asYoylMUqpEnUoVUKMMebyJEsMgTgeTk5NHLr60F233HHi - qa+ePvn4i19413p7tB2tfPb452zNyW06Pze9tznf8ivgmTTPh2lUSDG3MJem6Wg43DU72d5Y9gP/ - Z372p37mp9/QbE0fue76fYcOY2pf+bKX/9hP/tja2trW1lYYhjwIHMpEnlNMRJb/xZ//+bGbbo6j - 0fTU1Je+9CVjTDQaLezZTblz3XXX/d2DD77xF97kUDbo9Xzff+tb3+q6bpZli4uLp0+fZoxVq9U0 - TctuQyl1xx13vPrVr37/+99f0odBgBAiz/NSdgMAjuNcphiXD4XytBhjiqIIw9BxnFKayjnft2/f - O9/xjg9+8IMrKyt33XXX4cOHn//853/4vj/6zGc+84lPfOIlL3nJxsbG6uqqFwaEkCRNK5XKZdrW - d7C+mSYGGQBkrUVgtJZaS0Tp4tJSeXCPPfmYtTb0wsZYq7vVnp6cSkfxYNAfr1SEViGv9oeDkkAa - DSKKCAUsckE4Zog4iIG0MhUupy6mLmUe13meYYcQl+6b23XhvLqwdBYR61Y8zEngcx16WRIRCpVW - PSvyQa83PT5Wb/hpMvRdmqcpAHa5TxxWSGEAWwuUOlIWwlpKGMKokCLNMyFEs96oNRtKqeFwmLa3 - CiXzJPV9Py8KPwiGSRzFca1Rn5qZ/ujHPnbu3DlK6Va7XS7ql1aW3vSmN7Umxn/zN39za3t7Ymqq - u91VSs1NzoC2Wqh9+/a/853v/NKXvvjVp57qZ9lzX/CCG2++ad+N1z38vncP8lF9erK/2XE1rdQn - 4ziamplHmG9vjP7yI58qsjiOe+PVXfnmaos01tejq3cdfvd7Pjo3c/WpU3/zghe9RAPfbg/ztBiN - om6/G3rjDucBshnPjbDEc7VRyigMllNaDsGStFvaw8RxXGajw7frH2PKPTjX4eWPUYTQJSfQy323 - tRasvQIO0VJmw2Ev6fXG3GB2rnH02v0YP5FlhdJw9uwaAPghFoYLo4NKUAndLEZSSiOLauhPTtYA - FPf8zfVhlqaVatVxnCzLQcGluWlnH+eyN0z5rzS9Lb9ljJFGW2RTyKP+YmCD6w8cEZA/1X3Mn+FT - 4TwFP0qGaTasTFVm9kzN0Onxufq57jmNEwxyvFmrQpjlIteYU2hMNK1RVhiwO2Y42KJvfDvpUsC1 - AWQtMhpJhaSlRiuTqdQqs9ZZY4SWUc1a6zoVjk8LEBbI0sbK/msOSlAGaUzAWkOsIRYRi4kFsKAB - W2Q0AviX38dcChUFBADmCoEwxRRwYa1VWhQJlvmsW3NSxHMsNG01ZnsQxUYbBho0c4g1gAugmmJB - ii315OdOAccN3GrCxJboKqKBYY1A2gIQMsCIxsgiaxEgZKxGFgBbjQxI0Fo5XuDUq6dOL77m395t - ov5jjz7OWEMXOkmSiYkJonWRJVE0SPJh2HTjZBQ7YhTrI1eHOKyAi6WE/iCyLVqpeLV6GKEiBWGM - AmyUlpz6RBFZyCRJDh48ePGpi01/rFKpXFxcNsZQh0lZGGMcxopcXon3XR7q9hmX3p2IwGd+5ps8 - /9/s2Pme6qHsJesQY3ZMOB3HIYRIKcs9wZJjgDGemJjY2toYn5o+u3QxyeKq4xR57Ls0kyPO7K++ - +Zcf/+rDUscXV0/d/bLnkkkvhiQInSauXcuvVtvo5AMPaqozmftg4zg2SubxiFjjeyxNhvfcc09/ - lP/ZX3yCsE91++2g4q+treV5vsPnA1RGwnmeNzExcc011/zM6376L//iL/7Tvf/hJ548IYSoVqsy - Lxihb/3Vt/7oa199WaZAEOp0OsePH//CF74Qx/E111zzEz/xE185fvzcuXPxyZOcMc75XXfd9dJ7 - Xvq7v/u7AKC1Lp8I5cfSBizLsvK5UC72oOR+YVzaZ6RpSghptVpJkiRJ0mq1fuu3fuvE408QhJcX - l9777vcQQo4ePXrq1CmE0PHjx9c21qMootwpMZ4oipxv0ufmG6myiTFXfHxW4Uvm5RYsxmCtBcdx - jbIIkVuP3Xb33XeXMUP9fr9era0tr9RrNQKUIDvsR82xVmt68p3vfU+UxBiQLITmqlKpzE5NW6na - m5tjY2P79u0rQSpyAQDp+cmJROQp1oXWlWowigc/+IM/SeF5LEBn1s9OHVpYbq/lWbarNY36hYt8 - qSnhnpTFD73yFRsrq+98228MBoM0i8NGSyhlCyvznHlcCakdrDH43M9FoYTElFSCMCe5UqpsLQHg - zuc+76WvePmu2bknn3zyvvvuy7LM89w4jQ2yfug//0X3+K537y/+p0GvH8fJ1NSkUHJtba05NoYp - QQg9+uijru9Zay2C7W63Xq9/+eGHf/Q1r1lfXw/DEDvO/Z///BOnTi6+8x1ZkQOhG+1OLagMo6Ra - C10bbveGI5G9+e3vOHX6RM3zqr7f29o+Mn9MUMQ9VyXCv76+1Ulees3trUrlyZNP53lurUYONQQl - RQ6WcerNjE8PekMldCElY4QRUl4ghNBwONRal1aEUspS1v/t+cfUEKrGcZzmRSnYRtiW71VOoFc2 - MeV0VtrgUer4fhgEYUGjJEmKoRntHs3MzIyNjdcbLS+ofeELj1xcjBoTkwrDYNAv8tilpOJ5udWu - wyfGp5ROozjJhSLEBYSMsYAxEFM2MQQMtgSsxhYBWIOwBlUeD8aYaIsAlFFaCcflQsmxqUkzsA8t - Phr4TspyY8xw87SD3Fq9XjAxSKNREW2LblANenkvnKkN1LYo8pSOwDoOp9IUUBhswShkjCIMucwF - YpXaiR14dj2bZ1v6YpXNl9agNVKIIAdRhqlVVqgizSJCiMM5c7myxhhDuMMQl6A2Njae96o7h9DT - WAHWVmvQBiF2+b0MLgOxv6ceKN96mUsbOpddcACgXC9agwIv5JLbOKtR/9RjT6quIL57wwtui00G - gCl3jBAYLDVACLZCZ6oAlwJDBgABMYA0AgVWGAtggRCEmRDCBRdbbC2yyAKg0lTZIgAMWus0z7J0 - eMPRA3EUca1f+cpX/u3fPpppFUmZyRG2hhMahJ7F0kgx1mjcfOza0OXnF9dywAVVHgcCkFmdZGmS - JAWXiCKEkDaGEGaVkUoHhIRh9clzDx1YOJx1s9WVdQyIe55SijPXaDVKEsYYJfSKC22ttWCxtRoB - gTLAHBn4/uBGfUtVAgxC6HJPucS3ZFEQQnzXKzKBAXHudbvdp5986o7xibGxsWsOX2VjMWi3Z8an - HUympmbiON6zdyFo0e2HNs+ceXqheRhCtLK5XJ2+anp2OrGFNvLQvn2u0xHSLsxPVyv+qN+eGK88 - 58YbHnroobvu/IE/+u//Y9+ePZkUP/xvXv7EY49PTU0NBoPdCwuj0YgAcigzSrnUGQ6Htx27pVKp - LC4uzkxM9zrd5lhLg9VaV6tVDDA7Ozs5Nl4yOAmj/X7/9a9//c///M+/7nWvq9fr+/bt+633v7/U - bcRxbBH6/d//fc552bWUyb4l9FIKTssla7nQLVPqLleWZcRhpZ5rOBxijJvN5lceeaQeVKzUs5NT - a4srW6sbAFCKlzllRZZ96XN/u2duV6FkXhSewy3BSqlnraP+3kgWWzoZfGP17IAVQPbrfvmysBCD - RVprI4FTz6N+EWVf/PTnX/rSl64uL//ZH3+UIry5ubln9+577n7B7NyMdqSD6ObqxtbahhcExCDu - ehjj2dnJX/nPv/SRj3xku9e+6Zabd83NT09O3X7rrS+8524w5i3vef8f/vFHtrYfdDg1RiNTuDb9 - zGf+6v94y39cd86vNtYeGz7FXLy8/RR6cvVXf/pXvvSpJ1/ysh9583vf9bof/7H2+kYuROnGJsBQ - Tos4rQde0h/UmpWMWGERaKWE4pT5QVikaej5nU4nCIJqzR8Ohy976UseuP/TT5946t577901P3vi - xAmjZaNZO/l08iv/9b/Uq7VCioX5XRcuXIjjdM+ePefPn282m6WnTlEUnuclacpcTjDRFCwjo9Ew - yAtMWRTFjLEgqJ0+eY45LjYOJcwLQwYamCykHCZJWKuMcvH6n/35Qa8/XqsSZZE2QghNset77c62 - V6sr4kgpXWMqDjVZhtgLqU+76bAWulYjYHSiNWYyNRj2PI4pxYSQYZqUhnvGmF6vl6bp1NRUq9Xq - drvftn+Mqtervu9Th3fa2x53tZGu65YvwjkfZDENKQAQQghjmZHKKMY4JY6SoBVqNadZIbHI11Y6 - 5891tL5oAMYnmRB0dvZwZ5hGeRLWQ6QURZBlBTZISfv44yeETLjrV2o1QEFRCGsQGAMCjDKgrdGa - UmQRN1ohwFIr7SBrLZEYtOGIKiPBtcC0AmUMGmajWtDMiqLA0joEO1jjPDeqyHJkKOVMIqxdkWKp - G7wvcgRugDG1BGNktSojWw1gRDAmBJDSyiIwGJdE3MuJwWjnFtt5zuyY92ILJeHMAKYIYQuaGRAG - a4xyzBAPg3B5ceno0aOFslrY7a3u9MRsloh6tdYXXSKhN+qKZg4uSCutQZhwBQhbMFgDMgYZgzAx - /3A85r+QMmjnHFp4ViNoQBlCHQsICexoxwp9YPbQmrm41l7OTNRgjZHWfZVbo6wtHGytVoCKBATm - nrff/7H/8hOEEL/pnRqelKFSVAidEYQZIUbllFCwxmJsLDYIlYaDxiKwBAg4DveDistpr9PvrF8w - uX76qTPcHctz4VeqidCuG+RZYkSCMAm9MBm1Hzp+Ynuz1x+BX4eJ2SkXu67r5ii21np+WEBuMTJW - AyYAyFqEEDGApLatsfFut48yKNLC930jDLbIYoQsDtxAa43K3utSlcNs5xRZe+njt97Pfq81wt9s - M4YxEkqUtoXKaAxIa3C5rwshMuE5nlUWUyqlPnrTc0ajJMnSU50+EpYqu7a04RJvbXVrbKq1f//M - +Y1zRVRMTExUg0Yvj1rOZJ00++0ht/782ORX/vbReJgz10+NioYdC3Jydt/M/K5bn3NssjWDDf/Q - hz+WptkPvuRFv/iL/6cxJh6NRJEbY4o0azWbve1u6Pr79++/7wt/e9sttx44cMCAKZN3Hc8tTTsB - IEmSUptWiqs9z/ud3/md4195JIqi5++++5FHv7K1vT0+Pp7nuRcE3W7X87xqteq6rtTKWtto1Hu9 - Xrl8LeEfQkiWZaIoCMboCpCeOEwoSTHBBCOFKKWd7e39C3vWl1d8xsUoq/FQCCG1qtXrcZpYDcSg - iWpLRJnnuoxQYWwiCgWaOkSDRcYyRuPRqNmsD4dDxh1lACHkB2Ge59aAUsp1XVDyn7yml7eTriTw - XjlG8M7XLS6/43sBslYJlcap67hjjdaL73nhhz90n87l5MxMo1pfWlravbBw7Nixv/7rv37ly1/x - gQ/fd2Df/k6va60FBIHr3XHHHZjRNIupw/70Tz82Pzf3m+9939ve9tZ6NXzXO97tMk4MpphbjBFo - giEZ9sdb1Uqdz+6bWsnO77phd5HEc/tmPvHxL1w498Sdx25ucPe6a6621hBM6/VmszmGMU7zzPd9 - jzvI2snxVqplJlKgzGrrc9cYE/UHCIG1dnJiQggRRyOPuwihV778FVcfvurIkSPT09Of/vT/fPDB - hwa9rlKqUgmmZ2cuLi1dde2Rp8+cXti3+6mTT+/du79IM23MTlOPEOVOWK0MBoNGs4kwCsKwP+hm - cbJnYbeUOotHWhS+7xJLc1mAxdaqUTKsN1rVRj3NCoSIw4LxJo/7Qy0Kn7vVaqvT6TAHTU3OR3mB - ECMIYRCUOgXONZjCCOoQYzRCaDjoeQ6rN6oWxGA0wGDLJAutdclxKQm5/X7fdb8z/jEla5hQ1mg0 - iiwvX4cxUr7mlW4t5QZT4HtplsdZzMFljJ87ccqlgAp40xt+5MjV25VK5fzFC0Kb06dXLl686NfG - G40GYigajYjLGcKUsW6nc+y2G40txqamP/nJBza2tufnDjLGhGHAJGB0CYxBSmswAARhTHOdOY7r - YW5SWffCqBikJhNKIGQpZtjiXBe0wgudKSuQMdTDVgukMDbWGCyR0EgaZDSmGDC1BAMr98UQPAO2 - XDLCv7yf9bUrhK+py8CnQbbEqygGpZTFGBDGgBG1xCUOM06e5CXi7XE/L6TVABZz6qajlDJCASFk - JVbGFBYbQBQQtpYYpBAAIFX2SgjK0OcSS/gXX/aK1RsGzBnBiCDARunCiHozOLV9NtKxcHQYVFa3 - VoNddSchqcw5JhgbxEApgwgz1hBKp+anQz+IsqE0GUhNKfKoiyxgMBJhZK0BQIA1BrDYlnyYsp9S - MBgMVKH6vf7ClP9T/+7HsUqHg9HH/uRTrcmFkVCUOkmSeJ5X5V6/u5FEOUF4fGJuamqGew4PwmEi - lle/3GnnZA4IYVtbW3ySFYU0DhDqaCV2Ir8s1lrL/4+9N4226yzvPN9xz3uf+dxZV9KVbMmyPILN - YOMEQhyGUI4hkKIrCauTqqJCdZLOSnqlK4QsQqBSwU5BqtZKp7srFTJAQiAQAgaDLQPGeJRtWbLm - K+nO98zn7Hm/Y3/YkjDQNBhc6YqL54N0l7Ske+67z9n7Gf7P78+lLDjMy6EpUlqWNllAQ4DBN/Tz - 33pU3/Lr/6Dx7R4IGgKsAYQAQgh1mRgjIJUUGiCpIYAQCiYpMQhBEBCeq5rfPHliOUkmgmHPrD79 - 1NFHTp42Ks6OxpQ1ZdcXWmLc297qUkJEnmFEleJMMi7Z4088dfzIs//V+vNf/LlfvO++L3c3N/Zd - fWBrdb3f75cFsFJKMq6UKnehx+Hk9OnT4yjkUkzPzBx68CuFEkmcVgnCBp1emBsnUaff609GN954 - 4/L5czyOCCF79+7duXvXE088URaiS0tLnPOtrS3bthcXFxcXF/fu3XvnnXd+9p7PHT16FAB9mSOs - lEqS5LmH81wOU1noKq2zIlcFJ4S84Sde95Y77hxtdy8sn18+eXZlZaXIOTRIa3oKUSQYMzBCTFJC - AMQCaE3puEiHyXgSTyRj1KRacItgKEXg2AJox7EGo4mGMUYEQl0+hr6XpPl5r1hPJpNKpUIMapqm - 47nbva6BTMO2kEnXNzfq1Rqh1DDNgrGCsUE4ppYxiSYLC/PDyRhhjDE++ezxVr3he5VGvbVvaS/G - +KN//bG3vO2tjLG7P/yhN/zEGxZ37biGp2udLd93a97e9tziMO5Vg6lm2uNrJx2jAhMx01owCA1j - VT+wUJ+atWwXQVLKVOv1+tTMdB3qWqMhC9bb2q55QdbZEoUiBAAATNNUSgKgKaVlq204Gjm2nSTZ - Rz7yF7ZtN5vNhx56eG565vTpk4PugEAyNz139OjRNM4uPHH0Mzl/6Q0vfeSRR9qN9qDbsy1rMBjs - mJtP0yyaRJVaNcsyIcR4NKKUep7je3Y1cM+dP1PxXV6war2qAFOSFTzRkFYDz6s04qhgDFiGixAZ - 9yJKqYbUq7txGhlYkYoT89SCmiCcR4ISUzI5YalJCZeSCUYohJApyViRhhEySNP1bK64lLLIi3IM - Wfbby/wjTdPSZfSF4McUlFLHMKvV6kgOAVel50D5wdDPweZqrSGCaZZgTD3LAZkqiuz6VxzUYeYS - /ZWvPrC2OjAMsLBjanH3UrOZTM+2OoMkjmNiEcuyEIJFlnKt9+/fv7Kygok6ceYspXTHjh1KaoQQ - kPKi3SQCAGkFlUJCalmyozzDy7IsK5QFSL/bt32rZlYjkCQ8BAQBDYo0N11H50poDpXQgACgEUAY - IQi11FpqATRAUBMEkUZaIwUkABpCoPGl9sC3xvMmO1FKgRKCizRNE5IAE5RgTUrp/Px8tTY1nkRr - qxcui5kAAKT0Yihn2AjgkgvyYkTzIg0UlODi0whJCMoZHFBACI6QQpaRynzEBqQ+3d1aq9083b5u - QebiVO/k4tRuLkOJCol0yjITWkoiosya2bSBqRMJIm0wXG82xsVYQCANwCRTSmkAAVRAUwVRqV0q - zQCIVlhrAEGr2ap4lWajmo42Pv3pTxuAzUzPzcxMh2lOLJtpXdLDygUQamAEpOM4nKXnzp3rjydO - 0HBdd2YmWBMdIcTS0tJWtuHa7oSFWZYZFF/+2JaSBZVrzAi56BL/TSBH+ENX6h8sLh8p51xopYBO - 09j3K0XOepMQUUMAfN01N5y5cOaZp47lPG7Vdr7u1isGLIaUeI5LNRoNcihQfW5uT8Y2+qNRNFIQ - WZYVVDwpZZ5mm53hZ++99/jRExAiVmQf/+uPScYtahCIWJaXVs+c82q9DrXOBFtc2v23n/q7wPe7 - D371+pfcOLMwH6WJ1npuYf5v/u4TC7sWj58+1R+PFAAFZ/c/cCgIgizLiqLY3t4+ceIEL9i73/3u - xcVFy7K+/vWvf/KTn/z1X/91wzCEkq7r+r4npSyKogRel+url0M9x66xKArDMDQCEMJmo1XecD7y - kY/c++nPUkA0F0ronTt3L125p9FoSi204oBLbEoCUc4EQRBaBBArL/AwiXmRm7ajJLcxAowJwZMi - n5qZNghQipUUDIIRY4x+D3C855fEKAgczy2ztjTPtra2ms1mPx6O44gaBibEcG0YEUCxwtD0nG6v - BxDkSp46e8Z1XUzIcDh88sknFxcXDcM4dfLkBZPWgsqjjz56xx13LK9e2FzfePNPv+Xev/niKEkN - xz2xejJwrOv3X3Xk2eULq71GfdpKjHOPngk8/yuPPIi1f92Nr/qrv/30W3/m5x957PA73gkoNbMs - O3NueWNjIxVsOB5rKXudrrG0h9qWITLOJDYoY4yxwjRNcGkXt7x4ZceiTF2llM8888zm5ial1HX9 - 0egMgcSz3Tt+9u2u6z300Nfa9UYYhlON5ng8rgUVCKFpmhrC0WjkBj5B2Kt6CIHO1vZUq5Gn2e6d - 82trawevPrCyshLGk0qt1qh5XPFxNEzT3KBuqzkXj7M0zxtBNWOZEVimZw71aKDGQd0dbUfReFzz - GoY0GpUAOLaQGcJKIYoQNgilUGeqMC3EeLrd36wEtXq9HscpE7LcnQ6CQGudZZlSyjCMF4ofo7Us - yVGKUM/zshzy0iE81eUC1DclMRAiiCDUnBdYIIj06dMnA2SIONm/Z6asIp8+0jl7vsM5aLaR1mb5 - yuPRSCntuq4SxdNPP92arkzCYXt2LstSDUC5KokoVaoACCogJZAaqlLKpYCEEEVJAhWwoMmTou7U - kixOZD7iY6dOL3NUCpaVpYmmWgGptMZAYVTauF0k3hoIIggAhAogfRGj/Y3+pbr4S1nAoO+gM/v/ - iqIoEFAusR3HsSyLYKKVLi2rDMMwDNJo1MpVrPIScCgNTAghGCL5XCHzizLK2RxUSKNLJwuBBgpq - yzSzLEMCYdOwMRJIpTIPTL/VbkguQ5kVinEglFIKaoyx0sC2bZnScDx58tHT9/39vVrrW257+dt+ - 7s40TKTkUCgtNQAAEajKhrRG5Y4XgApphUojdSLsWAAAIABJREFUKgSyLMMAc5bZhlG+w5eXlw2z - yRi3XDwZjGzbQghZhmU5DjXFsNd/9tlnO9tRzkClATDGJQ7bDmxK6fr6uvBYoQpkItdwucgvL9Zx - zjnnQEIMyOUlu3LTskxnX7TX/R8xyk0cJaVSUgHtum6WZVxIxwsef+bYa3/89YNBTyNZmQoU4FrJ - KAmJYViOGyWp1AoAyaXAmFaDCoPSrwc8ZWmSSiaIYVDDnp2rnFvbcCs+hfjc+TOD8aTeaiKE5KWy - pMTKhXGkpTr05Qcmo7GWyvO8fqfbnpm+0Nnc6mxT06wcr0yicPfu3Ue//MCZC+fmZ2YbrebJkydL - wk1ZZGKMBePve9/7RqNRWbVyztvtdlEUg9FQShnHcZIkruvCSySOMr79bVTaaJu2lSXpucG5aqVy - +2t+7ImHHk0Goet4BCDBJEG45gdQacYyKCRQDGkIMTIJEkADoDyLVEwaUpILbGilABS88CqVKGGe - ZUjBLZMoDZSSQEOA4Peoi3nenZjSQAEg5FcqtUa9Uqt+9p7PNVrNpSv2PvbYY4PxyHXdD/7HP8QY - X33Nwc984Z6f+4V39Ic927Z7g67neaZF77zzzptuukkrRTDe2Ni47bbbhsPhwq7doyjesWvnNTde - +8Gr/uBDH/7PDz96mGATYstvTPUn+fvf94fYBx0+jmWascLRpOUu/Pwv/C8/fcc7/tP/+V++/thh - Cu04TNI0RwhIyX3frTeq1Urdr1QKISZJrLn2LBsCwBXPOXMDn2W5bdtxnGBMbNNCCLUbrenWlFLq - jb/4xrvuusuy7OFwiDHhufipf/ZTG2ubCIGwN/6p179pY2ODc86VPLj/akxJkiSr62t7ZmbOLJ9N - i7xEODPGqtVqmqYGoWmaUkzWVlbTNJ2amsoZ29rc9CteUKsals1yOB5PVA5n2+3bX/Oazd6a1bQm - Inxp+/ooDzUXOtu7qzbXMBuTzUwLYxiNqs3aV752SAOEEFFcakKQBoZBmFB5nhOcYExN0wxgMB6P - hRAlFytJEq11+fULwo+BEJX8uiLLW42mBrJUyZVF4bd7xxOKipxjIEr5mFJKAWVZ5LWvfe3Lbh44 - jhfGiev7h598dm09VRISQIbDIZTM9dx4EpkULS0t7TuwGyIlALz33q/2R8PZ6SXLNsIkARiUNwKp - lSrrZa0REFJAQpFmGgFUDZqogA2vFdjCUlYCI60B1NA0TZbkxILIwBILoRQiBEEMFFBK6UsyDCwV - AEBhqKAWUCutIQQaQagAAArqi6bKF3Vpz19B4Louy9PLLutCC2KQIAgqlQqlFABQLlpdXP01TVak - AIBvOC0AAL5pG+XFFRoBCJBCJbQKaaCgLqXbCiGAiQAICGRCLx/JeX+XHmbJKIYAz9YXJlkmiQkw - 0FoSpGEhBE8QQkGjGkw72pW8KJQjpC3zgmUoV0gxLTACAMjSigUBCMvRDQBlO0ZBADhIVWpRK0mi - 3VfOX3twD08mjXrrK199qt2uDVI+OzsrpeCi0FL2en3XR+12+xUvudGxqVA8ZXyjM9zqdbvdsdAX - GWXKIRrqRKYsZ5RcrERLZb1SCkNiGAZPRZm4lGrMy4Cy74QY+GF8j1F+jEprIqUVY0xrYNuu5Ko7 - 6FON5qbnOr3teMyyIq1WfA/Vsn7GsCDIwRYscI6pAgRHRS61lGniQKPiBmmaZ6xgEFKTGwbBUCME - JePTUy2uwXA4rNVqhmFwziHBQgipFMvzhx9/zCDUNk29pQTj3dGg0NLxPc9zwixpTU8dP3VydXV1 - dm4uLwoeSWIaYRh6tkMpzbLMtu1oEpY+d3NzcyXiq6zMsyKv1+uWZZZ0u5IZgxBS8OLQ7VuUJSUr - TzDued6uHYtrq6tbG5taKYBJkTGhgEGpY5gE4SSMmMw9ywAIEymQhgZFSZHHk4jYpioyCwKMEIZK - I50V4uUvudGvVQ3Puf+rX97Y7pS8aQSQltwgRMnv7nf5vJOY0jtJKRVF0cbW1v79+8fhpFqt7t1/ - 5YFrD/q+3+/3LcvyfR8g1JxpD0b9V73qVffff3+r1Yrj2DTNU6dOcc6lEFtbW6urq6ZlzczMvO4n - 35im6eKuHe/9wO9KJseDyDEtpuAkTJ88euIn3/zPXQu2pmrHzh6FFjEskyWFI0lxM69Vp80g+9fv - fNeRY0efOXLMcRzHcVzf6w4Hm53tSrVeNmNL/JeSsoT2lJ//ctl9PJ5QSl3b6XQ67WbzzJkzpZP4 - 2traeBQWBR/2Ro1G46p9B8LB5Lprrl1ZWTl+7BjGuN6qU0rHk0m1Wt27dy9CKKhWjh07xlluURpn - WbVaTeNw/1UHX/XKW9ZWVx9//NGffMMbDx48CDH61N//3eGnn0rzdDyKHc/HFCRhMRU0AsfxPWIl - MmiR4yfPJFm+1l13AJ32WzgLe8wKLyS7d1x5YP8VP/uLv3Dul84iQrXCBFuKK6yxUkAp7XpewVi/ - P6jVarZtl/lKkiQQQsuyyvxDa/1C8GNcKTkAgDHGC1arVAkh8BLzsTzqy0nMZcQcpdTCloxlFEWz - s7N8NPGp/dGP/dWwL/McmDaYnffOnY8bzTa2qhAh13XTkBVFEQTBeNgbjeSXvrTsuJRrYBjG3Nyc - YDLLMiAloAAApSDQWgIINYQaSKmR1hohYrmWlZsyUzIWYXeckAwEGlagUJIIZVFTKmEoLIXiXAEA - MYZYQckVkghjDCjBSiMuFQRCK4lhubUnEYAAKASgBlhfttaB3x/qP01ToISJkWVZtm0DDoqiEJyf - O3fu/vvvJ9Q1LaeEjRJC0jTRSGuptFLovz/R5X+bgOCS7YBEGgGlNAAAJFlmGBbAtMgklsjIwJ7Z - 3euby51zm4Di/a+4IRYjiAQkUIoYK2kapmQKYpWwKOLRwpVz1aDS3NGYgCjDWUEYIBBiBRDQikEA - 4cW+2qWWGwS6zFMpaNTqFa9qmWh1dTVPByINF+YXi6II0zyWJMoL06IQQhMbQRBIHfd6vcOHD/d7 - W9vdAlIws9AOgsDzvAvFZlkibqebyEcUU4ywVuIbbEYAAAAIIYIIBwJc6m7C50ANfhjfd5QHeFnF - ByFAEGGMIIJMCIqI6ThUQ6UUQXgchdPzMxCAuD+puDXLsBKpgE0IjAWWmcijNKUQaa0YwBTCWr3J - NUgFY6IQSmqgRJYDpavV6vp2BwBgmGYcx0KIimWFYej7PvYDxpjnuJvr64LxxcXFnBWjfo+YRrlj - sby8vLBjR7kUDS/a8ZKSOFqujg8GgxLIixBaW1ur1WqDwcAwjJmZmSRLt7a2KCWu61JKy+IWUVKa - eCj4rcNoSmnZDFZcbG5saCGb9cbG+dXA8yHXUAAtJOfcIIQJYhqOQVE47IVRrARDCEVZGuYpdSzG - eZHnQGsCMcFYG/QVN9900ytf7tWrK2ur6xtbQitCqNJQSonQ95SfPO8khitpuQ4xqIZgbWP9rz72 - McbYgQMHTp06Vc7ztNZhHJWnOZyMFhbmur1tTGnBOcbYdd211Qsb66vNZns0GpmWde+999Zbrc2t - rSuvvPLIs8dmZ5rb6xtUWtVKKxaSKXXPvYce/NrX+xsr9YqnAWu2G+M4MSxb5kIIEFTq21vdRiX4 - sz/7MwRAvVGFGEVRZNu20IqaJMsKxRXQiGCKERGSI4IU0MPxiGIKhHBd1/O8Qa8PIWy3pm966cv6 - 3d6p46eTMM3TzHX9dnv61InTg+7ApNbb3vK2H/2RHyEE+b6fxsmpM6ePHT3+v/5vv3rbra86cuRI - rVaDCBiGUYLGe9uddnvqX/+rX/q//uRPwvFkfaX7spfc+gd338U4f+rIM47vLe7aMYj7291+uzk3 - NVVXST4cbFFU3HDj0uJLF+dv9tbz7npvztamVZBZXb3tqlfSCQKCHj2/RqFikgOEpSYQGFAroQQE - SGkGINVAc66TOHN9p/QEGY1GQojSMqJsrpAXiB9TfugvusJW/cteS8TACBHF1cWJEoRaa8YYBLgQ - haXtIAiWnzrVdpztQfgzb/7xs2dOYoxX1lZt275ib8CEO4qLQmkLWoQQwzAmk7HrukoWL3vZy7a2 - V/1a/ciRM0UeO1YDYwyJzbKslKFcug+VViGXbG4kyJOcReD1u368AzpbaUc5apOvQsUIw1jhptVU - iKdsgjXGhJjUxdoUUkGBTEIx0ghzwVOupUYQAFTqLUvVuyx7A988P1IAoec5UaKUagkEF1mWcZNL - KTXUhJA9e/bcdNNNtfp0FKdaiaIo8jy3fTsXAl1CEiOEtAZAa3xx7vJijYtzuotZIrwI4QQIKqEt - bPvEq0ATJKp7trN2csWu+PpG1GrNTHKRA4EQIQgAwZWACjLPcw/edM3Ba6+2TJOpbJSPCsw11Qor - pLTSSmlBMAZKXa5O9SXDJgUBEGAymUimgGY85UtLSzWXPvbYE1GEiFXft3ffubXNsjnCGGN56lcM - JpFSyjTNXbusarOlkHn4yLNhGFpzVq1W63a7RtOI8ogTgQx0+cl6Gc5WdlwuNzuVUgDCy7CQb9eu - vrjjhd0Vf04eAyAEGKE4jV3H10BqjaXIRcHjUb/Vati15ijsSS48x0lFPIomgJhAAYU5otimpFJr - CSGQQiIvcsayyUBjIoHGBBZF1q5VoixRQvb6fQBApVIp75kAlxUXCkdj27aB0lsbG/Ozc4NeP08z - IYRvOzUvqHi+aZpHHnl0bm7OJHQSRxXPD1xvMBj4jsvyIpqEQoh2q1VSgLXW5RSpWq1SSpeXlwGC - tVrNcexut8s5D4LAtu0o/Yaw96Ix2WW2uNau62IA0zTFCLXbbQBAOBrH4diE1LFcpYHiAgGohJRK - YI06m1tFFCMIhRAaIwE1VxkmxDAMBKHWEiBIDHMURtvdvs+4koAQwrkob6uEGFJo+IJrYgAA5QpW - OY9HCPWHg4W5+TRNe71evV7nUhRFYbkOxrg/HM7Pz11YXXFdu91ujMdjJUS3252bm9vY2CgHHFEc - 79qzFIbRnj17wjhCBJ9ZPrtrYUfYCaN4Uihcb7XHcZTm2YED1056HSx50kkUUBCieFy0Zmb7o9D2 - AwWRQS3XsSzbxZjmjGGJy5nIcBISbJiEQA3TJKEmLfUrSinf9weDgW2YnHPHcUr3r+Xl5ZfccOPh - w4ezLKvX673eaDgcV3xfKXX9NdcCAGqVahRFWqrNzc2Zqemc53EUXXHFFa1GE2NU9QMJZMGE53nN - Zuvmm2++5eW3NKqN+75wH9I08Ou/+94P1OvN3/v3Hzhy5BmpsW0F8wueZ1cGnW7T8WdaXlCxrzqw - 0512VhiMk0Ftxq2S6mi5s7x8am915ubdN9Yr02uDPgf51PS04wUIEtv2ZVEIERvUSlkRRbFtu6ZL - 0yQFSFdoUAp4kyQpcw4AQOnG/gPzY2KlBMaYGKZlmHme13G17L4wxqhJqKaXU6Ly+1qWVeQcIQIA - StO0Xq+CXDQa/vr6etnVvO66G1pT01/72uE0zSzTtwwigMjSwsTIdV3B0najlqbJ1FQrzgtCCJOw - zKjyJAQBAABggBEiECqooFIKAwQRRAhpAVhSqDF/9dteUw0qS9dfcc2t1ywenMWyIMqijE7Vp7I8 - hkJABRzbtrEHsZnmhdLK1iaFGugihwICzoDSEGEAkdZSq4tI3ItLQBcfct9fKKUoIUjh0qbKkAbg - QErZ6XSiKLLsimVZ9Xq9XJjnBbNsA2OMAUQAYgAvw/XQd+/C/tMLdQkJeHmQdPGcNcIQSiY0UBCR - LA9d6PejLrRVMOUnvDi/cbZdWyyKBDoaY8gZUwoappMyEEe5Bx3FdF4U0ERKaYNSCYBQAiittBAa - UEQ0RKUkR0OgL24EKQAAMAAhxLKMIucHDlyhlNra2vqxH/uxp58+3x/nJ08eJ3aAMCqJAwSpPA8d - y9q7dy9Gqtvv9MeTQhaUgiAI+nkYx/EVtVqox6ZpKqCVVpebmmUSc5F2DeW3JDHlk688i/+/rs4/ - 6fj2va4ykXFdVwgGJCSG1oJPN2uj8TDnoQTadoBUWhuMScVM6TqGEpoKyIssTyTy3ChMHd+DhBBK - kzSlBFGKWZa7liUY11rPzMxs9/qm63HOJ0kcBEGpUyEQSQh5wVzbhpYz7g2qnh9FEaXUQKRI0pPr - G/uu2v+T/+yO8ysr4XhimWaWpHmez87Obm9va62bzaZSajQalT+X67ql1bFSamFhYTAYuL6ntR6P - xxDCdrsdx/FwOPSrFfAd3kBlKSuVNihFGoTjyerqKgBgenp62B0yllvUMgxDayiEoBai1CwKjhC2 - TStJEkRMTFGUpbksDMuEWjMmCdEIoUeeOHz05CmNydnzFyCiCGmlsVLStqyUZ9+LS8HzTmLK7RWu - pIIgSZJdu3YNh0NMyc7du1ZXV8t7qxCCMVapVsfhJAgCzosyJOe7du0aDYaVSqXciHFdt/yiXIfx - A2d2dnZ5efngnoPnz607lWYJ4anValubncAg4/Fwdm56lKQAQNf1o0lc7s4YBg2jyHPtknHiun6e - p4SQcBw5piU1hBBKLi6XMmUfopRkl4iUztb24uLinl17Xv/613e2tt/5znf+yq/8ytbWVtnpLb0k - 0jQ1DbPcT4YQVqvVs2fPOtQBF7HfFzXCk3hSqVTSNMWY7N2796677nrwwQd/89d/87Of/ezHP/7x - rz/6yM03v/x1r3vdmTNnC8kJIWkWNapmEARYIQDA2traDa/axxgrT7tsbBiGoQyDEBIEwXA47Ha7 - BjCUUuPx2LKsVqsli+Ls+aHKYLVajZOcMca1AAAURdHtdhuNxtTU1GAwGI1GZT+sVHL94PwYSs1S - FFyeKmOsUqlMJqM0TTVUBlSNqUapoS6KwgiMnBcQ4lLeIbgyTdtCQBfxxsbWxvooTQGAwK8CCEml - ulthGuWZQsp1Xa2kVKp8qb3hlmECQKiU0vOqkiuMMUAIKAU1xAADpQWTkEDbsDFEQiglFAXQwCTl - hWt7SmgM6O6F3WkY84T7qOYifw7NDkCPFSkFOACVNpjdToZUOVmeVag3TWs5mGxlGbGMRKSSCc/y - 0zT1PDvmBZPctxzJUwSRAopaplJKSPF8JQpKKUhI+UWWZdSl6SStupXyQkRRVKnS0WjUbLVqtQBg - maaha9vj4YjWDYUxEBpjxIVEL7oM5lJIAIBCAOjSKxyVoyUkJUEIQQVBLojkDppA4R/wZ6+Z5Qpw - KIfhGjHzQhYSC66lbThMQApdzCwq7NNPnWA5d33jxlfdsNq7gOo00ZnSmlgWxDQvGEUYAaQA1EhD - BaHWECikONDAMAzDMJTKt7e3isyIh4PV1fU0xabbcl1XIoIxVEowlgPFfd+fDLeeeeaZfq/X7YOg - DmZ3zNm2fRk1JoTQVAshNNagVDtpqL/ZKwNqWG6ioUvOwJfFv+DFe+H/m4ZSClx6XmKM4yTWCLam - 2v1hD2MsZAElWZhpfeKvP3Zha+XE2WOWh+NiUqn4F4abRrteII0VKnrJNGk1zHqt1vzf/917JiQb - hCGyzZRlAivLxVXPXVo8YAKqpdxc3ZzfsbDrSkgs89ChQ0EQAAAYYwYmhmFQiIss/7Vf/tVxf+CY - VhxGnusahlEwxqXIsmxh52IUx0mevfLWW97+9rcroCu+36zXP/B7v2dZ1rvf/e7BaFQ+Ovv9flm7 - IoTe/OY379+/f25u7u7/+IfHjx+v1aplsVqSwMqHzv9rXH6DaQAQwhjjRx99tLu2kY2idrWZjOO0 - SJkUWZ67vsd5keaF69dgXiAIa1Uv5UV9egoM+/XZ9uEjh+dmpnvjcG5+Js/zQ19+cHphbmOrY/tu - wSUixKR2pos0LQgxtP7u0PPnncQIIUzTvFxt9/t927Zt215bWbVtmxcMIlj1Aq5knucIQClEqTn0 - PM82zclkkmVZkiSt1tRwOPR8f2VlpTU9zRgzCI0modmqzM7PL184Pzu32BvFBiWDfs+1rYBASMD0 - zpnBZIxMM0pjikit2uqNxqZppmnqeG5v0N9d2eXYXjSeaIIsw1RACyEQJFwwDDGlVCl5uQMRx3Gl - UtFCnjt3bnZ6ZjAYvOe33vPxj3/82DNH5+fnHcepVqv9/lBrmTMGIZzbsZAX+cLijn6/G8aR53n7 - D1y13lnfs3fv4cOHIUZSqq1uJ8vzOMlKy6GnnnqKIHxh5dyNN10nFR8MO5NwsGtp/oEvf2mzu2Y5 - pjZUnMQXMg6EdiBxzKZjV/q9CGG0fqE3GMZhsY0L6ArzpbsPLC0dWD63mUWCGK4AOktT26SM573e - lm0Z7el2LovxZKIBMgxTcmCYpOA5RGA4HCqlqtVqCYApNTEvBD8mzrKkRCTlaTY7PZOkUcyY41hz - c3PdfofFMXB0SdS2iRklMXYxhCAKE0PazWb76MNPuRi4GPzyO39tbW3Zdbwzy+fmd+x86OuHz50f - GW7N9d2kSKQUGAHOC4MgAPhrX/ua/mBzen7hs//w5W6/16jNc86BUgABJYFSCiqINFBaa6CBhlpr - AhEEECJEDGM0GtTrTal4njHTNX0vMBM33UrWw3WkQd2pTdLx6dNn9R4SDjOKbAtaqlDEJWdPnMnp - pLAFalVFxhDUKFMAawNRYplaS6C1ZZsKgoIzLgSi5PkuKJXltb6k2YSgHBLp8uSlSrKclf5T4JLM - KEmScg1BoYscUnzJ6xHqb+0J/RNX/CoAlQYXjRgVgAAADAAEGkMkhaQmZpJBpMN0BONsoTnfXetW - q80oigzbRlAiILlkiOCcFSY0HMMm0nrq60//zX/5mGPZN738Ja+7/faRMciFsE1HQpCwWGBFTUtx - oCF67nFCrQAAQIA4CTGEeRZlMn/LnW+dbVZWV9cfeugZQAKWiKzIodAYYwMRrWGapkEQLO3etWNh - ZmFxvtZqb3ZHf/PJv9/ezvwrSFlawCokhKQ8MwxDyRf1YPC/pyi9yQAAeZ5Xq1VESX/Ys20zz3Pf - 9YiUV12xV0n2hS99drV7/kdf/Yq8GD36lUPb+fDoZIsjcMOBgwdnrlLAOX50pd8Ze4a1PemznE1N - t5Ekm9vrM0Hr4NVXzbenLUBvvPYlX/j8fe3Z6T37rtx/zdUPPvQ1YtBShGsbplYKIuRYtubi8Uce - /eV3/dvHH3l0qt68++67c1bYnksIuebaa//Fz/3sfQ8c2lxZa9TqcRwHnn/bra/64z/+47W1tW63 - K5S65pprxuOx53nl0pNt24yxD3/4wzt27Lj99ts7nQ5jBbw0i4QQou/c94AQcs4taiAIWZZ7jms4 - dGL2UxlJLTRUGBFKMTZoVqQQI0rI/MIOzBVQyvd9Ytn9MAymZs531+1KLWai2p5eWd+mpiEwDdPC - DSrEtDIWpmmhNEWIIqSlUAij79pc/H46MYwxluUUYTOolLRmyzAl55gQqDWCeNDrlz0JJhg1sBAg - jeNSYRqGoUGtHXNzKxcuTE9Plx0wxhjEyHOdwSDp9/tTrbbCsDPs+tU2xnRhZkrJQqRhf9K3kDtW - k6narOBs58x0b6tfsd0kS13XzfKknHoghJrNdlLkluMkSYI1hgByofAl4oKUUnLhum4q4jSKMCZL - S0uOZT/zzDP/4Q/+/Yc+9KH19XUAwCQejybjwagvJfcCt9FuPH74sZWV87bvHJi5Wko5jsZa67/8 - 6F9oBA99+QEAQBRFSl40MXd8L0zir3z10Kt/5Efvv/+Lv/2ef+dVjIPX7/upn37juQvnHz/8ZS+A - xFBhGtkmBloYtkMEhMjSyD12dI2d46vdaJDy7iSsW/4knFxIeo/zU71Tw/npXTOzVwiJszhFQLM8 - 6vU3G81aEHgYGEkOuBBaIQggwhhKUG5Wl0M03/dLMADn/IXgx2gINWOMAFj+8/K75HluIVir1SZ5 - 0h12LitsEEJCMAhxtVqlnA4Gg31X7+WjyNTi7rv/sMgBY6A9bTz08KMQuu32TmS63fGAKWZToFS5 - uRMHleCee+5xXPLw409gVFlcXBwPC0IIQAgIBbSGWgOlS+2l1lABrRVgUgCNbMfWtv7o335sMBgs - dy9wyQxhi4wPV7aatF11KsePHev0NySSO3bvqIja1upw1Ou4lo2RTteH273tEdm+6lXXELdBoUVz - HUDHom4vHStKuGRSynI1SSmhgaSYfs/uSd/4fOnLHsSXDOq01iWRU3FYHnI58qMGIQpnSWobZihj - pVSZ2ECIvl3l+aKZL5WCavANtPjF3yGESgKsKdQaF7JuNeWYDVb7fKKCqTqhdgyEhkJLiBC0bJsn - KuFxhZrUo/5UYFED+zgEcY5YWCQaaWwaQheAAIghELIcFepLYyyFgIYA28AzPc/zGvUgGW488MAD - ediv1epZpkdRrMyg2ZrivEiSRCklhfArdhYPRqNRkcf3HXoGGWB+Z2tqqu667grbUkoFQTDRIyFE - aRr8fBsr/8P6CfyAIYQo73UAAMuylpaWojRxK26/3y2KzHPcwXYfKN2oNaZnpv7Fv/qZJ578mozZ - RufC1FWLb3rDDU6rBlNmTuCN+651Drgf+8jfhsOBTQmHjpQyyVJq0ZWVC1G/Q7j6mTvf+qd/+qcn - T5xFBn3/Xf/hQx/6ULkiZNv2eDzWtkziOLA9LdXU1NRV+/bXK9UnHnv8Xf/3O//yI3++vLzsN1sA - gKNPPX3DB+/+Tx/+o107FvM4GQ+GnPNarfbP3/Yzn73nc2ma3nTTTb/927/9+3/wBw8++CCldDQa - EUIOHTokhHj5y19eDpK2trZarVbZ70cICf0dM2YIoWEYeZZbptloNKJJ2N3umKbpVpx+fxzYpu97 - GoIwnhCDMs5NaiuIbdcpsrw3mBCzyAFQiGlMGABAQ5bmc7t2J0mMKRlH4Xgyac/MWJ4HC64BkgpQ - QjEAWhbf6SVdju9HE2OaZjlPybMcQ5gliU2NerWGEBqPx7JgnmULIRzb9pFre8765loURbVarZRH - zM/Pnzp1atfOnWfOnKlUKuVTM89zxw+QCGgbAAAgAElEQVRApYottLm9vWNx92gcpXmSJkk2mVy9 - f0+GiNuqbaR9VYer8brnmtvdCzLmUT6cntsBDQKg5Vh2KY8aDAaGYydJkoSx53laKoowRAhAqORF - N+Ysy0onnTTNxuNxo1bfvXv37Ozs29/+dqVUu90+efJks9ncvbRTAtHtds9dWN7udR3f2b1nybKM - yWRSa9VNxx5HYWuq3VldNSwzzzPDMiUCCKOiKBACtuve/8B99977+Wrgdba2P/xHf1ipVXfuXjxx - +vi11x3sD3sKaANbSmhWZIzhjPPVtZ4mwmpaNm3Mu/6Mv6vienF/BIRx4Xx/5exWf4TA2dUnj518 - 9uixW2+9xbKJYaKcZyJiQRC0263xOMrSAkJcFDmhF6dRjLGS61Ov1yeTSTmt+MH5MaZJt7e30zT1 - HLff79fqFQMhAEBRFLZruRiQglx0VlPQdE1twCwvsiyDBZJSrq2tTXvVKIpufcXLn37q8TwXKyts - /4FmmikhRJKOIIKO45hYyaKIoogg1e1253bMFCye3bF45MiZUVj47rRSEmgNCABl/+U5Q+6LsgIA - uZJYc2jBzx/6wvT0tKDc9Ezf9oaD4dcPPbR1oltRgW+69Za/3ll/+EuPO7YrC2IZdhYntaqHCO8W - 68fDI7954/6I9UxqUIba9WnXqE4mUZwxahsYs6IoBJSYYETw97EwAiEszYfL1y+VxJiWjnS2bSMM - CiZ83y9znfLOAgAoCcX6OyFbXzyByowFagQAwBpc8mFRGkJCzSjLbNMBTDnA2Vnb8fAXvzpY6W3K - zk+87Y4US85iSCmGEmshC4Yh0VgVKpvZNfO2d7wVaOUFdgISo2ZgmWcqk1BrohFUaRba0IFQSQDh - JViwAkBBIDkoQJHhbDyK2xVneno6MeFkEiaJrtWn+7FcX1/3fZcQotlFC9Xyk7hyIWxPg2qzafu1 - p4+dpnQIZghCKE1T4AKMcS4LbGKtnocL+g/j+w7f93nBSm5kGIanTp06d/7c7W/4CYTAtdceTONs - R3s6qFaEEnlWrK1tHLjqanE83b20h1ZdrcGFC+dsjQ6293m+Ew8TYtOXvuylJ0+f9xhLgDi46ypM - AdCCxZPAdN70pjs+cOT3r73+eib4Y4899rrXv35lZcUwDINQzrmBSSUIWJKfePZ4pVKZRGGUJnNz - c6Zhrq+vz+1YgBCubaxfe8P1CKBWqzU1NTUej9vtdpyllNKTJ08ePnwYQtjv948dO3b8+HHOeVEU - rutyziGEd9xxxxVXXPHLv/orJbih/PPSNgdRAr5DqVNu7di2LaUcRyEGwLbtcaeX53mjETiWs7m+ - Pbew6LquxggrroDWCKZZBgGYnpkZJ0lgWt1k4ng+pSaAkFK9sraKMXZ93/U8AQBEiAkulMQQYlwi - BwuKv/sN7fmPkwoGDZMXLE+zq/btu/322w1CfddbX18fj8ezU9O+78dxHMex7/ubne2gXvnzv/rL - wKsM+yOldb3WLCdQ3V7vla985bPPHp2dao/HY88yw+EAYxxlacWvnjl/YW5hIYkzyyK/+NZ3bG2t - GN6iOeOug3F1YarImexGB+z2aLl33f6XnVnZ6CYTaJoWNY4eeQZD7DmeQIBJQbFR9fwiybiWhdYK - aNt2hr2+6zoGJmVR22o1OefhZDKejH7u53/2iv1XfvrTn/Yq/nXXXbu8vCyloJQgCj/+iY9rDZ45 - fmQ4HBGCy83kcgRTLguYpsWE0BBAghFCECOMjU6ns3vnjiSKe73O0t49lOCzZ892H+s0Go0ojoVS - EMIiy23LUABDSMZx8pWvPWx79ige5iLjhFmONRr0PcuuWD7gyARVzx31upsIy7zIlOQIAWJSgHSc - pRriWq0eBIFWUVEUQihMSZllF0WRZVkYhuXedZlx/sD8GIAxbDQa/eGoTJWiKBolydzcDDWNOI2g - SWq1WnnvptQSiqdJiDEty46pqanoRL/T6VhA1+v1V7/mRxcWFhgXW93e4cPPbmwO7KABCcpZrqEw - EDJN0zSAa3n79u3jInUrlV4vGY4ZQfRi90IAgKCGUEMAkIYIaCUBQBpqRDHEAGMkcn71TVcblrGd - bscipCk0icFz5mKnZTfD7qgXFhY2LeKa2okzoVNdMQIQybSI/bqbhqBabSZJZEG7Rm0Uiq3ehQtn - l9vX7rR8qomhtGRl70ojJeX3oVHQWqNLS7OccwtbEMJms1n+Fca42WzajlOSPTHStmFGYQiqF7UR - UINv8T/7Jz5C+pbA4NKKtYIaAqCh0gAUQhCIJCQE2yYxKsIKZK1SVAQCkSpM6OewANhUEEJViEK6 - GAEMAEFxHJmBecXM3jyJC5md7Z0pDMEwY7qwsAmxJgRKLiEQSFMNtL50k9flzK4A2MKEkAIo33f3 - 7N1N5HySpE8/fZ4Ds0ZNS+o0jREClm2E40goOTU1dctNL3VsevbcGcNxJwkzDKAUuHzRy///h1vT - /5gxHA4btXqpC7Es6+DBg9VGfX5+/vjJo88+e9R1/ZZf366MALIcu9qszfa6a/X6PM/xycOnrq69 - LNCuynN7xs2FPrWyUpmqf/6+Q73+2K1UsyJ7+uHzfsUbj4da8P/559/xt5/41BNPPiW4bk21H3/m - qXfv2mlZ1qFDh5r1hmEY0XgiOK8F1alWOy+KMImlUpqg8xurs4sLm51tIURrZpormco8ylOmZHt2 - ZjQa2a6zubl59txyCaabmZl58MEHO51OHMcleUQptW/fvle+8pXvfe97fd8HAExNTZ0/f77RaEgp - CSG2636n8ynVWhJCAhFCSHLu+z5Ls6QXxio2EaUEbm5uTs1MOxXXMAxqmUxw2zF5wYajPoewPVUf - FlGYxRXP37lzx+6du06cfPbRxx/L8tTGlmFQqYQQHGCIkFb6sm0LfOE5MeUaOsa47G8//eRTjVqd - 5wVCaHNj45knnwpH46WlJcuyKKX1VhNKPRmOytqRFYXruu9///vvuuuulZWVpT17fvd33iOlnGq3 - X/uaH/uLP/sIACoRxd3/+Y/oduf86mqjUgsc86brD/4fj96/46r5C9ubTyer28dD13ZRN1wX1Run - D3zxHz611Y9ru+b/5L9+5PDhx088e7y0KiSOQ7ARBC7WOLD9MIsSkUOD5HlumiYhhHNeTrjiOO71 - elPtdqvVWl9fv/HGG2+77bYLFy585dADp0+fZIwJwRzHZpJhTA3HplkKIRRAc63iJPMqQRiGU1NT - SZLGaer6niaw4IwxZhgGE3xtY8uxLMerrq6ua6lcvyK1djw7ShNWgKVdO/r9fpExqaVBSVqk48F4 - wV4QmbQN09ZGhQSmTWzHnIzCLOcA6jrEAkgLg7mZtuZ5ksRcCtNyDWiEk0zJSbPWrPhgAgCErHx3 - lg0V3/eLoij3yF4ofoxp0nq9LjUYDYa+6+VFWhRFv99v+J5t25LAEvpXGkuVQ0attQFINkq2tzdn - ZqflOKlY+Itf+kISy/EYQAx273FHo8JxZy3bjlkOANBaF0VmITKZRBR59933Rc+3J0mCUOB67cko - xxhDQjQREAIAtXruijGEAAOhOYYk14Vho7AIbdOUNkAaACWBwrZhE9sQEfOIF/hOFEWm5aQRq2Af - KmgiMgn7c9PTJ7rHDIzyjDumD5hCTJ05fuL0xrmNrD9zYKcoJFSKGphLIpUESiP0fXb3L3dihBAQ - QQDA9PS0YRiuR6UCpkGU1hBCpXUpvVpfX5/fsaCUgvgi5RK+GNdUkAYKXp6YfNPPZ1imFNqwaC4Y - YzwaDIdn1oGFCyQrU/UTZ0/iObfwOQIKQWjbBhIwzwRS3MKWhx0WZzxjbsV1XXfIxoZJGDIIRFxk - ksvn6GDKvW6FNIBaQQCgBxzHMk3Ttprb21sPPPBANg5nZlpJola31rUV1NozUkohWLXu1ut1QtX6 - +vK9o+FwECECmAJTc+1qtRIEwSrfVko5jjPRhVIKE8wlxz9k1/2jRK1W01oPh8PyNri2thZn6SOP - PIIxbrfbUurBcCwBFgp0N0d3vvGtv/k7v9EZbQz7mamDhz/3cCaL62+4tm+OPvXU5+755Bd2796D - HKMX9ohNgZAOJIHhakvu3r37lle++nff+95Cqte++jV33PlTz5468YpXvOK9733v/v374zAqX4ll - WVrqSRQeO3F8EoZPHj0yMz/3l3/9sWMnT8zvWBiFk4Tlt9/00s/d98UTZ053R4PBaAghNG3r9Nkz - 73znOwEAv/Zrv3bq1KlarbZ///5bbrllZmZmeXm50+n81m/9VqfTefe73/17H3g/hPDs2TN79uyJ - 47hssU+Go+8EtyqfIxBCqLSUMkmT22677eor9j3ywFfvu+cLne6gWasNep2iyDzk53lKEcxZrrLc - sWzHszc628Uav/1Nb2jNzdRazU9+4hNffuD+3/iN3wjDycbWejQZI8OEEkGMTJMCARhjmBiGRaUQ - 37UEe95JTJqm5S7uaDQ6sH//9PT0u/7NL33mU5/+8498JE9SSmm9WnvTG39yaWnp2LFjjVazkMKx - HMdxqWnVG40PfvCD1UZ9MB4JyT7zD5++5zN//7GPfvR97/3dmfbU+37nPSdOn7rjrW951a0/8uUP - 3T2/c3HU6RKuBt1NE4p/+65/uSy2H8tWnt48Y2LzCqtx/+//6a//yr858eTatTfe8tZ3/UsAVJlg - 5UmKAGZCEUIQwGsXVg7svTJnhRKZQQlQglIqpbIsG2PU7/cxQlft39/vd1dXL9xzT/iZz3za9/2F - hYWHHnpofn7WNG0FVSEKyzGTJCtEgSiKosiwDQWVBBpAaJhmp99zXZcYBBKU5ZnruhChJEn2LF2Z - xHGRZmmczc/vTKPYNM2csySSeYEts769McaYcpZbrmmYVErp1WwhU5NCpJTI2GCy5Xke4gBI7QWB - WakqIWDBueBxVECtCSJKQgBMiKBUMktVTDPDwJ7npWmaFay0PC3xaGVKEUWR53kvCD8GY1gyDCuV - CssLwzA8SsfjMWDF1EwbQqikKvsHTEhsYiZTVghCmWe6rmefP3J+NgiWl8N3/E+3nz1zcmpqaqvT - lRoQkqc57PU7yLRs37aIxbOEJ1m1Wi2K5NZbb+0POi9bXPz85x9cWVlpNXZKKbUQQAMFtAJSKSWh - LLMZBBEAEFANgGJMOG6gAGOw0FRleT6OZRXVkihMBnkLNG1Jo37IGKPYhAUiBknCxKvXwP/D3ptH - a3aWdaLPO+55f8M535mnmjJUKhOJEhIRCHADija3BQSkcbgqrcsJEJtlg7atrWDjahGXeBsQI4h9 - EWMuAlEZKgyBDJCEUEml5qozf+ebvz3vd7p/7FOVIkEgEFhi32edddYZ9/S++32f4ff8fgVgAR53 - kcJGE4vaVCmdy6wflaO0LHJikFFKCUEoq1yQSg/hiaLw39Aeo5M/XzOSUjabTQDAxNEGKVnmRWGM - QRhVDBBf2Ti+jJfBGGzAIPRvOIDHsOtSaFSxi+4iZGSplNbYIZKUhCJmo9wW85dN024QhM123KeB - ZlwJyBWUuTaAKKEWVjZK8Orxc5//+GcpQXsuWfmRl/1wNoikSwgjhiIDoInkzAKJDSCDEAKDTKWd - ZIjRJoNu0S1cgZGgSlx55fdzI/v9wU5nc//+/cPCCGNarVaSRNvb28jIILQmJib2Ly0WeRwl46m5 - +bQ0Hz/8JWNGtcsYIWQ8HkMNKKWZzKlFn2w56d9U0u2bsKdqpkdR1KjVfd+vuG63t7ct14nTCDOt - lLKc0GApEGn3xjc8/VmW46+vDrBtX3H5DWHdEzJT2BDHWj+3NRlM/ejLXur7/nrntom56SLPsQDL - ACSlSsSxo6f+4i//ujeM/CB89Pix3/u93w2bjY/c8TEtVae9MzU11ev1RqNRqzmRZblj2evbmzc8 - 86Z2rwtKN+r1N/zmGyWY/nAQBEFYr7V7nV/8lV/aarcVglZr8ujRo1GS3H3vvTvb25deemk8jjY2 - NmqNxj/+4z8Oh8OqrfWWW27Z2tpaXl4GjFZXV1dWVnq9XhXlVpAPOJ/mxJUu5vnnwzmvIAQYIyFl - rVZrtVqf/exnP/6Rj3LAjABCqNVqTbVatu0g6hZZ6jiWi3GeZqUqJibrudb3f+ne+AsFwvieu+9G - COLx6MTRRwwFP3D9emOUxHGaCC0IYYYYg0wpCoK+cY/1Y04MOh9naABk4Il4RGwAAdjcUkKC1r7r - 2tx68P4HJoJGWRRayOXl5dFgOBwOq41wp90+dNWVx06dnJhoMsuK44gS8uY3vemnfvqnF+ZmAse2 - KJubnd3e3Pipn/gPr3/trzY9b3Fm7lWv/Ik/efe7Lrvs8lIrd3HBN8b3/euvv35xfvbLjzwaidHM - 0hRHtH+2a/nk3i/d9eyn/XC9Xrvl+c8rVen7ISF0anJ6rjVtbAwYK1F2O1vs6itRjmSikRAOocbs - crrHce55ntF6a2uLEHTHHXe86EUv+s3f/M3rrrtOCLG+vr61teE4HmNst1vbddNxVPNrKi0N5qIQ - WCiZF5P1xtGjRyfqDWnJZBxZrlOWJRgzNTm1ubFBEZ2YmLCYvbG61mhMdPoDhCl37OZEq8jTqLez - Z89KKToadJyMMIZGGIy6vdBz0yiZnJwYDocGyjwrPJ8jhvs726DNhG8jpZIkdn3H8t04z1itkRUl - Z67HrHgQeb7VmGqUZUmkqvQBoGoRt6xqOiKEarXaU8EfI0ejkefXp6dnz54+VQqhCGk0GqYo1tY2 - vEatuTRBKZVSIYyMNghh27KQASlLY0yrNVFESWvaO3PmjCzz9XOrQGij2er1NrXWzXojB1VmqUQG - KcmZlWfFRKO+ub5luWT1zNl6PQxCN0kkql44DcaoiuFOGwRgDAAGQAjLUtjczUg+zkah647iMaLU - c/w68q2BZbu2CsCkCpBlMcaY5XJ/1GtP1qdB6SxLCMO5Erbnx21JNBkOoomgZiw9tX8BTdv9U1+x - HW44ygWWUgPWhFLARmmNEPnG6+xuE1GVPSKgMFaUaAoEG2k0lqANIzSLE0QQZQwjwggnCBVKgU3q - rYn4VMKxlRmqwVT8IhgbQLpSQiAGdCWHgL4VOad/RXaBnQVwxTVnACpSLoSQxanQUoPO8nzCrdlN - e5gOvbAmTRGEboGU0QqBtjhFShWZchlThWZgmUKtnloNPD8Igil7umv3Sq4jOS6NIpxq0FIpWjG4 - VnoO5qvws5OTUw53snQ4N784HsXJYCcM67VabavXLZFN7GB7e9ux+dzc3HjYA5BS6N2IAtCpM6eZ - FUxNMNuzRyaXRlquk0GslOCYSKkqjFOFv8Fmd4OB85TB/xuagcdI2L4FD+Zfemi7uD0plVITExNJ - kSdJ4vpOVkbU4oNBb25y4d77v/TMZz/XwZRbrGRCUm25eDzqu4QoUDkxlueWmbRtO4pGFJPQ9osi - txUOHH80iBYXF7eHw/sf+LLjWoziE6ePX3fNtZ3BYDAeeZ6nwWxvb3PbmpmZYYwlecYs9rF//Mc0 - jiabk4Tg9dW1erNhEMRZ6nme5/tFnpdCEEIII3GaLK0sM0KTJJlfXIySZGd7e2lpKU3T/nBAKR3H - UZXn8wI/yVIp5eLiYq/XrQCpYRiOx+OiKPBFc/tiWbiKnhEbKMvSsW1ZlKIoK0km7jhGqCRJZmZm - XItTRobDgeM4SMm8LDUYy+HD0cip1Qa9zrmNTaUUyHJmdhrLcm5qQmiZFWnU3zEIO5xy25ZCC60A - 6W8S4bfrxOw6XwDm/D1cnMVE5z+IASWkZdlYA0htYRq6ntSiEdbKshz2B57vKi1tx0rz1As8zMjZ - tXOEon6/NznZjOPYEKzLwhTFmWPHrrri0P13333mkYf/6A//yGOsu7X113/zgd9921vv+/JDzZXl - wc4OKJ0VBQtqozxPRTkx0UgfftALJ8fj4eUHlv+51/OmatvdDdtrEkIYZsrgLC5VUXIEeRJhly6s - zDHvGYNimEDhOA4YEFLYjGmthCgrkQRK6dTUFEKo2xvUao1z59Y+85nPXX/99fv2HTDG5EnucKff - 7t3+/9z20P0PpFHcqDWGg0HVKsktaxSNAQx6KSmlOHHq1D/fedhIrZRyHGfc7bdqdSGETUlhFGXY - 8y2/5m5ubwHCeSEczqyw1u/sEIfFIuUYUYT+7G1v2VpbDepBaeTpjbPTMzNGAca4KEqHulbp9jr9 - W37oueN48Fu/+1vY4ZnK/al6roVEymWWyFIHU5OVUX8c+B6lfDgeSSl930+SpILBVyJhTxV/jJQq - TdPRaDQ9PZ0kyTBNs6ywMcaIFUWZ9BKtAWOswIDSFLDUijrUGCNKxZmlXYKRHo1GZ0+04wgYg+Z0 - t5DE8VtKibLIuG1zivNEMW5jYMPBeDQuES6V0UpZQJDF/Er9ADBgTAlhGEmEQSOJEMEaY4MItlUh - kQFik0znzOUAqBwWyNQ5clJRYB+XRkKZowxNhq2ylIiSwbhnkEFcW6E9ErG0EAdma6fOWCnSfII1 - l/c4MGVdN9nPuj73Ky0SbAgoJI2BSvf4a72JuyLTu2UvDYABFACSJbHAsQWDnGhQkhbaFA63ZaYJ - 2FIoQjnBxIDC2jBOUqofPn3iuTe/MB0pHdqaYIEKhUuGgBiDDUIGsKIaqP4muC//tZvBGlUoIwzn - tZOwAUCaYiKFlKAd2/W4Ha0OLl2+dPXk6e2t/jCOf/DFL9iWo0QUmBFSKqO1xWiRF9yyOec84F7L - L6UM5hpdGEsfpTrLsRBauNzGBGVZjrGDNCCDCYABghABQjRiAHk0iFENYYyTJPvKV45AqSjZsr0J - hJBtuUkh67XJPIs6nTbHQBgTeXnqxOmNzW4qwavB1DR3HMe1rBRXcxgprLXWBAyjSCIktc6V8Chx - bPvp1zxt9ZH1dCSwRfO8xFpbllWUZZVkpZRWzfnfwRH4jh79a2WSHnfGypm7qKr4ZK2KbipFz/M7 - m0EYk/PEyCRNU2pxqlWep42Z5vrWerM22el1GHKDRs3EOSVMEZwWcT8eL87ORJ2OF9alEf1B1Axq - WioMyHddlReuxR3gslBB4A2HQ4mUHTjdfvuS/XtaSePcxpmwMV2UolbneVlw23Jct9/reZ7neG4u - cim1X/dTkffb3eV9y9vb2wZBWA+VUoiisB50ej1pZKlKzw7KsoySyBijjNJazyzMtXsdLwxKJdzA - k1KKPB/FY6FlaHOV6+6g54dBmmWc0goH+UThLY2gciSwMgSwUYYhIrOSUwaAsEHYoDiOHW5JITzf - wQiZQjiEWYikeWJZFmMEI4oxTaM4rNV8TofDeNRpT9f8d/7xH0aDXn2igbGJsrRer2dSijhFhFiM - x0kahnVRiG84oo8vJ33NktjFzZnV/ldtYJ1OZ+/evY888kguyr17945Go9W1NYzx5+7+QqvV2rt3 - b7ffW1xe2ul0iqLIsqTKVhVFcd111/lucPDSS/asrPQ7O0maEs7e9Kbf+tvb/o5zPtmsP3DvfTzw - 680JodVdd9+3udPb2upx212oLxx55AQAfvTUoz/yQz88v7RvdDbtjocfuu3vf+6X31AUwrKcdrvT - bbcVFXEv7aXdUZIGfsMgigEJISiYigs1SZKiKFqtlpTy4YcfPnDgwNzc3Kte9arTp09LKX/yJ3/y - 4x//+MbGVs0PBr3h9113/cc+/A8P3nf///UzP/P+975vbW2NIpwkiTTyP/7iL3zyM4d/7CUv+Ytb - //KyQ1cUWeaHoVerb25u7tuzp9Peecc73tHrddfX19/+jj+xPeetf/iHv/M7v3Nm9ZzUIklzGxHK - GeKMGcumaLoWfvbOT6xvnZs9MM8n3OPjcx+6/x9cypk0k074ghtuOfPI8Q998PbtrTO/9huvdV1/ - 0B+VuYz647DZUkpioi2LF0mKqSmyNNEiDMNWqxVFUafT8X2/KlJUBSaE0LfPH6M1VOW54XDoOa7j - OJrSKIryJLFdxyCUZfEutajRhBHAWGuthETEdl33xNoxl1r5qPgPv/GTw6vXLt97OWC82hl95gv3 - nT657dcnJiYmtnd2DGeO4yTj1GKIIPTsZz2Lcd2cbHzyE5/f3B6PkwHGmHNWst0ZbxCGx2J2QAZA - IMQQxtggUxpBgHjc54E1QSb7Z/oFFMIIhzuEUdfzN3a2LMzcpss4KqRIRUIxTXE6jIaW5xZF4TSd - BKnNpGdRAjYkpAQGWitNCGBkDKBK6sAY8016DpWAJBDGLKwoQgxRoqnRVJUmR8IYirllMcSBmaxM - U50wRCSojOTtonvT3GTiFiXRUheIY4oJCIkMIGOwIRoqZQL9bSz9/ypMIwDAGi7QklXExBoZKEVJ - KeWIqlww5E74TaYZV3S800/SYtAe1BcaI5RmRoEwyGiNAVskyXIDZOng0ut/+/UGaUn1anSuF3eI - j2yfMQVCpoUqGMdGaYMwOi+fpAHpCjoOQAizbTsax+1x9MZf/6XZRtjp9P70nX/h1qYTpW3b6fX6 - zUY4Pz+9096omgB+4AduajT9QRJx3x30o/e//x+UhPAAGIC8LInHENEiTilmQgpKXW5bIlbdnU56 - Nuls9IxkYW0Czsep+PwX32kg8Hd/7jzRg3nc56dqPgshuONijIUotdZaCJvxKM/H4zEnvAI4hn6Y - 9BOZp0BQaUStVmMl3d7uNi1f50hI0/BbIKSUEmumBDaGFFIDKEJplhfUs3UhsyyZmmqdPXt2ZW6m - 1xusra0tLa9s77Q9z4uiWGndmpoaj8daCYKwEGWSKM654zhCCMvhaZprLbMsZ4x4zcnhcIiMWVha - am9t5WW5d+/+NE2rkHJqasqvhVmWIYINgu2d9vT0dL1eP3HihNQqy7IoiirfFwitJK+LorgYRquf - kOza1Q8zjz15tNuuB+a8RmmlzBoPRhpMWUZJlimlLMeu4JilLDjFi/Nz3U5b63Jmeupnfuan9l12 - ief7f/T2P7nnSw9YtlMqQzELAlef/8sAACAASURBVJ4kCaf8G44d3ZVIQKARaAMIPaZypmH3q8dy - SgiE0QSQ1MoL/Jn5uanZmcN33ul53qVXHJRSaqMmJyfb7Xa5temHwSPHjy3uWbY9f3Zh8ey5c5s7 - nWc/+9l7DlwyiMeplF98+MhMa+ppN934e3/0tliIrcEA2/bi8lJhzEZ7h9hOt93es7S0sG9lp7d5 - ++2f6BT9BzYexTUrCMJBbzxd8r/6y9updofRJy+75moFut3ecn3HcngJxvICXBihmVZEZtIoqaTB - ANy2siyjlLquK4TodrsTExNXXnnl6urq61//+oOXX760tHTjjTdefvnl09PTCwsL6+dWFxcX33fr - X73ix398cW7hOTff/Kfv+DPX87a3t33fZxR+7MdfduT40UNXX/X0pz9dGN1o1A3B2+0t13PW19eu - uuoqxuiJk8f++9vetrKy8p/+0xvqjTAvUq1llmWe52WZUFrrivRWYaTJuMhe+1tvaJPBx498Wras - q5759O65jQlt+d1ifsm5bPqa1/zsq//4Pe+iQAmhjWDSFfa8O4OUPS6VJgo7TGIgnEW60HnJLSvw - fd/3oyiCJyjGffv8MRXTqJYmyzJkwLJYxdaTSSmlNBhVfX1aa0ywMZpgXBQFI4ZSWpbl/v37xt3h - 8szERz/60ajT/ZvtT5UG6lOUueHi4mJnMN7c3HR9nxEcx3EjrJd5bFnW4cOHg5D3hz3OalJizwuj - pBBCwHnpjyf64hUMVhuNMSKEYIOllCKTZ8ZnOOL+lGc82De3r3euVw5LFcqJmZmZmbm1tXOjzkBa - cs/+lZXa0vpwsxxoZelYpZGMwAFFUZpE0mhqsdIoMBgIUVpVqRZlzDeo6D7Ox0GQyYyB5lgXDCIY - IZC5KTgw7jqgTCEVA85dql0jQYz1cAxJR28rXwiSIyyR0UYhWWobEWQADGjABoFBWiNsEOh/W1Qi - F5TqLuh4F7IkWFKM271upstEFSWRG73txflAKQUIY8yNQVlZuKFHMdXKdAY7LrdKpNIs5i6bnA1L - kw1HHYdbFsOFzDj3lZYKY1UxQisDAFghohFoIITkeW7bNub6Xe96l09RUYjZ2fmdQRY0JtrdcaPR - yPPkzJkeAllvNLK4+PSnP7251U8l2CHMzkzt39+yLbZatLXWnucNZU9pwTlXSnKLS6mLsvBI0Gq1 - ijzgpZ1GshQaYwxKwVdT5j/Zsb048/FN/u93VJ7pa07O79QZkdnd/M77f9XiUDENup5NBCuinGCC - DGaUjnpdakizVbMsNszKzY0zM3Nz2HVcbBeFmHQm0iQn4AgBhNtpoTHhEolICEq0X/dKKcN6kGRp - PIoYtU6e3agF4dLySrfbDQOfMVYWXCtVFkWeZaDNwsJCmeVG6yRJfMdfP7feak1M1iakkcjgicbk - 9tZOs9acnpra6XS0NItzi8ePPjo5OWkxNjs1PRqNHd+r1WpZlkWj8f69+7a2toosb01M2tyKETp0 - 6FCv16uKaBVs0bIs82SmUEXqoM8LlFZk/YAwAOK2tb293e12FZiyLP0wEEJIUEkWI4o83200m71+ - BzHm1eqeH+675IDUmlk8qDd2+v04ji3PJ9+kAGR1xXq3pwEMAqh4wi4mpzSgEZCKIAGM67gSTJxn - j548/vCxo6DNFVdccfLkyTRNQ9//zOfvmpps9YeDz939hbIsG62WkOrsuVXMaKMWfv6+e+669+4q - EcI5n2hs337HR21mz85Mvf4//+bc7HS/2/E8RxQFY8Tl9PSpE1/68kOlQuOhrNVnDk5bjdmpYX/Q - WPTYMMMClg9edvRTd+695NL/8t9+9+TRY+PeiFg8kaVSlsIWxZxRxyhQpaIYE86EEIztCvpYlpUk - SSX+aYx56KGHxqNRRYIyPz9fAUcatXr1bZZltaA2jqOvPHxkz549bi1wPC9KxoEX9oYDx3OnZ2cy - UU7NzpSymJ2ddWwbAJ55402zc9MLCwuPPPLIz//8z7/97W9/zWteMzc3ZzmOECKs1zIhpVRIkZof - 2AZTJEGgPM9xDdXnGjyLBiaevWph9PC5/sa5ZF/3uoPXnjt75uDBg5kuw2CSY2e6MT3X6EVZWmIs - ZJFJzVwKnIKUyJhhNBZl6fv+ysrK9va2EKLKwVxQM/g2+WM4pxV/TCVvPhqNuO87juMQsr3T1hKQ - vdtoQynNyxRT43kewaQ6crvdoRqvrvae/8yrz0b9Awd8yrmg7upWZzPdbM0u0NKu6JKrwWIERqPR - 0spUlg/n5+fPnmkD9uG8uIxyviqXfjEAhBCisdJ6FyWjjNFlWWblfHN2wV/6kZf/u9AO9tX3r6+t - 2sbmyJpqTDb95pHjRwpZMgu35qYIIye3z+yUPWGpVOd2w45FHOcxEOR5gSxKWQqJNSHEaDBggGCj - nrTTQDnCCASIcZo/0n5EDUuSIaI5aIQJLYRSRgIyBoAynJnUmXbNhNqI1pRltG0IMwhhBBQZdOG8 - u4o/SAJgeLw87feSVXWEC6bP40UIAEGoKArMOOfcYg7BoFLTWpivBVMSQPtWmmdCSkONJqgoBVAm - lcpzTSVwQ1ShEUU2dUGp7a2OF1qB3yjzVEvFqCOUwsCQAWQQXFBlR2CMBgZFUTgul1IGjrU4O6+S - MaX81Nkty58cDAaUWkopAOCcE0wrHZXJiQahOtfSa9Qs7h0/fhwjINMcITQej1WgEEFaaW7xVEuM - KSZECZWmaWd7p4iEyJEBSgnT58OS3Uv6DmdivgvqkhfyKxfKRt8do5QqJZVSjBGM8SAuiqLAgDwn - dG1n1B8j0ERDo+Gvr67WG6EbWoFgohznWU5smZfC44hTqgpd9+p2zd8Z9gUSxGbYA05pURZpFkXJ - 2HcDz3XGo2h5aW+/3+10OmEYxkkURVEYhtUaO92aEkKcPnGyUa/LovQc13Pcuh9axIrjhFLqUKu9 - 2W5Ntnq97sMPHfFrwfT0dJHnjXo9CIKdnR3XdWu12nA41FpVKkDtdtvzPMuyoiiK41gIsbW1VeUF - KybfikTjyT63C3MPXdAlwIgQorXpDvpRmjQnJxzPFUoJpTAlzHYWFuZOnToxRNqyeJaX/fG4GUWn - z51TCAtltra3CbMthxulEQB+Auf41xi7i7MsFRNl9SLsiqs9phMLGgAhUGCEkoCRBnPsxAnf9/cs - L3/5Kw+NRqN+v18Pw8FoWJalUNLzvNKo9fV1TJkxyLLsLC8o46WSmHOiVZSmejS0gsBzvJ3RCLtO - UorGRFNLQYti1OnMraycy9P7H/gyxezEI6sAEGd5JkpswKXUlhAlcUQ/vOfgpUc//s9YGZMVdT8s - pHCDMFEyl0pogTWihDFKAEBrg8+T9lb6CVXppNvt1mq1ZrM5HA43NjZarVbVkmOMCYKgkkoopcSE - 1ILm4p4VzBlG0B0ODKhRESOCXd//8Ec+cvW112xsrecit7iTRBFB+KabnvHf3/a2w4cPX/9933fk - yBG/FnLOd3Z2Tp05o7VmjiWw7vfHLvUD6qlxNjc58cxrr1M9gVWRbwwKiDOSbw8LlKj5xhyX1t2f - vGdp+VB/J0HIGY1LJdF4FEuRWZbxQxILnZZjz/OFlmC0zW2TF1UOxnXdIAiyLKv0O6uA49vnj9lV - 5SCEcS5LkWVZCYAQ8hir1+uJzMZydIEoGSEkRGkQYmQXVWPbtkMsTc38/DwR6UxjxnKcSOJCP7qz - HY9GI+AULpDxG2PbdrM+s3//nrwYMYuWBUkylBaVnrb+OqBVaTTGmGKqQAkhkMG27bo1JrB6cPVB - U0Iv7t3zwL1Yomsvv2bYHdz1aJ9iMhgMrrrmSmbTY48eHY5GkUr3X3sZbzgiFztxlznU9py8SJXW - GGOEiDYIn8ecAoB5ksuwQUaaEojRnNAASVQapMBHBiQYTBiWUkitFCiEkOFEKZ0Fyc0vfY7VZIBN - JguttRGaAt2FE1eiCwgAJCB9Psj6HvZjKrsQcWmA6k6rxLhBSBqdyEImhVOiGvIb9YbBZKSyTr+n - fKWx1EwjRBChRSFd2gxp/dR9R/76Pe8vhXr6c57+87/68xkkvbLf7m8BseyabVBhlCCaYYOwqlKY - 2hgtkZJEgwDqUkKIkiYMa5dccomLDWNWLu4fJoohhplTlsKyrNB2RsMuJsRIOTc3t7g0szPsM88B - Q4sCMAIiJVTeNiGIGJCglFJGMYYppQCQ53m73aY5x8bGBBNOqvleRcMXetm+5ed5sX2daftdABTr - 84N74Vz/Uuvvkzf8hLutqr+78uBVGwSlOMsSRElRACOWazuqVAtTU//3//zzo0e/8vCxI9xBXmBh - honN+3GMgIVe0Nse6djUwmYm5eHP3XX83Okkz0sjMEhQqhEGU/V6I6xtbezM7J06u7pJCJ6dndVG - DYb9yclJ0Cbw/DzNA9fThWyG9Te98T9Pt6aScVQURS0M4zimjCGENMKNRq3b7XObLcwvveJVL4+G - Y9f3Jyf8N7/5zdOzM6973esq4T8hyvFgePXVV+/s7DQajV//9V93HGffvn0f+MAH3vOe9zSbTSll - VhZxEodhSM7LDmi0C5j/+vbEmYAQMhgZjLRBYVhzXK9UssiLQpSu57mBL6V87vNekBXl+tb6zf/H - Cz7xiY/f+v6/0cRoBOMosT0/jyKDQEmplLEs28jvgHaSZVkagdCqyl5MTEykeX7//fdff/31jLF+ - v7e0tLSxsQEAnPOtra3Zmbk4zSzLKgsplLAoEUIQRm3bzooCU1KWZW88zNNsZmamSJJsEHuWtTg/ - v7a1udPeCnwfY9rb6etMurZnO75UJgyDYa8/3Zz2/Pq4v9YfjzqdnWZQszGudCKAYEYdzC2jNNYK - VdztoBAYy7KjOK7Uf6p2m3q9XrH3Jkmyubl5/fXXDwaDTqdTCTWPRiPHcaoG13a386VHHphdWjh5 - 8mSWZQAwOdm89f3v47Z9/4MP7N27d3unnRWF7dqiLAgnFrU++MEP/tPH7rj11lvvuecex3FuvPFG - xtiNz/gBjPHG+tYoHee4nJlrqQTKRM7PLTgID9ujuz9+V7DijqL+VrLah3TcGy3S+h6+MBnOb29H - v/Qrb3j1r/4KQ16Wl53+IMuTM2dPzu+d5R7xkVcMCqnLNC004ogZ23HKokiSZHV1dXZ2FmOstc7z - /KnijxGiQAgB0gpMBfiVUo7HY815Y6KJc7oTtQEAY5yLktsMUxjHMSPKJiRJEkrpoD+Yqrmf//zn - 0/7w850TUkNz3qVOsLS0tNMfxWlqu65SKkmS0AmGw6F08ec+9znXI1EyduxmnIJGnjEGY6TVv7jU - GWO00hJpRBFmFBuMMVZYFyAXlhaUUaKQK/v3IGPKOCeYXnH5wVlrtqM64/EwEtHea/e64PVh2E77 - J858xasH/kRQymKcjhFCSCIKBBGM4PxGgs23tqMgiqQRhZaa4gJj8IwptVYZsx2DikyUmBJqUYSx - MCrPy3E+nJ6e7Ax3LMYxxgRRYxCBXc9FoYoLzuzuSUg/voD1vWzI7FIJGgRZURBCMCFGKgbYtd25 - sKb7BUoKA6jZCqTSha9GssjKgiClJVDsgYRoHCkBDaeGAz5bmyVAT546Q3w0Mz0/zqKsSMHafZhY - Y2wMgt3OTY20RgAEXNetooLBYPCpT32Ka6E1WG6j0xl7EwuDwSAMa0LkoyKvOB6LVJ44caLT3YwK - wzzUmpydnW26jrUuOhUKbYQKpRQnpBQFtVm1s3qENBqNMAxd3xc5iuKcECK+89mXx9l3pyXqYln4 - 745prblFCEWlyDnic3Mz7Xbbdpw0L5I01XlpITY3Nb08t/DRj/29AYEADUaDL3zxroLKhBon9Keb - U3Ve29vcy2jRXmvHvS2T5XXHRZwKXcgyrwfhocsPXnPoymf/4HM+8tE7/uIv3/fvX/Jjo3h0xz/d - 4ThOFEXxOGq1WjtbbQYYA3DKBr3+h//u72emp088euymm266/fYPc84xpcaYmbnZG2644e777n3F - K14RuGGv1wvD8DU/93O33XbbnXfeySzuuq7v+1dccfDBBx88ceJEFEVRFP3BH/zBgQMHfuiHfujd - 7353EAT9fn80GjUmJyYnJ6uOjSf73BQYqDIgxhiACl5gADzfbzSbiBLKWb1eB0Sk0XlRnD59+tET - J06cOjO3MLu8stcOg7WdHT8MOt1u2KgTQhDj2mBKOcZGCEG/iXYEeuGqK/xj1WJ9QT1u18yuXgkC - yPPcdV0CSEvl2g7FOPT9yy659JL9B06dOrW9uXny+Inl5eU8z9M4AaUJIRiD69rjJK74XouiEEW5 - izoEZHNLaz0R1sfjse84cRqnedYbDZUBTC1GrWwcexYn3HEcx1gkBhWpiHp4XA6VkNMTzZMnT++7 - 5EA6inKhtAattSxkWebE4hQjY4wUCgMQihDsQjQwxlUhkHNujOl2uzMzM0mS7N27d319fWtra9++ - fZ7n7ezs+J5XFEWUxLPzc8eOHV9dX7vlBS/4/sFAg3Fd17ZYu90+dNWV933pizfc+IwP3/HRRqPR - Hw+zJJ1oNLWUg9HwZa94ZRiGDz744MrKypEjj/R675qcaCmhi6wAbRyLpcnY4qFKxWA8uvb7b3z+ - c27pd1dzHV/RuHR+74FHd9Zah6Ymwat31MZaJAx/0397q3Do6e3VLMtcl5emsAJnmEZgIydw/DCI - RxkjnCIsy5IxXjkrSZLEccw5d10XIVQUxVPCH5MkpsrHYETweQxNBa/J85xSWqvVLgjwVqKhVViJ - EGo0GqceOu5zd3u7+8pffNXaiUemalNxmm704yPHTp3snFxY2ce1u7m97dtWq9XShfLdZpb0bnjG - DULGs/Mzn7/rgazI0jSvxlSzr+G2V7AJBEgbrbSilFBKAbAyOs1zQ8zJ4ZlaEGZZnpSxZ3uG6TGK - Otvd4+QY5xxASymjfkQIwZwi16rN1hE2g3GHco7PE/JqrRmhoJHSElD17pjdcsfjVgbzuM6/r/od - 0kYZKTQgRBKTIoQ00QYbg0rGubJBGylEnIuSMQbEsNDKQRhspBYOtQgYpaCUJWGWQdhUeGF04XV+ - sqLa/7qsyhZf4LG4kDwGAKAYUSK1NkpzoaxYTwd0/fiZ/umOpGzf85/u16xYxQhTrDDDmABT0igj - woY/s3/qac+5FrSc3hemuj81G6Y6jqMOxeBQyGXGGdZSKwIKMEGVlC8QjYlEABDHcaMZgiFCZC95 - 6YuJyJMk+9vb7ti7/2A/NfW6UxQlAmCMKblbxV5cnJ+dm1QEObUgjvLDh+9DAPVLHUrpaDTSdU0Y - kXnOOU+VJIQjhCrZsm6322BGC2rM7rtmvjoH82TrL0+sdV58hO9+F/cTPTJ00ZU8dfmYJ5zXKABW - IfwqypNTp07ZgeeEbjxOarWQKQicwMOW73rXXX+IsOLomYf2Xj5X29eiC6G0kG9bNeNePXmo2C76 - 3bOBpeqgVFZmcZaUaSkLGaf3RfFwu/3Cm5//P9761sbE1PXXXP3G337TFVdcsbWx2el05ubmK5U0 - pRQmpNFo7FvZ92l550+/+qff/1fv+7Vf+rV77roHAMdpYsBwxF73y699/gtucbgDxiwsLOx0O+tr - my960Y+urKy89a1vfcVPvPItb3nLW9/yBxvr641G48pDhzqdzonjx1/32teurKwsLi7u7OxgjK+8 - 8srN9nYURRWvukZPdgoZwGAQSFP59BgQkkZnpXCCkDFWStHu9UuhCGdBzWeu/aUHH6hPTFx+5VVr - W9sKYUS5EwQsSRCz4ixHmGqtldEEEyXNN04HVU4MOs8Bc8GwAQO76wV89cRihCIDFqFGKZAqHkf7 - lvek4/jEseP333//wYOXx3Hcae80m83SFHMzs0WWFnmmpeIWK6RUZckp00ZhZVzKTCkNaItZ3Xa7 - 5oe6FBMTE4PBIFNGUwsTqkptCkEpadS905tnWCtQIZIY6URk3WSpNROl0aULC2VepEniMgdhamEe - 2m4RDyjX2mgDqmI8k4CFEAagAq4CQMWgXxRFpesUx7HvecaYQ4cOtdvttbW1ubk5o0217rz5zW/m - tt1sNkfDaDweT05PRVGUJZHv+5yzOI6NMV4YdMdDZtFWazpN4zIXrebExsbG6dOnfd8/eeIUISRL - 80cePiqlZMyquWyYdJHN0jwitqVA/9NnP3HvZw4TJLCldsoemgtjKIuiaNAAbUUtb9JQZ1zkvWg0 - PTsXdQc33XBNEPjMtgbx2BQIW57t1JKR8H1PKhNFUZQXlbdh23a3252cnKxqRhVTzrfPH6OUSNNU - KyCEIANCKIOxZVkMoXa7HbbqkzOTSimllGVbWZEwiyhjqguoqAXKOPcaweHDhztrG0SCFzjh9GIQ - BJ5jDwYDgUyj0WAIer2eyxzQyPf9M2fOpNng4aNHREkpdWs1bzhOpVDwdYnBECEUqAFTliUAdrjj - uJaFbQDgnjVKxy63O0lXFOXU9JQH3ng4RA4yBlOglJEsy6Q0ItYSqZrTEFAoVVrccYgdjyNGOCEM - AKTSmBIESmqJ6HkC3W/OkAEwhgAhFBGMlFQGG+CYUlpqJaAQQhLKsUWYZXNMDSgwahCN6kGgihIb - kEpSzIjFhQGDdJWQRwBgMDlfJv43Y9g8VnFACEmthVAWYTa3aVr4jivLot/raNv2A086cHbcRS7B - gEAZIUtKqdJKmtIJ7Zue+wyKMVDd7m0qJqtpYjQixmBQxgAgrQBjpNX5cJVojA2Ahip5qaRcnp// - 7Gc/q9PI98PFxfkzZ85gdwKI47qeFDkCXYUNRZFFUTSOep3RANs8DJpBgALfHYuiChsSEFprRqlS - EjAYYxBAlTyu0JcKEZmJCzXWb62E9P/bxVap22otCSEVlC7a6lLPOnjVNWk9D7CNM7lnaVkqkcXJ - wYOXt7unF+TMkXPq2Okj+/c9rSeHG+30upVDXo2yXHkuWZ6b8pAvFQPLoZ6dlLEQ+XSzNjM5cefh - w2//H3/8vr/+gNZ67/LKK1/5yve+5y+azSZCOIqifUsrRVGM+4NaEFqW9bznPa8WhHmeT4SNeDSm - lksxE6qUQnDMr732Wi3VaBglSdKo1z3Pu/++L/6vv/1fl112WVmWH/zgBz/0oQ/Nz8+PRqMvfvGL - vu/Pz8+/8Y1vvPHGG1/+8pe/7W1v8zzv1KlT1OKe510IL7+OVeVLc747TCPQYAwCA6DAaDCAkUIg - hZKipJRmstTGcMtptII4TfJCOJ7bOTmYnp05/NnPKqOAIW7bO/2BQhRhmmaJZVkAWAoFGFNK4Zsg - e6RIG4wRBoQNVI2wGAAQYpggQmQhKz1VIYTn+2VZWox12zue44I2l1962atf/ereTudpV19DCXn5 - S16aJLFt21EUzc/Pd3pdxtjMwvyf/893nj27mmeJbbuMoH5nMDs3HUfp/FSr3+lrrVcWZjeEGPUG - By474ARObzROlPaC0HeDs48++ke//VuX7Ftu987FPJcz9lYxjtNkodZaNJ5pp5Z0sgJ0GN588wsf - OnL0rb/3FiQBS+1TgpBKZJ7maaPWyDMp8rLZnEijqGJsU0pVVZIqHyuEqNVqRVFUmJVKV6Ga3Iyx - JEsxJYyxXq8X1Bppkfd6PYTQ4vLy6dOnA8+1bbvalUM/kFqBQYSwet3vDgYME0CYM8u23WQcCUtw - wlWp6kF9dfNMo+nHKjM2EVKUVCIN/TgNHNtQrGmYJyqXohk0IJOYWH2Z4pqJWR40vTju+KGFscQG - hoOI+KHlB/1uajN0YO+VZ1fPZLLwwqBIs6o/sNJbqPySMAwrrM+3zx9TfZtmpRBCS8UYZZYlhMil - BIAsy7JuSgipQkmMMUKmalaqRkFj4/s+kSSKonYbuIE4zSK5UWhsc8IYr1hSEYIKwaOULAoJWKdZ - apDWCpWy4I4PsBuyYYwJIeQiTXmEdh0JrXW1JRBCALCUEhlUCoEpSbLY9Z3SCGJTYuNxMQZkkINy - KKvDFlJhihAymABBKBVDioEhAkoKkdqMY41VqZABhrBRRmNDETJKPkkACqKGVKQxWmrYbY2GUquq - lk8JB4OMMgiBlBoAEYMDFujCUMPAaIKQBqXAqIrtbhcmiYjByFRA5+/h5qTKawEAg0ChXSFG2IUr - I1EK23GFkOuD7mJjegNlp+QYr0z2B6MHzxyv75+1KU/zyOEMtFTEIEpkqZUuiAKH2hqZROQKFDAA - gGq6EoQRIG0e8/3QRVblQqSULrEI5u12myFJZZGmOaJeo9EAu9YbpnEcg5Gezwl2AKFMiE6ns7a+ - ExXQnCkt7jHGOOcYC7gIKVklL9FFlF+j0YhzrrUGIFV7IGMMISSEwISgp1RrqVLmqkIXDaZWq6Vp - ijGu1kDOOee8Yrys1tKn1pF64rGQ2Y2jjDGMsTiOZ6emt7e3gyDQWqdpatt2lUtQSlVNBk/6pAhJ - KQHAsizHcW55yY/UWhNfuO9LnhucPH50rjZ57tw5RlgVkpVlWe36Tb+ZJEnYCktM8jwXRmitz507 - F0VRu91NM6OZhR2usGy3N8nllzz4xfuOHT3+i7/wS2EYWpb14he/+OZn3fzRf/jIQw89JIRECEX9 - YVEUDOFep+v7/pEjR27+wWc5jlOIwnXdOCtbrdbxk8cOHDigQE1OTiKEwjDMi3Q8HmOM2+02xjjP - 8yzLRqNRrVarpO7q9XqSJD/7sz/753/+57Ozs2maxnFcredpkVe4gur2H2cXWqmVUhgTjDGlJEmS - vCwmWy1NEAacisJ27Y329lR3dmlpSSHIskIhQJSUogRjTJZKMKMk6nQ6QbM+jCPu2oCx1FJqYzDh - Fs8LYdkuACCDCAEwoLX+ZlZPSjFVYJSU1eQwBBeiLIsCEUAIWdypNLGMMYPBwGbcaLQ4v1BkuSnl - TGvqgx/4mxe+8IUloNv/7rbNzU3Pc8uyfOELX9jnXS0Ed9xed+fRo0cbzeak19zY2ChLdsc/fPg3 - fuM3kuH45h981nOe9WyRBeIjPQAAIABJREFUF5ddcunzbr75ZS9+8c/9wn+878j9W+9859ZgnAhx - 7tjxfXNzFiVv+a9vfsN/+dXtzvp9D5/40rmjfr02OrM5G9HXvfQ126fXf+DG5//y7/zX59x8S1mW - SqkyFx7neaHScTK7Z9YOFrudIaJMj4txlBCMkTFVgQMALjgx1XqklapqTIyxNE2jKAKlPc+r1E13 - dnYOHjw4GkaU0sD1+qPhxtpao1aTcrdLrSgKzPl4HHmexgjt7OyEYUgRFkKsrW00m83l5eU0TZMk - y7Ki1+vVXJ8YTQFrDKURYDJK8PR0QySZMEYKcCzftTyUqDIqGbOYb61HndyIFq1RojBQxrDl28x2 - iBcOo4wAK7XZWN1aml1c21nb6XVqftCo1zc2NhqNRtVNV2F1fd9/ivhjeK1W45YYDQcX88dUB6zE - UfV5Aq5qwRVScoqqxqj2xqaMFdHwx2953ai9boGlAYaFufOue7Y3x41WvTAqShINxvM8ojEGLIrs - h3/kltF4Z3Z+5tN33nt2tVslkDhnJfl66PpdOrnHrVy7RHMAAGaXeq56a/XjQIUXgn68+0ONDUa7 - JKrniVx3Jcv0xdvtN2/YgIYLPNtf/f7usu1WJF0YAWCDAYBqQAYwSAQInwdqGKQN2m2uRqbaXhA2 - BgCr7/2gvcoTV7Wk3cEzYJQmCEspKWPudGN7OB4V0fShS5qszigXHG/22spVrscwFqWSxOJCSEZs - W9v5aHDnvfcopOYPLF55w9VROS5QxriHkJFIS4UQAlSlsgwm50dWYa0RAEcAIKUEIxdmpl78oluq - 7qRb3/+3CtHtjY3J6UWEsCgzKeVo2KeMTTabP3rLcxmHKhPT740/9rGPnT07CPazKlQADhhjWUhu - M0qwEIoYAwCzs7PbztaoPfKdZhAEZVlqpTjnlmVJpSqv66l6yFX/ZuUnKaXSNE3jpBDldGvK931G - KLN4PI6ElMgAYATaVJn7b/NzZRfwDBeqAZVMWLXXVuQOWutKoa/qb9daV8Wgqu7GGHtS9yulZJQy - ZgHAeBwfO3asLMuw202SJEvyWq1eazaystBgqMVvv/3/nZkLd8a9TnuwsdpRna3T7bU9iwv7n7f3 - +EPn0o20NbV4912PCoUFoUmRGpMbpFf27X3pj7/MYYwA+eThT504dfp1r3tdc3ZqfmXp8OHDtm2X - pXBdFwA5jqOEELl8+OGHsyw7ffbMwsLCe//q1k6/5/vhVnvz0KFDK3v2PPDgA0eOHNm7f1+cjOcX - FjSYEydO3Pz85/3o//mjn/zkJ7/y8JGtra2DBw/ecsstYRgqpW644Yb3vOc9v//7v7+9vf0n73jH - vn37jDEV71eapkEQfJ1MjDrfzF/NNG5bzWZzbma22agzhOMoYYwpKWdmZip/lxJeUQOvrq8fP35c - AxJKCSGSLJWy1GAQIgBKARgEBDNpNHyrARbVVddRJYVTSqAEVw/RyCRJykLatk0JmWg2x4MhY4xj - JvJClQIBZGnqcOsl/+7fv/OdfzYaDGenZ5SWcRQ98wd+YG5u7t57733Wc2/+y7++dXl5sd1uR+Ph - /Nzcn7zjHWurq2BM6Pkf/ru//+D7PvC+W2/9g9/9vT2Ly4cuu/zwxz/x7r+59dTmRnNhqVRocXmF - aqmUaDRDP7AumV7pjPLaNa1MlPzQ/rve/feNSW/5mulWPZybmrKAep5XkSgXeS7K3CDpe85Ge2tu - fnljq0s5o5RrUWoh4CJA3IUe94rvsgpxLiRvZVEKJdM0LYqCU0YQBm3KLEdSg9KUkiqyF0JU+kH9 - wTAI/LKQvut6ttcIG+PhyHcDEKbmB1tbW1mWTU5Oep7DKRv0O+loJJlinu04tlFmNO5NW+7m+sb8 - /HzgT8RpEsdxzfEcXqOUCmPqfisuYllKLE1SRplKE5kURHKiSyQ9yrA0Miu31zearTplaDQYJ0lS - +eNV2FT1IjHG/KeGP0ZU/DGiLMq8kLKUAAghihAhROjddNeFMNGyLGV2zXGc2dlZjzl1h/7pn/5p - d730KJQSaABO2Gg2Z7q9XipLy3G8wBdliQ0aR+PQZ7fddpvn0ySLXWeiLEvL9rUeKfW1y0n4Yvbs - i354ob6OjL7Y1ThfEq72Aw3weCHq81xPeLcUe6FUj76KLXW3wducJ+f92va4txbhxxAzjx1Mwy6S - hml8HpwGqHJiFMbVXyJZwXnPb7IaUPU3BgAhAxfQBf/yxXwP2GOc6Be6VwwAAKsyB5hkUoyzISG0 - NT3BMjzuqzIahs1aGIYDMSjzzPFAaqGFZsazwBJjsXWiffc/3cMc17da089cOLfxRWOroFUfZ1Fe - ZMT1MDK4NEQRrA0GbIwCAIW1JBpygyxECDEaj0aj9773vZaRUVQ2JqeGidy7d29/lFHKsiwjzNRq - NUzIYDC4/fbb1ze6iQCvAc1Gw3Gchfn6uXy7yoyOYSCltDmXUgChF/BkQoiJiYmkvQ4A/x93bx4n - 2V2Wi3/Xs5/aq3pfZs/MhCwzk5CVBBASUGJQ8aLiVRARvbiw3AtXQJSLuLD8FDSgoAhclU1JgiEJ - BkgCGIRMkklmJpOZzsz0Ut1d+3L2891+f5zqnskqkahw309/+lNVXV116tQ55/u+z/s8z6tpWuCH - OsbZX5+4f77HeCIBAm2ovaBUQEhMCIRIKlDKFwBGoecLoLygXyiXNEw0TQNCpoJjiOTGMf/9/H7M - loCzKgwIlVKmpmdYlMAsAxI8z+Ocl8vl7G7WbgNZWvlMAiECAMhKOEqp53mMsSBJlZCGYSMp2+3u - zrktKZDPvfSSh08cloDmc7XL9r+gNFNtxF6uXIyGYYHl2yfa48X5c65+3tfuPHo6asaSYVvTLeoP - +4v1xa/edSfgIo3Zgw8dwdQIkjRdb/zlX/5lGEcTU5NJFDPGhoOhRqhgbMvcfHW8+qJrfoTo5KLn - HlhdXX33e99TLpe/e/BguVzetmP7wB++9Md/tDpeVQAsLS2ZjnH/AwdvvuVmx3G4FFmeF0Xhpz79 - 6SwFedfv/q7v+5OTk77vZ1LTY8eOzc3NMSkyAcfm2IHR7CRw5sqZESuz1+FSSs4fOnLYMAyZctey - /TR2dRqyZHGtjtdWkyShhm4Yhuf7fW+42lrDRONSYIwVUBKPLqASAikVGM16+/dXV0RKCRHOIHjO - hRACIIgg5Izrug4UStM0kTLzsWGMYdNpd9qGYdTGxgzDCMOQS040qhl6fW0VQTA5NTUYDnXDYJz3 - er09e/bce993XdcNo0RJ+Tu/8zuv+KmfmpqaIghThPNurtls/szP/NwnP/HXr/6FX3rfn77/xn/8 - 4vtv+PPDJ09HKcOQhO2WZuiXXnHp+ORElLD2iaas6L43vGj7uTc2eg8fO/KqF/yc5MZ5FzxHAMZ4 - ghCamBybn5+fAhMRiBjmCwsL81t2SMYZY5Irgs/o2rO0Pbt7dk6T8U/pRmTnw86dO5VQhqbTmubY - thCCUjo2Vn301EnHsZngI6FTnBRz+W63C4A0DKNeXx6vTSwvL26Z3dJorgGA9uw9Z2V5NQg9yzDz - +XyqAaDDGNJWp00JmaqOPf/yyx8gmq7rXhi44+V8fmsw9LyBn8/nkak1Br2tszNxFFSL+dbaKtb0 - 5qBHLM1nQ82gSCnFuUZps7FKTFSrVQWT/X4/g2EyRksmMhoOh+mz4x8D2+22myvmcrmhHHCeZv4x - GXYlgNpMj4SUAIGslsqA32wek9cZrATeK69/4cmjh2AKnVzOKI4dP7U8GAxcN68pwaWM45gzpiB1 - HEfKcN++fX7QcXL2yUfXTBOJrBEq5b8ptkMASHUmg0EKjGzIv7cYGZaf8b2VZx4HG8IfKAFQ4MwY - 7WdWHGf0WwCkgo9dmbI2LwDgrPRrNOhkI+uSQGZQTeaquYEYnZm288OewYAN13kAAJYAnkU4YkJg - XYtYCiHK6ZbwUtX1/XV//Vi9WKiWCy6XCmuUwyQOfNOgEmAWpYiAQim/btB+NFBhvztsxiCan5/p - pr3usA0wtB0zEkHCUxMaEskRw2gji0UKADyahwogBEDt2bMHJqFlOYcOn7Btt91uC6DFcYIxdl2T - pWHWtJ2ZmSkU7YAlxbEqgtrBgwfDIMTjNOvnIg0hQngSIoJSzhHSMMBCiNOnT48XxwZ5TzKYTfww - LCuKIs45gBBj/Cy2kzI/m4zlmtUwyjQz9k/OcSTjFOGEJZIxhJCGiZQSnWXk+v383oxNsqYCgBKS - MsYYyxxNsnl2juMkSeJ5XtbM2mxbZ9nMM/q8EEIIsJIQKKRrGk+VphlRmlTLtdAPCSE84Xd+8xuX - XHU5hGKttVqqulSHiKLMuNV1is21Rt4quziHkWa47lq7Q2xDYZhiqWEFMIyj9IGHHvQHQ4J03TDj - VOSLRd3U7r333rGxsXq9zlNWqVTypaJImSTkxKMLb3/nO1iSsjhxHCfw/UKhgAmBEHYHw6mpCcZE - q9O0TIcahFK63myWq1XXdYWSGTR18uRJjFFWn2fy27m5uXq9zhibmppqNBozMzP9fp8raVlWhmw9 - 1f7ZbFxke54JfuThhxljCICc4/Z6vXK5zJJUSonBqHzNDhs3n1MYciiYZIBChBCSCACoEMz8vTKr - 3+8HQiQQYQXUSJaMNQhUnCZxEEAMMKUIEWroGiZpmjr5HIuTQqXU7fckBM1Ou9fva5rW6fdMx5YQ - UEOfnpior69hjc5umX/wyOGBN1xaWV5eWW2329Nz83EQPrq49LM/azSa7ZW19WF/sHvXOa9/w69/ - 5bavfPHmL/3cq+78+8987sFjR/ddcvHff+4LbrEUx7GtkU7g3XrnXa/45VcOGgs5WDh9umHb5vrD - a2NucXJs9l8eOLRnz4HP33zjz7/uDZalKZkykT7y6ELb60xvm3T1wr4LL/Z6Pk9SgrBlGmkUgY3m - Uba4Zt9NJr3JTo9sGc6SNoQQomR8fHzv7j0nF05umZ1bOr1crVaDIOj3+0ykV19+ZZTGUzPTJ0+e - hBg9snCCszSJIyk4wfT1v/I6Fqc7dmwfDIa3336bpukXXnhB4AVf+crta2trY7WKaTle7POIO8TO - 6eakU949N18iVLOxx4NGOLRyVs7JB31f+UnFrTWX+mPjk1v37nzBS174P3/7LdR2c5VSfOywRAhC - DjFnIk6VNjlRW19fTwWvVCoZy8c0zew4Nk0zY/A8S/4xIgxDBXCxkDdNEwAZcj7K2RGEGGKMszoS - bNi9yMeGEEIIQAgxTZNoJKsJOOdKAYwx4OLsU0gpoDa0Tpsh1eh7fJo1erO/c/YjUJ0RPDzFv541 - vmCUlyCgEFCZXDmzfwQgW003Epfvu2MjH3cLgZG5/gbkk22wkgBAJAFQCkoApERAQaAAQhsmMSPM - Biiw6Wb5/W7bf2VstpA0MdoVAgGZIVEYAgwFBzahdqRK0tqul4+fXBYnOySXVp+z28tZA54qRVWi - KAeCcx2bfjrgiNfOr7z1Q2/WdEotshQ90hq2iQ40HUqeyhgQDWATCZZKSSBAI6q2gFgiIlDGsuKc - YwQMw8jlcipClOoIISaEEAASqGkaUJwx5nke1TSKcS6XI1SBwCOEKAkRQggqSEh2smxe2dUTEMT/ - tMgcNKQQBOOc6+q63ul0fM9DCGmUEoxhNu4AQiUlQOg/YjPPtryLgxASrI8oQSPKTihEyhgAILsc - KaUy54in5cRIAJ+gEFSQc2HqiFKaVbBJwhxHlyIRgiGkhJREJ8XqTKu5Lhgrl8bDyItSALFSzCwQ - rYDKwNRYouxSeeD7xx48Oj43I6AESESBx2NsUjJRGyMI66YyLJOlHCARxkGr08xGZzuOo5SSQKVp - DKRSUOVKBYxxdazKkrTdbufLxTCKwmFUKBQKpXwi0qmZ6UTEYRjlinkpJSbo5KlHy5WKTBIIQcbd - cfJuRojknOeKhfr6WrFS7vf7fW+oGbqEwLAtU9OTJBGMMy7gWaMSz47NJZJLAQAgusbiBCBIdZ0j - EHPmRaESQgiBIbKoJYSIeYIwEkhRU4/SRBEooZJKSMUzxQFCCCKAwEhk97jvScHvtewiYMOHVwjB - lFAQQIINoksowzBMEmYYhrKs4WDgOA5CsL62plmm4VgSAYFAZWLs9q/eQTQ6PjFx+PDhhxeOQwj/ - 4q8+XiwWS6XSTbf806t/+ZdWG83x8fH+YKCU2rlr19adOy6+7NLul2/79d/8Ld/3LziwvzY1XRir - nVhcfMnLXrZn986HF45tnZp85NSpcqXS7Xe8NOQYfe3Oe44uHcUVs/NwuwPA8XZ/0p1trA1Xlk6h - /FgKZKSiZquxUj89CIaKYquQbw/9xWajUql0vB4UQMNIpGnWOc4aq5sgAQAb5dRGpGlqmiYhBGHc - 6/d933ddd9euXT927Ute++rXrq7UNU0zbcuyjD/7yA2f/PQn9+7ek1F9NUwy78Vspb/rrrumJybv - ueeb//D5L37qU5/42Mc+duONN5YrhZf+6LW33HJLEATtMJQI5zQ775ZAmsgwicNg6znTc8+ZfXS4 - yLqnTzaWj3cWpovlc7fMnje2c+ryLVCYD9YXTKxhZAhJBoMACqAhxNJYtykkQAieylS3rWHgI4Jd - 163Vaq1WK2PgR1FkWRalNHl2/GPEpn9MuVhyHCf1fV3XZZJIxhQ8IwTNTgtKKRMi68FlZndlt5g3 - 8Ne+9rVOnTkUJAxgB5i5Qj4/3h0MApbopum4TpokWCLP6+Uccu+99xZLVnQqtMxykiRYMwEACCEh - HpOoPC5rGfFXNntA2UNAKngmVXgaGxU5es5m337Ub5IIgBEEsgGJKLChsn6m6P4oKRrZ5SmUncmb - dNwR5LP5bIjE6PHsv9TGJ81y8xEylI0dAKPX+aEWWT9lYEqSJMGYZuq5klmpuKVFXZ+YmFjudxMW - E5hPWMqRtEyDs0RJqBlYw5QAzFBiOZqCsh/1gYSVWpGp2A/6tmlKDDpRh0ATAaogUFBtLtejDl0C - iJ2Z3SVxHB88eNAAYjj03cLY0Itqtbm+l1CqDfodzIVpmpiQOBgeO3asvtrwU2DmQbFQNAyjUi4u - xuuZsCASvgRcJ0QoTghJUymUwBjPz8+vHVodDAaOWdIoTRMWx3GW97DM5uBZ5cRomgYByMyc5ufn - dULHxsZWV1cN28IAWq6jYQIJ5knKlaQInz2a8d/3ezOeyJLJUGSllB+FWZ43IsFgTCldXFx0HAcA - kOFS2Yr7jD4vhFCIjCiJAIAZl0AomfLIj/xqeXzY95eOHt4yPa+bOE1jBE0BZBSlE2PjncXGMPVt - pxiieOB7Zs7a7m4NeTzo92zbKmqmY9v+0ItFHEYxQHAYBJpGTcNwLFdK6bpuFIUIIV3Xfd/PxLBQ - AUhwvz/o9/uEkDSKddNwiy7vya7X27Pn3OXlxUM3ffdF11139MiREwsLlXI5XygUCoVOpxMEQa1W - 03U9FdzzvDRNDcMol8uDwQAAEIZhmqbValVKeeLYsflt29I0BQAghJ4GicmeIKVkUgAAMKACKIhg - KoXggJi6QIBgKhhIGQdpQjWimQYAIElTgBGmhEDIeQohzC5HEMCRTYAa6e+eeKn8Hi+ehAMBAVII - SqVSzhQESKOaafj+EBFcdNxMbYsogQSPVWsnTixQSqMkkQCsNxuXXn6ZULLbbl1y5eWXXXUlhCAj - T2SdRaeQY1Kcf8GFvV6v3e9TSrft3PmJT3+6UChUp6b+6tOfftnLXtYaDC987nOnZ2f/4lOf/LFr - X/SVr3zlnm/dhQ3tnPnpfhTk8/ZKu3HlNdeeqrdkahZA+Yrtz2OM5bbRCtAlVyhXOHTq5NXXvujI - w0eWlk6Wq2Xd1CLBOCWJFADp/V6gAwSVoAQIIJWUcAMbEEJsChSzk1YhdDajTSklpMzW/vX1dVsz - i/nisD8gCJcKxTiOF0+dnhufabfbMzMz2aKOEDAocR1bKZWzrW6ryeJobn7mO/d+u9VuHDn84M03 - ffHqq6+uVCq5nAMgVJpl23kD6+HAE0FSOWfCLhjbLt6STor1dm+x1OG7aU2NpYv94ye+tadqTRfn - kdAPL4Ym0iSTSShyOD/ujEdRECRMNzGimsSgMewVJydkyprdDuc8o6NzzjMMJmuWPUv+MSCTqm74 - x2iZojtRKk6TrMrcPAcUEBkMngmIshmidY8RCf7wd/9Ha/kkEYRoWorNb/7rwdWVfi5fsjH0giAI - AkPXMUT5fJ6nw1e84hVDrzU1M/nNbxz0glYQJ1mLStCz+zvfUyjIJTy7L3/mnD3r9lkvq1DWuAEw - Y86jTXdRsAGPjkS/G5zTJ1Y1Z27CxyVaIwKNHNmfSAk3ciUg0VkZzBkqw0ZSokYMGIAlhAplpOPs - cYmEgkAgDhT6oc5j0BlSLQAjKz8AsjUvYTrEEWMpReZM7dhqZ/nkd8xZN791qiZVk8rW6mluM82E - EEKJsAQwhiCOEqhoRatSQBngiNqKyF6jg2ygmcWQMSEY0V2EMRAISwQlIBDIEQgkBVIAg8zjkaUS - IXrdj15HRWpZzt98+nOaZi8uLpZr0xnV3XFMKRLGueM4V1x2McJiGIdWITcchLfc8uWV5TC/EwIA - +v0+KClKKY8SzaAJUBBCKWR2Re10OtmuyCSHIkke10V6RojI4xaJs9MIgnEcRUiBvONeffXVv/qr - v3ro/gdc1221WrbrIAAVBDxlEiioAKZECfn9E3vPfIonJDFJkmBKsitSJqhUSgmguBCe533gAx/I - tDmGYWTUve9JnaTOIA4Zf1mIETvYwBQjomlEYMERH0YDzbRmtmw1NddvdDgXlZnx9V6L6Kjn+ROz - U6dOnVIyHJueaK+vra0ujo/XJIvHizaV0O8MQSppKohNpWYWx6ph5OkUD1qNGAiCURRFQqkgDAiJ - LcvCGPf7/VIxLxg3bAMqRQhxXTsIgiCVkOLx6hgT6czc9MAbFsoFofj03HTOcVZWV/0oZFIUyiUm - Bec8l3MhhClnjLH62ur09PTKyoqbz1GW9nq9OI4vPHCg1+tlTIOsgXj27jkbkuEZG0aNOhUQIUyI - UirlDHBmWpZSClMKMAJAKaiElBAhIRmASDCmm4ZSUgKFEcIQZusvVApIkXEOR+Xakx2W/2aQjQFq - AGvUpIgJHjPGhn0hRL5YOOecc3bt2uXaOcMwGGOVYund7353Pp/3Q98w9d6w/94/+oP5+flCodBt - dR46evjc3XuiKAqCAADgui5X8qZbbolZyhgrVyqc8xu/dPPk5HSj0aCUmqb5oT/78NTEtG6ZzW4P - Qvi3n/3MTLWEKM457tLpRS2fo7b5fz/zufHamEoSpECv3y0Wi5ILxTiOOSDYp0pzLMbYP918SzoI - JqoT1CSQqDAOINXyxWo4HMRh6FgWwTjyfbWhkdxEYrKPP0JxlcoIrdmN4XBommaxUBBCGIaBIYYA - +mFQzBf8MCAEOTmXAzn0/WK5ZNu27Trj4+OtbocauuICb5jS/uzPvOrDH/7wtq07Gs32O9/5rvPP - P/++++77wuf+QTet2Z3za6utJEjGx8aolJZjLrfXt5PtHKtB6sUqghRJwQGKQ+4nKrDzWjxkvj8A - gNs5u1gsjo9Prq6ssSRVqZKJEkIKJSu1aqvbxYS4lh0EwcrKyrZt244dO0YpzWyIM0r/s+Efo6cp - J4QgCAaDgRCWXcoDACCzEpaqxwzXyMYRIowp5zxNYkLR1OQ4EYTw5POf+ezSo20NAqIBzbXCBOhW - mXOepsLQNAxBGIYG1jEUmqF/7Wt3en4TYgCAJYRm226z1UtTBrQzSqLM427z8i43ez2PheifqTnY - Y+y2FHpiFgJG2RACQD4uLfre3uAsWGiUwWwkLptk4xHucvbzM9gGgJEiCcCMsTHiGp8hAiOFoIJY - EpklSUhKKBSUm38FG6SPzAziaVnJ/2WRqZOyJAYrgAAgAAKILEPzoghSjnNWDJJCtazTYqfZSaLQ - KbmMxoOwpbuUSQUwShnTqaun5qkjp2/+/JfCNNl74NxXvvoVlNKUpF40YEzqORMRHsShAQ2oIJIQ - ouwd1SazKsPtMUZJktx222264hBi0zT9RFWrVS8IKNUyXZ7gKcI48MODBw/W60u9UOZKpFCsGoax - e3euS3xKaS7v+GggBMOYci45EpjoUCgA5draWhQl+VxRCTT0vEqlwjiXUqaMZVXBM+XEwI2WDXgC - ZiikzITfURg9emLhzq99/c8+9GHf9x3HkUAhhFLON+ocftYYqyzQxtH4pAX2026SevyN7DjflE9z - KfL5fK/Xywa6XXTJc7dt3w4hHBsbS9M0FTyrQp8alHrM2IEztYBSQAGMMYY4jEIJgabrlFIuUtd2 - FFNxEpgaWlo9OVWoAJ36Qy/wBrW5KX/YX++sjc1Wm17fj3t23hAyNF2tN2gaWBFIbV3TCFYclHPl - EyuL7fWGbtLecKARgje67d7Am5yc7A16ma4qg8l933csG0Lo+34hl8+6OXEcO5b7yMPH9uzZ87wr - rrznW98qlUqe5x2vr89umc8GJFFKh8OhUkrX9W63a1kWQqharnRabceyy8VS4PmWZZua3lpvpGmq - 6zrnvJDPx3H8VN9Ltp1YKCllpgLWNE03DKJokiSU0kzEiiAUShKI4iAghCikHNMcDocZ5zKjWiIE - MKYgQ2CUwhnN4KxD8ZkGAUBBgCCEigshOUAAQaUU0HUdI7C0eKq+siRSYds2Y6zX6WIlk8CnEGAM - m831ycnxtbW6k7OJTsuVyjDwKaW6ZWau/J7nubkcY6lhmUwwIQXWSMxi17WjKIJKVsulfrdj23a7 - 3Z6cnPQGSb1VLxZza522kStohjP0ovHKbK/VypsWQdBGZtoNXTffGw513Y6ZDGXKicIKAQxMQ8dQ - prEvRUKgNHSt321blhUznCghhaKGDoXKyF+U0k2pXgaUCSEgQhjjMIoAAABCTdMIxMHQ16kOIaKG - ngIBdBxD7pTctbXGk9oxAAAgAElEQVQ1wzIDkDAlDNe+99D9M3PTjW7T932heKfVLperfhQma6sD - P1htNMMg+pMP/fk1P3LN1MT8zV/8Mo9VmngD/yE/jvJObqk17Hc7O9GWWlKdGJ9f9k5vkdONU43K - RCFq9410/NRaa88rr3jg+HLFnRYmSEHa97pB4q20Vpaa9XKpVNYNmSYsjiilqR+WDD1lLI6izCV5 - eXk54/D6vq/rOhqNb3x2/GMQ56ViUZmy2+0OE398fLJcrqSMhzKIomBkqoFlKjghNE1CgoBOCcJi - 4HdxqNsYujNupdJOExAlQIOcUAIhRJAowaRUkECdYEJxmiShN8zlq6ayRn7BArGYAYUo1ZhMBeNS - SqgRiSBUigCohIQQyUwQC+Tmqp9dypB6LOz85CjF6EEJAAISbMAu2fPRxgzCMw+OXnnzpZ76Ov4U - oMhjlRpZegEAADJj+2YacAUU5FKlhGACkBQKaVqr0yvli2nMcCpLuXySRIILiYGpW712p5DLJyxN - QjldnAzjGGqqzzqWq60O6zo1NaC7ZtHzA0IRRQgJLgQHmPyA5TGjJG80GW7TZ1xKgpDgwiQGZMKC - hGBkJpBEaVVz+yxIYgawMgyDJxEAMol5znIg1xCDYS+J2yETskKLJVII/X4YJBRCy8wFUQANqSMN - SZRxEaGEQAEkFJQKSQUUUEpk6q80TWnBwFwpBaMoilNkWdSyRgMgKdGgkohgSDmCBABgWUA3aJIk - nMt+zwMVmqac8xSbgCmpIFFAACgFYAQrlkSW7RJsxAGzdMO0VRyHUACEICGEKwkRVPwxPfHvaW9m - qkylRNYmwFhCIKVEGAsuMCK6bhRyBRGzqcrYUDO8OBRKciklQAhpfhyZBgVAhsGwUs4HQUApjRNG - iRnFzHJcP/JN0/AHw4w6atv2+vq64zhAqVwu12w2M+FktuJKKQMvME3TNM0kijnnuVwu8Pxsoa1U - KvX6iu06GWPUsqwoinK2o2saYyxJksx7jXFummamxjg7Mxv5Oz/F3G6lJMFEMCaloJQKoDSdhGFK - IMYYMy4MXQMs/cAfv8dvdwlBYZp4PHRrxW6/axk6RMr3h5PTU631bhzH+VLu8//4+cbqmh+FBtCp - YYmYPbpwfPu2HSvrawhjwBTN2QnjOtF8368UCv1eF0BgGgYXwjAM3/Oq1bED+/ZfvP+A73mOaQ37 - A6XUZG1sZWXlFdf9ZIY5XXrgktNLi3feeaePw2DoX/+yH7/qqqvy+fwNN9zw4IMPSiZMoiMBkALR - MKAIQwnf8653v+ENb+j1egghTFClXPZ9X9c0yZ5OzwWBUlIgCJRSGsYAYwAAj2MJAYEwjkJdo9kO - x5gABTY7U0mY6ESXChCEJFcEUQCkEgAAiDZwtu+T/DW6go/GaSsFISIQSQwRkM1ms1IsAQAIwoEU - nU6PUhoGQaVSKhaLrcba9NRsnCRjY2NKyOGwD5RgjGWUC9sws6pd13VLST8MuBCU0omJiUajmUbx - 1MTkcDBQSumGTimdnJx8+NChS666vLW+WG+sVcdn4lQOvQgh4vU9186ZGgE8MU1dp7Tf83LFgoTa - zPTUUmtVgDQaDP04cXTqOA7AEEKlU0Iwsm1TCO64ru8NISI61ZI0Bllr47FVS5bHgA0CdpbOM8Y0 - TCkmGWL8qf/7aS/wqa41Ws1Wr4MQCnqdn/35V+3evfvHr79eKXXgootarQ7GWAh/fsuOZqPhuvmx - sbETCwuPnjxl2/b551947Y++9Nbbbzu9uBgmsWbQOA7cnCWhjJN4ZuucaTtLi+uvf/Vv7Nm3A+cQ - C+PP/NVnCEATpRqKxJ9++O/ThjCxO797pwKo3WwQDBCFEfMTZiAEFQGmZbM0gQDJRBFIIVaZXWGS - JPV6fWZmZnV1td/vW5ZVeFb8YyRwHIcL0e22ESC2bStN9XqdBKWFQkFXmhf3lVIAKMYY1nGYJLpp - G8QIm2EYDDCGSshGs/9zP/3iE8dt28pPTE0jgr/xrYNLdV/XkWNZg0EPQ11K6XmeZesz01vn5sd1 - fSvG+OjR08srnZHlV8wBAUCobBakIIoIBYDEkGQUEwEV3gRmNrCOZzRLCCnwpBnJf4R/3BNe80lO - cqgQQggoJYXgQhEyUn4hirGQSRhHXqxpWhyFmsxN6pMaJ4oiZWPeEDIWKIeE4MwFtm1jSIDAUgEg - gIJKYgGVQAg9Y8uw/5TY8Mwd4W0AAIAQlxIgggAwFJJRuqU42Txeb9Uf5VJtu/i8yJbroqsIggBg - iG1NU6nkjBlEpxaZ3jYDAHCLZttrDBMvUqFua6lIlBKcp0SjSmagFwQjbACOviIysk+EgOzcuWv/ - BXuGjUapVLntn++2sX3s9IqTr7iui5FiLA58P+WsnHcuvHD/3nN3DuOBkXN63eBb//Kvg27kVnRK - KRM8igKgKw2bCRMAg8yiKHt3BSBUZEO/BjY+/ejYkPBJKJlPHxnmCgHACBFKNwklacqklL7v65QS - QqIwfPMb33TFlZffcuuNxNTMvDuMgpDHbsFtdxqlQq7XalUKRShhp93PuZXf/t/vMiynNxgYpuZ5 - 3sWXPHfLli0333wzk8JynTe/6c0f//jHT5w4sWfPnuc///kHDhy44447br/ttkKhAAFKomhmavra - a681NN3zPNswMcYZXS+MgurYWBhHtm3Pzs6+7W1vq9fr03OzOdeNOVNKaYaOMQ7jSCOP8Yn5N0p8 - qIQQGqHZKhDFEdao7/umafIoQQAamFKI9x+44Gev+8kv3vz5r371KzNbZvS8/t2Ddx2vnwhUTDRY - LRYvNi/ikeo1h71u08JGwSkhlyquVtea0+MTkJKx8cqf3/ChsanJN/zGrx8+9vBP/dRP/+i1L3ng - gQf+5m/+JhWcCa7rutfpAKUMwxCMX3LRxR+54Ybz9p67Vl/dsWXrbV++Ne/kLMtqNpvPueD88847 - 79bbbnvHu37n61/9ejGfR5jUKtVv3v2NO+64ww+DvJubmZnRNO3hI0cIQohSTdN+4id+or68bJum - oWm+7+fzueXlZcdxkjDKkPh/84B53I78Hi99m0+TEPw74OmnDzIyJd/wfANZYwUjpZRtub4fSikd - y37zm//nF79405EjR8qlahSFrUZ7emo2DOM4jqGE5UI58qNSvpRZDzHGpFS9Ts8L/FRw3TBc26G6 - xjgXKYNSFouFJI3TNDGojhRYWTpdrJR3nbf3/vvvn5oszW7dNvDCTncwNTXXabWr1XIaByuri6ZF - MIbAdJWtlCGWTp3oek2sUc7TsWo18oZxFAOELdvhUnEphmELU40x5rou56nu2jx9cjO0TO2yCclk - wN0mApH5VB46dOi6666zLOvVr351GIalUmm5vuI4tgDKsixd1yenpu6//4Eo5Ofu2d1qtY489HC5 - XO60+34Qrq03yrWK53kPHX3w//zh7xmadvr0KZ3QYiGnQ9rqdh2nIIU6tXB6/MDFv/jKX2p113O1 - 3GqnXpqp7t/7grxbkJGgKYq70TnX7q0vrWEN3nHnN2QqeBhCHlHEEjbQNA0gpDs6GwolcZpySjGA - HCOQyQ4JIa1WK5/PZyNanjX/GJ1iADjnCACETAFEGIYQE9u1TNN0iLNpdpcliFEUaYZecF3TsPus - l7cLWycnv/zlL7dbgyQGfgiqY0AzHE2rhGEYJ6Fp6rqux/EIjTx9+nQU97vdFYQQQo5t56JIMMao - pjH0lHDo/6OBMqNepoQAEAKoEYIgBABAiABDOZIvGsWUJcsPruhIl1IKJExqQY+cc87OhMRetwVy - QDcNBIhUUDFJFYZKASkFQiMHhx88RRPcmJ0EwWh8EoSQSwGAQhIYCIlYbKlU2q2jvRMnIykPvOAy - qHM2bEkMESBYCoRwwhImlZNztxzYOrN7EhFIHNzW+4kpuQKYijgOIVEccAklgQQoTUElAFYApBgw - hDiCgIOMDKdRfOzYsebaIuW82x1abmkYRdu3b2/3/DRNo9DPachxHNt1eBzcd999JxZOJAroLrBM - J5fL5S3kAxaGIcbYsqxQBNkJm4Kn82980nhGXxdGiHMOAcjY+p1OJ+FM1/VSqSyljL0gkxMihFLO - dIruvuOW8w7sprFuj+duvOnvoI6W6ku1cm371PZ99oGZ6talxbo3TCGEGqG2qSdJfMlFF7/zHe+8 - +eabg6GHc7nf+513XfW85/1/H/zgrp07a9Xq5Zdd9tGPfnR5eRkD2G40lVC1Wm3Xjp1f/ec7Jmpj - hmFMjI/feuutw16/VqvFLAmC4L/9zCsPHXsk57hJFFuGOWqlsTRzOtE0zTAM+RTTjzNt2SYBfnOf - UYqFZDGLLNsYnxybmJ5aWlnO5Zx2q9FttU3DHXYGutSjMKwv1f/nW9/yyKOHoKua6KTznB2FvZOM - CCrYtur0/sr+YDn5p3+4tbfSbjc9qdD47KzuFFZ63Vq1+Lo3/sorf+GnS7nSO97+u69+zS8//9Kr - 3vue9y7Xl4MgQJTYtr26ulosFuM41ghNo3huanqqNv7m33zju975zne+7be7jdbRw0dt09o6vyXy - g3f8r98+cuQIUmDQ6+eLhV6/TxB67kUX7TnnnL/6xCemJyc/+Cd/8pa3vMXN55eWlrJRzfsOHHjP - e97zjne84zWvec38/Hx30IcEC6AM24qTJCNHq42fZ3os/VfFBhJzhrgAAcAKKJCp7ITIaJieFxw+ - fNi2bShVkiS6bvjDQAhZKhQdx8UQIQlYlPTb3cxYpVAqMsZLhaJpm/X1Ncu2GWNcin6/b+i6Y9ud - Vlsn1LZMjPGWufnjC8e3bdu+det8p9vgAHQ7w2KxYptWTyodgkE4nJwdC1MvEGHPWzZ1C2t0y64p - EXPbsAMviAa9NOWum6uvrTeaXYAIpahQKUvFMwJvEkCWpBmgktkJZCsr3Jg/sqncyzrcGT3Zsix/ - 4Ekph8PhsWPHbr/99rm5OYTQ4uIiAKBUKhGCh763srZ64YUX9vr9xcWl2ektmatKrTZumrrl2EHg - JZyZtmVYZhQF682GZerYIJgQLw67Qc/QzYQJSvVaOXd6YemNv/YmN2dGMh4yHxiEWkYcpFgSFEMT - mRgZjpPrdtaETIslWySppoCGIGeRUgISirCuWyZLFRJQKiWlMjQax3EG2DabzbGxsVKpJIR4dvxj - RBBFEUQol8sBiaIoSmGqaRpFtNPpmEXDKTrZHiaURDympokQz/jCWd7c7XZ7a6u/+PMvra8sSIGY - kADBxeVWEDPDsA1TS9M4iiKMkWnaQThUCpXL5ampouM4S0vt5ZWOUrZhGGEcAD4aO4DQhu5MgY30 - /IffqvYJgRSEEgOEIMIAq+yzq5TLVCFOdWTW3MqkNV5w8wc/fxByjClNUQI5qOq1577gojZoLq2e - AhL04wHUABAKKmBgCrDkkAsoxGPM935Q4kk3SG16TkAgIEAaDUGiOVauVtYBSEWaKgkQHI1HBihl - jBo2lkYYh5bAkEJFZGs4YIYQppKIJQmXgtuGqQGaCi5GroEAQSkVVAAJBCQEQAcZFTQM/bFyae85 - W1UY5nKFb/zLQYRwq9UynWLWt7UsbdDvxGmiY7BzbtaycSwju5gPA37k6CMilprtZj4IXEqMMZKI - 8RQ8M+PZZxxRFNm2DZQaDAalcnnbtm26ZUIIT59eXF5ezjvuji1blVLlclkIkcaxDvH1L732cP2h - 1GGNYOHHXnbdpfp5iuOwkU5tm6o6tfxi2++GGqVpHIWBPz09rZT6/fe85yUvfWk+l6tUKjfdeOO1 - 11wDAGg2m29/+9t1Qv/4D/7wYx/72G233VbI5R3LWTp1ulwohpXqO9/xjn+66eZ9+/bd/dWvJ1SL - wwgi8LzLr3jjG37jox/7y0cfOc4zdrMQCKHMV70/HGRaG4KexifmbJPu7C4UQtiWpet6r99/dPH0 - aquxur5+4KJ9YRrPbJknSI/skmU5OStfnRjvD3tXXnnJ52/9lOfVlav7uBeAuGo7a63TgbFNh1bN - zV954JJTpxrUzZ9srpemJk1ba6wvJ5xdeMH5cxNz4XDw5je96YqLr1j7b2uXXn7pL7/+V4IgsF2n - Wq0yxigmkvHA91dX6s+/6urYD/buPGesVHvogUN79567trbmed6evXsVUOPVWhSGtmFahkkptQzz - 5MKj7//gB7Zt21apVG78x3/89re/XSgUpqam4jiO43jXrl1veMMbdu/e/drXvvYv/uIv3JxTKBSi - KMqG9fq+/0ORtTwuziQxEEK4odhWEgohAcq8Y2gcp5MTUzPTs41GY9DrOY6TzxUxJi964QuvuurK - cqFMCOp1u0opQmnWemBSRFFUHautra3e8NGPMCk830/TZMvWLY21dZakOqH79+3713+5h/OUEO1F - P/KCe+75V7eYEwCGKUOaXquNP3Tw0L7nPOdtb3vTd++7pzJXWR3WS/PVRrfJowQyVcBOAVlh03Pt - YsrUa173uvsePPK+93/QKRS5UKappSw+tXCiUCi4rmvqGmPMcXIijsGTqtIfa3aX+eBRQjKpXpaZ - nbtnL8aYcz4zNb2wsJAkyalTdaLRarXabrfr9Xq1UsGQr6ys2LZdKJeGgwHVNc5ZEoeD4dDJuZzL - am281+vnClUgVcISw3SEUgQiAJA/8Kv5IkCaTczmamNqy+TasA1T6Rh22S0P1gc6deqNDtQNAXDO - LQLGdGDoQBOxwJYexynSgACxY7oKcgAAS1MoVUbURQiFYVgsFlutlhDiWfOPgbg/6HHObcsAEgWB - L5CwLEtxFYYhwymy1AaQIwEAmSg0UxkAAGzbVgwnUnS73eXlZQhorlB0ci6EUGb2LwhxznVdF4IN - BgPdIK5bFEJ0Ol3f9/t9f/PbEZwD8z/lpPlBCiyxhEohAKCUChKElZSAAQIJ5MTARg2MjYOK0dI1 - ahNdE4THfoCUHAc1HSA7tRQAPgiBgAggyCXBVAEhlWAQSSAA/A9plv27I1t8FAQcbmq4AFZACUkw - ZgBwoIaQU5ceGq6i2WKhWkYIrcReLDhEEEghoJSQcwW55DrEmBES00ePnpAYWmVrxwU7+qKfqChK - fIhNkUpOYcSESUyQOdpBpQCQUEIlUeYUBEZ5TGYxwsIQQgwhdBwnHIqMgsY5DwJGKU1YKiHIFH9e - MhQYImiYpskUx2RkGss554gTqBBCT8Ibf1bDtu0wDAkh5Uol57qLS0tRmuRyudnZ2V27doWen0Tx - xNQkRKhSqSRR+pxdF05XtoRa9N3l73KaQlf14x5FVnl6fHxmugomwuA+TTMO7Nt/avHk7j071tfa - cRRtmZ0Lg2Dntu3D4XDQ7T30wKHtW7YyxibHxv/g999bKBTe+ta3PnzkqG3brUZr7969OdfdsXVb - wc15g+HczKzrOATjIAiiKGw1m0rK+ZnZ06dPz8/PZ752Qoi+71FKIYIZIeapkJiM9L9hXq029TeM - sZQxAGGhUHAL+enZGUBwrljoeL1eHKkk1qEuIeIAxJCvdtenmW0bGPMEcexQg4XMUWTWnJhyxxeP - LzWWV4fdrh959bU6Kua67f42d8Yy85//u39806+9ORx6q6urDzxw8P03vO+eb3+baMRxnGaz6Xle - JqRY7XSmxsZr5UqlVD52+AiUisVJEofTE5PNtfUkScrlcrvdxgAPh0NCSKlUWm81kyQxDOP48eMH - 9u1XSrEk1XW9XC4nLA2HA865Auq6639cSvm+973vk5/+1PTsDIQgjmOIEEZIN4ws//uhC6I2xtxC - CEeyhs3SFcIkTS3L6rQ6vu8bhtFudydqY1ktLrmqLy0vn1yKq9H66ur9B+87vvCIUgpr9Prrry+W - SiOSVxgN+wNEMARgbnrm6OEjtVrNHwzvvuuur9/xVUuj999//2/91m/Nzc//95971et//X+Mb5kN - 02Tp1PLq6upEbay9vnbi4aNHjxx67bWv7R3vHFs9/s3vflMlrGK5aX3wv1//5m4i9m7f+aGPfOxX - Xvdrjp3r9Pr9YcCVCsOQIHnxRQdKpVKv11utNzYs1NQm9yXbBXAEv4+E1mBDMZ8kCdywr85YMr1e - 7957752YmNi/f//a2hpL0q3zWwzLHHhDAdT09LSU0veHY+NlIUQY+ppJMMJOPmcrZVp2kiStlt9m - PcMwGBNKQgGAgphgpDhEAFuWxTnXKF1bWysX81EUWIaRABEMB4NmL6+7OjHm5+e63a5pmgCoYW8Y - B7GmWY6VTwGXQkguuYwNwwJYUYMqwKDSg9DPpkFFUcQYs2273+9nNjDPjn8MkEEYBkGAADEMAyPM - GGOx1HWdiXTYH2bKr5QxYhClVJowQIBhGFlKVLAqc2O1Q4cOra8FcQS46ldrQDNsSitZiWCYRNf1 - KOIAgDRN+2kCUdpsLhGCKM07TimKFGMMEyJgmuXQUp7pkG64qf4/GFAhoBAUACEllBRSYIiUgBgj - k1gqVjFLtZwWhqGNbVcr+XHEQFIyinqkYwk1pKVhghg1dCNWKSJYpQpk6yjK3GXg4812fpBic3aS - BABm4xIBFEAqKTDEvdAr04Ll2oRorbCbSAasTNUlAQCWYyahUgJQRdZPrX/5c19OpTrwvAN79+7l - /TQBKcBIt8wg9RTBumYriSREmYoCAH4GDWJAUimEyOzgHk59ynmvF7qFUhAE4+Pzzc4QAMA5Vwhp - Gs0V8mnora+vP3K8ngLg9L18rpDL5aSu+jLKzOA1TUtZIqQgGn5m5vnPPJIkyXz6Pc+zTLNaqWBC - AEbLy8tpmsZBaJvW2tranh27Tpw4cdVFl95x+9cv2P+codWfmd3a7g5OLJzChgZSr5ey2fwyrji7 - du0+cXjh7rvvLpYLnV6XYK3RaCyfXty3b9/x48dt2+71ehDCjIrxjbvunpiYePDBB7/1rW8tLCxA - CDHEoed7nmdQbWVlxXEczvmpU6dKpZKu67quUUo1oo2NjS0tLfU6XTufy/zBTdPMDomM5Ps4TswT - IjusN3AYCHTLzArUge/FCeNA9fvD08srQ9/zw7jkVoZ+0Oi01/sticCuvbu++tWv7Dxn++LaiZP9 - xsK9J4dhpDnjOcdmeYGlXi3X7rv/6CD0On53fCpvUevoseOGhKzh/e6pd//eO9++sLCAKJycHNc0 - bevWrYoL3/drtRpjLAgCSzfq9XoSRhDC73znOy9+/gsvu+yyL3zhC77vx3FSGatJKa+66qpBOBj4 - HmPs1KlTTj5nOXa9Xv+RF7/o5S9/+U1fuvm+ew9OTE0qCN72trdl0Pvc3NzLX/5yCOE999yTqZ9s - 2+p0OsVicXQAWNZ/8OH2HxJnpBmPAycyLhUEUEpVq43/+Z9/ZGFhoVAoRCmTTFiWY5lOPl/0vOCX - fuE1X/jC54b9gYY0RDAieOfOnWPj48eOHdu6det99/U0TUMEW7pWr9d3bd/hB8O3veO377/3u9++ - 51sPPPBAqVDcOj/3m2/8zY985CPnnnvu6eZad+hdsO9Ab7WlMUWB0BCEUoxN1XYZO4lnGVNG3rRp - zP/2gx/VdLFv7znn7zpvslbVAfE8XzetQqlcGxvrdpp+4M3PTj/yyMOFfEUjSGCaJgzix3CANvto - amMEUobBmKYZRZFSynXdjO5k2/b5559/zjnn9DvdfqeruIA6juP4+MIJ13WJRg3DSNPYca0kicIk - zhdK3W7W2KIYU8/zpZSlQtlxcq1Wi1DKuAAKIIR0oodRLKXSsRH6fjmfK5slBqP13hq2KdQItbCu - 4ZxJ/UHXDwPf861yWQk1NjlhWE4S8+EgMHK2RhBXSgoRer5ONQ0Tw9AF55k6EQCg6/pwOJycnOSc - dzrPkn+M7dq2DRHq9zqMM9M0AAFRFBGACSEKyzRNz27eaZQCRZRSGbwZRZHfXVmO2Pv+8LdWlk8Q - bEJMwzj4zr2H11tM13XLsuLED4KAEFQouJ7f14h27rnnTkxc7jjOoUMnDj14QkrTtu2B1wc/lIXE - 9xsjJ1+llJQIYSgVVJASIqHgPJVAJApwJCOZxCLxmY8w0DQjhZwB6SWeg3ICi5SlBsIcSowU4zLT - xv8A9pLkxrCqkdRsMzslSEClFEQKGAiTiE8YhWClN+g0IDUqu6YGetyWPU6UBoBSMvIDE+d1rGtY - a691vLaPMbW47iInr+WIxJJyqSBBeiq4QhICCBSCSgGoIABQKawkVBJoI5+YNI137dr13APnpYPB - +Pjk3332RiLh0tJSbWI2myGc01B9ZREO+nnbuOiSK557yQWSCDPvrtbb/3zH1wdt5u60s7mqTAhK - KVU0ZckzbSc9U5GqQlAABYTMHCUAAEJKpRRXKkNAM1j69OnT115zzSDwpma3/cEf/+mOy3eGtj9T - 29NcDP244+h5HKZ39/7lzt63j9778EuueWnWoU6SRBLwwhe/6Cd/8icJIW956//6oz/6o8/9wxf6 - /f5b3/rWd7/73Xd94+6rr776Vf/95++8886xifHjxx6ZnJwCGPW84aDbu8IbNlrNv/rEX0ulBsOh - UqpQzF+4b9/d3/rGo6dPYY0SjRYKhWypAhBwzhUECCHTNMXTaW0eJxtEQMEoCpUBASa6biKsMSEN - 0+oPBkzxYrEYJ6mmk9JEyS3k57Zs+T/vfq+M+w8eLK20lxIDtrAolWoWK3z7zgcfvbOLAJmenvJZ - 2PZaM9umH1k4XJqay9mO8vn2Lbuuf/E1X//a3R//+F/vueg5zXbjmhf/yGc/+9mlpaWc7QwGg0w5 - MTc3Z2cdfCmufelLHz7+SByEE+Pjb3jjb64s1/PFAmMMYHTL7bddeuUVPW/olgrZvKS//PjHyjdV - B71eoVSCSt30pS9t37njjz/w/na7nbEYFYKVavWvP/k389u2KqVOnnx0x44d3W4XY4yA4kpKCLIf - AAGEQP5bBeAPAkw7SmJG2qTHDsozTVNxKYS44Ly9b3nLWxhjv//7v3/vdx9wHCdN0yRJAAAPPXDI - tVwEcKfZshrfgOkAACAASURBVFxHCJG5i+ZzuTiOK8WSUmr37t3dbpeY+vj4uJDSNLR8Po8R2r9/ - /6t/4RdvuOGGhYWFbVu2PvTQQ7t370p1OqNpXs8rl8tFzeL+sFIq79ixPWc6EY87wzZ1tCAKCoQo - KFbrS3v3btMIHqtUIxEVcvmpyRnGWKFUdmyDkukdO7b9/d/+3XXX/zildND1bcvJXE0zO7uzd0SG - NGT5SmbXCwDI/O6SJMkW7FtvvXX//v2rq6vtdjtrIfnDwc7tO+I4HvqebVpCMCmAF0QTU5P1tUal - UvM8z3XdZrNdKZbCMDIpaa7WEUImJYKlinOEgGIQSIkwgARigwyjgcJc6bw4XhgyHxtQQ0SE6Xp7 - eao8O+wmW6enWBonkoVxwKGQCJq2JRXQdA0wJiWIvYA4UOrINAwvTbORadkgxhEOibFtP0v+MQDl - ac6yLJbGoR8LIQQUAADLsqIkRBbM6jwhBDVowlPBFefS1I3sHVEBarqlSfG3/z95bx5t2VXWi36z - Wf1au9/77NOfU12q0nd0kSaECJhEQcKLqAhB8KLvXtGHPgxX74UEpOfyfCiCiniBa8MQA0QIkBBI - QJJUUukrqf7U6fc5u9+rX7N7f6xzKhVIkGiieN83auxRtWuPvdeca805v+b3/X7/638tnGgnMSAC - zQnKhIZoDQCSJJFKWpaVZclwOKQalpIdPHjw9tuXDMOQ0iTUAyC5rpPUxf+vMDGwjQXREEaKCsUA - MJMSlMyyjGCiiIwgqTiVQI8zpOy6jZDX2exKHXwUR5BkOtc9rcO7Kc+ooQNWioJUCjDCgLni5GnJ - cP/bGt4WYcg1bPm2kLGOKaTxzNj4vfcdX39sRWraK5+zD2vG5qgPAKAUUQgU0gmOg9DUzJmdk794 - 7es459M7J9r9dU4TDolQMo0y3dMF5oIzjAhREimUa2ij7S53yAAbOI+FDh482G4tUcaUQmGiFHUb - jYbv+5TSKBwpHdfrdaFkGo7uvPPO4ydWGILqOCkW6sVisWij2JS5MFBOKoNB+5cBe5/eHG43pOSN - 1gCw1dOgaZRSrEAJKaUcmxj/4he/aF7zuvEd02c+98wO7xec6pVzM5tBFxAquKXh6rBq1XVN3/Oq - fUWvpBsW45IJpZC86667vvGNb7iua1lWEARXX311fqAGcXTs2LFHDx/65Cc/yaSwdGNsvJmyLMnS - 1ubGtddeu7K6+ryfukRJedFzn2NQTUo5DEa1Wk2AKpSKs7Ozf/KpT251JjKGdQ1jTHVtSy7mKdc7 - 3pI526K4RAAgEZimqVEdAeZCCiFYxGzXi7MIgYyjAWfINIsPHXzoV//TrxINuY4bpkF7M9O1BhO8 - SPXWka7EvOGMS2SfXFo2C4Wu73uVwkprqTnVDKLAUEaxULrz7ruWjy9QJKZnZu8/8ECn1+12+pmQ - xWLRD0PbtpvNZu78JUniWvaHPvbRilcUjCdRZOoG5xwhnKRpqVTCGqW61uv11lrrUslRFNq2XaxW - EMGW5yKC4zjWNO3AA/ebplmv13OXlHO+sbFRr9eXlpYajYbjOJubm7qu59H7s/qkPXv2BEyMkhK2 - 8xOMMVPXgjD0PO+9733vpZdeevbZZ7/97W9/53X/bXFxcXx8PMuy0Wg0PTkZZ3FemLQsa3l5uT4+ - lq9DQsjm5mYYhseOHRsOh16lFARBEIbNsXoURX/44Y+EYXjTl79y9OjRX/u1N3/gAx947TX/x+HD - hw8eOeIUCjKTGkftVDgE+b7/3e9+98o3/WyxWPSP+cOBX9AtkTIA2L1795e+9KU3/WLz0YOHTGIH - QfTYY4ev+SXvscces3SMgR9tjl1++WVra2s5z2MSp1SnsL3152PPl25+TucrOT/gdV0fDAb1Ss0r - Ftrt9jnnnJPGSTjyd8zPg1LlUknTNMe1cxL9sfGmlHIU+IiYXqG+2fYJNaTCo1HIuSq6HgLAkmPF - FQ8r9Xqvu+Z4nqUbC4snd52xR2SxlEJgCQY+86zzhn5HmHxzuOFpeqVWkRmHWOGaKhLPgaxWKqcy - 40gdXTieyZRhHrAIIWRiUyckYdzUdMVlkPhZkhWKXu5x+r6fw3Jt285xLc8gf0ylWh0bG+uRQb/f - U0g5jqMyxTknAuf0D1JKziXW8bbcIgbAWZYFQWBliGrUdd2pqZEURAJ2PDeKVZiiXMHKdoyc2scw - TCGzNE113dvWfIfBMIui7fq3gm0xJiXJE8gM/7c0hSQiREmhmNQJEdTgnCOCKdWTMPI02ygaAQRD - GFUvrHbXel7V1SQp1crj5ckVWE8ghhoZilHEQsuxM54CYCS5RKBpNIsTQjDC6OlSqP27WL5+AUNe - o9SVEiA0jSgqmBJBHHICFBMM1MCmzGJK9ISl2CQBGzjThlWt646RqHgTrScqJSYCrLAOHDIlmKVR - YAwrDUmEEZJSKZULViHAj2dzS6XS9PSEDEMAvNrqJwKNRiPdKhBCdF3nPAmClOqapmmNRkPISFJB - LEOjVqe7blHb9wNKqaZpaS6tyjFjGejP7rwhhDjnGiYAkGP88w5TyTkhBCEslcpFaW7++tdvvvkf - lUysghnxzOepWbAHoV+rNtIkESH3dDsahZ5ToES3HGej3aW6SSgRUkqlGOeDVssrFLbQJwBeoaAQ - QgorpTAimeAEUYURxuTeB+4/+M5DLE4IIVmSlsvlLE6CILBs07Rty7J835egwjjK25IZY7ZlwnZ0 - mpPZ/4iHZYtbIed0RAgAZSlDQAnRECKUYk2j/igsV9woDpXkBc9jSdRrtzaXlm3DjYKgVCq0B12z - 7AYq7iRBqVxcV0D5ot/LbMNeG3YiJkgixiu1JGPpsNec2hmP/FKzmMoszjjONEfz/Hbg2K7GWRYn - BGNKaZjEjDHTthSCgT8SQgyHw3Dku7aTJSmlVAjpFrzu0knHc3MAeMIZxphSHPHMcOx+vw8AluaK - BCzTUFGoaVoQBDn2udfr7d27d2lpyXXdPBb957gB/wPYUwpM6Lqecw35vt/pdN72trcJIfbs2fPy - V1z+8AMPPvroo7Ztl8vlTr/3/ve/H2OoN8cWFhaq9drKysr/+yd/3Bgb27lz5/GTC895zsXr6+v1 - sUan0ymVy5Ztx2nS7XZ/77rrDh869P277hwMBvfff/9v/tbbXNfd3OyA4AShKEl0zU7TtFDy4jTh - XHz8439CxvTFuDVkQxGn06Xa7PT8n/35X100cd7vXfdfjxxflCAJpZ7nBUEAUiFEsjR68IGHe/1O - uVQVQiVJQk8rlP5AOSkHf+RRyKkZAIAwDDHGMzMzF55/wYP33b9nzx7Xcfbt27e6ujo+Pj4cDlKW - lctlJkS3233lFVe87wMfqjXHG83xIBj1eoNrfuEXXvD85x988MFaqfi1f/xqd3Pjut/9nU6nc+NX - vrSyurJz965dc7Ot5dXp+V1r661+klxwwXlXvPqK73z/Vqus77Z3OhXnwYcfrDj1ql20uGZxvYpr - 64sbr7rm6ue/8MXvuOH3q81adviRYrmEFGRJIlKuIYyBCC6klAggTdPcoczpCnNgUI5DfMb4YzD0 - ej0lealUUkp2gy5jzABN13WJRBRFaZpqWpnoKOQRokZOLZr/uuM4SZAtrrd/9Y2/dt+Bf8pSlTLh - FQsPPHg4E8hxXEJIv9+zLEvTqBBCN/TxxvT8jgkpA8dxDh9eDsNQ1yu5vMizHLX+JJrEEpQk8lRV - CRDGCCOFWZCOkizpmT1CzcJZ5YnzJwyqCSHSkIkRfmTzUEBG3JDEIJZmSCkoNoBqXApCKOMCAHRN - Y/zZRmX8y20r7w0AAIQQTSkulQLFQWINP7Z0zEe8MDkmMZxcWyI1F5sIFFBASNNjxnXDyFLGWFZ0 - KlIHpSlKNIVlEGUACGPgKac6pQQJnmnKJFLhbb7Xx7PoEnL1EsMwKhV7fHycjUZZxsNEdUeZBlqW - ZUmSuI7ZqDQCf8ClAAXFYlHIRqqSYr2KwDhydCHhqT1fzDtEuMuxhnKG0xT+Leb/FJMCPqWMizEh - BCkQSgIA1TXTsTMWKyx7wQhTy9LKmnBgJEMmeaxKnlswCthE/nBENYMDdr1ikiSWZfX7vWKxGEVR - tVp1XXd5eRljrOu6UioIgrxabRsGYyzlLCdxzJTI/FGzMaaEQARHSYwwMj2nOTaWZGmlWnVLhYMH - D05MTuS6iTmhPCGEbzOJP/VQtzVBTmMTxgpM05ZS5jqIhOpCKEppnIQghUHxaNjjiQLdLXnV7lpn - rDG5udl1ymPDKI4U3TG1b2V9MVGqUa3XJqx2ux1stseb9SDstzda5WqlbFlhryclDobRzpldG6st - U8hGozkaDZIoYYJhSsrVSq/fF6C8YiGOY9M0narT2WwnSTI1PqGkVAiUUlLJIIl1XZcY1Wr17935 - /WazOdZs9oeDk8ePz+7YUaqUsyxrbW7kDWVSyvwc55xzzicmJk6cOJGLxti2bVnm4uKi53mc8/yY - eJYftGfFntKJYYxxJamJLcv8rd/6zX379sVxfO+99zaqtSgKpqYmMIFDhx694d3XLy8vz83MXHrp - pRvtluM4AhRCqD8YNBqNTr9HCNm7d28YR1VapZoWxJEfxn/zt18495xzDKrde++9cZrc+OWv7Dvz - zH+48cutVsvybIyURhAhqFCrDrrthx879IorrsRlzD01Zs6YRTMe+nwUViYs0YnrlXnbGj/vRZd9 - 9ZZvHj6xhJGiBNUq1TSLUglBHBPNTBgTXCGEGWOa8YQi8w/woJySgczf13U9ZfFgMMjJY573vOe9 - 4uUvv/ZX3tDpdMbGxh687/7RaHjLbd/68Ec/8mtvfetf/MVfbKyvl8tFKfnq6rJmUMsx77z7ziSO - Rr3+b33sf9x5x/dmmxPjtebC8ZPhKJif37m6vGJZ1vjY2PrqcrUxFsfxwO8cOXnoqtdcUZ502uHm - V2/9x8q4WTCJygLTdZ+/96IKr07+zBVf+/at57/ggsGwt76x0en2251es9EUPCEK8nYqqRQGIUQW - x8I0zTwWzNuO8njrVAfWv5o/BpSScRxjpEzd9jwvhTRN07wxO5/V0yc8dxSJTgghnEuWiXK5Ojc2 - /qd/+ue9DiAEhgVuAaq1SYGKo1Gar0CEUBCMdN1UwBcXNwfDjeFwwzR1hBzD8NI0Y4xRTeN6+mws - kp9kkyAxKIQRRiCQVAgkKIGl7ZlZGGeQBDxKmNALuu7g3mCTYOKVi9QgHdEdpUOzaMZZhBHqdbqW - XjCNousUojSgGCuEsyTFlPxE5WFOdSepXMJ6GyLD4hQAgCCgGBHNct0B65fOmC0bRSHU+qALoCxN - D1nMgUvEQSMCFKWaDkZrcf3Wr39jxOIzLzjzZS+/1NNtn/kDf2AYhkH1gIVKAKDHNUORAgSKgqKw - pZ2klMpYtrY2YMkoHQwo1fujlFplLjDVjUqlEgbD9fV1QyeYEqXUysrKiYWjHAM5ebJcqtfrtZJT - Ph6tMMYKhcIAYiG4qZn/AkzM08Uo/MAilVIqACklT9PcOZOM50zzaZr2h0NqIwVGwW30+rFMSNWd - qXjFEeohmbaWWwq4U3AQpgalhBqZ4MPhME/o2ra9vrkBmxuVSgUhNByNPM9zCl6OxckEz7JMgiqX - KkIIy7Y91z1+7Hi9WlNKsTStVCqdTieIwpW11Xu//d2r3vC6UrXSam+urKzM7pgvl8utTlsIgSnJ - f+6fA/ZuPUe5hDUglKapa9m2YQKAkIxz6Th2EPZNjUopbMuxig6P+SgcTU9Pryyt79qz76HDRyd3 - 7OiOBt3F/lRlRnG2fnLDdFzb9hA1Vzsb1YpjK5tibFIajsJKeUyT+srS6kSzGQX+eqcfRYHnuYVC - QYLqdDqYEISQZVkbGxuM82azWSyXqph0Ntu6phFCfN8veEUpZRiGY+PNdrt98cUXHzly5MSJE1TX - zjn//ChNhsNhuVz2lNzc3LRNa3x8PAkjyTjPMgBgUlGEXcvu9/tpFK8n8dTUVJqmpqUzxrCC0//k - ItI/+ansH3ZiTstDCJ7XiUzLyPlChsPBd2//tmVZ1Wr15NLCnl1nvPO//1ed6LVa5djRo0IIBZCr - cSqMer3ezt27kiSO02R5bXVyasqPwo325u6duwb+6Bvf/Ga1Uul3uhjDxman3f/e8eMLc7vmFVHr - rfWpybmgH+g6zaT65m3fLlXdlt+iJRLRdBQMkEKz49O8n5SQG7e/VvSqQRgPgrjaGLccOwmjLEmC - KADAmGim5SRJIoTSNMqVgCcy+/2AnYpF8sIqAADGCKEkSYbDYcFxy6VykiSO47Q3NguFQsHzyoVi - FIRKiCgIKo367j27FldXiqZr2bZmacsnFw8c4OeddfY9d++///77799/33333XfhBRd/+atfI0Qr - lesIJKXU87wkChlnANIrWNWap1to1Gqfd/7eOBsFnb4hEUlkyof7znxOGsCOnXMW0YRgY2NjzUbz - mHkiDjPBkWZoCKlMMEQAE8xEhiTJMpzDdXP0bg4GytWgnhn+GMFO44+p5vwx2UhwzoEqwzDyWqxA - SjM0rJlRlDDGdIHzimQcs5CQt/2X/9Rtr6Qp7w993TQO3PfoZq9VKo1jnOk6zeMGw7C4SK1C4ayz - dhcK5xWLxYWFjUOHlxBCmqZFcfDUPvn/rpbzASgAAhiDkkoJASrjUtcQ0wTViakZGYtTlfalSHBo - CIoFtSmliLq6qRXtbtaybc+suo7upilsrHbqzYofDk0dU6plnJ0ugPUTZUhBrimdn0gYY4Wx4IIx - kVDs6RZJleAZZ6JguVKDkMdSckkkIIkx4ZyjjELCOuv91eMt0AjaTYpQPLx4UGiiUqmknIWjDFnU - si2eCAVYAiZYIYkIIKQkUgAMiEsIIZyparV60YVna5wzJh49fHKjF0kmdULyJIFFLZbFiGCN0rm5 - uXqjoDSpOVYYsH/6/j0bWUc1KQCkaUodiiiwhCH8rE97Hrah0/6Z7+E6Ibl/oxmmaduAkWlbDme2 - 6/hxosBAKANC/MhP01ix1HFIc7KZJXGURlkWGrbX3ljzikXTcXRdW1tbc11Xw2R6brbdbo9Go0ql - ouv6kUOHZufni8ViXu4PojAHICKEBOfNZtM2rX6/n3MoFIvFUqkklJycnOz1ekEQFAqFHTt2ZFk2 - GAwAwDTNJEtzvrstlc6nHjcAACAAiYEgJQkgLjLGGMWgm1acRpRizrnUqGQyGPncxTzJGsXa0srJ - Wq3R7bUMTY5GbVASiSwY9LFQe6bnhnG82duc3tmMoh4ieBQGjLFKoZoGQgOKqVZqlIb9nqYR3dYL - lfG81iOldF1X0/Wl1ZU4TVzXzfWhBnEyGo3mpqYNwxj1B816gxAaxnEcx3kwub6+Xi6XdcPgnAcj - f+Hkwtz8fLu1UalUzMaYUiovw2GMXdfVdb3Vak1PT+c7ebVa3dho9Xq93O3LpbKezWft2bIf2PW3 - gb1IKlBS8lwBa9euHTt27CKEvP71r/+5K6/iGVtZXdqxY35lbVkpec455zIQpVplNBrl4s++72ec - VWrVw4cPlyolhVGxVAqTmOqabhhMcN00pJRJmtqeyzlnQkrBd+3eo1vaemtZZqmta70sSbBWbTb9 - YTeIs6JX9YNBvV63DHM08MHXuytdZ7zmNWqbm904jnfv2bO2tlYqF3SMQAqdaG7RC4IgyRJN0xAW - jDHDMPhTqJv+sFuTH/a5nlYOEkrTFANOksTQdMs0c+eGAo3jeMf0jtnZ2SiNkmjg2rRQLvlRyHm2 - d+8ekOjaX33j//yzz8zMzHl26T3ve99LXnb57//+9X97498bbui5Vrfb3jU1PRiNisViseLEI9/S - NEvHmHPJQp7G4xO1Yavd2lzeO7kzw8JPs2EYSAANaTWvPFFrTjenBoORZFJI4MC5yovcEpQEIDkE - O0+65hsTYyx/fQb4YzCllCKMs1TkORtP9yzL0oRSkUxlcqrgKqXEQEajEcZUN3XTNEulkj6mJZs8 - 6Hb3799//4EHkgSIBuOTlSjKXKeWo61938/7seM4VsCB8JWVlTjuFovFbjcOAqZp5S3RWuOZXR3/ - YYwjgUBypQRWAEJgFbCQiQxloGOTarmDI2rlKhU46zAVMyWUpiNKEA+4BXrcC7CpUWTOVaY63bbl - GFRHcRIAImhbGPXfe5QAp3UnEbWthpP7MZRIUAghLKWRSByN9tTmj91/cG11IIh27hUvHJi8Ew+F - gRHFSCACmEWZZ5c9vbyRraVdQQgka7EtrL0TewfZwI9DQ7exYfSykUACAUGEgECny+8gpIBCXnXN - exvX1taSfp9zORhECBmmaeZpDI1iy9QRCCZ4lCQbGxuraycySIv1qutUDEPTTSOz0FYaVUopJQL8 - byD7kDPFbQ8H5eEdpZQrdSrbGgRBq9UihEyOTx0+tGCYVqh83cRGAbFIAGRFzwn7/eXWmqlblmHW - xyZXVtcLrhOFvlEsrK6sNJvNTrtdr9cfffiR8fHx3Tt2bm5u9v1g7xl7Mcaj0ag3HFQqFaWUV/D6 - /T4lJA5CwyukcYyUKniFnAj+0KOPmbZVq9WC4eiMXbvvueeevALCGNNy7R6M8w5K9VSgmCcquAIC - UBIQUA2DlKAEITjLkuFw4EcBpghhY2xiUhv00zjZvWvfr7/lzf325tzMrGCZBJAaXm1vuMVKrVLf - OLFiG1YYs3K9HPP4D25456A3FBI5xWqcyEKxGocxD7lSqjkx1hl23JLbam/amiWE6vV6s3Nz/dFw - dna2N+iXy+Ver5dE8Tvf8Xtzs7OJH1qWFYeRUsqy7DCODMNwPHcwGFBdX1tbe/cN19dqNcO2Xvua - q9/85jcDwHXXXXf06NEds3PdblcjNO/nQA78t9//g927d/u+f9111508sVCrV3VNi+O4WqkkSaLk - TzChwlPbk4auEmALMAEAjLEHH3wQY0yI9uCDDwYjn/E0jqOMp41m49xzz33ggQcOHTx4+SteARQv - LCzoul6tVeMwMgzDsExESRzHlusM/RFVstlstjY2KKWmYXAlsUKYUM55wjKuVNKNyyWn6LnDQY8Q - knHW90dhnExXmlwkvfV+MozL5XKtWo/DDDEjjiFKUjAdx7Q22puMsYLreq6thCAIM8YJ1UgebRBM - CeGcwxMLHKcXj2CrTVXm+Zi85hLHcZymjuPU63UCiAAxNJ1zXi6V8sqlAG4b5j337T9+/HipWtrY - aJuO2Wq1hkGoMFpmy7VidWFh4cCBA57jfu4Ln+8PBq3N9bHp8eX1lbXW2hm75w8dO8IfOzQ3N3f8 - +PGzz9wzM16zicHC2AAdC63kVCRjG61Bwa3Pze8dJkx3i6utNgKKBWotrawungyHg2qlJGQapZFQ - AlMkAEmJCNWVACFkvs/m3lhejc4dlGeGP0Yjp/PHJO2kUqk4joMI8JjFcZxlmaaVJEZMcNd1GRO5 - S9Tv9yFVtdpYcWLi2LFjjAGlAAhWVnqVatmw3SRVYehrOgGANE113dQNXWR+HMedTnc4HCLkOE4p - y7Yk6yT/D7kI/xWGMEZKCQFSSCVBKYwwxggrLgFjLDhPs5gSHWEUhiHoqiAtjzi7p3bbYDGRbYYb - Q+GyHtvR2GnpDkhiYiMKgyQJkU6wrkn2OD761Br59x3zk2qDSym5FESjGqGua2ggak75cJQMuz3Q - dc9xMz1DGQaCFQIuOAAiOs0YG2TDM87ee/37r3ccz48H3VGvNVzDLiaWHiUxo0K3DUkUMBAAGEsB - CiQIDFv8v9uXIoQYDEYLPAo7Q03DfiTtYkMggSjYth1Hfr/vGzrJ00X9fn9lJWAIOqNWc4xVq9WS - Uz7iL+ZkB7EcCCFMagr1rANiEEKAkeJSKUVyHVyZg2D0LMuyLAPTNAxj3759F1940U9fevnfff7v - xybG7j20H3loJAeFmhvGgYF1jzpxLxwvNsNR6treu66/YXp+jvg4bxu86meuOHjosaWlJduyfuPX - f/3sc845cvjwp/7szxhj4+PjV1xxxS233DIcDlutVhqnExMTP3vFldVyBSnVbXcqpbKUkqeZ4ziM - Z2NjYxvttpTy4osvfvOvvWVhYeF5403XdTMpkiTRTQPyivmPYuw9NXiFchFRUIwxz7U1HUvBMMYz - 05OjwC9Xqytr60vLa6ZpW7o3OzH73Aue863bv/nnf/knM5O1QdCtTFTvfOy+Dk+BkHGr/OqffvVw - 3f/Slx6Ynd85Ux0/trR0zp4zjywcp5SW64VDxx560y++/j3Xv+uu/fs/8/m/uvuRB66/4YaLz78o - DOJ3vOMdiyvLjDHGWLfbZWlWLBY1wNHI/+OP/VGjUh32B2O1+r333isRUgBusVCpVJ73guffdttt - N7z3Pbvm5nuDQeKH5+w986/+/NN33XVXrVabGhsvFYqe40ZRtLCwUK1WV1dXX/va17761a/u9XqN - RiMMwyNHD8/MzOi6ngMG0E9IpPI07Snz75xnhk6UkJpGzjnnrImJplLos5/9K8e1dN1zC04QjqSA - g4cOOgXn517784PB4OiJoxMTU5zzjU67Wip3eh1KSZwkXElMiWXbYRgqjIiu61QrFkrDwWDojwqF - guV4Dsbdblcp5dpOMBqkmfLcEpfEMCwgeG2zQyQ/b+/5BMHayspwOLTd4o6ZfVg3Hnr04OzunTqS - m2urU416MBpstlpIqSRJwuGoVKsYhhGHESXIMIwo9BH+QaUF+CFkTA5xwhjnepb5Mb+xsXHHt7+z - ePKkZVlRFB05cqRYLAKGK6+88iWXXvrhD3+43e1ccdVVaSSC0Ncdq+BVJAJCyFln7L3vgYds12q1 - 1jiwb3z75phlv3ztG9a7qzv2zq2tr45NjBGiKQUEiN8LkmH2rt+74YIXnBtD2I67t97+rWZjHJhC - kVg/IseKu44/tvi6116tQENC6iAtxMseVSi2bOCExJmUiAAiXCKENaUYpTh3zk55LadwcM8Af0yy - xS9Hkq/z6gAAIABJREFUCaKUCsHjLPZ9n1qGaZoucXsJOV07KWc1NXTTsmxN0zZ6rc1eT5PwqT95 - 19LJw6NROAqi+ljjllu/d2JxuVIdL5VKhKq8GUpKORqFBYeef/75ExOXOo6zf/8jB+57DOOCbduj - YPhvAoL8yTKkkALMQQqi8looBgCQEgOl1KCUKAwcdNBSkUFKiCI4hqJrW8JKOWn31FRpMoAobEXf - ufuOb339tmE0eM//cz3DIKVEOhZMkJ+8sniuLaBgSwASKUCAKGAlpMQ4xQq7xmLaSxs2hQbWjbV4 - kIAiCEuBQBGMicREasCxxJqShkgFYzrvqx5GgMYpp2KQdLGJqGWkKpZCUmxipQCQQkhiEIAyAhxj - yEBzNEqp4DAzM/OySy+xEUqSbP+BR44vbQYJM3UnSRKEUKFQiCOf6pplepc877kvuCRBBmiOtbkx - +Icbv340aJnzJFc5JUWCNGAx0wz6L3iif/y7lVeOciaQXCEux8ZSSjPOc9hc3q7Y7/c1QhHIB+6+ - 463/+Y0xrZd3Fz/xhY9jBgN/ULQrl5z14pc8/3miB//0rf3Vcq3oFfqdrqZpBNDEWHNmanpmZua9 - 733v/MzsV2780qc+9al3/M7vzs/NHT169NN/8Ref/vSnl5eWSqXSjtk5zkXQH64tLbeWVt72X37z - 9ttvtw3zT//0T/3RyHEchOCCCy5497vf/dnPfvbRRx7BgOrVmmmacRwjjebNKEopTdOUePJ4RqHT - 3s8Ze1Hux0ilRBpHjKXVeu3Ms8965NGDE5PNTq8/HAQaUpzxtJvMVmctqr/3D9+1vvaoWVZf/M4X - y+ehF7365SfWV9AoUVODl//UJa982Yvuv+uRg/sfquLaobtPzJ2zb6239tBDD178gvNfd+3V51yw - 5/KXXv6zV/6MV63ffeeBd//393zqU5+yXAdTUvLcJEtrlaqmaYrxsWrtjJ2777j1tt97++/e9JWv - vOn1b7j22muBUiY4osTWjev+r//7sYceOXboSHejXa3XRqNR0XFfeflPn3vmWR/5yEcuu+yyj33s - Y9e/54a//uu/LpfLY/W6ZRjtjY3//Bu/MTk5+Zd/+Zd33HHHheed3263pZQFx02SBFEM2xzGp//5 - 0Y/Qv7s9iROTy6pZjhcnIQFECHnooUcGg9H4+Pihg4+21tc9zxOcl4sVz/N27txZKpcBgKf8VVf8 - XN7KW6lURoOhaZqaocdpcte990gukjj2XDcc+bZhKqU6nY7gfGJiIkmS1sZatVYrVytKsnanSym1 - XW8U+Aa1kiydrtYvf+nVg+GmwiLF7EL7IqGwgU0eisCPL3/FZYjgzdX1xitfGQ/99ZVlrJBGtImJ - SmfYl0qEoa8TCkKEYYgxPeWvnBpvHlmeel/mpFYYcSUTllmOreu6QBBlyQtf+pLnXHRxpVrlnFfK - 5cFggAjKoa9X/8I13W73O3fc0Rgb74+GHESSpClnlUr5O7ffXi2VWRx5peL7PvCHH/ofH+IgDNc2 - DG1paaleq/banVq50e30XcsplmuX//QVZ7bOcUqWXbTXOqs//fyrhgNfI7qBddMoxiH6qRddKeLg - xptuWllbPSfZxwVbWlpwqyXNtV3TZcMRZ4CAIIWFUFgqzdTzvqQwDHOqury5+pnkj6EIFERRaBiG - ozlBEGhSLxSLjmN5mqNpGmNMEUEMmuOI8xwPIagxVjOKNuXZJz/5xwsnuoIDELBsMCy7OT4hJfYj - X4FwTMO0DFDIoWaa+vcduOfWW1uu63Cum6YtJQ3DUIEAE2TeoQOAZV5owBIAQU7vgbbYqbfsaZ/N - eS+MQo/npE/HUZ7OM/ZE1dZn0tR2WwXKv1wpiRAQQjDFGBCA5EwIKTGkQphIxwplUUwtaptOySyQ - oTz24KH19ZPpRqRb+gBH+15+PlR00y5EMRsNhrVGMY1jrUBTJaVUWD2B7Uqip9q25PbAMXri1vak - M/AD85arOf4oUCqSoLBEErZyHzmEGQPILaQtQpgSphQXmRTctstL/XV3V6O4ewITspJuIkyxjoBJ - CRJhKkAJhLhKueIKkYynhmmpEnDgQRLoFtUdg3OeykQhqWmaYgBbXdUItjnBBALQIQ+gAWBtrXXz - zTeHnQ5CxI+E7lRN04FcFoOJXEo2y7LYj77//e8tnFhNEbgVaDQmGo2SPWNtqAGl1HPcoUolkxgT - wTOggNV2SzAoQEohrrY4TnBeSpPoCcWTH+dcOXVPkFRIgVBKo9RxHIxx7sRIqeIszbLM0g3DMnXT - NG3LJBrKwvPP3NFVR5UTHh88dNlll81qTT4UjI6ozSZLM5vL8yePLNbr9bWNFtG1crmQJMlt3/n2 - c5/73J07dy4sLDSbzSxOpqamJpvja8srH/zgB887+xzPdnRCJReCcYJQqVDEgBQXivFzLziLAhqr - 1JIkMXQjCcJaoWJQjaWZRkin09nSp9zuV8jZ1Z9y2Z3ib0RP8HIQVkopTSOmqXe77UOHH33o3v31 - 5lilUtm35yys6PrCys6ZHQhke2MjS6d37Jza/+i3u8HK2A63I9eglo6Nl6RMdFsVK+7K4smzz9h3 - fKEzNb+vFQ137Nwzv3s6GKxHSfizP3vl3PRcszEGQr7m6lcfP378/PPPF0ru3r1bSjnsD6ozVZ3Q - 9eUVx7LLxeJLX3IpZ+zYY4dnJ2cGvX5tvBlFURpk9UqVAmmOjVVL5WKxOBoMNU3rd3vrq2t/8zd/ - M9EcB6m+8IUv3HzzzbOzs5zzkydPAsA111zjuu7evXt37dr10COPLC8vOwUPc+FHIVZgGM86JiZf - 6T+m/ZgfpAoUBvR4olgpAJyTB7hOIYqiOGWf++wn77rrrnqt9qqrXvWOt/9uGscmMUTCz7pg3969 - e0f9geu6Y271vgP31mo1iSgbBlOVuuM4EtSLL3vpbd+81cS0bDp+GI03m5vdDsFYIJifn19dXaWG - XqmU+4NerVoP49h0qoyxVEGaZabCZdN6xxvf+M2v3zS2o9Ym/qHoJAMSprEWqr3GVN0ppq0To2Gc - cPVf3/fBQwePvutd79K1QpIKFoyiZOQVHIKEjiHLMqrb+Zmta1qeXMn5KLmUlNKUM9M0syxTCOIk - LhaLo9HIdRyKaZDGHKm/vfGLnPObbv2GEKLVatVqtSAIIj9wXVdKaRhGjionBFuuQxREcVwsl8Iw - lFLGaWLpJqIUkGa7pSRNw1EiObJtJw1iSzOjkV8tlVMmD504+Tt/cH2WJRSTYNAfr9Ykz5IkoYYu - KfbT1CpUoiCmUppYiThBmq5ZFpOKS+AJU0TU6/X2Zp9nmakbPNuip8u7q3IQTxRFxWLxFGPhj80f - A1mW6LqbJLFhaGHoC8EKhUKx6A2HwzhNdKrlrANMMdu2wzBkglklvTZWRUjpus4hY0JRQ0tTIQQj - RENYDYc9GgU115qdmyqVMAKNSakb1uLyZhAObK9mUJplImYRAQUSEQq6Rsbqtfn5MU0319eH3UHi - Byk1kOBKASAKGAPhnFIEGDPBTUJBApYYMAikTjGVQd7N+SMXyg+sN4VAIKS25DkAg5IAp87v077q - ccmbZ44rbrt/ZJtoP38VWysXQCghFAAgRTAiGiYIIZamCBBQIikgAVEUFpBddatZt9tUbjiMgfJq - Y+xk2tYMiguaUdI7fpujBIPOGWiagZFUSgIghLFEwEEBQoARyNyfkNuzJBUCIvOZwVtiwYCeyunZ - nlgFAApJua0h8JSWHzn56zbRHEaAlNQFAEBGgCMQBIgEHSCJu8LCKWJ5+h10rBBIBUhTSoHMvwgr - BByQzKQEl6bAQEoC3NV1EFgkChABjBCSkiOiQCkhkMBIYUBICgVSgQABGFOECACYpjk3u0Ofnk6S - 7PCx5VQiXad+lGQZ0zVsOkYUJpZpAEFTE81K2RllvuF6mm6324/6o0FaBM6zLOPIooRIJDlCSgAg - RZE0kNIASQAuMVFISsAAGCGBkVLb2KAnncOtnuIn3ga8zf+IEEJSIUAaJpRSXdelUEJJy9J1Xc/d - gkajubi4fO655yuQZ87N0SSarJe/v35X6vGwKgA4gGx31wpFMxuFSrIdc/OGbk3P74zSZGJqanlp - SYAamxg3bGt2x7yGyYc+9KFvfetb9913n+u6tmFqhI7VG/V6vd1uN5pjm5ubc3OzayurxUKhtbo2 - 0ZykQIO+Xyx5QLASCgOq1WoLS4tCCM/zcq42t1jIS1dKKSHEU5WTcglQhWDLKdwm7UUICSUVwgDY - LZQw0Z77kksH/RHiam1lhTOJpNwcrCtQCCHDsLK4DwJbuhnGrKoXZIDERmQVaHG23FsZOgXnngN3 - hxEM+uuxpqVBajtaOor+51/+zbW/8Lqzzjzzju/+02DQu+ee/a9//S8nSbKx3jrFtrq0cLLgeTxj - m60Nx7KXFk5arzAbjQYAWJY1HA7HJyaOnTiek9OORqN8s3VdN0pir1jo9LrjkxPlctl2nRwNyYQA - hDTDyLLsbb/925/73Of27N07Nze3ur42Md5knKecabqOAP4F2klPa3tTpz17P2DyXxFcUgpUgjqV - hQOKBcvShFGDDgaDUqkipdy/f//73//+menpT3z8j9M0YxnHgHnGe+3u/u5dn//M5z7/t5//2Mc+ - RhFeX1rxPO8Nb3jD/Pz8Aw88sO/ss44vL05OTvaHA5s6xULh/gMHpmZmpOCf/tSfCSE+8YlPHDx4 - 8BWvfOVrXvOaX7n2jRMTk8dPLDHOL3rBhcNezyt5cX+4trK4snLiug/8zu0Ld3Hfacm+67qwGR29 - 8a7f+YOPHb9v8SUvuuLqX35DHAZZlkmANE09z0MmJDI85+x9nfUNxWSH9QUA0XXtNMkktQ1eyzEi - QggJCgHSdV1hJBFkjDHJHMcRQniFQrfbpZomEWimsbK+1mw2qaYJIQzLDIOg3etOT0/3et0wDhzH - IRSxNM5YumvXroUTJ0xX84OgXKkuLi42Go1auUp0bXNzI06SWrmCgbQ22pZTAIQHQVgqlbIwLhar - 3Vbfs0zPcsM0iZMU65rkQghmG4ahUUQ1YphCoShNLSFFmiJNJ4jappXKGCmJQBKqxXGcC3dvqVpq - WhRFedrp6fDHmE/KH+N5XrVa9cPRaOCzlJ9CzxBEsyzDqcr8OHeRNWpIYPlvGYYhFY/jyPM8jWpr - q53XXXPp3Xeu+KOQGoZuGqVSCYd6p98HArZjaRSnQZQmCXFsy8CUUsYyw7R93+/3A8OsUEqTBLbP - ztzD2Doat4k9sFISFJIIE5AAeHtbR08rJ4pyeiG1VcU4ZduJAQAAvJWt2erIfWaNPPF1W7Z06+pg - ewvgSaYTqgHRNI0gjDHmLBVc9HtB3O/qWWwindpGX/qjaNgJ2tPVuUtecsnLXvi8udrkvUv3AEid - GgRR9sTgKf87UoAUOtUYhAEAsNw6QPGpS3mcS+WJ2xJ+nBocAeQc2hipH3DPnmAKtjwYpDBsiw9L - AJK/gyQAlkgKBAgBVhJAMoIZBoFBIcASY7V1x1V+hSr/QqkQCAxYASict5VihUERwCBIfq/xlme2 - 5fwgBIDl9vPz+FmJCCGFQsFQPI43GWMpV1yErlctlyvtzfV2u4WR0HU9R+4CSEp0wzAI1TBGlqVj - GwzDIFhTCimFkBJKKazymcZbKQQk85nLla3QqezgqYn6oRPgtEdj+0K38155CjoX5s4Ej+I4SVMp - FQD0+/0kSXSqIYTaG5svuuSnjjx26JIzznnw4YePHFu4/+Qj7t560IaTjw5q9YrDLBSa4ShzM9cy - naVj64uLi9XJ8fXW+ub6ehLH9Xp9fX39yJEjpml+5IMf+trXvnbbbbcVi8UTJ07Mz8/3Bn0m+F13 - 3WU5ds8f+r5/6MhhnmRxkpx93rmLi4uFQiGn4CMUYUISkbquu2PHDqFUntzKEX5SSqLRHPb31AKQ - j08DgFIIAyiFAAFBQBAijMssSlIhMaZSSp6ynPwTOB/6AwVyamb+4QePSDmghdJ4ec+9qw/f+vEb - DcN+ye7nrOt+t5xutH2JKLXN9say5nlcJFSjcRT0N9t3fv+e3VPzY/WJr3391nvuORAk2dUTE9/7 - 3vcAIEkSznmtVmOMJUmSpalg/IGHHux2u8dOHD/7vHO/edstRKNRHB87cXxyZnrH7l2pzPbfc8/L - r/iZ8cmJjY0NPwzWWus7du2cnp3Zv3//fQ/cH6fJvrPOestb3pJXPBzH+cxnPnPNNddwzm9473s8 - z9N0fbPdLhaLSZLkNMr/3KT9q+zpbbU/tlG5xdmNlFKccQRbreopT/PGkJyY6NGHHz342CNf/epX - CSFI1/MSb7Va9X2fgxj5/tT0dBSGGKNWexNrlOja+NRksVIOjh+OJZs/Y/dqax0IesGlL9YIvfrV - P/+tb95iaPrKwuKu6bmX/dSLP/qHH3jrG97093//xRddcFG71/W7vbldc77vW3WvsHPqrEtfIItG - qqlqs47ACPrDcsFTPFpbPjk3Nx9G/mWXv7TkFnQLTU41JiYbuoYEkq5hzU3OPnjXgQvPuzDw01HG - eJpampamqVQKYfwEJwahnChJKUUoFRnLD/4sSzVMXMvudjqu68ZRJKW0DTOLE5akeSZZClHyCiJj - knGMseuWGWMISJbyLM6Wl5aazWZvo22ZZhKF0zNTq6uremBomlaqFIMItXtdAqRSqgAmXAKlNBj1 - TIMKUHaNJmmkCE9QYnluJiTiKUpTrqDd6VTL5TRNiUZdt6AUYhkHnqVRrGFCHUcwwRjL6V5yx2IL - uoVQntl+mvwx/SfljyGE5PwxaZxlCcMYI4LyfSTJkpyTVwiRtwwIKRDBhECaJjoyi8Xi5rENkagz - 983f+A9fHg0lRhCnke324wRsb2KsMRmmvlRMSeS6Bdt0QPJ+vwNIpNlIM/Qso6VSKQhFmqZYQxI/ - jm16FhYLEAkU8qMUALZbYxTOCUvU9o9KtXUoEgVI4WdTXuS0/MQTTQOCJEipEAgkJSBBEdY18KpW - PKZpXSWHUSgyP2srGk1MlQjJUh4O+sOHhutuyU6AI0BplCACkuQjUwQwUopKjBTgrfewQqfO0dyZ - 4OqJjhv6odjr1L3BW84Q3v4UAoRBIYXwqcrd1jhPkdqprSzXKa9RoLy75nGwb14Qz50VpABJfOq7 - tq5EYYyk3K4snEpL5L6CBMhJ7bCSKud1VbmMFFJKYaSIIEQSKgiRGARALhOrVBiG99xzTzrs2bYb - x7FTaiKrtNpqLy4uFQvOxPg4Z7FSKo6y5eXl9VbHz8AqQr1RJ4RYpt4NBnlgwDlHWBqaJkGIZ1OB - UyKQoBBGgBBXgqexUkoJSRGWUmqUYIBhr+93uybGRccZxaP1OHz/J/7cT/zdzz230j6zews51Dpi - Ib2BPfLYHccPnVhfXv8/3/obUmXD3oaOQdfNS1/4op//+Z/3PO+GG2646aabamONn7nqygsuvuim - m26a37XzTW96k2Xb7X7vlltu6XQ6JcvChMRZ6jr2+z78waJXuGnzaydWlnJwnkWMII3//ss3PvTQ - Q82JiVHgCyWFEI7jhGlCKQWMchaJpwT2/nBUoTAAEkIpldfsCMs4kwJjJUEQjBAFLmLPtgtlb7Xd - QqCvLK0FYa885rGoYQbzrzr/xevr7TE1t/DI2i3BAyqTXrU5Njt1bG05FSPfH1JdKxWKk2fumq5N - AtXe96GP3Hvg/mp1wrGLjzxy8LvfvaO72Z6bm8v7sRFCcRwjgoqFsuHYL7rs0kHgG7a1//4Dr/y5 - qyZnZka+bzp2mqZf/ebX3/Ibbz18/Oh6e1MoqRnGV2++mXMuhMhlBA4+9tjy8nKurJm7Ymtra41G - w7KsMAwrlcrKysr4+HiOj8w729V2emproTx7D98zZ1SCxEBy41zkGlF51M4YA8BCiI9+9KMf/OAH - OWOKi3q5FqUZ57zX661ttKYnJpnkcZr4vh/HsWHqtusojKihHzz02OTOuSRL641GlCZjY2MZZysr - KwThCy+8sOwVNlsbH/3wR377t3/7hZdc8vE/+qPnXvycf/zSl0e9LgjWH/WKzWp1srGyeHIg0kQn - J9sbpUYjWlnKVOxoxvz41M3dnmbotuu4pSJHop92e8Nuu9fSdBAyM3XTtmu1YsWgBkU0v7WaocO2 - GOHpjYU5US9SimiUCa6kjNNU13XTMEScpXEShxEwIVNWbTRXV1cJIVW3kGRZNPIrlUqSJFIXwcgn - CE9OTy6trvi+P7djnnNeq1bb7fZma6NRqTqm1e/3+53u3t17+qNhr9eL49i0LQmKCEQpHfUD13UZ - MINCyn2kCaIDGJLxNIKo6BXSbqQDrjquYRjCsnq9bhSnacYVwQoRpYRkfDgcmrpl27YiWpZlQegX - CgUA4Fs84ihvqjwdrvvj8cewJ+WPCcMQAEzbKJVKcZgkSZJmKQAIJKSUIuPc4KccfEIINkgYxEhH - CKFc0yDLsuPHF97+W29obyyB0ohmUt2498DBE4tBGCTE1CI/SJNYQ1inWrnozUzPnbFvrlxxdNN4 - 6KFjR46tKYkN3QriJ+jMPFt+jAIiIS8Y5UF8XhE5PdLNA3qi5PbJ/KzallTI6aYQUEq4kkJKIaRS - SnBJCEGUBGEQicgyJDIVWEQEeMiHmcQsS01Pd4xCEvnEBMk4Ioauk5RlgACQRAgpBQgUURKkIkC2 - ExuAFBYIACFQGBAGeDyey/2DH1EFR9vIjm2WSZSjZzGoPOWz1UG95VtsfVggEAgrhEDi3I9ECojE - CgDUqS0YI7Xt2uTZjC2vJa9JYYwe7yhFSm7nePLa2LYDpLY+nP8vBowAYYWJwkRiLDEIyCWUR8PA - 0vALX/jCmUY1CKLvfv/A0npfiKBerysFUTjyfT+J/VzB4yXPv9hxdT9LDM/pdId/93c3KRkV95g5 - s6phG4qiOBhqBn2SRqxn1KRSKJ9ngC3xdykFQQRjIQSluNGoT05Otjubqysrvj+66nWv21hvNWtj - /SB41fN/qTbZ7LZ7JdNJ+4PxRr1qT4X7gs5giAg2TRNzHgfh3Xffffjw4U6nk3Kmadqv/MqvOK5L - COkO+uPj49f80i9KKb1iwTCMYq1ybOGE67qtTvvMM/aeMT4xPT3d2ejsPfss0zAwxkKwcrmsWWax - WhFKTkxM6Lqel5Ny2SnN0PPd6UcKQD6JbXOHIYwoIURhijFGiGc8MQyquOA8feTRh9/5znf2BmEQ - RAqAI5aqWP//qHvzMMnOul78+y5nX2qv6n2me2aSTDKTjSTsGhSURQkqsgUD0ctPUUFArxdv1Cuy - uFz0olzlooJcclkUISA/FLiJAQIkgZlkZhIms/X09Fpd1bXX2c+73D/e7s4AyU/9mXme6/fpp56e - fmqqzqk657zf8/1snr5xLu51R0E5bW90Vk6NBoNBqeysrS1H6bhYciuam2VZNBr0N1pr51ZPfuc0 - y7hm2f1+Xy4unl860+12lDP+cDzinJdKpWGvXygUesPB333m04yxYbdfq9WSKPI8L/zmN3qDfhhH - e/bskVLarnv27FlMiW4a4yh0iv7y8rJhGMTQKMJxmkxMTERRZNu2GlZdd911m5ubCKHp6em1tbVq - tTocDrMsKxaLyl750hxll7YoASJAKm6UruscyThLszAkOqGUapqh6/pfffAv3vrWt6ZJMtWYOPbQ - Mdu0dMPQdH1yaipleZylumVmLC/Vq2trq7Ozs6bnGK5dnWxsttt75/a01jfGUWiaZppl1UY9GI7W - 19ff+Z53x0H4/ve/f3bP3Hpr847/8ts33HijU/BZFLW2mrRora6vOGklyLJBEn3zyJGf+flXB2GY - 9iNiAiJw5P6j03sWivWJ5ZU20kv3H3nw1w174cDsRvPCZmslSQKgEEXR8eOPzM0trK+3lDEVIYTn - uSLlSYy2U9y+O0RJ/UUKQQkBIQ1NT+PYNs25qelms8mixNGMwWDQqNbyOG2UKoxzLCSScv/CwoWV - la1229R0t95Iong8HhuGUfD8TtS2LOvsmbMT9Ua9WltdXkEEFwqFjOdxGOu6bhpOGsYFx8NSjAbD - qYXGd5YveA2rHfUbkw0NCGsl/ag17A33Fucdww3DaLOztf+Ky5ngDJBmWFyClAhjKpjMZRZKqeu6 - 57sIgxqrKMaPMqJQxv9PiX+M8sET4BT9ku8WsixjhOm6rgldgIj4eGwPTNOklKZZKjTIU4YJKKET - ALiuS7GZMH70yEMPP/RoMAYBUCprEkzdmMpyHmWJXyi6k5N5lIyGw+Fw2G2HYTwAlFm23WwOU6YZ - ZlkqOoAGl3QSgwCQ2F68MQC/aJXdKYkkIBBEAlF8EXIJ72bULGQXfdndEokgl1wAYB0jhCTINM9B - MAZ4rjG9r3bg4HP3euAmAPe1vh1WUC/aiob9gmN7hJoVK2ShdLHAWZAoIFIiFeKsupHtfAOV9CoE - Ao6wQFig7Q3Zzd7b5cM8OQquXmgH39nBiWC7jwG4CFgisG0kKgELDBIJjjDaYckQ8fjtIwAIwOoV - dvhKGAPsxP49Xnj3Y9x5K44AAJOdN9/N8UYCb1NIJEISI0GJIFgi5RMzGAxcx240CufOnfval/9R - SjQMctOrCYS63a5pWhhjBMiyLCnlYDD86le/utHsjFIo1GBicqZcNsulQhuG6pQMw5CYwrEsRIDx - SxilgSUQQFiAIkMSjAGBUDwZAEAoztKUpYNgmIjsz//iA43JiThlFjVdoncH/RBx0CnP8pLns3Fk - 22YqOTGpRglHGGl6nmaaZoyHIxXLWin4URQNRyPLtnvDgVfwm61Naui+7/f7/ZWN9YU9e686fKjT - 6Tx8/NiJEycAUJ7nlWIliiKNUEJIGI4ty/JdR624nV633+8zxhRWkmUZIlj5Yhjak3FUxeNf+fYJ - gwAQIRQBAUkBKEZSAJECOMKIEiaYTohB6Wjc//ZD3y559W4/0G0HMCKaxlPRPd9KwoQUiVP0mxsD - rfl2AAAgAElEQVQdBnmch8NkrBs4YzkGhDiqFqrIp1nE4zhVBOrljRXHN9pbrcnJSc55e2urVK0A - gGVZvMBtz43S5NijjxiGgbho9zqe5y2tr6Y5831/Zm4OKNEoXVpbGUaBputZltqO89ipU7VaDQCS - JAnzXNd1SzcKhUKn01GAUbPZZIzFcRwlsWmaAIAQKhaLCpSIoujSHWyXrh5PseacM8nVWEY3jIxn - Qoher+e67mOPPfbGN77R0PV7775ndXlVp1qe51EUxXHsed6HPvxhSunTbroRYewXfSbEpz79adf3 - TNM8cuzhW378pcNuf2ZudhSHhUKhtdlyXffcuXO/+mu/ttVuh0l87DuP/OiPvXhiYuKNv/iLiyvn - PY24npXrtOpXm4OBbbsCa75f/sRH/7YdNO9ffLA8W9UMmnbHV+65/BOf/AzK/DT5WqVeH2TD5ZUL - haJPKUEYBoNBmuQPPXy84BXDcSgJIhjneS7Fth2+EAIjvLvaKeMTzjlBmGKiPHKiKJIZL/oFJOHQ - lVf9xI+9dN/CQr/T5ZwHQRBF0YErLj9z9uzc/N6zi+eq1eqjJ7/zxS/+Y7lWxRifW1wsl8ue7YxH - 40a93u90pyenBr1+EsWOZRuWKUBKCRyJnDOehDJimk4nJhv/4T+8VvfR1FUTG3GTFOmF9WXf8DWG - a0aFjJGf+FW3EWbJnssu+7U7fsMvFQWCKEl1Xeec26almHrj4ci0rVqtZhjG6uqqEKJcLqdpqphP - atiopo7/Yv8YeEL/GM/zkiQZjUZpnJm6BQAZyqSUmtCpTlOIEhRvex8D6Loe5TEhhDGmI81x3AHv - ESn37l1YWVnDGEpFiDPAGHOBdV33nEJ32O71elvtzDWsgu+7tjHstRHgTrdLhkMprVKpFCcoz3NA - GLi4pE4HUkFIF3FE5ON3ymKHKCOIFEQCkUIASAwS7aTmPtWP2xolua1S2daqbG8NCCEwJhwBAMpB - YIy5xCtbncwoW/YA8kGqo7ZMckmoZ5cd0yaEJ8nWuKN5ZponWDfUiE5hKxK4lNs+tQhJARIQBsAc - KTKKEAgDAJZCPDl+BN8rXJIXpw1f1MHA7uPFqQcIgAjMt3dzmxwj0HZ/Q+T2V6N017BDXbro/wvx - +NYIfDFSg7BUiqcd6Zdi6ly8Pd9fSGIQoBySknj42GOnpurFYqHgur7ZjxKuSaCWRTVNFzwDAWma - EkIKhcJUtagbqBeOU8kVzpvn+TBOGGOe5zGU5hAJwZIkxualFYxQQEiCEFICEEC7B7a6kyEGjcNw - GI0m52acshfneac78kweZD234JqevdXvZGkYY+Y5XnfYlRrNIi6F0HUtiJJBf1QtlvxiQXHvRmGg - 3MnVLo/HY03TMCHj8bhQLmmmEcRRt9MBgFKhWCgV262twXBYrdQlQkEUep5XrlYYY5brdAd9JTiw - bVvX9TRNiaFnWUY0quu6YRj/nGPv9xZGdNfJfddrQxmMSSGjOAJKHd0OhuMQhwDAOU/iVNMIZ+lE - tRbRoD/oceCjYGgX7NFo6LiOYVKMIRyN0zAb95u+UyTE4BJt9bqlkje/MGfbRpmXO52OZVmK9zMa - jTq9rpQyY7lm6IZhFFxPCrG5uUnSxC8V+70BE5wJfuzocYzx857/w9/61reazebs7GyxXBoMBupy - rTxa0yQZj8b9rFer1Uql0vnz59VIRgjh+76Ucn19TdklKz2Hamv+3RXlwBFg9Z3leS4wEF0zTRNz - 3Ol06vW667p/+Id/mOd5lqZ7Z+eGwyFCSGKkW+bihaUXvvCFqxvrk5OT8wsLZ88v1icmiuXSeDye - mJo8efLk9PT03XffvbCwsN7c4BjG43G90VhZX/vmgw9kWXbNocO//wd/QCidatS73e7vvPudh648 - +MC9dx++5tBmMIgTsCxrsNV75NhjB2avWHts9aobLi+Uir10MM6TvYev3zi2NDlRG6XCqxb1cvFD - H/rwV+6+2zUMSlASRlI36tOTacKGYUgQpGmquRbRaBhmivzFGEOahhDCO15eGGMpJNaIlJIgTBAW - OXNMKw4jIuDwoSu/df8DjmZ86YtfDIJgOBwKIUzLOnzN1etra2EUPXj/A9dcd22tUjt9+sye+fnr - r39av99vt9uvf91tP/OaW9//J3/6jfu+/ppbX/XWt7714Ycf/tgnPv6Vr3wlyKLSnok8z0UmHdum - HBuU9NqtB//pvr3rc482HzWnvZPnTtnU1lJUEv6kVq8l1f1TB+xK8eabf9i2bd0wAGGECQKMARPA - nHFCMAGUp1kQBK7rep6nuDu7fqAAIKVUDJh/o3+Mek3Vxecp0zSNU8WBEZjhDMWxFql3AQDOuW3Z - w+HYsrCKAmGMizg7vnL699/1y8sXTiWRpIZpWs79D5w4e75tuontG5ruIxDAWLfbHQ2g6FlXHbq8 - WrvJtJwHHjjxnVPLmHimaeZRqvgSu85sT3lDIxAwwhnZppcCgBpF7NI+0HZC7rZIR5F/twc38hI8 - AgDQx0dB26xmAACNkEzmQqhWBksG1NBM3c2jPGFkdTiI45iWHO4aYxZneWKCYCAgZX6x1A+H1DKE - gDxNLWpsX9DltowIY+DbCJaQSEjAHGGOQQIHwCDQ9+ildzqAHdrvd+uVOHqc07NLUcU7nQZS7CLY - HppiCQiE/C4xtpRou+cgcnvlkgiE4m6D8tHZdgfZlkptj5GEAIU/7TRPCAvADKtNFUQCgEBSSAQS - YcACQAhljobEjt2IAA6EkDiOCcbXX3/d1VceyEZ9xkR/dDoYBlzDEvQoiiiBgmuDzBFCQRAU9++t - VP1xlkztnWMc3XnnpzmDqetKnue1Wi0oc2LiNEo93wvzSxgHhgCQkBgjBIiD6gGllBwAmbYRRRHR - zEKlrNvGZqdFdBJHaaM2aRJNhINx2OYgDB/bJc2k0O9sSEPnGFcrjWg49j1PGTHYuqFQ46WVZXUf - JaSUUnoFv9Pp2J7PBGd5HgxHnPOC77u6yTk3qLZ49tz8/IIUYhwGtmMrWSVjmUYoRVgnFBNNkfMY - Y5ZlqTsxLreNPf+57KSLS4GQHIBIyQGEGrkBSIwgzTLXsjGmmDFKDAKREKIxUcvyPNrqg0VtX/vO - ysPTE5NWGUkUuS51bB3AAC4Hnb5A4DiFiakpEJhlnADJst6emelOt4Uo6vXarusTQizbxhh3B33D - MGpuDQBASErpeDC80Okauu7ZjpQyCSPGmI1tjdDnP//5i4uLZ86ckVI+7frrW63W8YePHbrqqvX1 - dcuywiBgjLmW7dqOP+GPRqONjY1qtcoYC4KgVCp1u90gCC6//LJWqyWltG1buRmpewChzikEAsFT - exG9FARBindeVtM0RHEmWJrno9EoZenU1NSePfPXXXfd3/3N337qU586euTIvn37Xvfa2xzLVlyK - Xq/3wQ9+8ODBg4uLi+fOndMMXQgOGKn1kuja177xddswR6ORW/At2w6TuN3v7l2Y/8rXvjo7PXPs - 2DHJuIIDPc8bBeMTxx562rXXdQZtCdK07QxIpdL41je+jeO85llf/MI/lOZLYxYyDA9I3Ym1RXN9 - oxd6pSLjCUsiHoc3XX89AUIpTUD2x0GcpK7tsCTTLUMjNIoTIYSu64oaotBTxe3dNquWEktI80yx - gjRNs00rjmPOmGc7L/rRF77g+c//7N99ejwYVsvlKIriLP2zP/nvb3zTL77zne983/vex7I8SZKF - vfMI43NnzhYKhdnpmVf81Mvf8Y53LC8uZVl24/VP+8HnPPflL3+5TrXJycn2oBOGoW4YpmnyMMeg - AxeDTufjH/1f3+kfPze86WNf+uRzf/jZutCCzdGkVn/JdS846FyeDdkDJ44zyJQ1VpwmpmMjBkCR - lDIKQs93XdeN0kTNWicmJoIgWFlZUfzzNE2VFlphyf9G/5gsy9T9kGCS54JzLomklGKJ1e+KeYMQ - opRKjKIoMQwLIcRyYRo2GFLjdmWv9YlPfGL5QpflwAQUioCJU6vPYs0ehF0ARjDSMSkUfEMj40H7 - +PHj42BLM/QoAt+vSnC6g6EUbHd5vETzGIlEjoRCGXY5FjuIw/ayi5SQRIJaKi91ghr6PjkK2UG7 - cC6pjoUEAkSX2ERUxyRjCXJwDvk4CSDPuIkBSUc3UJYYWM8ZE0Lo1OBMSg4mMamkWCIuhRpyIIwk - koAetxITuwre/1/ag4voMupzFEhigbY/4Z19BPiuy9/joxL1D46A7CJHSMhtdZhActtkhT8OHUgB - ChwS8vFJjIAd0RPHGCSQ7X0RsG3pKgCAY8GRAEAcg0RCICmRBAqcc9MyOctOnTq1vnwOkrBYLA9H - qWl6jBpRKh3H4SxNkgRgO53tzJkzG83NcQamf6QxMTkzU6YErXa6YRgeqFb7qMN5rhJtQL+EeWAS - QIAEBAJASuBCcJBCCEJInmYaoXmasSzXKDUNI09SInGeJBoV42GvNlXYjDfTjOciH0vsOoWcISB0 - bWPN1azhcGhgSiS0223LdTDBk5OTCvQJwpAQ0u9065Vqu93WdZ1olGIEhOZRkiYJxtivOp5lt5ub - 1Wr1/IUV3/Vc28YYS848x4nDKEtSjdCY5YamK0ZqHMfqrkyR+Z7M7G57uiZ3AyC3CyniF5aAmJSS - i0wKJJFwLTtNYovqOWPjJPI8X9fsTmtTEk60xC443agJNZGXOclxe2V9qjYTDwcUABGoF+thlktM - NvuDPEldw7GprhO8sb5aKPgci1KpEsVptVZrtVpAsPLpiJI4S1JCCBJS17SpeoPnDGOcxglnwtJ0 - z3EeOX780KFDlmGOx2MC6Mxjp8rl8oH5hdZG0zZMz3F7aU9HpFwsNZtNlQWkNBYqYZQxZhiG4zit - VktNAQkhyurw0h1sl66oAEFAwY0hotj23UFrs1qrjbZGAHD48OHFxcU0Td/73vd+5d5777rrLill - nCZhHFFdi+N4ama6tdWemprSDF0IEUWRZuh5niNKdEJG47Hpu8SzYyQGg27G8lKpFCSxpusCpGc7 - /V4PIyTilBDNQMTQLZnmUW/Y2DffGo9TxguuSyUCLget0fzE/q1hR0rqeE7dq/Mw7qyO3FqdaEac - ZDq1CWJZmGHAlmXnABFjTrkYRxEGIXKum5pgmU51AGCCm7YluZBSYoQAgFKqIksIIep+aAfAHliW - pSzdkiiuFqsrKyv75hdU/KEatquBh2EY03Oz5XLZsixMSbFc5py/6U1v8jzv9ttv9233Xe961/T0 - 9P333z8ej//zb/2m53m6awYyLVUr0Vbs1Syb642q7zhOb9CxLGu4Mjx06JBRsEmOt1jLyqzRaFSc - LraHHc/zKNBareb7vm3bUsoojCzDdF231+lmWUal8jMwGGPLy8ulUmnfvn3tdlvdtSiSLzyRf4xS - XEdRpPgxu89Xeuw0TZeWlkqlEsZYUXoBIM9zLhnFmprK5JBzzkEghJGmaZqmbWfBUCSk1DQty4RA - oDSTcZymgUh5/sybnjY7s4VAk4hajv3Io+e2+lvl2rRlGUnCpZR5nrIsZRmqVCqze+qmtd+07cce - Wx6MWJwwpCYeBNQUSPGU5ZPBAP+GEpgJzDFHVKKcC4ponuTVYr03HiLAlmMHQaQRygEbms4Ze1yL - e0lKXOxA+l3tjAAT6zgHAAIcNGJBBiINPQsz3hcSdE9kJBcAGgBkQhcc59zCVCaZBoQgAEmBKw6K - 8pIjGCMBAFIovr+p6ZxzyTjGVHJumCYX3DCMLMuiYOS6rhQcY8zzbJdEv7O1qvlTuM9FPJbtPYJt - FxohKCZSQprlrmXznCOEJAYmMokpgCCExFFk2l4SRhoiGGMOgklODT1JEls34nFsYcO17YSxOM9A - 2zYfRghJITln6nxXYZACATHMnGeeXqAE0nGoY3Bcq9lqFUpFxplumFESGJouJYnTpKAXBBJAtmdd - AiHHdq68Yp+FhK6bZxbXghR1w1Q5Meoa1gwtl3kQBLZODx06tP/Ann4USI0kKV9aWkqTyKrrQgjG - mKQSIYQAUUpzKQFttz67GIcqAARCWJalGXpzcxNZljqpsyzzPE9NWFWuGcZYPJF6ViAQBDEQCCNC - KKE0HI081yYIx3FMMVYaH8plNgymK/WDVxweDiLHMB10mJM0M/OzG+dn98xEUdLfGpZKjWK90dzY - 2lrdzKIYUqYyGoMkppoWJwkAMM45Y5ZpUscJg4BIoICyMC6VSp1Ox/M8THWCcL/dObCwkHMOANdc - caUQouL6WZaxPJ2dmtEpqZXKo8FwvbkRBAEApGlq+54yCqGU/nOxA/j7O27GmKYRIXMpGSaY5XGp - WNlstwxTJ4hknFPQ90zP/vRPvSKIQsaTSsUaJO3ICGOLRz7t9Yfz7rQeYNERDa9eKpQ/8j8/utxu - RqPYqhYNj2QiC3hgUVejCAiRXLCcG44xCruGbc3smVtcOq88cgxNp5QiIS3Luv22181NzfA8NzRd - 5AxrFGGSpqnEyPd9LoUQwvW9t73tbZxznmY/8Kxn33777c1m833ve1+apsFoZBhGkiS1Wk1F9s7M - zNx+++2NRuNP//v719fX8Y5Ed1el+y+45vxfV5QCzSBnjDmOwyQfjUaO41BKC4XCYDBYW1u79dZb - V5YuBEHwcz/3c/fff79pmo7jKHJQnufnz5+//PLLbdtut9uXXXYZxggRrKjOva3O1NTUIAyIroVx - ZHtuozTV6/XGg+HM1PSg002D6MD+/c219Xq9PhwOTU33CoX1lSWCqE50nnHP8kSSsbGsWK5tOTEL - DGr6xfIgiE49ema/P7t3Zt96EgyHY9MwiGSlSj0YheNR2NrqZoZhlQtxnjDBCrYVj0dRMK5Wy1vd - oUSgvryLPwi1ZiulWRBHxWJRSXgc3azX64TSlOVZFAPA3Nzc8upKuVxWGI0EWayUV1ZWOMiVlZUg - CDqdjgCpGUaapvfdd9/nP/fZkydPfvDPPnD27FkhxDXXXHPzzTdfc+01Fz6/3OpvOfXio8dP+EYx - YNTFBvD4hmdcc2FlTZTyolcyw46IpaXbNrU2FzerVz9/cXmlYlXbna1BMFIB91EQtjaa++YX0iRZ - W1ubmJrMsxQQIoAESIX4xHGsPF2U1k6NRp7QP0bRYhTVN8syZR6jVNmwI+mSUuq67jiO4v9KKQXw - nSkkMMIQQpRrXPIcJwgJwzAAIMuynHHT9QBSzlVCCyaEEEp1gvI8D8NxFLL+cFQoFalGPM8aDvu2 - b+uGphFMJORpxrIoikUQ2GGUkdFwfX0tyYmmFymlgAEyUFv7PZMYKZ+aeYhAICUCSaQUCGHXMinQ - VKZZHkWjYalSAyENwwCJhRBJzgTjFF9SfYkQiMGOiy+WaPf37QmC3OYeI6Uigp3ZOWYciwwDBywl - RhKEEEIKkCAQ5qAGFwzLbaERB+ASlI2SuvgLDrHIMYBOdct00iThKc/zLE9TQoga73MGnHPACBEs - ufh+CxMsd/1aFPdEja6EBNA0nOc8Y7mumQLyOM8RACE0SeOUM5amtu9iwAY1BCBsGTyXCEMcpbpj - MYRTKS1Cbduu64Vut2u6niQoZYxjQTTCBJjU0HQdpMjzHCFMDY0LYBwwkI3mRkl3635J8hRS5tuO - rzvdcMSASSwlAUASEcQQ55JBCoZvCCHSNJ1u1G3bHmyucz7Y3NwMM6z7DY1otu1stZu6JFka+75P - QYxGo9W187Fgk3tmlVn+eAxT+33loC8cAVKmWaoZFCFgnEvGKKWVSmXv3r0o1my9kEusaTpK8zRN - 4zQ5dOjQ+tpamqamaVqWtbm56TjOtgUUQJZl9ElusgmlGWcIgZSC5ZmmaWEYUkxs01RC5TSKQchG - rf72//jrz37mc373v7ybIjBQdnZ9OcqSxkJ5mHZN39pbmSbI3uq3hczf+PNveMsb32wQOjMzE0nG - OX/Ws5518803/+7v/u5wOLRM8yMf+cgrX/7ThmH8jz//QLVa5ZxfecXB17/+9YuLi0EcWr7/Yy9+ - 8cLCQpLlnHPfLyq7BynlqN8rlUpZmli60Wg0fv+//qGyeKjX66vNDdd1VQyn67pJFD/xGSN3/Iku - 7mOQwBgACUqxadEkzwyD9gftxkRl1I+LhUq/3y84/vz8vle/6lUf/KsPPvqdh2+++WnLqyfXstUt - Mn5kvOGXa19ths+df3olrpSxdezkmSyIhu3h7PyeRy6c8+ulvfv3LZ059TO33Xrry37y2/d/6557 - v/rZf/zig1/56tLy+f/1sTs/8YlPLCwsXLhwYXp6OhiNfcdtbW5amr5/78Ifv/e9z33Gs+7/5jef - 86xnf+7zf0+oxkFWq9Wp6empqamHjh971atelQQRAIzD4Iduft6H/vKvFhcXg9HYNq2rrr1GIjh/ - 4cIjjzwyNzcHAG94wxtc173ttttqjTpjzHFs1RarC7sSW/y7KypAUKAKT8lYxhgrlEudTsewDc75 - XXfdZZrmm970piNHjkxMTPzlX/7lYDQCjA3LJBqdnZ09d+4cy3I13Ot1uv1+r1KrdtpbruuyLAcp - 65Xq8uqKputEwOr5CxnL9y/sG/b6nPN9lx049dipWrV6YXWlVCrFeRZ3w+rEhGbo55eXsWFTjniU - lQzPBLS1vko9OkRDpMWG7U3undRTY3X1wuSVB86uLVu+i1keB2PT96an98zvPbAZDVMp8zx3HYsn - OaVUo2QwGGwfv3JbiqlKNTTKwFdKaemabdurq6u2Yeq6HoahGsysrq5++8TRSqOeC55lmVcqRiy7 - 9/6vzczMbG1tKbvrNE0IwbZlhknsek5/0HvtrbfeeeedxWKRMZam+U/91E/fdNNN586fb21ujZPA - KDqOZROJ8zxnlK5sNOMsv/+Boz/0sh9wRJx0sjNLZ+NR4lGnohWTmHcGw343IYZpuV4URVKI6anJ - qw8fGgwGvUHPtE0OIklTRAkTyj5cKN6WEMJxHNd1wzDM81wduN/vH6M6GMVrBgCljlZgEACoYVsQ - BIoIrGma+sSYyFUTgxASmkAIoQwjgpApRuYoTVMAV9d1qkGcJACIYA1jbBiG4eo8l+lwsLS0dPpU - O01AtyBKN8cBuIWJmdk9y2vLCAOnhEhBsWYYRppGa2srgHLTtgghtWItjCDLMkAA+uPqpEuBKGGJ - 01S5s3OepnGcCxYbGhXAPc8pmF4v7iNMucgRJUhiqhEhmbiE+iQhkVDgC2wbnyhBjaLMCim37ePw - tpUcCEkFkhJziYRAWGCBBEUSBBYMJJYgEJew7We6A7gIgbBAIBFW3GUkQSM6kUCxJrnIojQKI0pp - 0fXCLJIICMFpmhKChZSYYC4ZQvC9TYzE20J0ofi5QkrYdZ2J4lTXdaRjjoAhJAEo1pI0tyzXp0aY - hyLjYTg2TDOVGZecAomixLJsjZr9ZCwB50wgBv1BT+OYjTMJwnEdoUGcRoJzziQiKIsjwbjjeAJQ - lubUMKpWsThRsjiGOM3CyHYMl+jhaIgwwhIAIwGCAedYcBASATiQZZnteOr60GuvyzitVIoIoamp - qXFOu4NICFkqlRwqEiLDMMyiwAS2stLphbA1HNQbU67req4YjMdJkhQKhR5kAnPLtnOeIYQ0TcNI - S0ZJs9k8e/YsijXfroQpw5jIOLUsq1QpB0FQLpfDMOz1eoZhlMvl0Wik6zoA7C5RT1hpkuiGsUvn - v/baa4MgyNMsDsKVlRXfc8rlsmHaS8srXIJN7ebS0ic/9tdf+NLfvuK6l/zOX70DNbCBeJ4MC6XS - K176ijSgr3v1G85ffvV1112HAT92+hS19Fe8+lXXXHNNd9CPokjTtK9//euc82azefWhw7/xn94+ - Go2uuOKKV7z85fd/4xtFvzBRbwRBIBg/d+bsz/7sz913330sTj/+8Y8Ph0PHcQTLDhw48I53/M5n - 77prPBqdPn16/vIDCtS2LItSmnOmQHaN/IthOLTtlMY5D4JRFIWlauXw9VcvLi5OTc9+874j/X5f - 181xGLOM24ZZKnu//p/eurJ87CCdzbe6p5cfe+aLb9As2+mwp88cvnnu5s7p/tbqhm9avuVtrm5e - eeXhZn/rsZNnJ0rlqw8dfsmLXzw3Of3Lb3lrLNmX//cXP/f5z5145JHpmRlMSLVaVWHdlFLfcdM4 - mWw0JuqNV77iFetLy7/65rc8fORobzikmpYGURpG7/zt3/npV79yujFBETZNs1gsYkA/+bKfKJVK - b3rTmw4dvPJnXvsz73j3O5eWlg4ePBjHcRAEL/vxl9358Tvvueee//pH77333nsty0ySRIlalDxZ - CQDVD4JLjoY/JUVznutkO/mTC64Cccbjse3Zc3Nzx46duPvuuz/3mbsYY5VyOU3TRqMxGo0AIEmS - U6dO3XHHHVcdvDKO48FgoGFSrpTOnTtXLBYRQlTXuRQ5Z//tT/9EAiytLNdrVULp5vqGaRimbjSb - zbm5ua2tLafgcwRIp4Zjr25u1uqV2fmFxQvLrlMo+PZtt7zywOxcqWIHKDyXNksLE73xcLg+eMbM - 4bSbbvT6QHRM6WUL88ko+NAH/8dwFC4vr2QGMktunsSpYBY2MCAuwbIcEaeYEAzbbjFqsCyldF13 - NBphjCVG8TjUdb1QKLiWDbnodDr7Ljtw+RVXhFG0vtn8kZe8aDwYRlHkFQvtdvvhY8dyxsIkvuyy - y5qtTc/zLqwsG7bl+3631zt2/LgCfX7zt3+rVCr9yq/8yqte85pjJ0586K8/XCwWFxYWTpw+US6X - 45Q7mhkm8bXXXv28F7zwG0e+duTBR1e7F+Isv2n/MzVExv1xzateWNpIO8yk7rXXXweAKaVbm61g - OBIsNwwDY2y7ThhHAqRGMAapmg/laJnnuXKr0zSt3W5nWfaE/jHKeVo5jvu+ry5/ymD0YsLsbpeg - uhyJBAaCJEYIMcIAAOdEIslplpFYNUCMMSaFadlJkmGMMSJBEAzXBw73aoXCs5/9rJt/kH9rbt0A - ACAASURBVFFiCkB+sfCN+x/+1tFzyxdWK41KEI5BcIwpYwyDmJqcvOrQZaaFDMu6555vrqxvWVbd - cZy0HwGDXYnBJRmNSmISA3KaZRwJ7OguR6ntmFmWEIRTEYfDkecWEBeapCoiV5DvZ608hUUEICwJ - AKhHAIJ2HyXBAMpTFilnWUkk6CpdCgFDEmNOsdCQxAhlGDgAIxIEUEUdwECQFABEgjoOiEQYSYwE - NjQtjiIhM4QQJsQzbSYFIUQjOhO5Rug4CUzTkMAlouyidfRiZt92eoMEAQJvR0EpzgI2dSolEhIk - SMfyOeca1pMwjWSWISaitOwXHKIRQgOeE9sDITAnnu4NgpGhW7qpiyTzNDMedeZn5gWhgyQMkixP - coo1U9MRCBYnVbuGEIzHgWFavlfqDIZBNoZc8kSWbbtSaIzGPSZzahBAWOGTXAgV7wAAhBCIQHpS - 3SdMN+ovesHNJUsPw/gr931raa2VIntics9wOBqNRmAgkNyyrOlG7VnXX/1MFgmNYFNfXtl48MEH - N5tp6Qq6fSNhE8A4SUIBXFAseE6Fput6pVKZnp6GiJrU06PUNC2cMU3TAKO19fVGve77fhzHURQV - i0XbtqMo2nVP+H4zIfVdFGw3iiKg0tT0NElbzc3HHntMSrlnZrZWq3me1x90BcJXXn0YODBgT7/u - aRfOnr7syvnzo7OPNB9+zStea7lG0Am9xOuP1g9MXfeO37qDjcSn221ds/xyZWpm8sSJEw899NAt - t9xSq9U45z/0Qz/0x3/8x89//vPPnDptmub8/Pwv/dIvfelLX9q7d69pmt2tjuLb6YRauhEMRy96 - 0Us+duedrm07ti2YplNtz8wc1TRN1w8ePKh8Yvr9vu17KjRGAQVPzol54pJSYkx833ddd2NjI2bJ - 6dOnb7zpGdPT047tY0w319YrteI4GhYKvpT54WuvFIvDk/1jN950rWwUkiyfmCwBSidq1bzNqhMV - f827wr6sEyaBhJJb2jM1YxO0f8++1732dXmaSOB+yb/xxus7vc57fu/3brvtNinl3Nxcv9+frNal - EGW/EIzGZ86cecaNN1FMarVasVjsd3uYENuyojjOkjQIA0USkFJmWdYfDcfj8d1333306FHDMIDg - k6ceC4JgcnLyzJkzs7OzlmX9w5f+4QMf+MDXvva1l77slm9+85uu6yqRl5rHXGrH3ktUVCMa7Fic - EcGQRqIoUkdbr9fbu3cvQsjSDcuyVpaXFe4TRZEQolKpPOsZzzz67SNfvfufTNOUUh49csQ0DUpp - vV6vVquO4+zbt48Q0rqwalhWzS0kQZQzZpum4zhv/4+/Pjk5eettPzMzO3PZFZf/Pz//88cffeS/ - vfePqOv1ogSxrFKvjfujQslwHfqWt73h2mcc8hdK0Yz2hY/dXZ1o8G780c28KosHZg9p1P7Ww8e/ - dPc9qxeWt/q9URDopuH4JiKIWIaBqUG0cZJxieI0R8o3Q4kg5OMjxa2trVKplLKc5Xm5XJYIMpbH - WUo5AEYbm803/MLPW5aldMUKujYMI4oiRXq985Mfj6KoWq0CyLm5uTCJ+4NBsVgEgLvuust3XAwo - SRJTNz784Q8ro9tqtbq5uTnTmM6yLM2SQrXRbQ/u//aRX37LW85eOGv5hlc0hcw5z8NxBFzUao04 - yItONRzF4z97v5RS0+jha66mIMPxsNaYlJI3m001JJNSUqLleU41okAfIUSSJGrQogJHntA/ZhuV - 34HeFcquwhoBtmMyAUA9bfcJgCWSGEkspcxQBgCa0CWSQstTPeWcSykxwRgDYxnneSpiLrRCoeDP - ellHdDvdr3/9m2dONUFClAEh4Pp4avaQwEar20SI25apYYIo5Gl4YWlls7WOSVaqlEejpFyqRrFU - 0DhQ2IV4L8XZgiRgpEtGKJJU1yjFaRZnOU/TnBDK4rTilj3TZTHHOUiOc55xSgT+111P/zWFxc6d - 0hPea1+cEgUAEnQpbAIAhALKkKAgKUgDAABlgHJ4HJwCdWYQKZDcdeyju7ZvFGOc69SgmKCMZ36x - 0I8GeZqlcYwoMm03xjEAMCEoSEwIBw7Ksw49ruRSJdDO5u+8DUYAHFiem7rFucQCxWFquXbFrVBK - +60tJ6cN3ydUdvq9QR5DkSCECoaf9aJ8HFfnpqMogIATHR+euWr59KJdLkVpZJcLBHKiaYKzYDSU - XCZp5lq2R0rROI7GcckpG47LwhTy1Be6kcjxkFVrRaaTXh7kHBNJJVYhlxQJQBLABDXwIIQMh8PP - f/7zUW/UaJSTHE9MTKTIXllb0zRdcG6abp4JIcT6+vq9w+7Shc2IQ3VK8/wyxnhyUjMKPkIoiqJI - BNjgFiGWacY855KAAGULmaapiHOOcZYLhLAugHOeczY7O5vEMUJoZmam2+2urq5OTEwoKhslJM9z - /ESDSQQgshzlnGKqU0oNVHS9kl9ACBXKJSGEbdtRmhDDAKrlknGAyUYNA8MmWK5p1IyNeB2lAkW8 - 4h+kOkeQeqbW7ozqEw3bL251+4yxLEtrtZqlG4qZQTC2LCtluVfwPc9Lk7RYLh156KhT8A3DiJLE - te3G5ER7syWEaLfbjVqdZbnneXEYca6yNpHjewCwtHyhUKtce+PTXNcVAKZpMsEVgXrH6efJT4nd - khgAIUQI1vJcDocBpdrC/P5GfbJSqx17+OTi4vlGo2Hb+mjc9Wy71V6//NBcq92q1acWz60WcHnB - rkoHDc406werj547ySISynS101zb7JheeZjnxDCxZnzjgW+8efSWG68+/JpbX3X3V+75p3u//LX7 - v9rtjaamphbm50+cOLGeZUmSRETrbG3Nz+2hmHied3p4am1jvVSt9IcDx3MRpVmWhWFYq9Vcx3Uc - p95oVBv1M2fO+MUCE3x+34Ll2A8++CCmxC8WWltbuq5PTU2NRiNF5j148OAtt9xy5OjRbU4nxgCg - YIR/r3CSwszVnnDOJYgwCmv1erPdHI1GlUpta2vLs50kSdTibZqmrusY41ardfb0mf379//Be37v - 83//93/xF39RK1c4Z1EY/uxtr5ucnDx58uTTn/70+77+9UqpHEURz5mGcaXRyLLsZS+9ZW119Z67 - 7zap1tpo/s8P//X73v+nn//C/wsSzc3tPbt4zrTscRxNTtWWz57LcXjLq1/8hrfd/th48YHR6Z+4 - 8RZq6GbAH/7Y//6jt91x8uvnfvKlr/2JW291TD3Js1xCdbKhaVo8DnKezM/OOqaFBMRhInRDAgiW - 72qM8UVmd5VKRdFiDMOI0yTLMtd1sySliFqW9frXvz5OE7XjhJD19fVqtToajYQQ1Wp1MBggCY1G - I4yj4XCQsrxQLBJCBoPBwsLChaWlYrEYByHFxDLMJEmork1PT586c3oUjqamJgghwOR4FFmmg6nW - Gw4MSzcNGgdjLJljWUkSm47dHww1y+6P472ze8NxoGNkmybV8JGjD2w1NwkhAqPG5MRoNBJcCs4p - BvWdKnqvorypHnR6eppz/oT+Mbs9ikKgdo0ThGC79gnqj6o3UpIuiQQIpJY3NYnJcyaRlDrLIBNC - IIQUPzHLc9M0HWqxERsMBnpsWJpnlMoFvzwzM6DELpQqUzPTyyvt5fVBypDpmpat6RoJBoM0TmxT - n5iYqk8UtjqrSZyNR6FfKmBMNc1gkok83x3DXNzHIPQUkmsZIE4pAhDjJEqzRCBOMKKIykwW7eKp - o6f4mBFGTGRRShmwJ0/UfUoKK2vaf8m8RwABYUkEBAVIMiwpEhpISwJwkqs+RvmjSAQADHatVrZ7 - l+3AAQDgIATKkY4iGXaC3vXPelouM0nBMsyM5Rwk55xIggjmwAGjbU224vPutFwSAQesyLwXF5IY - SWQi3QAjjiMJeVn3IYY0TeKMOVKvYPvsfccok06lMLt/dpClUZJYFdMQaHZuhiN8vj+s2aVoo7vv - yhs+c+8n7WolQeKVt97WZcNmu4kITJdmWJ5E4yAdMN0wdW4maZ5mWdwfVP2iTc3muaXm2nnHNa86 - cFUnHY7CWFBENColIkijiEoOIBDEkNLUsnVKqWXpk41yahuGYY3bwzQIetEYY1qv19MkDMNBEo8R - QhqSU1NThaLdC8dBligM13btfhQhhBqNRg9wKgLEs/F4LDVCdmQjqomh0tQ0LeV5lmXD/jDPc9f3 - LNtWZ7dt25ZlTUxMjMdjy7I451L8f5knMcZs2waA0XiMKMEYT09Pp2naabVXN9YLhWKhUIiD8MTD - x+fn53XQP/O5z7zsJ1/w91//jH9laXrycsoLBcfREUTtxJ1wKcet9Q0krLNnT+ue73qFNGb1eu3g - wYOO40RRtLa29pIXv3hiYsLzvGKxePTo0Ve+8pWf/+I/9INRs9MWOauWK81Wqz8cdnpdv1Scn59v - d7aUo5W6+BiWCYCL5ZKUkup6tVpV/OV2r2uaJiJYLVL/WsdeQkiWMcHBttwoTb7znVNhGFJt0XJc - 3aRB2F87v7Uw0eCQlUqlv/vUZ/ddPr3ePf+857707Na5f/zwl2sT9ZLuXSBtXKyawgkYiyF3S3YU - j2XGWB6fWl669sqrGuVqLkTGxGe/8IV6vf68F/zI2nrz0KFDb3/7233f73a705NTQoi98/ODwUDk - rD8cPvjtb73oR360Uqt+5M6PbvW6um6Mg2DPnj3P+YHnPnrq5N333POcm3/w9NkzlWqlXK189u8/ - 9+O33OKXS2GaNLfan/r0p/fv3/8Lv/ALpVKp1WqpsMIbbrhhOBx+8YtfNAyj1WrZSvYlpYox/ld9 - aP+XFOXACVAlwWKcIbRt1ep5nmVZluWMx2PXdYfDISUkiiLbcgghtm1nWTYxMdFsNi3TStOUpZmB - KWesVqliQOViKU+zYrFIDX1iZjoIglKlTDS6vtl0Xfd5P/CDBOErD1z+7BuefvTo0elK/QXP+cGf - eOFL/uT972c5PPPGZ45ZgA1MsGAsqM7XHttkRs0a46iTd3mNmRrpnVrtxyt/9aE//K3b3wXx4EXP - vxkgNW3D9m0uxN69e3UDjYeDUb9z+ujDz3zWc13b2RwGludsyy2FVHjD7umtMhEFAsMwbNcZjUZZ - niOCwzD+sw/8eZIkumkSQiYnJzOW93o9z/PCMJRcKPUN2glQlFLEWVwslzRN6/R6nU7nmkPXLJ47 - Nzs13Ww2q+WqEjkDAJeiWCmxLApGY9f1s5RxgRDVLM/XNC0ajkWS+NSQeRYEo6m9c/1olFE8SDJN - 0yDLiq4T9geEotFoUKmXB4MeaOTAwSsFgvEopAITRCgh6Y7PhKItA4DKClDzmO/3j9lF0BXhF3aa - FaViUU9QU0eVFqngJ4mEmsQghAQSAECxxiXf7YEwxgKEEMJxrOFwjChMOFXHcTrD7mAQuJTOz99Q - qxRAar3BaGO9tdnsEFSsVcs5RMNhT6NQdDzf9cPRaDQaFYrWwsL+xsTUP/3TA0srzVJ5xjStMBx8 - Dydml/X0VBF7AXGisygKUs4JRUChWPUxgGCAMixziFrph//4zryd4wiRjJjIlOypbKCerC6OTNy2 - vLtofx/nfqkoQeAYjYkEyjEWhpCOQITjXOB82wJOUgDgeHcZUL0L3rUqFkhgykOZ5BpgD3AJLp+/ - 3J8qM8RMy+yPB3mSMsYIaJqmpSKTcjdXCsRF/nUSVEDjjivujrsdkohIAkKihBWoKzNxwN8/ZgHy - yPrqxky5NmeWHv30vRqT9WuL11QOnovW20nfzrRwEBoWGmfxlFmtmt5a2DdBt4VFE4yRrEJlmIb5 - WBgmEZg31zYbtXqjUWltdXVqzU5X+6Nhc6NFNGJjwwFLjHi332EBk0xQThmXlFIuJBaISA0JAoDB - 3D4RVN88MzNT3D8vBIzCE+1+7LrVQnniwoVljMRsvQgFh3OuY3AcR0JWmqibvrvR3HrkkUeaG0Ht - kKvIIsxPkc6BMcdxUsmZECLPbYR836/VajIkFEyOqG07MdFU8Nni4uKeuTmM8WAwsG17bm7u9OnT - CiMWKm/1iZACCUB0LWY5AGiuDQAnz5xmjCuOxdzkDACEvUEepPtm5qeqkwmPp/fNvfFXfy0l2eJH - 1jpGsnl+ee38+ZrtXz258MhdHzl/+vzM1MKPvOAl9Vop5vzC8tmJSmPvnj3TU1Odra3rrrtuY2Oj - Vq9/9M47n/2c53zr6JFBMD56/Nijjz5aqVSCJC6UitjQsjFbWr7Asvxtv/qrLM8feugY0ajKP2Ei - 7w0Hd7z7t8M4qtVqtutohq7kCIVCgRDCBBdCZFn2pJOYJ3HsVcathNA8FwioRi3P1XOZx1nsF+w4 - HE1OVXOeJjyenp3noHHgjj1/5vSp2dlrp264GiFpanbcycZEO7uxblfrC1dddveXv+RYBpGyUqg6 - yE4G3Qssm5mZ+c+//Ttnzlwo1kpL51cty/qtO34TSUiieKLeUPYt5UIxSZKC709MTf7ym9+8vLGm - WWa90Xj37/+erhthGDabzRueflMYRb/+G29vd7bqEw0B0Op2+v3+RruleFRqoYmC8D3veU+/369U - KgAwHo/X1taGw2GxXDIMI4pCy7LUKqD0tkh9HN/98xTWpbgWUgJYAJdSYoxtw2YgsEbTLAMC3W6X - sa1isRiGoed5Ci7Zam0lSZLmeaFQkAgakxODYJRmWalaGXR7lOCcM855GIZJkrRaLULI0tKSV/CP - PvyQ43u6riMhNzY23v3OdxGE//xP3/83H/v4333yb9797nffcccd9UL5yLHjjADTZA5M01ESjAZR - sNreXA3bQiKZCZqioDc8NH/5CfHlN7/5V04eOfWcm/b2BwMKBuOSA4rT7LHTp3zP3Ltn5trDV35b - N4rF4nqnJ6VkudCIiv5VGsXHS5mjEIKTJAmCwHJsjPF4OJqbnu602rVaNeMMYxzGoa7rAslWq6Xr - /4e9N4+y7KrOPM945/vum4cYM3JODUgIBMhQBoExHmhwmTJuubEAUy7jXmVbXg1m6mobjMGFzWqq - 2i7cUGCXARcGl40EBhkNSEISmlMSknKOjMyIeBHx5jsPZ+o/bmRajEYUXjZVfVauUMTKDEW89+47 - d5+9v+/3afPz88ePH3dtx/O8ZDKxXLsoRElOFErVajUI4XA4rDcaQRD0ej1/6mOMEcGMMa9WC8OQ - 5XG9Xo2CWKOWpmlTP4CavrGxsdDsYYohk1iQdq0Xz7IgTWPFW8t7dkY7FiZJliok615NCIYhcl1v - FsWnTp2Zm1+MoxQjCCTI0gRTUnIUyuahZVmc852dHc/zvpEfw/I813WKMRFCKFXGS0GllBCM89KA - J0p/EiFUKSGELIpM0wwIFUAEKgAVUUooJErjupRPn+zsTqOSNNYNqiGaBAljebNVJTZlQXjq9FNP - PH46jgBAoNXRMXFNUy9YFmWhYRi6hpI0llxQrBAEfjBbXXtSN6wg4IuL82mG4ziChCidY4QQgOWf - 8iYsn5ZrBC/0ANAF4853X98U/aOgzFgCiTI1TQoexzHTCSsk5IgKy9PdKvXyc8xQRg3VAFdUakSR - sgIo5bc/2I/fmvb8tF/1G7+8YMZQUEFYYKBhJZHESOpSmQoQXlrHd01CaFdpe+HbL8ZY7o6lkBSi - cChPRZolacQEERoFtBA8ThImCkqIrusEIgWBlJJodFeCpi5idYACQEIgILwAuJNql0IDAAACKIoQ - UgSk8s6/vf0+dC/n/Edfcq3rVGbTcK7tUJ1UK1aapgzkSCEV8zzPHvjSvWkWL1y+76prrt7e7DPB - GZC93lwhecXSZyDIpep0e4N+/7N/89lHH3l479491133v40H4z/+8P/LEX79G99w8OC+muuoWYwU - 6HbnJ+GEAwSQhjGGimGhlBJQISiVghAiDBgAOuCcA6mklE8de8Lf2bFMM06lZdVTyVfPr9Zr1TSO - ZkHAi8Q0zTALEfPXzg5zBdwGMDzPrtiOqzKlEISNmhfgKYMcUpKxgiOAEUUIS86n0+l6f1P6gEJL - N10gAcJI1/UoieuNahAEpqmXMWdbW1vLy4tbWzsQQozQN+11T79UuOQAlccMiDGOkti1XYRQlmaE - kPKQZlp6niV/9rGPP/i1ewSGveXljLPW8oGRYlat8sI91+azUM8LzzOW24fyPP/4xz8eJxG2rXq9 - qhv0K3fccf/99wdhKKXs9Xof+9jHKpVKzhljbHllzxNPPakZeppnmFBA8bnz671ux61XX/yifxEF - 4YF9+x958OHX/Py/mk2nEAKIkWYYrlfp9/uPP/F1LsXOzs6Ryy6NkwQhxBEHpQsB4YuN9otv5O8W - 4KUAJTpQSAqAEYUYsIzlPHerlSAdT4Ix4lw3SSbYi17ysgN7j0ym4cCfuM1Ks1e7644HKEK1RnVt - c7Pi1R3zkSiK/OlASQaJNA1KNRSOR1JCQs1cyBu/9KVZHO7Zv3dj4/xXbr2t3mpOJpPSETybzSil - +/fvX19fr9frPC9+8Zfe0Gt3KCYlgYxiXEJfdNP8Tx/7iIKASyGE0C3Tn/mGYSwsLyVJwjiPgqRW - qxVJPLcwP5vNXK9Sjhdc1w3DsFqvlemHjmNzzvM8J4RcSEv84VsEKoXh7lWeFbkiSEiJKFFKGIaB - FJKMl7JQTdNmYQAQbLZbaZ4VUmSceYZ+vr/BoarUawVjg50dSOk9991nuW5esM3+FmPc0s2NjU3T - tqRQUijf99fXN17/+jcMtneSJI3j5Cde/oqv3Hr7855z9VfvuXs02WzOtev1xrETJ3u9XpTI0TCG - ytFZbfjkE8+Zu/Lm277kasbx5OQVe14QhPTcML4CatvjmAGSFUABEqYZMsxcydWN89uj/vzi3FNr - p4SCDa8Spxnn4sJBfXdMvOuSRyov4yQkt009DAPHcaoVZ+Pc2lyvN51OuRCu69qWIYQQLNco0SjJ - 0sQyDduxgtDXTL1sWgwH4263OwuD6dR3XZdlRVEwxcV4PCnZcUQzOIAp41bFzRK1Mxoa1CAazlje - 6jYn06BadRhPgUQGJkmYSgWxqedMNXo9JgCERNOoyGIlJTX06XSqINBsSrCuJNnqD1qtdhbH/mwC - kUCIXCwklFJluW0YhhBiOBxalnHo0AFN0yBUACClxHcz9XxnBL7axdSW4yQBAIA5QgQKykdoWJqY - pAJEIwBxCBTnHABqmNo03AGRJBws7z3Y6RwyDMdyvFq9/eVbvra2vlltzFc8qyiSKAo926I2RUCk - 8ezwkUMIL+qGdfToqZ2Rj5CHiYJSKAaIglRBfDFQehfSukvRveDp3X1Au3XMBYbsxW3um0QbTytl - EIAIAQpySRVxNUcVCiKCNCoyyDhIglSnxIp1xABiOlEaVAoAqcqf8gP/+G1eo2/470VbbalEkRAI - IQ3TLjLApdI0Qyownsxs27ZdR9NIyeOWSmGMJZcQ7+ZyIHXxLogAQFBgArBg3KQYAJSCgEhDASIJ - YiinjpaznBKslGC5oFQTXEJYZjSWgpLdCmaXvQsBVhyUSQK70DkJNZgkmeSgAh0+YQ/f/5BetV71 - L1+7MZv05lp/+refvvyaA6gQjV7n9qe+2ugu2KbjFsYDf3uvZhGmye7eXnu+oznGI1vHeocW97U7 - G8H46NnHK0uLo/6k3emMtnZkwUa+r1l2Em0SYOp2LUplJvKd6WZFofpc1e7V2wicj4KC5JlKhGC2 - YyQZ16CCgDMkGAWAACYZhg7nKkmz5z/30oUXXh3MgqNHTwQMKCCpjooiQVgiqunU4ZAZrnbFFSsv - fMGhIGV+zoZJ9OBDJzUMcggwULJIcxUiB0ooBYAKKSUlBUhHpLx4iabryEzDCHJl2Waj1RyfHtca - FX8azKYRQohSrJQIQ7/bbW9ubnLONd0UQoRhWKlUStM1QghCXMaPKAAIxlLKNE7q1dp0Oq3Vapko - XEs3NUsUjJjaLPLveeDec5ur4WjEWN6cn5+myazIHa8iGedZCrLc1XUElJSSWkbKmFYUhJAwjiHE - fhC4VS8MwzCJLcsuCqabRpKk/tS3TVsBkCYp1Y0wiFyvNouiz/7N39x6220ASgzRbDbBGEdBsLCw - kCSJruuddu/kyZMYEqprvYX5OEnKDb3MwxGc67ou5HfSqKILoAHw9L4AwkAKBqAsLR9l6z3PEkyR - BNLQHYj1J46fdaj71FMn0yQ3687Zc2vH1k502vXZdBYHUY7liTOnm9WaqWuTYOxZlmXYeSJYzhCg - Va8+jZIkz6hjWjpY21yzdCwYK9KMZQXGRAjpepUgCLZ2tr1aNYwDznm93eBQTP2ZY9kMCYUhwABp - MCxiy7IAgrHvm5ZZ8Nywzel0WmvWt7e3yjhnhKAQPEpjolPdNIIgEEBmLFcIKAQKwRzPLYqCGrpS - inNeHuO/4+b/z3g9AxxkeaIluhaliRDCdV3dNA4ePpRk2fzy0nV7V4BU0/Gk2+2GM384nTz/RT9y - dm3t2pe+9D3v+739B/avbazbljmZTT3Pu+f++wxCecE+/NGPbPb7733/+/bs2/vBD/3fjx97vLPQ - Gc5G/eHOnqV9s4k/31syqLPQXrn71gdzLgo/fMH8C3VEIGOFmzz80GoYF7d/7b5nPfd5EJA7v3Jb - EsWWZVFK0yzgCiZFnsoNwaQSCAJQHvaeZq7ZvYjLLkU59Sg5URohgjGI4MLCwmQ8xJg4jtXvb3e7 - 3SAIbM0ofQQ8Lyils9mslMhkWeY4zuLi4vFTJxcXF1ud9nZ/y9JNiomiQilVqqsMyyasyLLMj1IA - i6U9ywbWz51bF0JFceLVGtOp3+k1Tz7x1P6F5Wq7njMOdFSte1KpaOZHftCw21jTsjzd3t7udruV - Wn0axppm+HGyKzeG0HXtIs+FLKs0eBHCW1Y05Xw9TWOE0AWhi1JKfVNC3ve4VMlxVRgAWRYxiGGI - gdJAbAdJklXLFBIgMIZRFNUM1zTtKEyiSHYd5GooSaJTJ0/EEYgzYNswjFSlspimmMAAMwAAIABJ - REFUcS5yx7F0XQ8jHyogedppVZ966smKZ40nszBUQlAuMkppHCtAARQSCFliDAH4e67J7gv9tAbM - d+nCSLg78tj990+fyAAMgYQK45L7BhUASECIIFAQYIGxRERiIjEUBEF0sdaAu8HIP8iP3yrn/U69 - JXxhUoMh4qmg2IYYJnFimma73bYsazwex6EsiqLRaGRZhiEGCEIApdqVwF94xkqXNdpNAxCKCEqE - VnqjJJQSlZ7V3avo6RGM4IJvc7dwhGXbp0SPSXhBNFOWxWkeOa5LMg0mKJyFSoCkYNM4tL0Kl/IF - L7zGAoIIhR2zW5ubBfG8M88HhWlXJJbI0Hp75qf+NI8T0zGiPHrqqX4kWe3qy/ub5yBH9Xqt1W2t - nj2ZZDHRcK/XpYSMZoFQqtVqiHgUbg2yWZJLPQayudJRttIMyjWQ5gmiWOac8RwLq9z0TdsCEtq2 - 2+52xtPJ2aeOOpab53lWwAIBhDTLNiQXLGNBHLTatSwNz587E0xGfgSMumc061YFmLiiVYBOjTAI - 6nOeL4NcSIEkQhgChaQqL6RyEy6bDWXDWzPokSNHTp8+qROqWdZ4PPQ8r1SKjMfDlZXlra2dra2t - arXaarW2t7c9zysFEKVIjlyISCsl/yXjp+CMUCqknPqzKAijOPbqtWq9GkWRabs8VsPxxE/SZrdb - pIxlDEOq6dosDDVCEAbhJHKrdT+OOp1mGmdlSHIQBISQLMuQgqWGtEyQHQ6HEOC5hfksy3IFCMKW - beR5HmdpUWSC5Z1OByGg6QQSqJCCEE4mk06nwwsRROH58+cXFhfLAUqR5wghQ9eFlN8WH/1dpGNS - SogvxNEAbNs2hHAWB1AXCKEkySJWUGRKCnVdxxgnedxs1qEO++sbFawDBiWDrUY3D4I8ygigll3N - 4ohQDKTUNDOXsgCSK5hnccVzkiS0LKvIckpprVZjjEml4jCilJqmOZ3NbMdsWPZwOMzTbG5ubpf+ - CESa5hADCDGmCACkGUYYhrppxqFfqTglE7nZbE4mEyml53kQwuF41NE1TIlhmXEcLywtnjlzpuK4 - jUZjbW2tdFYDAMo+xbd/dv55r2fMtEYKlBnWSRTfe/c9X7nt9oWFhXq9/thjj+mUaprm+369WmOM - pWnqOM5H/vRjxNDOnFszKw4HqgAyV+Lo1x93TaviuGdOnapUKl/48s3Pe97zHnjgAbNiQk1puokI - yLIiT4qZCD73lzdmWXLjX93UXmif7p/VbL1IM9e0cAEUV5Varb/5nz3H++MP//Hc3DxLCwMBFkeE - EEK1LMtGk9CxXCEkUgwgdcHq+c0GFkp0BEmRc1YIxpim6RhjBNV4PE2TrOJWwzB27AoCOApiBHCW - ZpRqeZpVbAe5lSzLgFC1an08GQkpO51OmqZnnnpq5fBhyTjjRRrFnVZ72w8Wl5c2+n2iUcs225Xm - ZLp99twaElhx2G3NBVFWdZs8B7Mgas61E8h2JgMIIRF6lKWaYVachqN1IBfjwXD/vj39ft8PI4Xw - aDL1Gg2omKGZeZKahl6rVqWUWc7KmT2EEABUKmMQQmVSBgDlyEeU5Qv8fqGN31rE6MCQQDDEoSFL - 8AxXUgjBJLNMBygUR1mt2q6tVIUfDrYnj7NTO1sAY4ApsNsewoAaDsgBhTqlmDPpVRoaoZzFmxvn - aw1rMpm0u92iYIDpUplp4gMEgP737qQLNRkApfLph5JF+YNfjDFd18sNq9FoRFG0tbXV7XYBANVq - lTGW53lpti8BzZj+Y2Lvy5mXutAtU6UZCgAAdE3Li1RyhQR40U9de/WPvnDMQ2AhClG8PTpU9e65 - 5RalVH1p4eCPXJ3FW5BiYZEX/vxPQwPJGj+7tdnz6pxF+ztzf33bHdlgJ8ySG37i1duN5Njp01// - +kOv/cWff9tv/lYE4vFkVG84H/8vf6JgbSomp47dN++Zi9Xqxtbs/LEz0LauefZlvpYOZZYjOYp9 - r1YzAaGKGhDjQgAIOGcAa0kSnT2706wbOEuAREUhXbetU3t7HEwnsakbtllBlCRxhhRI4mI8BlMf - wNivCIGxjhCNIj9Jska9tTk6h6uEEJ0QxGQGwDd47i6SDoQQQu6ei7rd7mQ4Km2GnPPpdFqe5Urw - TFEUYRgihKrVahn1hxDiXCql0iShlFJMsixLiyLPc8Mysywrs1lc1zV1Q3COAfTHU0qptGBncXlz - e+vIkUv6O9tJkiGACca64dKaSzFUSmwPB3OVph+lW/1Bo16bTCZ5UipOcJZlQvBqtRqGIYEwDsKl - paU4jre2NstCyjQMIQmllOd5t90+d3aV5YVSiud8GA51XS8kc+ru9vY2UMiwzEql4jqOktK2LACA - EEJImbFCJ/Sb5kffeaKkyhfRNs2SMBJFoWaZlFLLcvIiNKhumBaBJEtZEExYnLbbTUrAYOu8XXFN - jKtOleciHA5abbPjLo4m42aztrHTh1BpOnRsY+zPDE3XbA0ByKUIZ76m6VizkyCN4qFt20WRSwgg - hLwQlmVRQoY7I9IhNa9Oa3g0HFJKKcJxGNXrzZznQkrLsHd2hpquFxnTqNFt98Io2t7sLy4urp1Z - tSwrTzLNNBgr5ntzQRAYhjHcGTQaje3+FsUEQri+vl6iAiM/sCwrz/PSAHHxD/jHkbD8wNcz26GQ - AkmclDalsoKTUs5mM0JI6VqybZtLqTDCSJN5lrJia/X0wvKS7/txlhaCe8065zzKU0qpiAKn6lmO - IwH42oMP2BXHdO1TZ48t7lkmUAtnaa+9MB1Mts5uY4wt7LIQWKiKBTV0zzUrURqYlq4I7iz1HN0+ - d+rM9obotNoUKSUE1TQICNIMCBEHSCiBlNIwuXiTvugWBgCUzkZKaSnQK0EFWZaNJtPF3twbrn+9 - 61ZMXY+iuF71wiDSdBpFEUKo4FwCZdv2LPAp1brdbpalW4Odufn5/s52s9ls1uoPPfTQwQMHijgt - KUyGYTTb7dNnz2BC3aqj2zTPc5u4FOhAkI2NvqbrEskoDzpzDSkLqmHOGcVEKcVzkQVcI3qt5knF - Nzc3hJI333KzHwaaqWGCTEMvtRpZHCpRhRCWeOLykSr196CXi+gXpXb7rhB9/+TpC0WMAkByJQAA - kqUAKUEkJ1wIIaUEECCEdE0vcgk5d2k1S+Xa4+dQAfZ03V/+129KohmEcDydpnn2wINPTsMIYIsr - UBQ8Cn0Kpa7RVsNbWFh62Y/96GS6jTC9886HfT90HJsSg6tCpMX38cv/T7XK0V45IM6yrEwmF0JM - p9OyE1mmT0RRtBsIKv5xXZcCAgSBlGA3cBFgrKQCSnAGAUIa4Kw4eNVBU3e3s6lQMJzOKgTVLTOd - TplEbqdwgWs5s2E4KpRafMEhs2qFahpMB4gAqLgFzETGqK43raoPxmEUuzVraf+eaTH7yum7TYJr - piM5P7dzVtrjaTSrztV69TobjiZ5qHmG02woAizLzCYpw5JSCpWCEBKIMIAEKFCANE1trOd5XmvY - r371K5eb1bUz579481dHw3FOCsOu2JoR+sEsCyEBtuMQAJ51+V7zOTAtsN3sTIrkr266aTgam0tm - xa1nGa/V6jnlqWBxnFDjG+BM4OL4G0IIkVQijuMwDA8e3C8KliSJVKpU7gMASsNLpVKdn5/v9/u+ - 79fr9RLPXWalMcZKXwJXnFJaHsQppVEUIYwF50Wa2YZpavpge6fdbAIApnE0mkwn0+lgMlxcWaQS - alTDEE/DiYa1sBAAyMWF5a3+jm06YeRHUbS8sFhSwjc2Nlb27Mmy7OSJE8vLy47rnjp1KstS1604 - tqXrhmZoFNNTx4+bpmlbVhLF3VY3TzNKqa3bBJCqV+WcO5bNOfcqtSzLdEKLNCvT3xQAqmy0YyQu - 9Ci/R1m9pmlFUaRpghDUdd2yraJgOtXyHHGmClHMIl8yfnD/gc2N89RERVY4tmYasAB4bXN1rrfU - qHpVx+6v97mUslqtug2GBNHw9nhb1ynQsQQyTzOKNUqwFGo69U3DLkBiGabnVaIoooY+m81KUs6e - pWV/Ms2yLAyC5YVFQ9P96azb7GJKiiwHQiZh0m60tre3KrYLIMyyzND1wjBGo1G1Wm00GlEU9/v9 - ZqeVpmn5os/NzZUpOiVxQ9O0LMvK3aBEh5QujR+69YyPWYZhYAihUkBKhNBzn/vcRx55ZDabHbn0 - koceemhzqz83N5cV+Wg0WlxcZFIcXlrY2tnWTCNLY8O0uZRJllXqNSlklCaeW5n6vqZpFEOFkR+F - Xr0GMRoMJq7h8VwABhzLk4wjiNIgr9hNzTLTrAinhau30izu+1uGjZmed3utPIg7zapJkSwYMM04 - zgXGRHMKliOIyxBaeWG6X3YWy71AAaCZZrk7lCqnjDGAsabpGJO9e/d9+r9+Jk0iUzNZkVXsCgCy - DBiqNuqb/b7p2BAjy7KePPbU4cOHJv4sy7Kl5eX19fUymvWu27/SbXfWz50/ePDgaDrkTFqOSXRj - Ekwn8aRarcMCoZyayCoKbldsw6E5TqePTELuKyR1jJIg1BTxiFvFdcBAwdnYnx289Mi/uPYlfhBl - rLAcO01DCECWcoI1hFBRcAghE4VS4sKwE10EwFyk9O7OksB/F+boWzsxVGoSCEFkqpLdMVa5/0oJ - ACBEy7KcFWDfwYM1akaj4V988r+dPrnOBYAE9ObMrIDUbMVJVgjRaDRq1YpiGSuy4XBsUPVXf/XX - 9YZTMEGI2Wp6SQqlBKKQwLu4je1u/f89D+p/yFXCD+GFhI0kSQghjuNACMsOTWnIJ4RgjP8x4Fff - gK65MFRSEKhdTmgZngByxg3LFJxJitf9DcdrDPPQMIyMzeabbQmzg0f2xhKac80xGAcyiFAGPZMT - NYUxNmTNq22fP+9Y+O+O33L4xc9RRGWC3bP6aK3ZTAz8+OqDTtXp7u85nMfjsVN1YhFPs6TR6/rx - xm1nHrIV7LzokJvROGVPBv0izgQVVMJepZ4lKeJMMMY4VxgBF1i6YVmmocEg2P7Upz5lK9lutpWE - lUo1w06QMsgzXTd1omcswUjzJ9v33vvAeCdNcuC0tdpiz3G8qmUEepYkaZ4V0JAMCICQbbuFSHaf - rosyLyklkBBChLFgu+CDkydP7llc8jxvY3OzKArbtkt0p23bJSuh2+1qmra9vV1iYTnnum4KIQjC - aV5ICG3bVkolSeKHAQAAYVxx3SxJszQ1daPI8rf/1tt6vd7pM6uCgIWVXsTD/qRvuRYASAnomXUd - aImfpXHWanXe+c53cl60G83RaMj0Io6ibrf7vKuvfurrTwghLjl0uNFonNtY37O8bFj6ZDzL8jTL - MrPQWcZMS3/tv3rN1Vc9Z2tz+4XX/Mj5tTXJhWNaZb4VQqjebExms7xI//AP/3A0GCIA9y7vidIk - ThIAESJYp8bfa1S/B/0+2O3MKynlhch24fszy7UMw8IYYwWQAs+/+vmf/NM/e+zJh6fBpL91TrNI - IYpKvRFk2cLinp2NQRpmLbvVac/N4vjd73v/wJ+kcWY5VdfWk9APo9DVzf17lySHJ06eorp5/fXX - p3H4xNcfP3b8+HA4tCyr3W4HQVitVmfTWZZk73jb2/YsLSdRbBtmmiRKKappQgiFcLVaieN0MNrR - NfN9//73pARZmv/Ln/nZG264YX1z44YbbgjDcGVlJU2Tja3+ZZdddvLkyXq9/oUbbypjq7Mse/e7 - 392P4jgIESWEaoXgkPxwBkB+H99THtZLMfO5c+eSJAEAWIapEapVPKVUtVot0UxpkW9tb5u2BTAy - TIPq+s7Ojq7rmqZFM9/U9aIouBBUKdOyfN+nOrVdJwgiwzCllIUoWq0OzLnjVja2NqyGOwoDzAtM - tNFk3D3QlYno1XthPCII+f6069V9fxYEgWmammGFvq8ALu/chBIkhZTiO3UaSo+ZruuO45RfIoRs - 293c2oGIKKV+6hU/hSFq1GpZkgYzn3OuGdSwTD8Km63WqdUzKysrW1tbp06cFEqW+piiKDzPO392 - zdD0E8eOa5r24EP3O45DCNkZbGFCpAanaZBxQZkGC+LC4qorrjx82cFJOqouV6ZybPfcM+dPtOtN - mAkX6BVpm5GOOJlFidOqf/A//j+v/NmfnUWRYeqaRoAipqbneU6pXhQ8y3IAFCKwtBpBCBEq68/d - iXhZwUAIAZRAwac3q5/xKkf1u/N6CACglBZclj9o99gnBeccYokQoZhalqPrehj6WR7wMFxZOHT4 - MPC8iumYrlc5dmI1KTTTcaMkL4rCn40plI5tVqtVpFit0aaaEhJt9YOc5RjbGGOA0A9H9/OfdBVF - UR7LSiJzmqYlvplSOh6PGWOtVqvsSiqliqIgGv0B/vRve22p0ncNAIASKlCGQtqalWaZAggjDRlk - kk0yJSnRoK0ylJ7Y3jC6DgAGt8l6vB2omHoEaFJCISWTqpjFmWcDU7emm0PDq6KKI3nMo2CKEka0 - +nIzl7Lvb1c51zGIZCw0nUlwYnBC01Vz3zyQbBDkhmtizwrSABKgGRpXGZGyyDKCLUIpxIhLASKg - NFUUjBdZu93eu6cNooAgfTQeRFGSYQSJYRq24DxN0yiNC5ZWvdole+piXzzxi+0gjOO0yDmAPGap - 4KrT6W1GZ6EGOWdSKfCNtxWlVNmKAQqULyLEgFI6GGxvbGwsLi622+3RaFQm1c9ms2q1WvKfAACV - SqXkP5V1T/mip2laTo5K4H29Xp8Ffq/Xm85mSZJgCXRdJ4S0Gg1L0w/v3f+hD3xwaf/yA0d9brFz - s/N6hWJKpoNZzWistFayMXvi4Sd/9c2/Nhvt5Cy3XKdRr5eTrLe//e2f/9yNp7WTSqmPfvSjjz76 - 6MLCwmc+8+m/uelGx3FqVS/LMgUAwsDCZhJGn/jzP/ec6vZ63x9PHjv6KAIYU5SmKdHoT7/qlaPJ - eGVlOYqiPUvLSsjB9k6QxoZp6rrFOC8EvxicUirH/8E6hjFmOq5uUKUU5wUhHtVwb35ua6cfJZln - 2jWvWnVcHZDHH38sZeGzr7pMofymv7vp9NZ5bmuDyaTmVN742jdUNe3WWz+31R8ttquDnS0kFZAw - BzlFVBF65eWX/dL1r//yzbf5kylAdGFu7uTxY0VRCCGOHDlSBvROJhMlpOM4FKIoCP/jh/5Dq97w - Z7NOs/XQQ48AAIimGYZRqXpzc3Pbw8Eb3vAGICBnotGsLczNveUtb7nrrru6c71LLrkEIWTblpRy - dXV1fn4+TdNXv/rVvV7vN3/zNz/xiU+U48UgCGquE4YhpbsJvj906/v5pZkQnPNy5jIdj1eWl03T - PPbkkzXPwxhvbm3tbG1Xq1XLMH3fn+90M1a4lcp4OsmS1NR1z/OSIMQAaoTmWVZxXShVmiQEY8e2 - 4ySACniuOxn5EECFxGC8VVQ94mDNRpAJDjO3annc3BytJmGwuK8TZIwpbBn21A8R0ZOCKQgxxhQT - bBAucopJCWWUTxshAQB2LTVKQQjLGLY8Yxzv0ngxoppGgIScS8tyXNf7289/4dnPuuKWm/9OsqKM - /jlx+tRLf+xl42BiO05JKH7kkYc9z9N1fTKdlsTe17/+F9/8K7/ygd//91/+0s3/7TOf3RkNiyL7 - 9Gf+8su33lJpNeqtZpYzxUESR1KwvSuL09Hmdrg5UvBsfE5tgZNrp3hStCzv+fufrc0UO1e0Kt2r - X/Sjr3j1z3zi059FRGt12mEYbGxsVFxL8hxIBZRiTEmFSmXDRXuzUkJKJWVZhpZPRpmPUR64yyLm - +8krvNCJgQDIkhOTZIlQXBBZiviEEAACjHHp50+SiOYUE5imcZ4UNUt71rMuOX/uVBiG09k4zZN+ - v58Uml1p65YFIdE0zSAQAEAImo6ml19xcDrbcSvVtbVBEErbMXYboQxcLNHADxAP8z/QKl9xwzCy - LEvTdGFhoVKprK+v79mzB2McRVGZsRVFkVLKsqyCPzPXpQQAwn/gWS95d0//RxfllhdtsVBCJKBm - a5xLqpMkTAnVhCyMhj7LQkTzulfDwGIIFrosmABUZnmYF8qr1BRQcR56jXaWZPMHFtdHE05ZprJm - 1Y5ZEoZRrd7VMYGOUkmIMBRAMVBQpFUdmxN23u9TgOpOI0t5Hif1Vg0h6RdDCWGSx5AiTrFSGFAs - FAQWyPM8FQRDgDFpNlq650VBLITwvLpJneEsms7GOtVcy6YGhohPJhuzGmRpFCbqyJEjtOb+1Y1f - QCKp7Kl5VXdtbVVvQ6GEppFCMXWBdvR08iSEUCqplMQIlUKTdru909/q9/t7Vlbq9fr29nZJoZxO - p81mW9f1MAxt256bm1tdXS3/qig4AIBSqhOa57kSwjTNI0eOHD95Yt++fUePHi2Komq7ivHxcIT2 - H0yiuFNt1qnxv1//uvPZmuqoX/u9X5tf7C3tWfSWas/Ze+ULL32RkdjrJ/qPPPj1pflmVvDt4chp - d4o0U0odOXjorXfc+TM/8zO33X5L4E8//J/+6O3veAcAoNlsQqiyLMEYl0E0UIFSi/Oud7zj0Qcf - ObBy4J3H3ymZpARTR9t3YO+/e+u7PvHZTw3HgyLNpuNxCdSvthoZZ0mWciU1Q79o6f+HF5QAQEqx - VLyE0Vu2Uak4aRrPzXUn8STMoiTDDcszqZYViaHpP/lTL9sZrt370D1BNtr/7IXqJUs55Q41pmpj - pVf7X37uRbd/4c4njt7v8BxggwkoGCw4a1abe5eW65XqeKcPWf7c5z3n4N6VyXhw4MCBR44eNU2T - Uk1K1aw3EEKS81ajeXD/oTtvveNt/8dvff7Gm66//vo3vOENmmbMZjMNUddwPvpHH3ndG69Po1QK - Ua3VdraHEOB3vvP/vP768295y1sQQjfccMN//uhHojB0bHs0HLbb7TiKtvp9Q9ePHj3q+/7hw4dL - kns5W9R1vYzxleWRFAIJwQ+2nY3+Ec6Zz6yIkRBIoAjGmmEURVFW+lABUzeSIMIYKwiqjktrdUrp - 2urZlX17fd+XQgy3tt2ql2aZV6umcYKEch0nnPkUE4RUlmWmYQgheJoXaVZvNDY31jvtuTwqUp44 - dSsTEbHpudGZaq8xi/zN0ajb6Uw2Bot7OsFgx6W6yBm1XWxppluFVIOUJEkEkbA07PsRNQxeMIxR - GeH2tAe0+3k5RTIMQ4pdAHPZj92ezRa7S1KCRqPR7/c/9KEPdZut++65N5z5SnJN0w7tP/CRj3zk - t9/9f/3sa3/uc5/7XLXiFUVx9szq3v370GyWZdl73vOez//NX7/yla/867/87G1fvmVra+t3fud3 - mGRxmiwvLo0TfzyZYKp5uuG6NkoF0cS5Eyd/63d/c4yH5/P+7Y/dsXLlvA700Wp/b2/hiu4lHd7G - GVWmgxDav3+/lLJeb3bmujzvNereeDCECkCIIaII6oXgQjGEdm9dF/ZBBAAwDK0cn0kppSp1D6Um - 5vu5jL51nIQ5gRgIIqd0ZJqmlFJCgCgCCEkFTdMkCWasqNZsp+al09G9X7vzicfXIQIQg1oDWpa7 - sGffYJwEQVCv10xTzyIfQcALbjvm0aMPK5ADiBHSajWPcYUxBAUHxu5jvLjj///rm1YpbC8Jh2Wx - Uopg/uiP/qjdbt9yyy1/8Ad/UCrBdV3P8xziH1gI97f+j8rspIsH5QsbHEQKccYNamVxgghO0tBz - vCTlLM6Ig4dJULXoDHCZM46IsnEUJTXDrhhmkmY0KjKeztc749kUS5DEolmt96PQrdjj2cDzvE6t - xopsMvZ7rZaEMuEMYV1BCvMcC4KQ8iwHAkAhcogVcGFJsj48J01hVvRCCtd1WcrDJK0xWyMkKwC1 - 9UqlyvJoZ2fn4YcjkqdKAIzNMPS5rgxTt6iWpWmShlxxy9ZsxxqPx5vnZlEK1gaDylyrWnMt4o7y - II6jQ3OHZ2oHIsV4rr7xnXuxgYoQAggAiLIstakVhiHGlfn5+el0evbs2V6vt7S0dPr0aUKIpmml - qLMEcw8Gg263O5lM0jSlVBNCYAVKYYRpmkme9fv9Y48cnZ+fr9VqC3Pztm5MhqNLDh+2DXNxcTEO - g6uvuGLf0jwPk6+cug3b8tBz9zdb1WAYRGwASKpRLc/9Sw/tv/2W2zvLiwtLi5v9wf79+y+99NJT - p0695jWvueKKKx548L5qtfqe97znkksuueeeew7s3RelEYTQdp35+XmlRJHnS0tLrXpjaWHpL//8 - L175E68ikCRFbJpmkURpmqZFSgghCHueRwixLCsIAl3yjDOsUV3Xc1YAjAAAWO06Db/Jpfit62LP - mFI68ydnzpwZnjvfXuguLC/NLS7AhMOEtxotnVKWFXme2bY1v9g9vfPULBlRVItkOguLyxcOIIuz - KIvj4ZH9S9NKLpTmZwUyNEUk49n6ufM3f/Fv53tzO1sDjaCv3XPPmbOrL3nZS8+vrw8GA8uyx+Px - /r37GGOD/pZlWbWKd+2LX8IYO3HixPLc0mwybbV6BFHOOVBKKOG6bq/TNXRrNBx22m2M8e//3vvu - e/C+er2u6/rx48fvuusu27Z1XS87c5ZlveY1r7n55psXFxdLd5sQgitZKqJ+WDkxz/QbSuZbSRyp - Vqtv/je/wvKi0+lsb/YJIZwx0zSn0+nCwoLv+4QQP44++RefygoEmOjUGtuDnUatzuJ0uj3odbpC - iNAPKo4TxlGz2UyyBDPAk8wyTCmYZqEkDd73vt+FREziIXFhd6X76NeP1ut1kOd1y2PTvI6bUBAu - 4ctf8cr7Hj3627/7XuK4HGGoeMUxh9sbnudRrMIkZwWserWc5UyK0l4IANyN9UGIEJIkia6ZZYxU - HMcQQsuyhBCe5w0GgysuvWw2mzW8ajlpynImpVxaWiqKYjAYHDx40HXdrcFOuYMIJQ8cOJAW+cMP - P/z2t7/dcZzHHz5q2/aPv/jH9ffr3fnu29/5jp2dncOHDw9iv2BMB6ZtUUsjnSenAAAgAElEQVRR - xqOrn3+JbgsNiXCybZiq1nRUBouKvdnfeO7iFfuW9z310LHBYHjJc6/a2NgoimI6nUZpCES6s40J - RJILpSAmOgQaV5LLgrFc13XLskajkaZpum5KKTGGF/UxZScGobIx8/0U3t/WYi2BUBrw9UkZyXFB - faM4LxjKEbYJleNgJ86EQ+Gzrjx01VX7Wq0OlxIR/YtfuvP06dN2peV5FcZTzlLdIHmWtNrt4fb6 - 69/4vz7x5NHFpZX/+hefVwhoeg1AAZAEAhCKLmASEITyohbyn7AjU+6PJWYwTdM8z7vdbhm92+12 - Nzc3HccpodilVKVkIpfScghhGUVeev1s2+73+47jlNzCMAxLehXnvEyPU0qVLo9S+2Lbdp7n5c+t - 1Wol2Kper5eRtpVKZWdnZ9++faPR6Jprronj+M1vfvNdd9315JNPjkYjcKFtwzmnCFqWNZ3NXNfL - Cw6/Q6DgN62nn4Mvfo6e9omCgACAMeKF4lIYmiUyaRtOEiYaMbMoaTWbYeQTAItJNN9cnIZRXuSm - 5WVMQGW03e5gZ4xNWqHVPEw0Td9nz4VD30SmFKJabY0Hw0WvxkLRSDWdanWjxqLcJnoS8razkIYJ - 03UGhIaJjXSUgyJmWDMSIeMwqlguGIZtSe0U9Gh1OxsWODdtI0kzC5muYdGZ0iQEEjDGoyiKwxmm - 7CUveemBuWZ/Y+v2Ox6UnOZU85MsyCJKkGWZQRwXhTQpvuYFz3dfRoeTOBJgmIZfvuMhokLa0wkF - WRZBQyrFlZKYYCYExVp5fy1nQ/E4sRwHYyzVrgjXdV3OOUcYY8w5Hw6HruuurKysra3Zth2G8Ww2 - KyPrSzFEu73LjzFNU+aszILN89zUdFGwN/7yv7Er7qc//enFxcWTG8da1fqZM2f2zC+ORqPKcytP - PvkkpfTo0aOoguI4rlQqvu8jiDRNC8NwrjoPAJjNZtPpNGYsTrMsFwCASy65pLx9GoZRhiH8+q// - +ite8YpWu/3YY4+5VZdzrmB5gGRAqel0ShHe2dnpdDphGPq+3+t0p/4sz/NKpUIIqdfraRpflKy2 - Wq0wS8o3fklOf6agiPJSL7+9Xq9bFffQoUMSg83Nzel0aimqc7TZ2sQQAwB0XR9sTtfW1vI8tzuO - EMKqWBnnaZqmaSriAiEURdFo5OcMMURkgmbxVNOxiWH5Zozj+O67706/cketUX/O8642TbP0lEkp - z5w54zhOmbFlWdaZM2de8fKXN5tNJlmz2QzDsFarRUmY5zmFtCgKXdd933crdpqmRVGsrKxUapX7 - 77+/vCrKGIrJZFKtVm3b9n3/p3/6p1/3utcpAFqtVpkNLIQq7+n/sxQxuq5rus4Ygxg/+4orB9s7 - G+fOT+bmjh8/HvkBxSRJEtu2H6G01WymWfaSl79MFdyz3eF4JPJi1N9uVqom1X77He8CQv7BBz5Q - ddz3v//909msUql86tN/sXZu9fzG+tKe5fWtbcsyEhZvTzc/9dn/8t4//O2/u/OLD525exyMWBZP - BoOqZl+1cuU9j43/3Vvf+8u/dsNPvuI1pu0R3Z4GsR+HLEsO7dtz+MDeRq0+nU4tTY/CLIoizdDA - hRvq00dL5TZRihkZY2UAh6FpQMnpdIwQCoIgiiJTs3RdjwO/3emkaZrkma3bWKPj8VhCADFCCA1G - Q9u2qaGHYfjsZz/7Dz/4wePHjt30uRsty/qlX/2lo48/9qY3vXFxcTFK4tXVNeTqumEUcaIUFBIl - eUAE1UzsaIZlUJ1iApTgKk9ymJPxeJo2Mt22Sc4ggAgBwzCSJCEIIWqwIuOq0AiFEKVpqiDXNJ0Q - UsqQfd+fm5srjdZKKYxhWcFACAlFEEKlytPeD8ZirSkdICWpAlDoug4hBBAihBSEZdXIWOoHk3a7 - EfR3anXn6088XGTxmTNFzkCrDYTEe/dexiQdjEcISyU51rRGo3b69MlOq/rJT/75/EL73q895FVb - aY6TNNZ1mgskiguQ4At+1H/yJYQgBAMA0jQFANi23e12B4OBruue581ms/n5+bW1NQCAaZpFUZS/ - dqvVWl1dXVxcXF9f37t3b1EUpTh6fX290+mUNIgoimq1Wink8jzv9OnTnPO9e/f6vh/HcafTKRmg - ZUBprVbb2NjQdb3RaKyvrxNC5ubm4ji+/PLL77777v379yulbNsWQtxwww3XXXedruullkKjGue8 - jEJrdzpxHGu6GceJbZjfy8MvAYPiaV9+09/KggEOCMY61YFCrCj8JAAC75tbKUC6s3MeE+y5Xi5Z - A3puxVudrRHXlhTICIIMX7Vy1Q4YEoZjNS1mfpz4V+65TAL48LnHhINctwpzcKCxjwMySiYbsxHW - YDoJWSAOXn5lDvjJ7HSmeBwVUKpLW3sJxGemfZYVFcs1sFWv1zqVlgB80p/pWDNtuxBpnGaCEsZy - DzpIKECBbbkUau12u9k277///ptWNzyXpDnh1MmYyovC1jWElFRM1zXbtnk2vffeuyfDGGuAUSot - rdXWa3ZjsxgDIC3byKWvgCAU5iwnGuaMG0gvVbe2bTudau6zPM4rXlVIXla9GKOSV8Y4l1KWwbSH - Dx8+fvy4YViGYYzH46fxY8YrKyslP6bTajsYl2d0xthsNrv9ttvGk8ni4uJ0NM7zXEqZ5/l4PO60 - 22GWeK3WF2+5PWO83Vjw9OYX//LvJrNxp9Z5yRUv3iKz4PRTd931wJ7Fg0lRRJMZolq73R6Px5/5 - zGduvPHGa57/gksvvXR1dfWOO+74hV/4hcNHjjz66KNevZZliQTKsiylFOdScrG5uRn5wb/91X+7 - sLR48uRx3dKDKCQESahLKYMoWF9fX988TynVdb00kGeCKYwAhwpB+rSmwtNJ099lXeRQlOMVDlQc - xxyoTBUUYVmAvOCY4lywOEtuuunzG/1TihZS4DPHzo1XT2eEeYa9pW9u6n2XO8NBtL49mfoJ1mxJ - QF5IhVGn13vpj137shdfu37uHKH6sRNn/uyTn/jqvXdfeeWVv/ve91qWlecFhBBIBQBIoghDdPb8 - OQXBxsbGysrKo48/ZtrWcDyJNsNWq7W4tLR6fvWhhx669mUvdSu2bhhREn/+85+/5kUvrFar5elo - MBg0Go13vetde/bsGY/HQogPfOADH//4x/M873S7cRzPZjPXdalGy3A90/ye3tT/3NYzLmJKvapl - WeVR7+TJk+9993s+f9NNs+HYMIxHjx49cODAj73sZddee+1jjxy95PLLHnvi64LzcDqzNcOuuDWv - OpvNXnfdL1Qs+yMf+YhO6E5/6x2/9bYf/8mfOHzkyD1f/Wql4vCCZXECJA/jgOgoV2mtU2nO1a+6 - 5oonV7/eXvEoUs1K5U8++B/+9c/9wtLz9tmUXnLgUCHzJGVhmjvVWqPVUUXkVuzt8+cef+TBa1/y - cpYVoVIU47IZeyEDUpYzlIvndS4KACXGCAggFWe5IAgDIKvVSqvVEIKtba7mLDMd+4ljT1Wq7vze - xbPba9Va7cTpUyUGdzKZpFlaFIUAStO0ra0thEit2rj1lttnQbTv4IFDhw7Ztru5uTUaTqSSeRSL - gkEO0qwQlPp5oIPKqbVV6bDx9thWliOdaRjWjdqB5YO25a3vbPtJDChOeJakkUYQuqAoKFsqApVu - /1IdIi4ScTDGmmZcBNyVc/Ey1rFgCpSuBykvCuKe0frWIqbIGUBKaSAjWSmaVgpKJAEGuq4jAJRS - tZqHc1bxzOk0dE1ndbWoVEC9qR84eOTY8Y3ZbOZHjJq0Xq9naRQF0zDg8/M9fzo4eGjP6tkTzaa3 - tTVutPYgjLfPrkklYeObU6z/yUsZKSXGNM/zstsHITx27NiVV165vLx84sSJsknzJ3/yJ7/xG79x - 6aWXZll2/vz5Sy+9tN/vv/a1r3VddzKZtNvtz33uc9ddd93Xvva166677s4773QcZ25u7r777ltY - WNA07eDBg4PB4NixY29605t+//d/fzQaXXfddQ888ECj0XjVq171hS98wfO8ubm5Tqfz1re+9cMf - /rDjOFtbW2V9c+LEiWc961lXX3217/uVSoVzfujQoZe+9KW33nprGIa9Xm9rZ7sME65UKgCAkvjs - eR7Pn4Eb81trFwR2cb2WYQohgOScS6JA1fEodCta3QSuYrKu1amJd3YGy719++A+DkBcSdejfszy - ebt7qXlIAyRW4SBm2MSW4bb1bhXUY1DU7Na4CMIobjs1JXET9SzL2yp2HFe3dI0r1gOdKYiiUU5q - lmFpZo67sEsBSqsIZ34Ii7WdiV6tQ+AyEOqG3aqYfjSZTif7FpfH04nkggEOKAYcxHFca1ZYHvf7 - /WbN2LOnDRWaBYwRCyJdYGybuhQsz9IwiYMggCI6dGTeNkbHTgbIYe255mRjK4GJZhGpuO/PCj1T - WCgFNY2UrMpyCMg5xxgbloWKgqWsTLszLCPPc6WA2hXvo9JTFgRBWTFPJrNSAvxt+TFBFBqaXqvV - giAgGBu6jhWY6/X82SzLsoXeHMsL1/MM20IY50Bc/dL/j7r3jrbrLO/837b72fv0c8+5XVddlmws - y+BgbGRMCWB5DIQaTMhQ0pgZZlGSkCxPZig/hxjIhGBCQq8xuAibYhvj7thykSXLklVvL+fe03ff - +23zx5YUhxgCDL9JeJbWXdK9Wnedc/a79/u8z/N9Pt8XN/3eUm8w57YvPfdVxXq+3e2YiqUxY/pI - r2pqw6Nbq42JQnm47/aZFAvLSxBCJ+/ouv7U04d6gz7j8rbv/WBsbGx+Yemxx/ZFaaKqGhM8ipIw - jjml46Njmzdvrg8N/f3nP29qRpSLXv/G31KJhgjMuk73P/Qg5Wx4eBgSvNZub9qypVwue3FIGYMq - SdI09INfgNsmEYBQCC7F6XFNzkGSUAAolQIRiAFBQJimySE4cvyooqlu2DWsvF3QqsrwGKDMUv04 - LjsFjamD2X652KhsU5dWeCuaiYSURDLJU5ocPXl0fvbk97//fbcfuF5Qqgydd8GusZHh5uqKoqph - GBYKhSRJKGWMMcOynFwujIONWzbOLy9wJB56+MFLXnzp+Ph433WFEKqunZqffvPb3iKRzBcK7XYb - EhCE3s0336iqarvbqdfrSZLMz8/91XXXdTqdxcXFjRs3zs7Onjx1qlqrra2t5fP5oaEhXdf9KDzL - ekWnhwRPcynBr4Oq8BdOYhRFMVQtCALBmK7ruqrV63UFYc/zkjjOjnSTY+MQwla3QxnL5/MbNmyQ - AOi6LhHsu4M0TV/+8pdbpvne9753fmb2gQceIJp6xZ49ExMTP7r7rm57bf2mjX4UjkyOD/wBVECt - XNm16wLOab1Ra/mVMHHjMOj2e60O7fZ6zz+nnkap4+R0pJfLxWKxkF0byGzHsZxNm8ZHRoMg8NyA - McaE0FUCnmsG51n0FJA9CKSUlCZEUXOWIaUc+IOnjxze+92bX/O613Y6rZerL2eMtbqdW2+7DWA0 - MzfLBI+S2LJzEUuJqhII4zi+9bbb3vD61/sD9yMf+6ih6c87b6duaf2ee+SZZ4I4qdSr/agfeD6R - 2NL0OE0U3YCq8eTBIzNrJ1OY9MIexjhvlnCKV8KVZtQeLHkVp/aKV77KIHqSxIN+x9I0Lwy4ZBhr - EIuUc4yxauhSwDRNU0ZVlViWlc/nB4NBFEVxHGfthjPtdsY5F5I9+xP4ReM5KzFcMqFI3/SFEIQQ - AYEAnDHGmVQVoes6pfz4U0uqAEUT/OYrXrX7EjdN2fziSrO5BiHMyt1U8CiKojDUNM0y80kSGoY1 - NTW1cdMkF+juu/cdPjw9PjHpOE7f68jgn1FgZy/rL/F2foWhaVq2hQghOp1OvV6v1+sTExMveMEL - er1er9cDAJw6dapcLm/fvv3Vr371O9/5znK5fPjw4fvuu2/Pnj3T09NXXXXV0tLSjh07brzxxunp - aQjhE088Ecfx29/+9rvuuuu1r33tTTfdZJrm4uJiEASGYVx99dUTExMHDx4Mw/Btb3ub4zg/+MEP - VldXn3nmmfHx8fvvv9+2bU3TfN/PxAT9fj+fz2dDeRkG7WMf+9i9995rGMb09PTo+NjCwkKtXNI0 - zfU8y7KElEEQaORXM7UUuJ5hGAgpNE4oFzQOTVVP1LSYK9uKuZQG87PTleH66kzr/I0X+iAWHpch - r1crtJ8kRpQHFRAwFPGcY3JKg3aUyxcBCL1eSBokZ+XDgObssgbs+ag18NOQpbavyTVuTeYNUJqo - NptJh6XcIA4BugE0GHe9bqTUiuWag7ilgBwEBEGtN+gQ2yyVyqu9jm07MCAgJQFNgARZxct3uxs2 - 11/84hfYSHRa3fsfeLLZWtUrdU3Xu90ewWCoUlV0rZAvsXhQLpeGhyobN5vItla83uETK267b4wT - CDAhxDRzEQmjOJacIYIVrEgqAQCEEN/3GQXlfJUA0u31JRBZwUwIripqmqYQZVVVGQTBzMzM1NSU - ECDTq/4MfgyE0LbtNIz6nW6appVKpVaurK6uhmG4OL9w7vYdzbXV66+/3ink291ewtPqcMmjbihC - SCCl3NAsxJT+ai9vFjEkhmEtLi42GkNQMgmAY9vtdjvDDp04cSKb9F5ZWYnSBGPMBIWIcCAAQNVq - 1et7i8tLn//SFztrrWq5phKFJgkCEEnAGAviyLKs8cmJJw8erDdqYRiOjo9RzlZWmxxIRVWB4BJI - 27TOVmLgzyfvPa03AjjjzEggIcAYAimAqioIyTCIvn/H7Y898ShEXDUUTIQXDfzIR6qmWFacMA3p - kKPeUq9YqNil0mq3F0um5yyBZeiHjm1aWgFSPr+84vaDqfUb+q7XGBmJ07hUKvlBUCgUer1exllV - FKU36MdxfOPNN3HKBOPVUnm12XQcx78raLVapu1Uq2UpYcqS+blFNxgYhoEgQYRwzrGSWc1IjPHY - 2Njc3BxC6MILLzxw4MD4+DjnPAzDjN0VRdFgMOBAZoTirFr8axe/cBIjKIOant0n2ccBAbQsCyEU - J0lOUYQQMU0lAIZpKpq61Fw5dupklMS2bffdwcjIyMS6yYXm8l9/8lOGqr33ve89+HdPCwjuffvb - /vRP/zRl9KnDTxNVYUBads4wjCD23K537+33/s7bfvvJg/s9L5IEY2mONoar5XytPjGzsDhR23hy - 5mQkg0F/LQ4GS3PTM6dOxIFnqsrGdePFfPH48eNeHJpW3guDDOqWTSoCAJAEEAIghJRSQQhmHMw0 - 5ZRajqM5BHKRpsnM7KnmymKr1T5vx/Z/vPEGACXnfGhoqDcYHDl5LEmS+//pAV03fN/v9bqZDZ6R - s7CqPProo0ePHrVMk8fp0NDQX33iOk3TKOeWk0dq0m53CyWbkdTte+V8aaU3mG+259urDNJB1CkU - 7YJT5zHtLg6SKEEN1R/Esc87bnzw03+NEIjiwDaNwHcJViiVqqpAgLhIsrkjCQXjKYQol3MsyxIC - xHEqJVQUDULIuYCnHQYkQoggHWGAfopX3L8Z/zqJIVzhEnEiMD491y0BEEIQjWAECVbDME0TfuFF - 57rLTRPBG79zW3stiiKAFbBxU21+vtUY3YhVxw38KEoURUUA9Hs+BGmt7Pzoznt0A6+2glKxsnPn - Oa12GIYxkOCMld1ZVMy/f0cpEx5lzemsxpvhrS+44IL3vOc9f/RHf5SVfHu93uzs7Pvf//4/+IM/ - 2LdvXz6f37Vr1+7du59++ulmszk8PPzlL3/52muv/fznP3/++eeHYUgp3b9//8mTJx3Hec1rXvPw - ww+Xy+Ws6bl3794Xv/jFn/rUp66++urPfOYzhUJh27ZtKysrGQamXC5HUZTJIcMwHBoa4px/4AMf - +NrXvkYprVQqUsrdu3fv2bPnzjvvvOCCC07NTNdqtTiO4zjOGBVCQk3TAP/V2KxknfskjnWsjtg1 - t+uF/Xihs/D00hFHN6c2NDY0NnMFL/ZXvvGPN1JJne1mbTQ/aHskxXd8/wcqU/IjeVzGtBezmC7N - 96+749NAQZt2bTSxKVXOBuIbN9+EhF3fOGJOVjQTW0g7duDIZz77BaRhvEkfPXfSyjvtk0ufv+kb - OlYa2ydI1VKF3u30733kgfuie+yKWZosKiNaL3Q1SzMsa7nbrqhVAjlTECBAVVWTGDlTHfS973// - h3HHr9cchJR169b3qGy7brVUFZz2uq5EcH6wJBKPt2Y6qyzlgDjAGS7XqgVSVldYJ0koQjgIk1Rn - ppFjkFOeZvtrdm+GYSgoymtM0zTbtlOaZFczTdmZbRhkPfEsfT9+/Pi6devz+Xymn/vX/JhSuawb - xuLcfL1aU1U1804qF0sbN27cf+DJZrN5wYW7mOCj4+NYU/04YkBYthNHIgqE5ZSSkAYD37A1Qoyh - ooMhSdMYAlwoFhPOFBWzREgAnHw+CAIJQKfXrVariqK4rgsgHB4Z6XQ6QkiESRhGQRQzKS3T1nSz - VK1hlURRpOlqFEUKJpDgUr48OTkJIZyYGidYjRPqBb4AUtE1BQCiKBzIJEniKDo7Lfxzwu6yWpeU - UkoopZSn/R0QACyOQ5FyQzMLlRID0NJza6tNpAAOBVZyIIVJwHTVSmKGEJwc30o57/R91bQAZBAD - KCkCYNDtJUSRXGiKPjxZDpJ4aWW5VCjalhEEISLIdV2sKpppaJoGACiQomT8mRPHaJoqCM8tzOVM - y4/DMI6YYFXbhAoyDdNruhIJI2dmBbZnjh6ZWr+eMWrbuWxmXtFVrCoQwiPHjtYa9aXmStYxKFbK - hmEouqYoShrFaZpyIdRfqgz/7x6/cBKDMU6iWFOUzCjY7ffb7RbAyCnk0zRtNpsTExNLzZVzzt1R - aQxxCAw7BxWMpEJMvZG3u/3+qZmZ37zi1c/btXN2eqY6XE+huPTSS/uuOzQ24iVRrVFnjEGiDFwX - CNht92QkbNU59PjhR+59zKnnp+dPRlF0P2WNoY37H3+mc6z1uivecHz6hA6JZFGtaAORWrpmKQoA - YHZu5Uh4ynFyUFFjmmq6/hOb9E+c1DONyD93l2hCY0oUxBg9sTSHkdIZ9AUGSZIuLCw02y1VVbkU - lmW1Wq2hoboXBBzIaq2WMOp5HoTwnHN39NodRunw6Mijjz6ay9mWbXuDQZDEpp1zHEdwpmDV0q04 - Sg3L2ffYAYahkdOi0DVUNfU8wGitWA7D8MSBWSYQk6RcrmIok8CXQkShjwRHEKlYERwCiBBWhaBx - QiFghCAr5+Tz+SRJlpaWNM04q33TNE0IwTkFACMEMlVXhvL8JZbRmSRGACCY4AAAmjBEICQIZCxw - zpFCVFVlMA6jhBBa0hwE9RPH5+N2v+ZYu847Z9MUI4Q4xQLCCkQnmu2IBlw1DIxxHHlEI/l80TKU - 5tLc7pdc2mov7b5s0w9/eN/S0oqmlQ3DTAcR1AWQv7Jpml9JZMtJVdUkSdI0rVar2XjIE0880Ww2 - x8fHFUUpl8sXXXRRqVR69NFHb7311ne9612f+9znHn30UYRQq9UaHR391re+1ev1pqenN2zY0Ol0 - jh8/vnPnzgsvvLDValUqlV6vxzm/6KKLNE1rNBojIyOjo6Nf/vKXJycna7Xa3/7t337kIx/Zv3// - oUOHFhcXXdfN8qooiqSUa2tr3/nOd6rV6ic/+cl8Pj8/P5/L5Q4fPnzNNdfs2LHjyiuv/KtPXHfn - nXe2miuWZWm67rq+kFBVdf6LJzHPuZ0EQUAI0VQdMtAf9PxuWHcmtk6db15ohb7b66+Esed5ERbm - W9/wVhPpd534Lk2SQj7HArn+nPVbJ7e6rDezOu0mPSD0fGPday9+YwmXDruH5pNpP4nUWH/FJa/c - Wr2gCVoHeo+uLTSLxuiurS94/iuerxvGKTD7xMwBN1ydqkyec9nUBVPbKZB3zT3QXg1rVq2xpXDO - +o15w1kBzSc6T+mGoSnK/NpcuVKByZllloIoDjSN0CQAKLr0RRc3HDP0o0cfO7q83OR63s4VOQc0 - EYSofhgXi1UVFnafNw54PLfQiSDkJnny8NOYU5xTVVUnWFeJzhFIUsYgVzSVhqerpBkYHmPc7XZ1 - opfLZT/wVltN27bBmYmzDCmpKErmhbS8vLywsDAyMvKc/JiUUlPXdF2fnFrXXFh6xeUv/eP3fSCr - xn31q19963Vv/Yu/+ItHHt33qle9youCOE24FBijOAywquQMO/CifKE0VBptr7YDP0QIKRgylhqm - BpEM48BW7DiOmW1nzxbO+cjo6MrycqlcdvL5wWCwuLhEBUcIYUK4EFKArA008H3JGOVpFPhVs5oK - qupKmqaSyYHnPnjHjy/c/aKJ8cluv9fp90w7V61W19bWModL1bSiNEHiZ9lWP+d9mikCz3qdAQAA - goylAEhIMMQgoTwNEiKJpEjLWQxy1dAxgOkgMYkZQV8A2PUGXIqu27NKNmOpkCyn6bVcXho2QghA - JCE4eerUhg0btm/ftrS4KIQwLFNRiBcGlmG4vrfWbum6jhBSiaJbZqFQwAjNzMyouuZ7PsZKaahM - AW+2mrOz8+eed56iqzSKgiDI2fbY+Hj2URNCGOMJozo+zefNdoHMKy1JksFg4Lqu53m5XE5X1Axu - +cs9/P/d4xe2HYAIa4rieV4GFcjn7K997Wvr1q3beeGufr//st98RbPZXOt2vvatb1qOve/g/t+4 - +GKBYGN89OSpU7quI4JL9dpNt+599zveWR899nv/5Y+svHPhb1yEVWV2ceHJp58yVQUAoHCBMUEC - NyqNoBte9oLLpw/PjpYmCtUqp5rjOJVSqb/aHa2NDhmTjz914LVvfN3DB+5/7LEngkGbcOZ1+4VS - WdfMGGmmXWr32jnbpDQ1NC2KMi8SAMA/T8BLKQEUEkgueHY5KQNCsjD0tczBhCUIgVdf8covfulL - n/jEJ6699trGSD2KIkyI6w4gwQICJrmQPNOFuYFvWZaZs06dOhUH0bW9LSIAACAASURBVPjo2PLy - SrlarVars7OzUxs2tTrtwI9sx4qCUFEUghRKWbHcmFlYVHNWQrWiU076bh4aqiKFSxWpY1ONJJNI - 6ceh224hwUu5HIZAJQqTACHCBJAYIkSEZJJzTcWmrhumFUWR5wVJQglRsywtA7dkNhGmaWqaklmx - Qwh/OXTvc1ZiJBQM81Wp5nI5jDHjnKUU6UDXdcCQELBYqCUK1R1t0OtUy2PHjx3inC4//lS5Wun1 - Q4AcBDFNOULINHOAs17X7cmklC/Mzy3lbO3IkaNSoEHfHR0bY7QPJJb+fzhhL2NMVU9DcnVdJ4Qc - PHhw69at3W73oYcempqaSpLk+uuvb7Vax48fF0LMzs5+6lOfsm17ZGTk1KlThJD3v//9QRAEQXD7 - 7bcLIXK5XMZ0+e53v3vy5MkPfvCDQ0NDhw8fLhQKhw8fLhaLjzzyiOd5CwsLo6OjN9xwQ6PR+Na3 - vnXo0KFKpVIulx3Hyc7BF1988fOf//x3v/vdhmEEQfDZz372tttue9e73tXtdiGEiqK89a1vVRTl - Pe95z913361pWhAEQkrDMCRAnueZmv7LfSCZIOasfFy3TEEZhJAxymI2lK/Eg5iXUx1XirnC/PGT - al6zTKNk5dxBYhRyDWNkoX0icVMENTNXwCBXI2ZbtECSCoYsnktbktQ1PEDUSxQMNlTG01ZarBoY - OKuxUdHqyIWDuZ42rOVAPnWZDe2CpepUMxLpAHMlapIOLxcN0U+LXG+gIgBSTTj2qUijIPLGy40k - jVUOMOUGIol6GtCgqaRUHlpbW5s/0gICeG5SHxoRZmF6YSkNg1q1UioUmWgP+j5g3lMH/cjvCmjm - 68OCwzQRRAhDtyAgg74vHCoxEBxqpsllgjGWXAohsi4wT7mkFLCzQE4r631nhKcsQz3rj1ar1dbW - 2svLy1NTU8/Jj2k2m7lcbqhcCQfejnO2f/azn505derNb37zt77xjcsvv3xubm7r1q3lctnIWb1B - f8P6dV63jwiKOQdAarre6qwhgAnC1ZqDAABCDNzIG6zlinnCEOcpIcTzPE3TStXK0tKSUyyYVs73 - A1WlkBCMkKVpEOAoiQFgqqrTJMUERlFULBZ833UK+ThNdFNLeapbummapUrxijf91vz8/L59+wzL - zOVyrue12m0hpaHrjFLOedZT+wkkyU/PaSCQMCvNZ9MeGClZTVeA1MybcRxKJgWCWFFzOUMleqkw - BDTYHLSTsKMRRWOAAQYsBSiIs0hV1aplIQQwUHgo074Xcs8wrRQhN0lSwLbt2L6yuFiwdJ4EiuOs - rbXq9bqiqJmj2bp161zP03QljRPK006nVSgUxifHoAT9oBcOegLzYrG8c9f5nV43SqPVVnNyasrt - 95eaSxs2bJqdnS0UClJKjGC9VAjDkAkuhAAIQowwgs21Vdd1i/lCrVar1WoQwjgIT6O8su7bv/rz - K4z/Px7KZ5OY7GDxb7/glCaaQmw7FwT+jTd+54ILLhCMCSBOzZyyLGtuabHb7cj2WqVWPTx9olgu - ff/O22NGF1eWq0O1MImTJLFte/+TT37wT//Etu12u63r+t9+9vowitbarWqtZOlKv9PFGKuqlsZc - g8pXvn4DZUkur0ciYTBNBc3alizlpqLJNMlphm6oX/jqF207r6lGsZCrlIqpEIsrTVXTMMaaoTPG - FAxdr0+w+pxv89maVsZYRl7P5RyeUowVTdO4AIeefnrT1i2f/rvrU8mTIEQIxXFULpeTJMnn867r - 5vP5bBfNoP6dTsewzEZjOHC93mCwcf36ubm5Srm2uLioaGqlUmt3mrZhIgQ6nYFdKPd6PbtQwKpG - EzZwuyqVhmFiwdKEI6zQSFAEoK2mjJqWXS8Xgk5XSkl0NY4oFwAijCWWjCOAkKKYppbL5dKEra6u - CQEajUZWHCKEKIqSpmk27ggA6PfdKAqEAPBn116hyByG/8XXZ/9cIgAEwxIAgBmSAEhNuPogDH1b - GhgjgDCjKZREVUmSRCuri3nHSrkcmpp89LGHm8vNlALTBmHoUiGq1UK3n0YptQt5Gns8iYvFYhL5 - GKO5ubliKdd3Pd0qNxp2nCScSwAAMAAHkgPJgJQAAcARl6ftkaGQEEiYZasAAAEkzl70v7nm/+8j - 8yrKHMcqlUoURYcOHcIYt1qttbW1bKIyl8tl4ycY4wcffHB0dDSb9meMCSEajcbMzEz2GyCEvV7P - cZxswL7ZbDLG+v2+oijz8/MY48XFxSRJsgnbXq8XRdHQ0FA+n8+KLidPnrRt+9prrx0bG2s2m7qu - W5b1ohe9yLKs48ePv+UtbwEAWJYFAJBS1uv1m2666e1vfdvi0jwXIk1TiIhtWzylAAAg0bP5LxIC - AIWAAkFw5vsSSoQkgABigQyKCEdYAg5hggFHUqUwSSg2MUGQYKgA/cZv7v326t5Ld1yytrR6w7e/ - blWtmAAeg7e8/O31QvmH936n2Z9HGoyoKDsjr73q9aeOHfnh7bfqFpIU2Hjo5Ze9enJ8/Bs3fXkl - mcuXcq2Z9saRLW/ac+rQ0ae+99CNVl6DkaJJZ3nPmtDQjffduOw2kSQwZNuq46/5zVeeXDjx/Xvv - 1IbyrdV2mef3vPJVRtV4evXI0K7h7ZdtRw4chF6aJjlimopGkxgwYDpmQbejoE9Zsry8LHy3Vqlp - prGyspKqg7xTsIeq/U53YWFRIVo+X+QhxwoIgmC5FSjtVnliLGfaeae0JnpcCtu2A8wEpKoKkjQV - kOdUUyaSMZYJF8IwrNpDgIF2u22YerlUba4uAyE1w0jTFCEMEIqiRNd13w1yjj02MtrpdWenZ+rD - P8mP0XR9bHgkDMNWq1Vv1IYa9XKlePXVVyuK8icf+tCO7Tuykf5OpxNHSX14pLW6pnBq5CzGuef1 - 7HJV4SoUUsXQD3qIMZGmjm0PggDy1B30S+VqHCY5w+Scd1vtoUq1s9YyDDMrexBFwRgvLS3ZjmPb - NpeMsTSKA8fKZWtPwVjXzdWV5fHx8dD3B92B23MBlaVSRXAOhOSUKQjjM2MK4Ix/IUboFznDSACz - iimAEGKIBJRQSi4ElyzqBURBKjZYSqGQGrESP06SBAlF11RNVYgCIaVB4BtWIRXxYnO5Xqk6jtNe - XdOBokPFMS1Lt+OE+5RautWoFAbdLqMJQvrIaKPXDRqNRhzHlDOMUYa/T9MUSM5SOjQ0ZKpaFEUI - wObycqlUGq4aYRKfOHYcSlCrVDura+Vyud/tEqwWnaI/cG3bDoKgXC4PBgPf9zOSQpxSLkGSJOVy - ud1uT45P+AM38oOMzZ2V9wjG/+4Kwl8uyE8yqH4CqHFGNgEgBAAKCBGRVCRMplhHfuzffd+Pa7Va - s7N66tQp13XrjZHV1RXdylHGkEIAAIVSkbJ03dTUiRMnqvUhTVOXl5fK5ZJlmIKyJAzr1arv+0EU - btu8eWl5zlAIxqkUBCs6gBDplh9Tyy4nNE2i0CRKQTM44xwBN42wrsZYRBDwlEpFWV1bO2/H85Ik - CeIAENXMGa7nlUolIKVIGcZQwWdGd/5lQAgRIFJACDBNqaIoxUI5jmLOmIqJrpkD15cS9jy/XKu5 - vtf3AwQQZalumQPXHW40jh4+Ui6XQ89XFYwJFAmLY06IEgSBqZsIoXy+2Gn3LD3nu4FpmlEUCY2b - ioEFYIwapqkbam8QKBiIKCnqZuiFJSsnEzeJU0gUjEkqhG7kemHMJcsp6qDn5jUTAdCPAqDoEmBT - 0aWfckYlEZVqVULmhlHQ9y3Lzja5YrEYBEEYhqqqZlrOMAwzmTqEOHMnyEYNhRDZOe8sfl5RlAxO - BgEEEJ0GlQmJTn+e2SoSAJxm/0spMCFUAM4EIQQhyIQgGEGoUEYj5lZylXJNO/7UMQ2CMADveffV - qd8fqpQH3qDnh/v2H2mtdbHi5HP5KImSJDRUHMUBgkLX9Ute/CoJU80wv3vbPavttXJ5jPMEQA4g - AAhKRQE6jGVMOFcRglRAiCgSAgIARLak0f+rrhNCKMPkZE36rBIWBEHW+Q7DMFPUZs6L2d9XV1dH - RkYyGURGIlZV1fd9Qkh2zs4QMo1GY/v27ffcc8+VV1754IMPhmG4c+fOer1+9913x3G8e/fu7Fft - 3Lnz2LFjS0tLF1100V133RXHcZa5ZrYh9XqdUgohvOOOOy666CIhxNDQUPbK0zQVQhAVj46MfulL - X7r99ts/ft1fua47MjYspWz3e5qhq6rWCfqCZHgCyEXKIINICoAUpBAJaRICIEzTBAL4i72aUlmX - H4m9JAVymYcIwxpWJKKnek3VNhBUWAD2/eDxDc76h2fuwwCeN3quYqqp4DCHTzx64BSXNrat3GYA - pVAhYOSh79wNoDh/ZGd20oZCPfbkoRP7D1VIpaA5gMmx0XVYoDv2/lBCee7QTgAk1DAS5JH7H2Sc - 15VSQbNUonGVmlz50ffv8xNvc3WzirURu1rOVU4cnFmN1mIn7cL+eZec64UBNomOMJYapRQrBGAQ - BAEP4jRyAdB+521vYIFbsIs3fOeHjeGqS0Vv0CXM0BViGbbrBZJxRUXbnze164J1CdWFmutG6bf3 - 3rqy0rfWGQBzz++qZcQEZ5IrusoFSJJURaqiKGEYSilztslYSiOuqFoYhqqmrZuYarVW3b6nKEo2 - gUiQQhOmKzqUKI1SBLCgor3WsW1ranLd7OxsrmC5vjfod4HkjuMkIQ3i4Obbbnnm8NO93qBcKEII - P/7Jj/d6g3q9XiyWQi+sFCsfv/6zc0cO9AftCCKgaT7jDEoVI8CFKnhOVVmcGJpuF8pf/uY3V1u9 - cBDYhkkA4ozuftGlS83l2dnZwPfe9e53E0L27t0bpUkuZ73xTW+49dZbIQRRHKoYM5qOVKp/+Id/ - WHDyURQZmhEEgaUbXLAoioaqtezGoZR+7wffD10fA2gaxsB1OQISAKhggRHnPNvJ/vXj/rm0coIx - qmsEQygBY5TGSVIul5dXe6VSIQxDI6emQrz44kv/9rrPnDx8dHllMQFJK2gWRgstr2nqmhf4iOiq - ZgRehCR64UW/8far3+73A8FhwlGv3TVNp1iqMih3X3Lp6trSvn3/dN65O6rVqmXmG42RT37yk5qm - YYxDLhSADDMnOKc0+e9/+F8JwraVm5ubG6pWLcMMgsB1XcdxIEaUUqwo69ZPvXrPFboudNN88xvf - fPnLXtpqtT7wgQ/oisYYS4O4ODzWTTmCiKjoylde8bKXvSxN0w9/+MPNZrNcKi0vL1cqlTRNNaIw - +asRuv0/jn/VTjpDuf5pgRASgEsI4jhmaVosFgXgrj/QdL1ISK/XGR4bHXiuQBgAmCTJ6kqTcz4/ - N1er1VaWlpkUWzZuGvT7ayvNIAjWT025g0GpVIrjeHp6GmHBOVR1TUE6TSmlMh4M8uWS73sF24xC - t+gUY99DEhl6LkwAZzgOpVbQE0oBx+XyEEBYAMlYqqoawhApSAIuOJdCSIbQaXe5535fp2uJGIMz - k+QKIZ7nLy0tW4Y1MTE5t7CAJCw7xcALCrbDOVcUEkfR6vLK5Ze9xPO8lZUVrKCl5kqlUoEYMQG0 - QnF1dTVnmDnT0ogWx7Flq0IApBDKU0TwwO+NTYzPLSx3u13LtFVFATwt50jVLEHA0hQ2RtavrLYw - UkcK5YXl1S1j63ruoOxYChPN2VmWcgiwRJAy2veSnMQYwmKpLAVgUkR+xLnMehlZ1yCDSdi2nf0z - CALLsjzPyzZIAADnNGuOQoiFkHGcAgCkBM/mIGWK4Kxlg7JxbkAAEFgCAQWDBEABU86FoIhTyLNa - gpACcAmxJITomsE7qef1xiZKfte1dfWGb3/TbXFAgURAK4JUgPHhc+eW+kG3PTo+oiAWB75uGEkU - rqwM7rxrNY59xTAHA1oslxBCiooplyAEAACIkcQIIISBhJJDCQGUAkIOJT6zts9kW782Jw/DMLIe - LgAgDEMI4Wtf+9pbbrnlJS95SS6Xu+SSS+6///58Pn/77bd/9KMfvf7667Ny4IEDB377t3+bMZam - 6Yc+9KErrrgiA+hlrgJZQS7z72232+Vy+f7779+2bVtmfFYqlQAAXDLKktHR8Xe+890JTb/ylS/N - zM0ahmZZNpdMCGDoplAlpRRTrFg4ApxADCAUQkihYKwICMIw1rA6VB4Cy0mnu1q2qoap9yRwez2n - 5BDdiHOyHbkQYgRVQ+plrVRQDQJQhBJNM6mgEEKsoEyw/guBCyEQAICfppSCMIOsYGBk7QM+ZDQ4 - ZTCkBlKpy5ScMlQZoQVKYaQhNUBRGEcihSakAOkQQsABNpWibgsDR2zw7W//I+EsZ+Utq/DUM0dr - k+swkZCzMAx1iwAAEEK+6/7TPz2wuJA6NlgbgMJQvlarFCrlU+50lIS2YwXpABqQEOKHgaoRBM9q - TiXnHGcvWEFCCABlGIaMUk0zhip6t9t1Xc80TZwBExBEElJGDVVjjAH+L/gxmqHruu67Hk3SoXrV - 9/21zlptpOEU8hMTE8eeOZ6mKVKIFwa+76uqunnz5k2bNp146omFhQViagkRAxGseK1u5KoEV3P5 - ulO2gQ46MDh+zBsMtm7aOj07BwVod9uNRuMf/uYf3vVf37m20ty6/RxNVR9/4olPf/rTe/bsKZfL - 524/5+Ybv0MZLTh5AjCLkpPHT2AJv/7Vr/OUhn7EGFtaWEAI1WoVjPGLXnTx/v37JycnfdfLFwua - oq4sLjmlIoWSSwEhYIxm08I/10qBAgCoaYoQgvFUwURKbmBt4PamJibjOKWIcwZN3Ri0uwoADz/8 - 8PNfsPPwiYOjE5XvPbB30V1Y7C0XK8VyoXzZC1+6fWrbY/904Lpr/7LklJgnUsYZQLlSiTGx3Fr+ - yEf/18GDT77w+RcO1yonThxbjpd37hrfuHFjVknyPa9YKKRpCqSEQpadAk/oXT++/R2/+5+PHjwk - N2/+ype/3G13q9VqsVjcds45u55/4VqrE7g+AdjJ2QPPtS3r49f+5ZNPPmnbtuM427Ztsy1r7969 - 2aYmOb/qyis//OEPT01NvfCii2644QaPphOjY0EQSMYZgET7uefS/yMFOf08/8l4zowMASA5lxAi - lWi5nJNGMYIESiSYjKNIVdXAC1XVdQeDcq1q2/bM7OzmzZtb3U6r046iaPPmzUEQDPr9zHVsqFbL - aBOZgacOgWFoMfWF4DRhUOChWq3n+TT2kniQ6gzpoBv3ozjgDJoQS2Q4uQqNiK0Uw8SLwgE2rEEv - lgJzLilNFE1VkJSCAikBgFwijDB/7rcGsrmkM2ZDIPu7ZVmmoudtZ2py3aWXXup53tatW+fm5rrd - ropJzx0QgvP5fD6fX1lZ2b1799e//vVbbrllrDHiBX6cJkghhJBLX/jCdevWPfPMM4cOHarVarsv - uyxM4nvuu3et1VIMxa7Ya14Xq4qhGJrAb33N67Ztnnrg4buJA9SC8tTJQ5Wxcj52VKQVtcLQnDNc - WTfo+ldcccULn/+Cq67YY2l2KV9qBy5BQBCh64ah62pOG7huEscsZphgAE73eqMoMgzDMAxN0+I4 - DsMwaypl5WXwrLLBWZBMxljDGDOWPrtCe3aS+fQmkVk/ntGxAgh1XZdQAIRU9bRqTEoIIAdApClT - FUEpD4IEe2CoNt5ZWZmcmJDR9FApNzE1aVXLd923b3p6enTdVipBp9cGLCkXCljKNIYTk5MCxUIU - qARCxEwSggghBEgJcyBLmE7PKEnxH2A+6VcTWSHN930IoeM4a2tri4uL7373u6+++uqLLrroC1/4 - wqtf/eoPfvCDtm0/9dRT27dvf/zxx7vd7kte8pLLL7/8pptump+fj6KIUpqZPnLOO53O8PCw67o3 - 33wzhPDiiy/++Mc/nsEFTNPMCkWZ2gMAgBAhBLfb7awml60WIYRgDOmnZ3rP4kxANuvBQTZaz7EM - Qw9igTUTqvjokePN+fs2bN8+suucp44emHns8VRG61+yQylYAKCsLJSVALGECgeKoiAOIIRQEinl - v9Hx/LkCgWchVbLMIFv5lKcA8jiMKoazZdPWXt9f9ds+SSSRQZpmeklJJcYESni6hUEAxjhJEkET - opH166dW5+d27dp1zz37Nm3asNz3JEQAANM0ESGaBjNx9+aJ4XO2JQ89eLzRcISi9fpuRFNpSkVR - FEVhYXYkRjkrl7L4jOZU/DNWVQUYYwwRZWkYhpQQx3F0XTdNs+95lFIkgaqqjNFnl1c554IC1/Uz - fkyn1+WcK6qCCO73+4ZhrK6u2ratK+qOHTuSKJ6enjZURVfUjLipEESwNrvYeufv/Zdjxx6tThWu - +dw1o89fn3Bp5A1F4qJT+M0dLy2jyv/+y8/pZu6Z49NxygAAjZGRF73oRTf+8OYoTbCqPP300/v3 - 769UKoN+v1QsXrlnz+GDT/3R7/3+d7/73ZWVlYEXGZq+45ztM6dO/c9r/seXv/Slj/zPj73pTW+w - NmwMw7Dbbefz+T/54z/udDof+MAHauUK1FUm+Mj42GJzxbBMgpAQQiNKVonJWItZ/FRNjEQAwjRN - bCsHIUzTlEs5Oja+vLy8Yevm/QeeYgpiaWJZOUPTmKACCWLgrdumZjpHQ7mWn8SbrnohVwWk/NTg - 4CZj/W9dtSdY6s4dmk27McJaYai2sLqUrzgRDf7+K5/ptdZecdnLLt/98vt+fO/YxPjvvfP3/+7z - f8eFAASPjY/HcTxwXV3TdEUdDAbVarVSqZTyhTAI3vT6N/zjN765cWo9k2JlZUU3jI9+5GPv+IN3 - 7bnyykajEUURhsjQ9CuvuOLNb3zjn/3Zn23bsuUD73vfG9/4xkKpFAQBZUxKefzkyU/+9V+rqvqG - N7yBqGptqHr8+PFqtaromjxD7JVn/oD/COOdP0ecrcScaRsBCOBzpjVZIFXRuaBRlMRxLJgY9Pua - olfLxdXlNaTg4XojiMJivpAGUTdOREqbKytCSiiBncsdO3rUNE2EEEG4mHf6/X4SRtVqtTvoIwgH - /T5ABQlJ3/Mb1WHE4dpKU0rulPNqyWr1mpWhSnNtpTZcZwlIIgoB7HXbmEnmpSpQsF4QHA3cwLIL - OceOogBITLBEQEoEkaIAAQUA8p8v0E/G2bNO9izOfDRcN6CU8pSKlI7V6gXN/MJtP2i3WgCAOElq - Q9Xf+/3fv+f++664ck9G7xgfH2912mbOsvMOJJhx/ud//ud/8zd/s3///mq1/KEP/cltt31390su - S9Jg/5NPerFPRYoQpjRVAaEpnT1+Mgk6l73iErWGn1474rb9+bV9iZtsHVm/a8uG3zh3e1UbPfr0 - TNTpmlCTKYcQBQOPsYRjaegqVIGWU7t+NwojGXPbyjHJuRSU0uzMnXlop2nq+362G2Xo+sxJ9ezk - fPb2n8WSEc9ul8IzAc6UMiRA4IxhLIIIQMAok1AIIqWUp1VjCEopMUIQyShM6lZpcmL9gQNPDdZm - NAh3XXBxqz6sY3li+pi/sKDrutWouq47CELLNgXgnucpEGa2hSPjQ7qB2v3B0aOLXihqlYks95IR - SFNKKeUcSvQfRdv7K4mshaSqKkKo2+2WSqW77rrrpptuuu6666655pqbbropqyi0Wq2MSpfh9X70 - ox8NDQ0NBoOxsTHGmOd5cRzruo4x/uAHP3jHHXeUy+Vrrrlmz549V1111b333nvy5Mmrrrpqw4YN - AIA4jvfu3Xvtx/+/IAgIUIUQOcdqtVqVakVKHroxUTGTMltap43KKYUaFELg0y1FiRFCCkIYKxqh - nAZeH2jAKtott4M7K27kKiJ1So5dcJr9Vs2ua6aaMJowyjkFEvMzgSDMvDEglBL+Xxa9s4ojJxlU - A+OsKCWlBIBkd8G2bdve+parB274j7d957ETB3zfhxbM7gKMsUJUmJ4eYAQMMMYkRJzzSqk0Pj6+ - cXysudLCGLfb7fLQMOXCANjzIpokEiBFwVEUuW7Uac/v2fOKQQyW2r1TDz1KOMM2znIpwzCYyv0k - oKlQNZLRmyCEGUaZScEYQ4IYpsE4za57GIYyZYVCAatqp9Mh8PThIfOgeLbvx1l+DJciO8ZEUTRI - ouHh4VKpBCFklA0NDWUXtNFo2LY9PNyoVCozMzMAgHWbzwni9MLzn/ejR27W9aQ0rLQSAE3qmDVT - t4WCllfaGzdtmz65uvN555dqQ/OLc0EczczNbj1n26bNmzNLBMbYO97xjsce2Tc5Nn7RBRc+/MhD - 5+84Nxi4Bw4c8Pp+qVRqr61t3ryZUSqlJADZtj1zahohVCqVsvfrOE4+n++5g96SO7VpQxTHxWIx - ZRRAiDGmaYoxfnYG8zMiG6bGqpLQlDKhGXqc0J47mD55qjo6WiiXtjS2rDVXB83W0FBFQdDKa17S - W7d+6MeP36JrQBZUkQPHl05uG5lcVxsv287KzDwL461TG8pGJUVkrrW2Y9d5XjwwHVQs2AVde+nu - F997z4+FAFGc/v57/uD1b3nj8NhodhJWNfXcc89VFMUdDLxuHwAQeH4YhmmclHIFjShxHKuGniRJ - kiQSSE3ToigKPZ8KzlLKGHvggQf27dvXqNd7ne7dd/0YK0oQBEmSZGpfRVEuu+yyyy+//Iorrvj0 - pz8dJ1Gj0fB9HwCQLZhfxycmAT/7iZCVYaU8bWoJIOccY4VgBUKsqkq5WI/DOHADXdG8wSDTjWqY - BJ5vmuY5W7cdPX4sl3eGh+r9gTs5PpG5S5TyhZnp6fGRUWjm1lqtYqUMISwUCinngmDVzEVxKhNq - GSaj0XC1SCw8uqEyNFlf7jQsO+e7kQnN4cpwd6mbF7l+a8ClWLdxw2q3feLUcULUOEpVVcVAEiQR - YFxAAImUQAD506RL2Tkyq8dkmx9jLAgChBBPKWfM6w/OP2fHts1bZJgwP+KcAymxAJfvvuyWW27Z - unnL7bffHiVxJLnU1VDwKInDfvCOd7yj2qi96opXHj9+dHx00brZBQAAIABJREFUWNL04GOPbdu0 - 0V1dc1QlcGkc+6Oj47nSWOrGzE+mpqYC1t20c8sCn+0F/vil6zbZWgGay/tPHj/xWGPjJRPDO1g9 - joXEQDaGhnXVKDh5RFVkIEXDWIKQR0HkUyY0iRVAqORn/aGyhxSlNEmSTPICz/CLz1YvDMPIHpoZ - iPpsEvNsS8WzGQw4U66XQAAgoAQCCgEFgAJKCSA4U4PJjukYIAwhRQiZmhl3kpXl1oZNG0EMFSB/ - +IO7VhdWJAX5EqhOlmhKzZzW9XxVVU0jl0gGOLMMw9Bws9kME9fzO/lyLZfL5UuOYCSKIkiIJCwb - Mci+nr24v6aCtWeHpmmu62YjTpkC/XnPe14QBA899NDWrVu/8pWvFAqFjPvywAMPTExMvOUtb7nh - hhsuuOCChYWFTqeTKegppZs2ber1emEYLi8vZ4f7ZrP5xS9+cd++fTfffLOu6wCAjN6bnewzX2vB - U0VRlpaWDEPHGK+ttQzF0jRNsPTsFpvdOxgiLrkQQgFEAMk5wwCrmhKGga4QwzH1UVVRtBQhs2jV - hiuFen2lOc+lsAt5CFDKmKKqmX4o0xlCmfHKEIA4u6bwV6FnQgBCCaBEUCIoJZQIQwIwwCrRFLVe - r49u2DAKUP2xB5RZRVEUqJ82zhRAAAwyVhhCCKgAAIAxNh2HMXbvvfcimmqqadtlCpJ+v98buGXT - 1vUchCSMEl1XPdcLAsSY/OpX7xAKqE/Uy+VCvlxq8tXTzHuVppAahkGg4IJm7xohlEmwwyCW/PTB - IJsjy6avEZeZUY5t2yxJgsDPpN/ZzZvVVqnI+DHo+PGTk1MT+Xx+YWHB9/1Kqby22i4Xi4ZhpHGS - xkkax4KxTru9tLho6cbk+EQchgKAZ06cOO/cLYvzs418lfZDLZJbGlMMG6wpEimkbZpAX131FhfX - 2q1VyplmWzGjnU7n5VI8dfjp5ZUVvrDwsY997PgzR//hc39fqVT23nxLqVyoliuLM3P33HlXrVbv - dTqdVpsmaRrFLEkRgJKL06sLwyRJAIDdXo8LMTw8rHkWJNjzPICRhCD7QCzDpHFy9p5/DhEkACBz - XD/zHUqpZTtEVVwvIEQZHR3XdKtSqTx+4NH9Tz4yOjKcI+pyc6YbNVvh6np9dLW9ODo6fHL/obQL - wmVvy/hObcCDdpqrWAPXVwWePTU9t7waQZkfH3nk4KM5U6vY9urs4kf//H9895Zbb/3BD7ddeMGJ - hWn3Gfc/vf61E+sm77nnHkKI4zj9fn/Q7RULhYJlZ7k1gnDDhg09t5ckiReGFU0dqtcLhUK72yaE - 5HK5IAiIptq2ncbJ8849b9OGjd/73vc2bdioKEq73ZYQKKrS7fcKhcKBpw5+5GMfZYwdOXJkqFGP - 48jz/bNur/yXgoT9u8ezNDH/lhomC0opxjohKpJwcmLiqiv/E4Fo0O83Go2VlZU0iuojwxm+gnO+ - uLz0vve977pPfmJ+aRFBOD8zOzo6ylAa+H4+Z1uW1e/2bCs3Njyy1mmrmrY4fVIvFRynYCK93V+B - kNeqhXe+/Xcef/qR+pbhB59+GJdgeaJYk+WkF/CkOzFh6b66aWyj6RTf9s533XXfg//7M9eHUcK5 - 0JBG00hyhhBJaKoQQ0L8M7ays72kZ2czlFIsYa1WK5fLCiaFQkHBxPd9yzSzXR1DJIRAEK6trW3Z - siVI4oPPHNm0eSuEkkvhee6GDRu++tWv3n3XXXv33vzf/9t/k1J+4hOf2LlzZ6u9FkbBVG4ikTxK - 0uzAZNh5O++szi9IKGKRFutFbKin5k+ZEoexTykqOLlCztq6cdPR+fkwCjPgFUIon88Ti4Sxn6ax - 1/cAVhACqqpGUZQASjnNNqRsViWOY4RQ9lNCSEbyzf5D9v3swJfZEGYHMoSQEAycofif7SUBAIDk - 4Lk0MRrATFBO5BpYzX6zlJJRKmiMVS0MQ8RIoVBYPdX021HFcV7yggvI9k3VQk4xUELEXfc+vLi4 - iPWCZVlhGErGdIUMBgOCRL0+fP6ucwZuR2LyyCNP+WFo6gbBKpUxSIGiZC/4XyxlKX+d5C/PGdmc - 6tmMYWVl5YEHHsh8HC3LytoNlmV1Op21tbV777133bp1q6urGdDszW9+c7FYfPjhh1/3utcVi8Uv - fOEL9Xp9/fr1Gbt57969v/u7v3v77bdn+QpCyLbtTNmdJfG2bcd+miRJsVikNMloE1hiIUSapsQm - mX2PlJIohEOeTYBJKAGAlFJOBcRY0bUkDgkmm8/ZaG43T6zMRSAZWT+2M7/JB/0BiI42j0kCVGRR - wSQEGYYqm0NEQOAz5FUJ0U9pdv9ikSWCWXp9lpojoRBQcMpOnjx55623OvnywsJCmqZIR5QllFLG - GNZw9qA4ndYLQClVzXzgtcN+8PKXvmBqZFgKdMcdDxiGCSAZm5hszS8lSWIXCv+HvfeOkuWu70R/ - qXJVd3XuCXdmbtINEsoSEklgEA4YMMgYY4wxxvbacMALxmlZHgvYxnsex95nHmtYwiIcSQaMQEgC - IRmUuMpXN8fJ07m7cv3i+6Pmji4KBK+9hvfe98yZM6dOT89U9a9+9Q2fgInOWF4ul/funalWjNM7 - B1Lzxild/M794ygkU5rjOJqmaRakglFKlbaZrm31Pg3DgA6GGc4ZS9MUIoAxppQWA7jRaKRbVrVa - TcJwY2OjKEIK4i54Gv2YRqNBCCn0Y6IoKlLkxcXFjY0NxhhGRNd1KSVlmWA5FflFl172hX/6yvOe - Mb+yvmFQ6+R9p/qa4tDY27zYa1QO3Xt84+yg25lcsHdfr7vRbDZSwSuN+ute97oXXX/9lVde8fGP - f3z/nr0XXXRRs1Z/8Yuuf/WrX33gwIGcps965jVLS0szMzOuWxqNRpVadWVtddeu3eMwiGi8ur6G - NQKEGE3GpVLpzPKiUmocBhwqYhmM80a71e12HddVQqZpKjKqa9r5g6Sne7JtHS/600JIy7K5lKdO - nxmMRyvrq55vWea061iTQd/zLc+yE5589stfCDrLtbrbWxuzhPRGwaH7l2dBeZZM/eNjNz1818PT - UzOOZztVJ0ujYycf86daWZR3Jt17b77Ngth/rV9rtT//9Zs/9+XPi1wcOXLk9JkzRNOAUpRSqECp - 4gsu1jsbd91110a385cf/GBnY2Oq2YIES6V6gz5CiAF5513f3uh0NNOo1GtZlkmgPvmpG2+44YZO - r2tY5trG+i233MI5v+mrX+l0Oo1GY2Nj42Mf+9jJkycty7r33nuHw+Hs7EzRkizEvn9MW9fku0dg - 4Gk2iMfp4oTohOhCqCylCJITJ05dc9XV99x1721fu6XX60VBCDGampq65pprer3u8tpqe3r6xLHj - CoJdey7ACK0sL1erVYTQ7bfffve377rjjjtuvfXWd73rXYsryxChD37kr9aDUTAJg2zcqlZnyrUz - J46eOnbs9MkTP/frL4dteeDUQw+fvjcaDmcrDT0WP/ny19aZX3envvaNuzwNtqdqRANCCEq569iS - cZ1gQyd5nivAFIIAIPg0Bofni4tsTeU1QpJJFIZhp9PZs2s3RIgBqQiKgjBN02q91pyZCqIwy3Nd - 148ePdrrdH3bPfTAAwAhgKDpWBomDz3wwPr6+tLSyiMHD545c2b//v1utXrfgw8ePna00WoADUdh - bGseEVhGvDccuK47HgTVVu3gN74wIiE20FhJnqPtO/cFKXvw8NFBJzFLvmlZR44cGQwGSZZmuRAh - z2gKOKeUmm6JEIIBYZLCwinCskzTLKYJRWW2Zbxe5GrFA7IgIhU2hMXxLYgMIWirGfODJDGISaE4 - cfTAnMRx7EmbaDpABGJLqM3ykXPOGJubnw76AwX4PffcTRSbxMBrQ8trzs1NRRnc6PcbrQZNOWO5 - 53lRMFxdXQWYGibGhpmmqZSbmBuWC2ABXdcxxhD+S9RufpSj+IAKiJLv+0UN3Ww2Hcc5c+bMwsIC - YywIAtu2a7UaAGBjY6PZbBYPhg9/+MOzs7O/93u/NzMzM5lMXNfVdf3AgQNXX311r9d7yUtecuDA - gS996UsQwhe84AUf+chHCuwUhLDgLg2HwzTM5+bmRpOhlEJSZdt2HrOiXYcROR8TI6UsYFhQKogw - UJArgSAEUHIlzLI3yYOzvUWpa5rtSEaPhafCaJRqmW5qgvGMpgDBYqUpoJSEQCoolYIIPH6D/i9u - tYUA46aeSnFtOecYa1gDTKaSi7Nnzw56Q023N8JekiZUo4qxYsETQpRQm0hJpQAFhm8Uuv779u3q - dDo0DA49dtSyajTPGVb333//zultnuf1hmPKhG2blNJjx44NB71eF8zsmLUrdcuyFEbIwnEcj0Yj - TUJoQykFgrgwZEUICbqpFm8YBgKEZ1Kd49ls9VMLyzbTNDRNq1QqBbWtyH6Ku56Qx/VjOr3u2trG - 7t27CdFPHj9RqVRolnQ6HUPTT548TQiBCsRR4DiOa5lIyYpfCsOwXKs+94XXrxy8D0j3l1/x26nO - +4IqZZishDOjVZor7Whfevm17/2T9zhlVzPI2kY/TJMPfOADH/3oR8NggjG+59t3ffAv/xIhtG16 - ptvv7duzlxj4j/7zOwtFGQUxQIhL+YIXvvDub911zbXX/u2n/+EXf/m16+vrpVJhYCcOPPTgYDB4 - 2Stfccc/39np9y52r+j3+1gjwXjiOE7Z9fIsQ2qTl/REuu1THIQAQJozwzMLKQShoGaQkucLSePJ - BBMx5ongbHFt+VsP3bV77552PGXuv1DX4NXXPmcoElYtR2G+oLXz9RBm6vWvu7oxVXvL7/1OL+gy - Hc3tnoujpOyV0zT4rTe8+eyxY36jcra34m+fet0bfmXp9KKtb5INsywLw1BJ2aw3cpE22i0B1Q2v - epWp60pI3/Xe8h9/J+e8Uq0meeb7fhhHr/iFn3/goQfjPNUNPY7jpl/+5KduJIRYlhUl8fDoEcdz - n3ntNbt27y4WT61WC8MwTdO5ubk4S1c31n3fp4zplsmkMAxDQlB8FaMXCcG/bhf7Bxnw/bDx3eyk - 74WG2QzBFaOC59R1Szu2b+92uz/70y/pbnSOPnaw7JWqfmU4HL7j7b/bbre/c/93/ug/v/OL//Sl - ubm5IAiWzy5iXZuZmQmC4C1vecsX//ELBw8evOmmm571rGe96lWvmp2f+9Vf/dXJZMIFhwRbrsmY - WFxcdGx7YW4+EINy2du2Y9uR4bEX/szzK7YZdfuf//DfaHrGk9He3Vd98aaBBJmQORPcdd2Z6W1C - pJzqrq3brpXnuYBQIiWkwE9zgkUPphjAb0EUdV0XhsGVtGwbEPx3n/10tex3x0PDMBTH64MeOyLf - 9/4/NT3n9//wDxZ27EiSJBiPTV2XUumOCSH84H/7v97//vfXG9VPfuLGfn/4trf97pVXX/XJT36y - NwqqtRaAaDQcOY4HAIzzTCc4Fez0mdNf/53fv+rFlw1GQ1TVJknQG3foIBk49NFvfkPFNs/R9df/ - pACgNdVEBI6DAJiEKkaIhgG0HDtnAmGUsBQhVBhZF8+kwWBQDD63dkMIYUHYs227UCsZj8dJknDO - CxWZQr6TEFKo+m7pHT9pnPQUnRjKc2AgquWapm12YjgnSOU5tU1X13XKslqtzPLM9cyjxx5NMwYY - KPug0WotrY0SbFBpOI6TZRmQUiM65wIANDMzQ2kUhFHGhWVZFacWh0JKCTAGYFNG5dzzblMB4sey - vnhSFBzsPM+Hw6HruoWNQJ7n09PThSVWtVqNoqjb7RJCms3m2tra/v37T5065TjOyspK0akuXB77 - /X6pVCqaK41G4/jx4+VyeTgc9vt9AEDxzgCATqdTAFFdU/R6Pd3UCNERwYPBoOxUdF3D+blnOQAA - AM65gIpoGEEEFCAEEYgoEFJygqBddoJ+GGRBuVS1HOtMfw2bugCWUy9ncU40DSFdEwbECEKIEcJy - 00ECQIwALJxa5eNArH9xQAA2HTbQOUERSikhQEFYWHYUl4hxYDc813UjPYImtm07JZRJzrLMRubm - WVsAIeQ5HpSk3+8rEaeOPT8/H4YiDXMq6J49e7JRMBqNTLNkmMg09XDcRQi7Lul1+dLSSlMhy7Kw - oW+EHQjh/Px8L15niOtEzziD55w0iul2nuc6MovmqG6YaZZIKU3TTNM0zqht2wKofr/ve6Xp6elC - EqZw7yreJE3TopjxPG92dnY4HB4/fnx6enr//v1Hjx71bCeh0fOe89w3vOENtm7ceuvXPveZz8Zx - rGnaZDK5/fbb7z7wYDeVIs99Seu+EWT9CQtx2ZFI05mFuC4SYFiOgApqKEhiCkWr1R6ORtVKpdvt - Gobuuu6oPyjIBEdPHF/YuSPK0zyJIYSWaVRNA0IcT1LJwOve8HrLsCaTCYLENM2icywkq1ar23du - v++++2q1WhBHV151VRRFjucWXmBSyjRJEELn3/NIAQEBUk+L7ZUQFA0nIQQiBEgQJQnnvFR2IhZi - jJM0q1erZxdX/vO73rO03rEsyzGM8ahHbCRNbSS5Bi1tqKZKTZ4yLjJIJLSR4diQSJ6l4WCkm9Az - 3aWllVK1MRgNdl2wdy3ubZw+7RqOYzuu64ZhiDF2XVdJmTOaMUr7/c98/nM8p0AqgjCGMMsyrOmm - bY2DSbvdZkIU+rxBFLklT2G02tkwDAMiNImjPM38akUptXffvsIzS9O0brdrGEalUjl16lS1Wq1U - /CAICidwjHGWZf9rd9a/T/xLbAcAAEUdP5lMDMPgghfWMAihAt9eXNlic9yxY0elUimXywoCAZRS - anZ29jnPec6po8f37dt3ww03/MVf/MX+/fvnd2x/7nOfe+DRhw+dPcUlIAzMVloyiFgSAgCKUb2m - aQVDeDKZNKpVXYeDweC5V/3seDxutVqFhVOB/GKMlUquX7LbjUq339m7d+/ppeXucKzppqHpRVFS - nM7WvBlsGg7joqznnGdZVmyYECOr5PqN2vT8Ninle//PP4vTBAHIOccYFVte0Y08cuxonueGpRGs - 98ZDXdcZ529605viOFZC6Zr1kQ9/7L3v+9NyuZwmlBAilbKIDbmEBFDJLc/95/sPvOm3fxPrAuti - b9ilIvc8j6Z5uzY1Xh9dsutFcaw0Tc+i6J9u++okmXDF7LI9TGKAsE5MIpRMuWFqAAEGFGW0US5Z - lpXnea/XY4wVgtbgnMllQVvwfb+wwSooSwihwomj0WhQSvM8V0oVFWFR1RXZTNGs5izXdR0TLISU - UiqkFFBSCq4UQkicq84BAOdAlBIhIoRQSiKkVtdXEQclk7zip34W5Emt5ARxNMzSKDuVJ5rgGGka - E0xyTjTCOCvwrVdcfVmcTFy/cvPNdy6vnZib3UcIoakAEBTtAc45tjBSSgqOEOFS/riPk6SURW5R - fGR5npvnPDQKILZpmlmWbVnGxHFcLpc7nc6WsyM4pw1TQLy3Xva5z32uVCoNh0PG2PT09OLiomEY - SZKUSiXLsubm5k6cODHbnjvHcGGFz0bh46PreioyRdTWotIQlkIgBdE5eBnESAAugMxoalka0UkK - 8ijJTc8UCDDBxxnTdU0IASFQUHIpC+SaEAJjwqXQAFRKycJlWP1w+oRP1VjeBPaic4bP564PkpID - CDDGSEGkYU3XKKVQh0opKQTnHBiAc44QKfYKjDEQIAgCT5Fw3FFE/dIv/sze7QvHj526555HbNuW - EvZ6PVMh368LYAxHkyxLSo4zP9/y3O0XXWhgu7rcHazc/2BvuVe/qKyU6vV6lFBJlERQ0zTG86K4 - snTddd1ut5vCfHt759xcdX2jAyFEGG+SSpTinHMlC3llIcTCwsJ4PB4MBpZlFcYXxeyp+PQLsj1n - YtAfRrp+wQUXdNbWlYTvete7X/rSl0jK/uqv/urv//YfECIFCaBSqXQnoWb5QRTqEKaSjFNFPJ8S - ENHENBQmKZJAwTzPuAZdYlu5UphS27LSKHYcJ44jpRQxdEhwSnO/XssZgxDqnsM5j1ie53m93tQ9 - Z9Dtbdu2LY1Tu+RlGaVKACV0x0pTqVlmdziwPLcz6Pu+n9K8wHMopQrQeuGI+XTF6tNFcfV0XYcQ - KrUpeRyGoaEZpqFBbIzGqQWt8ZC6pMZTqZmeZckcZZhgU0AguOVbK4M1z6nUW+3lldOObSuFWJgJ - nu2cnk9GaRAErWozShLNc0fj0LIdE6au7UVRhDH2fX9pebnRaHApszw3bStNUwGB4dgYodWl5dnZ - WSA5AyoYDizHhhoBCMRxCCA0PIcpCTAyDDtJEse2FYLlehVAOBoO9Sy1LKugbhTWaWEYFrtHnucF - cmBTDFr8WDawvzuJ+QEQczlNq9VqkmcQKt0wRqPRpleO4P1Of+8Fe3qDPpeiNdX+5p3ffMGLXnjb - N28/ffq0goBJUYhODofDM2fO/N///UNHDh3+1Kc+1e12yxX/j//4j59x8cVu1ecGwUhTKV8+erKi - WZKm/eHgvnsP/PSvvDQYBM+8/NrOeIPYlkw5gf6unZfdcecDP/2Cl934qc+99tfensQ0DqPRaCQV - 55yneRhHo2PHjl39zGsBgIZubZp7KbUFWd087/N6DAUUrgCIlUqlJIzzPP/GN28/8NCDSZJsm5/L - GH3kkUccx/E8DwgxGY89z0MKAKnyPM9ZVrWqCUsbrXqS0TiOdS4qleqwP6hUKnmSE4WjIEYQI6Lx - LCMICcagA9yyG2XJeDV6z399f29tvVJ2NKgMTKACLKMK4tEwNLxSDFW1Uc/HI12qab+csyyIQt2x - KZNKYiQUp1w3cBBHkKhKo6JpejFF0nVd1/UCD2hZFmOsyGlardYW2rdozxQAneLBVpBsKaWEoPO5 - S0UtqJTSNRzHMeOxUoIAKKGkCikgdIWE4hzLrJRyzhFCCighgUY0jDnGWEgGkZieqekAJ+PRbbd9 - rbuSeSagHGAPUAmb1X0JlePhsFqvAiSV4J7rjoc9S0cPPPAAgDxIUgDwrp0XBJMMAAAgBPxf7cb4 - f2vkeV6QzjjnEMIiHZlMJkop13VvuummO+64QwgxmUwsy+Kch3FQoKmGwyGlStcJQJAx/kM8IqAE - ShYAXYEUABIoIJAUWCqAKEZYAQQl+l5IF1kIsUoIvufL/jXiaaCBW4PULVT75qYhQLVWBQxUKpVy - 3brjjju+8A/J3j2zjLHuaKyVq+12m06iXq+nkIWwZllmlgVHjx5dWQ6SGFg+KTXapmnWmrAAMDUa - jVCMYpgwKKI4dlxLpKKYB2VZZhiGicyNjY1auWFZlm5oo/FYIwRCiEkhNCALj3EhxGg0Mgyj1WoN - BoM8z23bVupxm/piiKzkJhN+NBovLCwcP3787Nmz7373exQXV1x6xQc+8IH77rtvdWm5VqsdPHiw - Nj2rEYgx3rn7guOHD/qVVsxDHeuebQbhEApe1txK2U4TGSRZwpVtuVEYYgAty6JZVi37WZYVxQyE - ECA4CQPf95MsSbJ028zseDzu9LqWZU3Nzkyi0LPdyWTiOJ5SCkDY7fd0neSMetUyFdz2XCYFpTSO - Y8mF53mCcUppIa5I0wyeh4kB34NiDQBSgCtVaGUJxgDEknPDsoSkhqFPJiPTdqrVxqQX2pqhckkg - 2Vgb1GeqcUKHvZFmGNVyFXLo1+08F2uDXpBnhBsYA1M3/XolHIUsFrZtQ4KRbkgguKTpODJ1q9/t - 7dmz59ChQxDCaqWCMS6GuYwxhHEQhUrIml+pNhtUcKxrGCKAIMCISUEZgwgxwQvMPgCAcma7TpZm - GOONTqfVahWlSGEGadu2UqqAQvq+PxgMDEMvmnPFCKIodX7sgjzJIeEpcXPFcoAAANPUKc0syxCS - KSC2bZs5dOhgr98pVfw4S0+fPY117Z7v3AsJWl5bzRmtNxsSqEkYtqemimzX9bx+v//KV77SeM1r - xuPxzMzM5//+H9/+rnfccMMN6/3uIyeOhUGAczVVr6skn5rexgE4u7T87ne+dzlcY4bCBvEsd/XE - 4t6Zve9910dkV/zPj9ymGy0NV5QkGrEQQisrS7W677nm7Ny2SrU+DkPOgIZ0IcQTeCtboWlawTiA - EBaSYnEcb2xsOKY9NTVV0FZ742G5UZNA2Z7rVyppmiop6u0Wz3Idk2A8sRy74tU2+p00zVuWPpyM - bcvyK9XVpeVmvQUlWDy7dOnFl5w9e9Yre1EYua5tYJCxPIpTZWpRnpZr1XGWTW9fmKx3NYCBAjyj - OjGpkK3ylFHxz4T9cZwYCCsgesNBYeUoECIEKa4kVzrUaEoxRpqtG5bOc5plWZ7nW5TLLeyCpmmm - aXqeNxwOi4F6sdALjkPRfAbnTBgKsYlCS6BoTYMCT0MzSqlUWNMwxkQqCRHGmGAJ5bm8fnPfV0pK - mecMElLwKeI41gXAOgZAbpub09Tpsm0s7NhVn5u75Rvf2VjfaG/bXa75URLlWaZjNBqN6rUay6LL - L7/40OFH9s0v3HPPo5qR2bbXHwwAQsD8sawk/nfGFn6i+ByLcaFSqlQqGYYRhmEQBJqmua67qZmE - vFKpVECpdKwppTjjmqYp9v3/FjgPfIAUUABIKAGEAku56VcjBCRKyS3zXAmAQpuqylABhQCAm5A9 - CQCA4GkgbT9UnL+/oac5/tRxPi9vM4lBIM9z3yoBIQaDQaPmTF9YnWrPalpolfj6JFpZWXGx7nke - wDZEREqOdX1+vrl3jzz46BJDtkCoyCCtii2E6PV6TEupzoSOPM9TQBSiO8VtaxgGYCCKIpPYlu0Y - huE4TpamCCEoC0kYIASTCBe3ra7rhV15FEWMsWJuUhAPgYIIYipomqZAKUIIY7zZbL3/T/8MAJBE - 0Yc+9CGogAJidnomyen2HbsUJpRTDfDjJ09BZCphyoylqxnWQdufxoqlYXR85biu29XWlFm2J5PA - dRzXsJIkgQooKU1N55x7njcOJgUZh3Gum4bh2goCyplCQpZLAAAgAElEQVRhmq7n0TyP08Q0rY1e - d1+lVkDObdsulz3GmGvZaRRrpsE5n2q2PNvhnGdJirVNQ5XxZGIaxlPm2U+llKgAAAhvoq4QQlgj - aZiUKiWaQckFUSiaBEAAjLGu60Soil/rDTcG3ZHTKHleOUvSdJhjYfjVUpqMBFJzOxdyGnc2VrfP - zSdx1Ov09+280DLcBx94aH77QpZSYuKyU3bL7pmTyfLy8q5duza6Hd/3e72epuuj0UjXNN/3iVcC - AKwsLm3btm00HFar1fWNjueXMcZBENQbDYRQkqWj0YgQ0m63NzY2KKVZlpXL5dZUO5wEJc/bWF0j - hMxv28Y57/V6rmVLKbM4AUKWXQ9KpRFNQAQAEJQhBba+ICgsGX7U47xOzA8mhCmlDIIJxtBy7SiJ - n/GMi46dPNGebrfa7Vq9eubMmXK5rGnaWrfzvJ94wbfvvecnXvRCpJH5hYUzS4sFMF7X9b/68Iev - vOKKatn/0he+OD078wfv/aP5+flJEHz2i//oVPxmtUIEyuO01+kCLA8fP/HG33xzwCev3L3tkaOP - OZ6rYVO/QrewNVwdXrPnqrMnFidh8OGP/s/FtRWWp45tttstLrJJECytrIZB5Pv1JM2hwkTXlRJb - nZitigqATTe1Ati19bOh6wSTyWSi63qcpgvb5qQQRNOUkJyyKAgd26JpFkeRQbR2u728uhLTpFKu - Wlaep1mr2aSUBkFgmibnVFDRajU2NjYcz3UcCxEchhOhQYhRHMe2VnIsmwAYj0Y4zy0CoRRAQatk - apql4pQYJE5CIAXgqlzy6HhMIBJFkwMhoiDnHEnpWXaaZpV6BZoojROaUghR0WItSi6wiQAgvu8X - uPQgCCzLIoQUMN5SqUQI6ff7hYpXQest4CZbaKHiZ6VUIZSHsIExBFxkLBPn1gnGGOlEaLzo30CM - DMPIKYMQMsarnj89PX34saOrk8Avgemp2Zpr8zQ+cuQIP3UqSWC9Pjsej3PB3ZJtmqbizDTN8Xhs - G3h5eaVAh2zay+nYNM1sEhSKvf9/fI/AGBe9tCJrLwhKRfoymUw8zyssDoqlkuc5IjBJEpaGlmW5 - npMkEWMMAEnA04p7onOKQQCAJ2QGAgKBlQKFXyiXAAkoiwwFnkcge8JWJCGASiq4CTL8UYA4nd+S - kVLGcUzTcWu28pznPAtzdvrU4rFjxxIG3ebU1NQUnURKKQjAaDTy/ZJSajgchsHG1NRObFcnGVsd - jHqdQdUqFY9J3UYIZznPJGNScVuzFFVFoqlpGkuYZVlSyiiKHNeuVqudjQ2EEMtZnucAA9u2gQCc - 80I/hnPu+75hGIPBAODz9WNIUQIRQhCEYRg++OCDl19+ecn1du3apYSYTCZ33P7Ndru1d8/+8Xg8 - iULTthRG7Vo5niReyQ+DuFqu5TQFQMadsaGhWqm265JdG/1BLlQ4HLM8B46WpynNsnK5fHbxzPT0 - tI5JoZqIEAqCoOz7moZ7vV5u29Vq9fTJM4JzyzBnp2fGg9EFO3fRLI+CsNlsAgAIwr1ebzQc2pbl - Vyr9Xk8KoRGiY0IZyxk1DEMzdKjriout1sv3WC+P1+4IMcaE4IRgoBSjOQYwSRLXKjuV6iAYc5br - yFaSDgajcDJsTDWzSYQh6Pe6juPYtsMTlUQxzVMBmZLmsN/btm1bd9AvGc7MzMza2prn+FPNqTiM - Gu3a6eVTKY+rqV/2vSylhTbB8uKS7ToaIeVSieU0DqPpVvvokSOzs7OWpneTLDfTerUWJbFm23Pb - 5pI8W1pcnJqeVkI6nr26vFIqlQoT+1tuuUVYvFwqTYYj13U9zztz5gxjbGpqihBSGCoppU6ePLlz - 584gCAAABTLm3/Km+bcKAhQC8Ol7w9/tnQQA0HXNtLQoDvM8vfvuuxbPnkKQNBu1I4ePbc7sCc6y - zPO8k6dPzc/Pf/lrXwUQHjt5otFqFtl00du8++67pZQZzTc2No6eON5ut8MoKpfLcRw6hra8vDHd - mLry6qsefvjBuw889NVvfh3pKs5i0zY0zRj1w9079mysDzRofCr8rK3bjUYtp1lGY80gCAHT0rOM - x1na6Q2zlGLNgRARTH4wFjkA5zYphFAcRlmWWYZ54YUXOo6za9eu/nCYXn1NUciWXKfb7TYajaWz - ixdccMEtN39tfX0dpFxTkDHJQBpFEaN0586dw+EwydN9+/Y99tjhklle7K5GSWxYurIsxzHLpEKw - BiScqTSfd+HFnMVl305pOAj6CsEs5W27XSvVJ0G8W2pAQtc0TIQPHjjguWUopMwZU0opaWAksSjV - ypquU0ppSmnGClztFgKgmOUXbcY0TSeTSfEw0zStVqsV8/IoiuI4LsBuW9v1FlNJnVPyLUSNNU3D - xCyAvWVcFkgDUBIBFJSCqJGGdV1XSgnOgVSO44zD0LVdkYD1tV6rWa/vqo46nSOHT546sqoocEpg - epcN44xSSogDNVKgbCzTdE0jCmSahv1+P0knluc7jkN0Xwqj1+8DhID1Y6lz8L8zCkBY8bAshuIF - OqSo1yeTCYSwXC4XuApN04iOIYQiV5zz4XCIEHAcByHAs+9zqZEC7LtnPxJKCKWCQAKkoMRKKogE - Kjyv5FYSs/UrCgIFpSpsvc71Ywri0w9+vk91v3+P7sv3eecCLb5pylPcFQhomlaySsrGYTj52te+ - JtO8XCqXy+WmW40VGo1GugCaRgpISpZlUNICUfP1bxx3q8BvtUzTbLW0TORFfzTMIqYz0zJzxZV8 - nDJJCDFNU+QKQUIzyoVEGBJN830/yzKWZAUIyXVdTimlrEhWCvW8Qj8mYzSO41KpTCkrVkKBJjF0 - 3bbteq25ut6p7K+1pqZPHDv+Uz/zs3d9+54zi4tHjh176Ut/9pprn/3O//SHcTw5efy4TrScUSpV - rd5MU4oVlmkuKa+UykurK5c+8/Lf/z/+0/jUsYW5ud7KRipktVrdsbBw0f59d377W0qphe3bZ2dn - 777v3tnpmeuuu65WqzTbrRtvvJFm+fz0rGVZ4/H4fe/+LwcfftT3fQzIcDgsdJJqVR8AUKlVJpPJ - eDy+7bbbotEkjeJi0UqgckpzStGmYPfTcmEenyyc/8lKiSGSgmU5MwyNYKQkT+NEK7kGIWmaXv2s - iz/6wY8eOX78vvvuE4JlMlGmlASO0gxDveVOhYPJwvZtSyuL09PNT3/uM/c/fH+10WQpzaUwXDtN - s6svv+KySy597Mgjbtk4vnR8+44dr/y5G5bPLn/qU5/yPG+mPQUwGg6HtmHauuH7/tve8tblxaWS - 7aRpamg6xCijLGc0z/NqvcalhDe86uJLL/nDP/zDwvH+Tb/925dccsljjzxqafpjjz02GAxqlSrn - PI0T27ScWv3nb/j56667rtlsvuc977n77rufcdFFp06d8jyvUAjUyA+Nkf1RiKdysf5eyBgZxXG5 - 7GkaRgg5jsU4B4qdOHWyPdPa2NhwHC9nFCCYS75rzwXdXk8imDHanp7ChKRpOgkCTdMq1Wo0miAI - 2+32KJhUKhUhZUpz2zabDT8KJtvnZwfd0dETx5VuIt0q+S2NKB0FJsEszXe3Fsbrw7JTiZPMqfo0 - Szvjbp6GrWZd17Vhv0OzPGfCK/mW5RBCOusd2zA1jAVjCD0ueLdF2QTnUMMFjL+AdxWYVgCATrSL - 9l/4/Oc//1t33EnD5ND9D1WrVc5YnCTLSuy/6KI0jD3HhQrU6/UgCPr9vuO5pmnmnP3eO95x4YUX - FpoNf/d3f/fSl770zW95M1fyzm/986MHD/ZGvTgNuqMekkRTpKy7l+zYc9mle08tHu2ma05DJ9sb - ju9GQVyxqnqO9WXmGo2K17zmmmueeeXVP3P9iyWTCGCklGCUYEwMkivaatS73S7Nck3TJFFFY6ng - kRadFc/zXNeNoigMw2KPK+xMK5UKhLDT6RTIrwL2BQAQQmga3upgbfVgCgZTMa3PsgRJhTTEAOaC - ahIqKKUGYicq/gEAgYKb7i2UckMo1y13j62PNiJX1/ddc/GOmW0V13TLLik7t95+38ZK7lVrHKgk - ixWnSMlxv+eXHN+vvORl1588dczySl/84i3jYLR9YX+h2AHoD9pQ/P9sFHCEAuq0RV0pUFAFKD4M - wyiKgiAoPn0bWwV0hhCS5knRyIyi2CT2D/NnN4HVCgIJgCgInAAAIBUAEgEgAQLyKVUqVJHNACWB - hAD/q4jEPF38sGsHQlgo9qZpmkYjx9euvfaqhak2wcbXv3732dVeColpO5IxIYTjlHUu8zxFCLXb - 7Xpt+/UvWlgfJkfPLN33yEFs6Ebd2MJfF2IHHEpN12hGDaAXaG5N0zzfnmyEBbVKStnpdBbm55VS - wjSFEFRQSmmxgxcYXozxeDw2DKNarQZx9GT9mC0CdpZlq6ure3fvzVK6c+fOnTt3CiFsy+VMSqmm - pqYrlcpNn/+sEGnNt6YW2l+4/SvCREv9gV+qXtDabQlLHGOPPvTYQ4cfQQC1qvW1M4uNRqvX6c3O - zn784x//zd/8DZ7Tdrv962984+7du48cPhwMR1dddvmd37rjsUcfPfjQw9PT0+EkIgAqxs+eOv3N - 277RaDRa9dZ9990XhqGU0jL1Uql03XXP0wx9fW2tVatX63XHcRilSZ5BjHTDKATilFIFc/L7cnqR - AhACTpllmBpBkgugRLvRNAhut9tJEg3DiW2Y1UoFUq5Ufu/ddzTaTSHT3Rft/dsv/e3pwdJEF4bl - 7UTzJcsxSlML2+qLZ86unDxdMyvd5b7uuq6rB8lkqtW47JkXrS2euezyi+qrFSb5m3/7rd+49bZj - x4494xnPePTRR8MwnJmZCUbj1q5do/5gsT8cd/uHHnrkDa/7lZv+6cvNZvPGG2+kQFm2Xa1Wd+3a - 9fpfe8Mtt9066vROHj1WYB7GvcFff+KTx44c2djYsG37FS992Xqn8/DDD3c6ndnZWSHEFVdc8epX - v/rlL3/53Nzc+vr6YNhvt9thGBYp8pZr5o9XPMnFejMQAE+GF2waZQnBDEtnLHcsyzC1JEm6/c4F - e/aMxuPV9ZVGuyWQ5ECwLPX88iQMyxU/jmMhpWEYhm3ZhtnpdERGS6XS0RPHL7744k6nE8WxEMKy - jc76ad8vS5GZlgGh5fjWWqcPIXBM4uo2ziniiI4nWMgsHmqmHqVhe7o5GQ6a1XKeTFpTc6ZFKM0Q - 1pVE691BMB7PTLUF50hyhJCEmwDVJ5xbAYwq2hUFQ0fTNL9cHnUHUsq15ZXTx0+89bfeZFvWrV/8 - cj4KaJaneWbY5q+87zUf+Is/v+4Fzz989GjJL0sEoaFzAMqlUn91+X984uNxHHJK/9uf/wWnyYf/ - +19SSt/97nedOnrw1NGDhmsDKHWd1L0mm2QaVy4hWTi56NJdxtyFBzuPff3gnd2THRGxPY3tV87s - +6kXXrXNveDksWUehDzNmrWGTkxLNxlLHVtXShADQojCLMhoigQEQGKEFMYFGgkAYJqmaZoF/SSK - oi2ZLMdxiqwly7KCaFfg89E5NxylNrUxitB1vSjvKM2FEIyD4lcgggQRTKAmYQHsLd6kwPxLxSFS - hmYQZKoAcgY04hjEtDDubIyPPvqAyBgVwKiCXJKKt2MyGTEFvLKrsNQwas7P97vrg2jyiU98wvUs - v97wfZ/ooAAt0knyYzC//fcOWOiyM1YAIwrv3GLNF76SRQOyoHBTSnOWxXFMIccYQwwgVBAAy7K+ - Nybmu2ZD5x3fbKjAx62EJXzyh4a26qhzE4Fz4jDwhzfDgk82gHw6TMz3iq0d44nAXgiKx79lWZWK - d/r06XvvvINgA0KnUqnYWI/TrBApyPM8p9xxrCweHD58eDjIu527t++t2ZW6bdv98aQOzSIfQjbS - NI0Cbps2V7T4w0Vms+XpBk0cRnHBxRsMBqZpFpx5mUrGmIZxMR1WQACAC7KCaZqaplcq1fP1Y5SU - CCHOlWnaSZJt2zYPADhz5kyjXv/rv/7bJKf1etUteY8cfJQJXnXq7ebUtVddONw4impsld635/mX - 2YwHrL8E8FUzV77ssl+gHXbrzXfce/93aEa3zcwtL6/s3nPB7Ozshz70oWazWalUms3mY488Ot1q - d9c3pqamLty7j+eZYRjxOHj00UdrJV8nGlbQMay3vunNhw8f/o1f+423v/3tS2cXEULr66sNv/oH - v/uO8Xj83ve+NxpNhBCc7vVshwOVSa4QlBCIQn9cbfl/ft8VAgGQRNtk3WsaNkyt292Y37lraW1d - cKIQwQBXnLIBNCXFlVddzPnwTO/ImJ4s70WNi1opopYdb/emZm1XHzWOP/xIUy8P4/QZc5ec6q+u - 9walmhXA8O++9MlsEr7MfNnLX/JyCPTrn309z5lC8Mtf/nK1UYdScc7n5+agVL5bArbbrjXm2tOe - bjlEf+Mvve7b3/gmtq1urzcZDE/yY5dfeMln/v4fotFkrjWdU3by6PFf/9Vfe8Gzn0spfec733nB - BRe85Kd/5r+89z2S8x0LCysrK77vKyFe99rXPutZz+r1erfcfPPq0vK2bdtcyw7DkANguQ44DyT7 - BLTsv0r8W4yCn9Q++n7FCEKI8012peAqCuK5ubmFue29jY5BNL9UkZTZmoUwGY/HlWq1IKo1Go3h - aEQpzZMY+xWEkOdXLNNot9vr6+u6rmPGpmqtwaBTrlSElKPRWEeO4pmJzZpdRgjoBuxtrEzV/JxL - KaXne6M41jUDQNTrLGkIpzGPw0jTdlIuEdFSyhHEhmFs37mTpQnCWHKGCYQAQgjRd2uHFHlo8Zgv - hi/FhGWsFCGEEGLbtmc762trz77qWkX50vLq/NwcIDoQasfMAsvyF7/o+lOnTtEsl1LML8yNxpOU - ZjMzM0kWT09Pv+gnfuKWW25mjCnBK+XS3LZZDSHT0Ju12iAIiGmWnHISE4/onu6sra08/+dftW6s - eSV3R3X7Bc5uI0fZ6VHY7dCsf9GVL+YTGmSsankmtgjSJJW+50AThFkANO44pdNnll3bN7EWBTHU - keVYQogCsNlsNguwer/fLxhYhTpCrVaDEPb7/clkUi6XbduO47hIa8CmnGW2dWWUUoQgjCFGoEhS - LFPHWFOC5YxJiDA2oRQAapxAZlCEyBaVHRGUZplOTAPbmmY4jmMAXSRxr9cNAla2wfZd7ebczOET - S2maOnZJICSlYJQKILIodCzdIGD/hRcfPPwQz7PhcNhq76AUpWla8F8kVAAACACSQCEAAZIAAACR - ejJG7WmX+g+ryFS8/kcBq/F9oxCE2KQHA7DJgobQtu0iuYEQCiHCMCzGH0pB23QMTU/TFCGioCxo - TRAWMqgF7UieO/fCpQQVSFykANrctpCECoFN9Y7iO1JIQICARAoIiACECECsAAIUoFxBXSCgIFYI - ic0psAQACwSQ2nowFSlIgQI+l21DAM8VYGpzISC0yU/ZzLyQguf9roQKnRtyIYEFVpJIfA7WgxQs - gMZSQllwagp0MVRKCQAE8Cyv5ro0HUdRZOp2q9Vq1lpLK8P+JMiQphkmJJgJAZEqGmCGYezdu1fS - 4NiJ9fXBYJxzwzB279w+FAFnuW3bCYo4lwqrNE8xUYRoiikAgGEYWZZgpbXr0wToUXKac172vZWV - pYWFBa/sCyAwxVJKXsD2CUnznFGhWyaUqjvol0rlJ+jHgHN8zDyHjOWua2901lzPXllZOnTo0BVX - XPbAdw7giy/avXv3zTffzECeM6oTdNFFu+85ciuxM6PBG2WPIsObWMiRIRvxTKRZdOnFF3HON3rd - y6+8XAi5urr67Gc/u9PZ2LNnTxoni2fONuuN5z3nuZPJ5LZbbv32Xf+8f//+Fz7/BdWyP+iPhBDb - t81Jyi7cu+9zn/6MZ7nD/iAOo0aj4TpOMJnoQGM5ZTmdmZkBGuacT6LQdhxD11NGCyVPxX84jH+R - I+Z5DhDEQAuC4MyRYwu7djeaTQGUgRFI8lLJBwC02+04CTBMT544VKoaXRy6dW2chWvB6Vm3IkBi - ma5kbP/uPcdPdDKG9u7cH8hIkAzCXEbB5c9+5jve8fbf/g9vmZvb+5WvfPWLN//jL73utevr61EU - 5UkKIdQIgVKlYVz1fb9cLvKqzvpGo97odbpQ06BOfK9ULpWFYDrCSkoAgOKiXq+vra0dOHDg5ptv - 9n2fUvrQQw+tr69LKYMgaDQaaZr+zd/8zf79+5vN5l133UUpXVhYKEaKRQvwfIq1erzQeGL8qJWK - T3KxfgJs5EneSXkmyuWyTiyaciSBXyode+zo3gv2jDcGpmlqHNi6mSSphQ2JzWwctWu13mg47A8M - y9QNkzEmmIjC2G/7YRAhADSEszB2bJsmqW27kcgNwzAZAKn0iZMPIt8yCoRFkAza22u9KKxUKmOa - SIQV5Sij89XG2cVlt96YmV5YXOk45SpVUCKcJLFt25jATAiMMEREMqCggAgiAAujWHxOIUYBQDAW - UhaQfqVUAfs3LKdoQuScYUI4kBmjnl8GECZ5VvLLTHHBhKA8nITtZnNlxVdSuI6NCORSmmaFc/7G - N77hDa//Vc0wIEYvf8XP3fSVr9q24/sVoHCj0uIMsghWy20t4eVSI4lDpstESwegPzbHmqPrmPTT - FZzbtaonBEVQ2boBJWA592zPtWxia1LPgW6GSbjSHRMNSkZziTTdlIgXXoAY41arJYSIoijLMim5 - YRicM9M0m81mlmXdblcp5TiWEExKjjHknGIMpYJbnhpSciF4vVZDCEAIBc2hUklOs3QiJcBKKggk - JBBCJKUCgmsoMEacCc4FJJtygpqGz036eX+4KlLuafCt/+Gt3aUz4163M+jrBoZQQSgZyw3bibMU - SGFbJmd0NBrMz7Z1Q7v6qitq9ebK8pdPHTu6bX6frmk5FYAAgCAAEnOOdQCKSYRUGEAgEMBAQvV0 - OiNbMMCtDObJL5PffRA9rZcoAACgp98F/h3jcalZALZ+KBKXYgsrcppiU5Ny86pQSjGBSgkFAIRF - Qw4BIBQSAPJzZ4mgxFBhqJRCQgIEoIBKYQklQApCCQBWCAOAQdFQQVABwjmEiEKiaRobsYZl6iSH - WpxKgCFW2ESanubMNKyy6UwmEw6UXymPJ33OuWP7WZYVKrQ8ZwgSCDEg0jbJeDJyTKfTHVTqM5bp - 5QnLWaR5Mk6CermeBQwComlaQieWofE0c1w/piqTPJasWfPHK90ScQzdzBWllDKN5Sz2PGfEAgGY - jgyMIRTKIkaEovFoBPKcZiED+S++5udkFK2cWRH5hoaJ0jXNMmicIQiJjhPKEFAQYiUUzfJtc9PX - /eSlKTT//rNfXDzV1eeA75UwxgBgSHQEMqAoxgbPGcY2z/n6xiqE0DKtKAokBbVaJYqiNE9KvjcY - 9Gieuq5bq9XiNJtMJhllOtEAwpxLJKQUQjfMKI65EPPn6cdYtpmmKQJIcMZ4jqH6sz/9k/vvvz+c - jF/7ml943/vet//CPXEcBcHI9z0JBCRQs8yzi6ddx7eQDUJU9f3+KDFTXPU8EHOWUSDkqdPHlldX - vbLPhRpOgr17955ePNPv9w8fPqxpWpZlURQdP3580OtPt6dOHT/9/Oe+gKbZQ/c/ZJn2YDCYarcn - k+DMmTPNegMCaeikWvPX1lcK9zcq2SQI21PTw3AyDMZE1yilfqWSCSYZNwzjXJYJwLnbuVjHT12h - KwQgBAAxLolmKAmJZViefc2LfzLN8zAcD0cjjDWZ8V3TCxLCwWTsum6/0/esKujYukF43yxp7RJn - 1bxu2ubimSUFwPLG2kZ/qIiZx2FAQ4WpocvpRuUP3vHO66//SaWAXa6ud5ceO3JIN42c0UNHDmuY - lBw3CAJd02zNXF5eoYyvrq0zISzPUwAaphVnabvdXF1dbbZaEMJDhw49+3nPzfMc61qcJtVmHRD0 - i7/8S1//+tftkqsg4FJalpXmuYIQYgwx3r5zp1+t3nzLLVxKruQkmPi+X7iaAKmEEJQxBYDl2DTN - AEZPnvKeX+P9KCQ0T+Vi/T3DsuwgCAXlOtEX5ubf8ba3M0r7na5j2SXPG4/HSinLsoQQAEKgYbPk - /NmffyAIgmOnT3ImGq2m55SuuuJqU9f73Z5nmf1+39T16enpb955x75LnrG6McQJbVmVZsPnk9T3 - 7Ouue3Z9rhHjpD7/BqaJ7qAfTqLp9kwyTCxJDCZMojEFqYC9yeRb930nSSljotasRVGUZenq0rDs - ekIpgoiSUimpVJGWPR6qsMc9B3olhOScISEgQgCAOE0yRr1SCWh4Y9DVbDNN09546JZL8zu2h1F0 - yWWXrqyv1Wq14ydOrK2tQQI0XQ+zpNVqHT569DWvec1HPvbRkydPIwT27N790pe+/PWvf30QBHGa - lvwyQITmCksjUgGKeWcwMGreeJSiil0h09MmL+xJW/72tnCgME8vbnRHIYBWlOQCopTx4XAMYqVM - xkHOocAAYqwrrgCUmmmkOeP0KfRgCr6SZVmO48RxXLBUKKUYQ6Vk4b4nhOCcI6zpuk4wTJLEMLRm - s8lopmkmAooJnqU5Z0JKiQFGCBUWwwUXQ20Wx+d/B4SQSRS6VglAGUaTbdumRJr3V7v/8Jkbl46N - aQrqNdCYr6VZUvaqk0h0uxvVahUSiAksedXxcCNN0wMH7iv7DmWPubalG2XBmJScaBpPmTrHzsVK - ivMmB1AhqCSAUAKEz0/cFVRPldQ84dgTRCb+LcSzf1RjU3PhPF+SJzhTnbvGCgGAkEIACAkAhhJA - AJQEABfAg2JrgefpwSMIDIwURHHKS7pdLnnj3jjjeS4Cr1HnGRjHaZZE5VK1P+jTLBVCYFsLecBI - LiFIRSYxEJDmWY4Q0jRDQ4QLHozGmIjyzDQzQZazaDJATMtVZmtKOSKFmcAqG+elik9hDjDXHX2U - jDOBBVZ21RmmY6usM65Gg26tXXcsJ6DDarM+DEboEz8AACAASURBVMehDKtT1XAY6Bz5WqkYo5Yc - 1zINoimsabfccktvcV2HYHZ698qpJavRiLPUNk2WiSCKlIK6aWfpeH11YzLqbvTAtw+crs62/Gpl - 5/yuNb4ehWG32+EWAB5EOjKIkdPMNBwecl2pcrl8KjmbdBe31ectywIQE4J8yx8MekTXOadBEBim - bVmOlHIwGBTmHpiQAghVILWfoB+TjlLDMDRC0jRt1esIwTAKPvqx/7G+svzwww9H4SRN42uvfaZt - 20E4RgCtrnVf9Yuvf+tv/VI3Omuo9qkHuusPLkqFrtndGtPJFw996f47Hpif31Ot1xOaZzRPksx1 - 3auvvvptb37bQ48+8K1vfevGG2/89Kc/7TjO+//rn73/T/507/59v/O2/3jq1KlPffLGnDEmIqfk - RXGsG8bBw4coZ7ff+U2EcZwklm0PR6M8zw88+IBhGGdXl4WUGc+Jodu2ndI8/X/Ye+8wy67yzHel - vXY++ZzKobs6BwWUJYRBEoMNxteDL3iMx4AR8doeG1+D8ZgheIxlMHi4MAQjW2BfS0aAQBJCErKM - kASNslqdc1euk9POe+211v1jVRciiEF+ZubqzuX7R+rSU12l85yz9rfe7/1+bxRZjg0hDMNQ1yj4 - mT+n8hx6AAAggEySJAMSBVEmWBL7gmcAIEop1nUmYafT/eIXbl5eOOGUaEBhsxWuLOzXLY0ttxdy - 80/ljrE+z5vV5U5zGIYj48WF06f3XLh3pbEoWHz3V+99/Kl9//CPN99//7fv+ua3zr/4RZ/4xCcM - yzx8+PDU1NSwP2CCO46DMU6jxHadE2dOL6+ufPbGz589c+bdf/reZq+TLxUXFhdt2+70uh/7L389 - MjGeAelHYU7Pd/u9277+9YsuuqjT6bj5/NmFhcXlZSnlm9/85pmZmV6vByG87bbb7r333o9+9KPK - 9JmyZGpqSq3fqge3il9NeYbiWEiBEBLgB1g1+KyO8IVzBj5vN3IYhmqJGmPsuu7dd9+9a+fO+srq - kUOHT5w4ofaSZmZmtm/f3ul0zr/4RY3j7ZWVFULI7OysFCBOEyHE5Zdfvnl21tSNV1x7zc6dO2+9 - 9UudTucv/vKGt/7u28vlouPkhJecnD9RtvKObRami5/84qe2X7Kt/Vj7zNq8AKJcKtUX1vJGvmYU - Lpja1VnrLa42H330wH37Hj58Yj5NhIbJoNOBIHM1XBuv9vtDqOkAQaVLQ3VBlz8A0gsIgJQCSAgg - QiiTgvEMIQQwSgXPgKSWITD8yte/VitXxmamxsbGQs+P0qTZ797wsY92e735tRXHceqtJkCQYI0Q - ahpwcWE5ny/u3Ln785//HKUGhLLfG37qk5/udvoQwnK5igjMkEiZD2WKtEyHpO63DaHddtN9CY4b - UbMrhsJEAoICpGmzX3/4IcaelFJ/xSteyQ2rG/iNXodQI0x8wbjAEGINEYwkASrDUmZC8p/IgzFN - XUUNSCmHw2GapupjvHFHV4MGjDEiUAIOAVDuYMMwBGcAAKVDhmEoJZQQK8D/f7MJFkIoCI2U0jAM - ORTD4bBUsiYnJzePjfIomZyeIrn8fQ98r9VqEb0wMjISRVEYDGxDi4KwVqtpmnbeBZctLZ+dG5v4 - 5299t1iZkpIghDLGcPX5vp1/Xv+da6M9/BlNsmmaEqpblpWGaT9IN1XGf/V1r24fXVvp1Q1sdUJ/ - GMYei1mY1qolTdOWO2trq6tcxHm3UHEnRsbGuv32/Mo8NrBhmFTYs5Mzo3bh1OKJBw897AV+rTBD - mb1384W6q93z9G0SRUZmOTI3V9tRGakdWVs5vnDWMXMUGtXCeKVSTml2+NQxRzcNruVQnmMGNKlR - OrVtujBSbrW6YRRQneiakUWc8QwAkCRJKZ/LeBTHseuO771mbs/2PV+65Y5CoVAcHV1utVqtVt4p - lssVzwvSNDUo3bp1k4Ynl9d67SAdZuTo4YWu3ZVV4LpuuVz2YRyhmImMZ5kQAmlI+ejVAnzAoizL - AhZYtosxVoY2znkchABkCGuGIZVFRkW9IowkEGoQrFjkP8SPCTxD1xljKnWk2+3efffdN9988+zU - 5Ac+8AEA4WAwmJ+fz5eKpUqt0e1efvXLq7XpIGWUzG0fd+2Jgk8ZkyJpBwhZoxX7ssuN2Zkt37zn - 3nqzASEuFsqmad9+29e+euuXFU1OM/Tf+Pe/WalU2u02Y+xTn/vMpz//udlNm9qtllXMxVHSHvbH - R0fLo7WFs/OTszP1TuuKl7y43+9Xq1U10T6zuLC2tvZvX/Oav/6/PrF95/YNtx8AQBmiHcdhyfPb - Ft6QJAEAQgiepkIIxlPD1KihZwzIDBw9cfKeb91PNdd1ipViDekyJWwChGtxb3R8LK31N4/PdOt9 - WYLlwmj5wOm+v0AsNDpePHvqMAc8n3d3XbhH03Cn2dmxY9egN/joDR+Z2jQTxNGwP8AQKaSFlBJw - gSEeGxlJRPbS6661TPPal19XX1175+/9LrXNldXVSqWiGXo+nzdyzpETx3TbpJY5u3nz2YX5Rx9/ - bMuWLY1GAwg5OTlp2/ZNN900GAySJBkbG/N93zRN3/fHx8fTNI2TaHl5WT0glDFOsRU2YJjq1dhw - Rv6E1+15vcr/Y+p5NzGUUs55kiRxmqio99e+9rV/f9MXFhcXKaUjIyPdbve1r33tjh079u3bd+21 - 137rgfvTNF1bW5ucmY6TNIhCSvS77rorHHqv+sVfOnLgmYsvvti27Xe9612f/exnR0dHTz191vMH - 2ya3DFstZGvQwbRkmCPWn3/qL44tHHrswGMQA56knXo77od/8Mbfax+sX7z78g98+K8CFhNshEFC - iV4qFJtri6aOTKIVHQNyHiVZyjMAACYECqHchVACAdf1cyUdCCEywRVMBREMAHBzeawRLwqffGb/ - +OhomKVbdu3odruRyCrjo6OTExCjubHa6uqqFwV+HMZpAhAEAkspi5UKY+kXv/j3q6v1qdGJjLPh - MLj//m/bdg4hCCAaBkOBuEQcayTlkcCk5TfP23QB53JiZCxM41iySCRtvz9WKtUmCeGEEbPj+Wea - K7fc+eWh8LEFAREm0dMUIog4lzwTkmBCiRAiSePn4sFACIvFIgCg0+koIjVYD81JNmKkEEKGYair - CYYon8/btqnsUEmSCJbFUcK5hBBAtD6kkFIAiBXa7ie+f5TyuWHFqNebYT+1a2apWGkvz3cbzcXl - lZTgRjuqlqYF1D3Py+VyCHKZJbquDwctrZBbXFwslgrdbtcwjF6v57q6OoP4zzkxL4CS/61W9tk8 - TWUrZiymhBqW2Q361/zStXOvn9pZ3tkYtJ86eJhlQAgwVhl98XmXIwAfPfHY6ZXTErBqoeLg2qXn - Xdrw1p4++rgnPYINEpmX7r1svFDed/jBw/VDum3MjWzFvvmSvS+v99eubpzf9teQr+Vl+boLX1HO - lx5Ze+jQ2SOmkTORPWaP7ti63QPB1+6/vVIrR914HFfP273HKbn3nrzXL0XNoAsxcE0nTZLUjwHM - Q4JBBrCBe72eBOn07NTo6OiB/fuf+P4TnFFE7dXVVUhprVYTDHQ6HYSIbhq+5x8/ftwftmMGZnbs - mh7b5CV80BrEPEmSJMsyoAGgluGBUJ81B9kIIMZYLpezhBNFUZZkGl1H7JdKJRV6pR4/CkylwrPA - uYRXjIiKFvoRfoxGSafTgRDm3Zy6yZw4ceK1r31tY3Xl4osvbjabCCEBASEkiqILL7mkVBzVNROn - KYCCQY5tLSFZ3+taVGN+VHPyOdNOkrv7Q980XEhwHKdBEHHO8/m87/u6ZcZxbJjm/meemZyZ1qmW - 0/Wh7504e9o0zWa/u3VuKwOiOxy89/3vS8Mon89HXjAyMtJsNmu1Wr/fd123UCiEceTf6kdRtG3X - dmXk6vV6CCFq6Mragp7noGNj9VKxxSVCAAAMcJqlmqZBiQnCi0trf/WxT4Z+gDBgLAriAUfCKtqB - YKZpDlvdQqHYbLc0akxNTJ46daZg5xvd1cj3TYMWCmXdNM6cPH7epZeMjE62m22CyEhtzBt43X73 - iksve+Lpp0qlUrlcVpRRnmbLq6s3/t3fJlEsudCJlsSxZdtMcD8Oq9XqxMTE0PcXFxfdfI5DcODA - M+OTk9u2bSOE1Ov1YrGYxsn8/LwydCrdwfd9hFCv1zMM4+zZs8VicWS0trq6+oPZMYQKcKreSPJc - bcClXpj1vJsYtYynaZqKT8IAmtgkOqWGzhg7cepkrVbjUmSCe4GvQq2mpqYmJiYARknKNuc3t5sd - TdM2b978+te//u1ve4tSdD71qU8Zltnr9bZsnuOSC8l2XLDb7w2GQR8V6JUvv2rAByiHxrePLiyc - Jhq89BcueN//+Z9/9eWv+JWXvzpuiVKpZGtuqVTmXDqmbVF9dnLC1GC3Wz99/OjOPectrrWSJAEQ - OZomgBRCynWY+bmMQCkRxkIImWUAAKJpmJCMsVarlfJsaXVlYWFhdXW1XC7XarVTp07lHJca+qDX - 98PAMAx1QBBCNN1gXIRDD2BEmLAc8/CBw1t3bA28iFJCMAUARGGiGxpL0pSJDCSapUsEBJCMp4/u - f/zYwun6at1BhuQgn88bltkOhhbGmpf4vm9OjEiLxHEAERv0O8OsFcuBgQ0oAcwwlhhKKaRkggMi - CcV5t/ATeTCahhWka2MXaeMtK4SAECsJOssyCQFCSKe6ZVmUaowxxngcx4JlKjQKQighAkJ947PO - jo08Uahe7PXE4ChNXIuqbd5cLnf+zomFE0ePHz9+4sCagYDlgLG5cQYolDCKIoiwiuKyTTPv5jgL - giCI00Gv3wIQFwqFMEEIYcaYbhgJ+f9kgNn/kvXTt0IQAEICqdQ7CRACACHGszgOx6qbOIEe8Icy - wEVSKtfCIO77/dODU5RqoCgLWk6j0KLOcKUfgSjUAuYwo6izVNBERwWcAFaYKk+MT/vJsB3UYUQ9 - 0dcLpL3azm3K09igPd1LB7EXNNM2HtM4Av1hP+n401tHA+BPbB9lFHEZIgSHvIcAGN86cTI52+p3 - 3IIeJZHMBEEaBxIiBCSglBoagUiTUj799NM1x8mZOW+Q1QeBVat1fF/ATEO6ruuM8SzLcrmc44jQ - bw+H4NFHj9DCwjDORkujDdlUTYwk6xvCmqYBJFPO1aVCbU3athklCYQwjmNd15TdzTRNikkUJUpn - BUCYpl4sFtXS2To/xnTSH+PHqEBQlqRhGGZJOjY29ra3v/0rX/mK4OcfO3ZMM/SJiQnf9yGEx0+e - +MVXveKBBx+CROZyeZlKi5hxFIssmTCmJWTuKB0OOr2BrxPdspyuFziOQzGiRFO3Jtd1vTBIkgQi - tPeC81udduB7lUoFEGznc9TQe96w0W4FcTRaG1HppJqmWZY1DH1Np2EcpRkbn56klE657ve//32I - Ua/XAwCMjY11hwMFHlMviOTPbxVfiQ3rJyA4l0KDoIBACJHGjEjqWoVOu0+J3usOc3kr54whBLDA - RpbFrTgHRtlA1CqbmMxWWt3y2JipkbDfx4hTJP1+Z3UtevF11x48dNjJ5Vud/kSlBiVyLKs36B05 - cmR2anpheSkIAgihaZocSMuxgyS2bcsyjFajOTI6kmZsMOjPzG02DCPkTGC4+4Lz5ufnNUPftnvX - YDA4dPRIHMcjlaqu61nKFORQAVfVQn6n0znvvPNarZaS4RcWFtSavYo7sG372Vt4Gy/Ojy/zvqDq - XxMAKaXkUqZpOvCG0nF9FgRBUG81N8/Mqn2WcrlMdIox7vX7QRDEUcSFGPhepVI9evTo2Mi4Nxhs - 3bT5zJkzrutqmnb//fffdttt//bXXjM7O3ti+WS33dah3mm3Td0ojpQTnhGTUk1bbazGaViulExC - WRbv3jsTxuGDDz104ZbLXvySq9XvZhlmmsSRH+gos/O5JDRDy1J+dcJAyjJ1DVTGwmcr3ko0U8vA - qhSaXQpZLBYRQkv1tVKl7ObzYRL7UYg0AtNYQJkrFoQQuWLh5MmTOdtBCCGCdaq7rtvudkzTnt28 - OY5SIUSaZjxlKmASQUKpplmG0DgiMEziJI4A44wxQ1ilSmGkWG6tNqNg4Ad9qWFC7KLr5GzrZKcu - EiIhy3iYK5lmkRguifohkhRwQDHFGolEHLEYYWw5pu04wU/iwSAEVlZWVKaSEl0UiQtjtAFDUymY - WCOu69qmteFj77TbQgjABVjnjmAuf+BhhQhsYOJ/vNRar+/7NWNk1849j9z20NpC28LgN19z1WXn - DzdPTnuBH0jxzfu+01jtOvlRjnAYhhmLkczazVa5aBfL5Zdde2W9scwFuO/eh71Q1moWQihO0+f/ - dv55/fcseS6kBv/wVzYK/bDZCErApZAIa5SmMRMZKxRzTMvuevDuHTPbDcNmJmxnHWrRpfoqXOOW - ZTSiFjQAxXC1vbp4vJloDJqZr3l2we61uqcWl5bqK0XbHfCemMo0A3rDNPHS7+3/djfqk60kdbJW - Z611sj1Y8Yq1QtNpmxMO4GQYD9eWW/JQVg/qEWajWzdVtlYPPXTwycOPlOdqaZH37JDm9byeX2rP - m7pVMAw5FFJKoK8HAnR7vYWl5B1v/eWyaVqa9eADjxG39N39Ry558aUr80sEylzO7XR6KnSsVqts - 2zKKac7naL453PfE/pWVFTiCHMchhKQyxRgTRNIsAUhqGoUZVHekLMsQJ+VKqdfqe94gikiu4Kqg - K2JacdyIolDXTSHE2trazMwm5ddW/rYNHuuz+TFU18rlsj/0giBAEvi+f88991x22WUQiEsuueRv - /uZvTp8+feGFFw4GgxMnTnApX/LSK5564mlMQbPeIZJahp13cxywIArrgx6CXEM4zlIBULFYNk0z - 8oZSCqWU+FE4OzsbRlHfG3ZPnZqYmsw4r7eapVJJANloNEbHx0I/LFUri8tLlmFy0+wstCjRHMcR - gmPB3XyOc/6NL395bMuWHTt2LC0tVSoVxtjq6mrPGxaLRQWG4Jw/XyUGbHQwcj2ZRsEIuABII6ZJ - YYZN0+Qxd10XQ4g0lAmWREmSJK5ts5Dli4WQx1kiMyQ6jbYzN9NoN1xdL1WKIuMIIc0xDx8+bDk2 - pXR8ciKvW2srq5iCLXNzq2trJ06c2Lpju4qI8TxP1w3HcdWkTLW23X5PwcwWV5anpqYOHjyYet75 - l102GAyIpmmEGIbR6XSmpqbajWYcxwhAzrmS0BBC+XxerfrX63XTNDVN6/f7jLFardZsNiGEpVIp - CAKVr8QYU29UhBCSSL2F/jUnwv+Uet6nfpqmuVxOCOE4zvj4eBLFt3/jTtOyIEILy0uqCfj05z57 - /gUX+J63Pd4FIWw2mxAhDuTy8vL42Nixw0evuOKK66+//o/e9YdxFJTL5b179zabzc2bN3/mxs8m - OAVSMsEkB3Gcrhw+1u/0Oq0eBXo1V6MhOnbsMOAiDZJgmOzZc354JnYqxVu+9E/XvOJVvW4rifxS - zo2DsOt3MJJcwOmZzX0v4gIghNQDW3AOzsUOrI9CAQBCSi42fCE8yzBCEEIMIZLANsxaudLudW3b - ThkrVypZlqVp6jpOmqYsTrqttm2YuVxOmWGZ4Ktry8VS6cSJY4V8HmNMMI6iCEkwNzfXaLQYY2nK - kA4zwRM/5lLapm3nDcFZksRDb+ANOwiIYqWYZExA3uqvRT4HQrrlvDNS8sJeZ+hBTUaJP/D7hu6C - DBBCEESccwABNQzNoZpOnosHk2XphgajkCHqbUoIVcqhur1pmqabxkb2dRzHUqY8kxCpYAFNKpu0 - BEBK+Kyh8rnHmPjxboZzTin1W/6ZM2ds265NFPxO8zvf+c7Zo20TgzACtAgQRWNjWwY+FwAWi8WM - GQSKUqE47Dd7vd4Xv/gPE5PVoRfUajXcT3RdVwrSC8Ir//N6PqVpesIzzx9YZs4x7F69Zwh44Ysv - mnFnEpAtD5prrWbBLYxuG9lc3WQA2h8MfeYJTTiWe822XeN4YpnPL54+G8WhWXH2jGye1jdZQHuy - +Vjf6nED2Njes23vZrC1Azr3Lf0zibBTzu1+8Z5LKhdlgC+urta7CyDD+Zx7+S9eXoUlPTHbUa8d - 172wt+2y7ZuK0wlgB4OjEcyaSdu2tEql6vtBnCa2lhdAghRwg/f7fdM0L7ls9/3331+gtFMfIkAL - Y9OXX/6iE6dPj5ZrMoOrq6umaWdZ1uq2joh6t+23uiA/Cgrjm7IsKxaLiZkyxjzPSyjXDIoQEkxI - KShRy3oSIZRlGUhTYhJN07gQaZp6nkcIobqmEWpZFkQEQsi5TNO0221TSl3XjiIURYlCGhJCMsbV - uZemKc+Yhgml1PM8olOi08cee+z06dPKWWLZruPm643Wiy66ZHxsst1p6hbctHny4GP7t8/tDIMk - y9KG34YYmYZWzOUoQlmSUWokTIRZ1mg0dA1TQgAAjuMUK+UwDIeexzI2OTkZRlE+nxcQxHGs6VQh - pAeDgaZpruvm3VwSx9Vq1aB6FASaRjFEIuP9fv+aV71qcnLy7rvvHhkZWVlZ2bV3T6VSoZa5DtVU - EeX4+T3aNsCnCCGVl44QkkhSrKcJQ1zTJBoMe1DCIByEoZfLOUk8xBrSKXSrVogCj3eilBlE1yDe - MreZicy1bAzk2eXFXdt3HD9+HGqkOjbuh1EUBd1mVx+fHhmptvrtZqtFKd27d+/xUydd1wUAuK6r - IZJlGYaIJQkT0rFshJBrOxAjTdc1gHZs3VYulwFGq4tLWEcawnEcV4qlJIxc2xkOh7Ozs8vLy2tr - a5s2bfI8r9vtapqmEr/VnVbX9U2bNjUajfHx8WazubKyUi6X1VmqrvQbI7YfldifVT8lVvMn1v8I - O/C/xhOjkncGnnf85In/4x3vDDx/anbmQx/6kAI6qdRvNawd+N7evXsBF47rRnHMgVhcXNyxY8fj - jz729re8VfFel5eXP/7xj2s6ve+Bf/GCQLNQylOZwFKhzJIk5xRsmhsvjH/8hk+Ux/JBNBCQUaKx - RL744mu//KVvZA3xja89sG3Xdoq0Tns19LuDfltD0DAsPww7vV6ZS6QZQRAlXLhOjqWJWE9KQxtj - P3COEwAAQAAiACUXGCKdGkAAvz/I4sQbDEv5gsx4Esc6pQhC3/OQ7fCU5d3ccDgs5nKhHzDGDMsw - TRNjlGXZ6OgozzLHtDqt9tjYRDD0jh496jgOE5xoeiZSiaSE0LRMivXACwmAGMKyU+JZCpBgiHfD - geHY0IA5alvYaEdJd6mFTFiwS/7Azzi1nVI0TKSQOgWcMy8IsYEKlTxxaMridqeJAP5xHozvDxWG - VVH+lOF3I6CAMRZFEaW0UChQQ1c4L8dxwjCs1+uVUjnLMiFSBXlUgG8EITrH3zknP/7w9j4A6/9J - IoSRTk3btkleZJnQdSMIokIBTNZK27ZvJ3n38aePDPs+AKY61yDIdAL73V65aOsE7dozd+r0MSll - t9stV6d9P4UQEk3L+M8WS/jzegGU4mkyxgCCluMIIRrduo6wWTSgQAEIe9L3SWJUzX44rDqFBCQp - SAZ8EIHA1EgYR0U8sgbWMsxLk8UIJ+1+mxTcGAQ+SDw4lAZa69bHzIoEogfqQzAwq2Yo485wKAQc - AI8DmZiZaTlYIBbEEoIFsJTo6TDs2mXH7wTMYj3gAwCafiuxWT7v9MKBTjRKKWEkTVMpJTAAAGB0 - dJSLeGFhgTFWqNWuvvzq73z7Uc/zvOGwXC4PBgNDs4rFYhBEhGojIyPb51wx11+p98+sddrttuM4 - eSe/mq2pT2hCeS/rRyyiFmU8VeeV+gyyLBUp6HQ6tmHl8vnBsNdqtyenJpIkgVzm8znbFb3uQF01 - l5aWZmZm8vm8koE3nAAEa0mSqBB7jeDhcGjb9ubNmycmJtbW1lr1xoc+9KH5+fmJiYnf+Z3fUSTJ - M2fOWJbVaK6FxRzk4qorLj576qSfREbRzUiECPaTiEUYM0AkiqKES8ggsCwDSqHUuCRJ/CjknFcq - FSllvdEghJimCbnQDUMnFBDeXmvMTE75vm+b1qDfJxBJLrI4ybu5wPOTKM7lcrEfUqJ96557pyen - FhYWLrnk4jiO5+fni9UKIYTxLMsyy7Ky9PkdBfLctRZCCBUDiHMhBRMMAKBhjBBmYTw3M+ta9tDr - akSWIDVyWt3rMifVDc2hRtEqeN1AIzoi2okTJyilDIDxiZkjp0+PTI1LKerNtWq16vfDXTu2dtc6 - vTi2Cw4iKAzDlZUVx3HUCZxGMTW1YX9Qq1SyOM2SVAphUC32A9/3SpXy8cNHLrrk4pXllYHn2aYF - AWRJKjL+mte+7vrrr6/X6x/84AcPHjw4PT2tzMKDwaBcLmOMB4PBrbfe+u53v3s4HAZB8NGPfnR+ - fv7BBx88evToysqKGjmt+yI0Te2xAgR/irH3hVDP2cQ8G2tLKe31euVaNU1Tomntdts0TSnl0tLS - n/zpf0QAzszMLM4vqEmBWtbduNkLINQXAQAYY9ey+91epVJBEmQpy1hiGMbxkycAAAICXde5TCmm - 2KBJmBJJcpp529/fhg2ETTl/6KyACQdCSgABRpIQSWAKTWJILq/75Zd4fa9ULLqmNhh2JSaabQNq - MUmDYSAAMqgmBYdCaggDAAQXUnFiFHWbc0IIz7iareQd1/f9iHOXWuV8MQkiU6O2bgR+MDYysrCw - MBgMKpVKwXFFyiAXkAuRZjomAEo/GGqZ6ThWnLLBsF8tVwjBLEujwEvisFQuOI5z6syZcrVGMEmy - QCARJDG1dckBRVo6jPP5Qt/rItuIfGG45YBH1VLeX2kjKTRmFK0cNfSm19UJTQM6HArXKEjIwyim - RNMsVBoppjKNk7TvDYUQlOpZlv0ID8YwjPV52TkUr+rnoihSm5mEEDVHU5IMQqjb7apWRhHwNE0H - ACIE1fweAJBlGYQSaFiBfjFGqWCUErUNrkcjjwAAIABJREFUkfIMYSTOqTXKRNZqNHjEXQre9LY3 - rpw6hQXvdDpnjx7u+yznjMeMcCExxoILXadxGGGMu93O+GR59+7dlerI1756z4kTJ6rVWXXoAH09 - c3tj10AtT0IVhfzzeuGVempknEspDUunHHWHHSq1DuylQsZQCIT1nOaD4NjguBAs1RiiJBaMWHgp - XkwjJlEGkIAQazrtBl1d14O0rxVxo7tWKpSFwCtidaFzjOYMXwQpgnbOzDLxSPfxTIqQxBnLDIkI - xQcGBwXgDDNAsyDuEZOsBvVVv53hDNkQYM4yhgjkgKdMCiEo0SjRghSEWdgSPEn96oj9lre8pb+6 - +swTzyRJojvFkDHGmK7rLGEIS0opQiiO41YrDry1zVv3zu48rxXwf3n4++3VLhnHhmEwxsIshjqk - lHKREULSMHWQqT6VzWZztjyXt/LN1Ybt5EZGRoIwXF5e3rRpk0izOI510yxXiqsr9SxLS6XCYNCL - oiiXy5XLxTAMBwNPZRdABHgmOOeCZ8oJ2+v13vjGN951113Hjh274aMfOXH02E033TQ+Pl6v1wXn - pWJRp/To4WOLC0uHDzw9VXKitBcYYRsEkQE0agFPjtKK5kE2ZFu27XrPn/7JkePH3NxoEERRFI2P - j5933nm+7x88eHB5aenP/uzPUsbuuOOOhYWFP/7DP1J5L8eOHfvmvfcMe32MMeTiC5//23636w89 - UzcghBhA9Tx2HEep4EgjX//61wnCCtynZCoVk6IWEf4V70PVL6qJkm3bURIDBIQQKWO6rl16xSU3 - fe7zjz2578mnHnFtBGnWTvuhlS0kvfGZSX+xPkorNLI0Ri++6Mo/fs/76u0uIFq75+fKI/0wyOed - LTvm3nH9W+/86u0H9x9y3Pw7f/cdgKBmp33HHXf4vi+zzDAMwTLbtkWaGUT7T3/yp6uLS5ZuYIh8 - z1MHmjIpYqpJAGKWXnr5ZW94wxvyhbzv+5Lzj3/849/61rdc1zUM44ILLmi1WktLS67rhmFo2/bn - Pvc50zQLhUK9Xn/lK1/5wAMP3HXXXR/+8Iff+c53Oo6TpmkURWmaFgqFfr+vAhzAT1ViXgj1nE2M - GqchiRTi07ZtxbfWCd25c6dpmlu2bBFZJqVkSVoul+M4llIaVI/ThCCsniISAnmuExdQMTkAlAid - Y0UoKLiE6wFvAgpIuJQAZRqUGAuCISEQICyA4AKmGbAE4FxiKQGQRACINJBIhgSnujY6Whqtjg6G - ncpIhQGSCuknXAQJJlijmmQsjeJns/OfXarxUjMXnmVpkhCMS6Vye6XRaTS3z235g3e96+CRw7Zt - m7alNnR83281mtPT05EfmKZZqVT+/h//4Z5/vscu5FzXrTebSZJs3bp1MPAmJiYsqnPOr77qqsXl - pTRlL7rowpXVtUa3g2ySL+UH/SAO4qLpvPqaX/ytX//N/fuf0nMGytOlqBXSjJiQhNGIL0wfz05c - 0GyHI5untu7e+fLXvGqiOqMT2w9TyDNDxxAD2zAhASxOh74HIX5uHgx+NuhM7SyoSXkYhrqu12o1 - pc1ICBhjSRSrHOx13rH8geICAQYQQSA1TSMEcYyzLGNxLJgkluYlieIGEULi1NdNyFKOoYQQA4C2 - zG2tLy4XLf32r9/ZW+tFA6CbYMdFM83uMmOMc5hxYRgGgsT3fdUN53K5s2fPIiwef+KpJEm2bNk6 - GGSUUg4SBtZxtAipT936L/nC9qX9/7R+ZMooIYBQAAg4ElxygGQGOYNAYA6hlBICCATKYsQgASJN - QpYZWGoWFVmWJIlLc7ZpRSFf6S6xzC/XyuVciWLdC4YdzqK06QqHQ0moIQT0RcT0TMiMQQGAFIBz - JDjAGcokZBBkRAgIZExSACVHmYAcAIGBFAiuP+/kudgBDbiWa2iE6tDzBjfeeCNOxM4tW/L5fH3g - M4SK5XI0DFzXTRmIokgTFGZxGIo4Zrff/lRhDORGZx3HmRkr9+lACaKc8A2LvRCcEF2lWFNKx8bG - 6otroABrtRrLxPz8fKVSSVJn//79m6ZmSqVSFEWc8+np6V6v12q1TNPMskyhzEzTFAJs8GOUOU/w - TCnrURTdeeedf/AHf1CtVldWVt7//vdnWfbYY49tmZsLgqDg5manpinWvvG1u44eeOK3f/2V28+f - /NCNH+wYURsxrFm7azvsTZdaqbm8uHLwyFFNw2PjVWroAAAI4XnnnfeRj3zkj/7oj6Ioev3rX3/4 - 8OF6vf7hP//zV7/61Y/t+z7G+Nd+7dcOPPX0WKmSZlwIIVl28On9/tBrN5p7du++6667zp46rUwq - O3bveulLX3r11Vd/7GMf04l28uTJ7Xt2VSqVertl27Yyc0gpn6+xVxkBIYRJkkgEy7UqhNCwzHa7 - KTnQNKppmuPaEqRnl05MzVQQDwqjpfmDxx49fKBXwg+c+pcXb91z/tymSyav8JbD7z5wf9nNL5xZ - cyt5N2cNU08A3B0OX/8br33g2/ddd81LXv6ya265+dbLLrv0o3/98dVGXYkfCpmNJGg2GgU751h2 - 5PmPfG/fW998/YPffqBarnzhCzdRQ2eCU0r37N379ne+42t33N5ttDBEXm+ANXLl5VfEaXLVFVfc - 8JGPXHDBBW9729ve+973njp1atOmTVLKwWDwnve851Of+tThw4c1Tdu9e/fT+5/yfb9YLDqOowZ5 - hmEonNgPBkngh9AbL8B6ziZGqUkUUxUcmKYp0ens7OywNzhz6vQdd9xx1VVXOY4DAKCEjI+PLy4u - CiF+pIkBAKx7Jc41MQAAJBEAz93EIC6lRFzDkhKpY4BBxqVgXCQSZgBDiJGEBEANQMKRZCiRILUw - 1KTgSRz5waFDBxOWrjTrupN3ihVd16VIJYsBAIausUxIKRGESOkB58hshmH4vi+lNA1DABiGYRzH - NjUmJyYs3UjC6OmnnhobG+Ocf+O2rz/xxBNqdhiG4Qc/+MGnnnzyt37rt5566qnlxcVatUot/fjx - 49OzM2maXXn55b/yK79y21e++p4/fNdff/zj/UH3uuuuue66f/PB//zBKArzeTfM0shLRCo1jQpf - GIi+7z+++z+8550Hlw81wu4TKwdjjXe6rVwq33zpKyhy4qi9cPLsMOrt2b2TAsTiJPYDQjEkAOkI - Ymm6mh/4QgrGOJDSJIZl6T8LD2ajrdngwShzzLN4MBuKKwZAKiwm55xSnHKOINQ0LYqCiPNcLocM - I0pCTdNGR0Y5ZypqBiEEgIDn4g4YY4uLzdFSZeXM4tvf8JqTB/eft2NXrpBf7rWGIe93UyYgNUyM - MeMSY0w1iiHrdDqv+d9f1WiuFEuVf7r5jkajYdu1NE1Zmiptf10SPlcQ/lyGecEXlFBKCAGEEoBM - IiAhZpgzCARUnGUIgZRQcMgkkAKkGcggSCFGgnOEUBynrmk4pjkMhlKIyPMxR5BLJAiiGi5qmQnS - kMkYCAw1HccyEUJIqdoRLgDMEMigAFBgkAEpJUo5ZBwAgDkAQh1jCEAIsQoxkIBLIEAMuM6RTmUm - 8/n8BXu3jhcKgIHv1/e7rmuZ5tnFxVqxMhgMMLFM07Qc28B4x/aia8ude0Ivg62ALze7q6urvhWq - 5RRN0zgUUkqIIEYYrA+CBGNJpVLhfdlqtZCA5UpNxYM4rj06OpokSbPZzBeLEMLBsJfLO3ESAgCS - mAVBrHAJP86PUQMmdas5cuTIDTfccN3LrqkUS1/96m3Hjx+/8PwLTxw79tKrf6Hf7SOAKMCzU6Nv - +ncfSPpno2g1w/3xuSrRskzSIBx4rP+KX7jWemnuYx/7ZJqxXq/X6Z+p1sZnpjclafpPX/oS0TTP - 9/ft27e0tOSYFk/ZzOTUwWcO/P7v/36pUFycX+h1uqZp+b4/Oz1jYO3ql/+bb3zjG7/66l955Hv7 - Ok6TENLv98+cPPV/3/j3J+dPtuqNrTt36I6p8H1qaiYEV8GW/Hk2Meo855zruo404jhOo9GojtRs - 0+FScCGDOMzSBAAuQXbJpZdGXn2+fhyStDZdmjpvAplkAhhxMmDcm9s8+6077/P7vR1bd7T9qOv1 - xjfNSBQHfu/mf7hZR1IX+E1v+O2nd+63LON9H3j/X3/ivzzzzDO1UjEOoyiKRqu1nOtiAeMwqlQq - WzbPlQvFfrf3O297xz/9482u5URp0u12w6G3e/vOm1p/x9IUAzj0fWroZ8+efebggYceesh1Xcsw - HnvkkbW1tbm5uWazWSqVHMc5ffq07/tbt25dWlp69NFHK9UyxljXdcuy4jhmjCncxgYthnMOgVR9 - 4U983V4IyLufpsQo10iWZUIIy7KUEmMSvVwuf/vb31ZPfWV9nZ6eXl5eVhljPxgnKZqcVG0KAAAI - 8BOaGAkBAJKvNzoCgQxIiQTFyMBAJ1KTXEjOMJIAZlJyCQGXOJNISpwhkWkpy0IKhSala1DHcdqd - XphxJ5/jiAAA/CikSGIpgciEQAqaLs75eTf0Q8/z1IwsCAIMYLlcDsMwiqJw4LuFvGboq0vLF+w9 - b9u2bX/3+Rt5yiQmuq67leorX/6L33vo4TSKG6tr05NT7V572O+P1cb63UG1Wt21Y+eRQ4dtw2y3 - Wk8//fTIyMhFF198+vTJJ59+KoiirZPb6u0OIdpYodxdbYXDoFDI1SZLI1vKdOfu2/bdPvWiYgCT - TaTcPbTYC1ezgfWi3VflChcN4wSAOAn6DoWFvJ1mqW2bXDCEIOOsN+iapm0ZdhjEPzsPRqnKysdu - 2/YGD4bxLI5jZVB/9iIiPIe5zLIMQCilVOsPCKEg8BydFgoFTjHHTL3IjDFN16RkKkzbNUqWZfmi - d+rU4mjROn78pD/0br31Li8AUzvciCFNy+XdSs8PoihiaWRSTCn1h0NK6RNPPIGwODu/qOvULRTD - MEMIqcfcRgkhXsi3h/8l69mg942v/FAAJADnBDIAzh0LUEokIV//dgkgkJILKCQEEksBkQQZ5hhD - CSUXUGY8A0hSQ5dcMsaE4K7jpAEb9j2d2DnNckqFNImyjCdRRiCM08TnCeUCYkwQgUAykQAEJJJA - ICzXQyjVVBQCAda7JcgV9xpIADiE6w9FLACUEMlz/5sa4JwHQYCwIETvdDqrp05pQOt2u8DMYYzL - 5XIURJbhYGLEcRpFkRe1TqK2P2x0B4BTaJTGOeeWZQEH2rataVqqGiwkEQLiXCqt+ulBEIyMjPTE - oN1uQ0TyBXfoeVEUzc7OdpvtRqNBKHUcR4mm1Wq10+mAH+LHWD/Cj1GuXnVRYYydPXv2H1f+sVar - 9Xr9Tqtt60atUj198tSOLdssw+Sc+UG321/bMzf5ncefjhNvbmynYSMAzUpWKdtFDtnJ0yemZqcW - 11Z00xgdH1+tN5rt7ujoqKJyvOQlL+l2Oi95yUs+8L7/dMstt1TLFc753Xffffjw4be95a233nrr - cOjt2rFz/vSZarVKMWk3miW3OOj1LdPUKDUMwwt8CaRumeddeMHxEyewoamQL8MwBoOBpq9jzAj6 - yYr7c1UURZZlKUtKv98XCC4sLBRKRdO2bNs2TGvQ7RaLRSHl5OTk8ePHz989e8+DJ73It0pWlkld - o8tnV3buntNNY2VtlVC6fdfOfd8/aObLk+MTjHHTMdvDlYvP350Ew4/85V9decVVV1714k9+8pM+ - Y799/Zt7vV6z2VSTsjiObdsWSQYloJQKICWCAEG1T5PyzHLsKInbvS4CeGVtVR13tVrNcmzf96cn - p6677rp9+/YpE6TapVdBeISQX/qlX7Jte/v27d1ut9vtvv43f2MwGBw8eFAtQK0L7ecsBOqPG1O2 - F2z9a3ZSkyRR2BxCiFrETRhTt4VMiEwIqbArQJ0JP9rEgHMhcBAAea6JWTc5Q4GAgBIKKbMsYxAh - wSEAEAoCJZCZBFxwISQUgACJBQQYYEgMDYjY9/xhYAcp45luO9RywiSNkoilqWYQhLEAgHMOEX52 - So7YMPZiLAFQz72UZ2ESq039yAv7g4FpWbNzm5Xg1qw3ivmCGsrkSi4BuNlszs3NPf7UkxPZ5KFj - R/PFQrlSU8tyC2cWrrrqCp1os7Ozl158iYSg0+ls3rz5TW960+GjRxeXV6qlSs7JpwEvzWyertTC - xC9NF5gVJ9Sb2Fto11fcnKUDmZUwz+LJmVktL30e1OvLEly4bfvEaDU/WnIAwUSnCUviOI6iGEOS - RKlGzbyTU6vRz82DgRs8GLCuwZiW5ZzjwbA4jhlPsyxV5yCEWEophDwndUBKaRiGmmFAIeI4KhRy - jq6vri7rCBZKhRSD5fq8Ylqr11yp4hrRgl4Qx/HIyIg+inno9Xq944daOQNUKmB6aubgsdMYslar - JTAxDINgiWQ2GAwowbmco2lap9swLUfX9SAIdL2kViuF5ODHlJif1/8r9dMtCeLZ29dy/bjAUGIA - zsVbSQAAREBCKaTMIKAQACgBggAAiBFGGHBCkJ4mKnxbCiC0DA7W+jERaRJXRyoQIYhJDIAglEEE - IUSQg3UcAAQAYCAhAlJKLgGSAAoAIZBIZFgAiSBXGhAAAHAIIACIQwQkFhBKtbqIAAYIIcexUxZ0 - Op3RqiulxATXarV2kDYajdrUlI40JAkXkjGmDA25nGvQ9PR8Lz8KHcfBPU8Z6pU8KTSBMeYQZZxl - guu6AQBQNw3f94FEExMTFGnNZrPba+/es2etvrq2tlYtlqWUjVaTsXRmZqbVauVyeV3Xeba+iKQs - /D/Cj4FyHaINISwUCkeOHHEt2zTN0A8mJyfVlsquHTtb9QaLEyFSovEt26ePHHmsUKqhjBrcnnYr - AtG0zqQu4zQmOjl28lin0zt2/Pj+A0empqdXFpfiIHzZy14WR9GRw4cZY+9973vf9773NZvNtbW1 - rVu3Pvy971540YtOnDp5dmE+8IJer6ch3Gq1pscnIIQAwDRjQRgK31dPnCCL8oWC5/tOPtfqtNSs - vNPpWJbFskydac9XfFULmOpZXq1Wt+/eZdv26Ojo/v371+p1ljDHMBeKyxSaTz5x4Ff/t5efWVg+ - 7/xLH/3KIUsrzZW3rjZXq+Zkt560SuFkbVMCwf6jh+2CEyZhpz0wHOv4obObNk2dPH76G7d//fIr - X5zEyb333rdn7/mLq2uNtXoSxa1GEwAwNjbWabZ0Sk1qBJ7f84b7Hn3kuuuus/M5Lw6JqQ+jIEdJ - oVImOg1EjHWqmQYx9W6/t7i6bLvOS1/60m3btrVaLSHEww8/nGXZH//xH2/dutX3/bW1tUceeeTY - sWMzMzOe5z366KO33HJLsVj89Kc/vbi4qKQa0zRN01RQRCUKAgSTJFEA2BdmPedvtr55C6WCE4Rh - aLlOPp/v1FtSyte97nWO46gcL0rp3Nzc/Pz8jxh78Xrawg+ILBtKDDwXKCyfpcQICBAQRDIogZR6 - BkgmMQAQIUSQlCyGgAPJIZQQYgQ1iChAmEMRxzEEwKSaaVAAgBd4d37zrlaradqWoUFLN6SUUqyj - UJhcZ/VCCAWQAgIhJYTQtew4jpUFVQiRpGkSx8hFpmtDShrtVrPVuvDCCzWgKemi2Wgoln+r1zJN - 04/CU6dO9fr9OIiCIDhy+Jibz6Vp+o53vOMjH/mrwB/+/u/9h4cffnhm0+zD3/2uYRjf+pd/7vR6 - jGcQYm8wBAz63eESJrnqNbQssU6SmGW+EL0sX8ixIQMh8rwoceBav8OlbpdcPwsaqytnT59aWVhC - lMQspboepUmSZrlcIQxjKtHE2KQQ2crq8s/Cg1Fcc8tyNngw7XZbCMFlBtZ5MFDKH9i9VaOQpqmu - 64xzJKXi0LAoqlQqRcf2Az/TUC6XUxNWSmnGk0ww3TTSJDWIOz4+/uR3H0UYmAi8/nevv/JFjdnx - 8SPHjiQYViqVZj0WQGCK1Q/SdT0OI8ugcRxfcdWLTp7CO3bu/uY3vr04357bXF3fnIfgnCdGgQ3W - m60N6/HP639CracjPfcL/sPXuh9kskAJkOp+JJccAg0KACUUECAJhUQYSgghRAQDybNMoAxapsNk - mkaxAFkuV8hlhQOH9w/rDVMnk7/8MtPVBE8k0Sy9mIJYgIgzgaAkhGZCQtWxAKF+LBEIAQAgYBBk - CAAAiPqVpBAQCAggBEgAJJGSYdZJJAxkKFOUVQH4JZdcojG2cGphbaVf0p0B571er+QWfM/XqEMp - 1U1DA2x0dNQ2q9XRCFr5XgKPnJrvD4ayCjY+oRyuWykRQmmaOsQGDERRlM/nw0bsAa9YLAKIV1aX - lMdFdT+2beeSHGNsZWVF9feWZWlEHw6HcRzpuv7j/BgMkWJghmGoEF/jI6PdbreYLyZR1G62ivnC - 2vLKpZdeeub0aUPTdV3/zOdutCmwC4YJx/c/eObRk3fYbm68MLaputIa75w+PG8bRd2ktdGJpaWl - Xq83OTl51VVXVavVK6+8cseOHVu2bJmamrr+bW+llN58880XXXTRv3/jG6699trrr7++2W6NjYwt - zi8U3FyapkdPHI9Z+syRZ4rFYrfb5TxDQEZpcvjIkYNHDodJfGb+7PkXno8x9n1fWXoBAGoU8nw9 - MQr1ptxCvV7v6NGjnU4HIJgypmkaJdS17EptrBv6jl1893vev2vnprXeSioJ6cDbPvt1rGtl0xVz - 9OnFO9vLA9fK50YqTz6239JdU3dIJndt2haz8G9v+uJTTx76wIc+rOv6X93wl3/2Z3++XF97/Kkn - 2+22bdue50VRpFxNPBNUp61Oe9uunX/7xS+srqzAz/xXqGth6A0aa5qmlWvVT//N55Cu+WEQp4lh - mdWR2qFDh8IwbDQaExMTBw4cGBkZyeVyt9xyy4EDB0qlUi6XazabzzzzTBRFvu9zzvft26frerPZ - VHYihJDneRvxDspwjRF5LiPpC6Ses4lRk0Uk0UZONwBgfn6+5Ba2bt36un/36zfeeKPruvliIcsy - ASTESAoBEIQYra+YnwvyBWD9H3j9wILnwuAAgOdi4aD6VwgFwABypAbkkKurGOSISCA5EhmQHArI - ZSp5BDIiBTE0HUEiuFht9DDGV151+d333ecAYOhkOBzqui44xxhLBBnPJCZCSnUpUyoyhAgAEMSR - aZpECDUwLlbKkR+EYdgL+hmQ1bGRXLl4x5137qtUnJwb+IHqWOv1+tfvuF1AcMNf/qVt20mSRFGE - NDIxMdloNCqVyp233/mZ//qZhx9+SHBw1ZVXf/iGvzh89EipVPr+4090+31d1/rDHkBM1yyag/l8 - LhZpY7n7hte99fKrL+5F3b2XXvzgP31XAugCsxOCfzl9IBCHw1RcdemVF11uJQw4Vg4LwiNgUBsh - zdQogkzXDOJQjLTQDxh/Lh4M+REejBqZn+PBhGrSpLTEDbuM4kBBtfEjkRDZuc0j9ZfQMPQZlOPj - o6ZG+sN+BlGhUNjgNwDlPQoCy7ARQsvLq5WJqkVIxXW+/OUv99a8JAIAgLFNtOenxfx0vjTW6g98 - 32dpiFyrWq22GkulvHvfffcBmP0/7L15kGXZXd/5O9td371vf7lnZdZe1V29ILW2bi0tWo0xlmSt - ltAGtnBgNJ4Ri2BkNAaDFrAGgyFARojFEgKzBIYRUksNCEmtpbfqvWvpqsrKrMz38uXb3313P9v8 - cbNKLaEWEB4IWZ4TFRUVGVmVWZHnnvs7v9/3+/meO3/BoOUjh9eSJEMIIYwLrNbf1MTo/18U84+1 - rjVgkP67xidd+/xr9lakC7UcQpgghIrM86c38zRorDHihJgUS4wMrIjIRW4iWpKOgRquQRfrczMU - TqezOMgCW0omPYMZBta5lrkgmAEARUIjLRAQBUQRqgAwVhinTGkAJoBoAA0StEKAEVBAVCOyn2+q - tdbAAGM8nU6VUoZFP/e5z013u77tiZwJ5riua3hev7NX9euGac9mURRFedR74IGdMEiTHLALrDwn - hFhaWkj9zHVdwzBSHXPOFVXMZFIrkQhKqUxlkiRKKdM04zgEQzebTUxgZ2dnbr5VrVbjIEQIzc3N - TSaTdru9tLSEkDIMw7KNNKOuttFX+TEjwzA8z0uShGd5AZEqBI4AkKbppYsXT11/Q7VaxYAmozFj - jBJS8SrbO7urB040miuz8cQpOd9z+3Fp6Ne93JIgJ9ORgalH7WPr15fs8gf/4y9tbW01WnN5mmRp - +hd33/3lL32peEcGsxlCKE3T1dXVfr//5a98xbKs93/gA2malso+NdiNN9/01Lnzt9122yOPPPKd - d9wRZ+krX/0qhFCcJPV6fTSdXLqyaXvuv/6hH/ypn/qp4XC4kqYYY9fzRqOR65WKcZJB2d9r35qm - WWhBDMPQGAVBIKXs9fsKK8woj7PBYPT4E2f+6rP3LC2u/bPveU2tURE4i0UiiLiV4vnlxafOXfC9 - 2uFFw6KOZdnv/Zn3eY0qlcCj0HFKfJKHUfhv3v5D41mQ8hRpudBsvf71r88EP3b8eG+3yxhrzrV2 - d3cNw3C8Up5kuZKPnXnSr1VO3XjDoNev+uVXvubVAmkF2jTN5txcv9+/+ZZnb+5scykty8KUIkLO - PfWUZVnt3d1ms2lZVpQk29vb1Wq1Wq3OZrPV1dWtra16vV6v1/v9vmEYvV6vyAZOkiTLssJWXRSC - +5Uuwd+ksf33vRv+QxzE36CIUQgwACFEKQUaCnLfLI6arlOv1xHCDz50em9v7wtf+ALFRCmFtF5e - Xt7Z2fmGFmt07ejZ19ECaPxVaidSel+4BxID0YopThRIRASmHDBHWmihQRlUUVAIFAWNgCCNscZI - M6wNDZhLhQhToBcX57/ru+8c9IaVqseIUbRDhRASNMVEXkPbFUMHDfs2KYxs07rGUciyrN/vF0kl - rusigjp7u1GeHDx2uOz5P/Ged4/HY1CaMRaGUaVWqcw1EUIHDx/6yZ/8SYE00WoWhbVGfTydPPzo - I//mHT80Go3a2ztHjhz5l29/u20M3J5sAAAgAElEQVTbW9vbXAqghCd5s95Ks3g0GrSazc2dLbNk - /G8/8m8n05eVfVcLPg3Gr3/OG5lti1R4miVJVl5aHozHh1YOfP6LX2aGoyRgTBllqeTxLGKORZmR - pFmr2TQNe6d9JU7jvxsPxjdNU0vIkrhUKiWR6na79XpdCKElYEKUkBjT/dx6jAmgYhZnmmaSJNQ0 - kNJhGDabTafiB0EwjsJKrZJhvdPecpzbEEJ5nlMDKwUmY1prpUSl4vc2Zo7v7+3tHVps5cHsZS++ - 2bTN+uriF770YHc3mW13mOuWy+UsZRlPLM4JYQr0i158+8MP37tUrl64sAsoNawaQkgrVfghi3VN - uPPNl0aF7KFIZn4aabvYJFebBESDxIA1KKSILpoNBCuMACECCAHWGgNIDAJTDRg0BlBIg/5b+Pvf - buvpY+L9lsw+fQkBKI1AIgUaQ6GN01eNsFcPBAUgsAKtOUIAxBAIQ+FZUlpriaXWWnKBCRiMKVBc - ZFJyxyn3xoES3GodWjt5QI3j8awvDRnzTBJNqVG1rBxxImKtuZQSYaxAEkBE7U+xsCJYg0ZIY9BX - A3ux1kQLtU8gxggBQgoTUPtiY62UAg7MJlW/rHSWiamU8uihQ0vzSxee6gzCDCHU6/XrtYbiKoki - KaVls4Xq0vNONese+9Rf3hNKknJpGhYxWJJMxtNxkiTa0YQQpbjiimtuWw5kUAgTH9p47EBtfWlp - aa/dm0wm1Wo1SdM4jqMoqpT84ikzTfP48eNbW1ut1lzhNPR9X5fQeDzO89T3/e3trav8GJFlSXG/ - KFo+ABBE4fWnTnXanTzPy563cmCVKymV+uRdd9374H1RGueCz83Nt3d2S743DacSS8e3MpkaFPIk - MalhYms4DubmF3LOmcmSNBWcM8OIoyjnvFarFZFnnU5n7dDBTqdDDBYlMcaYGcZ4Ou33+7VW403f - 91Yppeu6ve5erVFHGoJw5nkeMw1qGABweWuz1WqVyh4hBGEcjCeu6xb/BdM0v3knBn3t2xQBCClN - 0yzcSVxJwKhSqUyjKTFJLjJmUNMu9QbDD3zw/05mgW0zAVxhIZCSIG3H6Q36fqUex3GlVh0Oh4Cp - gZmBWMktpzKeTmeMmXPN+cF4alh2tVrvdrt5puYaC4Pp8MKFC61Wq5DrOiW37PlBOBtPxqurq3f/ - 5V8oIQlClmWlcQIYcSmpwSToQrxYsEwVqIbvnTt3bmVlxTCMIlRuOBxqhCili4uLhaPTcZydnZ1y - uVwUK0VuqG3bRTpQkiTlSmVubo5SGgUzZpkUYUQpBsSFeHoz5ltBzPv0RRVSCAoLAOirP1qNQO9b - HgEAUs7dkpekGWCUpUm5XpvFEUIIaf3j7/yRcBo06vVhr++6bphEUivbthEh01lQKpXSWfyxj32M - msZ4OonTpFarpWnaarVGo5EQolarTWezME0s27ZtZzoaVSyqhPAdM0wzYjCCdKa0AuUaVprEWZZV - PD/LuGdZkEstIQhGgLBd8RSGJEm4qoazqW2YFafS6/Utx5NAgOGMp2bJSWehTHLfL2utozByHIdz - WSq5nb3uC+78rqNHj/7mb/3Ge97znp//+Z9njAmKk2hmudZw2p+lwYd/+9e1Qq1WazIajcdjz/Oy - LCOIMkYAcKfTuf7Eye1OGzAgihzHGU0n1DZjyZPBMImipQNrVzq78/OL7e626dhESaU1YyyNhRa4 - 6lZ5LPxK9cz5Sx/4uV/odjvlkpfPQlNjUIpRQ2IIwtitlgOZUYPls7jml7NZIpSkBhlPp1a5ZNlO - kueGbS3NzUdRFAz20jS1LEMpwXlhQSrQxEh9lQejKcW1ahljUJIjpSlGk9EoTVO/VOIpBwCLmEgh - jJBW+yMkKTgU6UoYScUd1xIK8iw1TbNarU7iOEmyermGEYqjWa3ZKJo9pmlmPDYMlEsBICjROY/H - g0kexjZGt9xyS2+urvPs/Pmz/dP3AvPqtZU4w4kUnEsptWFYUZxYTgmw+Mp9964dWGCmFaeXx+Ng - 9UC50PQAhtksjaJIuQQK3THCSjwjVVIh0EgBKKoBaQIaawQSYQDABJQQTCGMECEky3NEiNLCMQ3F - uUhzm5VNbcc5Vzp0SkyrTCuujGquEEdUI4xAYRBao79XQ+J/6nUVnaAUUhIDlYBBS2AAWiMslEJE - IwQaY1BYpGBTQ+RxpeSlUszSiINUjGiL5HmuESMKudzUEqVIKSwVcJ6ntueYxInCENsYMZ2ImV1i - UTRzmIUYbEwvGgdw1kIH60ceG53TFlWeKQWmoaIKKWQjy5Q40UwTkEhgwk3KKeEYMSpNlKJME4YI - MrTWQlLEEUYIKMMm0lwpmaNcaa0RMZF2Ci8VhdF0LHKZ52FrwT9x4jocB3E46e7uUKdGmNWqtbIo - ZdQ0mCHETOTxhM8unB08MumX3OrK4vqIk9ET5y4+daVxnU8MopFQoDEGpLBUijIqhFJKmZQuLi4X - Is2tcLtRqU+DEGPcarV2u53RaFR2Pc/zBqOR1rpSqVYq1StXrpw4cYJzXjBI641qp9N5Gj8m8n2/ - 0agV/Jgkiw3KCiloEAVerTyNZxnPyr5/4+J8LkWjVe+PBqZDkQHtXtuulFKeUtuwKBVZjjVCCvtW - JUsSzVCtVuM84UIKrhSCubm5dnf3wPLKU5cu1pqN3d5eo9GYzILCPVBUWnEca8cxXLvSrF+8eHFl - cUlm2SxLTM9NJM+S1K+WM86pQTXFaZoS01AI3FJJaV3EwxUKX0S+isn/ukKm2JyFRxZdZYwDoCKz - lKB9RRYhxLKt8XjcmG9Mo5EQWaYkpQwYjvPMLfnT8VBj6TV806LtbhubNjOdcBqXHDudBI2SP52G - hkENYEnChSKa2WAYmrC9vf6pU6c6nZ25aksIsdcdGyXWWqgMh8OS71GDBbOZUBIQ8svlSxsba2tr - SRwrqZJZQCm1TBMhmIVhrVbjnCOMldaWbQdBMJyMq416JngmeJyl14YkeZ5bljUYDApUTLVazdMU - lCrUIFJizrntOHEcVyqVMAzTNGWUViuVYDaTGFPGDEJFlj8dd/etdp49M+zuGaqtQqSJEFJCPu8F - tz70wIPBaHzTqRs2Lly8cuWK0JIwGmWp65UOrK9nWXbLdzy73W6vrq9RSk+ePPn4k082Go23ff/3 - Hz582KCUEPK9b37z+3/2vUeOH/vzT37yC5//3KDdtkxrMJ4wwwrGk+p86/96978jJg2Dke+7hm30 - Bn3LsrBEJJWNUp0BM63SNE+ed+sLz5w79x/+w8/6ttuo1qIwNChrtlqX29vEoBqjWRTappmrXHJh - GIbJjDzNDMaklEvzC4uLizfddNN73vOe177itVLKK1eunD59enNzkxBy4MAKpbTd6dTrdb/iTYKx - 6VpcK67VJBjVKhUhRK1R7ex18zyv1mqTWTCLo5Lvua67vdOuV2tAYHevU6lU+sOe4zhRNONStObn - ptMxxkwBKC4YYwibpunsdgZS4ukkqbmVMjPiIBS5YKZlOzQVmlKbYIqx4LnWWgPBQMD2Ta4ykSOg - eGlpcTKZyJxneU5pIWSRAOQaDwYTZhgGJSiOY9NkrVaL5yljFgbNpchizjnXUuH9AY0GjfZTMmF/ - DEgYuxrXnvNcZllCmI0JLC4uhmEYhLFhGJQaQThNsmTObxadSYX223uGYSCF0ywhBM0tVW3sTPv9 - 06dPP/bABRMBYfCcF5149MxGe9imdlUTato2aCllhhGyXQfrPIyipy5eitNcSvns5z57NEgUaEKp - zIVpYsaYIvvpB0WcNkbPeHlQqLDLFb2BfcawQiCUZIRgwFgiqolrOoDYNJxazCSGJfOEclSxKrPJ - LvaQ5IKCxCAAAADrr3ZfFSD0t4lcv/0WLrq5AAUUCgEgLXSRbi6UyIUAjig3GKY8kEIKgsDHJYlV - zjMhNFcIEUw5pQnCQKhjKAaa5ArRXHNQCAHRWiusCCgFGAMqpk3CyAVAoiJEcYhTUExJzaRZRx7R - LBU8VyJDXDMulKQCUcVc7VqacqlHeTRTETDJDCBQqF60RkIrUnBLEdYaQBFdbBhKKWUYCMw15l3q - KOlzOT179qyVR2mY+KXyXjB1mv5gNDWpyVWegyzuDHkYa+3atvnQI+PmODNqi1qj+eW6XXGYSREl - AFwoCUpTRnIlQErOuYNQp9O59dZbz97/lEGtOI5rtdr58+dXVlePHDnS6XS63W6WZZVaLcuybre7 - tLTEGHv00UeXl5ebzWYh4/0afozMZ+HUMG3btj2lhsOhQRlCiDCKKeFcGJaZ5zzL8zTPAaNZHGGM - qWWOJyPDMOIsllIjhH3X45jGkUoSDgYWEiEMwXhsWObc3FwuIAzDbr9n2/alzcuHDh0aDofNZnN7 - e/vYsWO9Xm82m1mWVa1Wfd8fDAaTybTRbBZjI0KIQWjJ9wqd0CyOlFI6RLVarYgskEoFQRAEQaH5 - MwBSnisuEPkGTxzW+4z8bzLoLNLzJOgi5C4OZ5oLJXNEsNAyiWKdK+bh1vx8lidC8Esbm7VGNc+F - QYxS2RFpYhjWZDi2DRsJNY3HjNnVWmMyCRRKecIPHlvbG+3WmpUoCqejYGVtOcymxTivcIbmWZZl - GQKglF5//fWj4ZAQYpqWlHI6nRJCFILCclEqlcbjMaU0S1PLNIuQcMZYkiTXHT/x4IMPLi0teZ4X - h1Gn01lYWAiCoNlsFgHAnPPJZNJoNPafG4RKpVIuBWY0SZIgCDjnXqlU+FXzLLNM81s6xbr4aRdS - ta86JPXXFDHFi6xorXMuMMJEASNEchFn/Md/7F1/+Ad/8PDDD5fL5Y2ty0eOHX3dq19z4vrrLm5c - etYttzzxxBPHT50MwxBTvLm9tbi0wBj7uZ//gGvZRw4dfstb3rK2vPzen/7pucWFd/7wD1++cGEw - GCRCVhdXtje36/PzhJDLGxuf/fynf/Knfvzxpx659NTlK3tXoiiql6oo4K944fdsP9l+ya3f+d5/ - /+/v/qvPU02i0SyahsFwXPIcTdW584+7Zd/37DjLZSYZM0FCFEdSS8qoAmU5VqfTsW37M5/5zCOP - PJKm6afvurvX6ymler1emsVyJifDSRqlh9YPt9ttJXSW5CKXjEDJsoFr23SCLHAcd9jrG7allPI8 - v/Ak93o90IJg7XlOreIGk2mrVRmORiXfAUzauxcqlUqShSXLFZmazWam4TSrc3GWagRxOJslSRRF - jGJkYo44NijmSibExK7tONF05NiORiC1IAS4lK7rYEow5GEwQhoLLgkhhcUdnsaDwRRpkOhpPBgl - 9ydNWZZFSap1IZ3eV5boq3+9kAAXPMAkSTjnfrlkYZRlCQEocMBJkgghLMsoUmA42u9Don1g2f4/ - RYuEXgDOOcXcNM3l5eWFmn/y8Fprvvnlhx6am5tLHIuDpTCJ4lhkYaXiizQZDAbzzcott9xSrrjd - 3uDLX3z4scceW10+tr9TMZimyRjjeN85r/alWc+4sCJw1YKisAIAhCQG0PvWVq2lNpWJM2JqmgZU - KxTLdNGrM0nzIBl39pafuzaI+xhLAEW0AqWYRqgYUyH8d5hoffsshbBGWIPWgBUqnD8IACNQBmVC - 5lpIpLRtmgYxCGY+cR1KbM641MigQCARmcYSKOa5trHlIiqEiPI856miqeFSpRQigArRHUZKAyou - 3ARrrYVSCrRAWiCNTcYMy2KVeBjjHJMUudQr26SCSxqkQoJiaigTci3THNvglF1coiGfYiK0Floo - IQUgUhTfEuT+0VgsqYorAUjY2WnPV1tROPWq5MiR65smXls+8Jm7vqCt8sZez6+38iQnlFJmxTF3 - HKfROnhsvaKy4DkvOLDVD85c6RVZjImXFqiqgtaoFCCEDGYIKQkhJjMRQufPnz906PDOxbY29JUr - V06dOtXr93d2dubn55NZtLOzw0yzkNL3ej3bthcWFpIk2dvbK5fLCKHpdFpwYgAgy7IoihCm1/gx - WZLv82P0PgJKSjmbzbrd7g0nr2s0GuPphJiW7UrHtvM0LzvmaDQZ7A6r1SojpWrVU0pNwynCuFqz - Z7NZp90rhPYVz8+ybG1ltX1lu1wuayGrfrnb7jiOQ8sVSun5M2cty1pcXKz6FcxoOA1q5Uocx37J - 6/f7hWDFtSylVK1aazVb3XYHMeU4DgA4tk0wLmirmFFK6TfEK1zrx371ibw6+wSAq25NwBhLJbnI - HMeK4hnF4JfrKddKQr1eV5kUSTYYDLhI3LJTdp35ZmOvsyszYZYtkxjddsewzErJz5WoNqrtve4w - 6rh1z7DY7u4uMWozGO3ubK0srJTnnExF4/EIAMrlcpqmXqVCqjWtdZ5mWZbblrWzdWV+fj4cT6vV - qmtaREMaJw2/orlUab62uLy1tRVNgsOHD8dxvHtl5/rrr/+ul96R57nnls6cOdMZt5vNZr1exxiv - rKycO3fO9/3bX/zi+++/vxCDj8bDt7zlLX/4R3+EECqV/dFoVCqVNECcJK7rIoQwIUKIwrr7Lbu+ - vhNTXEb/phIS66LvBgQQxQSU0lyalGkujhw5srS0ZDp2kmfz8/NJktTr9XLJS+MElErzLIxnzDQr - zWqacUJRFCbLy4sGMX7gX/+r3/2vH/c91/ePT4JxvVxhjEnQpl9ya41TzcW9vT2D4Wq54pfdo4dX - LwdnkmB2/LZDG5ubZcu5+/c/8ZbXv/rk8otvOHLD0bWDDpgOtep+rWS6Zc/L8rDWqjzrBd+RyGxz - a2cWRxQbXEgJ+zy3ojOhtXYcp9lsBkGwubkphCisNAXbzTId3/dt2yWIBqN+1avwJK16lW7UyVOh - qGQIp1HsmJbI8kajMZlM4jA2LLM4yP2Sx+rVOJqlUUgJSZLYdqhWPAyGmNF6rZSLhJooESHFpue5 - II3ZcIoNlmRxY76ey3QSDrVBGSZIa4OiNIxUYgxHydLyXAIkz/M4SZBBZ8HIq5TrjVqe51ubl4WQ - BFGMiVJCI/V1PBgFusByl8tl17Wv8WAUF2mSXeXB7D/YWu+D+/d5MABfy4OJLMsql8uE2UqpyWhc - LnvUKeV5OplMlFLEIOPxuCh9gGAuOaXAOSdMFyap0Si0UOJb5mQy2Tj36OmvnA5COHXLYru9Z9EF - 2y/NkvQan6YQjI9GowsXOCChAOd5Pj+/sP9aEQIMgK8Nkf/mC+ui2CkARVCEb6PinYiw1qoQcSKB - zj18TgzSNM9u/CfPi+OYmCQaBcPuuLu9c+L5J0egENKAJAVNNaISiMIAIOF/Rau3RkWQddHf0kgD - BswzoUHajBLDJNiUXMaTcBCn0MsSbsQpx5QojFKZYQaI4UwqJMGIpeuXSmtV0zUSnvAs01RLpTEh - EiQCUnBdAO0b0IpXFyJMaYQJw5h2253hxaFESzAFpC1MCVeRRAIhxBAzwUJSSeC4QuQCTT1hlCki - SAouNVJFIjsuTr6rsN59EsNVEBGDuluzTduxDWrl3W63Gwwfuv/0ZJTF2mg2VxRhDDPBecGZHAwG - 493RrJ3LFDL5uFEpB8qQUtZqNVTCBT5fylzC/kAkTmKHuTrTBTYeAKbT6dLS0u52pwgiZoxNg0me - 53Nzc4yx8XistbZtezqdAkCRAjgajSil34QfY5tWq9XabXcBQEjJOTdNu8gINAgtlUqEkCiKAKDf - H0ilCKDZbAqlUqVemk3DTKWpFO2L3dbcAiYmNdhkMiq5npScMQoAw+HQ9/04jufn59M0DYKggIoV - FBMAOHz4cBiGw+FQa6hXa2Ewo5jYzAgmE82FXfIsz6KUtnc7E4AsiokGgzKkQQphWVYhZxFCUEKl - lHES27b9TJvzG64Caaa1Bi214Eors8RCrRk1eJojzCQXlmfeceftZcu9fOn83FLL9azueC/O4uYd - t/EkTaaRgYhJzUajtTccfvIznx5N+sxCAkmOknZ707bty7sX11YPzM3XoiBSWk9H41azKaW2mAFa - j4bDYgPU/PKgP3SYefsLX1Qulz23pJRK48Q0zel0WmvUd9udlQOrSZLMN5qc87vvvrtard5y83e8 - 6U1v8jzv3Llzjzz0kGc7rm1zIYr4uU6ns7i4uL6+/ou/8Iuved1rRpOJ7/vv+vEfq9Vqv/f7v08p - nc1mRUJkkXGdZRlCqHC07R/g36qLIg0I7Zek17ovX/+DfpphUuWcOQZDhCI8CwLHtCxqWbYtlWKM - AdJxHFuWxRhjmBT3fmRg07UU0bnMRZ5bpmWabNgbzM01e/1uOJ0Qw/yJn3jXX3z6rksbF8pzjUkQ - Xdrp1Cs1ypwsj03Kbrrh1F6wU10o4b4cwGDpxsW15tLHf/1Pzmw8euNzT6o0veHEiWkwHvSGJ49f - X6tUHcs+fGRlb7T7wH33bHU7L/sn39MfjZVSAJhSBg4AwSnPpZRBEAghRqMRoxRjzCzr1he8oN1u - P/roo3mWKaUIxoPe0DHt5z/n+Uijctk3qNHr7TFMpRK2685mM0zJzs7Oddddd98D9/cGw8IExIXI - sqxMvDxJbds+fOjQ5YsX0zgul8tJGlm2LZCUWhGD5jkHibXUIJVrGoeOHjq7cSaTE+Rir2x51VIa - JfE0qvvlRqXsqprKgJlsca7WG+8BwaPxuFKvlXyPc5mnPAxmpZKnNRRlh4bihkGu8WAKUoVpmI7j - XOXByDRNFRdCiKs8GHwNJFP0YgyDFaNrrXWappVKhTHW2d0hhNTrVcDGbnu77PmUUsAkSRKeJIbF - iIEHg0FRZOCrllHXdUGSNE1t277++qMqkeO93uXLl8djVXXg1Kma67r1ejWaQr/fp5Zp+26u8yRJ - KGjf9y0GlOLhaGja+5bIYhYOyOAiL47F4hqGMcZXkweeaZBboBcVgEISg0JaFXWMBpxLZWCXUYNy - 6+F7Huo93lZYvfSV30MAZ9N869zlcBxNx+NZNKK44CEpojVVimiMNQjACBBG6pkms9+WSwMGrfan - MQD7r3+tbNPUkiApsjgSKqaKWciY8+q3HLypDGUhNGCKCM5lDhhyEBxpEwwTZAppF8aXo8sKIsNh - 4zxUSjHClJQIEaxBgkZ635yMMQEMCHQmRS6FFNDw6o2l+j89/F11qJvgI8AccgyKAEGgMTAAlUM8 - gWATdjbUla3JJkWIYEwpI5qCZqAxl7Ig3BV1DCGEKsIINQiFFLCFGWPhLMrjSaVEGrbdrDYYCfsh - T5JkEo2RQq7jWHaJMVyteYaybznWCoadL9+/rdK0OjcXy3Ge51QyuNYSoAghLJTYN1gASCmTJFlZ - WRluTpg0FhYWJtPZhQsXlpaXDxw4MBqNTMIWFhYGo1FRshSwkHa7XS6Xbdtut9uc86v8GN+8OiAo - +DFIg23bBT9GJHHxtRzH0VJNp9PC0HTy5Mn3ve99O+3d+x66X2PObLzT2WzON7jUlmUH09RmpWZt - YXu7feLYyY985COPP/IwocgvecFsapnmi1/0oul0etddd83Pz5dc95WvfOXHP/5x3/drCwt33nln - HMd333236zhxlAx6vVaj8cu//MsbFy/t7OysrR7o9XpFBLdhGI7jSCk9z4ui6My5s+PxCGuIgpnG - SErJhWCM1cqVJM+eYYf+zcmyBgQYI4SQlkWMniQMS8kdx8nixLKcLMlt23nxrbf92A+/87//wR/Y - 5uEomQ5GOznEg2ivN9u0LOvo2kEbmUSw0XiQ8nRusXlxY4NYBsNk1B+cOHL0bf/y+37pl34picLO - cPTz7/+5o4ePffyj/+3+Lz34gz/wg3PNlmGZ73//+weDAWAscF7zy7Px5Afe9v1f/OIXqyX/4Ycf - Pn7s2JUrVyqVClVQc73FRosxdubMmVe96jWn770fY9Lf621cvEQI+fjHP54Lft2Jk2980/dOZ8Ff - fvazp0+fPnz4cLvdfvWrX/2V+75SKpUef/LJkydPXrx48dSpU3Nzc1EUEYP1er3pdOp5nuvuA0e0 - 1teSkv/Bzoz/0bXfiXmm++LT54iAoGAIFj0MQggXArmkM9jt9vaSLK3Wa4NB33YcoVUmuGFbeZ5r - jBSCS5uXkjQtJnME42Gv//1veeuf/umfjkaD6XT653/2/3z4Nz/ysY99bPnwoXHAo4zXvGa3261X - G1kc9/vDB+9/4OVvvN1xrFM3nXp884wmcKWzfeg6b319bWvrsrXonT17tubXFheWP/e5z9186hQA - PPHEE7ZvvvX7v+8v/vqzo9HINswwSRnDmRAFIqWAOxXv1yiKiozy8XjM2L66rVzyKGVSSse011cP - vvENr3/88ccd07rw1FOVkl8rV6bhzLat60+eHI1GB9fWl1dXOp1OHMcKEELI0LJcLgdR8I53/Nul - hcX3/Lv/c35+vtvtvPVNb/uN3/rNySRUAJponqSmaRKN0zSv2c5LXnTbs5570+Xdw9JTAznamWwb - jmkzByXazGnSiY/XTuShuv1ld9x86y2vfsPrMp5Xm/ONRiPP091OhwCqlesA+Nr+0wi+jgdDGPU8 - r4DgFf754WCglAKp4G/jwRQHX8GD0Vo3Gg3XtaMo4jKu1+sE4SCYhrmIoplvOUUHpQAlCSEIRoXn - IgxnrmVUvOpsNrvwcA8UrMxVX/WqV6h0Blnc6bZnnCOEyuUyyVCupJQyz3PHNbBSWZZ4Tmlt7UBr - rlLyK1+ePXzx0taBlcP73RcEV61Jf1fe3dM/SQFgpIrcXXQ14RxjyjDBGjmGJRFgjUAhgzLbtqeD - kDEWzULsK0AaIYQV4H2o69/7Ufy2WQoBKAwICotW8cEkSQgCg2BqOJhSpi2cgM2tOqo2YU4xhIAR - oJIoAJ0BTyAD0BRkBkkIOVVY5ypP0mJvFgU6xUiBRkprgALGJbUCCRK0lpoww1AsH+YwlN5htwIV - G3wALDQHUARhDZoCVaAyIAkkWkA6SwliGGmMQclingP7GOGr2+naSKnYaWDDaDTCHEVh0FzwTp48 - SaKJY9hnn9w0/GaUq/X19af1blcAACAASURBVDRKQesk5b3eruMaTE6WfYll8sIX3qjtyiCF7d5w - OJyaDi0GPVdbj0pKaTqmzFTRQ53NZltbW2uNQ/3tQWO1PhiOjx07dmljA5B2XTeZRZzzSqUCAO12 - u9vtGoYBAIXHp5gxfQ0/hrGv48esra5LKYWSBday4PkWNCwAiKLIMcywNywzk3mmUcf3n7t0afL4 - 7l7XcyvXH73Jrx4o+Zhg/uUvfjZPw3qjOpvNdnd3lZZ33nnnf3r/f3rHj77j2LFjtVrtne98p2ma - v/u7v9vv93/7t3/7V37lV17wghfcfPPNd9/1adctNesN0PDQ/Q8eOnTo7GNPrD7/1j/5wz/qdrtJ - kqyurtZqtde+9rXlcvlLX/pSOouiWQhKE4RNy5JSStBciCRJ4BvJYvQ3CiAp9Ftaa4S10gIhzSh2 - y6VZGM4vLU7GYZrmlDKZ840LT5WIpUX25u993RPnHh7E3c+f/jxT0q2UJrPgSrJ5oHXgWYdPLVSX - //rzX3jszGPlchVT0u8NlhpLL3z2i/7Fy1734V/8L3EY/Mg7fvjxhx//kz/807e9+W33ff6+3//4 - 721tbb33ve+da7aSKI7j2KAsmARZlvluadTrv/tdP+7Zzk033fShD31o98pOmqbD4TCahR/84Aff - /eDpkutapumXy2EYLrTmnn/brWEY/uEf/9GxY8dOnDjxr37g7RcuXbrhhht6vd7a2tr73ve+9/7M - z0wmE9/377rrrhe/5EVra2tFfrVdcotWX9FoL1zWRbvxf47spK/7Bq/ZlJ4+R7x23HPOM55T03j2 - c5+TZdmv/+ZHbr755tdQsrOz8x3PffZsNnvwodMPPfJwrVb7s0984vpn3TAeTY8eO7GxeVlqsCyn - Wq6U7NIrXv7Pf+Dtb6/Vaq9/wxsfe/LMi15y+x13ftd7fuanCQbPtbIoqFa87a2NpcWW53mTSXDx - 7OVPfeUTfTzZnnYoM0ed/prZGu+F9/7VJ15xh/Hle78SiyQIp065ZJas8SzIBA9H8T33fCnjIk44 - ABiGgREIAGqQgliQTmKEkGM61xzInlMSGTepQQAroYJw6tilcqkSBtEjpx9ZWVqeazY/+d8/EQSB - EPlsNlNKvfsn/91T5y+86rWvefChB4sfdpIllNIojieTyQ/90DuGvfHvfey/femL9z/n2bd84P3/ - 8RX//JX/9aN/nCFCMDYcI8e5EEIDtSxD8gwDf/yRL5+45SCZIyUhOufjxzcehgyvN9dvWDpxuDl/ - 28HveOrRi0pMHQRJGhmWY9l+luvJKE1j5Nomw2aep6Cx0pIQgsjX82BM++k8mFTrXAqNcFHrMKn3 - k+iV1oA0xlgDUkoJKRzTkFIAgGGQcBpqreeX5g2KwzDMBSzMNXvdPc45Y4bv+yYiaZ5ooQklAHCt - G4kQcl2XYZbFmeM4R69bExGHnP/xH//xeC+SCTAD1k+Uu92pY1DLa/IswZgAKMYYBZhMZp1OR+ls - e+fK3EIrSZJTp45nCRFCcJ5DqZDmYXR1sgBXU6y/+SOIn2Zk2B8sIaAYK8m1Egqp57zw+f5z7Ukw - TXkSRuNGa+7w8SOuV6nzOYyxVPsTBwBAGu8jHL91n/p/+FX4GvclVQoAbNtWMpOCSy6otjBiWoLO - lQuuA5bSGDQjQLRGmGAHFIOcgGYgU0guy048SSQWFjW1yEGra1fDa0hDRLDWWot9oaLWmlFmKrNS - 8cPdqQe2AdTSFAQANvctthoAQIJUiNjYLtNyvdSYJTFQpVUipUZKEawRxpgQ0OJqKjpoXYQOKSEE - xNBqtcqW75XsKO0/8MADPnAkoVQq9abTUnNlZ2eHIkoJMS334MGDmCid0CiK4unw818auE2glXnT - NA8eXM3KueM4lFKtMyEEIQhjHMWRw9xCf1PE2PV6vYX5xStXrjSbc4Qiz/Pa7fbhw4dN0ywQ25VK - RSm1sbGxvr5erVYLnebX8mOQYRhFRIzrosKl8XX8mDwXURQhA6QQ0+nUcZxKpVK1/cHmldtvu0V4 - 6f2b947EzoHrVtyD8yYxo7TTWj38rOsPHTu48nsf/SOs8jgKkNaH1tdM09zauPwr/+U/G4SOB8Pp - aPx7H/vdH/3RH11ZXJpOp48+9PCd33lHs9l8/JFHXdfNkrTkuEopg9D5ZssyzVue/exGtTYZjmaT - abfdmQxHL33xS6SUv/Obv6Uxsk2ziIspACfUNIqgH/nMj9+1lxp6Wn9WKYGxqa/Gw9m2vbm1dfTE - 8cks9qtVJSQI2ahXCch4NlYishw93N0J5LB2wG0cXFxgiwCw3Fg5tn482JulMrFLtupNIKertbXF - +eX+U8NHv/xk1s3WW4dWa2v3fva+J5948o7fuONXvV8DgOc973nlcrler49GI6TBNi1v3sUYt5rN - 5eVlmfP29s4b/8Ub+ns9BOD7vlcqmaa5tLRUKpUmk8loNFJa+74fpcnPvu+929vbrldq73buf/CB - KEmOHDlSZFNfvny52WwWOeoIoaNHj47HY9/3Dx48uLGxUaAOTceWoCXoOEtd11VaA0ZCq/+vLEn/ - EPZsCleP7yLjW6OrMl69/0F4WgWjEXApS46DKZkl0V1/8ZmDBw9OxxNN8cWLF/M8j3laYP4cx7m4 - seF6pcHnpwBw4cIlyzEn46BaK2+3O57r/B8/8qNASZLmd33605+66zPtbvvAgfWMc61STBnDJJ6M - qr6LtDr71Pk3vuGtVza6x1duPFmnnVEPU+peby1Yte75zvETNw+D6H//sR979NyTpx97QiJpuk7G - c7/anIajy5s7qeCAcJqKkuMVbtdiaGIYBjGxaZoyl5zzAovnWs7W1lYYhoWmzDRNKQslb14t144d - OXLk0OFoFo4GQ8e1FubnldYvf/nLP3fPF44fP/5nf/an9Xq9UvHL2hMafN/HhF6+fPkn3/2epaWV - r3zx/pfd8d1nz144duISRUajPo8xnWUzyqjjMiaAcYXi/ND66pXO+Zfd+aIryeZ4e3dxrXrk2d9t - KWv3wm4WR5X64k2njhsZxCCDdFwue7VajWAWhOmgP6mWqyXH6u3tGgZFBFAhTPh6HkzFsEylVJqm - pVIpjuNut9uo1YUQSuWUUiFlUXBco8YVgLuCB1N40woejO/7QRBMsqRSqUhNdnZ2Cp1NY3FZiLyz - eYVzjhhQiwohGGNAcMZzACW1okgX2pos4iLiBqClVrNs08V65cixw1al8tl7Hhh0VTGa5PsJeJJL - JaVcaLWe85yba3UnzVUw5bPZzGBlhJBhmnmSFWGwBRygoKLtlzHPfKYBANKqoLWqa+ASBYwQJBBC - UkB+6vmnVssrlzYvapvjXM3EdK45d2phOYLozO5jGFEFSCKsgeivnpLqW8+N+I+xMOirTgalsdZI - aYAsSxjFBeFDguaK29g0DIMAokCUpgRMkEjkEhOMKC5RmsoEYQ0Imcj0nJLCMSEY8khpwOiq7kbv - Y10KoGLRRwSAlOc8y+NM2wkTITfAMAQGCTIBYkChnQIBIIFQYpqWBaYWEE+TXHFsKoQBIaIR1ohI - rZWUqFAtF7gYjAu9KqUGmFkYhi51oijSRM/Pz8/Z9MDS6hOPXcpJvDsclso1rDECyPN8MNir1ry6 - a95443EG2bHr0gvtwV4k8yhVSkQkTpIEIUQJFVhprQCB67gilYRYKlPz8/NP2RdlJAeDwdLSUrvT - NUx65MiRpy6cv3Tp0okjxyil4+k0y7Ll5eUizK/dbvv+3+THtK7xY0oajcdjLnPf97e3tw8cOOCX - ykqpNM2LprtpmoZhFIlCGlQ+DanghCENaaIDu06qXktlisZMQChllKWR79rVis/YepikJmUFmGph - YWEwGKyvrxuGUQTt5Xm+vr5+/Pjx++67r0CbHD16dDqcKKUowq7rVsrlXq9nIBpFEQY012z5vr+3 - t2dSAygM+4P5xQUFimd5lmW+7ytKM8GllKZlSfX3c9Nc634VQZtKqXB3yDlHhCkEzLQQ5iZjmYiO - HFzd3rq0uj53z2MTw8KJziIVlvxKGEcjPp2KKWCSCqkBL8wtQ47DUdrZ2JurtcJedOzA9ePpEGf0 - 6NpxrNn2Zmc6mS0uLr7xjW989NFHH3vsMcMw/EqZIDydBotz83u93vr6ep7neZ6vraxhjG3bVloT - SkejEQZCKbUs6+ChQ529bj4eHT1+7Nh1J8bj8YVLly5evJjm+fLy8tra2mg0uuWWW4IgeOlLX3r0 - 6NF3vetdT5492+12X/6Kf3bq1Kk3DQYf/vCHB+NRwY8psvMmk0nRir420PyWXbTosOmrnBiAfcD2 - 0wVQ+hpRF4HUCjEqEUgEnW63NxiMRiMButfrtdttv+QWRXEhUJrFkWGaWqNmvTEcDyuVahzFrlPS - WgsEtlPKkoyYxmg8bi4sIsOIZ2NMONPCoU6KhZB60B/df9+Dn/vcZw2Kuv1Oc7k1jcPJdLbcWrA5 - zqaxQ+ycS+qVRr/6q5hZx46dyIBjyxgFU8N2AFFmGEme7Su/OMcECl5kkiT1ai3Pc57yglfrOE4w - nvZ6vc2Ny9VyBSHU7/db9QVKqe/7RUBagYNcWVwiFO3sdpqtlonNIAgKl2C61702hqjVasPR+Nix - Y7/2a7+2sbHx4V/99Q9+8IOPP/7ky1/5Ctu2scGEUJTSVOaOU3axEfaGhZOtQJgTQkqlEp1QzjkS - aDgcYqgfOHCg0MQxk9mWXcx3tre3gdBWqxXNwinPPM8TIgesGGMpT3PBC+EIpbRarV4L98IYj0aj - opTJsgwAGDMBEMZIF9m+AOJqEAnGgDEwRjjPijtc0ZSOosig2DTNMM5ns1nJcZvN5l/++Z+/6nvf - 0L68NT8/DzYdIFSY+pAmjDEheCHTKdJTN7sdEwjT8KzvfunmU0+mwfh3fuevjDI4vrEwf92VzngW - prbneL4bx7FJaLVWHgx6Dz74YMkz5uYWzp3dGk+ncy2TUprzrEjjKxDsQKAg4BXI12fY/0XDYJ9z - pzUGwBophYBo0BII0loLTrORGo9HAfha6Zj5OoJoM9gG2QeEDMeVKBdKGoimQgJlSqNCKYwRhmeE - 1Hx7LgQK9nVFxSo6HlpTkEhnMkcYAKGcSwZaIC0BBCiKsRIKa0IxAQVIAKFgEZuB4JAxbIhcKkPJ - XCCNXdvmKTcoRRq0UhgVISe4+DqFBIlhAgAGoja1gEoGmGAMXBOGgF6FnRkAHIAU3zY2qEk1NqmR - 6wx0IajCBV28+EX2E2OVlmSfqAgAHAQRs9ksjsL5Zf/AgQMXTt+7ffmKEqZUzHGcJElMajJKHcdB - SDFGhsPOvffuzUYxYqi6dPDQobULf/2FUsmZqahIpVYuIowIIQGBkEIIWfROOp1OtVrNlcym+XA4 - rFQqWZ70+/2VlZU4jjudju/7hX42juN6vb63tzccDsvlcsGbxxg7jlOr1XZ3d5vNJqU0TVOpoFqt - pmYSBEGj0ej3+5Ngury8bNvuYDCIw8gxraJLXalUpJJaa9/3L/Seunoy4DzPLWoBgBCiQOZnWba9 - vT2eBEIDRTiO44WFheFwuLOzs7m5qZTyPC8IgjAML168mCTJhz70oTe/+c31ev1Tn/oUCC2lJICS - JBmPx6urq3Eaw1V7Y7vdbjabAHDu/LnFxUVEsMylEMJxnDzPqcFgP92Wf8Nx0jdZxU2vuL/Nz8/X - Go2XveqfDiaTKA6TOLOYyTQaDAYWtXa2OwvL9dOnH1pfPzjUQfPYcuVAqzPu1aqejf3pJKEhTROe - JnLYnSBORQLVcu3ixQ0lYftKOxPJgw8+dNvtL3r0iSe73b1ciDe+6XsfOP3gZz7zGa31Tqftuq6W - ilK2uX3FsK3P3H337bffXq3Xcs0NyxxPJ61WazYdt5YWxkmw090VoJ84f/bIsaPD4fAX/vMvtXc7 - +5IP0A888tCJw0cPHTr03Oc+dzabaa0/8pGP/MIHP0gpVQCmaX7qrk+WSqWc8zAMqWkULSgpZRiG - cNVIUbwF/u5uiX/8RYvb4tOPnP0/XJPCfO3HgZIoTYjBmGUyTObn5687df2TTz6ZZdmBAwd6/T1C - CKIkE7wYpkqhEMMglZZaCckzLrGsVCrd3d1arVbyvSAKVw+uX7h0cTgLXNtgCIJh3/DqDBHLdfyq - /+SZM8vLq9k0XWseC/pBmdUb/oKeaR7EWFqk6sTJlEmJLYML3h/3h9NRKrnjehnPszylNsOIYQCl - BCFIaiWlLEoWkxnD4VALbdv2LAjSNH3bm9+6vr5+8403ffSjH/3kJz+5vLzc6/bjJFRK7exced1r - XlVc9Lv9XprFrusePnw4lenS8vLp06epwTjn0+lsNJ34vj8aj03TbLVamxuXz58/e2HjXJwEUuhK - pTSaDtq7naWVFcMxKFLnzj7uG17NKs3Gsys7u6vrq2mitW1FI2mTWpbyJFYVd26xtIiRvbM7uNLp - eY0GA6vT6WqtHdfinGd5ZJhYiVxKxRiJ0yzJE8/zuBTFravVahVXIo2Ac54laWFVQAjhq835a16k - Yseyr/JgRCGeLQ6Iubm5JEkwxvV6XeTp7u5uEKYl11VScc6P3nhjkiT7D8M0Ur4qRHmIkJwnhsG4 - UIwxIcR0Ol1bW1GJtAn91Kc+lQZJMoFKDV7y3c/7q8/fv7GxUW2uWqC4FkmSGAaZjEbrB1coVCzL - 2tzcGI5nWZbdcMNNg34EoAXnYH2NNalo//8tC0kAQPqqChWBAgIa9L5DT2vgHGtJBEcASJo6RQVN - RgHCDEHx9kQa8L7BGOFrnRhUiAb/l+HEYK2KghHD08dJUBQWGusipkgXDF4MSoEAqUFIUKgoEjCA - BEBX51G4+A0XhG5ZON8Bilv2NxzZXWshF0lMoBDWoEFrpIBIBRgTACyVUhgzwKiIQUFwtc8CgPVX - O9CAlIav+TIFhljBVdowA8/1fMuvVjxiZPfcc8+pA4uaq0ce2iw1m8xk5UYtnsVJHAsJ/y957xln - 2Vmde6437Lz3yXVO5dC5W2plCZFsySZfC/AYDFwwNpqx8WDDCJvBCLDBMr7mkmwswYB9ccBkMDII - SUZEiaQcWlK3qruruivXyWnnN82HfarVAgnfOz/fudh+P/Sv0ulTdc4O613ref5PHMcKiKlptVp5 - rDD4wV1tX645KR4bG7Nt03QshJCmaT6LJAGMscKKCWaapghEhlg93j45lZvFJjl1YnmsOl4s5aM4 - 7vV6F1988SMPHkmSRAJUKpXHHntsYWFh//79lmVtbW0lSVIoFIQQrVZrfHw808YxxizLMgwr69l4 - ntfvDlzXTTnb3t62bbdSqQSG2W40XdfN0AkUa2Yx97l/+sp9y3ehmvJbyZE7H+sMOsBhprTwyPDU - keLppK9sPY+JliuWEOCNtdVarXb++ee/+MUvvuiii9bW1j74wQ/+2Z/9WT6f/8d//Me3vOUtN998 - 83vf+959+/a99KUvrVQq66vrOddzXHc4HH7u859fXlpyHGdtba3Vak1OTlJdC+Po+o/ecPvtt09N - TZ1aXTnvgvPCOPJ9vzpey2DxQkr1Pw42YIwZuq5phlKq2Wy3Bz2EcSp4woVjOUhhPROeg6xNTX/q - M1+Y3DX2oyM/Wuquim/j5daaWypcdtll+yb3ffN7302afM/CPqRoGCXBsF8tjG+1t97zp3+iDPQn - 73vPTTd/9chjjx6+7PxXvvo//8OnPnPhhReWq2PT09NeIX//PffqltlptkzTTFOmEdob9KfnZv/y - +uvTNP3t33mDYVv7pqeCIHAL+VJ17L9+4P2pEt1+78KLL3r02DE35/WHA2roYRxTTbMsizF2Yunk - 4uJilumYdb8q5XK73ZYAaZrmC3mlVHZJTwXvdDrdbteyrGzUmAm/4Ay+/2d10dHpmjVjfqL9nR0L - Z7wd2WlONS3NKKpc+L7/vdvvOHDgwIF9+48+8gglmKfM9dxutyukZGkKQmFEGeGmZmIghmE5joMx - rpSrtm1nMIPjx0/mCvnq+Pjmxqli3rRpxdPswI8bjfrY+PiePftAKOLYmGkqwApjjqVrulyiQjnf - iluaZSKMlRCFctHNu7pp5ItFAkQgnCYxJWYqQ0SJZClSgDBCiKQpD8PQNi3TtAmgMAwrlQpCaNeu - hU9+8pO33fb1K6644siRh3q9PqXYMDSE1C/84hXX/P41l196mVtwcwXPcZyEpStrK++67t3dfu/W - W2/BlHQ6nY2NjT379vd6vcxC/JGP3fCOa699za+96otf+Izlau95z3WIxH/+4f/y15/466998cv5 - 2VphrDg9VR42wzAMamO1dtdfb2x/+64fVvfV1oNNvaSlkslU+Y3Bkeaxe81Hq1BztPyzr7xCgIER - 5WnM0iBNU2Rohq4jyYWUGBmEIilG984zPJhMHJPpeTNl7s7IiAAoyC7XZ/FgdJ1GUcRYksvlkCJC - CIppuVrhjCkhAECnVDAUx3EW6RIM/bW1ter07PLyskoYpihJE03TsgpplKilIE1TkFGRWoVC4eQD - y5WcV+8NX/eKF9fXli6/8DBguOOeOzWdGNQNwxA0EvNEI9g0TatW6/V6BlELCwt79s5GKdtY7ywu - LhYLkxhjqmlcMXTW+u+RpSgEaITSIQAY1JnyXWYPV0gJzGIkI6JAMYQEUVIABYUBcaoQRRIABEIS - sAQMCmVj2f+eCurf5RqZvDACAIlkNk5SO7BoCZA1NRSARFKASIFTEIRggggAgAIgIAAkSAlKwY68 - SeHs0aAwUhidYa2OJN3Z2hFC7Vy6AECAykaGgiiJhCJSAhdIUFAIE4wzHkKmdFEYZ2WK2smLyAoh - SXaOh7OXQgAS+v0+4TgMBkES/Z+/+VIzDTREQ1+tNPpe2X3k6NFKsWKZJiaapuVMixoKVatVk5R2 - 77+oGfBHV+qZrhaqaDAYYIwxwoABY2CSZSrmrA8hhCgUCsP+MKfnJycnozhtNpu5fJ5Sevr06bm5 - ubW1NYXQ8vLyueee2+l0Njc3a7VaHMdra2uGYXieF8dxt9vN5/NZFxZjTKhOCMmgTZlmjgkeBAGl - OgDk8/lhr9/tdrOA2LuP3McMjejF/edeYlTIoQsu6oadrfp2tTJBhAEpLdm1QTecn1k4urj60EMP - BUEwUasyKb7x7W/d+8D9jLFWq1Uqld705mscx1lZWalUKseXTt5629ellGESt1otCapSHTtx4gTW - aHVifPfu3ZTSX/nVl2eA/Cxw2zCMc88/7/LLL//j666rN5vze3YXCoVWq6VpGjV0zpjjOE/tTnpy - WQaleuZLgh2KhALgShKMCSjOWT8KOr3un19/g4j8hOFwCHOTB/cdvrgZ9p9lmZbrHHvkaJLIKWvB - mncwoadOrKaCX/yMi++95/7J2clr3/c2yzIZSzIWy7v+63WTk5ObaxtjY5U3vulNCODAwYMb6+tx - ksRpYjo2plQqdefddxVKxWKxKLlobtd/4XnPXdtYr2Ks63qpUjZNsx8Fn/vSF9e3t2zXMWyr0+9p - um47TpbZTDVNcZHhjIUQrusGQdButxljtYmJTqcThmEURQogi47RdT3vejqhLE4UFxgTBEgqBUL+ - LO/D/ofztc+E73DORZxOHTxEL77Ysexhu1v08u12i2iUhbFImVUykZDFUnFra4tzrls6UsrQKUvS - tfWVcqFcyLn+YFAs5cukTDS8vroaBsNKrsiFOLW5ms8XJ8Yn/ThOeOr7QTVXHkSx6eiaZiQxQ1Qx - kXa7HT1Pe8OhqZE4joM4NgzTsqxms2lqpsIZ3SRNOTMMXQoMUqUJl8BM0ywUCkhBHMdUN7KEZ0JI - qVR6/etfv7m5efnll3uO+8gjj9zytZtN09B1euvXbz338OHZ3fPPef7zGEsRwgCqNjEuhIiSpNFq - FgqFdrczO78QxhGXotvrTU1Nra+vv+c912kaSeJ4e2PjXe9+5/rmJhcp1bS5w7vcnNcb9jvtYdkZ - s7Hd7g8iJl/8y6+MVVSYyJ1urOgeVUQOe4NKvpzTPC3RkG/63TBg8ss335QkaRxGBgErZzHB4zTU - CAWkojQwTdvAVhAEmJJsxnmGB8MEz1h8Z/gr2fwL7QyGn4oHc2Y6HgRD27aVUr1eL0kiy7IMi2aJ - oYNBzxoOt7a2HM2ojo85GoAuNU1L09SghBCipNR13dAMlSjG2ORURYS8MlZYWlo68eij37ntUQC4 - 6Jm1EfKLGMM41E2dIh5FUc62wohF/uD4iceCsKcZthBiamouiUdtT7DO6iOdtX6KxXpnYRjdaHGW - PjjSjWKlAAQSEiuBJSguQAFIkSWgYymkJEgqpARSQklAEmVxTArgP1LgwJOuJ07S5BNejVHrCzhw - AYqAzNzZI5rDqJMzqhsQynzaWSJV1t5B8FMZPI+3kNHIkyIACFYIIQmKgxBIEJAoq1nOwtNn793Z - pE951udn1aU7V3UKOTOnE911qvN7qrfddpuZhnNTM9vbDcDWYDCYmprSic4Z45yH4bA/YBA3PdUh - MlpcSrU8FVYxjuNisZjYabbBwwgLJYSQgCBz9ulqVGdomtb2e7pt5L0cJnGjuc2FqNbGpJS+78/N - zR0/edK27W63SwgZDAae51Wr1Ywok6ZpoVDIvlUsFjPGvJBQrVYpJtvb2wAQRRHCKGPSr6ysjJUr - 8/PzhUJB1/X777//t+65p9cf2nlHYdbz245nSCTCOK1UxgQn9Y1WMTeGMaVY63Q61XI5n8/zNCES - c86Hw6Gu667rpmkqhNjY2DjvvPMwxsvLyxnIanp6utfr7d67d+X0aUzJ333qkxqhGONep2uaJgBQ - SqMo8jyvUCi02+3bvv2t7XZzIQq3G3XLsRPJDcNI0jT7Yw37STgxI132E7+IFQACQggoLLgCwIZp - YA0zwWMWY1AgOMJIYbSxtf3xT/y3ZOifc/DA17/1zVQxr5rvx0EcpbXaRNAZrmnrNvZ6nX6hUs7n - i0Ec3PvAvdgi7ajZAs7/ogAAIABJREFU8htz1fnt1e1zzz+3WW+6BXd9c23P3r2tesNxHM750aNH - s9c5iiIhJcZ4MBjccccdGON2s7Vnz57t7e3BVwYLu3dlMcMHDhzYbjTGx8dPLJ1USnme54eh53mc - c4IxB0jTVEnpmFZGfKGUpmnKOZ8cH89KmUKh0Go3FxYWtra3MzQaQiiXy2V6BgDAGXJxZ5b31Gfb - /+JFd9IjssCzndw79eSbWKRAp5qSUiSpSbSZPXNXPOvnBp3u/v37O81Wv9+3LDNXLAglB75vWOb2 - 9rZrO5//4hfCOI7SWAlOCE6S9Lxzzknj9NTy0sH9+7a2Nw3TAkySMNizZ9fW1imWRPv3H2w2OoMg - TbgoFouTExOryye8vBuqUIBANpU41EsoGg4KpJiz9GHgV8oVr1AEAJZwDEA1EIIDQQIESAmSKwEI - 4SxrWjDOU5a1yzTHBQDDMI4++ujffvLvpZQ5x11cXOx0OsHQT5LEDwZ333dPuVIcDoIbb/qyadh+ - MOj3hlkF0O33isWiaVsZDTphnOpaJmRL0zRXyK+srxmUEIzdfI4J4bpuvpjr+0OF5MbGhqZR17AM - TYv8SCj8ne99/+4jR1qdZrFasFyj57cpxViBTrVBb6hhM2/WQFAhE4SlVDEBJIWIk8CwLaWEUECI - BtmWQmGEiGFYtu3u8GBYHMdMpJynOzwYopSSUu3c+NGZKBOlVBxHhUJB08jm5qamaaVSCWO8vb2d - z+cJQYzJMAzTNLZtW4KUnFFMcrlcEAS5XE4H7HleGspe0MlOhmx0lekWM7cFISRVSinlul6z2cQY - zjlon3/RYUbIMFaDDmcydhyLAU+iRNdpo9HIF9xirprL5TrduuMVbNsMw1DX8kopUP8fwxazucCZ - 4x2DRHJ0vRMIAGWWedAUUkAAspFIdkMFhaUEKbEUIIWSWEmigCjACnMEWd/vP1JHBgNgCViNFCpw - 1igNZx0OrAAprCQmCmOVqa3OqjAxKAkIg1BcKSFH5iacPSqrYyRCEjBCCp1Vo4zKHXX2ryKz3g8H - IUFIUBgh9cTKR+60a7KnGbUMQUqQOwcTgQzYpyQgefZVUWZPEkCK02KhMBx0l5f9Ui436Y6NFSuS - G41hutruW7miH/lJHLteoVAoWLbmaaVzdxX97vZWc4lrWnViYnB6LYMR6LrOGMMmRkgKIRFFGCOV - yUQIybZn5WJVV3qz2bRsd3JycmNzs9frlUqlXqvj+/7CwkIQBEtLSzMzM7Ozs41GwzCMTFTbbDYB - YGxsrN/vW5Zl23a2XUmSBKSilErO0jTFlNi2DYCzxomp6blczrKsOI7jNKktTDVbLQ1Tip2wxzwv - X7MtPpSMiXJuUtNNpZSSPJdzhWScp1QjXAjbcaSUQkrGea1W833fcd2TS0uEkCRJhJSzs7MPPvjg - xMTE2sa6QqhSrTabTceypJS268Rx7HmelFJikBiYEjFPV7Y29u3b5xbzpmNzlV2LUoSxbdu25z41 - Jj97z88+5REoxBjXTFMz9OwFQYIoBEIoqmGpRMqVadvd4WBmera9tVVvtrgkc3Mza/WtfK5UtGhn - vW0TCwsa8djSrWAQRDymjk51mit7a9trew7uGQ77lmOePH1cA11S7jhOp9PKEIIZvydznJiOHaUJ - VqhYLrUaTcdxxmrVgT/08rlCqRjH8frm5gtf+MIvffKTIGX1l395ojbe7XZ7nW4Qhe7UVJKyZqdb - KJcmxsdZnEguGGMZnxcA8vl8dhfo9/uVSkUIcerUqWKplOmBhBCdTqdarWZqyzOosJ/1IubJYwee - 2HN7PHYAQErpmBYGZOtGOVc4/vCjJxePo5gtLy//4Ac/GBurrG1uYErcQn5ycnJianJh9+7Nzc2p - qSnOWSo4wbRWHXv7W/9gampKclEul6+66qpdu3a9+93v/oO3X3vX/XfuO7w3CIJ7Hzl2zv7zmo1u - ziu9+Zo3B35narrST7pm1dlq11PBx/MV1E9toVvYNi2v2fN/8UW/9PVvf/cTn/hbg2qWYXqu0+42 - QCEFQDWUJIlMpakZGbYks0dmYc6c8/X19Ynx8UsuvdT3/b1794qUbW5urq2t5XKuAI4xjE9UH3r4 - yKWXXhqEIQAkfYZ1nKap6+Zq9nij0ahZ5mAwEKDGJybWt7YMw3AMGsZRLucWC2VCiGMZLEnr9Zam - 6832oDfouTmvWKglUUgUZrEIgsi2PNfK5UtFt5xrNepYKpPpOd2JosjEJnH1wTAcJJGuG0oJFvoa - BQRgUV3DmHFJqZ5FruiGFQUxSF4sFi3ncR5Mq9WSUgrF4afxYNhP8GBEpVKxbTsbG3mehzEOwzBN - eTaoYoxxKWxT73d7hUIuTdNKpawSlqbpYDBoQ5sxRoiNMRYKpJRKgeTMxo7russbpy2KRRy+45rf - XTr2QNBp3XHHXbW5cd/3OXeJQTjnAokMY+Y4ThRFjumUy2XTIl6+1G6Gj53Y3L3gIYQwIRJE1lX6 - saP8pxUSCgOAQGinNyCJgp0egFJIKQQSMJHKAARAMAhAEksMCoMCBBKQUphL4EoKBJIoSSRGKkvv - oAh+djNH/tWXHE1xsASMkdxRuOCRUUshrEQ2CcIKsMRYYQKUgEaAwONjIakAsmMzU6sAAADCKnsp - M4yeGFUzSGb8qqyDo37CTJ/9SgJGxwQBIkHhUQcaI4kQHvlHR0PAn3j4T3GZKQTgQJqmQRB0u92t - przmz9967K7vnzh2PIlwGMlKpZJIlHNycRSlTLbbbW2INvwt7DuOrp797KeDXfTBfODoImPJUIsy - 9ByYI48VxjgVnCBKKeUJj+M4n89TRnnEASCT9VSr1U63HUXRVG2i2WwWy+Ver7dv377l5WUAyIqP - brdbLBallJ1OBwA8z+v3+4Zh5PN5qdBgMACpSqXS9madEMKFCIJA103P80CqZrMZhmE2L5agms1G - Krim24VCWcQpxpRFMkkS3bSFlBRUkASCJbpONc2KolQInF1pM10FIWRlZYUQ4nmeaZoIoSzXaWtr - a9euXYVC4ejRY/sPHTx1cqlWq0kpg6GvCO75w1ypOOh2pZSW51LTQBqdmpk+tXJ6amYqS2M2TDOT - Yw4C3zCMpzpEnyo4SUq50+TDXEkCSsEIc8WlNGwXEGKc+2GgG1a/1ymV861ON4oirFGscM5xLWzy - VFCDMsFTxmzX6g0H0wvTm43NXTPzW6ubQjJTN9xcLgliz/Ykk2urG5VKpVwub21teZ7XbDY9zxNC - aJqmE01KOTEx0e/3M65PEAQIIQlQLBYfeOCBveefv2vXrjRNV0+fprqec92c64ok9Szb0HSQ0Nzc - TtNUSpnh0LIuSxAEW1tb5XK5gFCj0ZiZmanX671eL4qi+d27ut2u7/sTExOEZNCKkSnk3xixF+3E - DjxhqZ0fAGCMJyrWMUn80EBExunn//5Tn/n0p7+1eOLw/oMDf3DewXN++Vf+t/MvuWhxcXF8eqo/ - 7Fm2EYRDIZhn21LKUydPfPAD71s9vfLyl7989/wCEvxP/vAPq9Wx40cfPbB330arnQg5f/DcpbX6 - 7tnd0XBY39r65re+9p73v+2B730/iviRpUcHgT9RGAtOtV5+xUvXTg/OPXjJzTfe+KIXvWTf3G6q - SOxHIk5DxOPIzzs5gRTRzDRkgAlCxDQMyOJ7qKa4GPaHhUJ+YmJCStloNi1Tf97znuN53rUvuPYl - L3nJ0aNH9+3drWmkP+w5jrV8eokJUa1WwyQEwLbnDvyB4zi5Yo4alFJaLhWjKMrlPIRQFEWGYQRh - 4tpOu9WSTHHOLatMKcWUSteUUmnEI4YZ+4FmGtWqy4RsdNu9qCcE92yHAhCp/K2WYRhICYWkYZtK - UwwiBFx3KJHC0HQMhLFUEYywJoFLgQFjqhkUU8uyd3gwYTZTRwhll5IdJS+oLK8cIVBYSi4YNzRb - cA4AOtX7QaiUmJqYJBS1WkMpoFarNRqNJEk4l6ZpEp2GYYgxppRmcRu2bSGEGOedTpAkiZEzMm4S - ZDvdnbwxlKBWq7X/4ELUCw0M119/fdjjhEO+BEmSxHHsObV+mDIlTc9CSIRhUCtVtuvDZrMJiG1u - bXt5J/Dl4cP7ogBloE2gO9E2T1bKPNnCAHLky9tRsiM1CnRXIDkCBARJRBTWBBagJKEKKaQkVggE - zu7GAFJgAUgSJbEEkp1HiCqEYTQr+Y+y1OMxmgA78yGkECiMMCCFicQIMJKISEQF1oASoAgoUngn - BilbWc9EIkAYEFKAFAIJCu10elCWM4B3BDdZNIrcKUR2LFIISQRy9A5rKKtjlCSAKVCpslqJC1AS - 1ONP8+PrCV/JCqPRvZDD2NiYrrTZ2Vm3gN///vdPusZ4pbaxtmYUaq1+3/QKnU6HEuK4eUqRYRIw - 2diYK5PBl770Iy0P5bldnucVi3lT6+m6bhhGKBKOOABkZ6uG8Y6eTBUKhcZS2yGu4zhUM7rdTrFU - yufzSqlGozE3N3dyaWlycjKbL2xsbOzevTuj+2dpMEKIer3uui7nXNd1XdcZl4SQ0UumFCFEoZEt - UQgBUmW22/Hx8ampqWazsdneLJSLSZL4ceS6OaUgTROBeZz2c7mcZEMiI8ezhRB+0NUNyiV2nRwh - ZDgcIoQs27JcxzTNfr+PNRqGoQsKUUJ0LYij5nJ7fGpyZXU1C7FJkgQjwJQUy6UwjhTFLOXdQb+s - 0Y369vT09PT8XLlcbnc6jWYzK4YUV6ZpZrw++LHO3E8GQI5SrAEAsl7FGSMYwlgiQASDTmSSMKkG - fq9UKBw4cCBnmlKkhkE6w7ZdzklQ3f7Qs724H1AghUKBpaLd7p4+vVIo5LqNbjpMNntb5XLJMIww - GKJAkRhzxQgiu2bnFYbTp04BQD6Xy+VynHPHtIQQWaDE3DnnIILnZ+eOHTu2d+9e27aXl5dLpVKS - JAcOHBgOh1sbG+PVWpwmGJBlWbt3756YmEAILS0tLS4uurZt2zYTIk1TpVTWinvZy1728MMPn15d - dV33Wc96Vi6Xu+/+++++++7Me2vbNsE4iWMpJUYow6wrKdG/UhHzP6OfQ38MYpgNlX7yyc4UMRQT - U9cF4wTjUqm0dup0sVh0XZdi0uv1KCWtVstxHNu2m83m7K6FrUYdYwwIGYbh+77jOIcOHTp96lQx - X3jVq171f//e72sa+dVf/dWbbvqKRmgURZVyudEd9PrB3OyujbXNibGyrmu6i4vTXmGPs9w6CnOq - 6oy1T9e3O4u7d/+m7k3+/OU/9zef+KQNNPb9JPDL+WKlXEzZcLxSnNk1Mwx8rmgXgkiKJBUJY45r - cc6z/YHjOIZhZDrcbFtw7NixXq/3jGc8gxBy4MCBVrM+8IN8Pt/t92Zn5lPBMcZpym3bJoQkCTMM - DoBbrQ5BiDHW6XQqE7WsSWiYVjIYDIdBPlcEKUGBFCAIGg59wzSVgiQWcX9YyeWE4N1BRxJk24bh - GGmcGIQkfX+6XO3LDmCCsKIU9/yBU8ozFgPnlGoYIym5EAoDAaQxrnRsMpmmUVIqFXOel0RhGivX - tcNQZjwYJrgSgAkRQmE8AoVhhBFCEqRSSjeNMI50XVNKDQO/Us14MD3Oue14CKGt+jbGVCjAlAgl - QSiJgGBgqdi/f/9gMLDy+UZjOwiGCYutnFOeyhOC0CjxQGkaDqME4cQRFCHUaDTKbqnXajzn6ZfJ - 2N8zM4EpLk1NfOnGf1493ckVx13L6g57Ig3HKuUwDDVC5+emL3vahadOnYjj9NGjq51mRzdLo3GY - hLNrl5+sY570FMJZHmZ260US4CxNhMIjalKm+kRYAFIgiUJIYQRCgcruZ0QSIjGAAsQBeGbAyeYg - /z7WGZk0zsjGauQAyr5y1uZWAlKg5MjwNepIjVw/OzpZjEc8HkSAYNiZ5ym1c1PBWT9GgBQgALJU - cjgznJIjZzSMYshHHii5k1OHsVIAiEhCJMkSsgRICQxndYwChLKjXwokODAOAjLrkwJQGBQBpM6I - kUEpGMVHZD9w5k8FUNBtd8bL477vxzw9dOjQdM6amZgmNPfoiTXHqwRxXCqVhoPBoNfXTRpFkUlQ - ZWys6E0WqvF6yz/d8qWUzWabl5g/DHVdT4ELJCWGlDNMMUIoSRJXy5fL5Ue+f1RXZrFYTMMkTlJd - 17vdbrU2lu0r1tbWpqenW60WpfTAgQNLS0tLS0sHDhzAGLdarUKhUKvVMuJLqVRSSkVRxFKR93IA - kDVpMiKUYRhRFBuaLqV0HMcf9LvdbrvdLheLg17L4DIVnPFkGHIF4HgOFYooYHFfxLHrOGHkG6ZF - CMoEZFloVObHzvYnlm4opRzHIYC6rbau66CUqelgj2JJpBCScUc3TV3vdruGYYASukKl8lgYRyBk - dWxMSTkcDFqt1nmHD5dKpTAMJQIuuEGNrAH/pJ05AHx2J+bMh1mZiBAiCCeCszRSCFFdUwo5Tj5K - k2Iuf9mFF/8/H/rLR44+dMd3v+G4NFLjJ7eWkUf1hWLgRxNz5b3Tuxunm5NjU/Mzu977Zx/qtPuM - 8Vp1SgjRH3Rf+ksv/tynPu0VctKg//tv/ubefQc/+BcfWDxxYmZm5tprr73mmmvKlUq9XjdN03Nd - wYTrui9+0X/SNG1zfeOqF75ofXUNIfQrL/mVer2eEUwMw0iS6NChc9/wht9OuEyi+Fm/9kyl1H33 - 3be1tYUUYEBBEKScZ04027YnJyff9a53vexlL8MYv+hFL8oXcktLS9ddd93VV199fOnk/MxshsNg - jNmOA0olaaqU0nT9bHfSz5rSj0rAaOd9xU+wWGeKfgwAeKdkRZCBEiRCiGpat98rjVW4kkJKomtb - jXqxWCSmoQiRGBuOgzRq2lZtfHxiYqLZaWfw7DgODxzazzn3Y58jMb0wAxINgsHFF18Yirgb+tXd - 45qyBp1g/+xCrVp0C+bBS/Z1oeXsc7zpQr031ErO/j0HTtzx4L3f//qbnvOGaGXlyqddwuTQ0vHE - WMnUcamcp7o9GHRtDD+4557DFz8dAxFSIKoZJgkinxCiGRrGGAPqDvqI4DRN8/m8EOrSS58mUnbd - u66zDXt1dc1yHWLYAlEp8OLiiYMHDzYaDQLEMZ1er1fKFzlnpmEoLgkhhm4qjFnKpVBCyWDoW4bJ - GYuT0DZM17O73S4FYdpGnCa6Y0mWupSw4UCCqpULzV5Ho4SFPsEYK0mobPbrpqUnLBVYSUxMS48G - vqHp5crY6vJSsZAnBEmWEkwEk7ZuKkwU47liQaOY8UBhQQB12504jj3HZTGTCHRqIIUIArUjheFi - xIMBghDFSOIoTSzLMjVXN+2BHw780PM8w3KiKIpTrpTQNAMhhDHEaSCl0KgxNzdHCLn//vt37z/A - WCJYUi4VFw7uuWfl7jDyhSxYnjsIe0hKw9QQQgphqttp2ul022mUEEIeO3782INHmi2Y3ZNrDeJy - aRaoEYSJrttcijhiSCBLt7c3mrfd+i0mhnPzu4bDIUIO0R4f2eyMyUbGq2wX/lTjpJEdFymizgwO - lBrRHTEAELUzO8eQakohJRACwBQkVlIhoTASGCSYGkM6NwCAE5bSBINGBMESnxnA/xtdO2VKNlOR - Z4ZuO25kmY2hsZLZp4CyDClJsupwpyhBgJQQAEgRHCc875TiRpylfnPgSAIBHUYJszgVEhOCQKXA - YogFpAAyjBO75EEaR8EwQ7tqmoYRUpwDAYQzvghVAjRCGOeO4eIYiQE3pIZABhAgQIwRWzMIxkrx - lEdAcQKspwYRShBIC2s9iQhQKYlUSCCGqQIiQUicJRzLzMuEUXbAIAkSTEuPo0gIYev6vn37HvrB - d+69816q5QjR1re25nfv77W6mqbZthHFvuXoksvjp5b6nVanA3N7d81Mz9aPPDKMQj1PLcuKgxB0 - oVskREJihREkSVQyiyISzWa9VqvBEDcajVq5mjKRRinVtF6vVy6Xh5gOh8Ner1uplHu93sbG+vh4 - TQi+tHSyVqvNz8+dPn0aYzwxMbG1tUUpDYKAUioJMCbSNDUMK0lY1q+VUmoES8kpxoKnpVIhisJD - hw5+9M8/vHnyxFZj63hrXZnIK3q9oOcV8tFwoDEkh0nVLSAgdmXsT9//vsdOLgVRlM8XLd0IguC1 - r33t0aNHjx8/HvmBW3Re+9rXXn/99ZZl/el73kMAWZb1gx/84OZ/vrXRqBcKBUvTvnbjV3743e9V - i+XQjwCACa6bhkQySdNKbazVaUtQn/3sZ22qZ3xszrnuWGkqmZKAkcrUV2fVMSP9E4KzRP6PXxXS - NHEsWwmhQBiUYEwxJb7v26ZHOCISizSK2m2q4scevf/w4d0T4+5K87hvqM997x9Pi8gtOufX9pw3 - M/Mbz39FZ2XwwI+OGAhhIAqpfppIot7+p3/0qhf88rdu+9rm6urVv/668w+f8+GP/9VLX/Hyj33k - o7/zO79zxc9fqRtGEiW2aUsukzAGLgxEJsvjH73hI3/yx398yy23TE9OfeB973e8HMY4TpLnPve5 - V1111Qf+/AO7Z/ekUaoUypfym5vbuXy+2eqcOr168YUXve3t16ZJ9AfXvo1SWq/XdV1/4fOf/+1v - fzu7Qt54440ZwvTt73hnsViqVaq+HwghpFJSKWro4dDnSlJKJXrCNuXsjdnPQkGzk530xGu8euIH - 2Wg62/oQTQMACSpKE6xR18v96N67/SQSGApj5fLYWLPdkgQNfX9p5fRlz3pGkvIwib926y1zC/Oe - 5917/30HDhwQgl199f/xd5/6h+1Ws95u/eKVV/aDwPHcR+4/yjHEm3WVUh2bGiLdQf2ZwfmPHnno - JfGVqydWtDFa0kvSp1GbzRZLVzzjebffcdcLnvOy73zvzl9741sI1ur1+iDwV9bXiAGGiYZBMjM9 - LyVwzqWUmkaSJPI8r91um6ZpWVboB67r5hz34YcfLpdKGfAm73q9Xm9tbW1mZoYJaeim4vI3fuN1 - pm7MzMxsrK0Ph8NCoTAYDDRNK1XK7XY7m/L+01e/QnVtdWM9l8tRTfNcd3FxcWZyyvO8+ta2PxhO - TU5u1bd5qvKemyrR7Xaqhm27TqPVwpGhacbTL7t89+55J29RioZ+38u7vcEgl8uFYSyE0pBe8qpr - pzcPn3son/d+7/euCcPQyXmBn3CepixAlJimrhOikFBCEoL9wGdMgJQEIYQwyvRNanSTVhkZTNMo - pYCBcx4lMSHEsEwuRbVazVpKpcoY53xjazszpmGEmOAIIYowpkSCopR2Op1SMT89PV0sFk+ePK4D - zM5OP/TQQ7gMhUKBEBQEAdUoqDjh3KA5JVGSJFMzszKMcpPjd939I7/NCYPJSf2CCy780X2PxFEU - 8USzXUM3gScAiPO0Uio0t9fPPXdfvbm+srJW8HJA3JSjVHBqGBw/7qv8F51BaKR9GfUYsBrhTLLT - lSgY9RvQ6AckVgqkQBhlm3UksphqgQhShEiEBQEAgbnASiGOFSIg/0Vb1L+/lXVz0c5QaUTLGbnG - pALJQHDFACukgQDFge3ogJkUmIHiSioJCEsGcQyJAKaoVApJojKTE5aKIESQklIprBRSUgoAJUkW - lAEjf6wkVs4WfTGASAemg040FIHgPCWUMMokqBhYiOIIklQlTDGlFAAmhKhMSYWlAJalM8ETkD87 - G1MDOOd2zrZtA+Hw5ptvnik687sWji+uOcWJfbXZ9a1GKVcM/UCjJuc8CJhOmJcruQ5Z36yfPLVc - rIFp2OVatS7rCCHbsnrJgDNJLBLzmGgagMguX5zzjY2N3dW9ykL1et12vNnZ2ZXVVZMa9Xp9Yqzm - uu7m9pbv+9PT077vDwaDQqGQ0VejKJqcnAyCMIqijHWZ7c55Kp60wB/lxgMSSkZhGCdhFEUU0ZWl - Nc2E8VKuJ7vf/P6XTzZO+SquVCr7xnddMHvItMmpE2urRx5qNBq12kTCBIuTDGNz9dVX/9Zv/dZw - OHzaZZe95jWvqZYrxVx+Y2Pj5q/eFPrBO9/5zhv/8cumbc3PzNbrda9QvOWrN5XdwsP3PXDB4Qs+ - 9rGPNdotISU16ezC/EWXXnzFL1x5w0c/un/33nq9LrkIh37KmaRY0zUAyMBUZ47GJ6yzA3TOWrqu - E0IQVpxzhSTRtEql5DgOxUar1SqU8hTUgT27LWQYVNu3Z9f62tFC0a4/sHLRs875T1ce7vgDvZUM - UGuYdvKlXBz1xseKnCsXsI9ErNLbf3jHeK2IdLjwovP37Nnz0Y9+9PjS8h+8453f2vuNH/7oR898 - 1rNc1zUM0zatbreLATINUxxGl1x0kaHpg07v+a/59c/8/T/ouhmzVKfGxvr6s5/5rE984hObm5u1 - 2gRjrNPrHTh4kEsxMzfbaDWr4zXXdV/7O78dBMFwOBwfH5dSfvnLX37d615XrVY3trayGd+nPvWp - t73tbYuLi2NjY61WizFWqVQMe5SCbhhGwtJsznjmxZQ709ufkUXxTgf3zO8k0Vmz6R31/ihfD0GS - pgrpxNA1y/CKhenpmeOnlyuT4+dfenGapvlSsd3pnDx96o47f+h53pf/6cbzLrpgeXXt/Isv6fV6 - rU7P8fLtbr/bbf/zbd+8++47855XLBZtN3fPfQ/YubwCals2pCxSTOgQxAEO4l6vZzJt88615r3b - vi02ex2WgmilU/zwg3d210+2Bsa9p7pRL4XBMLGcfKpwYxBQR7OwFdb7xcrUMIy4FAgLhLFBtU6r - XSwUAGDQ6ysukjCigC65+OKN1bU/fPs7HMdp1hsXXHDBtdde29iuS6ls3dAINTT9E3/9317zmtcc - ffiRkydPZnWMUqpWq01PT5934QWNRsMxrG6zqSEkksSk9IF77nnd6173f/3uG+/47u2u7bz3ve9t - 1+s3/MVf/NEfv/vYo4/uOrB/vFrrNlu6647v2rW0dKpSLDl2fmlp+Xt3feeCy89Z76xVZse+e8d3 - BINqrnhgfl8bK8qcAAAgAElEQVTNqbmyEnaTXeNTL3nuL+Xtkk7sOBFAMSisUerknHzBE0Kkqcj2 - VWEYK6UIkDPe42zGIgTTdT0VAmOsaVoURSlPc7lcthXTNC2fzydJkqYppTSLI8n0fY7jnME4SinV - jgolk9bv2rVrbWtzZnZKV+rUqVO9Xg+Zo36yrmkxDw2NKC4YYzlNy+fziz981LHIeiTe9qZfj3pd - E8iRRx72fV/Xdb8fa0YeALgYRV8RjTYajVKxmKH2nvnMZ95683ejlFG9YBhGEMTA/n89ef6llfF/ - /60LezFko52dj898oAAkwplARCKcfQoq6+eerYkBACmVxJnHBxDCHBDTdIQNaEAzgcgCgwBCQIFQ - AUgBYCAAgkESQxhDJChnkikWYZCaIkggohCSCiMkFCCEOAAiGaBGCCk5VYSmqY5aapi4UR3aOtAY - Yh00E0ygwIBHkAiABJIAohS4L4YJxIlMpFAwUj6pTDWMkBrFBCIQKJPPjMwQEIMyVBAEw2EvYdE1 - b3p1UVOPPfKY63YHw6HvMyFQmqaEkDAMXdfFVBEQpVKp302e//yLpeb5qfbgV78B2+DucaSUYRga - toF1MUhD3dQZSy3DkokEgMw7vcE2piuzOtYGw4Bz1zRNIXiGAEEIlUqlwWCQDY+Gw6FlWa7rDofD - Xq934MCBTqdrmmZWxMCZeSuS8BMyoCz5DxRGCGvUKORLmqZJQPVu7/wLDhSNQXdl6XTzngtfePG2 - FQacr3ZX987OzyxM7T5n74c+9FeVSuXoY8ulciWO47GxsUsvueTWW27Zv2/f4mOPrSyfuveuuy+5 - 5JJisSgY/8bXb/vwhz88MTExOz1zbPEx3TJdx2FJmkTx059z+fU/vPONb3jjxz/+ccuyOt1uKtMo - CN/wm7/dD4f9dmfY73v5vEKgW2apUIuSeDAcZtcoxtgZy+3oLxoJxJ881iwL/RVK6rrORDo5OXlq - 5fQlT7tsaWlpZs9U4EdIyChhjU4HSS0YsNnZfYtbDxpmXsrO+so69cy5uRlH5MFGSojV7WVCOYEY - C6VR7BbtRmOz0W01en0pIF+u1GoTnX7YrjdOHj9hOVaz2XRyXrfbdRzbsEyesnKlkkTxWK1SrpZ1 - U/PD4czMjMIoFWmn1y4Wy71BN2ZRbbK2sDDX73fb7fbCnt3dbvf2792xubXe7rUHweCOH9yRcj41 - M7OxsaEQ6g+HQqlcobB44kQ2YbzppptuuOGGx44eazdbuVxucnIyc+YLIbJE5OxekLnYnnT9LFQy - FADwTzSFzkQQnPkXIcj420AJEKwQDHz/oUcfPrW24g+GM1PT6+vr2Sm03ajf//BDuUK+3W4Xy+U7 - H7h3ftfC0qll0zSjMN67f+/xx44XypUHHzpi2o4AEiXsG9+5PYrCYi6/urpazBd000CUKsQ0lxa9 - 8U6nd+6+i9I2umzu51osnCJDR/fMGaOI3TRWc4cXNpL4ha94xWOnln549/cRUgJSt5BXhh6naTD0 - deL6QQQYKCVSMRGrzFBDEaYIOwVPo3R1dbWxtZ3P51/wghdcddVVlmEePnxYKZX3clKqOIzGy2NY - qE9/8h88133DN79FCSnnC41Wa2Zy6m//5m9e//rXP+Npl3/us5/Nu56OaXksf+r0KpbignMOH9y7 - 5/Of/uy3vvH16z98A5bihc993re/cVvedg8dOri1VdcsMz9WDlJ+8vjJmalZEaeGbodR75av37wZ - rDy0+tB3H/zeb1z7OsyBRsrj+rwz//S9V7Q3fMaFBnS8PAlKazW7TskDirCBJGGJiJMozgrnMzwY - hLPyRZ1h8o54MOjHeTCGYdi2naU0BEGQhav1ej0AsCxrNH7aOaaVUgijDGXRj/rdTotzHqbJz1/x - 7O72NqX056949tLwZIbBlkpJKaMoItQ0dZMH3Pf9qYVJFcXSCL/whS+sL0UWAi8Pc3unfN8fG5vy - ExRxIZiSnA8GEYuihbmpOOi32+21jdVhECKELMuynFxn2Ach4ClNCf/KS2JJRpf9n7Su/BseHj3F - wjvyD1BoB/GyE1cCABKyCubMD/9E3YakkgqQUsAAECFIKQYIRxBuQKMNAwMoCAki+8+RUEgJBkQp - zDlhPd4JUSA1KYnEGGsKZYnrSiKFJUJIIoExSAxcZrYdBRhJAsqQCU4DN12ClaQb4UihROnIIIA4 - EhyEoiAJSCyJQQHJGIVIQ4CVlEIqjoADSJRRmQEUgEAwGiRld38FoMC2bYKIbdsXHdr/la98BQX+ - zMS47/vULIyPjXf6AUtZ3stRzaw3NjFVcdA4cqSNVNrpbDQH4JZyu/cumJ61Eq7EcWzbdkp4xJOz - z9ksAY0QUq1W22ttQ1l75ncLCRsbG67nGVRHCDU2t03THJ+cQAi1221N0zLOZBiGk5OTGxsb2Z4k - O6NHXDv2lFV/RhYhCidJMhgM4jhOkiRVbLPVurI2FscJsEDTmJlXCQTFqVqCY2RpsWCt7frc/O5j - x1afdsmlSsHG9qam61/72tde9apXCSHGxsYc07r99ttf+cpXttvtWq22f//+j3/84/fff/9b3/rW - v/m7v213O5ZldZvtc889dzgctrsd07IRJRjjSqXS9Xurq6sSpIbJrl27Fk8cNzjjnA99v+cPEcGO - 6xJCwiDQNO3sCuap1pnvj4TACCmAhAlE8MbW5p5+f2XtdNEvttvtnOVtbm5XSrWt7dahcw9ubzcl - N6vF2XaHTVm7/SRmddJPWGByxJRhF9bqi1uNhpnLM4U6G736/dt//I4/ZIwzJr/8la8+77kvOLWx - 3uv1mOAs8Fc31ofDoeM4iydPVCpjkvNji4sUYz8Kb77lliuvvNKwzPagY7vWcDg0bcPLu7ZnY0rv - uufOA4cOSgReIT8YDje2Nt967dvSNH7jG9/ouu7DDz9cKBRs277qqquazWaz2bz66quf/exnFwqF - j3zkI69+9avL5fI111yTJMkf/dEfHX3sWLfbXViY7/f7aZratp2BMCjWKKVPbVn/X7/oT2l2n5Hp - Z0WM2slOElJyUKCRrWZju9U0NF0gGPiDTqejb20AAOd8q9mYnJy8/8EH7JwnlHRcL4oiL1/Ybjbd - XD6I48naZLvdjFPGOff90LIMhIaz03NFSgGJhKBOHKQaaXbjr95822Sh/LGjn1zYvasXR0ohF2mV - XGF1+ZTheW3GmA66o33y83/lSFkbq3KIpGKm5jKfuSTHeqlKFWgCmVgqYRiG4oqlzLAsgvHW5mYp - XzjvnHPXVlYNTf/SF754801fW1tZ1TRNMM4YM6iuYcIZS5PEHw73LuxaO73COS/mCzOTU7ZpmUgv - 5QtTk5MG1TRK9szPRUl42UUXSsmZVO3t7d99wxsvOHywWd8q5Nw3v/F33/yWN7//z/7L9R+7wbNs - p5jf6vcMzXj6gfNaW438mDM5OW054IdDt+wYkT5+zrg0ob3ZRGE6Vl6Ynh6rFgrhRhQGoUi4a+Ur - 5fE9+/YTh2oWDtMgDAdh4sdxaFAzjXkUJZToaCdYIBMzZW9uxoPRzMd5MFTXMh6M67oZD6ZQKGSI - pCAIOOeZ+SjDUQNkqdeIEj2OY6m4ZViW6VmWZee8jY2NjeWlfQf3LS4ubonNJEko1YdRTKgm1Yhm - nXEIKCHUNDHFMxMlC69PFCvlsQqxzI3mw2EYAnEwxrplRTxCQIhp+r7Pk/jAoXOoroIollK6niMy - PDbG2b3tf+pSaHSzlkgqkHL0ep6lNB0tPLLbjL77b3Q9dUGmdvS4j1t1znTu0RMfqGC0C5JKCoQQ - JlhIzrjsql6TpRIIERIJiRVghJBESCmCMFGKYEBEJiQNVQS6VEgiSpDCXLJRI3DkgQcJEj2uf+KE - EAAuCYpwFOjh6WidC26ZhmnpVKaIS00jSimpeCpSJjkkGDBikGIbA0EIFOIASiopkECZv0ruaEXV - 44lbABoopTRdw1jdd9+D5x6amZqfLOVKSppr9X69XndypYTFW1tbjpu3bdvxTGfKvWjfmBLBkSPL - nPRB06IosvMOpTSb8iQ8FVQgghQoXdeTIHGIDQyiKBobG3Okt3Vq26RGuVJN0ihJkrF8ZWNjw3Xd - rFWjlJqamlpdXc3n8/l8fm1t7eDBgxl198yQ5ax1dhtGnumiKYXSlBuEmqZpWbam6aZpIUTsQq7d - 63oWmqlNlY0iHZK52nzQV54qDJYCzc2pEHWafq/rD9r+0tJSbWoi0ZIsOzYIggxzhzF2XVcIMRgM - MsZdHMenV1cWFxfDOCIIa4T+8Ic//KXnv6hYLMYsKRSLa+vrQLBpmZZjA8Dm5ubppeX5+fmN+jbR - tcnJyTCJE5ayNKWWpRFK5JMpX0Z/486+A+2MitEoFZhqBgDoug6An/b0Z0ZRMjUxvbW1tX/Xvn63 - Vx6rpCB379//lx/9+Ph44cTKsUt/7kK0uXnX3z7g5kouDotG6Uvf+AbFBpJOohxpFeqDUDcNSo1b - v3Jb2Apv+vxN//mVr+q0e34cvuK1r/7ijV9SGH3ogx8aH6994EMffPOb35ywNIgCyYXlWJyxdr9T - nRp/3wfe12o03/vB9223G0opwzJPnDq5e8+ud1/3LkQxosiw9JzhtbudT3/mH/7ppn/q9Xp79++7 - 74H7B4PBhRdeeNVVV/V6vWK55Hju773l9+fm5trtdpIk3X7v5PETUsqMbrdnzx7GGOc8n8/rup6Z - 8DVNi5MkAy3+zK5/mdj7Y923TGQOAIZpCs45Y9VqVQEkLDVtq9Pp1Go1EYa6rrdarXK5rAjWDJ0l - 3B3LSSlbnY7nOPEgbTabhBDGIiuX8xCxLKvVas2P/7/cvXmcXGd55/vuZz+1d/WiXtRSS7Jsyzs4 - mAwYCARCgEv2CU7wTQIEmGQGMjc4NnOTmFwyATLhAoOZTCAxxAESA2YzJmCMd8vYWLJlbZZa6r32 - qrMv7zJ/nFZL3sjyGW7gvn+cT1VXdVV3nfO+9bzP83u+vyYfdOMwgI6hQE51M8s4QvpwGFluM4xR - wgkQUqZJ3F82DI2DXGJRqldGYV9xLtN0glRCv69Emvo+Vbjh1vIgUkikeSSogBimaaq4KrlumqaU - 0unp6WGvf/z48dnpmePHj3/kIx+55ZZbJBfF93TxFR5FUbfb3b17t6ZpEMBqtWoYBpCq1+uZU9sA - AHEcd1vtouayuLiYi6wgrEAI3/G2t77tt99y6sTJW2+9NU3TlaXTb/3N39q1a+dEc/yJJ55Ybq3j - sgszvrJysGqXwsHo2Imndi1MVSqVDlw7cWpxmI+2T8/PTU3fdes3jg2PTcDmq86vr7EeQghB0uq0 - NzrtVqeXdRNqYQUFJopRDAAIw1hySQjBCG+yip7Jg8k0TcvP4cHISBU8mDRNpZRFKJMkSeHbXpz3 - M9IiWtSPEMJCCEIIkDAMw8Af7dy5s9frra2vlGy7qKTumNthW+5WskdjRhjnaZpa1LUs5/jxQ6aB - ZCJf89KfKBu6irPDhw/b1Yqm0WAUIQ0jZhT5pFq1jJVaPn2i7Bi+PyqXy+edv+0rt32r2+1iWqKE - cZ4o/kMOF6ACmxD9rQ6mZ4Qv8JzjZk/f/4+5vZubnHNZdc8ztqDJEEJKKcwVIURAGeKUI4ywAFIi - DAjaRGthoSCXREoEZC7zHAmBFM8TgnWMYJZIgpGQHAFYqDiFFBghpRTFBEBJEQZKiTzXNGKZFBIB - DcFVmgKRSwiwkrzwglUKK4mUQhBBjAiOeQQhghAiDJAsOpQ2K4KbcYw6m3orYL+tVmesCrIsnp3d - 9qpXvWrt2BPff/j7CFqO40SR4Jw7jmMZZhRnYRjmIlnzV1jW8ob9SqX56le/WtHyX33mc2urG84e - 2zCMNE2VBjRNy1UipQRAYYxlJgEAlNLRaDRem1QxbLVaUZzO75jbaLVGo1G5XNYwLWarruuFWVIQ - BM1mEwBQMD8IIZTSYjoDALIsc11X5M9dKSjQsQUDrbBOI4QkIh3F/mc++3e5t+pWob+WfvOzd/VN - lAN68eQl3VE/eiA7efi0Ua1NT888dM+9c9tnVtY33Er5D//wD8vlMqX0vPPO+8hHPnLjjTd++9vf - vuGGG26//fbLrrj8DW94w8j3vvrlrwxGw4JZnKbZeefvvee+e9v93v/81Cd73hBQnPHcNt3+aPh3 - n//cbbfdpmnaYDCoNepplnX6PQCAZuiB5yMAGSZKynMLST8gI1PIJxAlBT8s5SLjaafXy3geJTFU - kjEyHA4jL3z0sQN//elPKwUvveSFmMi9+y4aBO2905e84MLKaBglntwxvycO4qHnKSijLEeMVswy - AKDTar/9LW9FUrlWKc3z7x848OSJY6ValUuR5/mvvulNk1MT/X6/cKNjjPX7/Uaj4Q2GD33v4e07 - 5qtu2TbNJEne+ttviaLEtO1utzszNwsVqDbrq+urI3+kZXoQReVymUsxNt5cX1/3fX9iYuLBBx+8 - //77p6enC9sswzBOnjw5MTHBOV9cXNyzZ8/i4uLU1FRBiyk6bxBCjLECWVTk84pA55+xHvzbDKK2 - gJXPVSpEZ7Ax8Mz2igupoBScC86LLXXKc03TCh5OvVpLorjkuMPhkGfZ1PT04soSZSwMAsd10zR1 - Si4jeHJ8rLXenpiYiIJQCcmIpgTQmRH6gQEAgBJhoEEa5pwAQghVOXLcUgYkNBihkIg06vnT09s2 - 2q1qpZLwOAwHFUenGFCiHIO5hub5iUFLgAuEEIFEAJrIFGPEGEMUeb6v63qcJGEUNcYaYRgunj5V - H2vccsstH/zgB48ePpIkSb/fr5TKmNHxqUnTsg4cPPjgQw8Nrr56x66Fo0ePeoOhXXIRwV/42pda - 3c79Dz0ICR75XhhHzcmJlZWV4kL59l3fvfLFP/nq17z28UOH+0Pvd//TuzClN/7J+x577KDnh9TU - WxvrTqVmW0an0y5pZq1WO37ixKlTS48tP/Kql7z6S/d/dfXYxlKY6Nje1pwf+emd99zjtVKFUA44 - oJDpVCiOCeYpNx3dMPU8TwmGqQilUARuSneBhEpu5ksBUIVIkDGaiRwAQKkWRZEEanJysnC3llI2 - Go1Op5OmadGLrmla0WVX4I8AAAX6pVgcAQCmaU5ONF3XDpLYMIxyyT1y5Ag0iWHqo9HIVJqu6ymP - 8zyHEOqaDhLg+/72PXPxYGhW0d133x0NRvEQEAoAI92u36huh8z24izOYyRFEASR5y0sLLTWlpaX - l8PYW1lbt23bC6VhGAPfV0KA50CN/9DH5iL4/E/4sSb2nu1OUmjTlfF5nvNPD4UgxAAACDAAkGCG - kUYgAhgAjIXkCcgUFBwqqbhGMAaSSUgUhEhBjDABqRAACoVADgUiRHEoMYRFVRMgrLAUHCMIBWaQ - YYlUBkjObaoTgQUAQkoAcgUBZkhRCTDIFS+SN7kUgisoEBcZBhhjjAHAACqoBFAQQSUL08dNmU9x - AwAACJibmyGACqGHYfCpT31q53h19+7dj3zvSaSjWm3y8LGTU83JPM0ctwKgoAzp1Wq5bGOYHjzY - OnDka5Nz8/Pz8zFPWnmrcEfy8iCTnFCS5AnEwCCGShUAQNO0JEkSnIyNjSEJ253eWLNOKY3isFar - BUOvMKUHAAyHw8nJyTzPCyFOYZ2z5ShStBM/7ZvpWWe2iFqIQoJLpRQhhDH91KlT0/Mz5apR1XbV - K/rFV1yVaEDV3E5nhH2UtZN9sxe8cG8yuXvH23737QCDgj4XJ8kn/sf/WFpaKqrYpXL5gx/6UBSG - SinG2GOPH0zCCFEy7PXtkgsIRoREgY81NrtrZ2NiXGfGL7/pV9M01U0DEphlWbVc+oVf+sWLLrro - 96+7jo/6Mws7NE2L04RnuWVZGqFSCKi2eg+ffSHKZ89XKVQuZCY4IQQiJAHcaLfGJsaGo97c7LbO - escpO2EY/8V/+6hjlRYXF+d3z3lh3097pqNBCLlEEmi6ZhUmCZWyM/S6UnKR5bZtz25rponY2GjF - OTfL5YqmdTqtcOgnWWrYlqZphm5adq6URBgnaZplWbvbioLw72/9/O6FXcFwxAhtt9uGoaU5d113 - MBjMzs3FUUQZa7VaXMmyXU14RjWaBqnnjQzbcnCpO+jvOm9Pt9sdBb7p2KeWl+bn550gTNO0VCq1 - 2+3933v4ggsuWF5eTtO0sNmilAZBULANOedCCMJ+kCZG/gt3aD8MOfBmJuYZZxqdQ8rAZ2iYSAGs - gBSKUcwwQQpgTDCAWZzUK9UNqbIspRgThGI/UDmnmIwGg0a5muZpdXJKKZkwBqQKgwBC2KhX/ZHX - qNWjKMrymHM+PTWztnIKWTRnVBAKMAS5KDuuv9a3qJFmCTZonCcEU4xyVmWHjx1sVMdXNtZq09uq - lZpMY53po47vaBZTWCOQUtwZ9hhjmEHMdJBlSqmc55RqxXJAKRVCrK2vVysV07EBRnd+965rrrlm - MBikaXrzzTd3u91uu7u8unLBJRe95Oqru+1OJsXP/8Iv9Ho913V1XW+327phvPnaa4UQV774qi98 - +TanUl1rbVDdSPJsOPQe2P/Qi1704lan/eGPfCxTwqasO+rf8Id/dGppsdIYsyzLySKDIJ4nFcck - EB0++uTrfvaVD+9/lJTx33/qi42dYypGItMnx6cWphbWn1w7srhYMsfq9QZnksNcqEyqDErUGKsj - gsPQb7XaOtMooVSnhU0JQgipYoe7lZRRRXMd0ZhSKgiCRqPhlFzP87IsK3IwrVYLAFDEpsWSV4h8 - wZkFjjHGeVag87gQXGSVSmX//gdf8vJXxAfC5eXlyanJfjzq94ZKKV0zvSjCGkGQEAiLcpKu693u - mkNZGPpX/sTlPAwu2btX0/XVTufe/Y/5wyRLFaC0Xq/H/lApbppmr9ebmJi46JI9vUFbAnjfPY/a - dhViUrCIQPrDjRfOrPTqaffOGc9++83+JoUUlD92x3P/kbO6mKf/cOvfBGejmec4CwhhjBDgUgol - gFAJ0DgjABhCQsogFjkiKRQpUZAoCamSSpHCvBoCqTDBEiiR50pxCKEAAhaYzsJpU0GKNASAEAJC - BLjCiGAJmcC6QEYORJYQABGBiJJY5YnIciY5UAnMAYKEECAVgApCSTGFEGKIkVJQbAqat7KYT3fJ - lQAAkILBYGBpdpKE1bq2a88FlyzMOoZz+lR7pe1BN5+amoICFCZ8xUsxxsrlcsmhL3rR3hMr3eVW - cOLQMbNkEZMUPHhgICFEKjPd1CUQeZIX5aQwDJvNpvRkf9hvNBpMMwpmfKPRWF1dNahWqVTSPPM8 - r+grrFQqhYVIodMszKtN0xyNRkVc8gOsiQsJjkh5AXQRQtxxxx3fuutbKZCOpWuCx/6oVHLWRr2A - gWqtEbe9ucb0X619AiHkI0FNzXKdjU5b03VEyeFjR23bpghngkdRhDEGEFYqlV6vF8WxxhjBuFKv - pVlGKQmSWNP1P37/n8RBZGh6EqWmaYZRZBiGF46azaZG6Wg06nW6brl0/iUXmaaZi80dNWOM57mU - kp4TphQgKKSAfJ4oXMJN27iitQ1COBwOG42GglI3teWNFZAKHesIAELYsOft3LHHC4ZU15gyKKGa - Ttu9kW6bfX9oOqZt6kuri7VqiSJDCsLT1Bv1KTHtksuY7sfJYDSqlMo8iSlEUspKpXJi8WSlUgFA - +b7vum61VlOST8/ODDrdVquVJ6lpGOPjY0EUVh27PxxUazUppW4YS0tLVNfGKvVWu1OpVDqdTq1W - kxCMRiPDMMfGxo4cOVIulwtCz9TU1OLiYsUtFfLHWq3WbDZbrZbjOIU5aKFZdF0XQlg0rxU/+VEv - J8kzHWfq6RrFM/ipzceKFmsIgVQACkkVpApONMd/7dd+rTCdytMsTdNKqayUwgAWhOwwidM8fd+f - /HHkeZpGkzDSNK1eLrfbbcNxYh7YujbsdibHx9fW1iLfMxzbA5ksOwLjPM+5UGaSv+oVV++b34nS - tDpRPeF1UNVUOg27g2llMKV7QJOW5cW+BmS42jq4/+GkLxFnUeJhC3AbxiJOkqRqlRSHCME85wQC - gCDASEIQpcm26W3DXp9zXiqXb/70pxGE6+vrU1NTvV4vjuOxZtOtVu789M2f+vTNpq47jlNoZQqb - ifHx8V6vRxgtKjKEkIxzAVGlWl1rrZdK5bVe7579D6VRFOaZJCTMM911vSS2a7Uoy7JBv1EqJXEM - hMp4DBE9fvzIJz6xaDo6h2kk4vjrUbXZ8PqeqVt3eN+t2VUVI3/oM8aCP74OANAP2qal1Wq1XCh/ - GCQxt1hZ8gwABAhEkBTS3eKccnGGOoUBJhBLWNg3mqau6ywIgiAITNO0bdvzvOLa3RRBE3Iu53dT - qCtlAWXJssy1S45lTU5OXnXVVadOnSpY14PBgGOgO3oRMDHGuMq54ACRwlOoQA5GObcZlVIePXr4 - +w8+3h+CPRdWN9rDijutMcdPU9/3gcgYIXmaYQqHw+G9994rVLawe0+apgLEpu0ihICUgBSbaEwI - gfCsaHGrlvHDHltAGqUKVBoqSk8Fb+3H7ggAKDrXMEGIQE0zPN8vSK/e0Jcg110CpMqijDGWRDGq - gDxPERSmzvIkhhBJxQFgsNC6SKlE4ZOsRK5M0914fKmJSzIZciagAcwq0x0UGTJWvKB88IIzV2gW - IKKUSqg4z3VGpRBok3CoCCRQKSgRwVByoHJk2M6g068yd7i47rj1W/7yU2Xbaa2vvvf/+aPl4Tos - 67HMcpP0k1BnhhCZRRgSSsNYigKMV/D6nuOygRACtGkmoZQCDOR5LsjZLr/bb7/d1m0hSKlU6nue - hLRkuXmaIYSiOEWEtVqtp7AXBd5ddx0tN6vV8R2O4/hRQEu0CBcwQBhjimkucgkEI4ynHAAwGo3a - 7fZ0eVbFqe/7lmUTOrG0vMw0Sil1HMfzPIiRYRhZlhVCB8uyRqNR8bIF+x9sor7kZvUkTQsVP2PM - stRoNGRU/bMAACAASURBVBJCGYYBJMzzXHLuum6apoXgxos86lgDP6i7ZUHEMFGCmMTCnWRkVnFX - tajDkyiFmGWc62Y550KK3DH1crWSpqnIhVAyDqPx8fHRaDTwRogSqJSQMoijsuOOwkDl2Cq568sr - TrlEDT1KUmbqg9DXNC3MErdayaRw7VI+GkCKddPYMiROkoRiIoRQQjDGQP4vE6JijIskcYGY2nRT - 4TmhKAiCqdo4yAHGWAKFMQ6CQEBRbMYIIVEU6boeRRHGtFANFopD17KDICg7ZaGg4KL4IdMNjZKi - wMcY40pFUVQ0uuq6xjkfjUaMMdPQ8jyXUuq6kSdpsW5v7f2KbgylVLVa9aMwyzLLssIwLGKRJM9M - 05RScc5d183zvFarraysIISKJ1iWZdt2nudF0AMV6PV6mqYVr+x5XiHrLjrVCyHBD4h3/80H2dxU - npmrCgJU2N0DAM4kYFQBfoAAKUAQhgrwLCcIj1Xr9333npMnT15yySUaod/85jfTJCl0oPPz8xdf - uI/q7JLLLu53e5OTk0EUjtdr7XY7I+zqf/eS//g7v3vv/fcN+4N+v79v30Xbtk1hTO5+8L7P3/HV - TuQlWV6ySyUDeevrr3rZy754y99oiDeH4yeT9iPfORpRNFMZTx9fvmLn5aXxhWNLa6HIPn/zLYf3 - P/zYPQ9DDitOWZn6sdXF+V0LQRTAHEVpZFDK04wxnXOulDIsU6Msy7IjR45UKpXLLrus2Wzuf+DB - d7373fv27VtaWrruuuvcUilJ0pPLp5MsrY81fN/fNjOzurrqReFoMDBtW0FADT1JEsOyJABeFFqu - Q7Fx4vSp7TvmB4NBeaxx5PgxDNHU5GSSJHa10mq1MCHVRgMz2l5bG3W7GiUYoqptBVESJ/5o2OWc - TzQbQRDolnnwwMGJyW0p9iEiB04cdyyXMZJHI4ikyLldshzHSJIoDLI4ToHAhm4qouV5mmccYogU - kHDTgKbgwRTRQ6Hy03VdiE0ejIKoAJMvLi4W6cRiFm2a0p3bk3lGWLN5zSiV53kYhq1WKwi8wqwu - L6QzSGUyK5xQpQQSSMe2R37ICCwiIcexHarFo95TTx3r93ndgRdcuH3b/Dw8dLS1FqZ+Si0nTmLb - wJRSS2M8i5LYu/LSy548euDAgQM7duwYeLmCuNDcgBycsVN4mgZI/aCa+L9goLOywHNf8JzpfSaG - OTObJFCb0cCP4xEAkCQJJhBjnKRxmMSmZcVxEsexZdqahv18GAehPW4QjEWeIYUty4pzL45DDBRE - GGMslIIQCa4QhJpm5HmOsaZbrNcZXn7R5d/7/LdAmCYkff2b3nh8eNrPswwDhIGC6OwGSp2lrBbO - VkghCDbp8ZvZEYTznCsFdKorBXOuMNEw1rZNzmyvTuxbuDD1/Yt+8rzt1W0RzzxN5FmcA6Qo5gAh - TBTAEColpIKbrtVF8egZ2fJCEHOWlgEl4KDRbOjEiCJfKdVqtSar1R2zOx568OBGL6zMLAhAoigq - zLINwzBNrVaevXBPM4n6d999eG2tH3FNKVWr1dajdaVUo9GIQRqlAwmVgkoqSSgpTABqtdph//iS - tzRVm0YSxnEsZD49PT3yhkVSOcuywitti6fw7HHuvCi+q4rdNgCg0EOEYex5nkZ10zQVEr1er2D7 - 9vv95lTTSyPLsuJMJBzYmmVQnehQpF4QDHOZuQK7pq27pY2eF8QRZASkIgzDJEnK5bIQol5t+L5f - cOQajYZlWd1ut+DvxVlKNRaliUDAKrlREgdeULIdoaRbLhFCPM/zfd+wraXlZc65YVthEhcgeKhA - pVLJkpRzTgnhnONzAJfFSZTwnBn89IEUkEptcjKlFEoJBLjkhm0maTg1NpkEiY71/qBvaQ7RSLls - r3dHOMOGweIkLH6r7JSzVAQj33Xd2W3zOU9brXWM9E5/ZFlOFMYQoqmp6cILqdlsSI15gW/ZjghD - yUXG8+FwUK/XK5XKaDj0PC8Oo1qlkqapbVklx11eXgZADqU3Ozs7HA4tyxprNO5/4IFKpZLnuZIg - TdOi0mQwTdO00ciTUhYJlY2NjcL4s9lsBiOv3+8XO1XHceI4Djy/VCo5jrO4uOi6bq1WK3azxVVR - CEOLHPyP5iDnyNSeNs41gyw0MUUmRgiJEMYAijSbaIw99thjf3nTJ+69996bbrqJIqRZNquwa665 - Zu/evY9+75HLrrjsrnvump+dSZKEZ2meZoamQyB/5z+846aPf+zIsaPvvf6Gd7/73bd98Uv1RvX6 - P3jvt/7xjl6/IwiEHFnESHsji+jtzkaQ+3/453/U5q0PfeEvZ7dvD7G0UjyJx3/151/bXxPvesc7 - f+Wtv6FAApHSNG16evqxRx/hOpjeMeP1WgICt+T4Ix76kWvZCCHFOVQqiqJABpZjG5YJIeRSXHjR - vq997WtPHj3ye7//f33605+eX9i5uLjohcHOhYXGePO+++6TUu4Fe5MszQV3y2XLsVsbrVKlzKXg - UhBGTY16fticGK+PT6xstAghUbt74UWX+L4PlfK7fS5UqVxtt9vRyhphzNIZlpmGUZpnaQKUEMww - oKDbxqeCgR924zIpX7z94tXWhh8nE7OzFRcFkW84thcMIAKuY1i2Rij2RmHghRBSCjEQECMqAc+V - RBBxnhONyZxv7RTzPHddVykhhGBU3+LBYEoIQcWCVbQPbFlPP4PfvxUiQLjpOZIkSRQER44cyfM0 - 4SJJIwNB0zEBUqEKQVGGRwgD6vtDAFCBmTFNc72/2I3keFV/yUtekgc+SNONduvhhx9a6/qm1iw5 - pVioer0aev0kSaIsZQQ0Go1Wq3X++edXqmP//aO3VuolqkHDMBTIOErPDV+ebTvwQxvq3IKsgoUR - tiwUv8+XxP7xGBgpqHLBKaVA5kmSKAUwhlLyoecRE4yNjZ3wl0ejgTveGIkRgBIixVOOMBJCYEzU - ppmAghACBZUEXEpL10ORbtOm74vifOgLHUzrMyfBClRQSoAx4WpLqLGFnAFQAaJyVHwPqS2SXmFh - ABWAEGEOIEQwynNFND/LSk4F6Ma2XQvhaLhr544QyFiInAslIZZIRwwAQABGUlKAYdHjBqSEAAIk - QNFJXph9FnZNT6tKKAAABBsbG65ZSpKwN4iv+dXXgqAfedH4+Hh90jyy2vWjrFaqAqlM04xiPwzD - 1aWTFRpkyfCyy/YSq8bs5u133rO8vGxvtwEArVYLWURiKYS0dMvPPSEEgxRCWCqVMMaRF4Ea2BKo - 2Y4zHA2e4Tlc/HnP2GlsTY0zkxcW+3ulVBJnSkLHobZtA4AK8W+appamY4IKkmetVsnTjAgBEYaE - ScAZcWLf80aeYdMxa7Jk0Lw/CDzfj7vUsBDTBQCW48RhZJtWlmWDfl+jbOnU6fHx8YmJCcbY4uJi - s9k8fvz4/Px80dk7MT0VxNGg3UU6aDabSRglURz6QVHa0HW97LimaZw4ccI0DKWU4Ny1bCll4geI - YEIIFwJD+Byyl7PjOR5RQBJEUOElh5QSQnAuMpGHuWaVUplxzstl9+1vfXuvN6jXq0E4cCqmQEm7 - 1zYct9sZlYyG69REJmzX7Q97t37pVsbKTskWQri2s768kkWxjLPE86YnJ48tPiUR3HfxRQ/de//s - jh2WbaZpSkjN87wYgCRJDE1vTNWve897ZM41THmWxXE8PT2VpnkQRb1eb9ee3cunl97x9v+Qpukf - 3HC9bhqCy+2z21/3utdDCG+//faUJkV6BimwfWa20+lohD519NgNN9xw0003CSGuvPLKn3jhlcvL - y5VK5Wtf+1oRTRaUDdd1i8KCUgoo9QMimB8F5N0/EV4VxiTnjkzwwrgBY7yxurbv/Avmpma/sHEr - kIrz3HXdOI4pJrZpZTwvlUqVSqVarfZ6g/O2TcucR2mi6/ptt932Bzdczzm/7bbbKrVqvV4///zz - K9WS4mLvzp2K0tOnVppOWTdro16bS/mCl75IlMnyanvbpfOTDZYRWMm1L9754e5o6eVXvS4O+q96 - 6VVQpoTAhb0LR48fvvpl/45T2fUHhmWmOecIxcMQa7qUCkKVxDHGuEixttvtwpGcc37BBRdcfvnl - R44cueaaa3bt2rW6unrkySd3LOzieX7o0KHCJNL3fUopY0wJORwOIYRRFFXLlZW1Vc751My0putr - ayuIsHqtounmkScOHEmzWr0y6o80jdartSD0ms0mYbjXGwTeqGZSxpjCpN3tabpBucqS3BuOeq3u - +XvO63S6/X6/VKtjRmPfi+IIYgGFdCw3zaJquRaG8XA4zLNNFpYUKEpihBAhGGMJgEIIc84ReDYP - hpqmSTV9iwcjgRoOh0KoSqVSpGoQQkW1aPNqPmdZLO4KIQu/EQUAUMj3fUpxYQbp2lZtrJYAkaRF - YydSCkolDcNIMg4hDIJgNBpVq1WnqWXB8MCBA088sghSkAnw0lfsTmRbZ26UQaV4t9s1NUwZVoDp - DHmeh7D1ne88OdZs7to17oUSUxrHMU9TYDy3AeTz2Q78a4ZCUG3a6DwDiLKJJDgHHaag3Oxg2dwC - /tgdC/dFlKWxpRsI4m6vVy5XESJxmAAENY11w67jGEmSzFQq3V5XJDmkRS8bzLOcYa0QkmOEIARp - mmKMszThNKtUKutqY3JhNh542MZtMIgUFxgKoNSmrxECaktnU6TAJFKgIPRsBThF74kQAhKMEEnT - HCmMADAMI4j8AQjEUJBxZ2puLAHq+GCtmwcEaIwgBZApERcCI4S4wpCoTedOBDb9n5CCctM1cEsd - uJmGk6rA3WNgGZZlWqapARQ+8MADLAscwzl5oot0qzw+i2iaJEmR9BVCYATq9Xq5XB72owceeNLP - QHV8LI6Ter0eqxhj7Lqu1AAiBMJsEA50U0uj1EImQijLsmq1KjEIwzD2o3Klpmms3+8X+5ACSrm1 - 5Tg3gnlGWHMGerm1FYFbyRillGEYzWaz3x34vo8VsG07SZLCm0nXNSJBd2NjbmE3lKrbXi/XqhVr - MssjlGanTpxsWI7NHGCbbc+3mMyTrD0Y1soVz/Pskjs9ORVn6fzsXMrzPEl7vd787NzAG+3asXNl - fc3UdNM0FxcXdctsNptZFHc2Whomtmbqus4Y8wI/DsMeF7BRU1JiAD3fh1Lpmqa4yLKMIQ1TrCQA - CCkhz5YXfuCELooMQEiJpVKKQEA1HeWZZuhxHEMAhFB5npdr9Qt27H3zm67528/d8tWv3faLv/i6 - b99zRydYVwYIgEgyub05r28YKkbjY1Oj/rBeLvW73aeOnajUqsvLy3Mzs9w0Nlqrt33xS+973/sk - kF1/9NGPfvT04um5ubmf+7k3DkZDjDFjLE3TieY4T7Nup+MPR9/59p1v+T9/48tfus2yrI98+P/l - nAslXaf0U698xQuueOFDDzx42WWXJ1EyGoyckvvTr3zl0srKY489RgjBGA+Hw2azubS01O/3Jycn - syzbt2/fW978lttvv/306dNJkhw/fnxhYWFqauq2224rak++7xefdnGjCI5/1MtJzycVOOPzCSQ8 - 45cCAISAMAoZCZNYKiUh4Jx7oaeknJ2ZGQwGfhAwxiq1qhf4uRQD32e6ceT4U+VStd3pAam4FJ1e - 94O//OfveOc7hRDvf//7v/yVryRZ+prX/sx7/uC6JIlaT6zUGvWKxGAUDMLEsgyj7Bx/6ljIEJmo - pgFBFuZpmknenKkzA5w4cvCKy1764hdeEkV9aoADR77/oquvFEQ8deKpUql09ND3cyH3XnJZ3a70 - R36eC0Zo2S2FYZinWa1WwxAV5cnvfPMf4zB6wWWXX3DBBcePH3/rb/7W0uKpi/ZdNByOOhut7TOz - y8vLCCGdaWt+kMZJyXHSNJ2emlrb2Oh1OuNjzUqlcuDxgzMzM45pMk3nSRKMvPmFhTzJSradBZFl - mTojy6e7lmXnkrtuyay6/dbSKPQdu1SrN3XN9EfBRHM8DvxS3V731hKc6k2t5a2YusWwtKRk1Er6 - XqVW1ksVkQuiiODSCwNGTcgAzKQEuVIQAwohBlAxRoI4Mhjb4sFQitfW1ih1bNsGGG201sqlKiEk - zbMwDNM0r9frBSOriFaLuu+zgxgppVICbQ6MCBU80zTGoVIAAwAopQoRIsgmFQZAAGGaplJBXaeG - YRiGkdGccx5FUbvdLpXACy46/+JLL1nvdTpeOOyFQQIVpbZjEiABkISQNI0dS7/qqqsaJ8rtTi/0 - E03ThYIYY8mYyLMf8nw524lTlFnPmdkKAAWgPMNNUQpKCQA8G9P8OB5ByqVhGEiSKE24kqVqRQEY - xrFp6ppJg3joxf61b/+NUqXsByOFIEJIKVQIQxWCUkqpVCHqlYIDqBCGlOI8T3XDOd0+zXZWXToW - 5fHB8KTH0oyojCp1JtWBADobxECwGT5sifjORDASAqmkkgAhqYAAGEGgGEWZgQOVxDJRDQ2UnN6w - P0SBKBFiMRRFjEvElVASYyylKqwPts6lQMXqhwREACAqAdzM9px9awkBEKCwnh4OA9NGjLGpxtTM - 5IyurZ5eH4RhCCF1HEcJmXOeZVnFcQ2mXNetVQwI27FkArs56mu2rqgSQgRBIDIlTYBMbBjGlr80 - AKAw0AUSRf0kjmPdSAxTGw6H0zPbCj3Hltl1IewATx9bEUxxo5iVACAIMcZYCBFFiVKwVCKO4yAA - MYYiF5TScrnUaNR/8idf/Bcf/NCxJ544euzwsaUT5UY1iuPGeHO1taFTDUfKQfpMY6I3HEzv2/0X - N33skYOPSiknxyb6/X6lXL70ssviKPru3XfbliWl/O8f+9hb3/Y23/MwgDt37NB1HQJwcum0Y9kQ - QgLgn33gQ6ePnxx1+3v3nH/48OGi8qWgdCtlBZVt2+12++DBg0qpyA+klNVqNUrinPOCvQkRfB7Y - Hdq6eM6Y10OgIMaYIIygEkLINM14aulOIHKnZMdpZJVtL/ApJnkW+4PB526++bO3fvKaa/+POw/c - 8e0n7klqWOn4VPbET1/xqp+94vW8I77+xa8/eXAFRoOXvvDSQyePb5ubOHbq6M757f/w1/8w7Pe3 - TU+eOnXq5S9+yVOHjt/wX67//K1/r+v6eeN7RqNRv9/XDTPyQ51pZae0c27HAedRy7CgBP/pnb/7 - vQf2F0EMQuTuu+7+/d+77stf/vKFe/eN1ZsbGxtIYYwoY7ppmk899VS5XL717//h2LFjN9544+rq - qmPZq8PV1/36z9774D3rq2v1aq290Uqi+MMf+PC7r3t3u91uToyvbaxPz043m81ut6vretGURCj9 - Edf2EnBG+LI1nm07ABUQZ2B3Cc+p4EzXiMamp6dDbzMzsba2puv6cDgcn5zAGA99z3acjU47jJM0 - 43GaCCV937dtG2N865e++Ev//leWV1ce2P/Q6ZXlbdu29b1Rq9dt1qo8YsKLINRao9V2b1gbrwop - u/2+AtDUShrQ+ytDVzOhn5e0um2PoUhbWd249jd+85FDh07Gy5KglX7viZPHpZRVQt/079/0qU/+ - jQaxSHIgFcY4jmPB8wLjs7q0jCjJk3R6bnZmatva8so3Ot3bbrvNMIx+v7+wsHD8+HHHckqOSyF6 - +UteOjk5uXv37l6n2+12bdsuzmsYhvV6/cixo1ddddVnPvOZRx57tF6rRXG8fHp513l71lsbCzt2 - Hnj0+9vn5srl8kN3fffSK6+Momg0GsW+T7EETKOGoagWRXzQ687Pzv38G14XpaNtOyZOtU8LTTJT - z+O0pFuD5e6e2T1ZAFrr3cZ4UzOND334L1QOCdEJzRVWYRoggKlJoEKCcyAgJqTInAnOwRkejFJi - iwfD1VkeTJwmEMICMgEA0DStWDqLcvvzldiLQjICCAhZpG0SkcdxaBGapqmg6OnPVBhTIFQcxyTT - hBBra+swBRM148orr+yvry0tLX31G4cufcHY6dNtisrMKAFKddvqbqwxig1KhOS+n37nO99mBp6Z - mdv/4H3Tc1M5z4sdA9ABPGdsvfX/NmFv4QypEFJnoxekntHcp2SxRwcAQCkKW2zwY3oEmKJEpIRC - nqskTcamJpaXVoEApVJpdXXZqVnvfOc7f/m3fuWuo/eubWzoEwbWtSAZCSEgJYyxjEtVFBOVkIIb - DEmZW5aZhzyOfQV4Srg20fQ6fS9PoQkTlQGEJARYAiwhVLK4gARUAgIAJQcASQQA2nJEKYQxlNIo - SSAEGEOGUZ7mSRIwDUIgJUZC8NVwg9oMQgEB8PMAAAmFQHmuUaoA4AhLIAtfHaSggEgWYRM86yBY - rJNFKAW33loD7XY7tbIsi23X2bdv3/rxQ/fff3+eEcOq+TEvpOYjz9cNu0jve4POIg1Cv5PnGjIq - gOmrq6ucS3ePU2RTmEECFQVBgE0sBGeUyfhMbk8pBKFlWTrRojgtrIyLHUVBKmNAK4KYc8Eez8jE - PEMxBgAoNiJCqELRqJRilDLGAFJhGMZxHATB6dOnDWwcO3Rs+8w2wrKFi2Zv/sJfn1p65FhrxWTO - ZXOXlawpu2as99vf+MYdo8FosjEBgFxb2wAA/NTLXv7Hf/DHb3/X22ul8tjY2Pve974wDBuV6vLy - 8g033PCbv/qbb/iVNywtLTFMsKZ5npeA8MjBJ87bsevOxw+nU3MHH/re0tKSZhqWY5arlde+/mfH - JyaeOnJUJ9QPQ8aYkrJAWMHCjBqhYsrLf6resTVx0zQlCEMIKSGYonK5YTn23Nwc57w/7Bm6haSq - 16s2M3QNf+/797/xja+544EvLW8c333FLNpdc8YqqhPVbCPigzzicdA/f2HBIpbI+OzM9gTmL3vl - y+MofM97fv/VL3uFzLNdO3d6A/+CCy740Ac+WHbcPQu7Wt2O67qz26aFkFmS8iwTOW9Ua82xMZHl - vU63YpeH/RFCKOP59PRsEPmSc4JonmYnjh1vNpt+EMRhND8712mtU0onJiZGo9ENN9yQZdl55533 - 8MMP79u37/3vf//HP/7xffv2PfTQQ0Xf2Wve8Jprr712//79/eGAUlrEwYWIuPhkCsTGP3+N/P9+ - nM3EPGOR36RwFlcAAhCCwj2EGFoqOEAwjKPllZWfec1rbrnlFsu23/GOd7RaLdtxhJJPHjvKDN2w - zAOHHr/kkkucUqlcqay3Wm65IpTULOtvP/f56enpq6+++hN/9UnNsoMw/szf/l25WllZXZ+qVHud - PkexVnL3vfiyY08dGYXDplv57E03n+qecuYaDKFhrzVb3XbZtpffd+cJHJNMPP5L1/w2BbVSZTKI - wdjcPDedwaDvra7H0YNuteH7ocgzCFWapWXHyRNEMMmSVEk5Nz3T7XQWnzpRKZeTJFEFO0GpOIp6 - 3S7BWKOUEUIxueSii48cORL6wef+7rO9Xq9gWC0vL1911VU7d+586P4Hsihp1OqpF64GfnN8/OIL - zu+PhiYhN/zn//z+97+/2+ksPfXUtddee/31199yyy13fOsfu/3eYDgMVWK6LqWawnis0XjxC3/y - 8e8/9tiT+6f3TfblQGsa3737vrJr0lhN0cpic89cbVezNnPh9l2XvOCFn/zLT0FJea403cxkloqE - IKwRTQmhEEAASym54LqmF3xxSlkRxGzxYLiSjUaj0+6laVroHgzDKjQxhZ73GavhuTs8CCGEm+oW - DAEQkmCslCCEaJrGGBNCCKQwxgiR4reEEAipNE1ts6LnOoSw2RyjQg1anUceeWSwMVQJcCxQqVTG - U5UnOocszPLB+nrFsSGQSRQaGqNILSwsPPzog8ORf8EFM6dXerXGHCEkD3PAwbnhC4Twf7sq5ux8 - eZZaWMFzpaCy6FGWP87lJAUBwYSnKUQIUMSwMfI8gCDTWRD51Xr1tW989bvf9Xs54H7g6ZMMEZwk - EQCQMV0IWbTzE0qk4ggACBVESskcAC5VCiBTRCQsbfNWxFLKCKYACiGBwApihbBCWAEIpIBAICkx - kAAoiCAsRObwaUprDAo5DpIKK6GAyCLfso1MpIQQCWSY+yWzJBVQeZ7GiWsaEvJMZFSnHAiFcCYk - wgifOX8KAgmQKjQxYLPZGykEAZTnXlUcjI2NVd1anicQR1//+h1TJbZz584jh08PBoP6th3dgd/p - dMpuiTIty2PXdWWeEQIMw1haioG2UZsw9u7d2/cHrbhVAOhikEIJTcPMYLZlNV/MxDiOHVRSEDYa - jZOLp4tcafFo0a9Q3H22sPfc8OUZEYyShQ4JEwKUUoVCrlap6rrOEEYIGKZWrZVnZ2cF4Osrrde+ - 4uqpCJ3wD5yO7526Yt5GHOJ0NTs5OzUxPzc7f+HO1c/8AyGk0+ooJWuVquM4/sj73Bc/6w1HOtMs - w7zu999z4403dlrt3Qu7NtbWb/rUx/2RpzMN5hkhNIuTgR8tbJ8vW07dLb/+p19z1+3fHOpmHCde - ng4Hg1e+7OWc87/5n5/EjCZRZOoGUiAJI0kQxigXokitFbaAz6yCKHjOluPseWSMUUqVkEKIJIs1 - w3j88QNXXPHCUc/zh2Fuy2A4mCnVFchLVSuXYZqOpIgZlT1voGVm2hVaP8tEVB4vZaY0iXv/wcfC - RCUKeiqPZdodjqJhv0LpsNXK4+jo0cNXvvTqD/y3P//Ot//x5pv/5sSJE71eDwDYIlRK6VhW6AdQ - gSNPHn3skcd+/vU/Nzs9NxgM6pWqEKo3HJw6efrSyy9BAPfavfHxyWZzghBSKetpmt5555379+8P - w9AxnYcffHjY7+u6vrK0NDs93VpfnxwfJwgdO3JE1/Xp6enRaNTtdl/2ipf/1w/8WVHKTNM0CAJN - 0wrDLwBAmmeWZW12Tjz/evjPHD8MCc2WJqb4onoeQfvWDQg4z3XKAIYAgVa3/ZWvfcUbjnbMbT98 - +HAYhmMTE/1hHyBcqVVX1ladUulbd97Z7vdSwRHBOZBhFOZSmJa1sdH6+MdvKqpuum4cPHQIAFCt - 4sDpXgAAIABJREFU1UfeCDK0bdvsUqd18MlDjWbjy1/5+s7tk9HaiBksXQxCPyph59SxRQ3bMpWm - UVKQrp3u/NZ/fNvpxVPU0IcjP0myUq1BJDi5vLptbGyt3aIaUyrXNRLHscE0nudAqVKp1Gm3Oecl - 12WMcSFs2/Z8P8+4ZdsQoGqlhgCQuXIsN4nSn3vdG6uV0l9+9BMaQKZmUAUnx5of/tCfX3/99X// - 2c997KaPP/nkk/Pz8xud9W67Z9pGlvFy2e11uxiheqlSMu3fe9e7/8sN7x0MBqefOsmlmFuYWw0G - A99DwIAKJGmkIBciveUfbpaV/C8+++HlfOM17/gpU9F0efiq3VdePL5Pjyt5gnOeKiAQYQqSJJaQ - AUYYABwikIpE5YARAxOcJQnVaRzHjNGCB1NrNFzX9fxhlnLbsRAkrY0OAIBzXgBPizSMEEJKiQDk - UighMSVAKlkk88+IB4shRK6UlApJzjHBQkqIIGOsPehpkYd0FhhBliVKuQRDASDn3DAMAEAcx5xn - YRhRrhzHuPiiy9P5wcLMDNXI0cUTo9EiUpADRDStWXa9fpcS5DplnkUIKQDQi1/8YinAl7/8HcOu - F80IhSRzK2e+hWZ7Pnnv1g772QOdYSOpM9t9sDk9itoFkFAJVFhpbkV4UiKekjRlAhhBTnIgEgyJ - BOJHIRz5VwcxUgCsYwAl59w0zdWV5YnZbTlP1tvd//T23/mt3/6NCEQrYGUkRudt27eRbAwGA7dq - W5rlBQOuECJYozSMY8oYpKhYGZMkFFyaZX1jo+2Ml9tep1wqpVkshKCM8jSnmIBiI30OaxUqgODm - iZObKTG5+QAAIsspghqhWZJCLA1di/IAIZgkIUMaZrRcdQpfdwUQ5ggxCpXgUnCoOBcAbpZNgZTF - MrjViPSs60Q+bZ2EIAgCJHEU+YBEv/Rzr7VBNuoMXNelgnb6/ZyDarWqlAJAZXmeDH2NpLMzCxab - fuELahu9ZKkf3XnPQ6VauTzhYozXNzaQjZFNpJQAKo0ylUpFkEhlnudpFJetcmcwcG23gGWHQTAx - OY4QghgVMc2WguHZ2dMzVWAFzmRfFBBCySIAKnwPiqblTqczNT6FIGSYGbrljYKxsaYEYG7n9s6w - U6tqgxMrQdQxyzsbjcoolSiA3bTl8b4MEKXQtq09e/ZACJMkTpJkY209TdPdC7s8zyuc3RzLvvzy - y9sbrXvvvffaX3/zjh07VpdXmK7FPGvuq7uGdXrx1NxPbOt0OrZpQQBGoxGl1HLsNM8c0+ZKDIfD - yeltEGPf9yUEtWptGPpFBMM5J/C51LvPBXQ9M3ulEKJoRCeUVqvVg/v3M8ZWV5d10+gNuhpj1NBT - kAkk93/veysrR7xsOLdtT+yvJF0KKW2oKm+Rg4OjcERVriFkDv0+dmw/iayKE2VRlMQvuvjS8/bu - zebSWnP83kce/b9vvNE2tZWVlaJLHAFEKc2SJI5jKaVtWmmehXH8Xz/wZzzLv/L1r504tYgpazab - vX6/3el8/gu3rqyvbLRbQeBV62MbrbX19fW3v+233/zmN//pn/4phPDuu++++OKL5+bmjh4/Pj8/ - f/r06V//9V+/7IorPvKxj733ve+98sorL7300nq9/qEPfQhjbBjGYDCgdFfBwRsfHy9QaoUY67k/ - tR+NQc6sDJsDKri5gp+rp9ssJUEAIERCYZGLBBLV6rW4yrMkXWqvCgp64VD1iB95UsFh7Hd7vUHk - 27ZtOhagCBMy8nzdNJrjk6cXT9mmZelW4Eflcjn2c5O5cZZ6fjRWdYejwUpr2XBKDDlxmK5H6YHH - Hr/ogt3BqJtEocZYFqYYsXKlsd7qMsMEmOQiAwjpjDQaDUdnKopLdsnzA6dUSaTEmiYApxhKwSlh - QggFAEQo5wIAACBCCMZJygxj5PkKwTBOLNeFEg5Go5pbFUIpCZFCKpM7Z3aQHBi6gyD0fP/yyy9u - lKsUYUoIRXh2+1wqcqtaSuJUNzRGtStfcMVTx0/+zGt+FnDxjW98Y3py+tWvfvX22dmvfvWrDz/8 - cKLE7OTMFIYMM5lyxMX4TN10eKluHguf3PUTO+IgZxUNhVyIwcbwBBifqdbqnVa8vLZyMb4qx0BR - TUmkQS1PQwQQpAogxIlMZSKAhAQigpBESZYahqETWzNMP4z8IDJN27JdzwuiJGWEFr2vFDMhBAQA - bnZlAIoJUlBIJbKc6pqUEhOSZYlUChFcr1fL5XKWZRgSCCEXCcSIYCZkjhCEGOUyH6CubmqYoTSL - MFYKEc4lIooyXBQioVRxFKyvd/bfc+Db6hGqgYlZM03ysVolB7qXRP3eEAihazQMQ9tkSewdOnQo - jAY7du4BAEguCMKFih6oTQbG1iJegDtRYRbwrPFsxuPTHgXPfFRCQAqECAJSKogwQBBDBCECFGZZ - nNHg+k9dh1IIuQICQIUg3mqu+bcPSv51x6IZ55kfjgAKyr87/LeKAMWAO++sj5YVA416WQIZpQmm - rICI8SzXCVVCQgAgYlIBAjHRUTseohILk9DQzDzJEUQAIJEBBimQACmkABDw7IdPxCY28JknZ/Mm - wpDkKccAc6GEyLDOYp4xzQIAiExJoJBCUkgAINOMjAsApG47QgKICZCQYlL8kUWYhBVAEkkIAAII - AAWlhFApuZnekwgqBBUCEgCMuBCEkMb/Yu89wyy7yjPRFXc++8Q6las6J0ndokERjWAIHpM1xggw - jPF4zIRrnA02GGOMBOYSfJ/Hdzw2GAMmJ4MAk4QkJJRRVkvd6lhd+dTJO4eV7o9dVd1KGBgxluz7 - PfXsfqrO7qpz1t57rW+93/u97/j4yZMnhwsnoQA5o5nSse5ams4ly5LcsQkhRNOdNPCW50/n0fDu - +7P6BKrO7LIcnYtccIgpqtRrEUwAAlBKKCSEUEggIBAQIAD9oTfhTEopkUYFAlmWWYaZp1mBwXC5 - 3qMENui6BXR6NvpSsPXBBiojFIcQIQIRhhIoKQQCmBANKrC21sYQ8TzfMr0NAbIwt8CAbLNeSMdL - TDTMiYaasb0xBwMkgRPZJd1CuQp9r9deJVj96MhDGON6ubq0tCS2yiCKuv3+sRMnNEItx+72+34Y - +mEogTq9sKAgfOjw4ZHRJgDAMIxWunLB+c8WUjpuyU8Crrhh6SnLu/1etVFnSnYHg0qjkUsZJUm1 - Xjd0MwhCqRTBWHJJEQHrFV2FNvvhN1e4M49/MSbrowEAIFQXQmBdC+Po4he/sDPslkdMLwpyAgnV - uI6HLN+3/1lJkkCAheBBR0zWD8bcSAOm52aeaKhZC/vRlpnz9uxNlno/zATTLH0Qe6aBzWq1H4VH - F+Z1ie6/775+q3XVO//04IUX/M1HPxLEEca45Ni+51GMlVJCiCRLHzn+yH/577+hlCIQaZr2J1e9 - SyEMINR1vSBcv+UPfrvVaUsKuGT1kdq3vvtP3/jW1ws07uSp4znn5x3YXxsf/aWD5/d6vTCOrnrv - 1UVGAiH8zOc++5WvfqXI3nRdZ3muaxQAUPTDF/sNzvkT+W09vYKAs0hOSIFNjBwBIB9b7AcAAKUU - IUjTNAmUkAxTlPrJ9T+47tWvfs1ap720ugggNB3b1K1ms0l0LYoiwzI9z7Nse3x87OiJE1mWSSnS - OGw0mjxnEMgsDdOMzWzdkiV+e7AKoCyXR9Z6g2p1NBrGjmOde97Bztrq2nLr3L27g6FXqVSHg2Aw - TMu15mqvPz3bXJg/vW/PrlMnjldLLkwzE+E8DIHgCqJcCqUEBhBDAOSZJ7no/DzTyoKgUgpiqmta - DnOWi/W5gGDXrWBMlZCWaSKAgVR5ltXKlSxOVhaXKCBKqV6vJyFYWlpaXl7OGAMAEEJOnzr1mle/ - +py9e/ds23Ps1NE777rr/kcOvfWP3vbmN7/5yPFj3X5fUsSyJEyTOI4dw3Q0rbWyDETseYOy62KI - pJS54CXLiPJUQkCpPjUzHcYrmh0zwOW6dZEGpMKQACWkFAiAorBOJGXsUXow9UIPBoBafUQKMTc3 - vy4vnTMppUE1ljOAEYSwaDUUUoBCdB1C27azLAMIpmkKoRoZGXErpTAMT82dEEJQoAEMhOJCCSCQ - BEJKKZGiFIe6F8exwyxM18GRQowOAJAkUZIkDatRazYPPfjQ9LTdLLtu1d517r477jn8yMOrgJSI - rTWbzWDYFVwauh6GgeL8uZddes+9dx4+fLjZaDCpF6zGot1WCFXASI/vUQI/Pfj5mFinv0ApFSqS - fwgAlhAAqYQSUCodQKpkCWBQdOTKjdLD04Hg8rMdxYZV9aMAifX6oFrH5xSECHKlAJQba8OG/2XB - I3k0agUAKApt6yesn/+YM85OVVRRNflnrs8T/sfHvnbmBPSob9ZXNLn+TtRGR5I6c5aChWk2BqCg - RiEAgVsp28RieZJl6dLS0p6pqS1Tsw/cd6wbcaTpgzAxdd0wtP5wQChIuRyv1+tVK6Pg4P50xUvb - 7Xa5Vq3XqyeCU6urq2PRJHIw5xwiVbZLEY8EUBIohaCmaYamFXiJgo+CiJ6MsvaTxJNIAEDGmIQE - SIgxzTMOEGFKrHr9L3/j62xlMcuGnQ6Lbjh5JFpJlBrDtZPo2FH9YT6QYxNbT5w4RjU8OT27NLew - e/fuK6644kUvetHBgwdHx8c+97nPfeYzn4nj+Morr/z4xz9+9dVXNxqNBx98sKC1Hj9+XNM0yzDL - 5fIXv/ylRw4f+fwXv9AZ9hOe1+q1OEsJpZ/+9KdvuvUWKSUUbNu2bUeOHs2yLGaZpmlQKYyx2uCk - PZ4Q8xgvgk1sppiRpJRSQZHnw6EPMBCKJekQYoUV4Zzf/9DDv/4b/11mLAqHCAiJRXmiuRoMIoQI - oGYMK9S5Pr150OkqAPwkABqRSiAImtVSHMdKiAcfPvzQAw/pAOmYVqv1brtz/fXXdwd9AECBfwyH - w9Fm06BaqewmSfKFr3zpk5/5lG3beZoJIRrNEaFUt9u1LKuQN1xbW3Mcx61UvMDnnFOMddPMkkQ3 - Tddxhr7/4MMP3XXfvaZhFNrrlFKAEQCA56xWq3HOwjAs5Pss09Q07We+i/4Fg2xsuR4dUD7RfIEA - UIIrxgTnkjGhFOx2+rMzM4EXtlZWlZBT49MKgqWVZULWPUsRxtVG3bZtQqnv+1tnp9qttW3btrWW - lnvd5ZmpqdW1tXrDXV5d6g0WlJJEh2W30R+GtVptOBju3rar3W51VnvewNu6Y18K6bLXqiqTK9PG - TpZRxx1vdWKC3GMPnaaKGMqYHZ2yIBGcEwoRxkJJIRBEGAEoIJQCFEWHsx57WFCZhBBSCQWwAqJg - uRd6lwsLpznPJ6bGj504mmUJNohBrTCJdceY3bb14WOHiU5PL5zWNKqECAbDInUVAGzbsuW9V13V - arVe97rXOY4DCKqNNK94/et27j/v5MoyW1ocDIccQQlBteTamtFfWeuv9rZNjh296xhtosnK9Kms - vXh0OYWMJuVMWkcOr3Xm7+Fc44rqwJI5swwdI4WwYllGdJRyBoAyNCtN8jhLXacMFRCSU0rP6MEQ - QgjhZ+nBKHFGD2a98+NRkhKqYOwWjcpSSsex4jjOWFpI7iKElFRSSIAAQggCTAlRSuWSEUKVWlf4 - hRBzLgCSTAggM03puq5HCLU7raU59rcffveRB+5aW5ifWzhx6OiJYQTGmzuIUe1Hw1arZenYtqxB - p92ouTpBDz744NTU1Ate+OKP/M2XFMLNse3rnL7/Ay7WSp31vKDi5lm/jSBEBGCAEIAYwMInaOPV - pwWm8rNhMJuBHp3EyMK1qNjQAyULXTpw1rL/qEH7Vxoc9Pv9FCdx5CON/7f/ciXrtU6ePBmGIeM4 - YoFlu5LzJE3HxsaGXlczied1gpJgcVSrjZx70bm+0r/wjX9anmuBaTA2NtZoNAIRCik4Z5mfEptA - CJRUBU1N1/V1TbbHJeg/czwh9VUppes6xRpLs5yzjOWYkvnF1T17z2dRaM6eU60Yv+DwAU0e6i+Y - ruNKHQ3FrNnMh9lIc+qHd9wbrq4unp6XQkZRdM0117z//e8fHR2FEOq6/rznPW96elrTNKXU7/zO - 7xR/q91uVyqVarVqmibFJEzi8anJer2ONPqyV76i1WpZtg0htBwba/QFL3gBAOB9H/y/L7vssvOf - 82zLsnRkKaWElHEc/5jF+MncWM8GrqSSWZYJIAAQJcsAAPghIxiKVD38wDET0UrV6Q0HiYrsOOzH - nlSqUa/32oMO1i3LkprUNE3CmFDKo8TAerI6UAJWKhVjpJqmqWQSY6w0bXVpqVqtTk9MBkGQp1mj - 0Wg2m1mWpUkSD72iKdq0bc756Hh1YWFhMBhgSsvVSrPZDIKAS3HgWecfOnRodXV1dnaWEBKHEdGo - ECJK4iRJqK6Zmk4IgVJpulHohKVp2mg0vNzrdruCs2q1mmWZbVlKqSiKftqb5+nwYG9yYjary/CJ - 05r1QKZpKykwooamZ3Fm6HroRWNjY6srawRr3mAQRNH0zLQQAksglHTccn84COKwUqv2uu2pqSlK - sTfsV8p2r9dbWppXSg29bHZmcuD1JQJZJrwwEhIEfmhoRjgceJ1ec7TWHB8LRLK2sjS1dSZLWGOs - sfDIfKlUThiv1WpmCbMoatYqfr+3ttJmjDHGFcWQEojXm4ABwJSiPE8hfAzxbZ1FUcwLBQBT3M0Q - qJGRer1eHRtvVirurYceqtUqr37NL7uus7S4qOl6vV5/4PBDu/fu6fR6umkYllkqlZRSA29ICAnD - 0HXdXXt2t7udgTe87c47Xn3la173+td/69vffuSRR5ZXVgBGJbcsgcqSdNDuOpo5O7Pt4IHzF1dO - LT+ymJR47oJ9W57dWetMbj1vDx7NVrJTS16lOj69ZZYByLKcp4mmgyDwiY6YZBBCCFCeMigxQSiO - 40IPplAWX9eDUWo4HEohNvVg8Fl6MMXKBJTa7NjcxC+klEU30/j4aJZl/WEvTVPGmG3bWJKMZ0pK - BYASHAEsJRdAIIQAAkKs66kghDClmCIESJ7nRSW4XC8pJ/vwhz/cXgoaLogScOnzdx85sUwIiaKo - AIFCr6eEqFarQuSrnbXp2eaJEydWVtemphoCmFwCIYSSEuhgQydbPL5H6ecRm0sJgghsaLopBYRS - SjKlFIB4fXP/TDyqR0nWnr3aFYRThdaLzJtnKPWovOdffxCg67pBDLdkIS297rrrjDyqliqe59mN - SaqXl9s9x7Jc1w3DkDEmAGOBPxzyLAyXHgqze+aqM5Ou646OjvTIIAzDXq/HNYFNrGmahJgrvknz - KgTgH8/M/XkEhDDJs5inOtUARpiSe+6793Wvf0NrOGxUynVMOItCFYck91BWGambHHlL7Qm7DlJJ - DTPlomSVKhXaa3e73e5gMJiZmen1euVy2ff93bt3r6ysYIwLJ8LCaLCQzSyUMxfX2l/56j8G/aFt - WUkYFbugAjAoVcqu6x4/cWLX3j1Zlvm+32q1pJR+GEopNV0vvKLwT+m6LIRAGBZcOghR0cUigEqS - 1DRNS9OyVAVJbOlO4gWpJqq1EcoNzx+OVkdYFDWQFXEvF3nMwnqzPoh7YRqO2U2cSCzEiO2yXMpE - hkkkITAtKwzDTIhSpWIYxvLyctGVVuj9U0PnQCGdBmnsD4ZCiDzN7N27C2GzJEkMy8yy7OTJk1EQ - TE1NFY1IRQYWp4mCQNM0E5tZlhVzvuM4SRpjE2OIAAAE4TRNXaeUpimxLUJIkiSEkCzLnuZdSE8W - ZIP18mSxWYGGUEEIoGScsZxljBBtdteWX/+1X+usdRq16upKyy2X+t3e9OzM3NycUsq0bdu2FUbX - fOMbJ+dOBn4wPjo2P3f6wPnnPfzgoR3bt5adkkaJputhEhZiTVwBqmHdtAnFeZpThdIweP9V7woS - H1hwKMIUCw4UEhgzuLU2kw7jslNdOr0UB9HFF160vLD43e99J8lYqVyJ84hDwRVTAiNEOGNIFp0y - 65/orH2MghBKKSCElBKEICGYcyUET5I0CcIw8q+//vs333xj6AWPHH242+3NnzpVrVYhwadOnaK6 - 5lYqQRSOjo56gR+lSaVaNcsljHEURR1vwBlb63YAAGNjY+12+3Of/Wye5/1+f3JsvNqoh1Gc5JlS - klIKhPrgX//Pql3WNGJWDFDFx4bzUkcqy8btZjWg0UrIcckpVXmeOSWDyxwpzrKY6AhgwHJuGIaU - IInzSqWmacby8jKluGiibrValUqFEJLleRRFeZZt6sEY2hk9GACUlBKpDd6fUkIUTEAFALBt27bt - Xq9XYNp5nkMEDcMwsEkZhRhAhCQvSgwSYASgUhoDACCEhBCoqEZhauqokFTiOLUMyy6XZ+qlrZP9 - mbHGzJbJtcGgM8xWl7wgAVrJsC3HcRwgZRRFhWLvgQMHllfmwygZ9Fq5ALppW5aV54nKfu4rKJSw - ACE2iQXraR+QYEMVTSEIFQAKqAKZKKopz8Tjo6MYXLgxIyigCgfGM8uqOtO/tjk+AJz54b/CUEDT - tHKp3GmvShTO7Nt6we4LXKvkWI88fGo5Z7harSohoiiimuU4jlO2SpPuwR2Nik1XWv7yMFkYJGFv - YBhaoRJJKYUUKaikFFxxCSUA69ALAKBopS5wU/BUQFwQbOjfKAA2t7EASKV0XRdYUUqTLN533r4/ - edefEqpHQli6SXKeJlHOM7NWSqGEULEkJUxUTSdPU8ZYuVpttdtM8D179rTb7SAIqtVqEASVSkVK - ORwOXdflnBuGEQRBATIVel2GYViWNRgMdKoxxigmpqYnSWJbVuH0VHLdAgBuddozW2Yxxkut1cXF - xd3n7vN9P8vzTfeAJ7lcT3IZlUIIFRV8CQQkGEIoFZBQ8yJOIaW6CQlpVEdWo2zY72vCyFUGBFaB - kn3Bc+GoWnms2otaQy/AujE6Uc/jHEBqUFtxgBTRqB4xFkYhJFQoKXMxOTrqeR7L80a9jgmJ43jg - e1RyKWWlUhVCTM3OxEEIFSgyEtu2dSnjMHIse8+u3YVlb7fb3bp1a6ffywW33RKEcOB7pVLJdktp - mhqajgDUKRWM51JRTAyLRkkCuDAtK8vT+fn5Wq2WZVnhyvS/eTv9i8S6TgwAZ/cB/LhACJXLFQhx - GERoDN51192NcvXeu+5eXFhot9uGoS8tLe0/59xKvTYxMXHu/vMSlj94/321kQalNAqifXv2zJ2Y - e+kvvuzDH/jgxz/xsc5a+8tf/vLz/v3z3/v+9153/fV/9p6riGX1BlGW5VsmZ7uLK65hQJm9733v - 3HFw58R5s/N+a25lwSRm9+jpifJYRViz1ZnR2vjphZWr/vTtN9/5o3+64Tuc4EwpQChSCjKlFINQ - SYm4khBRSnWlhJTiySQIi/pIkfgDAMbHx6anp6amJlut1jn7z213O7quJzwHoa9p2ujkRKnsCiF6 - 3iDJUs0ypU4SwQaDwfj4eCrYzNT03Nyca9sAgCRJRmr1oqLZrNbTODl19HiSZYhi3dQgxrWR0RGI - bM0RTKYsHywONLNkWBa1UdyNXKZX3HFuloMkNYjueYFl4DjwEVRKiTRlhmUCgIBUpm7FYRKDpNFo - WJaWZZmUZ+nBpI/Tg5EKbOrBkLOLIGd6MqUUrusatkUIabe9OI4BUqVSaaRZ1zUTSQhTrKBEBCKA - FQRFEiMkF1pWTCtSCAihaZp+GAMZWdCESPV6/W7QhxJc9MaX333bkaOHTkUJ2Hd+fW6xV7JmxiuN - II/6/b6poZJte724ZNtpmnznO98xTHLRxZf+6I5jmmnrJojjWElZoD4/hhPzlAfccIwD64t4QZoB - AgKoNjIYAJ+xR/B4HtE6g6VgjAIAwLriXBFoA9d8Cgb3GRESDIfDeBABxXftm92+ffvtt9827Pp5 - CszaeMokz3PXcWIhAQCDwaDda1Ex1OMKi4fHT4Jdz5qdnt46t9ZZWVlB46TI7FMeCCUIRZqmJTJB - CAEJNpOYzfaix3dNP4XDvnlxoyS56667pJRRmGSccSUZYyCXrlNGCuqaGSZxlie6riEgTUqzPMEY - J1lc+E1yKWRho6YUxjgIAqVUrVaLoqhAYizLKqTu14nGQui6XsiFW5blD71KpdJurTUajSRJOOfj - zVHXdefm5qihZ1mGKfGisNlsrq6uUkoLRFlKSX9ir59ClX4zz97csEEIgUQAYwUQRjqQpNMfEIVd - 2yqNN4bpgGXJRHPE6wwsqikphVBRlCRx5lRKKZfzp1dKhjPZnEz7/rA7tEyn7lSApgkEAACmbijG - fN+XjE+MjmV5nvi+YVuWY2u6jjFmjPc6XbdUyvM8DiPLMBCACY8hRlCp0PcrlcqwP0jTtOKWW61W - kflVKhWi0ZJlF2Z2EEIDYkCIZZhxmgAA8jR1HGdqYuL48eNWnhOdTk1NFUiY2pB0f8YFgcWeanMW - ejQbZsPPDkIAEQAQgCjJNM3AmFqWs2V2W57x3/mt3/vqNV/9f/7yQ2kU79i6bbTRfO1rrjzwrPMf - eeTI7n17777/PgyRyJlCUNe0+VOLtm1fdOGlH/3o399x64/e+973fv97P7zqzz/w22/5Q0JprTbF - oIrS/mhztN8fEoQNA4Ve68rXvuS33v1bd8zd8/nrvzpx8FyYi6lXHTx0wx1//SdX9x5Yfd5FL3rV - 696EQaqXiS+SiKhACZEktkFNqgElKaJcwkxIXCyuABQEhgL6hutyT0Bs+LkXgASlmjK11upya3VZ - SMZFLiR78OEHL7300mqjyhgbRl6pVGp31/I8NwyNcy6AZJBLDGuj9TCLTNc6cfoERqTaqK8sLrE8 - H200CaGmbva7PYRQrVIjGk2yRCGRKdH3e1IhnkR5ypUQZbcmTLm2tubaDuWQp5w4pBeHDEJH1yzd - 4Tw0KeU8BxgKJRHVZCoFV6ZOgjiSEIyPjyIC+4NISjkyMtLpdLIsY5xTSi3T3NSD4TlTSkGUFJaE - AAAgAElEQVSIwMbihBAsisTFno8Q4jgVSmnGGGOsWq0SQoTiIyMjGtV830/DJOecSyYURwADBIVg - CkGMcWwFWcaEUBhTCAXGSNM0DDEAgBAyMtKoTrsVy7r11lv9bmRQMOqC6enJMAfhUERRxACbmJjw - B51CBtv3fYrAy1/+8jvuvOWmm26amhrJOOWcE0Iy8ORV0KcyIAAQKQABXBekL1YOtLGcbOySIZAS - KLjR6f2MjSceU6XUZpqzvgAgiAqB4n9ToQHXcMdrY1kaLS0t9dZOP/e8PRc8a/Teu490I16rjXS9 - sNfrlWwHE9psNiXkKNdGR6sqt3uD5WPH5s1hqmlaozGzkC31er3tUrquG/M4yxMIAMBnKt1FhXfz - +Jg38rNlMAUGU+AxCsjNBnIJYEHEIRpWGD985Eie5wgDw8RCMJbDKBzKFLKYSa40TcMUpSzVTR0T - qBTrdDqjo6MIobVuq4BvN+0RpJQLCwtF23me57quF2kZQijLsuKjCSEmJiaOHDli23bOmR8GfhiY - psk5HwwGExMTQRz5y8tYo0Sjy2stjPH0ti3D4VAqtelc+GSxWSGFZ0lgbnJiMMZyY+tDiMYRzgXP - clkumZc/d+9vvvm/ht12lgXIVEEe1Mca3XZnzG0CgCOJUpFxFo+OjgJMP/J3n7j9trvzBGBSsixY - q1dW15ZDlu3Ytb3TbSdJum1m1jGttbW1RqNx7OQJy7bTNI2SuKppfW9IIN62bdufvfNPvcHQ0vQ4 - jEqWzTkXQGma1m63x8fHwzhyXZdLcfXVVw8GA8eyn3vJpTNbZg1NP3bi+N133x1FUdm0OeehHzDB - McaNev3SSy994IEHCtXZl73kpWEY3nHHHUKwDdLFTxfyp7zpfh5eS+vp6sY7OcOGebK5yDKdJM4Q - Qoqr1dXVRqMBAJifny+Xy9MTk4ceeHBsbMyyLCXk0uLi7t278zTed84eSvQwjoIoftb5B5eXVxcW - lv7gt3//eZe94Etf+uqBA8/WtdIVr/rlAwfOf9s739Hx+ueeMxN6/mit4UApEq/s2o3EESABWnbh - v9sfyIhwriW86y3949c+8YdX/I/h2vwVr3hRyPrYQG6j0pyaaE5MsthruiUDAZ6mjPEwTrkfZHlK - NR2cRVzdhL6lWr+Di96ZNE2FZEIw13XL5XIcx4yx2a1biEb37Nnz4EOHbNuu1+v9waDRaHieZ1mW - hEgylqZxfbQ56PellJZbrlarQMKjR48W9qSn5xe2bdkaeJ5um5TSXHKv5zuOzaRUijPGiWZlaTwz - Pu33B/1e26pbYxWX58y0qC5kJmJAdckFojgcehQLgmGpVBpmsUnsJM5NYkgooiBujjbK5XJv2Mt5 - XmAwa2trYANnUo/Rg4FQCMGVJIQIoMBGH2YxSoSQglFYNAENBoM8T3Vdb442i5prp9NRObBdm+qE - CQ4VAggiZGQ8I4TEABiGgTGGGDGWR1GGCNGoxgMex3EYhkk/XEzS//zaVwa91ZptRkkYZAljzDAq - QcKJQXq9HpTcNAyeJiMjIzpBS0tLo6Oj55y7/7vfvokro1R2AAAAQiB+7pyYx/zCs75dr5usOytD - iCE8C55/WhB1f/rjPzMOm8+RUgopCOCZATkbA/vXDMwwkMGs3W7nWaxZ8OUvf7mRR+2VNd/3ie6G - YQghdByHM64AD4YDzSQWEhBC23Euv/yiCOjLfn7rfQ9EUUCapFKpcM4TLyw4MVydcY/fnJ0es9j8 - XCkySinGWLlUGQwGlNKqW1pbm3MdC2Kas8TWHCKpDjTDMBKWIQziLNWxhjEaGW0KISBS5XK5yA+q - 1WrhXF1URjDGxaRaIC6dTse27UJEqhBMP3bsWKVWAxB2u91SqZRlWZKljVq9EBrt9/u1ciXjrNvt - 7ty5MwiCbrdbMEviOMYYP74YCn4sC7UwwixyKaBQxjkAElJNMqZjXQo57PfJzJbnX3TJJz75v266 - 8Xv7L9w7zPo/uGfp9PKCUCrnfMuO3Y7mlIlDHtIMs7Jw4pRrWFCAYOiXyy6mKEyDvefseesf/+H1 - 119/w/U3PueCC/bvPzA5Mb5z584/fOtb7z/0oASqVCppmgZ8abml1urqytLy7Tff8uZf+/Wv/+CH - y4tLJ0+eHHhD2y0ppX7hF35hx86dp44e37ZzRxYleZrVarW9u3f3+/2bf3Dj0tJSzrmhaVmWZUkK - AGg2RrzAv/zyy9/1zne98opX2radpunFF1/8d3/3dwXuRQh+surE0zwIAggAuF5qxcq2LAlBkqUb - sLwwDAMpWIg6a5qmmebi4qKlGwCAZrNJCMl5Xq1W4zhWSo1NjEsp4ziu1+tFmS0IgiiKAr/th4Hl - lA4fPhzH6cUXX3zllVdijN/1rnd/4AMf+PrXv/73n/zEG97wxpGRkYePP+KFQZ6kNccJ8qSkQ6XU - vffe+yv4jYZhRGuRVtbAerEAHDx48Nprr/2ll7xhYWGhsLxaXl7u9/ue5wEWBxAstlvBYLBv3znF - xymYHIWyE8YYwnXOKYSQc17UZYs2nHK5HCfhJnKbJEmpVPrWt7514YUXXnPNNcXqWDBhGWNCiCiK - nHJFpmnZtJPeEAtVKbn+wKOUYkxHRkbCMKw16gqjfuhX69WlpSUbO0pKaukQAxYndskmCAqW1qxy - 3Fomgs9U7XbU0TVbgjTNWKVUjcNhJimiBuNCN4DIOWMZAEBwxaTAVC+WUNu2McZh6CVJrJtG4bpe - +F/oup4zRgiRGx98/T4gZLOtmlIK5TrBWdO0PGejo6O6ri0tLXX6vbGxMQhVo9HQdb3f7xdWEoDB - NE+hAIhgKaVSQAipABRCAAKyLOOcI4ARQoVbJGMMMqRpGtVw2XZCJa+77rruSuzqIIzB/uc0PM/H - yrDLYxFPlFIapcWYh2HosyyMe0OvOz7hpSkv1+xi64YxFkpsoCHy51lLKtYVAJXcnBiLhuGN8ZQK - KrHZzr+xxDwDjwVR4lFTm9wcgnVK/MYLSgAFpPpxqc+/gnhs0kDWTRMpgZWG9o1vfGPnaC32IyFA - nmXIsgnCGOMoCN2ybVkWk5lSqt1uB/3+0grIKXAnxzVN03UaorjAPjVTk1BCCAQXCiulJNqwm1br - xqsbAhBnBQDgxy9CBapaFA6KxELTNJZxCLFpmt1u17SsovIrhMhyblkWAggAkLP0nHP3RlHEkujc - XXvi0DftsmE6ecQMbMRBhhAqUSdMkzJ2DdvgnGOoKKWFg0FRudY0bdu2ba1Wa3R0tFqtYoxrtVrR - LxPH8YEDB4IgKFKQmZmZI0eObNmyBQBQJDRFEgMhrJYrlNJqpVqtVjuttbJVnZqZXl5reZ5nlUtK - qQKUFUKgnzKfk1Lqulb8BgBlFEVbt87OzZ+ulhsYoWGYVJyyibFSmaXhP7/qHY+cvCfWqmZHrNDj - uG6kCuTm8o69F7zy4BXt48PvfPOGZtkMWj0pcMV1pcx7/f7keP3tb/ut0bFqa2VRAXHNt7/1g1tv - SzzvnX/yjkOHDlmWVQj/SyEwQjzLKyWXADg1Oq5D3Fpe+fwnPv2CF71AH2lyITDGp44ev/pd7/mj - d/xRvVbTEK6VyuHAq5bKsR+Oj4511toj9cbVV189P3f6r/7qrwaDwXA4tEvO2traF7/8RYxxt9ut - VCpFFe/KK6/81Kc+VW3U0zQtbuZiB1sYDjxho/LTKkgucg3rhU58mCbD4ZBJwQQvV6tSSowRACBN - U8uyJBd5ngOAGo0aY6zf74ZhODU1dde996ytrbnlchSGXPA8z5Ms/f711w2GwwcffqhUrh5++JFa - o267pTiOR5tja2trR48eed0bXmNq1srKgpT5cy+74OjJIy99+S/8zcf/18zsxPzSIkUkCD1TgmGv - zwUQimJgdleCrRN7Hzh2KB7441b5+c/9D6MjO0GWn14d6FYdQcPvBQ23hoUKhwPEcxMC07YUkEIp - LhVXQIP4MQvbJmOuYJ4WpvN5nsdxnOe8XHJ5mrfb7YmJiVe84hX1er1cLidJUgASmqFLKYWURUff - cOjfcNONDz30IAJQpyCL4lphZQChYRgLCwsAwaLJcGV1tVqrZSw3TBNwLoCCEKytrdar1R3bt17+ - nOfahjHaqKwNW7nFhpk3MtbsLa1OWvUsVrA6IQDN+0OY82u+9o+6aXhe4JTcTIE053meSy4mx0fT - NBaAl0o2QHhubq7QgykogYWfAD5L86rI5DCAEMIC3WWMbUi5JDMzswCAwvV0amqq2+1OTIzpuh7H - cQEOMyaIwhhTgIq6JFJKQIgeY/JcBEJIAoAgopQQgou8CldKJQNpE+mu2Wnd1EcmJxSd77aA7/vU - 0euVutdvsyyrlpw8DQkhl1568d333LGwsDgzM4Go64eKEMLY4/kb/zKxLrMFAVhXkSni6ZCU/LTH - /z/+uRDArbka1QgGpql7ADSbzR0Xbr/rzocWuwEXou955VKpXq/3+r5hEkppGqWXXvoCyONbb3/g - 1NqwKA+Vy+VIJOu6TUR4iUcosh07ZOFT9U6LXGcTyJFSFmQIAICmadVqNU6SAuwBAFiWxjkXTCCE - XvTCF77yla/0fR9ked3UWJ5purHW70KAbbckJWC5qNZrQRTmeY41WuwJAQBQKtO0NE3zfb/Y6VmW - Va1Wi4rSxMSEEIIxNj4+ftttt11yySXtdtv3/QLdNwxjbW1NI1QpVdShwjDUqUYIcRyn1+s5pgUw - UhDcec/d11xzTS4FY8wwzUK9DT0RJwY+ORhT7HIhhJRSBcSOHTsgBhddcKE/8DmXo6PjFOHRZk3w - LGcJ1dSBA7vvmbvr5PLh8qyz+3n7Ix7DJB0bKecqGh2p7tqypbswrO1veGEe5almU6c0NRi2v/Tl - z+/du3dmarI38CG2MiZ+/U2/Or+wMDY5gRDaun2blLLX652zd1+eZH5/sGVmdv74SUPXa+UKAqDT - WhuZGCuuo+95GKBep/us1x5QXHS7Hdd1FRfnn7f/hhtuAFLt27P36JFHrrrqKoDg+OgYE9z3/e9/ - //tvetObAAATExMvfelL4zh+4xvfWFgQrHU7hWVSsefc0MWAhRXPz5tc+L8TRMeGBCpLc6WUZVnE - 0JhkGcsppXEcQ1ggirmSOsUYKhWGfrPZJAQ1RxuIwj17d8VpdN755x284GB7tVWtVWzbZlkex/H+ - Z51vOfZktTK7dQuTggsRJwkkiujolltv2jI9QzV8yw9v7vV6737P2y+57JJf/43Xlsv05MmHbatU - q7mtxVXbsKemtg18dtlzX/L1r/ygLTzQ1mFU2d7Yo+cQk9rNN53WUqqj0KrNMqbddfO9piRGJm0F - FSFh6BuGxgDwkiQVEkCy6VQLITg7U1fr4h9QSsC5VAoSQoVQUgAAsWHalXLNNOx/+ua3dUqXlpYO - Hz5s27au6612++Bznm1ZVs7Z9u3bGWMAID+ICCHj4+OdTqdcLv/e7/3evn373vKWt+R5/vrXv37/ - /v0nTpx473vfSymNklTXdUJRLvjYxHgcBTNbpmMe3n/Pj0wLuqNO7OS33HNr4A32ze580b6LF0+2 - 9WpvZW34kue/8MorXnvttd+N8wxB4vsBIBQhUnJdDIGEMohDSrHjljiXm3owmxyXx/B2wUazFjzj - cISEEJqmFVoFvu9nWabrepIk9XrdNM0oioIoYIxhTAvLAoSQUFzJojlxMxBQCCgEQCHOhZRSUinO - M13qSql+f+izoaORF1/6Qr+7YmG4uLx4cnFhtZeW7Zlypeyn8fLysmvrhmW12+1yydQ07bbbbhtp - Vg+cf/DT//Adzey5lcnCHOqf6bR7yuIJ/syjhUHRk532jAsIijakMz85++o+3rfh6TvV/ZwCgbW1 - NVGqB/7g1AL7v/7rFcrrXn/99TynhJj9INi//0C71Tp16tQ5557fH7S55JVK5fbbb4+9nmnXL7/8 - cug2P//1bz7y0EkwDgAAnHOgQcdxpOLD4ZDYPylB9cfHJua6Sakpdi85Y0QpTdNcTUvSVG44KSIE - EYQQI03TZian7rzt9k9+8pPPv/Sy1snFsD8cm2xELMIlLeJRAgSAMI8zk5oGNjDAGON2t9/pdBzH - AQKEYSSEmJ2djaIojuNyuVzwY4q0Zmpq6tSpU7t3737f+963Y8cOSulwOPSH3t69e/fu3ZunmZQy - SRJN06IowgA2m03Lso4fP16tVr0w2Ll71yDwoygq1SoFyFQg7j/uij3RPVoI8BTlsyD07LJ734/u - Pv/gwUGvT4im25nXH2yfniYUEh2Fob91eyN7OEqicGTbRJjx+bXWlF0BQouHeX++7Xei/tqw1fIZ - xInieT+FhBHMjx1/eMuWLd3WajDod/qLmm7u3bv3j//4j6SUYRieXpg3TXPQ6ydR3FpcqVUqGONW - q7WwuNhsNgEATtnVdR1J4Xne1q1bOeCMsaNHjxZ9JAihdrt94403Dnv94WAQBEEYhrppjE2Mt1ZW - AYK6adQa9U6viwien5+/7rrrllsrk5OTO3fuhAQXVYXCA3xzY//P4tk/D47LTxtEASWBBAAIIZM0 - QSzDGtY0bXFxsVarXXbZ5VdcccXs5NRwODx9cq7Vav3tRz/q+cPBsCel+OEPf7i6utLvD8qlUhTF - w+HAMIw0TQXjhmEghIhGTdvuDYYAAaxRzdRW19Y0Uzu9MHfoofsMqmVpYtn2jTdff/TEYSZyP4pN - zXAd7dTx45Pjk4O1QRQln/z0F8anx06unmKGAjYVEETDmIf5luo0zBSBWhZlSMEvf+17BCIAlUpS - DQDNNLpehCjKhOyHIQIEKAQBlmpddPnxY1FsIArmRyFxK6WUXFQq1ThOlpaW3vTGX61Wym9/+zuQ - UK5pKwSbtfrbfv8PPvEP//DLL3tpr9drddo5Y7WRRp7ncwvztmG+5z3vueOOOz70oQ/94LobDj7n - 4C+98lW/+Iu/+Mu/9OrXvOo/3nzzzWmeYV0b+APDsnrDgWnqxKQ9v/cn73n76mDh9sO3HT9978SB - qemxC9tHFzhML/93F53/7OfPL3Up1gUQ1LSwpgslNU1TECMCTZNattlutxGBiGDf9zmXm3ow6Cw9 - GLiRwUAIEUZKretzp0XvEtWUUo1GA2O8uLgkpVzvm2D56Ohou90KgoCJvJAGzrJMSgURlFJKoCiG - UOEnW8IJISzLTNNACfJ9v1ZzR5y6320/8OB9xw61DQSYAL/4ivPC/KRgIgoCqJFqtSpZAgAYHx9P - Im8wGGzdPrG8vNzp9rdvH4OkFCXrO0to/twelJ8sCmsCeGbVRwCofyOoxrrN0L+1PIaDarVqaZZG - EdazW2+9VUuDseZoZy1Emgnj6J577pmamJiZmVlYWNANjDUUx3GpWUn83n339e479oPK9HipVKrV - KoEVEULyPOdASCJ1gzqOk6r0KXyzj2HPFGs2AABjTCk1DKOwwpZSUoopJgKINIp7vV5nra2E/MD7 - Pxis+jf/4AaNshgGIYm6YsBMZJRsEcq6Xnak1V3u7tl9zu13/ujb3/1uxnitVCWEVqvVqampWq32 - /e9/v9vtPuc5z3nHO95xyy23fOhDHyKE/OVf/uXs7Oxf/MVfHDt2rNPpVKvVycnJD3/wQx/5yEdc - 22mOjHS73SLpiYNQt8ytW7c2Go1+v19t1Avbwk3X5SKPKUx8f8IxKeY9IQQCUEqJMbYdp1QqYUol - BLkSQgnAcy8Ke/6AA6Ug+Mo/fu3Sy85nOdKAe+zBJW0Q5UAmZdKOYxf7cEBDnwmJ/Th1qjXJhWWX - +97aSNktOc6FF1yiYWukMXHjLXdOz8x2u52iXxVCmCVprVKlzWbkB+Pj47VK5Z577rnv/vst3Qg8 - /5Nf/KzpOq1up1inFpaX7rnv3pNzp3TLnNm6pdPtcs6PHDv6+2/9Qwjhu9/97lqjjimZmJq89LLL - oFJpni8tLLzkZS979rOfPTYx8Td//dc33HhjnCUPPPDAS1/60m63WyR/hJCik+MxtNGncxAJAAAI - Y6xpGlNCAsAYE0ru3LnT87xrr/3ubbfdInOW5/mBcw+8+MUv3rptS7/fxRphknElFpYXKNWpTgYr - A8M0GOcQI10zbcfxfd8bBKLfr480/CiAGGFECrEQ07HDwONKjo6NhWE4PT2dpimAwDA013VOnji6 - devuwI9qzZE0ShPGj59cKNVq/WiAJQFMjhhj0lIgNbhQKYDEcoEQwzBKAm//vr2mTiVnSmKlIKGm - DkmecVQYHCOluNpcVzYeaVhArUoBjImmYc55njPOhWkZXOHWalvTjNFGc6TenBwfDQfBjm07kjiO - onjXjh0XHLjgs+SzL37hi/7fv/6fjDGBQK1SpoTURxoE4S9+8Ytve9vbfustb/nUP3zSonq31f7i - pz+7f9d5b/jPbzABthyXEdUY3zXwunvO3esN+m6tGg6H4+PTcSWxk3qzMju5czJY8zRKS6h6zt59 - 082xuePL3cjDgJquq1v22MQkhBAgMAyGQdiXykZIum4lTfN+f8gY29SDKfzh1tuvNmT9EEIIIqWU - 4uv7GNM0Mca2bVuWdezYMYyJaZqcMwjhzMzMYDCIoohzzgUvNPSKBuNNijQ405/5BPQIxlghjgwA - KJVKMfb6/S6Scmpqatvk2MFz9zTHRn54+52UUqkIgYQpVUy1WZLkCGIo6vX63r17nZLhB9HifJdJ - iUiZUpplMfipBSd/6iiwFrQpqnHmn0ct3lABdcaC7hm8rK9fx0fhTGfiDDPm32xgULQQszyhlNbr - tX1T+2YnZ779Tz84sdwam97ZgCSN4zzNHMeBSGQ8UZw3m82p0ep/eMnWW+47PN+Pg0G3UnGLcoZl - WVwTMY8ZYwJw8BQJwcOzxDw3F6d1uyUOFARM8HK1EkVRnjKllBIyyxKKKMEYKLlj29bjRx+xbfuB - +UOGZZ5/7p4Q9r536LsL8enF4SCaz0tMv2TXRft2XXLZcy762N99KmcSIdKo1xbn5imlF1988W/+ - 5m9+7GMfK7RhPvH3n3jev3/eVVddtW3btje84Q0f/ehHAQDvfOc7f/d3f7dkO0rI3qDbarX+0+t/ - xR8Mkyi+7jvf67TbjUYDIqTr+vTE5I7t279z/Pjec8/xAl9KWJAvC5GIQrHtyfCDs+/Vs88QQlBM - JFAQwoJTuHfv3kq1OkjCME4hUhNbZxhBAcv2P+sCodhDD53McP6cfS86R1NDyTu9QSOvw67bY8rg - aHrL3kGMHplfWfO6AkPFU4g0P8irtvWFL3+DKjjsD/qtlqXRL3/+C1AqnuUAAEs3kjAqOktWVlaG - /b4fh+/88z/LklRx4brur/23N9u2TSkturoWV1fe+s53dDqducUFqmt+6B+fO/krv/rGIhM9dORh - +P1rp6amFpeXEIBpnvlh+LGP//273vUuqmtAqpmZGanEcDi89tpri19Y7OGLMVzv+UCooE89nVMZ - ggGWQAqhAACmaQECc8EzlhapGULIsSxgKIrw4vzCXXfduTB3SkJpWYZSanp6stfrNRo1u+ImeWK6 - VpLkjuMMBoM4zxzHsQimlOaCUV0DEHImmmOjp06chBDXG2P9bqff95IsKyPKuMqFaDabc6eO7Nm5 - ww9jTEicJpVaYzDolUybpZFLrCTK6qVSEiQmsQyKM6XiPAFUIQIGXrfq2DEPuGJCCAUQQCTPhAQF - 1oAhkFKyonPk7JLKJieuKAZvNhVnWRbHqRKgWq0LrqIo8YfDvVt3+UNPg9gkRiIjgjEBME+zQa+X - p9nU+ES31+sM+yHjCCENk//0xjd+8AMf6LU7n//M56777rUIgN/97d+54oorJkbHWssrAENJ8fLq - kmFbhx8+YhuGrVnbz5lq+au0hElJq1fGFrxOs15bPbowP2gt19dstNp0qjoVAqg4yYlhCCHSLCEE - UCIF51Hq2ZYbxlEcZQTTQowBFHow6oweDMFPrAej67qu6xompmkuLCxomsa5YIy5bslxnCiK2u22 - aeoYY4BowZI+czMRTQLx45dsKSXEmHMuJINQpWnqrSUlHWCMD9133y03PJhkYMdeIwxZzR1TnGgU - t/sdg8JSqcTTxLHMLI2/+c1vOiXjwosuue+ek4Zddk1a3KvSeAIWzv+xgOuEIADAOh4Dzkp6CjmK - Z9bx7PgJeymfwfnazxYaiOO4zyBnKTE0XddvvPGmiuNGgazVav1+PxXA0LQ0TUfHplZbi5AAy7Lm - 5uZE6j/0lfvccX181/5VLxgOh0GehmGYJAmAECJIKMUQZSB7qt7p2Q1lBQS7CWAU6IXrupZlUcwh - hJILghACWDKuYQIVyJIUAZQLNjbZ3LZj4vhae757OK1lle1W0xqZJGO7GltmmqM1YG2f2RLnUqnb - Pc+fnZ2llIZheN11101NTc3MzBiG8bWvf01KOTc3t2PHjoMHD/7t3/5tEARF6mBZVuFxvXh6XkO4 - Xq09/3nPe/iBB2/54c2ciyxPKCGXXHTxuXvPu+666xzHOTl3ynBs13VzJSilYRStI0k/sU5MEYXY - BCEk5yzKYy8OsYa9+cjLQgVAt9t27fKtt93x2l95U295CYocaiqHudTxar8zMjatJAqCBHOkMVgp - VZI8iZK4XK06lIRxpFGdK8CzXKuUbrzpzppbGna7lqm3VpZXO93CE8bzvEI+tDhu3b4tDqO/+chH - xsfHPc9zXXdpaWlqakpy7g2GlmU1x0Y3y3OJYI362OTszLETx13X5Sy3y27RxbLWaT9y8viu7Tt6 - vd5wONQ0zXFLBTEgjCNvOLBtu91uAwAKQnQhpL65Fy1cujjnT+ckBhV7YoQQY8zzPM/z4jgWXOm6 - bhiGqetF99ru3buD0Lv//vsJRZZtaBoRgg39QRgHSRb7kU91TQJgOmYQB5MzkxnPvDDgSoZJHCVx - 0bQchmG370FE04RlKW+MTOlGpew2BSeSm64znoaiXmnmWZYnaZqmEKEgDVe7LYl4loF7M24AACAA - SURBVMfTEw2Yeige2igH2aDfXYDQ12mexR1E0uZUJYEhp5yWDE6RREijZppynguKMIUQYSFFdnar - hdqwedlk+EsBWC6kABhRBElRWrLtkm2X0jgL/ag36G+d2jIcDAhEtVIVA5hkMVJwaWGpXm8cefjI - w/c9iFORe1HS96OBf/13v/+ql7582B/cdOONUsresLfYWtp1zu7rb72xNewMomBxbdUo2XEcU0R5 - kHROra4dP33i3kM8iHmacMmqoyM5kG59ZMuOXQjRYWvQW+1BidJM+HHSH/qLy0v9frfXbwPATYtS - DUZ50vOGYRgTTbctp4BYNU0rapybc9lmdXyTK0MpLazFCCFBEAyHQ8dxAACEkFKpBABYWFjYbIUo - ZsAiWwdFLf+sDd+T3XAFv9hxHEJIu92u16sHD+6pVEpzc3N+oEZHwYtfvP3iiy92HKvb7Q6Hw8Fg - MDIyQilN09Q0Tc/zOOdXXHFFtVq99dZbp6fHSqVSge5IIQB/sj/7FIY687UpdLoR8My/cPM7BaWE - 8pl4lFBKCIqvjc/yqK/iJbWp8vdvMEJQLpdHR0fr9TrG2PO88fGxiy66qDAZdl2XUlqpVBqNxpEj - RzRNK36+Y8cOpdSWLbrnZaurq7quV6tVw6C6rpfLZcuyGGNFA8FT9TbPLg2cXSlACAmw7pnq+/7m - AlY0eyqlSqXSxNg4VCBPMwSAopxaMs7bq51jCepUZjVjC1LNXJbSnMYCJI5lDPtdgxqWZjcazTzj - Sql+v99qtYqG6h07dhiGMTY2Vi6Xh8Ph1772tauvvvqGG244duxYqVQyTdOyrJGRkXP27TM0nWA8 - WmlohPIsB0zoVMuTNIqiTGZxHFu6YRiGUqqg/Rby+YUexJONw+Me2fUQQhTyE8WnllLmeZ7nqWOQ - iZFqxTQ1hRyrfPrEcm8trlRmISoHIVLMqhsT2gCiLvv/2HvPMMnO8kz4ecPJp3Lo6tw9OSmjiAEZ - GWOCvYCxYL1rPoz58eFdG4wBG7BJNtiwXgPGBhENyIuxwCYZE9YECSGk0TDSjMKk7p7OXV25Tg5v - +H6c7tYINBj5E76wd5+rr3PVVVNTdeqt95z3ee/nvu9HT7SCVVLzuk/9UA+hmA7Zem+4QLgjwz7x - fDYMBy0HIyNIpJbLl0ZqVFcxxixNfc/jjGGESsWipmlJknS7XaKrdqkw8N1Y8gREZbzBMKy2N/W8 - HUsOlIRpYldK55YXia5GPP3+Aydqo42YM6uQlwS3+71Wr1usVlLGVtbXojTJl4r5UtELg8yx0HXd - cqWiqKqiqpgQQkiapqqqXih828l3Lzqej/PvJxEUEyqBC5CEENu2iaYkPI3iOFufMqdFvVB4/vOf - 32q1VlZWVF1PksTzPMuyBgOnUqpqio5ikTesfrubyxVMVWuubpQL5SRJLNvu9TqqoiRJolBamZhc - XV2dnpzxXS8MksDrqYqOMUkTUciXe72eoqLxxvjJB++f2rtPIDzwgsgZXHvdVedOPWRTNHf2oUop - x1lCiCKB2YSAjHKmncQuBtHpdGuVahQmjIkojAnVVN0AkmICGCRjMQhBMBaPupIvGAhK0zQFibPC - sOd5jLFapRKHyer6Cpfs2iffcN+9xyQSz/3l5wmWdWeKMSFf/8Y/H7ns0tNnzliWNT4+vr6+3u12 - sxajvV5vfn5+9+5dr33taz/z95+dW5j/wpe++J6/eO/JBx8wc3aUJhwLANZuNy3DCqJAl7RSq7Vb - zTtuv2f5H5dYDVagDzbVQYXNuDZm3f39YwVSoIr1wpe8lGq6AFA0tVgseG7fUDWMUJpwqijtTl/X - bcZlEsWgECRBMC75lh/MVjlJSJT1FsgaDwtJKFVV1dR0hFAcx91ut1arra2tjY9PlMvl4XDQarUM - VUOUJFGECWGMISkJwoSQhHPOmIKQREKIzHThsW1GGEsIIa7vFWWxUql1T2wutNdHCuozXviLvfUV - f9ANw+Ds2XNpysvlYsSVFKHhcIgg0RXq+6Fl5XQNz8+fz+Vys7v2fOUr3+FSL5bHACQQgK2bFEYS - b5udgMQCBAZg6JE+yfgCmOEJxg528JjHawP1UxnbLaAlEijrPSK3v+LWEe88s91VeQfFeUR8DY/W - aUsMAEjuJHk7fJofSWRAQmynSkj+sKvN9ntfMOxIwiPTAAHaedlWT2z8qDPYOdUf+FgJEgkAAkhK - iTP9vEQCsvfVwHVdGYkw8BiE/+VFv/TAd7+9uLgImJqGutpqFWsNxxmEfrBnz67+oDsY+EXdWFvb - KBSK45Mj4/uMTozO33cySkIySglRHMdhmjQMg1DkR94TVU7a+i4XrEzZM4QQuU3k7HQ6hmGoVAMA - YFxKSTEJPXd5eRmBKBeLHLiThCNjDaqavd6Qh6ioljDVwpRbpBAOknWvkytXz5yem92rDofDPEb9 - QVfIAiFbKu7Nzc2lpaWbb755OBw++9nPftvb3jY3N/epT33qt175yvvuu6/T60nODcNYXFzcaLc0 - 21xZXR2ErmGZRFXSNKUES4wURaGYZrsgzrmiKpZlSYqz1TfbeV7s4nukf/X2MwIBAsg6N2UVPYSQ - mcu53tAwDdfvpXFMpMF5ohItbxeoURkOgk1naBaKFKhMQAeaV3OdMAj9iFjgJ54fOiONcuKHhqpp - DCdOUinVczrqh7Gu2ViRccJW1lbztiUZN00zcy5OksT1vDiOJ2em2+1Op9MplcthGBZsa2NjY3Ri - fDgYWrZt5mzf9weuY+ZzG83m+MSE53mO6+aLhZQzLkWcJgCQK+Q1RV1YWCiXSpnozPM8x3F0RRUp - k1KOjIz0+z3DMAAgcxG0MzIQITvDJYQA/Ahi99MZlANDgBFCQkrGOYMt07MkSVRVRUDCMDAMQ9GN - KGWIKmEYIoQ1avhOeNNTn/6yl/66NxiyODENo9vtFgulIApSIfLl0tB1Esls2/zd174m9P2RkZF+ - q10vlvrtFiFkpF7bv2fv0aPHkjDIWblLDh44duxYGkdu1x+pjXMhfOZFLBgbb9z01Ote+sL/VC7a - lKLVzcWxybEkSZyeV7DKMiX5XGXg+ALDxPiUSujrfud3SYhG8rVOOMznbVCkH/o6JopCQRCKMU+3 - ykaMMQCJMQaEhBBEYixBICGB64ba6XRqtVoqUgEcFLTWWn/T299CKb3rxD2O40WBhzEOgsC2bd/3 - KKXVanV+fl4g6A/6qqERRQlYDCo5vXDunnceKxYKSMg4Te49dvxrX/9nVVWxQiPGNYWSRMaxpxQs - QIbjBN88cd94vZEMEsHLsJ42sKnpiq6rTq8/32vmSjWu2+3B8N2f+ID2d59Y31xmaYAJaJqha6al - mQPHabf6lmYgjiUwVVUkYxgAAGUO+IRQAJBccs5VRcmK3xiAqmqSJOWREU3TVldXu91uo9EIgmB2 - ZsYwjHZr03Vd27I453GSEEwwEIQRgAApGWMYE4qUrRsDxlJKIgEkCLJF9sRyyxIUUZQGkWWW1MQC - RiyjUJyiw9bm1772jfOnNqkAosLug6UgCLQ8QwJHPNUNlWLCk1RIAEk3NzeoIlvt1ZXVJlWNQr7G - eBrEHtKRBMBM6khLNRoKJgUAloxxjAlIhEHiDEAAAJCAOMDWgvrjx6OaIF70VfLCVwrAWILIMpt/ - V0fIZg4AkhhfxBAPyUceSxACcYm28gMkEZYgkAQkJIjtntgYAyBBkMRYYgEYttILgUA8djKRZQxI - SAD2SKoqAABv9ZsUYlvW/kNJDAAIACFRhhkJJDGWSEhKJCBJAAALDEgASIFAAhZo6/ORRBJhQAwA - OCCQCGGEEM6a6kgsJXBAoBmaodqKoqiGdeuttxYIn6iPeV7IiVWvN9Za7UJez+XNIPQwpVjSNE3C - IFqaaw2cFligj0zYdmGiPL3B14euL3gGZ6IojTFF/0J19seOHX6DlJIQsmORQDAIJLngIJGmUikY - gBJFEYVs6ZIsTYRklUqp0+8wgFjRX/9Hf7Yrr+2erbROJt/85hfFWFFiRXbl4dr+Ke3U3APvOXL5 - lU4QCCSETC3L7Lbbz/6FX7jk8OF8Pl+vVm+77bYPf/CDb3jD61/1qlfminlVVd/wh29stdt3H71b - CJErFnudbook1tUvffGLtVLlvbe8f2V52a6WdF2P44hz7njOH77tTVEUMp6WSkUvDjdbzXK9BgBe - 4AMAJRTEY4/bo3KXC14iEEgEmJIdiDoDsDXVklIiRgzNtBVrc3WzUh4hCiWKZpuWTJMoTIESxx9Y - 5aKbBlTSkl0K/SANGXAFS4pSnEaJ0/d0sxD5UV7XuGCSM8vQQTDGBMYUExJGUZIkumXahfzGxoZl - 2eMTE4uLi5DRrglN/NDUDV3T5ufnL7nkEtd1WZqWisXW5mYun1dVNUkSDIinDEmwLCtTazeqtSiK - 0ihGqkoRNkzL0PT19fXZ2VnHcVzHGR8b6/V6uqZlgLrruhnTMVMqIYT4T3H6kgXNtlHb95dsawUS - QFdVx/PyVr5QKKRp2u50HMcxDMN1PF3XwzAqF8s85V/63BeuuvyKhx94cNjpnTx5UgjhBf7u/ftq - Yw0jZ199/bWb65sYUKVU7rY75XI1CALbtK6//vrnPvvZrc3OjU992p//+Z+/+3/+2dmzZ3/nt/77 - y172Uim4adjzK4vl0cpIrbK5tlo0zA/81Xv37JthNK7N1v7sb941NjYGsdBCXDLrwlcQ1ubXNr7z - ze+cPHFfpVC0dC32PSlZFPubvU6tVkujEBAWiWCANFW9cCOy8yAjVWQSGyllRs8Ow5AnqapSxxt2 - B33Oea585VprPSsu6rq+vtQigMIwXF5bzRj+pXLZDfwoTQAjoqtYU+xCfml5+cCevd1ut9lslstl - hFDMUtu2OeeqRmOGh8MhVQxF1R8+dfb0w/MYYHp0fNjtqJgMnT6AGBmtx2nSc4bt4bA6Ulcw8X2/ - kMtnsn7DMFRD7/QGPOGmnuOcIxC6orA0xdvVHynljsEdQkh/DD+YKfhBP5ixR/vBpAghSkj2jii7 - 62O5sxHeuvlv7ZUfvUHejsz8ihDFcTx3GGiCGIaZGEaapmMT6vVXXHXl1Vf1vMHn//GrvfZQ0fKW - nfMjP0z8gm3ZudJmc218bOKyyw7e8Z02wrhYLApEJAbNUEPfhxxIKZFAGRIjEAYEZMtJHQHgjG+L - pIAfs1XYExFZNvDv8QgXiKgfE4T/oZAcZ1g0ZLuCnWkgUZZJAGzlPhjJ7aZLSMitPENuoSaPoHhZ - brIFoXEESGCZYUBb2efWb4rlVh6D5AW/rMRIIgAMiG1DQTvY0vbjx85itwyLd+AihKREWEgBEoQU - nKdcpEII4IAxtsxcjBHC0rDtay7Zv2ti5u8+/b+brVZtd35iciwKXNcdYsSsXI4qpgyiffsO3Pjk - a4/ff+6BhXU34Vsea4BM0ywUChGN/cRnwHRT4fyJ4cTsFH+zTXkmpc7ucowxwUHTCAKScUIxxqqm - sTgBhKiqUkXJer5+9JMfdTB95i/9Emm3VRn+yjNfepPweNniQPxNf7I0PmrWlg4sWUV7eXU5ZdHa - 2mBybBIw+spXvnLbZz+TRPHIaMN13e/fd/w7371Tt0zDMLq93p/86Z9ykL3hwM7nNppNTdPMnP23 - n73t0P4DlVJZV9VCtTy7dw8hRKWEUjq3dH58cmLv/n333HtvrpCXFNdqtc1OR1EU3TQy7YK8+PW9 - A5EKBDuYgxBCAMrAGM55HIYAEASBbplBEBCBACN30K+WiqqOltZXpnZNrG8sl/OFYkmzTU1NaQQR - kayzsaEa6p6JKdd32t1BcbSEJMqVTBCqwHLXrqn55QVVI+VSbtDfFAjGxqeWllc1TSuXy2ESE0J6 - vV5G3T318MOGYVQqFac/OLBn70MPPVQsFpud7vTE5KDb0zRNMI4BFEzc/mByZnphYYFgbBqG7/tK - odDv9ykh7tAxTZMgjAClcbJ7dte5c+cmJiZWV1dzudzBgwczsXrWvkrTtCxxyVbAnUwuG9InZB7+ - JOKi7KfMATqKojiOVUXRNW1qfOL48eOVSlXX9U6n43mebduD4fCFz7/5L5vNr33tayJlY2Nj+Urp - //n1l45OTiwsLR44fOjLX/5HVdW5gGKpkqRpkqZ2Lrf/4P71zY3Tp0/fcO11Xug4fu9973/377/u - 9578M9d99Z++rEjtwIEDIUta683p0Rl/GExPT7/+j14/3zv7/s/+1eRTxini3ma/e6b5x3/86rVT - g2uvfvrr/vBNHFzGPcdtO8NNyySGURiyQCW8USt1m9wdeqZuYowxIZkVMQBAJqLZNkrJEJo0TRlC - 2dKeJIlGlUIuX8jl19bWhBAiZQSQRhVNUeMgjIOwXCoV8vl+p1suV9aaG/3BYHbP7lwul3UHXVtZ - zZlWqVRCCI2ONMIwdByHEEJUZaPZHJtqIIQMwwZJRQoEaMmyQCBv6KzOLzKeNBojAoGq6isbLUKU - Qr46Up/xwzBJI1O1Ay8WjFmWZVlGEARB5GMgqmJwIYQEIhEIkARgh8231fIGsi7LjHOEMeNcVdXR - sbE4SRzHieJY1bQgDMuVim4Yvu97npekKSYkZYxSirIJnQ3a4y9zxnFsmnYURbZSHB0dmT97emNh - Y6RmPuMZz1hdOHvixIm///z39hzKBTGvVscSRuZXV0cnRvW8sbG6suI2jxw+4A5bc3NzExMTupG7 - /Y77ghhqjdEkYaBiiAXnPBWcCymxlFIC3iZw/Mewbfk3D7nd5BG2hvBHHTMyDSAhJZUAApEfShBk - lsoIJCDTxSMpESApHl1vgsy3CR6pAAJIQiQQiQXCF1brtvMt8ciClPF1ALDEdCu14QBMIrnzKUhi - kEgCBgQMC4CtfxIXb7awTQ+QWALGmRyVAgLP8yDc9L1BoaT8zM9f98D3v3fPd+62rLHdxcaZjY1S - vcrSVFXVnF3ygkBIJiJ/YWHuzm+tUlXfu/cIs0pf/Mr/bq9v0hlV0zTOecxjRSUIlDAMkfqEOSDv - qBEzEkkmqdVUI4kZE0wKRAhlTGSCxTCIMYCiUkKIZeUchwMiH/rQhzppMFavQW+Ak1g1yCAOcMn2 - wlQmUkOKJfXA8+xSLuWJhHRyctzzPNXQqapAhHft2b24sowIRpTolhnHcZIkVFH6/b5mGtVqVTeN - zagFGCmq+sADDxw7dkzBBIQkCOEMr0BI13VKaWbju7i8dPOLX4SAUEoNw8hu2mmaSikJeuxxQxck - 6FICQlsgMQFEEFYIVamCMSCEC4WCG7gpZ6plxH6aQjoyUn73n/zZqbOnBm6PaELIaOh01JzaCxyi - qRiheqmOA5zXC1ih7//gh/QRNY6Y68eN0bHBwFmfOz27e9/evZOmqqyvrh7afWCjtSElmpyZTtN0 - cXFR0zTTNPOWnaapYZhUote85jXlYjEOo8wmYzgcTkxM9Pt9Pwzq9TrGuNlsXn311S960YuW58+P - jzT2799/ww03IITuu+++O+64w7ZzhmEMBgMppe/7tVrt1KlTz3rWszL70yRJbr75ZsMw5ufnv/GN - bzSbzSRJsvQlm+1iy+buRzVL/2kg8j9GEpPVCBEhgvNcLhdFEef8D//wDzWqZA0vkiQ5ePAgl2Lo - OgqhoYgkRrlioZDL9/v980uLju9VkmRjs3nZVVeUKtVavZ6xLwEgG03P86ampn71V1989913P/cX - f8ENBtMz44atpiK+/MrLkEpbnku4uvfap3rd3nRjovi0GxnhkZpMX7OnsacaDh0zkV//yD987ouf - eftvv3tjw9k1OyOBVyqV8YnRRqMxNTmZKlDiccHPizieGG00RVMASZIEKN0Rj23l7I/qXwpCCLlN - xlYJpZhwzh3HsXWDc04BiSSlgLCQRELOMCXjiYgwxuvr67tmZ52q1+l2B92eaZkZTQ9LqFWrc6fO - IIQajYah6VEUjY6OZrJnqkKcpBpVi1Yh8Znf8SiX5ZyFbBMbJGARmFizrdRDCihJyNxeKwxi1VAK - 5VwswkK+RClttltCMKpRKVHMYoqplMCYIESRSEgpdjDSnemY+cGo6oV+MCs7fjBJkvnBtFzXzXZs - mVwr28ntgFUA8HincQbt5OwydmBjY6NWq43sq3Sbq9/4xjfWFzsGgslJfMkll3zne/e2vVahNDoz - MxPEgeuGtVqN1oz19XWNcsPUFhdXEO42Gg1ErZQjwThIgUuQMsE5FwJn+/ofZVsi8b/75oz/diF/ - nKPcSmKkQILIHY8chCWWW6Oddc0UGDMhKGyznvGjakD4kY9EAIDFNjiEJCYCAWCBAG0VhwAkIBBb - haHMpwdt9RJHEpCgJAPeMg0iRpBlZhJtPYO2zjzLctFFyotSym2eBUaIEEAICMYYNKCC5uycbWmI - +nNzc6VSaXJ0cmPN7/R6iqKkaYoAFEWL4zgMQ0VFjUajVs+lweDofd5K75g9PjU+NVEoFTf4+tDp - O+5AGAgTAAK6rsfiiWksvMPWBADG2JZXr8SGYUmJPM9jTGDMMpyGc64oGuc8jBKQ0vE9hAhRqIij - StFePH+uQo3RStUdDrFUlUizpJpCijniSBRKJUKR63mGYaWcMcEJVTqDvu95c1FULBYz+/LZ2dmh - 4yRJkqapQIAxjpI45awxNtrtdnu9XgZKeZ5nmgZPmeQ8ThPBWMLSffv2bWw2O0uLe/btzefzqeCb - m5tmPieEiKM4gxNYkl5sKC7cd2U8X4xACCmxFIzzlAngknNN0Vma5mwrYSnjCaX2wf17rrjs8Epz - 7uh9941PVNc2F6khH3joVAeHdjlf0szcql2XtYpeZ6ksaJbT891hWBlvnFo4t2tm5sg1lzqdzp+8 - 471/8/G/mT9z5slPfkqxXCaW/pxf+sXnPOc55XI5gz38wFcopZhoipKG0cdu+8irX/mqz33uc63N - Tdd1l1dWDMNIOPuDP/iDkydPrqys6FTdWFnbu3+f7/vXPenqXqt95513ep4X+0GpUFhYWNA0bXJy - 0rZtKeULXvCCd7z5HU9/1tOjKPr5n//5SqXywQ9+cGFhAWOcGSjvWAVmN3lVVQkmGTj3hMzDn0TQ - ixKgpAQAz/MIITfeeONzn/vcIwcPfeELX/jwBz8cBeHGxgalVCIoVEqdYd8uFtwwWFharFarV193 - bS6fFwjSND158iQTvNPvn52ftyzLcYazs7ObmxsHDh+45UO3HDt69y233PKpv733Wc991tm5M0O3 - v7q+0l5vrbe6pfHRgTNcPbeOGfOH7h13f/tn/+vTIi+t7p0876wgJveXJ9bbwRUvfsqHP/LJZ974 - nxBXdSj0B+d6Pd9zo/MLSwGP9h05YNWt02fOlqbytmn1B46qKqngHKREgDD6Aa11ltkQQjK5hWSc - UkowabfbuqpWy5U4jgednqnqQd8BygjGuVx+OBw6vr9n395+v3/fffcdPHI461Vk6Ua7uVkoFNZW - VzFCMzMzkguMcSglY2xlZSVXLMReBDrVVDMJeCKYhU2eRBW7IETih34Yxy6Jc5XSptMxVQM4EjEv - mcXZkWIQBz2nZ+ZNSkicphKAg5QgQQJgLBECLi/8XpBtrzDOnGAu4gdDsj6xP+gHwy7wg4HH9IN5 - HJHJoHzfrau5ycnJpZWzzeU1W8M33PTkK47wsVI1TMKVzQ0A0HU9CALBgINEmS84iznnVsEaHR2l - FBBWH3hwaX1lZaQxYRhG4LnCB4wpIJKtRDJbAhFwkBnqJrdqGY/zpP8PDvE487yMAYMRAhAAZDsn - yJgoVACD7YoSYLZVEHp0rWo7d9lBzkTWwUcAEAlEbv0LRxcgLlvly6x0BGLbbFAilDFathAlBERk - /wXt6Kqyplc7K9sPnMxOYIyzUjtIkEJyLnjCWcwgAUyBEJIwgaW0LGu2UY79+MR955FaGh0dXdnc - GK1X2u22ruF8Pi9k0myux42pg4f2/8xTZ06vtubb7ubCEhNpoHqKopRKpVQVIfODJALGn0AkJluH - Mu5qpqd1HEfTjFyuQIjieV6m8qOUCMG54FIIQqlGFUXVQj+IUwYAlEO9WE69yAkShMycabl+oGp6 - Ll8OA4+FsVSAM5AcgVScYZgKVirlipVyPsj3+/2UsdTzEMZBGGYlLbuQz+w3oyR2XRcQxhhbloUx - jqLI932KcFbjiILA0FQ7l2u2NhVNLSolx3Ecx1ENPfNWAdjq3eZ5nq4+tkDpUT/uBUhyhvQgKYUQ - XHKqKFEQEgHcC3VdS4GgNEVJpEC6OP/wy1/+q0O3Sa1LPvfP/6Byoliar4aVgrV7ZOq/PvnX6IB+ - 6tZ/CJ0gGETT4zNzq8uXX3LF2TMP2Jb6p295y9lTD/A0pBR/9OO3arb9ob/+4Dv/x7t002h3OznL - VhQFS0jiuN/u8JRdduiI3x+W8wWTqp/6+CefduONu6dnMm7Tpz9x66dvu+2d73qnRujk6JjXHy4v - L1fLldAPxhqjd9111+GDh/74HW+/596j77/lloceeqharSKElpeXP/PFz2RmGQiher3+xje+cX19 - /T3vec/a2hoA7N23L5skWS4rhJAXdHX96YwtJOaHzYOzOZS38kKIl7/85a999e8KIf7Lf/5Vy7KC - IMAYl0olJkSxXP7s5z83d/Ys1pTKSH3oOHOL57/9nTsqterDp0/1nGG1PpImPCtO2ba1sLBgGNp3 - v/vd17/h91zXXV9ZXTh/fm1t7X++58+Bi7Nz5wzFKlerQkqESKFg5xU1CIKzp89EfrS20VpJ2wNg - 0mN9zq848jOmOqKVR8ZH95w5u+yLVDXyHJGh76uqkSQQeHFtpDpaa4S+z+IkSSPgiaJoPwC9bOcx - KHs+awOZGaxJKSXChqZVy5XZ6Zl6vb5ndteg30/CCCEkEDCWDpzh5OTk+aWl6264/q1vfWun09Ut - s1wqZbp/xti+ffs2VtdcLjMj4+FwODMz0+52RMps2w5YUCiUYiScriuA3fjkAENo6wAAIABJREFU - p774l5+/2Vut763P9RahqgUs0RWTuUwNcFWt5ESu3x0KIq2C+cFPfGg4HHY6HdVUDTvX6/UIITkz - J5IMKVHSNKXqj/KDyWDYbT8YlqZpPp+/wA/GyBrC/ZAfDP0RAOOPDowxpRgk8X1/6PRt2y7oVuIP - W63mwyfORQPQTdh7uGbbtq6UXJ9tDoflekUlpN/rAFMOHDiwOP/gHXfcgXC6Z8/hMAwzoEgwphpa - osaUUkVRCZaAqcQMMIcsm5EA2xv3/xs/odgq8UgMEiOJicBEZHeYLNPggEHKR1XXkcxYVRe8CWCJ - 8LYQaVsEtEVREURilH0QAom3UlIhASOR8W+ylUkgEBiwBIlBiu0igtw6lW32VoYTiQsUTxel/uwg - MQghCRgDyv6AAVax67pR6JZrai5nnThxv0zBME01Vxz6vqrqQRAoimLni1EUMZYylqyurp550J9f - /n5jxtTrU3ESxmmEq5hSGoahH4ZIBVVVERGJvCii8Lhixw0rEydmLdIGg4GmxY2Gadt2hs0IIVjK - pQAja0LEmBcGaZoCRggRlkRR6O7ZtauLeywVSCUBj7zYRzLWIBacI8n8kOuqplBN0yzDQq1ua2Vt - VVc1Qsh4YzQTQk9MTPR6vezEsj4DjDFD01VVDYPQsiyQMggCldBqqcySNIlihRAMkCUrGRg8MjKy - ublpWVbM0kKh0HOGqqoigjO3Op7+WHYLOwtfmqYUE4yxYRhEtUYajXavXa7MSsYFkhJITjMO7d0b - +V61UizmbN2s3n3yjhjC0njxkp+9zGGeHosyLSck5ggQgZtuuunYsYeJZo5NzbadzWuuuhJD+vm/ - v+0ZT7+pWCxM75q1ivXOYHj06NGXvOQld9111+WXX85TxpMUpKxXqhjw2sqqqijuYFgulsIgMIg2 - PjbWbDYlQL/fn5mZIYAWzs1dednlAKCp6tTUFIuT2anpb3/jm9VqNZ/Pf+tb3/rUp//WNM0rr7zy - 4YcfLpVK/X4/n88LIaIouv/++8+cPc0Y+7Vf+7VutyulrNVqO24xtm2naZqmqUSQ+eA9IfPwJxEX - 5cQkSWKaZuZVPz4+/rznPS8Kwhuuu15X9XvuPUoIWVlbswr5UqV82WWXXXLZpVddffXE2BhL0sw5 - UVXVialJw7Jc37vm2ifdddddYRiGcWhYZrGY/+rXv/bNb3+r3+/mbHtieuaP/vgdV155+b1Hj06N - Ty3Pr2JVydermqaFUcSCoFqtv+2tf3rqxHzk8IMHL93we6MTtY2TKy9/8WuTJV8w+a07jz3neTer - OH/0xHGkKVJRUiEJVk8/eGZpcbVYLqZpighYluEEPpUU4611nWXGdxlYjTHnHEkAIUFIiokQQgph - mBoA2LZ96NChf/z8F2LHu+Pbt2eGCvl8vt1tX3P9dcPh8Pj993Mp9u/fH548sdbcmJqa4pwDQq7r - vuXNb7714584e/pMuVz+b694hZ3Pj4yMvP+WDzzw0INRGgdh5EetvF6empxBAa/Vap/69K2bzoox - oTV5J8jDyYfut8yKEqDLRvddvevqeCNyu8FTfu5pv3jz8z/4sVtUolCqci6FRJgoAMBSgWSWmXGE - pJRiSymCEZNCyEwyR3XDIJRiQoae2xsOxsbGBoMBVaiVzwkpF1eWVVWVGAkEiBIms5o5FlImnBFC - MkkXwMUZBBcPIYSmKgghz/NUATnLSoL+xsaGYcD+qcrI2MiBSy/97Of/sd1cKRTHyuVyEAQ+8w3D - 0GnuwQcf3DVdv+6GK77y1S/ce+8x0xqZGi/xlHHOU5ECAYwoQlmlIFvRsEQ75KdHnwaCf935/x8W - j2OEsMxot0AEJYJsH7FAAkuBJWQNrhDako6grVc+ss8TSG4poREA2m4EDpJIQICIlBg4lkBAcNjO - YyQQARgB3lLZbxXEMYDAmSoKsMQg8I7GXmaq6Qv5Mdvlqh/x7aTMlHaZvQqlVKFEAQ1M3cjrRShY - SAnuv//+iUru+huuuf/40n0PLyI7Pzo9vb62mLftKIoGg4FpkcuvuOyq2dLCmYcqtXRhc9hqN6vV - aqlcXktXhWCUUkMxIh4mSUIUeIR6+sTFjvkHxjgMQ89zVFXVdZVQ6vs+51wiGUVR5hfFOccKNQ09 - jKNn3HTT+TNnEz+sF4tYJTHmMeZ5tcAxiJgbRNMY4kFqana7O2j3+gKjarXKBCMIx3G8srJSKpUo - pYPBQFUUz/er1Wpro5nP5xVdc13XNE2GsEZo4PljtZFSoRCGIedc13WWpAghAEkpnZycjIJQV7XR - 0dGd0nbmkhenSbYF/Rcl1gCPuiFkXzZhLAiCxI0t2z558uSBg/t67Y5tWykHFkRFqei6jgRgTH0v - 0u3C0A2NYi7xk1TwyA1mx/SAMRmLYRLed/exXjvoDzykUD2vBYZsba7mDHVqfCJh+PS5uXw1YJy/ - /wMfmN09SxBemJunCAdBoCrKyuISCOh3e5ubm4yxbreboWiLi4vlcllImTWwo0APHjzoR2HGRx4M - Br1eb6250RsOOp3OU5/6VEVROp0OURTXdWdmZprN5urqatY2vFwuY4xXV1c7nc4111xz6NCh06dP - B0GQCVyyMclQ80zscrG59HiNJH4YLvn/HxdNYhRFySoLGKHX/M6r11fXGvWRr3/1a1EUd/u9F7zg - BQCwuLj4oY9+JGuF2NtsM8ZYFGdJfRiGumkgQjAlXujruuH7nqqpiqK0291yueh5gWnaLBXnF5Ym - x8fn5hZtq7i8uj460eAITp9fmN2zexgMDc18xzv/B0ICCtCBYViWMeGEEcWBr0dfrJFSb3OYr5Zb - sfPmd/+RkOnuqRktp2x2W43xiXF71nGcfsdVVJLyGDSwLIslfAdWzXjXGG1hMDu2b2K7MXXWe0zT - tDROfMd985vfbOnG9+78bqlYHA6HSZI0RkZe97rX3XLLLR/72Mfe9773aZrmeV6hUAiCwPM8y7Zt - 27708JGFhYXJ8Yn5+fmPfOQjMzMzL33Zy86dO9fpdBoT45wgRTOCYbTRaoGf1scb88sn//LD732w - 89C3Hr79rvP33fCLT069pCisK+r7nzR5YFyfpqmaq5Y9vz8cdDVN0zR9EHjcC3OFchyGgR9ahokQ - JEms6zrfriXt+ENkflC6/sN+MOPlcnk4HLZarawdWhRFhJAsB88KbUmSZHA07HgcP85Jmaapqupx - HFt6bmJi4vTpk+v9dr2Kf+4Zv5B4bmd1dX5+fqPb5UwWi0WEcRRFmqFRHaVJ5HlevV5vNpvf//73 - p6am8oXKiePnFxYWRscnQUgCiLMMDgbJQSIpBQL0iFPTthbmQv3w/41/IR6Xwy9HGAsMgIkghKuU - KURQIpFEUgiBCADgFBhCWCKREW+RRBemDjiTxm4zcBEAkkAEEIGIwBoHI82KSJAZAXEBSAKRoHKg - AogAAKAEEgyEbJ0VybIcscWn4QgIFqnEAIJjwCBAQqb3zgg2AskfTt0yQZ4UEgQILgTnkkkpBDDY - 3GyhstbtNGOevuZVN/fXF7/+9a+zJLd///7zne76+nqj0dhcb+aLpmEYnIfHjx/PsymFAIB40pOu - jPXSV7717aXFVTy2tZWKRUw0QqjOZSIeqxX8vyKydSgDVtM0zZxhc3lr0Hd834/juFAoGJaZ1WIA - gCokSRK8neiYpsl5+hfvfO/cmQf//u8+penYFb6nhbEFgcLCJFZiZEutyG3K6EhlbHlp/fbv3d3t - 96MoHA6Ho6OjB/bum56evvfee1eWl3O53Lve9a7Xv/71URiapvmRD384TpK3ve1ta2truqqFrqcS - +lfv/Ytvf/Nb2X44Q2UURcEEcc4VTbv26msYY0zwpaUlI2cNh0Ok0CRJiEINw0iSBP0YkoOsFpkV - PtM0VRQFIaTqmoKU+mhDN43RsbGh4yRCYFVVhUE0HRHN89nHP/npy687vLLc4hHtzLeW+oNcrSjS - tAf+eXettzjAms4IBCzJl0tJkhhU7bTWLSv3tKc99conXYewomj5lfXuL9/8K6dOn5gYG+ecB0Fg - qJqmaa7jmKZJMN61Z7cXhUePf//A4UOaaXz7e98pFIt+FGKMN5daR44cufPYXd87es/s3j2McyC4 - MT528tRDL3vZy17wwl9+61vf2uy0RifHDx05cs0113iehzHudrvPfOYzr7rqqr/8y7981ateJYR4 - 05vedO21137gAx84fvx4o9GQUkZRlGFdmXWvruuAUZbRPiHz8CcRF01iMuFuGqXZdfWUpzyFYlLM - 5791+x2AUcaVUVWVS7l79+52uz0yMrKyspKRKrIiRRhFTIhiuZgkUQbTZS0Jc7mc43i6ZpiG6blu - uVxrt3rFYjFOeKlUSZIoSIPp3eN9r4coTjFQomlUcXrDRm2s3elpMtY1LY/1pO+lhsZQzDXGUSQo - aCoexM2AD42CEkS+ruX9IC2Xy5zFmKJQRCJNCVHgApqblFJIiRDKmjZHQZilOLquCyGGw6FmWRjj - TG9GCJmenO71eqqqGoZBFSWXt3fP7A6CwDTNXC4Xp8muXbuiNBFCVGs1IcS111577ty53/iN31Ax - ve2221RFefWrX10olSqVSqFUVE1DD0yq6oEe10ojOBW9eHjp9VckOq/tqU2RqV7VLdYKGqMP337c - sNNSVdnbaDx4/OzcibMvOPAiU1ejIJBM5q18IqTrhrpCrXyORwlGyDR1xhjnkqqK3O6UtEPa/QE/ - mJmZGcMwMiavZVkZopilPmS7QUFG79rJ07f8fx/nhDMMw3E8y8xBCOvr61NTU7wWQhr+0z/9U+wy - twOjY0DNJIqiemUijLHgzHE8LINiIUeo7rrDUrHo+/7ySnN0LFJVdXx8XDdNjHGapKCBrmhREIcG - VytaLEOFECwo+iF+/X8IJ7p/m0A7SukLjyAkIRiklJxjQlmaapoOPA3DpJQvESBpkBT0gjv0LdVU - NOoGrp43e37XLtlhGiGFJmmKtmi24hHfuW39CEKYMUYxkakoabnYD3nAuuuth87OU4D67OTYrmmC - pW5bLE5QypfPznvdfpokuq4fufLyeqXU9oaSABfR6uLSqZNnZsdnTc0cqY9WG3VBIcBRLxhiAyOV - +H6Yt/KII8Y4QoAvMj0yijzC1LYsZ8Ud12ZYzIBBpVg0DGP37t1A/c9+9rM1W927d+99x8+77tC2 - 7b7rDQYDjDFCJIoS3cD1ep2LdP5cc30DqoMTLjJnZ2c3263QDgBEHIfYJJwzITl+YjpYA2SmdlJm - N+ckSRRF0TRtOBwqKonjkFKr2VwvVyuWbRim1m63XXeo66ZhGGEYaprquk6hkI9FuHxu4anXXnPd - DZecbZ36/Imv3DF/tKuExXJ5xC5Nj+x/6u7riqT88Y9+StVt3+8Uijk/jFRC65XqJz/6ibe9421L - i4uNWv3d7373rl27KsXS0tLSa3//9z724Y84vvefX/zi97znPWkYUUwQEysLiwf37ms2myqhn//S - Z/r9vmmanucePnz40isun5ycPHny5Oj4GAD4vq8oSiK4YRh+GGQ6U8kfGzz4AUrMTh6DKQGMAKMo - iTVT22y3rnjSVT3PQbY+DHwU8rJZEIqaAL386ievNBdTDsXc1POfeajn93K1Qsd3BJGI4f6GWy42 - 9j77iu8evT+mAlFBMPUCn2BVVYzT5867EUvCJAjiuTPnNYT0nPbLz3t+o9GQjAsq4ji2czmFUtfx - VDXyw+BVr/3dYX/wtJue3nEG/+13XhnHcS6X2/J0CYOXvOzXR0YbnWF/157dzWbzwdOnfvO3f8t1 - 3WKxuLK5cdfReybHJ5aWlqSU+Xz+7NmzR48eRQjlcjnHcRhjb3nLWzLDs3K5nLUKz6QemeRFVVXO - OQL805zBQJbEPOb9PFvFs6k/MTbOknRtY/kVr3jF2bn59eZGlrcGQTA1O3Pn7XeYpvn0G392fXUV - Y9zr9TDGxWKRYjI+Ptbsbo6Pj/d6Pd93y+WqrusYEc6El3pRFAVeWCqVpqdnF+YXJycn+72W7/Um - ZsfOrizWJyZYLFzHrek1FWmi53TmO4evPHh67lQhb/ab3St2Her3hpVadchCPwkNW/dib2y0GrMY - MEiCgyTWLHvoeJQAEI4VjMiWPciOTkdmAjIps5bVGajo+77jOADAGMt+VERwwlmaplxyy7a3GhIx - xvgW5aLf7wNG3X5vs9NOts2bM7N8IPjGG28MPP9LX/rS+fn5//c3f/PGp//svgP7v/2dO/yVwMib - g+FaqdI4de4UlnDwkl12rTDk/jB2BeGqTgBxEFzTJOP++sb5yyYuswp6nZYpphhv0XXjVCBMcMbZ - FVxwjpAAiTjnmmEyAf8KP5hs05ZNhh/tPP244kJn62q1+vDRhy45vGvYcaYnx1PffdqvXG/n881e - 755jD5yfW7Rydc2066Wa4zR931cx6LquGco11zzp/OK5oRN0OmujE3s9LyAIm4YZoCAM4lq55kFb - SEEAZeRBhAChn+rr8Kc2fsAnZucos8cI4cx4KNNhCiiYhTRkiCGv5xcrla988Ste39UM9cZn3Hho - 4lBn0O21B3pO55xRRQGMuEwFAgT8AgPfDIvBmCOUiEZuJGh5Wkj3NPb3ovLP/9x1px56+MD+I/3Q - D0RKhJKEkY5pvnjQrKo8SccmJ9Y3m1QoJZ7nWJRrY5Kwn3veTSvn18YbE6quI408tHSK2VLRqUIV - LoWhaiA4TyRIAPrY9RshBAGKECYIJ2GUt/I61kzd8qSHMY7jOAxixUiqlcq+6dH9u/aGoX7vyXNK - saooiqYRyUTmiMq4G0XR3r2XP+W6J61vDL9/5vygOVxcPI8psRoWABSLxZjyIPWF/Ik0AhNCZOaw - mT4RYwxUZOtWGIaKoqiqPjIyIhgXQkgOmfl4xqFRsWrqukmEgvjm5vn2cLm2K1+fmQKMjA4U6nql - attCP3hor+8zIViv06rURqcnZ7qdzvs+8L5KsTQzOVUul9/+9re/4Q1vaDabMzMzecs+fvz4yMjI - kSNHKMIjo2OB6+VzuTiKinaOAHrOs569uHD+zjvvFEJQQrqdzk1Pu7FWr995+x2NRoMQUq1XVVXt - tDbz+fzWuvsvCQ4utBSS289wzgEjrNAkSTY2NzFFkmInCqxiHjEcePHXvnX75ddc3x90yyMlN/FU - g7DYVSmJAl9QbI9UFF3rb3Q5l4ZdTDkwLGXqm1hDEgiiLJUbzd5Gq8c514iSxOGJ+49vdjeNnLW0 - tFSv14MgyGCnDP9wff+vb/1kt922bdt3PQCoVqtxHK+urpbL5UKhUK/XTzxwslwuT8xMt7vdVArH - c1PBS5VylCYpZwJkb9DvdrvtdjuO48OHDzPGkiTpdDqKolSrVc93s2rdDw/RTzmZ98K4qDops96v - lWtpkhw7dmx2esY2Tdd1z5w5ky8WRkdHKcadTmdmZubySy+LoujY0aOB5xulcq1SBQDACGO8sbGR - 8MR3h4KxarnMGReM971erVbzvVBRlNHq2MrKCk/4+Phkt90rloqWobquX6lUXHeoaxZWCJPp+kZ3 - 98QsVfD8A+fq5ZpMZNUcaa04iRR+lKCSQqghGRp2HTRJDdXQLSuKZBInlXK90+noGuGcYUI4S+U2 - Ow+hLVs/iUBKmWFISZpQVbFydpYcmJwHUdTv9znn09PTc4vnD+0/LAhaWV6anJwEgomqxCLRdf3c - uXO6rodhGEVRnCQIoShNuO/dcccdD5w8ecmhwyDk2sb61dde22q1Lr300k99+m+zvozu0Mnn8p4z - YFIomHAFpVSstTc9tZ8kgnASD3wsSMkuFgqFKAnPLs512kOqGYEIgjhAWKoqTaJ0qyeIkNn1KwAE - SAESACTjFGHJuKqq9dExFieO46RRbKhaHIS1csXSDd/3fc/jSapgIlJGKaUoMziSGYfgojP6cU51 - xhilKkaYMeb7/uRs/YH7F/I2jF4z2t0Qf/3XX2YC9h2pDgbR5OR0ytVQiHa7LURo6Hoa8pF6/fzC - g67fiiPvyCVXSLk6NzdXqdTCKLRzOUggCmIQCIPkMUcqAgEEY4VgzrZ22Nk96z9KW4B/i3hspoiU - 2fMEIZypkAQCgVLGdGKImDsDb+rg9IljJ03T7Pe7b3jdG1ecpWqpquZUL/aCNJRMSso5YQKJrJTz - CMNXYsSFjjQVKUknvfXPbz31tTmMQU/gq39/23Mv+6UHz55++a//dpjA+KS1tuIjDlcennzn29/R - qI88fPzUK1/x+ykCUCEWAATyefjkBz95065nnn749It/4yVRChNXVt7xkXd2odsatMFEpmUEQcAY - z+UK8UWkuRhTgkhWnA28MKcWnJ7DUgES0jTVNOQFQTWvPelJV93+ta+ePH7SNsdyuRyjFDQNY5mm - 3DTtNE11Q4fIffih018+1wojqM1Ur7jisruOn3Q8t6jlB4NBu91mujALhqmafuhcHCv/V/2UCGVu - k5zzLe9KSoUQEjjCMgp9VSGCbYlsMcaqqvm+7w2dmZmZ0UYDAFqd/sxoydLNfre3vrGK8rmRWoED - shGuVquGYUhPnjs7PzI+PTExpRum50be0KmWypEfYEC+65UKRdu0RkcaI7V6HMfXX399Vtru9/uM - sWIuj4WUjI83Rhfm5gPfL+fLQohet0spzedy7XYbAMZqo6ZhUEo9zxsGXhiGo6OjSZJwKQghYRhq - ykX7NTym2d3O8wghxnicxIAlUmk+n0eIpIxjUOx8TqXant31zqBnmGVNJ2sb/V3jIyjFjfrYYquz - 4qxRnRw8fOD0uQVKVZbwgmYDZ6aqgVCdwOdICiKr9frq8mKjXGVJMj09FaXJluWgEKZpxixNBccK - xRJW19fSOAnj2NA0KeW5+blyuVyt1y655JIoScIwPHDo0KlTp1RdC+MoVywoihKEoR+FumFsmXul - KUvSUqk0Ozt7//331+v14XC4b9++jA6hKErm7ZtRCx5TrvGjNRw/DXX5i14ihmFgjKUQCiYHDhxQ - qZIzzI997GNT4xMD15mbm6OUmrq+NL8wMTEhhMACpiYmh71+mqbVatULg4y9P94Y7Q76IORwOAyD - OJ/PV0fHojTBBJIkWVo+Xy5VkyQJfDeO42EvxUhIhRFbMxQl8MPJxmR7brNQthlO1jeaak6VOnH6 - TqPUGG4MLCsXQ6hpRsRk4Dt7Zg6KCLvDkIIax36UiFjERMWIIowxJQhApCnPNGMIPcq0NaMwZx2U - fN/PeM2KomBAVi5XrlbyxcKZM2f+4cuff+GLXzRSra2urvq+3xgfvfV//a+JqSkv8CmlxWIxXygM - XCeIowzHCuLIXVkxDGN6YnLPnj2/8OxnGYax3tx48NTDgFA+nx86fQzSc4alSpWqqhM40ZrjH/XX - 3SVpshB7QiQ5akhHDqS/stY6fXyzXpy47IqrVGymnGWbYIoRl0xBmR0GIgoFEEhKQiAKkyfID+YJ - CazrZhQmqoo5k77vq1wcODypE3nPPfcknjQMKFX0AwcOPXR6aXN9wIUuVUW39TD0KaW5cv7MmTPj - Y7Urrjpw9/fuGPT6jUaj0w1N0zR0w3NdMGGkUl1bWi5e0khQV1WUVCQZHnMhQxJvqXh/Cq6/fw/x - SG+jC49Zy61HxMwAW3sDYllWp9uWUqqgEgUPBgPAiAJJGUtJur6yTg3VtI04jqlCY4glElJuozuw - 5d+jU014HHOsM12PdRVBsgGz09N/8Iq3rS4uOYFPBVQ1LViIyozkLDtaTt/48jdpmgYEN2hjeb1Z - rpdiEQ2DcLIy/ce/9c5Be2jqtmhCYcRY/X73/X/2gRf99xc1aqMbwWav1zMsM5+3giDE5FGzfWeK - cM4RAiQRRdiyLANrnVbXMoyhBqqqZnBFHDpnz57dv39m78ye79z+oO/7Zq7oheHAd6qlqpUrNZvN - wcAdKWgzM9OWih8+3Wy3OyK3yTnP5awoikzTHBsb81E4DAYijbetjp6IH/GCpSjTiqqqmqbpTg/X - DByNozQKE865lMjQ9JgmImUAMHfu3P33nWDAx6en8pa+ut5aWlyvlca0cinxKOMiGvDEguEgSjt8 - s9VPhbG4tPr/sffmYZZdZb3w+6619njmU6equqYek+50J52BJEACCYaAinoDQQ2G4QqiF7z34cPP - ebreB32+e6+giCIERK56NSBKCAEETJQhgQwEMnRI0kP1UF1z1ZnPHtf0fn/s6k4ztH5oEJ/nu+uP - 09Wnqp4+vfbae73r9/4GIOZ5gbW2SMe8YPcez/Mef/zxqampfr/PBO932h/4wAduueWW9fbm/fff - zxg7Mn+s5AeddjuVuet7XuBLUKVKmXFeKpeBYRF2fWLx5Ora2p59e+v1ulcOiw4AIoblEhGVy2WV - /3/11zk7v1vEQW0YY2UvNGglmXg4IotlryqEyEc5E7gZZ0vry7N75rqb3Uqp5jqh78nRIFGJ2rl9 - T6qjhVOL1phtM+PxMLaplrkiUK7wmrVmapVBu7CwMDM7TVlu0K5tbhQp1mtra47jFDneURSFYan4 - q1uv52lWqVSklMoYJoQFOHLs2NGjR1W/d8NNN9WbjcFg0GiNbWxsFCTILMu4EFssCEBElFJ+9atf - nZycLOJIT506VSjU4iQKgqDA4SqVij0Taf6sLLl/s/GNd2zhbUpACHEc+76fRInLxfz8/O6du6xU - jz76qOt4BWci8Pwbb3jxS1/6UqN0nucqzZaWlmanph3HGQ6HwFm1ViOG3BMf+chH2u32KIm3z00M - BoMsTeYPH/7hV7xi27apD9/+oWajFgTBxFjr6NGjBAYsbfQ2WpXG0ZPHKmHlyUce+cC73p8MRxpN - rOPabPP0ynLoV13tuiPho4e+M8gjDN3nPO/K+aee+psP3d70xuUob9SqscozNRABS7LEQQYaARkr - UDL6hmuFiJ7nFrWwEGJsYrzgwMbDUalcUUrd9+Uv33vvvcPhcO/evUd7OxbKAAAgAElEQVSOHLHW - zszMbGxsMLblNJNl2fT0dG84SJVEzgihVCkDQHHiWV5ZOX369NryyolTJ/M8R8Tx8fHllZU8z8fH - WhvtzUa9pmWe5+nRI/OAWj+RJXLglpmEbDQahML1kHdaw/7ywId6Pjr28c98/jfU/yDGR6NRmiVb - RETOzqhAGRFasoDMGPns+ME8Syu74FNnWTZWGd+1a9fDD32l43d8Dq+/9Uc2lk4fvGD/00ePLJ5e - GvSHjihVwnqsFWOscDIdpsNGo8E5jEajffv2AfEjh48GYavwYwQAsGA1ffJjd71q7xsVavQRLDFA - oxRn/Ju2g2eM5//POP84q3M+t1g5+7plA41IaIERQ+TERqNRtV6zOXzhkc//xm/9hpGqN+wvRKdG - 2YiXcHJ6nDj0hgPP95SW33SMKlIIAFiW5yEPmeTcOvt3Hrxu7vrhyRFEZuX04g++5OpCD2yMRYTQ - 8QPPl1m2trJqjAnLpW0Ne93zam5Y6vQ23QD27Npz4ukFWVH16tiBCy63FdsRveZ0sxzUT26ecJu+ - 8EWuc6kVMDwfyWvrFiAwhspBOe1lhw49kcSZSYA8OxwOhQPKpNVq9YLZ1rA7LLbSbr/vBGGr1Uqj - VMleJSznBgaDtdXV9anx8asrEwl6SyNZsH/aayNkMBj0tL/1RAImsmcpduBsR7hQBdZqtcLOSitb - qAWNzl3XNYYYY0pJV3j9/rDkh0iAhJWwvHfPXg7u8cWF3/3IXzUqQjnRKbl86gtrcYXnUk2IsS+Z - hxuyHGJ528QOIcrlynie51ESNccaBw8evOKKK4bD4Y/++I/dd999P/MzP5PJ/C1vecv73//+B77y - 0C233EIAn/zUp8Jqpb224aHvhsEgjj768Tunp6ePnzo5Pz+PnCVZGseR53l/f/fdg2g0iEYb7c0i - fVoIUavVClOTPM8LvfT5puJcx95znwmskKaeveIAqG3IhCGymQREn3FQ0pB97tVXLa4uuAwr1fJa - Z9XxRG5yp+JYUILhsNvZs+fC/mbbahs6ATgMLMRpYhA0WINQr9cB4OTSqZ07dw6TeKzVSpKk0Wg4 - vpfk2ag/cBwHLQ17fTI2sqNmvZ7GcafTmZ6eZkIUiv1rrrmGc86RtdvtarVa8oNKWAqCQJMNfJ8h - lsIwSZIkSQPPL5IdC/0XETWbzWKzm5ub29zcLJCwIjj926z5f/fjvIfsgp9cqVSMVLfddttX7n9g - 0Ou/4Q1veOOb3qSybGpqanFxcXJy8t4vfPHq51x5Yn5+/shRq82jX/lqkiS7d+9ujDWTVnLxZZcO - o+HRo0c9z+OIg24vUxIAfuu3f/uSgwd7vd7BSy7+vd/7vb++/UOPP/746/7jq3/x53+hvbG5e+8F - h55++uLLLs3jpBXU2htrH7/rb//Drf/hkYVHnnfZdZ+45y6f+elqNJaVXvLcG08fWnnutS/84w9+ - 4PWvfnXFOJ/lH3dBcAKwClieKem6rgVtiJuccc5d17FExfnj3P9vwcoucIhREhekn+JsVK1Wa7Xa - 8uoKCJ7IfNvsTJZl3eHAMgzLpWg0qlarw+FwMBh4vpcqWbCFlNYF/8P1vShNJsZaYRjmSRpWyhsb - G8L36mPNLIlUjo1KOdOaIctztb60CkiZTKVMKtVQOGBTnjtslGXZ+mZvoz9ec6zh5XKogVQuGecM - tAWw1grhAIAhBODGWKOJC/Q8/3viB3O+QUSIvFyqRavRsWPH9l26U0bJ+tLG4uLiPX936B57qFKH - PRdtz/O8ErYK7mccx5xrR7A0jWdnpmXeOXTosWolbNRbWut+v8+Y0EqHQRirZH1ldfGTqy/56Zfr - muIGOSJHAfBMj+A7tuf7//sgKFzjgM59BcbIGth6BwAIGAKBkpKD0KRnd8x0V7oTMxNLC6e37ZyQ - JIOar7laa697JY85mOnUInBbyIxYcV3O1kzAmSUAxj3hr69sDrr9PfUL6tX6zh0XjkYjmWVG6Ua1 - 4XARj6L11dWxRvO6F97oBf7i8qob+LlWw2h0yf7Lf/O3fllr9fj9h+746zvzVE9OT8duUi01hhBZ - QOSOBZLWEDAChue3QeScMwMWUGtthElHyWOPPdbZ2ATaUqIS2cnJyVzJhx9+eNAZgKlPTu7sLK36 - vl8uB93NbikMsixjLpbL5TRNH3poYaMNQcvhzSki4tzZc8HsDTfckFfy1dGm5QYFy9KY+89mP6mo - YwrdchEDiaCllABgLRCh1ioIAt8PrTaNat0onUvlcrfT7i0vrnzu3s/xsvPK171qslZOdaxLZmCz - WBh0XEzIyXnZBihhOEgPPfHkYBhJKcdadWPMPffc8+lPf1op5ft+HMe//l9/Ey21e92ZmZkojt/9 - x3/caI11B30/90u1an84ajWbX37ogcuues709PRoMLzmuhc+/5prpJSu5/i+zxjDjY3LrnxOp9cT - rrO8vBxFUW2smSSJ1MrzPCI6XwDkNwGwWyzyor+sDQAIxpUxWZJaMMAZI6hWqlph1IvnattufNEN - 0mpw6OqrDoxNNFaWTmpuc2HL9ZpKM5Mbl3GH8R07dn3gg3/W7faYx5QwnLmO76VSWmutNq1Ws9/v - PefyKxYXF8vl8ubmJiL6vl8QDAqwBAAHvf61116bJUm33QnDcLwxVqqU1zc3ms0mR6xUKmBtlCSB - 53c6HS2VtXbp9OLcju1SZXmSep5XDsNqUCpQdq217/vz8/Nzc3Oj0ajVah09elQbVcxncQ5stVpn - H/jncmKeRU7kd2Oc9w4pqjApJRh76NCh//bf/luz3rj00ksBIMnSRqNRLpd3bt+RJMnrXvPaj3/s - Y3d+5G9rtZqUstls/tAP/dCOXTsXV5bnpmcee6KDhIEXGLJpmkxPbltcWaxWy1+674trays/9spX - apm89nW3VCqVN/zk6/2A7dg5Q6Bqtdrq8lrcG07UGvVyZWKy+SO3/mB42P/0U58dTKwr5HsumFr+ - 0tPfd9ObN+cnX3rDjXd98sN1RllvPe1tCp0zUGk6zCAP6qU8jyuVOklUGQJxoK2kW3a2zEQEAN/3 - lVK5VkRUKpUAwHXdOI5Ho5EymjvCC4PFxcUDBy85ffr0YDCoVqupzBdXlrfPzMZJ4gY+cJakqRf4 - nu9LpYAzMsg5N1pba9vtthAiS1M7Gu4/cOCBrzx04MABLbONjY3Z6Zm11Y2xiUnm+UYawd0Sq9S8 - KpcMMovSdwPXZjE4pXKpQrzS6/VSFUfRoF4NGWOuK5SSgEoAN0BISMCosPLmTug7juCc82g0GvS3 - /GAcIaqVChEtnj7tui5DRADBOVlL1vLC2FfrorLZUnKdZ518pyUBWXQcked5AKVms7l+aMUFtnPn - xLFjx7Ztgxue/zzgYnx62sChpYUoTi0Pw7HJ5mCwqrWenJx86qmvv/iG5116+Y0fu+NvFhYW5ubm - en2plCkrk+scOIBFyEnnuhxWFCYK0dpnCJJFPvOZ1OX/M/75Ybeqim/Or0ZEi3brNmJgwBiwDEAZ - xYVAgYqUX/E3e+t+3ctMVgnKJxbmx2fHm5PNKB2hEGCAAROGbbWQAAgtIRlWJAwT8zgYNhyN6mP1 - mYmZMdU4fXwZQ4fQzs1N51mmEqVMNj413hhvMMaeOHFYuE6l1ljdXK7Wal69BD5PIQc0RpBbDtAh - jTSIo4Tn3HejLC3XK5v5pkFyXG4B8VtlbGeGMQaJITKHc611qVSSacaF4FUVBIHLq0qnAPYrX3nq - BVdsf+VNr/zMpx44vrQchmWt9alTp8YaY6Wwsby8zA1Uqt7+/RfsnJlYWuk9Pr9c45wxNj4+zgLI - smw0HIWlkHkoTe44jn2Wmp5nYZii5BoMBoVnd+CzXm+glBHCBWBEVkrtCJcx0NoqqRkTiHz77A6H - u7/0q784UL16oyyUTaIYEYkL5vskmMfdQW/INGwb3zYaRYScOawclIfDoRA8MqbQQ2VKxlnaLIVp - ktTqdalUpmSSpSRYszUWRRE6IjVqtdu+594vGKkqpXKv0zHGhH6QpqlScteuXdba6enp+fn5PM9/ - 4GU/ODU1VS6Xe71eEAQFAdkY808gMd8+duAsp5DAdRzf9y0nA2SszbWxyNyyv3f/3l//zV/75N99 - 4qGv3U9K9tYGx489DlVnIWpbRnOV5vdf++KknawvbyxG+Y5mC5TtZSl4HjootQqqfmDJZlJG8Zv+ - 409edtlld336U/94730GaG52ttvtFufhsbGx0WiEhKHvv/Kmlz/2yKPhQV9KOd5qtTudWGbjkxOD - Xj8ohZVSud3t3PqqV/3BH/5hnqYTrfHX3frqW1/zmmPHjt1555133313PIoajQYAeJ5XOADt2LHj - Pe95z2//9m/fd999l1xyyc2vfMVrXvOal770pUVhPRgMvnXZ/NPr7zstbb4bR8jzFjFEFIZhNIhc - xxlGoz/50z+99vnPf/vb326BuCMWFhY4571er1YqR/1Bd7O9/4K9o9HIrzelVoHv1yrVhxYfOnDx - xUzwC/ddWApKmcw451mWXHrppWma/uiP3jzo9Q4ffurySw9qo1qt1ktufPFdd90lhDtM8r17LnLd - EHIIODrCu/TKSzknHWRTF49NvfAGm2UTNnji7ocfePAzr7rxJ/No86Xfd+0o7cxNTe3ZvnNsrLFz - +wxVWD/vt6Ynjswfb5aag24qE2msZbyQdJItDpBn7u2iHyGEILLGmCxLlfKkzMulEiIMolEcR7t2 - 7To2P18Kw7Gxsfn5+fHx8Ysvvvj40WOe55UrFSUlY2w4GgGiAUKttdbkAgJrNscG3Z6WemZ6ttvr - HD16dN++fb1ezxg9NbttGA9r9RKi8bgTp5nwfDCMNGljARhHz2OVgcqz2CITVgmGXrVS45wJ1xQt - JMbBGuCMgSVLyBCJISJzXdf3PYbwr/eD+acgGWLn4Btn6wMG51hc4DMQLlpLYLXrcM/3s0z2I5MO - 4t/4pbd88Z57VtvDBx982glgOILZ6e1zc9OjLBkOBlrbIAi6g+FF+y+5/8Gvdrqb09O7d+++4MN/ - /ckss2MTk8NkWDSMbE5QhzxOy1jJrLLMaKs8Iax9xo3eFE23b2Wl4Vl0rohK3jp9sC1zVzojZDjr - 8fpMO8ogIDFOgAS2mLdzTjLF78E57Ssk9k0nQiQk/PazfG7YoT3TyimqCW6RbWEYZHCLs1IcLgnA - nLGtOquOJmDFx2MErBDonQ0hgsLUf+sTn/mH7NkPfyZdyLLCFJcAGJ3pPzJLYIk4F1LK0C2N2iNI - gaQVQgCj093l7du3S6viKEKBWmvHcawq4giKibEWGKFFYgxAOGI4HLS44J5XrtTaC93eoLdnx55e - MgSG6+1NUtp3XLTUi4ZRFNXrdSfwW61WfziYnp1a29gYC8f8wP364Sf3Xbj34ce+GmWRywKpDRPc - C9xys9Ltdku1wBoo1UrGmCROA9/fsr4Ei9/YauSAnPNCj5WMRlV3quhnmQHkQkdZexQN5KJ55+/9 - 8lOPPvzBP/8bsCVAJ0/SatN3a/XBYKAVHxsfJ5ttthe++KWHV05H+y/fvv/SKy647Mqn//zPnnr0 - 2CU/fGGtUY8hj2VKZKzVTDxrzK1zi5itjj+xeq0phDsYjLJMFiRIZExmEggZYnG/lCthlCZSSuEL - i3qsVVMmb8dRrVT3MFC5gYwpY8nBCq8C0nA4QsQ0jUv1apbnju+CsWGppJTSxoyiaNvU1PLaaqvR - lEYP46hcrdSajTiOozi2RFEUFQctY0ym5GB9dWKsFUURc52S63DB/ErpofsfuPp5z11aWY6SuFar - DeOosPAwxmhrCk/5887DmYcAbMnrtp5NRMQFIhljValUbk2MIedxmnSHg81+XzhexQ2jZBC4ThT3 - 3viG1wAlJxaeCifNPzz6xfrB5jAZ1Zulyox71RWXeDb41Mc/m2eJ1cYRXsZ5qnNCyJJ+FblQ5uUv - e/nlF192x0fvfOUtP/7Q1x5R1hw9enR6errVahVq/DRNAy/wPM8VzlceePADf/Inf337h44fPvr1 - r38dHTdOE875VVdddf0rXvg7//13rrvmulGvHwbl9saG7/t/8Pu/f//99y8sLFx44YVXXHFFu91e - WFzs9/uFf9u73vWuVqultb7gggt27dr1+te//uabbw6CQClVmIw8K4vt33gIB9CAtdowRE+4ikym - FBn0Aj9OM2IYZekH/+Iv0jiRWdbv96e3zw3W4kqtmud54LigTKNSZdJE/YHgHI2VWa5zaYwpyEqM - 4fLacjyMa81akiREZqzRGGvU3vqWt7Tb7T/74J++/z23Pf/5z3/LW97ysu//QSbcsem5/jBZ3zi9 - a3bnYK0/0aqP0vzBR752C9xcq/gmSaXUlkGkmM/hx37opoc+c+/NL3/dAw88+NNv/rlM958+Np8q - fXplJWP5jr3bTx2dj3qjucldg34GDAXjwIwyFi0xR3BkyhqiIuqFmMO11tZqAKjXq73eQAg2jEfC - E9VqZWNjtdfr7t69e3VpmTE2PTnZ6/VUo9Go10fDyGpbLlU6vW6tXpdGIzIiAEOeF1hp0ygNhK+1 - TqOUtK2UKlmcEpFw+UD2SVhPuABGJnk9rHY7Q98vVcq1zW7P9Z1SubzSXg0rYbVWPnX81NhUAxxf - pYnn82E6UExZh0tFgrtaocqMcF2y6LpCWdVsVALXXX42/GDOu4LOla4UMNA532KkESxaZMSAwCAw - dIwxPgc0eZqmzbGJYMKhXP3v//2JxYUFLQEASELou0zwwXDTIni+a8g1yMFxeqOkUZvpbipL6cmF - r0zM7ZBaj0YRIQAaBoAaISIHmTU5oDKQCwHaggC+ddLnYDkBQ2YRCLhFW2hJGRFS4VlCDBUwxgRJ - ywm44GgsWe35rgaTZKnh6ArhEnMYJ6I4S7VAX/iBccBQSmQZZ5wZYwAsgWYMAEgbyQAdZNaA0SRc - jzizllBbgcwBYcHmoDUQA4uIDBAYWoCzppnaWgJAxzUMJJELLLCOUBaNlWikQwZJEDBAbsFyzNBa - Tswan7F0MKiUqhacYZSWa2UjtWeAM2YYREaSi0jAFTJDFoAYkmDAGXDMZMqAI6I2hjPmIjdS+4Jb - QwxZri0QAbraCkYguCh7oe7o1SPrL73qJb/+C79B2kjS7/6zP3xy6cmY8vHtk/28R1ZZVVBtrEFA - sEUBZxEYIRBoLR1PKC0tBjKTge97wumO2i5wbgUY6A8j4/q+7x9fOhmGYafT8TzvaHvD8zyZxFLm - J7udxQV+6IlHGWMe+c3KGAKgICIrZT4a2N2NHaNsVPGCPMuZQM910FqLwArfYCrsns+UtWiN1YRI - lsqhnw+SMAxBGWCgFdSbjbGJurbyve+7XRAHajHi3GFlZm2eFeCuIRsnkWC6VGkubW50c7jv8dON - yez4ejI5MZNNjGKZnFxcrOytxzpDDvw79mD6xvuSqCBsAkCRJ1AIkRhj1gAiB2Sbm51qvTbWmpBS - DofDPMuE5walQEpZVOOu7yQydUtOv9/nJTHsDxzfzzMJ6Fg3GKVoyQFpQicImKNUro1knCmjoySq - TTRRyyzNAs83QEVIS1gp90fDar0WpYkFqtSqURx7npdl2fj4+OLp041aIxmOaqVyp98rl8uu5621 - NyuVyjBLGGIjbDAutu/cdejrT1Yq1c3NtpSywJa2KH1Gp2n6T5hwnr2gVJwbAAnRAiIj5GDRMmaH - w2654j1w//0v/oEfSHO1a67hl8JRpzM9NWEhJ8gz2Q9CJSrZmj6x/fqp8KodxmV8IxlVkvKuakVW - ra9nds6kwEPkKnBHOnddQWk87pXy7ugF11xz223vU8TqjQkwtH16ZsfsXH80dF2v0RzLsiwMS2Uv - CH1fCPf6F74o6o1UlL/j//nd1772tXEuh6mamd126vDxa696/gue87xkEHvMtZqajVYprLzyx275 - yTe88b+8+Wd377rgtbe+7n/+7n+PhsNGrTYcDqvV6s++6U2/8iu/4nDe7/enp6fjOH7rW9+6urb2 - 9re/vVar5VK6rlv4u6ZpSkQFFliwjv41q/G7OoQmrcl6ngcAxpj+sF9p1FHwzU672Wyi644FY+94 - xztuv/32hx548MoLLzx2fL5cLre73UajkWVZtTF277339kfD5thYu92OstQCpUp+9u6/f+KpJ9uf - +Pi1L3xBr93bvn17kiTG0MzMzLFjx546fPTnf/EX5+fnl1aWr73+hb/8i7/0j//4j7/0a7/6Vx/6 - 8BNHDl9w4YFqotI4EQ5rt9uO4w176akTi19/+khppnH8iadSpZXb2j65u7tujPIPH1uqNrZpcIZJ - LoKgFyeJsorRaJhV/HpjdnzYi+NRZCy5fokAEdEiIZE5Z8ctrhMiIaJSeZqSEKxer29ubuZp2tlc - n2i1NtbWQaswCKLBMAiCqfGJheMnSqXSRKuVZVm/2w18f9DvNyfGETMpVRiGMss3Nzdnt02BsQ4X - aZw4nkPGJDLzfV/aVJEistaSIMtJ5Hk+MzvVHw5Hql8ad3Ij29lKc64xGvT7o6heC+JhRynDCOJ4 - UJ2oWmviNCFLaJCAGHM8x5dGR6Ph3O4dxuiFhdX838IPxlpkfEv1YwEAiJ9FIIoyB7dQCgZQdAw0 - AFhgQIIICB0DnsacCDgggVNwPBkAIwtnAgSIBAEAMQKBYIgQCuEZAw6MyDLLwWphmCg+CSdDiAhk - trRbBoyxFiwwC0TIhceRc2RExoIxZ1T3fMsGhSwVjojaasUkWQZCCOZybZTK8lirWlBxuRCeI1Mp - lbHaGtchxgr7Z8dBbUBrDWA5Yy4XDNGgYUxYIqMNB3SE4ICkjVSK+YIjMOKAloi0McVuVNiJWgYW - sMBOjDHS2CSTnkXBmOVF8UGARU5hkb+4hZAzQEdwDsiYUw5FwMOcR1bmoLX1BBMoC+SBEQcuONNE - uSFlJHJE4I7rMmQ+cGsVt2DQWGuJLKIQjCPb8rrVQAiUZInNbCD8ierk5tImGACHxnG84le44N1u - 17okXLElhTiz29AZGKzIo4ZCX4dkzwB7hNYiCnRNpkhpzlie58aYar325je/edvEJBL1Ou3bb79d - G+n7birdUTxCcklvTVfRGkMOXCCg3TLxI7bl4LdF8yzQpjPLGgGIIeriAwBxeMaa7wyLhwShJUAg - TsAteVs1PxEjSQRwzumfMQaGa/QU5dqIQDE/ByJKZJJ00/ZmV8wFhhkmgDNGSGcaoP+Sce69fC5P - H4AhMgDQWqdJ7rpUkP2JSOfSYKHl5IiYxkmepJyoUankcdys1NCqqlcyoTOKMkPMd8KgFNhcZSpJ - 45HrimZljDsizpJ+t884Z4yF5dJoNCrSJTfam3v27EmiuIjj8X0/iiKt1MT4eLvdvvzSyxZPLCRp - oqVq1upJkhDDifFxY63rutFotLq0LJBdffXVHHFjbd3hIk1T7ojCzDPLMia44zhEdD6zuzOL7Zwv - iAESAZwVdoTlUrlSmd2+PQxD1olklJA2zJK1Wtk8rJTrY02jOmud1eX2MquXHUenVnsgWeBoDplR - FrDb6SXDEfjlKE3BYUkS+QD9Uccnvry8vO/A/ru/eB+6otlqtTubxtp6vZ5KWdh5TE5MDLq9jbW1 - RqNRIEyj0ch13cFgkEtd8cNBdzAx0QqE2233Sn7Qao5v9rr9/tDxvb/8y7984IEHCqTzySefXFxc - LMIHms3m0tLSvn37AICIarXajh073va2t91///0fu/PO97///YUhnuM41lopJee8oEgasoWu4l+6 - DL/rQyCii26BJkmj5ubmcq0Wlpcmp7Y1m821ldVjS8e+9KUvXXHZ5S++/kWf//znT548ubm5WQ5D - x3FGafKcK688tbHamN123cT353kehiEXwgomSsG111/X6fQu2nvg6sufv765sXBisdka63WHlXL9 - y/c/OH/q5Nr6Sq/XabVaH7rro5/85CevuuqqjUF7ZroZDVaazWqv2xaOX6mUR4PoJ37kVuj6l42/ - MC5rv7XTEe6k12IvSTY7VfB3DDL/uhtuksY9fmrZCSupAeaVCJzl1YFrbRj6hEwwBsJakowh32ol - EFqL1iIAWvId1xgDhL7jM48RURZnvXavUW0gsb0X7PvxV/7oifnjYRjWq/VeuxNF0a5du9bX133f - H8ZRuVxeXFxMZHbXZ/9O5amWOWlS1o5NNgXHuD/0Xbc51lIq6PQ6rusyDlJlIhAEPiNAEB4P/DCo - VquvevWrdu3bceTUYb/igqMDzwdtVCJtaqfHZpj0PeG5oeeW3T98zx9Vg7LJlO+HflBKowQZZkp6 - vrNz2w5ldbfbzfPc9bwkTZtjY34QxHEcRdGWhUBRtxXqSvrWcKHvyjhfi/VbXVssFvD3N/2CLb7F - CQG4JRAEHnMdxrlGIssMAgEHzog9sxUR6SJ/gANDBgCIKAA4sDTPOecObnFLGYBlyAyBNYyRAYsc - kQESIkcAQEMu49IgMscpBzpJfO4lSeL6jtKZF5SVUpZBrrMiJT3PJOfc44wBJ6VVliskQh6EIRI5 - BshoqRSQZQyYy4iIIwfBLFhrLRFwS8wQGOMGPjgsN8YYYkAhOa7g3LMCiDFEZpgwhqyxJC04AIxQ - kGWWBDCOzEGXCElaRqBlKrMMgYTLDNMGtCViyEgIoy1oS0Qu5w5zLIIGBhoymZUd3ypNDBBRMyIC - hpYQGFpkBhgjoNxkyLkIOKuyAfQuvfZSRqBAtmFTMSkxs8xwT0gji6vAzhNXVDTjvxUCPJMLAgxF - EIjCPXzXrl2hH1hrp6YmpVau62mQALkymhLDGDvj/4tnM4O+AUE8E7X0zy/ff/UgIrKWFYcFi4wY - I+YK1zXuIB4N+6NJDehxJAtE1phnMTvpDAH5meCkwgzM87xSGJbCkCFGw5FSijPOCAWh74aWuSxV - Jdcrabzuiqu7o57nOdwRy6ur9clWqnLmCt938zivlcoO4bA3yA+XDVkAACAASURBVBVJKdfW2+jx - MAi73W48imZnZ6Mo2j47l0RxPBx5nscATh07vmvXrtFwuHxyoV6vzx8+Ui9Vm9Vap9PZOTvX7/cJ - sdForKysOI7TDMthGLZaLZfxlZWVLEk9x9VSuY4o6iEiMmSL45nDz4ccFAH3W1ej6CcVC4AxUeTQ - pWm+tLRMBKtLq/31HsBWymg6TK3hgofz80tpuum7Ywf3XT0IMxiU675X971KXuOJv3G61wwn1xa+ - bHOS8dDxhHW41RIYyiwHxh/+2td+6BWvGIL94gP3nV5aRKAkTbMsy5QMgiBJUoY47PV94XT7nS/e - 94XnXX1lpVkFB8NSCUQmhBiNYuYwQnrksa/d8tqfiNNoFA+nZqaXlpZ2X7CHCVw4eQoZuYGTZGlj - bCxO0zTPZ+bmuOPsv/jiiw4cGIxGS0tLBw8enJmZ2Vhfr5TLyysrcRwXfscFGF+wCAr07nxFzL8H - mYQo0lmVUp7nJaMsTdNOv1cJS7VK9ciRI0bpq59z5Qc/8KcHDhxIouj06dNTk9vyOPEc99TphVOn - FxItB4NBGIZJkvR6vaKOWV1e3rZtm+u6/W6vUR/PsizNs0svvywol7726CN79uyK0vTkVx6uVqtK - Ubs3fNcfvndiovXpz9ydxdGB3XtOHj8BWnu+yE2eWrztfe+7eN9Ff/DOx0Uj6DtpjsYXHqY44Y6Z - Ye5wkci8k43eedsfmygdHx9zyk5slVcqkTGOpN56zy0F2mpwUAhhtT2blATnqMgKWKK4WkopAPB9 - 33NdzpjK8kG3H3j+/n375w8fOXzyia9+9auVSuW9f/TuCy64oNVqTc/NvuQlLxn1+k4uGFirTZak - O3bs6PeH88eOTrbGhcPvuefuB+7/0szMzH9605uMUWHoF01i1wm0th4Phv1kCOrApZc9Of/Uf/2D - X3vbO379wUP3Lq6cXFtdnmpus5GFlF2+9wrHhGW/0miN/afXv0mliQDOgHMUSqlcKddFqWRzsskd - trq0likZ+uXvhR/MdzAsAgADYrYg0SAA4VZAzhYosvWDUJyjt95hAIQaGDKX+Q56RIaBLXSwQASW - kBgQIwaGALd4e4QEDmeCUBBaA2gRuABizBog4ExosGStMAaMBg4WEZBAAAPOCITl2lJutBWMuw6R - wzKiQYbCM6kynjUMiAGzwNE6XIDjEpGw4DEReKF09MDqHCE3YHLlSCs4Co8jZ4a0IcOAIQER02QU - WW5BGHQNCs65YTGB0RaJBAEScdRktWJggTRYTYQFmQcQiDGwngGyjCMK4AguSUAC33Gs0ZwQHAYu - WtCAJAAQyVhNgByQARcGyKAGQsYMMpaTz7gxjmWkhVXMWmYFkbUGiQFa4IwxVCANuqVGyeR0dOXo - zT95k5YmldHhtad5lQ+jYblVkpRrnXtuIKUUzDlfHfNtBxEJ15GW0jyzOTHGHMep1KoOF1Yb5FyT - tUrmUkqtOBPEgHPuMMdxHI7CgLHGKKUc8M7kL33T+K5XM1uNHkC0xMFBQlcEFVGLKNW5cYRQyMy/ - 1K7326pLzr5/9nFXdJoQUebSGsMQXeGUwxISJKNIK5XFSegHgmHoBw7jvW4XLL3jf/zPp59+8hN3 - fYxxe9nFu5xW6ejGyZ5NDBgaQL3qN0S1u8QOXnLloa8/ffc9n1fGlIMgy9JarbZ3796JiYl7Pvv3 - 5XL5pp/4iZe97GVHnnr6Xe96V3dj8/9+689df/31t956KyHXUm0OR1LK3/zVX3/kkUdWV1fn5uak - lETkuu7GxoYQYtv4RJqm/X6fc54Zmah8OBz65RIRaWuKTBh9HtPCb5wsBECLgIDakiVEJiyg0XYQ - J2FY6g1GwMkaMMbUStVao2mJj6L88ScObWwuVFrOarK8EK3kVac1MVmH0hO9w/fpx0Qm9szs2r3n - oq8/8bRw3TiXo0Hf9z3F0eeO1mb+xPHN9vqVV13xN3/7t3mebdu2TTjOcDhEwcMwzHOZxYnnuLMz - M+12u1yr/sn/+uD62tp73/++pfVlL/AhB2spTqOP3nlHmicra6ujJB4bbyV59sBDD954440HDx6U - Una6vb+/556gVPqpn/qpqampKIqI6J577jl8+PDevXu73e4dd9zx6tfcOjExcdttt62trTUajSIb - sjD1Keacc04IxYb473YIQlSkSqVS4Tk9Go2stTtmZ588/PTOnTuvvPyKhx9+uFqt/s7v/M7y4qJg - /MdefvPevXvB0tjYmLTm6KkT3BHTjcrC6TXf95WGRMttO+ZIm0zKSrOmUbl1Z31xbXPkj9YWqmPV - 7nAgpaxVm5xzrQiU06pNkcSqG457rc7J9vax6RMrp2qz23KZgusaVEeOn6iUx5aWVptz417glrm7 - 0l5iZZVmudPwmMPqlXCjtwh5Wm/xxA5iHTEWoOacOaG31XE3DCwYaw0CK0qYrdMeAAAgAD/TPwYA - zjnnXClVkGjSUdpZ29w+u+P/+tm3vOi66xnB6qnl511+NWPsox+64z+/9Wd/4MUvuf++L1kOpSCM - 8rhRr66tLk+Mbxtr1E+fOvXSG1/y4Q/f/nef+sRNN9104OKLHnvsMZnl1Wq1O+gria4TILq1aqi1 - ZY5baVU+8Q8fS53Okd5DVRebO2c3Tm7uO7g/UKXvv/76A7suGXXS+x94SNqkHlYpM6BQhCLT2iuH - 5XIJOQTl4Oixw77jVktlJel74gfzHY1nSpYz7sAWaCtl+qzt/ZmfLf4gIkbMAHFEhq4goWDLLgcQ - OCASI0JETkVFw4gIyFoi4oZzYEKSVOSFPuMuNwQameXoMBBIkIUEloxhxgIpg4ULPkhylBMKzzoi - 1VYBMSNKEIQhd/ySVaSslUZvZW0ZTUoyXzBg3BjMNUmjtbU+d6uVXGpPODWGAkmiSmyeo+YMuUEE - RgaUtTlYl9A1LFTgoysza9EgspLj+ShAWyIreSY5aZQSNVnLkVsERAsMmWKuQl5UaRYFD6Q2DvKA - B8Bs4IjIpqnJLdO8MCUgq8BwZJ7wA3Ig03mmkHHXd9FxwRENDA1TEUiL2nBjwFIBZJIFsIhgQVuu - M2N84TkNPopGczMzWZI7xDpppxHW0dhUR5JUoX3/ZxfbtzY3CVjRYeOcW23b3T4AfPYzd7fGxhiD - JIpXV9alyoTjKJ0rrUvVctGVIQNFn4oxxvDcev3f1CuIMUaIQFttUkaMpDWMHE8wy4yyAjkDbkkj - AkNmvvMAyLNUGDxnnJ3qgrBfSKwBwHNca22eZhGyMAwLyxCdy8JaLdd6lKfdNJreMducnQJXQJa/ - 9PnPn5yqPrHy1BdPPvTE4GTbS90wmBivXf/c51wzdwUN4H1/9L+aY9vKFTfLTafTQYCrrrryD37/ - ne9+97vLpdLG+voP/+DL/vObfvZtb3tb6PqvvuUn4sHwdbe+ulmtjUYjhrw+Xh0Oh4unFi7ed1E6 - ikLX+9Qdd25ubhb6pqmpqfC5V9dqtX6vxznP4syA5ZwXSEwm88JC4rxITCHnAIBCYrflD4qcO0Sg - jLHEPM/XBGGp0h/1vUqQJDEpckk/cezY7R/56PHjJzh36rUpLsz2ycrMzv0DygajxCPf98MdY7t6 - G73F9uDuLz8wvm0yN1pxqm0bz/OcAKQhY9Xqxvof/9G76/X6qcXTQaW6urEBQCi4ECJJEk8Iz/PS - JFlcWXrwKw9efPDiRq3e7XbLjepP/5c3GaUBQCCfnZ0ZDIY//0u/cPzUcccTpWrp+MkTuZV/8Vd/ - oZSqV6qDXl9KGQTBXZ/8xMLCQhGnMzEx8bVHH7HW9vv9qampu+66izFWZOwEvl/U90VQYMEBL74u - wJh/yXL/NxmCA2fIC4hWeI5fDu1wIKUMPR8RZ2dnfd//xMc/cfPLX+673vvee9veCy8EojRN0zSt - NhvtYX9iZsorhdKaRqVMCGQsF2IYx4KwP+yX6qEQzuTMeJ5nFtTM3M7VlfUCqopHCRjQlLearc56 - h1wUroOKm5SazTEFxANXeC7E2nO8sgjnts10Bj0bJ4ILVxnUWbXkdgZtKbRXFfWmV+KhEwB3iXuI - HAbDKEtodmJbkg3DUiWDLM0SB7990kKBQxQgjeu6xSXs9wbNWjMMykXAUzIcCeCh58s8f+5zrjx0 - 6NDczh1SZv1er6DHV5q1PTt35VaWSqVREq+vbZaC4AXXXMs5v/66Fzz4wJdf9KLrvvrIwxdfvD+R - uUVqTW5znZBZx6RIhmmtd8zuGKUbFk3J8S68dOfY0M2i0XOvuKJ/erD49ZW19cWLdu6rVEPX4S5z - Jput6fGpC3fuqU+2RmksSQWlUMpsdXXVDwKT6zTNG/Wx74kfzPnHeY+5hKzwILEEdsv37LxwJVlk - CNwiMARgSIwACZEcAy5Ybp6hZDIkC5YIATkgEvqEAbmuxlTrJAcyBApMaq22LOSWc0ThGjKWmCBE - spYYImOcWwiUCNBnLmPMKsKA+TRQHrFRPgxKfgrEPUZgOSEnJLBpmjuMsxyEEiXjgtQpE9x6BK6j - DSaZVlnmKhMQBgwAITOcCcMtEgOOHhMV7ZQQMDEoRBAIDshT1Jl0NImA8aoPjlGM0BKjIiOCrLVF - 1eUb5lrHasysccs+Q8ojGUcjS9KtBeCiYQyAGWPIWM45cg4GjbIItgIeeE4OgribSKliNYx7nKMK - yVRRcTCIiIyjZYyh1WS1ZVrq3BVOSjECn5iYWOotMURCG7aCjXideZiZvMgZTtIk8AKrv/0VpjNO - ZGer7bNMVQ0GAIJSWHeDsFJeXV294447yNpyucyAGGN+ELium0sMOfcDD4E55DyzkRPjyL6Hdunn - umz6boAWwBBYdBwPbVHfYOEiyBk+u0yEYg4LFFYphQSB6xd+cVmcaK3DMCx8ciGOfd+32jDGxman - ekl8anPVMNbrDXzSF+/f50/RA50HJ6eb9dlSZnUjd3Mv1SxrNVsXX3JhlmAuM2Vh2+R4LvXa6uqd - H/tYo17XuXzOZZefmD8OAEkUX3LgwMX791911VU333zzn7zv/V+6/8tKbhFlSmG4urS8fWb2+65/ - 0cmj85/73OcYYxtr62mcvPWtby2VSn/+538+u3OH6ijmOb7vJ0lirUXOColNYfryz0/IGfkXIiJw - hsIayKRU2sRZmhuVZcaCDULfWHrq8NPvfs97R/24Xq96PuvFm5HuQYiiFCbSeKLkgCeH9zpMTEyO - N2Zm+2kUhr5Vuj8cuMIxxjqMM+GUSm6eZk89cWj/pZem2mx0OrValXM+GAyIyHfcTqcz1mz2er3P - fe5zBw8ePH36tMPFcDisVkpZKgVjhZfMxtr6zt27nj52dBiNYpU3x1vIWOHz2+51Q8/3At8Yc+jQ - Ia31rl27HMc5derU1NRUYaWTZdlw0Pc8r7CczrKscEcrNOq+X+QHG0L4Z9Sp3+shDBhtTRzH9Xo9 - SqLRYGiUKsxwjh0+8tXJbe985zsDLxj2+7t37jp8+HC314ujqFKplIIwHkVpnOhcyiwjY7IodpEj - 5+219VqlGvq+6zksxPXuZrVSsUi1ZuP06dNpkter9SxJQy+sVerdzU5vozs3Pdde3yBpt03OnV4+ - Ica9bq/rjFctaamlEfL4wtKBAxcNqef6oI0sjwtu01LZEWHYk1Em41GeSzJaRQysK5ABVGtlMhIE - z42FXFnHIC8AZKJv4IAwAJBKcc4Z48aaOEmJqNFotCbGkURmzHAUf/2pw9ddc21utON4WZI/8cQT - nPNWq+W7PgJfXl51HCdN08cfewwdobV2PLfQZ508fiIMw93b52644YZ9e/bNz8+3223LkBg6jhdH - eeiUmXQqYQWAHXr4kfELK9uDmX84/vE4jYymSrmWDtIoicu1svDE2vq6ScAo64CzsrS+ub65dHp5 - o9fpJP1UxfXxsdFomI2S3Tv3JDot3NAN0KnF067rEkOLgIJrsposcmaJpNGcczjjB/OdCv+/083g - fJKLb3mfAbDCaM3iWXaLBSxorQwBsOBiEjImAJgFYziBT+ADudZy+wxsg8wQ+cgYQ66NJ5lvwM2R - G1caTR5juZUDo6USimmBjsdRSyTLAJEjA+DMEeAKYyo2ECnPDTkONwwd5gyX1lmqFqPOtoO70gqK - mq9lAmhdQC48g0SKQOkyBjOlVuqRtTKXLmnK2r10Zd3q1Jku+eWaEjaXORrkZDhywZnLuQMisCzI - SScSfO4IDwWDJI83hhAr9EHMBLJMMgANJIiQgHHOkINBtBBoz9M8y5XKcodXXEeoRA76nVwm47un - qMYYF4BgTY6aOKIVLoAVBrhhrmFombYcyWXaikykqx3fF2bMBd8hnwMREjJjGCNmCDgQAmeADKy1 - FkzGspxLhwvhcol5lI9KbiiEYMAYCq00cznQedKGic7uLmdetxoixSNY5jrLssnJyUpYKlifnHMk - e+GFFxIYbYyUUrjcWE2GmBKB6xljczJFv9iD75Ga1CIjhsSQEIG5rku5MUYyFKHnc2Rwtu+jDTH8 - Tu/Hc9tJ34pjFfd4EZezFRJOhGek11JKC+QVHZlKWWvNfRcAMqvBFVM75gBcEYZVN+xurn/uC/c8 - 8dSjjRfsCidCl7FwyCtjNdd3HM031zYbzenx8XGLAoC5LoGxp0+eQsQ9u3ZPTk5OTEzs3LmzUque - XFi4YO/eN7zxjRMTEz//cz/31NEjrusJIUZJLJVS1iBiqVQKgsAaQ9ZWKpVSqdRqtSYnp6TRhbiS - MwiCwOaZEIIQij2Y43m4VsUz5QwWU0DwACClFKHYYrNa7bqu1qZcLqMLRivUlpMZb4yFThgwP83z - 1WHHr7m1SitSsckRrGBBWVsn5UkOlLU3jJWOA0kelVxfE3BgxhiBaCxoQ9VGHRglWbza6Wtj/VwK - IdBSvVJliHmWaS0nJlqj0egL932h1WoJZLnMzUiCxdAPZqZmNjc3R/FwcWWRCdy5Z+fGZicI/JML - p5pjY5yxwpJtOBx2u90LL9oXRdHp5aU9e/ZIo9M0XV9fr9VqWuuL9u8/dOjQ3OxslmWbm5v1er3g - 8Ba2hIWoDf5Jqcd3mkD33Tg8CAbM/X+Ze/NoS7OqTnDvM33jHd8YERlDZuQ8MUMKCVKQYibzIIPM - rmZZq5FCy3KqpqrUUrQasJROsChtMRUoENBuAUUtUGRKSYYkMyPnmF+8+b07ffOZdv/xvQgSJdHq - pmzOH7Hue/HWvXfde84+e/gNjIdxVBRF+80eOHDgzLmVbq/3mMc85hvf+Mab3/zmFz7/hWes3dnZ - ef/737+0f583dmtri4jIuYNL+yTjVOkDc4s7Ozu9tGO16URxGkbj3VHcTeq8SkQci7hxDRjiyJIw - ilUAiu1u75iqTKI0lHI22ebCB1F4cv30/KGlijWJ6hKwzdXV6w5euX16bd/ScHXjDKa0pWdRoOJA - 0SgzU9PUngcyTiOPMiA/6M73orQc503F5gfLpWi2x9tBrErTMEGMMQYMAJDIet/C/DgAMZRMGu/A - e66kAiyb2hiTFRUiFzLgoTpw8KKP/fHHX/bCF5dNXTX1/v37rHP3HDv21W9+nRD+6q8/e2rl7PzS - IkMRqgDj1JimLGoAaGPuF790+zN/8Ol333esKKogShqjRaC8971Owj1DYraulJD75ueSQP7Ge97V - uzjYqdc2JitllvVUb/3h9UuXr9zeGt//1b9gVnXjAQMRCOV9O/8iLigMok43nkx3Lzp8aHV19eDy - 4bnBcJrNNrY3vzd6MN+j9a0eyd/7/bceMDovefJtEinn/8AjtHUTeAeAhN4xq8FR4CAmiMAq54Uh - 5s6LswF5BAbSAdSeSmdrI2rpPPUWB8BDQuessaXVzLlOSyGS4FlrDkTkwQEZ8JWzkwqcdiGHNMCA - 97u9ApMoYrtlNZfMN5ghCiJEYN4656yVvJN0u5yxidk5vb2xM9oIWLRveTC3MN8NQhPPZlu7dVlO - CxRBIAJBThB6QCQCT2SdLaybOWhcU1SVc/FgbtjpNU1UmUnlK7JMMBZIwcCA1ug9eoYeGXHpWOCE - 0qwsGpNr6oBkoqO6jS/B6YgFhsgZIySTGChBzoHzPuBBL0nSmun1yXhrq8Sws7Qc9ZPOsFfuWhWK - KbMFCIFkkMB7tAyAGCF65jlPRZqbkjOK0mR9tDXfG5Z5MQj6m6Otfr9P6MHabJb10mGYMl01nP/j - bepHsuestegsAMRhtL0z8usba2trw8GgnSB4b8fjsXW6PXRVUwZRwIAFEPEOZ4wD39v5/6937//H - xRgDxgDAgyfgAGCMMb4KI8EY87DHqmEoqAV4fU+FUttWVtsJ894zsQd9aL1sHXmt9flyjrXOJEII - UzdlWTZlVfhy5lzIoiSdH3YXDvUP9DvLBaYb40kier7iNXkMg63NCbl0c21bO2+M6/V6URAmUTwc - Dv/izz993333vfrVr+71ek972tPe/e53Hz954gee9tQvf/nLp1bOnl07N53OpJRJFDMpdItTFHxj - a7PRutPpgLNZkR8/dbI/Pzcajw9efKTf72d12YJ5gyDwtAfv++7spG+Xi/EeUSkF4K21liznXCXR - dDpVIHY2N6JAxSpyxhqHu7O6E3eRUX8waCh32oRcCCmns8qzWgiOzi8sLm5urs0Nu04XXpOtciUC - MrYdFAKHsqnXNteuvfbqBx54YGHfRc5TWRRKqSRJ6rqeTiat/3FZlktLS+3kFBEXBt2tra25Xr8V - XB6Px6vnVp5983P+5nOfW11fA2Lamv3792d5Hne7y/v3HT9+fGlpqT83LMtya2vrwIED999///XX - X3/6xMmDBw+22ncrKyutOE232+33+865R3Ly2x9bF8n/H4/MP7qEA8+AtWMU510QBJPJpNfreaLt - 7e1Op7OysvLe974XETmiDNTueBSGYZqmztgrL738la98ZZZlw+FwvLM7nU77/b7WOgyCoig451Ec - p2n3Dz/0wQcefLCVxOUMut2ubvTu7s7y8rLWddmMwiDQThOjSpgy0SOWlaY0pokojB1//ctefvTg - xdZU67Pt9PKFdT0e1cViZ5jsWDWm5WSJgE25eewTHzPa2Pg3P/3TVImDc4c2pxMBFMayKAoheKCU - 86YVM2KMASByBp6Q7WmDcM6t1ULJumkCpeqmiaJobmH+9OmzYSda3L9va7w7t7R49/33vunNP55N - p87sUef/7htfe+JTnxyk4eOf8qS7j93T6fXyPAPj4yTyBONx1klTIrLWH7v3/k988s+a2jApwjCu - tRZCWKs5U2EoTF4rFNK6Kw5cBR2/q7eHAvv7lt2S8ZW9et8TU+g0GS0sHhkkC5cduezE2XOnz55L - kkSbOpER9+DAzYpJmISz2ezIkUtS2dnZmUyz0fdGD+Z/cHHOrdnLltoBFhdcGw2CGWOcUC0uh0tR - FGU37DrtpJRaV8hYKCMpRVmWoQpCFQBhEATWO0APwJEza10gVKONbbQMVaeXFOcqCjwEBBH8xG/8 - xODwcKvaEJFsBSRa/TRwRIYipkLwT7vihgXon5quPpxtG8vv+sY9n/zTT2pDz3jBTZcdfqJUrq5q - LlUQqqyaosckimzhQgxvuv4HFchVKD/2pb9cvOwSZthHbvtItTsK9g8veeL102m+b3CQh1DNxpGU - TPAaaJZV/aB/0fK+K5YPIMivTc8d39mCmf7i5z7/xY/9371+/OK3vCqVqReiLIsEuWKorUGOYRBg - pVMV33D1tV1ItqC4fffMtNQbo83/+q5bQ8ee/PQnXXfRE7I8V2k8mU27KgwkJ4/GGrIQq+SKucuX - YDiD5r61Ezu6cqX9oz/4yNkzp5DZf/uf/v1UFyoOOVjwjowRXHoiJG4ak4RzV19+rbo8nAD75spD - eVad2jz30Xf/16yYvPbn39wbHBhvbfWGKRqIZGycDgVvHCGC4xDyiBE31qRp2ljNQ5nXRZIk3ngA - z0F0lPKNBwDB5IXJ4d9bjDHnPAB475umUT6QUoElXTYShAOa5VkUhkDU7XTe9773hSrgnAH5n/vZ - nw1DVdRVlk2ZSLjkddUYby15Rnhh53NiLVvHOcckc35PLOrR9nPrmWq0r6sqkske6V0pcrrb7RLp - ptFhGFrrrbWciT0kCmOWbJuLtPCCMp9OdrZ12XAuwfmsyBOhpIg0lWVTp91u01SkiDFGjDnnPHih - hDPUNlHSNG2api1Ioihq31sbvVvgwgUEzF7Ch9jeQC1+3zm3vr7e780Ph8O2TAdg8/PzTdPMZrMw - DKWUWmshpLMuQE7eV9OsGc+WhnOzta2QxWWYvO23bl3S+bCL2273oVOru/O84XiG0q9nX7vtdBnU - wXVXP6EqEE2QhEFhp2Ve/Njr3rC8f5+U8oUvfOH7b/v9d7zrna9+9atf88bXj7Lp+37vd2++5ZZb - XvSCd/zWfx7NpvMLC9baLC8Muds+9IHHXHf92vp6XpW1M7OtjSRN4zi+5/77/uRTn8ib6tzaqgoV - 57wsy36/n+c5l6LlWj+6i/UF4R8Gjwh3zhmpAsaBcRSSF0UeBCrLpt04DSQnY5WQUknOQZPeHG0f - ueRAndXkS+a8smJfGGirjfaSSZpNE28Dq50xCMQZ13UdqajWDoFb8t1OL8vzWjcqjLTW2tgwDAGg - 9R4+cvjw+vo6AMzPz58+fXpxcbGua0RsPYlbHb88z5eWlq6//vqvfOUrQRCA586SOy/H4L0fj8eL - i4u7u7vDhXmtdasVsrCwcPbs2eFwWFXVeDxeWFjI86wtZZVSjLG1tbV2/8RxzDmXUrZ5zPdzBgOt - Yu+eqMGj/xHhnvoHAmjvQobGWcZYJ06+8qUvH95/0Zn7Hzp79mw+nWVlUdd1GEWHDx8+csnFZ86s - PPlJN5x88NSh5UOT2bjb62inN86t/fAtP3zru9/9zt96B3j3kY985LnPe/4LX/hC58xrfuwNmMRG - 0HiSXXzgIKvscDFhnt75jl973RtfeXL7REUP/V9f+e98soAPxQAAIABJREFU0M3Xx9fg0o0HH/+3 - 3/zLt/zEv3n7f/y3n/qrv5xtTSKZmszorBIetrc2ssn06JWXbY12g0iB91rrJIqJyLfWdfittnVj - dIvzbb0k4jhutG70aHF5YWd39+prr1nYt9h0u50w5IxFSdzymKy1IlCNqdNuZ8B5kMQ33ngjIgJj - HqiNJmkSF0URqWAwGGxtbVlruZIEoLVRkSQwYK00gmmQjvV6PQrYTr5Tl2bQmYeYAfOcgHkQDmU/ - bqYYiG5R6pW19be89SeX9+9b3L+8PVmHEIRi2WTa6fQW55cFhEVR6cZ+r/Vg/qmrfQmCve4uEbWI - 6fZIeADjrPFOCOG5JaLWUBeAR2Ech4mUUnBGRFVVISK0elQCuUKGUnAMVNTYKYSMRWxl52y8FOZN - Bim85V0/CX2HCaVxktvcoQvD2GjLkXFCBl4iC6QAcB4o4CIR4Uw3VVYUWa6db+3HhQowCKqmzrNS - e9+Lux3Wa8JaVb7dLRFEnSR1tR7nO977stadMBgOh0uBnkynyEwYxt6aptFOCPDgLJFiAMCBd8J0 - qeOMp7nOoBt11le3u3FXGzCVYedBpogkAQlIMqaU4oC2JWdZF0jlA7LWjae5DMKF4ZKHACCYkgLX - GhsBEuMowiA24GZQCIiG8/PVeLeo6qIoEDFJOhxlHCaOCtcQghcoGTAkRAfMIRJzQARowYZSTcpZ - 02hC6M8NVRgt9pdyi0Kgmew03BtnOArBBUMA51s7gr0G2Pl2GttzR2r/5UAMSBDzSP5RJ4xEcJ75 - 2g6hCH2SxL5xpqlNo7Xeu6SLokjiCIiqvNB1ReTIOQDw3uvGAhGTQkqJyAwaZAScztspwD8AaX3n - Cp5z3jQNQxlFETpExLqu6TxrQ0rJWMsGkMCF0VYbA0DENDHaixWmds7ZuvLec8YbZ6JOFwWVuooC - qeIgq7JJtntl94qxG5GxAedGGyaZtZahbPMtY0zA9s5ye6wuAIa+Oyq/TWWklE3TjEajNE3DMFxe - Xp5Ms82trTAM+4NBXddaayGE1logYwKFkKB8EkRNVQ+7vT/8yAdWSn3jc29ZmM6GgS9g0vRhTWkt - WOjDIRuqKepxA6DOndtwFqtJNljoTSbjD3/4w2dWziZJ0u335ufnj586+R9++Zequk7T9O777n3w - xHFgCAAHjhyaTmbO2v7C3Ikzp/+Xf/nj88MhWH/g0MF/8UM3tcCXxuiFhYWLLzu6s7Nz5513CiWs - td1u9+Tp04uLi22p1uv16rL6Th9DOzG/YKCxt/MYAXH03jtnQ6lmRc4l856k4sgIwHsAa/Qom73/ - d297+KFT/UH3xOkHL75k+dzaw4N+Eqvg3OnV4WC/kB1LSoVhls1+693v6nZTFYaj0WhpcXl3dxrH - aWNMmsRra2uXX37ZPffcNZgbSimNdU3TEFE3SYhobW2t2+1WVXHy5MlnP/vZhw8frus6DsKmadJO - 7C0xwKZplpeXs8n0qquuAs5u+8AfDucWPMLueLRv//7xaCQY379/PxHNxhOuZL/fb4FQjLHWn2Ew - GLRbKAiC7oED6+vrSZIsLCxc4Oe2RJ829/1+F7v7Lv93oZN/HmIHgBBEYa01E1wIkcRxJ4z/9b96 - 62+/+9aH7jq2euaM835heenlL3/FU59+4x1f/eott9xy97H7lvcvbW5uAPiyzBvbHD586JWv+JHn - Pf+5MhC/8c53fOgDH3zOTT/0Cz/387/2K//xyKGLH1hbFQkPZTrazuvd6cH5pcaaiy879MQffKxa - x08+8Nf7r+2PfXPRfG98x/Hn/NCb1BOedt3VRy8+dFEArMxyqw15xxnODbos4HHIDx7cN6um2hgJ - Ig4TzoUxxntq5Z6ICIDahgQiGquFEN65IFRN0xhtPeCH/tsHlFJf/OLnnPGdKATvR6NRawdqjOFS - FlUJACg4WadEoLiw5Mu6YgxkGCRhlM8yXddxHDdNI4TgUjryzhFXzLoCvA1IRBhIK8CR8aTBWslk - N9CgG2o4R2c1GJuoTuB7vsGyLPNs2umlYaLWNld5iJ0kRYl5Vi70FyVXVdGUebXHoDZWCCGQee9b - hd3vdZf6O20e7xljlmzL34bz8/i9dIqw7VhKJjnnQMRQtJiyVtVNa50XtdY1OSBynqz3reXVXiDa - t++ibrcLyDU3OjKlq8DCm379zdc8/fqZGD907uGLjxwSRjjrbG2kUNyj8ZYREaMoiQoovfa7s7G2 - tZDsyNGDT/vBp+5OZ0GiinImkhSReJymHclc5Q3lPveVCXkwg9w0JpNI6KNQ9YP4sU963H1331WR - OXHmdLC/25NC28rZhpyPk9Q6751tvJ1WxSrbQid3Z5Oy0aPRGJGueuw1h3VWGxOq2DPvrEEhPAP0 - wDiQd96Z2tbbMFYQ7pQ5obfe74y3D19xNARhOO5MxyO9q+Y7oQjCUDhjvfcCBQdmjKuVBajyZlw0 - WgTMFPrQ0cPzi3O1rbZGuzAfMMUARUtrJ0Ak4h4BQBszDbMCzMYsM9hE3URl6sDlR7Qu17c3quOE - S6lMhHcu7nSMExbION8YLQOB6M/b6u0tRoStDM8eWpsBMA+tzth33j97ai7n0Znee+MMGQ8y8N4S - uTBSUoUqDFqVbWuMEEIGan5xoSiKpqmMMdZZlNy3VmDeOEcEhAEqpf7BpN9/d5pSq6rChOSc28Ym - MrXWgiXgWNd1wBiAr+vaWm8qKouqLgoEAG5aPQBL4Fy7z/e0qQigKmZ837KQZKBBsHEv1GQNWS6Y - Aa+1VUpZpq31/DzJyBiDIQoh2rnwI2kj/0Rqofe+LQz6/X632zXWz2azxpqYM4+AjDHOrbWOgdGN - QKYCFXfT0Wi0Mxn/+jvfMRHysiOXyNUNUWVZM+Z9NVLWSYEN6/I0rAVpAqYYl5pc0u8WRU5EZV0t - LCxIKdfW1zudDpMiSVOmpCV/0eFDTdNMp1NELMpyMptGQTjLsj/6+Me2NzY5514bpRSdt7Sr6/qK - K644fvJkW5699MUvmk6nxpjLLrtsMpm0ANUsyx6NnXQ+Y26zGQTcYyd5bxkxxqCqisGgV1S5igLj - sKxzUKEznhjc8tznPuNpz9ja2rrjq1+6/IrDk8nGnXffvj1eQ86EUIf3Hb3i0ut6yb5v3vnNpaWl - y684enZlpbA1i6Ozu5tR2Jm5JpRyMpkoIf71W37y93/v9zZ2tipjqrKcmxsyxrTWaa873tmt6jqK - kgMH4mc+/Zn33HPPoUOH8ll2/MwJIJfEHat1FEWM2PLi0rH77n3Ry176p3/6ydFobLx75ate9YY3 - vCGbzX7xF3/xm9/8ZpIkBw4cWF9f31rfWFhYSKMYEd/+9re/7W1vC4Lg5ptvfsbTbzTGpGn6a7/2 - a8ePH2/hMmmaJkmitb7Q0lNK/T2/5G+di+8DvO+jfNOPfIwAtIdCQISyLDtxAu0o15gzZ844Y1vf - 5rm5OSFl2usuLy61IvcHDh08ubqiqT5y2eHl5aWiKNI0vefYXaPJ+CUve+kDD9zHuXz2s3/o1MOn - O1H34QdP3PiUG+dXVjOt67ruxekw6lazMVPyyJVH+0vdAeuys+ZZz3ryZj45EM793qd+c3d05qlH - nzmebV5z/WU7xdbS8vzRS48cOXLo8JEDNZjuXH867ZbF7KJ9+ze2d0xhHHkhgIjIeRScI7PkwBMw - EIw78uS8IcMAEVEwjgEKKe+//94gCOqqDINoaXFBIN/a2mqbe957Eai9SQ1jgnPfuLbT42BvvsgB - jTHgKVSq7fU5Qi4FADS2FoFHsNww4ZEZpmvLmUy7vcaT2fKFLSxZLtGTBiAlZsLsSghbRfCTZ0+h - oLTfYRJmszwIw17c73f76+e2TG0bbTgypVTT1P/8ejBE7ahuT5JHCGHJ0j+wlvXek/PAgXMeyJC1 - jQcSxmvw5L231uH57MUBgAfgDBA9R8d84yrDGtEXvrKv+IXXPumWJ2/azane7S/2x+UIABSTnjgY - 7xEJiQQ2zpTYrGWboQ4KrzNXAVdHrjh81eOvdRxHJt/yWWMbx3zZVNqXnlPCQ0Bw4Ctbr2TrtjI0 - 7GCM42I7CZZf/sZXJvwNq26yOt3OyoxCDsILJamxRV2RFEReu2bmy03vSFPurRdw5IrD111/5dKL - XlxD+cUH7rBI5LxA5oEMkkViDD1558zMFGfG62ih9liYCqLoysdf9fQnP5UDPzs+U/EiwAAdkXeN - IQAQggvPBbJSlyf1uX7U9d6fXD3bWHPo0OHXvem1EUt26m1SsFHs2LpiERdKWm0QQSBDBoQ+N+W5 - 0QaC0MBG1SzsJPsu2ffTv/RzAFSDO1Ov75gZQ+HBObKVrQkApIyCyDuDxAnBA2udmxgBgN8zwz5/ - h5xHnz5qGwbOQ2HaTeucsxY4sFo3kgsZBuNsdnZ1bZbn+Wy2tLTUTTstuuYzf/OZtuXOGFNxkA46 - SoYqDrmSkljDG0e20lXMYmTQ9gq/9XYevT3ZcpLbGY3wXinFOW8TlDzPUYRhqC5osVxovxORs7SX - fwNDITg4BCJPKgpKZ0ud9+PUEOXlhGLPBBV1wbsoQJimCnhg2+zEtx/wXj+mfYn25S681ndPYoQQ - LdlESglCWGvzPEfEQKnl5eU8z8fjsZRSKEmehJTWWg8ESAg+N41GUmmslEy7vbNnTi5Y6EgBLCUh - Ag5Vo7llddOAtoIJowviAkOeN1nAmVBSKaWtmcym3UEfAHZHo95wQER5nodR1Bb9rUvu4vwi5/zc - uXN1VQ0GA845OA+eptNpWddpHHe7Xa11r9NZXV3t9XpSyqWlpTzPJ3kmhEBibXn5KOykR4oAsTZz - b1er86u1TtNUMHjMddevbazKcLg12t7a2hr2h74xs+mUAa6unP0P/+4Xvnz7X59cfeCWl/7wp7/w - 6ZHOeRDede6+w9df8cM3PfmSqy796//+11kxI8akVA2RTBImVZPlzLv54YAju/jwEQ8gpVrf3l5c - XASglZUVznkrqt6Sha21+/fv//Sf/fkbX/v6P/uzP3vFS1/2B3/wB5PtMSI6bV2tn/X0Z37ta19z - xpV5KRg/ePDgRQcOvPc97/nCF76QT2eXHb300ksvbb/xY8eOIWKWZbfeeuvjHve4wWBw7NixD3zg - Ax//2Ec7nc6v/MqvnDx5sn3dXq+XpmlZlo/cxhdwk9+f6x+5z+gfcFzb6Z22lhDiJNl35GKQPO51 - QHDBpHH2oVMnpmWe5XlRlRtbG9N6slvt5r48dvJeznkchM7R+/7P333lK1+5b/+hr9zxjRPHz/zc - T//bt//K/7704xd96hOf/frdx4aLS6PZFADiUGX5+KZbbrrr6/cW7gW1q6++5rJzq2cFo2a3OrIg - 4sDfcdftz3zWi0+tnSDWZOXkzMrJex64+9zG6syU++xSEsWbm1sL+w4kIio4cs7JOWq9kwA5oG99 - /TwROU8+ENIBSca1sQIZIOMAnTRhjMWRAmDYmnJwRMEc+FrXkly7oI0yloiICS4CxTm33tZ1wwGD - IHDeK64sWes9eURET6CNZRwNuYAHSRxD6G1jG3IO0DmXBh2u0HrjwBJ6q52UUglhnLbYJAvdqs5I - cguu1x0KoUIZTrayMivb7rFQAvHvK8HAP5ceTJu+tCdhL4VytDdXYnChJe6cQ4FKKRtFzCAAGG2M - Na1vcJu6MGQAHhlyJVWciCiIe4nnYEjnPgOFz3/NC1/wY8+/b+fBJqh5IjoyGE12IhUgMQQGyDUZ - xpkTvjFup8kyW3ZZN+ylpgINtQXfkC6KejsbVZySXtxNurITNGSMs2BdYxvOgad8KqrtaotXnXg5 - ct6vz855O2OM1QEb6VFv2CtNXVelUJwLxhlYZxGcJsqhJGoceKuE4/zk7knFxVbSzYrMJCQjDmQb - bbhUnqz2NmCCIaJilrMtN2OE4yKP54c85A+cu29rON9N0gc2H1zYNxd2QhkrZsAIQmRO62w27snE - ovACMr1lyrp3qBeFYZ7N1s5udnr9vC4ar8NEhqG0zOd1gYJzQGQePHOMlaaqbMVQZLoSScS6MJ6O - IddFVRZU5a4OexFHJwKGIeMoG28tGbRWwHkJA2RAbeu+VVgG2HOlxNZhwDPv0T9aJeecQ2BtIXh+ - I7EwDCKQpjHe0nB+bvnggSAM19fWHLiV1bMMcDAYXHv9dVJKoYTW2gM45q210klHVhtPDJgULR6L - HhmX8Xxp/miK0t5zzp31DJmUsikbbP+Yc855m9N476NIOYZA6BrtnDVef+tZOeec28ZIBAJoTHPk - iqNr25tJGiD3xSwHYN25LgpvnEVOMlBaay8854rsHr2cc35hivTIBd9J3fjRTiVjnIhalnWadoeD - AWes2d4G5wG99k4pFShprdXWNLrOdW2QNHin66QRsimcUjaJkSUN85Yc41JyJiwEikdBaJwvdYOS - 17VFFSmuNna324i0f2F+e3u7TT6staEK8iyTUiopOefO2qosoyjqdbttLjIajRQXYRh2el1vnWCs - hby0ypVNVZdl6YC891ESK6WqptZaA8CjsZMA4Ly9K0MiQARAIKzrqpd2ojAs8pwJXFlZufOO21/x - utd540OMkihkHq+/9Gr0pp906rJ82lNvEPc0H/7Mh82cfMpNN9fgIhss9w5tYhYsdLr75pYOHgK+ - pa0PGFNh4J0Zpqkta/T22mses7G1ceTyS288fOhPPvZxKYW19porryKG0+m0OxiA80rI7c3NTtK5 - 7prrluYXjz/w0Nt+5uf/y2+9x5FnjFntNtc3b37WLZ/85CcFin53oJ296867X/va1z//luc/59k3 - /czP/MyBAwfe9KY3/fIv//K5syuXX3rZ5uamEOLfv+3f/dRP/VSR5ZcdvfS+++677PJLr7766t/8 - zd8cjUaLS0vO7ynBzmaz4XDY1g9MfF8DYuC7JDGt/tgeXOaCNDPuKQ2EYejInzp3dmlp6YGTx0ur - S6uNMXML8wMGQSc5uXImr6tvHrvHI5V1xYXqdLuTyWRxaWlra2d9e2d7PHnms5/10Y98dGu0+/kv - 3/6K17z2STfe+Naf/llf1B0uWJzM6tJaS4gAbH1t668+87fr5Snch6snNxRAUdD+wRHnghOrm/bL - Xz69thFHQ0PrcbfHwpAUZ6hq7Rb68XxnYPPGa2O1Js4Za4m5iJ6AUfu4pdxxQM4YtBr8zgNHzmAy - 2glD5RypMETEuhZCiCBSMlDWWkKIkpgBtmj/SAVN0yCi8d4YbT0LgsALJ6Uk58uyZDEgciGEda5V - ubYMiHvn0ZP3znrw2jbMmE6SKiUGg36UhNppoYQMpbXW5I1SypBDxbhkxhvvPXpsCiOZcMafO3Nq - ONfPvQdGjHujvxXa/jn1YNo8CdkeemCv782wbVPBecBv25oiIqlkGHhA3zSNaZq6rrz3FiwCIgC1 - 9kmtqDgCF8J537jKMhsP4qe/7Bm3vPF5d527O9ofl9ZFMh7n4ySMAqaaxgAwVBzROeY8NV4xi+Ad - GF0w22Cqat0UNlO1CKJwmKQVWWPNVrFZ68Z6CIIgDkMhodVv3PLjKrEgK2LIPaPE5ViO8xliJHqK - cddMZjKRMlLGaIZMeI8CiUyN0DBy4FAohwx7AiQvA5dRgyzQ3BJRGIcOwBhTe8vIcyLiYBSWVR2H - oQjD3OW+KnoHOp6bc+V6/+KBI99UtW8yElBL8ugTGQzmh8ozDjiqZoAgE6yanWaUhypWva6NSXUi - r5EDNKa0yESkGjDkQTruyDomSJAFH4asoUakwUTv1Nxap3kqm8rEaRjFypE22Ozku63XDiDjTIAG - Rsw9YhJ9PoMBgNY4njyCR9f2afw/oSPddjTbrVvWlWAiiELjXd00IHicJFVdO6C6rmFKUilPjhC5 - lJxTGAhrPTM8UpHm1rDGeds0jWAcEfccwNETUetp/GhXX9v5IAIuuEAxmUySJAEPQKSUCoJA69pa - myQBsb2MxxjtPHkAzgEQvXPWOSBCybmzIlQkMExjHvJJnsfD5PobH3vNY6/NReHBMaDWRJaI2HkH - hizLWq6K97ZFXOJ5ZYRHlijfcVlrW3hvXdcI0AI5jTFNVZdcRFG0uLAwm8100zDGyDpLFhElMMnZ - fH+gtZaMl9NxORodOXRwMssmeYGSN15ra9M49EYb5513ZZUB8llVqDiOwqS2jSKFjB246KI2/2gh - LK0ZUC/tFEUhgc12x3Ecp0FU5mXRGCEEB5zOZpEKhBBt3sYQvffeum7aOTeazPcGxrsoCHem406n - Q5yNx+Okk7ah5tHZSY+0HQBoB58IaRTrumlzoyiIoiC4/6GHb3rOzYPuHGd8NJoFTDz84MMhC4fD - 4dra2nAo//bznzt45OBZ2Nn1heZQ6yrcVfvUPuV4Pc2hsaa0IgikCrIyR/QeIVECHT3/lpuvv/76 - 6578xKKs77j979bXVveAyVVZVdX8/IIxpszyhYUF773Tps4LMC5igautAwqTMO2GYaiqppRcKSFN - 3TApluYXrDYf//jH77zz60iwf3n5vmPHzpw6laZpXddhGGqtWw5XkiT33nvvDTfc8JU7/u4jH/nI - y1/+8sXFxTatbP3IgiCI47jFSLWF6KONk74f1j9psnBhlsTbwyCwLMuk0zlyycU8Dr529zdlGr/q - x17fgpzrun7o9En90AOXXnVFUZU3PuOZy4M/tM5vbKzPLS6cPbs2Pz/f6Xa3dnd+57b3f+ELX3DO - /cEff+iGG244dM3Rx159Lc3y3Y3TKDkXgqlgkPRmu5MfecErpeahWxitjq4ePAlLO9fplTafFkMn - +X2nd551849Mtb//wbMGFIl4VvvG42x1e7o26icdYxyTggFpXYcq4MgRyHsg7wGAn5/hcyG80YRg - jFVhgJ68h31Li2WVC66qphZSAjkA1ut1EHme57qurG7Ae2OM0a4uyk6ng4ysAWuNd8Qls94oFNYb - R84Rea8RObXqawCeEFCQQOO9JYcMZCeOlRSMc4ZFPR1NN8qm9kAokIgiERrTaDJhGhW2ljLwDm3l - UMOwM2eqWvJQ8SAOXN5Mq8owpjjjROSsbZsfe1Hve7SBvhsenAgAnHOz2ayqqjAJ26ylRSBdaIY7 - xlryVDvqQkTueRgGjDEih0KidwieMcYEghI8jmUY1K6ZTbKLLll8ys1Pft7rb1m1mzaxVjohg4dP - P3zV4SNUaaetYsKBrKwhhZ75xppQCUAPXBR5Y+osDDsiYQg8a4ppPm7RBg6w0jqIkk4QcuC6rirT - CIZCsZGuZE/WWGmtOyKKhgEHwQS3EXHudFMoSSwQ2ulaVxyZIB9yaYW3wnkEq7xS4ImKqqgbw52K - u6Gpyo5KwPhGNyyMLXDvGDA01hGZRojM1yRZ4xsZyaqpp2UGgjvBYxUKyywzAlGloUVTaFPamnFv - DQFDn3DOWN2UnU7Q6Q+cIRR8Uk4btM7qnghQgkdXO+0ld+BDjwTOMXQMDDqZYGMbqeq8rFgoSCoh - uCWP3GRFSeDCWBpnZRR5AN00jTYpxHvfPu5x6VtR7BbhCwAePSF4BMc8AAj3nUMQIrYaKXsTRs5t - oxvSigljbUOOGGqvuRMo+KzIJUfgwKTopMlkMkbOnNe6sRysaSzV3sjGOeKJEJGMk+RbOxf9PyWz - bwGP7b51zgkhnvKUp5y7Y2N7a81a2z5Dyz/iHDudDkRx09TGlyiQc24JtGVEpBgGiiFZEatxMYt7 - oecuSIIbb3nGDS/6gXTYGZUTHnLnDGtHwIw55zgLWvJIVVVzw6UcmhatD+cVxuEfQ+tfwDcwxoD2 - ZlJSSuPsaDLupp0kjsn5wmXee9RWcB4phQzKvKg2dp1z9ebo1S97ydbOtoxDbZwhSpKkaRpnTaQk - kGEcEYnQCxU+dPzEytlzzlohg9F02ul08mrPd3Y4HJZlOZ1ODx08OJ1OGWPo/Fyvr7WWyKIgiONY - a33pJUe73W47bTTGtDYCzNPi/AIHPLS8fzaePHTieNM08/Pzw+Hwnvvvm5+fb/u+xhjBHr1/QOfV - d/a6gwiERVnGYdgOvre2tvKyeNrTnva4xz1htJVnRanJM4WN84VtStNs7u5ay170vBf85w+8M7xs - ON3dCTvJRenyYT58/L5LvvbZr9Fu4XcLVrh8VpioqcBw4QW6sqq5dr/8S7/Eg/DKxzy+Nxh89St3 - LC0vVlV17NixMEmkUsdPnPDOBUJC2smm+Ve/8rUX3vz8qy69cmN9fXFhYXc0QY9N2aRRnATJ6sra - 8QePp3FndzpJ0y4iHjxwkWD89OnTO9uj5rAWjDOAqii63W6FGAXBlZdf/pjrrsvzfGNj49WvfvVt - t922vbPTghGdc0tLSwAQhmGWZe2nAa2IzqOAEP5H75H/GTW0wEe8jxau7R8hsNQ+YK0gEmCbwrdI - iyyffe4LfyuEGHR7rdTjiRMnAGBheSnLsjhKJpOJ1nrh/e/PsowQlpf3TfOs2+lJoR5++HiRFePp - JAoiR35jffOPPvqxw0cuXl0/d6CTEug06VvOt4tC+fDDH/t4UWS1z+OenJpJHIdmWoYYCh9a82ng - cWcwXN3efM97/4si3L9/WYXhbJYv799Pka12R9b6qqk5cSFZmMSmsQJ5O9Xw7bFHZATGmEgI45yU - siGKg7CqKttoCFU2yQ4cPJjnOWOsygsmVKiibqfDAPNZ1lQVEaVp2k1V0zRFmbUqC2EYeiDwVBUl - RxZIGcdxGAWzacaYVyoEhrU1yNA74Iy3XqzOWAum1q43vyA5ZtNZpSvOBWdkPXAOzhlAH4VhVZdS - Bdlk2u0Oojix5JQQoyxbXFzc3NyIO3sHEolxLv559GDaiIPEABgi92QBwFuqs6YpXBQhIjrm2ua3 - JWt4LcPA16StBsuQkHGQXF2YQLX1cXvrMYGeU0O2tsWszjx3vQOdJ970pJf+2MtO56dHYjzcP7et - d6uqOHTwYFEUEQ8s+UCouqrDbpLZgksuQCDwaTGnW7iXAAAgAElEQVTDjhSRjOJu1dQSuODEIy7C - oMVIoHdxJwbCqioZIWMoFBcMHVmvyEjfaC0kc2ineT3xngeK0BZ1BZarQGinDbkkibxzoC0TnKw1 - 6Ax4B857ZoyLO10ylfZeo0XJyqbi5IExQkDOuBRcSPDOeiQOhjkrmW5sEIQSJROMOFZGa6ethk4a - o3d1XVfUBLGKISjLIkYBgFWjmeIMXWmbsmkkU7bxhmGcxNZwMpZxzrjH1q6JwKN3zAP3RFShlehq - ryNmZSyMIwvNNJ8GXAoVebDkWaDCPJ94o5EzRAqjmGrvL+yENkWnVpYDCMGDb5ObR9gI+wtcEWqr - ib1kh1gbixRQh5w1DdON1R2ZNE2Dgkul6qK2gUfkuqrTJCrLsvBFBNGMzfpp3zmXl3mYxBB55hhJ - 0FqTqCvWVFCmsmOFbtMsJMbOR9e9epO1QEC/d80Bs9YCMc65aRwnWlhevOSWK+/60rHth9YqXjY+ - FArBUJnNTO2SsAuSKxEoJpCD9x6clYGUUjpvnNNC8kZYGanMzGrPr3nC5S9+48vMwNy/dpyGyLms - 61LJyFnPMbC1VUJJENvbu3ldqTBARN8Y2ermOY+EDPDRkxgEwCAIrXXW2jCIEXmrbxvHsbdktJnN - ZgJZN0k5QZZlZB1HsLVmnhRhxGV/bqEfp+/61Xccu+/eD/63Dyad0HMrUzmpZw5NbepIRs5YtCIS - 0fLSRcyxyW7eaFs32hgz7A8WFxef+pQb/viP/3g0Gr3i5S9/yUte8vnPf/63b33Pj77qR5978y1L - ywu3/h/vvf3223e2tpUQ3tqffutPfvaznwUAa3xd14wxJWUoVV1WRy+9eHtjcxzFT3jyk8bZdDSb - nDhx4tKLL2m5sQCglHLO7dHiqL3CzvNUvh2EdeGHOI69tbqxQojBYK436JZlefLE6SLXTKq4m+yO - R5ff8jwlormlpZVzJz/953936TUHH3PVU45tPyx6/NSxEwcu637pgS+vfmFt8+GtV7zkR7/8+a8S - IeesqBsWcec8eceRiVAKGWxs7sxtbxNib9AvikJKGaWJMVYpNZlMDh86NB2NR5MJMnb0yss/9Ref - jqT61F98eunA/rjf7/f7LXjld277vaSXXH3dteu//W4hA+fMn/zJnzznOTfNz88TuTMnz9x97139 - fv9f/eRbB4NBWZZa68985jN33XXXFVdcsTMafelLX7rnnntWV1c7nY4xpuXk7uzsWGu7STqeTSXj - hIQeBOP47b2r76slGDHAb/EhAYHAEwLQt0BPjAhhD1thtZFhCEitqYK1ddJbfPDB0wcOHEj7YZ6V - k9luGMZZkTVGh1HYeOMYEYL2LghDY0xd617Sc41d6AzHO2NERMnmu3M7OzsCOJfSCcdDpY23Dvbv - v+j+hx5M0xC5cBYjG0S1CCBxjiAQIJDAj7JNKaxSHJ3Pi10pfCdQvqltY7UnkcYMnGeO0FZVLXno - wAMC8L1Sz7dYPCW1s0wqByCCMK9qAOQqKGuddvvTaRaGcUvHVlzZxubTHADmB/NVWTZNYxrrmGeM - heq8eIPdswPqpT0A8JYQoK7rKA4BwBqDHiMpiEFW5DJkgQiyLEPve/1+FIToaTLLiqLwBOSBIfJ2 - GEOEIJ0GBYFvWE/1oPaOGoY4K0ZpLyibadyJPJLAADwQ4P9UPZhHLA/AkJCTZMS890EYzkwdRvGp - e1eedcuzjTPAgJQpqyKVoWfOde1sZ6bCwNWCofDWAzAhCDlY74iMRIHaB1wgh8pWwFnYD7QrdnbX - 5y9Z+KGXP+dJ/+JJ67ThuiAxyrK8E8dBxJnzKAMLgAFaMBhBYwrOADRJVOD9IB6CZURgQUvOgJy1 - 0MI19s4na9unvpViIyBAckQeGQdBxoeoWsEyroI2PjIHiitC1gAQAENhtQMAxkXtPTDpCRhwxiR4 - kFxpXUtAjhyMY+3IDDlDIGs5AOfc6AqpZfaaOEqNdiIIG209MnCAjkKUQIwLaGzDAICxhEVgvCcb - iAAIPEDMQ+89Aw4emGAOGAITwNondxwdeHIgGRfEkIA8eCE8ECDIQBRNlaZdY1pWNOcepEoAwGoH - yBhjhTahSogALEgQ3lhERujaQqj97AAAyXtsgZTtRmFIwFsVGPSA3yIhAQAwQALmGWecMZi66UU/ - cKAZ11VR+8ZFLExgr0CPKdk7Y5QywBjSNmQl0GXgAaALHUuesT1FWomCKbHcC2WqZE+UrHTeMy48 - OSQOiOSJGF4wIt2LgAhIrM2zvDGSSyHCUTXbqWavedtr7nvwgemJUbi0f7a+m3geEI+UJGosMc+8 - YUDggRMyxryzYGpuWcQr0IbZGix1/OOe8cSXvO4l+bDataNwEFAIdVlGKqprzT3jBRyaP7y1usMl - s46iXidrKmOaTpBM9Ug6xi1XxHPteFc4fwFbjJwLRNY0mjNZFjUQW1vdWFxYRuQrKytxnEopq6IE - 5/vdblmW49FoeXl5MBjMZhNrtVIKAZlghrwh2h6PgfOt7d3Jevaa5710OMfvXfnqXetfX5neeare - CjrJJQtHH3vk8dfOXz/HFz/6wU90g74rIIqSMi/7cTrs9j78ux/81Xf96u7OjpT8VS//kec973m3 - 3nprkkTXXXfNv/xff7w/6L7lJ976mc/+RdoJy2K6NL907vTpJ1/32ChKNte3PvGJTzz04PFer8eQ - BnPDa6+86uqrr/7Qhz54+JIj+Ww23x8GQuZZ5oCklJ5IG8MYgwv0/kdGO3xE7LtwwyFYYyUXjDFn - yQPt7oyTTs8Bi7ppq2Ucp+lnPv+5M+srYRSsr68Oh/2vf/OMY9oH3XrqxSS48+GH5zrDO0+tFJPi - D//0E2tlJoNwZ3fnyKVHd6fjPK/TTlqXFQrBmGBJdGrl9Pr2xtbuThJGnX5vNBpFUVQWxcGLLhqN - RnVdp3H8vt//naWFxXPjTcHYymSzcCUp3K1mvV7v+NqZrXzsI/6/vf0XWSh4II0zK6tn33/b+xnj - QB4IN3bWGcGv/vrbHxmmb7/j79otIpXY2NgQQlhjWhF78p4z1u/1ijwPhGSIzntE4N/u//L9BvH9 - ez0i9N8u+NTeey3FmsgDYhCoqirbzmpRZNdce9XZs2eDQF12ydG/+fzfLi4tTqZZlmWD/hxjLEqT - siw7ve65c+f8ng4PJUlC3hZFVhJbXJz31hVFcebMqaNHj9a22Cl2VDfaHo/y2vWGS6dOnO52+oP5 - 7sa5s82sOLy4sLuxMRjM5aY2nhxjeZUfPHhwfWUlkVIxPux2AkRBfpikOVbGmHPbm91uhwic0VLK - 7ygD8V0udaIW/oWIDIBaEB0CkPfee2fthZn0BSmUvY/yUZ6wRZ477xCReSQPsQwYYZOX3MOwN+ik - Ha31dDptxQMCFbXUSiIvmGh1a1vJjW9rmGJLAnnk+uey7Pp2BV5GDAkY8LquVaS0aTbPbi339x3f - eUgMZKUzjmw6ni7KsKRch5YhAoMGtbfUjvyBoXGOiALgYcyzOrfesARr+n/Ye/M4y86qXHitd9jT - metUnRq7ekongaRJOiGQCxcZfjIYBYT7fSI4C16/KxeDeEVUFFA0JpFEBFEgyCSEQb2oBIEr4w2Q - GDJ2Oul00lNV11x1xn32+L7vun+8p05Xd6dDWoMX8Fu/+u0+5/Q+++zh3ftd61nPelbaXo2gAGMX - V5/94mdd8sMXF7eVljvLfZ3IkiONiMLQd+XwwLdeVG4AgPGznRPbh3nLkplTPwGwfaHtiy1nnsGW - 0MQSBoG2/gyeXO+UXwQAeBTI++RQPGNX6ZTfOu27DIDpU75lfYgzD5ltbmrLFx/9tJy25mPszOlf - PF176pSNbLk8bAt2YAitmjYwYkYbrQ2SFp4sTHpBwytr0rlxme1xBmBZI0iIDBGI7PSEDAjIUmnR - qicAGE0mN7ky2jBkAlFQjpntk0Bo8+QEYJCQm63sXhoeKWnypJNrZQwA4520XR+tE8GP/7eXffjt - 7zsaHwWWZcwvuh4DoZFliIpBzg0BcDKcjDBgkJTDMkg0M7GOyhOVfc+89Fk/8ix/e7CWNVMvSSE1 - uWEcNGgZuKqfT9YmNubX64WRO/73nUK4ChJ7Cm29OjvjDA9JvsZWDwAXQkgpkyRzHCdNcyGo0Zjo - 9/utVqtUKHKJ7XZbcM44n5ub27Nnz44dO5IkiXqR1tooPTE1xRwpGR8bnxwfm7ov2d/rtC++ZF/q - T37x0NH6eUFjx1M6aYo97Y2J8enapDfVaIxq4zGB/SSeaDRqterywuKfv+9dRT8YrY1MTDRuvfXW - iYmJbre7b9++Bx988MY/fceVl135kU98hAk+OzlJ2izMLQSev7ayWgxKz3vWsw/cc//60hpnvN/v - r+Yru3bteuq+y795+7eklAwQjCn4QZSlhqFgEgCEEI/K4diq03s2s0xQY/VhEIiUEI7llDR7ra99 - 81YOMDo6euChQ2maxnF/fHJifW1l1+7dDy8eYUyMjjZ63fCOu/eXSqUoDWuNkYcePFCqVrgmMDA6 - OnZiYYE4j5PEEGmtG42G7ftom2+32+35+Xml1O7du5Mkue++++qNsagXuoEfdrr1el1yYXtNI6Im - UygUpOcK31VaGSAEYowzhkTMkiXOtS3AyXO1ufxec1nOtHOutu33+7VqlXMex3HgBqtLq9tntnOO - d995TxzGqqKiMOacR/1+mqY2BSMZnxyfKFXKS8vLo2PVo0ePNhoNEpTlUTdvt9vtyampil9YTZfb - nZbr4US1oZgaK/tprERKAnW60pssjzGj415crUwaEmAkgmAgpPBU7pUK45grBKN7Jm1GmOnF+bnE - qG2zO9r9HiLmmfKDKmiT6/xcE3N2bbNJQbU5/qEokE3TDDM1Z0tL06ajRESGyDJibD7LSj5orYMg - KJVKlglrRcksR2QYXtF3B0L5txjCZtn0wJVhYOXLCBkDREbKZFH6pS/+895n7l3emKtNVRXLgrKf - rMa7du2ISylFUPIqaDDXmtCQYFYNBQAEYyzLBfM1aO4JLlk37RVGCnv2XnDhvj04CqvpQlO3wGUa - lRLGEQ4oZOZcvbdhQuNxLFGf6iue+VtbBgCxf+cmyd9fxoghISNm0AAQWQUVMAAghESDxhgNBkAh - s/kmBQVUpIwGQwqIERpEjkgADMFsOpoMaXC9DGiypFBE5EwgaDD2Xt6UimFAgIhgGAcEPdwf2Nwf - sB15wHCBnADSRGmFnV6cCdj7/Etm79qDkaq6RdVJuEKtSWnypdQMNCMA4AaEMdIAAGghkjwjASBp - 997zn/m8/zR9wfRSeyFhKfMQGGmdx3lS8AppkhS8ojGGaZTgfPNr35JG5qSZGWD7hEYzY4u8hmNy - 6MQMpQKtWqBV5rS0s2p1RCnV6/U0GSEd4ExpPTJSE0IcevjhkXoVEaXjmMQ0JsbbzValUun3+5nK - O2m3A93RqeKGCj/9xVvmN5rj26Y4L1TKlXKpOlqfQClavdbxtbnR0RlZFUzxoFTshL16Y2yj3QIA - N/DdoBDGycz2HUw6vah/2RVP/YM/vEZr/a53vesv/+J9SZJlSTo2Me76XlAptZqdeqPhBm6r2yqV - StKTG611Y1QO+fr6eqVSMUBhFAlHCjBMCts5gQ1mcjvGTh91jyHMfKaLk2WZXw2S1KRZ7ArpcKFU - vrGxEfX7s7OzWZ4sr66OVEb6vcgRbqfTqZSqRut6tbaxsVGr1frdXq1SHR0by9Nso9VMo3hifHxl - ZaVer1er1UOHDtWqVdd18yQtFotJP/Id97ydu9bW1tZXVjnnnuOCNsWg0I8jBmiU7oR9JFJKTU5O - Lq+ucM7bG00ACEpFrbUGUmSYGsTVj12FSltePOofnOtM+X/JztmJcaSEgQh3UPD8hRPzkjulUikI - ihee/6RutyuIT4xOJElCSrtC+oVinufLy4u9Xi9K+q4ny+UikZYuG21MLK8sjU83jsw/Mjbe0Cbn - PmeejDVstFsjBe5xrzhSDMMQ0yzKYq/oNvvtRmOi142CYiXPjNZmfGxieXGx5LoOd+ulQtqPfddv - 1BuaqcWN1U53I1XaGCOEg8j6UV8IfjY/41FtuDINkCRjtWWtE2P9fVsm8B23MyTWDcVzbRrbbqdQ - KJTLZftwsZp4tpjI4jFSSvuL53q9/n2MgQ18LGcTGQAKRACttZQC0Xzig5985rOfkXj1LI6Y5MYw - r+T/8q/9f0JLSIijYIxllBvQxBmh0UAAIBiCIUfyTKW5zqQUqclyUE5BouSxifpZJEtCeDLK40xn - Ba9oNJ3L5R2aedxLhNOqNx8luNtc4aQs7P9vj2IGgBPf9GAGn1meiibDOEOBRmuVp2CQMYYOSyAl - MAaNhoF6MhAMWXynz1to709DltJnuAEyxmhFUsqB7zKkwTA0QMJwBMPAGAQEwzZjWUSKkp7r+oI7 - SZQ2phobzaYsllKV//TVP6P66czYZNaNBQrOudFk+El8UhpgBNIQAOYEju8pk6c610KDi4utEz0d - umUn0bEBAkbaGAe8dn9lZGSktxw26uNHD86tnVgf9RqucQVxJAQ0mhnNtGaakMxmZmQ4aQ0jK2uO - 40RR5Pu+fcL4vj85OdlsNjtRp1AoIOLy8jLnvFYfidO4GATrGxvVSuXBBx+cnp7u9Lqtjeby2qpw - pSjIdhpGaJ506RX3d44XC9PCCZI076zGsa9iyhxWWFlbLVZGkyzuh/GRRx4pBqW9e/fW6/VyuXzr - rbfeddddV1999V133XX55Ze/973vVUo97WlXep4zN3fCIPTCqNfpFovFKEm1MZ1ezwAlKgEBCpTn - eqONerFcWlxZrlarjYnxROVREnfCHiAyIAMkOPc8L0vSx0PdoDM8GsKBcJCtzxVSpmk6KKHPVZqm - vu/FcdxoNE7Mz1cqpcZIvd1uCyE8xxHVenejLaVEDtu3zXbb7YLrCSEW5+Z93y8XS1mW9dqdoh9E - /b7gvBAExWLxxPG5ycnJMAyjKJqenj527Fjcj4IgqFTLOs+73a7v+8zQWL1OROvd7u7duzudzoED - By6//PKlleVarba+vm4B/mHt/fdetPtdtHN2YqyfKxlnBCPV2ut/9fVht+c4TpZlDx98aGZmZnyi - MTc3R0T1sbF+HBXL5U9++tPSlSvra+Vy2REyMaSyXOms1+2CoTAMd+3a9eBDB2e2z0yPjCytrR8/ - sbhtbMYjJ14PSx7+xtW/oplOeRpTWqiX19bX81w3ao1+O/Jl0Gv1J8cm+p3+S3/sxSeOHX/3u/+M - GF9eWzVc+4Hb63WCUtFogYBplBaL5TSNz8m/HGaLEHHorAwVUIbFn8PQ57Fta3g0bLIlhLAYjBCi - 2Wz2ej3XdW3Bmw2ehtXI53qx/p3NIDAyxMAQ45zlqSIw0nOyONbc3Hfb/Zc+95L9K3cXxophv1cJ - KpmJ0iRxua3qYBoyDRoYIKLeZCkKlHmeGwaOJ3JuNGml834S6tQAxxwUaABNnKMDQlNKDM6SG3lU - Y1uWj8+InYGwPsbXz0RuvqP9h3J6Tjt1VsXXAIDOjGBCoEBkwAwYJETGCLRBAA6MI0OGxE7RPRpU - TJ9xoxACEWmL5jDOJG3C7IOYExENaAZghEIaUo+NwQEe4wqfUp2xJNFJL+uhhp5uiozC9XjbxGwk - +82sDQIQM865Qo18kBpDAMaAEwiNAKDQkA77cRwUfYNGCpnq3A1c4JglKlVpEARVfwQMC6DAM+lI - J9yI7vj6twtYEJkwhrhiVhqCkDQzmimNZshQHpzWzScVDXQClY2IEDFNU6U6o6OjVjUuc7JOp1Op - VLzAR8R33HjDL//yL7W73TAMb7nllh+96qooipRS5WplpF7PSY00xj/04fd95h/6blV6pfGvfu7e - to7R0PbKdHSvuj26c+nY8u4LLu61wzxVrvS2z+6sVqvnX3BBqVw2RBOTk1meX3f99T/xEz/xK699 - bbvTedvvv/05z3nuky+66I2/+aZ2q1nwAkAExnKtbvni58vF0vs++v5jS/NTs9NZlmVZVqgUHz52 - +MiRIxut5tzcXKvXdX0PAKrVapJn9oEZd0MbbJ8cAI9jLNqms1vfAkBQ8OM4Jm3yPHOFJDIjtVp5 - +/Z3v/vdzbV1pdTRo0dvuOGGTqfj+75K0sZIfWlpiRlqx4k94VHYb4yOOY6zsrYqpZRcIGJmsjSK - OWBzbX12ZtvrXve6o0eP/tM//dOhQ4e2b9/+gfe+L8/zcrlM2rz1rW+97LLLrrrqKsbYoUOHbrzx - xvn5+fHx8b179x44cMD3fSFEsViM4thqKVnwftOnMfz7IB30b7VzdmJGRkbSNM2yDAB9P7jjX+6c - nJh4+OBDSZL1e92vfvlrjMNkY7xULW/btu3Sy/alWh07fpRx3qiPRmmishwMPue5z/0vL3vZ7t07 - b7zxxrvuuuvHf+zlP/ynz/vK1756/Y03VMYb9dnJlWMnxkojk+MTq4vznOkPf+IDL//Fl8+tHms2 - 2yeWF9N+zHLQ7fSHLvvPnePN51z6s+//p7//1f/66mZrda3bTgBE4DueEBL6/dCXMjOakNI8VUq5 - rhyIjjxubSj7Yuv6W33e4SdbV37U7QzBmOEG7XaCILDKTmEYWh6+67pKqSRJ7P/abibW3fnec2W2 - JlwGNDpCoxRxgYYxnWnB3bFi8e9v/gfj0oXPufBo+5jreSnlMhClSjFLY41KgTagiDQxZDSclgRx - R+dcMq4ZpVkCHFzXIYMORxSoSeVaadJAGsnoHPBxdEXeYpbv8vjTSTAoXNnUbRts5KxGsAXqf1x2 - lhbfP5BGYADplANGmxIC7jEyRhnFiAnkxEipPM+IE8chzLCZORl+e5DR3HKL2PvUIACiQQQGjDPg - CDZ3SaRBb7mLCRnaoirrwQwhon7W40IgM8KwarWYq3h6bBwAgzE/ScNiJeh0OkElyHSmGTBEYwag - KZJV02Q5bMJEHIvVABDXV9eLTtEtOrnOlNa+6zHAgPm+KKwvr1XLI5iwgBe++OUvH7r3UMALrvGy - LGMu5ygAwKAxTGlmNFdbZ9+tmjFD/NgYY8XjrVtj5Xqr1erGxobv+/1+v1QqPetZz/rsZz87PT3d - XN/AMn7+85/fu3fvQw88aNWKjx49+o4bbyhUCxddfLEAQB+aWXd85gJwpS+dZKVXYa6v5AXTFymA - g0eOpFGcaRW4weLi4tGjR4MgaLfbeZ43Go2HHnro2muvnZ+fv/TSfUtLS1/72tc+9alPjY+PB8GM - 5GJtZcX3/b//x3+o1+uVSvnE8sK2nbNWRb3b7Y5Ua812+8SJE+Vy2eI0ju8hY1aD2BXS9/3U0NYx - YB3WkwVKp5oloQ//y1j1U5tGBAjDkHNuH7ylUqnT6SwvL5fL5Zna1Adv+kCn1X7ggQea6xsAQI4Z - qdaWF5e2z85GvTBVedEPjp+Y3z6zDRg7MTdfqVVtI1siytOsUioHQdBtd8IwvPjii2+//fbFxcXx - 8XEGMDk5+cD9B7765a/cfffdRx45/NIXv4QBPnDv/p/5mZ9zpXPt9detLC0zwS+99NLl5eVWpz02 - NqbI2K4yFq0fRstwhkj6D56dsxOztrYyMjJqK1x27tjd64Sv/4PXf/LTn7z+2j+ZGm+QIsdxf/pV - PzW7Y3Zubu7CCy/45p3/sr6+Pj0zk+d5a31jdna7itWPPf+qd/3Jn4Xd/p9ce90tn7zlst2X/vJP - /rdfePWrX/nin/nkP/5dX2S7t1/QW18/fPjhyYlqM1qZOm/kh17ydHEI/vqWm7teJ1NRJXCzuPvs - 5//8eFy+cu/Om/INBW0tFBV5WyXadzthrxQ426amPc8LwzBJFThSaQMcgZilGD+2soI1e6QnnY9N - ub/BE8lW/aCV0kFk7GyiQGSfhpwZAGM0IgJnjKEnHduNdujBWIpMmqYAMHSrYTPc/FcTtb4btsnf - ZLCpCAKbEwkZ4ziOBp1nmRf4WTddXlj93N9+IdhRcEa9crHU7GxEKoo0ZnnseLYe284dwBCZLfs1 - Muxrxw2MUVmekzHCEYgKOSZJkumM0HApGAMCwxBdx8lzbf41NBT2uJfm5CGfjfQ6tMezzml2rut/ - PxuCMcywrcgJMbACl4ajQSTGgQvkgIAMaQsr7DRs8mw3Mt88n5YcY7Qmo0kDl8IAEBiCITkGDCNE - CYMulWZT1wYADTcoGGcaLW+9F/ZJqLDVK8lK0o8ZGmPyTMdRHmsyQgi0HRaIbc6dbOBnkFJpzpkA - AFmRRhptsl7Y8zzP8zxf+KiY6uVFVnIyhyLYf+CBu2+7hxKgjFzPyZUSJNBSh5jRjDTTNNhLwNOI - vZtcOsaYLVG0ciDGQJ7n7Xa7VqtVy5XA85vtVpIkDz148Jprrlk8Me8ImfSTq6666oN/9VdWI3hs - bGx227ZP3nwzusZxuETRi0MseqvttvQ9l8kyCZkbxyBjTAReK+qSyUsF3/WKTDi29+So69RqtaWl - 5bX19Wq1+tSnP/3gwYP9fn+bv71Yrh4+erxSKiFBoz56bO5EP449z8vydHV1VUrOOfc8L43iXTt3 - InCV5a7rLiwt/vCPvDBOEpug9x0XALRSJldCDJCY78jkHZrBLe0CB/RecH0nyzIy2hEyikMC7bru - 5ZfuSyn56Ic/Mjsze+zYsW3btr3pTW9ijE2NT7z2ta/92099+uiRI3Pz83/xnvdc/8fX/siLXvR3 - //N/vutd73Id97W/8tpKrfrkiy/6lf/+3++5555GozE6OrqyspJEUWN0dKxeD8NQZbnK8n/+4v+6 - 5557er3eztnta8srwdO8a/7oj7785S9/4IMf/L23vuWCCy649vrrvn3XXV/4whfuvveebrfrFwta - a2WU3rzuHBkimjNhycH9Mnhc2xcDKQEcnASzKaliJeKeQPtulGefsxMTBIHWOWNcKbW8uBIEQRQn - SZyNVKq9Xn/H9u0rK0ucy9F6/a477xTiYimOwxEAACAASURBVCnlM55xJeMyDMPt23dkWbZ9avaR - hw7/4Vv/6MkXPulTH/vkq3/61edtO3+qPnXF3isWF1f3XnhpUCn0VluNnecVLtjT763P7pru8N2h - 6VamCpc/bx/3TNHx3ET91fUfXm8eee7FL+q3lp7ypJ0SwC97lbE6+s6O8/agSnTcTzvtow8fmp6Z - BQejMOJcDm9yezjfMYk4dF+GGaXhh8PPYQvl5WznbdiqbbiazUZVq1UA6Pf7YRgCgOd5xhjb/MX3 - fauwaaMom2B6gsfUv80MDp7NBthJYAIAgEnJ0jSVniu5l4aRZjBenuwthn/7wb973e++duH4ifpk - tZ/1gSs3KCd5SLYgEhEJEDjYPD8ypxjYnp1COJ7npUkUJlFQ8GTgoWJa58gIGeMMlTFZkjL0zlqC - dPbjeNxOjDn5lTOrwc40st/6D+SXnJMRGraprLrFOzeMGBEx5JwhaUpVCsaq9vNMZYSGAQdGDLh9 - TWjQknxPx9XAbHbJtrVQDIQBbVubaVJgiHArpMoGtUgwGMx2g8ww6XgqzYhIGwJjqsUS5CQ5J6br - UyOrrTXmi1ym0mOQayENKVumDQSMEDSyTZ2SnCPjyNI0rfujq911zvl4fbIbtZMoDaSvEhV14ou2 - X3TixAkd0pdv+Up/I5quTK/NbUhHCmIMuNWtBhgq2ZzuzA3RF4BB33gAsH6MrVGyTbnX1tbqtZFi - sWg7gc/Pz7/xjW/8jd/4dZVl9917/+uvvjrpR4Jx3/ePHz2WpmmWpJViIcviXGdILI9NKahJx+Ma - 8k4kkQNCnKZZkuRMFculJMvidjsIisaYZrMppex0OsbQzMwM5/yOO+6YnZ2t1Wq9Xk8pddlll60u - LzPg3W44Ojoax1E37CHC1Mxkr9dTKnM8SUoD4rGjx8bHx7Ne1mw2G43GwuKiBa2tv2UMeZ5HuYZN - D4YR6Md8am6NDE97glsBFcF4rlTYj6anp02q9+/fr5T6xje+QZpe+MIX9tqdS/c+Jcuyq6++evvs - rOe6S0tL73/f+66++uoXv/DFf3j9H/7Ob/zO6OjoG9/4xp/6f37qW/fc9uu/9oYH7r9/x44dvV7P - 9hKWUoZhaH9La12v13/rt37L87wXPf8FjzzyyGte/epvfuMbo6Oj3W5XABsdqX/kIx/5/Be/8OQL - L/zWt76FiIVCIUoSRGSCSSkBwFaWfY/3PHqi7JydmGFXbt/3C4WCUsp13WG/qDAMbTcc2xvT4ofH - jh1rtjqNRkPKcH5+frRS/4Wf+/m3vOUtc8eOf+7vb7n22mt37TrvbW9723l7zv+z973n8OHD5Xol - 60TrxuRRpxjwfr//1a9+9eWv+X87Sx0hhOdzRtjtdl0XRkdHb7/99uc87YWHDh3SoKMoOnLkiOd5 - CwsLnfXlC3ftYIzNzMxUqtWNVtu2CXU4U0rBJshhwbfHdmK2vj6TWjuEXuxGLHCCmz2DlFLDIqMw - DG281ev1jDHVatXzPJtF6vf7AGADJgCwn9vHkH12259GPItf/X/NcAs+sXWqRmPIdT2VK8YFA7fg - unmSZUl/7d6lD7zlL5/3wufWx2ZNnGWoSWsALoQwjAyAAVKEknHkXCtAzHPSIADAhHmI3DiBo0jb - Pi9M8AGRgogjciaB+KNWBQ59za0PdxubKqWl5JzLLMsBrMY2GDs7ICAy+weARAhoLOHAjnMbAmZZ - JiW3F3pQQj8YVxwIjTG2POS0hsNbny/Dt9976cLvoqERgjgAI9IaNCEg0qYuPCmjAIBJxgQSkTJ5 - jmnGMpTgCDdTqeSOAS1QZjrlKB7NiTFmMCvZjQ5POENERgwRGEkbilpCiR5eFFtDDgTAEUArw7lE - AmSMEYAGIBKem3IVqiaVMWe55T8x1xgCJpGRJeKQQZYhGgQCEhqk3VWHtVTbL3hE2E27AFAqFE1K - eZqO18dX1lcW5hc/9Vd/05nr7RzZyQwvOIU8ySV3hBg8JczmTm4aARj73LBD1OIfcRwrpWyHRbB3 - Cue2wZBNwegs9x234Pmrq6snjs/93pvfnERxtxtum5omIsdxsiSdGp9gBHmSOWIkz3Mi0sqMTU7O - r67EaVT2AiEdJI4MVZbLcqAhTTSh66HOOp3O2NhYu922W/P9wDab3Lt377333rtz585KpbKxsbG2 - tuZ53om5hcnGeJqnxpharba4uMBaIIQolUpxHI/VR7Msm5ycrFarDHCj1VxaWiqVSo7jOI5jSy6k - 62VZJp6gyMHi4siFRbbSNNWJqtVqvvSv/q2rw26otS4UiseOHfvGN77x8MMPP/lJT2KM3XTTTSsr - K+Pj4+//8Ptvvvnmyy+/fHhfX3PNNUePHq3X62ma2o0nSZLnudUpbrVa27fNIuLHP/7x++67b3V1 - dXx8fHV1ddu2bXEcP//5z9ekjTF33nnnvn37ELHf72dZ5rquZR8HXmBblGut+ZZmHT/Yds5OjOd5 - iFypPI7jjdb69OTMHd++vdXe8IuFbqvZasfS4VEUfvaWW5aWlj5681+/8CU/urKydt6ePRsbGwBR - EARMsoMPP3jFM556wZMv+NyXPscD/rG/+ajvF377zW/+1l3fqm+bWF3f8EDqPJ1sTCIk80cX66Xx - e+6675H5YyvpWitq5v14ulLfPXXh3LF2/GAz7n1DoR8lecEpVoNie3ltfXmp4ntgTFAqBkHQarVa - nW6mqFyppirbipp8967xcDIb4je27ZxFWWq1Wql0qh6M6+JAD4a+J7kvj2nE4FTkkoiSJPG8wBjj - cScKkyDw60691Vw9ftuxb0bfEDHu2bdHe7qv+kBRksQycGyJoOBcpaSMktJVhgBz2MLZpE2+JABj - A6jjZCxKp6AmJ5e2pB2QkbE5OUMMDRoi4sCMUlxKyAxjDJSRXJyGq1lqgZ0AlM6AmJDMER5n3BhD - xqi+EoKDRJ1rABRMbJbUMyQEzRgRIrd7Mmg6gYTIiTQAIzJgm1wiP7eS7+/nJRIiCTSkATmIQUkz - M8RIa4MIZnDF0ZBGRCaEZERESAgKDRljSHNtckJuE5qnV5NtYmVm0PAPmB02iNxWd+Om+zIAupFZ - 4WD7VTxJe2I2h8wINAAS0wCaKEVQFj3UiAAMkAiRNhtKAjICQuRsUNTGLQGXgDQOZEsMoEZH+Czh - 0gjBZfNE667b7zpxdKF1YmNmZKcET6VGMC65iLNulmWOIzYHOSKxx5My2YrQAAyeSBxZnqSedPr9 - fq1WGx8fP3HixOryyvXXX3/wwMF3vvOdl126b2lhMY3iHqAj5Gi9HrUTLt2s3x2bnjg+N2dcITwZ - q5jiJNNYdguV2mg373PhRSot+YFmxnUZ59zWLmitw7Dvuq7nefPz8xdccEG73ZbCtRHF8vLy9u3b - dJZz4loznSvbCVKpzChK42wlXWGIT7viynaztd5s2ujO+i62TkIIAZwR0Vbv7jum4O01HZyoU8EY - 6xAkOgk8v1osJUnS6naEEIuriw899FC72ZZSbrRbQam40W65rtsLQy6E1lobs2fPnhMLC8aYXbt3 - Ly8vl6oVBSoMQ9f32t1umqZTU1O2JgYAkiQRQuzatSvuR/1+/8CBA9/+9rd3n79n6cSC63mM83a7 - PT4+fvDhQ5Va9UMf+lCpUn7FK1/5lre85RWv/MmFhYXtu3ZqrRcWF4IgsILFfuB1u13Xdb/j2Ph+ - t39FdZISAmxBDTLasWv7sflj4+NjP/riq5KoXywWuUDHc4NS8aKxvRPbpnfu2H3FFVccOXq00+l4 - haBYDIjRP//vL1/0pCflPL35H29OZfqsH3t2sRj84q/9nFvnR5YfyRPz1IsuOfHI8bm5EyPlAiTy - eVdeJfvFfdP/SRdoeX1VAJscGc9moxIWKk8vuuA95wUjzVa2Mrfa8Edq0p8qV5MsevDBA2maTM9u - UzmJIGD9NI5TIRgHtA8jK7UOAHgqEezfZESMBoxDNISGEAENISJnXClFSheDoFIsIWAWn1UP5myb - /16TfB5QKeF0Moch4ziehSvK5bIxkMWpT64fF6I2rR/sfDm8df+dB2efvG3v0y6q1uu9pLe6ssZd - OTZaA4CUpcQhNxolbPY6ZswAI8uTgM3pBwDYprweGADFlWaPNXES00RIpBkTVqHQAmAcuOCDOnaJ - koAs/wlOJXczJjRoxgTnqLU2uWEALklPoJScMZFhQoSOEFmmGGOGDDIkQiGZMcA5ak2DKRzp5F6R - /g/lvtglI0AjgIGdRAbNxBgCGOJkK26ACBFzlQOAg44FtDjxNE8lCSLiwDXprQmDMyatU98TYwBg - GAIwYsP/HngraACMwYETM3QPDMBW4pcd8hwYcqYRAA2S4WR17UgYwwwJGuCUGtEQamSEYHTOARlw - jpIBZ8AQ0BAF3GtttBfml9aXNpbml++57W7KITCVslvLunmWREKjKHAuOSFtMlUZI8GIw2bKkr4T - UDtMgw9qLRnoLE+ThDGWJonrumOjo8sLJ+6/9z6dm907dzXXN1zXnZmZaTWbYbf7ghe8oNMMS+WC - TqONsLnnoj2Zx5QgAOCRKWiH5xj2Iua4J1ZXDx1/JKa4EBSaa2sLvflqtZrFCSKiMVLKNIpGKpXV - pWXHcXIdk87zNB4dHQnDbrfdDoJgZmYmy7Jt01MbGxvc8xlgrVgtFAoj1ZrkggEYpXbMbi/6Qapy - ezjEkDGWK2XwHKgwQ9sqHjjoBkpA2viuR9qkaaqyzHGcqampiempqcb0O268YaIxEcfxG97whjhN - gCFzpV8sEODC4mKpWnnHDTe87Xf/4BnPeIb03D++/jq/EHSibqVW7fS6xWKxVqstr674rjc1NVUd - GXn1L/3ST/zkT66vr3/4gx9Ezt7wP359dXW1Wq3+/lvfVhutX3zJU+66++5CqfTc5z13bWP97W9/ - ++T0lF8oOMJJ03THjh3LKytSymJQKBaLaZpaTbxCoWBy9agHiwCbJE+r1js4/K1/CFa/4HvdztmJ - kVJaCD2K+vv33xeGYb8XlsvlNE3XlleUUtIRa2trExMTSZZZQUwuZa/XO++C8zOVrq6uGqOa7Y25 - haNBEKysLDPB3/OBP0+ytFgpamOCklsYK9574J6ZkUlER+X06b/5+7GpscNLh8FjouiG/b7jeIEM - 0jCjHCqFWtyLUOM7//xDDuOOI1wik6SVcsH1uEZgjtPrdqQkRO66rlLZ1sP5jlyWczX8gdaDOcM2 - Q84z6Ki2E16eKyFY2O34XpCmmEV51R+reCPdVvvw+vGjR+a/+fXbv/yFr51/8fkXX3bx9LYpQN6d - 6wqHe55vSEkmMlS2tBYJkDgjQGKcGNrGMcQYAFq0gxgyTYwDMzbG3bpkgMPXFgdhgMABjCYEVBwY - lvxKnqk8zQiBERggRgAMGaB1OQwQA86AOHBiBIpxhoJxA4QEyAEMcmWQmHCFjtEvBHmaSddRqXJ8 - J41SFIi5QYGgwfI6iBHogWzbcN/O3P8f2KXNrIGALb4Gss3yCkDY1DgxxjjCGXQu5A7LhRCOMcrh - Tm7yk+4gGhio1Vk/42TG8xTqw+Ytf3LeAgAwHLj1m+yH1kcnhKHrsNk5we4mEyg4IgBx28uEjDCG - GxAGrJOEiMYyxxANGkJj0DASpAE05alK4yTL1COrh5cWlu/99j1zx06UZBE12zYxq3ied3NOTHCm - ldKYM0kgyRhlcSyuOZJAEow2icOPaVsLl6wJIfI8dxzHJrX37NnT7baVUn5QiOO42+1WSuUkSbrd - bpymN1z7p7d985sfuOkvZ6bqMzur8/2lY935bpZ5nldyfeHUirlfDGqFcm1621QUhxudZp6k5XK5 - Uqk885nPFEK887rr9j71qc9//vNf9KIXfelLX/rUpz71ile84ldfe/WBg/f/5m++qd1qxv2+7UF4 - /bXXfeYzn9H5IL3OAaMoyvOccdyxY4cxZn5+fnp6utntJEnCEIUQudGKjNbaEcKc8Ug/Gx5ju1gP - hwEb4m0ICGDDMM9xETFPU9d1O53OZz7zmWaz6XCRZfn+/fuPHTt29733/K8v/XOUxEmW/uhLfywH - s7q+dud99zz9OVe+/vWvf+SRR26/41+EI5/17B+SrptlWa5VrVaz8+by8vLHP/7xbdu2HTlyZG5u - 7rbbb3/d61538cUXSykfuP/A/gP3/+mfvfNVr3rVbbfdtn///jRNqyO1P7nhHU+78sr9+/ffcccd - i0uLu3btssQmzpnF8m0a8Xu59fQTaOfsxOR5DgAGgTsyy7Kjxw/7nucVnCPHD5fL5VzngjuNqck0 - z/1SodvtFkrlOI4dx1lfX0/zBDkrFkta63anA4hWnCBwgjICcGi2WjOTjWOPzO3esaOz2it5Ze47 - a2trZrVdCRpLa4tV7U0EE2miKBGQMAPYSSDTrOx57W4vEOxJ5+8ZqZR1lrc3opwRcWbiTLoO50Jl - Ko77jJ0cy1sj7CeWADWscPuB1IM51U7WJG3KVBgAQM6SJHYcRwix0tyoIBSDQhrl3W7kuK7rlTl3 - O2nbd8vN470v3P/PX/jrz4Hgo+Pj1Wo5zvqZzhxHKDAazZbuJ8Jq0iMhEmO20yQBWL16YoBGM7LI - jf3WcImGbPH28BMOaBDQ6NxoV0iDMDHW6CexzvI4S9GQBkJDp21HMMh0DppQsKIflGtVyXg/icNO - N9OZdUcYMe7wNEobkxNJmAalYhqlju+01lsatMkNCrRUVCsOy4hp0IzYaXv4H2BpiNNmmTQOcDVA - 23sLDDpcCCErpXKn01GZtjMuMJLcUSrjXCqVCRSK1BDH2uwiaTZJLXyQIEAzrOIhRnqQQDiZeCIk - JJSDYqnBiDup2LtZzXESm0EAYgSDaiYbuVo8xrraFh1kw4ZcAJoZLZQGDcAYoVGUpypN0wFLD0XU - iwtYnN22mxPjIV84sjgyNuKVAtcTMYapSUMV+uAzgRbEQhJcc675wDdHczZJlOEjjjFGhAPaliEO - iIzlSuV57vv+0vLy7j3nTW2bueee+wzQ5ORknmatbmfP+ed/5atf70QtgvSVP/mSvRft/MQ/frBS - SCuFWGOcmY7njZ+3fc9TJi6uOY0Pf+gTXlCKw400Co2Us7Oz+/bt+803vvGaa655zgtesLi4uGvn - zl96zWuuueaaT33ykxPjY2/+vd9++OGH1lZXpOSOIwVjRHTv3fc89ZJ9KtNLS0vfvPXW48ePc87H - xsZ27JhdmlvYtee8LEmJKIliWw9gRc+Z4MgZCWaUPlfQehPZPfmWEFzXj+M4TXPGGGdScKdQ5ADw - rdtua25sVCqVMAxHxuof++TNIFg/jdthb2lpqVKpBF7pwYcOzs7OXnv9dY7jMME3Wq0gCAjBC/w8 - 6mug3OigVIx64Qc//CFb0lGtVkcbY3ffe++DDz00MjJyYm5udna23e28+z1/7nnewtLinj17ut3u - nXfeefDQId/3V9fXLrzwwlar5RcLvu+3Wk3OuSedNE6qpXKn03Ec59zOwvehnbMTgxaLNcZxhFMp - xUl/cnqiUikdOUKFUqBJKdCB73bjftLL+3Ekfc/1XcqREJCJSrXUbDYRcXpydnV1mYyp1WorK6uF - UmAQik5x7cTinu0zc4fnPVFsZd1ioeqUCzmD+lg9yxNQWvUiICal1JxXqrUwSwxI7omKUzZJFCbd - MAqjNBoZH1MMcmU0A9d14zi29cw2Afndsx9cPZizmRk0DAI8yUBAMlpxgQQ6TtLxibEwDLs95Qm/ - WCoppVrtTqHmV4Ja2A/jKJ4a2+a5LhjqrfWW51a8gi9ckeQ5sEH4qwGAGKE6SVYY9BbYLCrZtLM9 - uU7zUxExH3yujTHCdxAxyVXSz/I8j+Nk60XcKgiUgu0sgUQm9rUfEzrMxCxt6SzTlohtTC4l9Psp - CJZ2VJCwPNRukccLKQDkeW5Zlo9K7N16LD/wZtAQkrHXkqz3oG3GkIjAoJHoCNQpZOsmSVIhdJZl - iCglaa2FAJ0pxS3PQw+1PWBL2M2BbXbMIatcBwBWIcYMp/xNbR5GoIhvwTTYcDuneTCw6cQwMgBo - 82G2pnoTvh/wbBCAG0AwjJhBlfFMoeYoGAoOnIHwQVhuOACUmVP0A1/7/W7fcdx6oe4K3+Qm0YkG - hVISqhwyDQYAGDFuODdiIPn3uG04sA0ZxgVjjJQ2CMaYhYWFKA7vuufu9dWNykgt6oVTk5OjjbFW - tzMxMVEKShudtie0V2Q//ooX3fCJ6wuTVJioaWQjYmRstDw1OTZb2jE1XS+URifHG+VKRQO22+1b - b731pptuKpfL8/PzF154YaVSqdVqhw4des1rfnFmZsb3/Ze+9MWrq6vXXfPH49OTzfUNxtie3bua - 681KqfSc//zszlqr3+lvbGwszi22m613vvPGqZnpubnjvutlSVIsl10hkzzXWkvXIYAszzljZvOa - PoadmX8bJKYHWCzE/cgvBGDIlmh0u12llOd5iMg4z5Wq1EfWV1a9LO10OtPT081Oxy8XvcAPw7A6 - Wu/FUa/fz7ud8bHGngvOf/jhhxu1itaacd7udBzH6Xa7jUYjDvu2+r3dbjPGavWRLMs0mYmpqU4/ - RAJNBrKsPjZ29Pjx8bGxTCnfmNX1tW3btnW73X6/34v6xWIxCIKhTozWWp6q+PeDaufuxCBaZaE4 - jol0miftXluDYgJ7UY9JlqX5RqsZBMU4jqdnt21sbBTdUq8dFopFKSUZRgqJKOkl0niMQHX0dGU6 - StJGo3HsxOHAlevHFxzNJqbHc8OXm03huRvt1WQtkUK7TJssC/xCTmGWpUmn5xeLhqKNVlJwhSdk - BH3lEjncIFO57vb6XsGLVaR1zlFnecZQAjAiImNgy+T0hOEwAzkYBgBGa0TkjDFEx3EC38dNPRjO - mOBcn10P5qzn/4nazyfCCA0M/oT1Y4ZQDJF2XJmmaW5UPahlWRJ2+8JngVfIVe57QmWpyrJCwa+O - likz3fVQJ6bgF0bLE2meRp3QQVcIxja5DoNoeBBP27cEA6h/MAkhMW4evYLrTKRt00FxhRAe86SU - aTtTCagcUEnLGbRrWoTMbkFrEtyxZQWqqzpp4jhGKc3ygmN8Ig0atNYSXJ6So0osSaR2WMZd8qR2 - EBENE3ogn3pKRZJd/IcAgLfaFlLtqWbQSJCgEQ23aCXnHAhBEyCBJkRAQgYD/BIHui4AWzNTNFxa - uI4DANLg4c5oK4K4idYwAhrAJwJOSZWeNoYYWe+EAGzxETPADAONoNGyYYBvUtG5YYjCYcgsbY4G - iAhjjElRdJ00TQ0YMDyLsyzJasVasVAGBnEWKcoMywrcYy4DRsa22SbkhiHxk/ywsyslnqofM0gq - McaY4FprxpkrvV4vLFfK/Tiu1GoXXvDkI4cP33fffa1Ou1QqzbfbwnX6lLnVSrnI5jeWv37vPy2E - J0bPaxR3V8B1TYtUxWhfN6NWRjrrhe1eKDx/pFKxM3QaxZzzkUrVk6LbapYC/ykXPfmmm25673v+ - YqRe3bVr16te9aqJyUYaJ64rq6VqnmbdjVbM+2PPHvEdv73RFih835dSMiaCIFhcXKxWq2DId10b - SdnawIG7CfDoXazPBlVtGS1bTQjBAJMs1Vo7jiOlYBnr9XqVkdrI2Gi73V6Zn5scn0iydLQxpozu - R9HevXv333dfsVhcbzUbjUalVs20UkbPLZzwi4Vmq5WmaalU8jzPdd0+4trampSyUCikaUoMheuU - qpV2u72yvlav1rgje+3OyNioSrNup1MoFPxCYULKZrPpum6v10vy7OJLnnL8+PEsy0ixPE01Y67r - Rv2+EOJs8wU+2ouz/T2B9t0IzU9zYugx95kBYJ6nnuNJKQUyQipURrgBX7iVUrnb7XpeIAmFcDwh - M4r7ne5otbK8ulIfGU3TNI7jsBuO1RtE1Gt1XdctecVutxtHGkGcOL4kUIxXR7q9JvjOxtoSL5RS - FVYrZe7WIEvyNHZdx5DpJC3hOlPTo4srqyrW1ULR+ByU4kBgKPD8wC+GUeq4Pmey4BV6vQ4XKKVM - kkRIlwg3CW62ye1jKe2eq52rHgydRQ/mCdylR7+o/wrm25DLcPrH7JQVgBgBcp7GiXCk67pzc3OV - UnlsrJ7FWbuzLqUslgqZSonJJI4pMVpR2a24BdcoirsJIpacCoHWSnPiNvuwGUODQdI4EIa3OhkG - hpE0E6dqp5083EExmoWLmAGNhhkgLrjn+i5zAzdY3FgEQMrAFZ4UctDvk2jQnYeADAjmGGVIIyMB - hmlDUZTlecqY4JwzFMYopsFxPYcyhxwXXBccoblDXBrBGCNtODAyeLoTM9Are5TO1t9HdtqwOhvT - 1K6GxNAAwLD1mAEAPayZZ4wbgUiCODcoUXjC5STAEOccSTHgmgyYgXYvAtDg9DGzSR8+BdeyNN6B - W3MSKRmkI8EOLcNO4oknGesDlOzUdIMVwYMBCwcImUbQm7gI2bp8AIbEiSMCB04aJCIicuTaaEOM - ETLiOtdgEDUapa3yB+PQbK2XR6qe6wKTSQ4mN6SIAdoYaUBrB4NgBsmszcPFTc7mcMntIRpLaidg - yJERA6N0miRBEHDGC4VClmV/ct11Bw4cuPfue172spctLi4efeTw8ePHhJDr66uKTF+ZjeXm8555 - we7ekw/1HumnSael0TV+EqSCJQVWlcHi6vpIVYZxZKL4+LFjpVKpXq83Gg3bAOHgwYNXXXXV+Pj4 - nj17Dh48+KY3vekrX/nK5NR4nunlxaUoisbHGg888ECvH1ZGR1aXVgH5RrfJHFkoFLTJW+12mqZS - SJ3lnudpMmme9+NIeq7rOv0kZoxJKR+DVrgF/zyD8735dpBxJuCcxb2uEE7BL0ZJrBEKhXKeG9AY - h5HJ9Y7Z7avra/XR0bX1Fc/zKuXSt+/4l/PPP7/X7gSVSr/bDcOwWq0CxziObCMq13WFEO12d3ys - 0el0JsYaWuuw02WMjYyMxGF/eXnZWXTkXwAAIABJREFU4WLn7PYHHnjgvPPO00Eeh/0oikqFYr1e - f/DBByfHx4MgAABHSM75wQMPlEolzy90uu1yuWx5PAXPV0o9qtfwPaWY+m83sem8b3HhB613T0aH - QARWwA8G4o+SCaPoKRdf8qY3vrHfC7uttus4vU7X85w0TQFYmqalSrkXhl7Jf+sf/H671SqVy56Q - ructra4GQfCSl790//79Kytr2sGpqcnZ2dkkSQ4+uL/V6SRxX3imUC50k97EWOm3fu21vhR5nERp - 5FcK/SzRpEdHas0TS1P1yX6YC+m12+1XvvwnHjl48A2v/3XTywsiWO12qqPjvThpdXrloJCmcZTl - blAiQ4CQK+U4ji1pFkIMgrxHs7N6slv0YGhTP8aGAlZKh3Nu0UIpZb1e55y3Wq1Op2OrqQFgSOMl - Y5QxgnPgHIiM1uyJ9GDwzFgEAPDRUjADaVE4W0g3KAPesvVN5ZOhLioOC75QMmk0KK0rpSojyOIM - gAkBiJBGMZOCIwqSaZI60lNG9Tt9z/MMKCDoteOxsVEASrLMnjEuRJqmnut1ez1jjHCdYrG4sDA/ - OT2VpqkehNTADPLNrgWKDAwoxrxUKT/44IO7d5/X63U55yhknmSFYjFJkqmJqfn5+bAb6lwHQZBE - SaVW2djYsO1/0yT1fd+RjnU0w36vXq8rpbrd7szMzMrKUp6qicnG2uoGMJYkablcQkNpEpcKXrfd - 9F2JRqPRgqFkqLUStuUPcgAAsrTWrbXp398PmHNyYgiIISMyejOQsPcU4qC01SBJyRlwnYEv/DzJ - tdae59l6VK2U4Nyc0vBm8LOIOKANb9VvPmXnaMs/pDff4KP0D6Xh2lsnRlsOZBAH7GEYVAchEQer - SXkKd1gPTgizHxvQCMAZAoAtIZEoiGvS2pE8TMPcOI7PpQCjFSly0YUUpXEEuaSIjCLKDcuJqTxP - S8VinuQOk3G37xdLHLCfxCZXeZYJFCtLq4EbTE/OtNY3mp02I6iM1DiwKI3TOCkEruCYJBFpU6/X - PS4PHzzkcDY7PXXRhRdIhFylhUKhH/cNw5HZHddf84ef/7u/FSIMZqsP3H3/hlwRhUCG4n5Yug0O - rs+t7d27TxusFEv9JBZSImNPv/JKFDwMe/ueevnn/vGzH/7wR5962eW/9Or/GveTmz/2iSuuuKJY - Ct7827+rNfleaXWtNdIYz4y56a8+MDY21or7PZ00004r7QghRurVr33z63/98Y8KIbvdXlAs9tOY - GDqumxplaymUUmxTU2srYxcADIDW2pOO1tpxXM758tLSjh07Fubmd+zYMTE1ecOf3lgoFOxXOEeV - JoHrpakGJhMN0i8kSQLa+Fy6Do/zOKMUHE6cOEcVpwKQGULgVoxndHR0aXXF933pim4/HKmNdjod - xiQjRgYdKbVOc5VZcmQURa7naaWyPC8GRQDodrulUknnOSKSNoMiLEuKJ/J937Z+nJ6eXl9fZ4CV - StlqswVBIBjLsgxsRH2Gr2ZDeuCMcx7H8ejoaKvdrlarWZp+7GMfS5KkUqnYadEStoYaV9+D9jjT - SSdRJQZoJUAcxw1c//3vff+Tzjv/8COPrK+sHjlypOD7WZaNjIxUq9VLLrnk4n2XPHT4oSxOysWS - 1rrfj9vt9nm7zzs6d/zVr/mFF7/kx0dGRtI8edvb3/b5z3/+Z3/2Z3/+p1+ZKFko1dzAP7IwPzox - ur621FxZ/Oxn/u7Nv/07qx0dufnX7vj6anONkuTC+sRh4wVO7VU/9Ytv+B+//sqX/xcyBrVpbzTj - XpcLarVXoqi9a9euPIxSgF7UHx1vNNfWXde1KmeDck3ObW+Bsx35o9pwfasHM1T1tj6NtfX19Vqt - ViwWkySJosiqG0kphylwKeV3m/uypcXv8H4+GY+eBubbZDBtfn7S8GQl9ZCVAie/NDhNJ6kEwzzM - yS1s/otsUMtjiDQKJpnLhRAE8H+4e/NwyaryXniNe+2x5jMP3acHoLtpEJXEGXiSAEo0otELN5MD - Rr5AxNwvydWgN9dEowgaSb4YbzSOISYmgAkqCYoKyiAINI3dTc99pjpDzVW79rD2Gu4f65yi6W6I - 8MUIvk895zmnu06dqr3XXvsdfkOQD0SW+flACOEGHgSw1e24nuf6vlJqsVq1MAEQuq4bRZHvuCvV - pUqxkoaJBFprbdlM8IwAiAycRWljra2k0kKG3XByfBIojSFGCCmh4jjO5/Mzp52+XFsdyDMak7lO - p4MxNlqO5h8NG2J0dNT3nG637XkepXj//n0YoUqlMjc35zjO0NBIp9nsNFuuZ2OEINSM0YwnPMVp - Emcp10oiCJQGQGuIzNaCgNYAKAC1cXF6Lm8WP06g9TpvLZc91fWjj3uaWUrIMMi0geaaJasBgMjg - uBWEEmi4Rn9WSgGpNF5Pl/XxoKXB0UMaAagRgsddwj+R/BCd8ocnlUQ/xt9VSiEIlTITHyFlJlUq - JM9ECtZHPwRZFtGMMoc5jDFqYYQVwgAirZRGCFFMLepkKe/0+8ViscezwPU6WU9mWYayLEkxxsVc - nnOeRrHWwGxHCKF+LyIEIYDjsP8Xn/jLV77q5aeftu3bd955ztkvPHjgQCFfipM+hPCjH7thJUrH - pqdGobZQJpl8wWQx9qlASLSyQDll4hfJKMXufHWu0+nEPC0WS0at9NZbb9VKFQoF3/f37dsXhmGz - 2SwPVRaqi4e/cmTLli2dXjcIAi6EAiBMku/ff99rL319t9stjVRYzhmbHs/n81maZinfuGGGEBL1 - woMHD07MTLf7vSAIaq0mZZbtOGtD+SdnMIOgCEOltVJSiAwAhbEpKTHGe/fu/ZM/+ZO5uTnTDpdS - ZllKsCYIA0k0JInGmdaO7WENEJciiWyXcZ1qC0ZpTBBg1EJKIgAhxFxkQkrLsVutVr5YIATVmg3b - tjHABFo85rblRFHk+Qwg0G13IEa+71uObZjVvW6IMWaU9vt922KmxjY3GoAgxSRNU0MTMUpjFqGM - sdXVlfHx8SzLpJRoUBgAAMATfMC1780miSAAgHPu+36n2yWETIyPLy4uhmHo+765Nx2vCvvcjGeM - iWGMDcb5nueFvd7b33HF333xS3cuL7uBzyh1fe8tb3vr8Njo6urqGTu27z6wr1AZCsNQKT06Otpo - t2ZnjxXz+er8fN5zKoVcu7765je+7uyzz56ZGOm2WxgBpUHG1fTM1oinU1OFOEqL5cLIZGEuOvxX - X/70ar+546zt1f3z3/jO92/7ws3tFZ4PsMUAl0nM48r48PjUuJdjFtHEsWzqBbZqdGOtotHxobdd - 8dbZo3PD5QqllFJqNFoGvZNTft6n2n8Gec/gFUzhbrRZTQ6bZVmlUsEY93o9YyRmCOrmCRBCSqlh - e/3k4ql4/lCfohMD/oNO44ntmQFe8oTN4mleg8A1vdGB/zCAUGttQM1Aa4SQSDlCqNfrzWzZPDs3 - Z+xFJiYmeJqas2bbtud5KysrI6OjYRhyKZRSlFmCZ0hpAtdBEscJ8IRRbNt2p9PxPK/ZbE5PT4dh - aLtOoVA4cuQIpdSArA0azqwHIYQh9wohKpUKhNAULgcPHhwaGjJjbEbowsKC4TdalpUkMWNMaQEA - 0FJYlsVsyhir12tjY2Orq6sQQpFprSHGFGho0Alay/Wkcg2v8PyNwUI4ef4CBmSf4/4FQ7wGakYQ - KG0SXIQQgNoIIlNKK5XKwuwcISRTmVKCOXYaJ5gSoCAia9ClNTbpSVT/58vRNLgrpYTWemio3Ov1 - gpxXr9fNvcRgWTDGURQVCoWlpaWpqamjR4/OzMwsLCwUi0XOuWVZWmtM1lqGxWLxpptuCsOw1+sB - ADjnRmYzn8/3+/1Op5NlwnVdxuw0TRAmlmW5zDl27KjnBJ/+m7/lPMvnc0pphOD2bTshwBiTW/75 - Ky0pZzZOR/NVzeNMptC3pWv1Mw45JAJ5wKIIM9tOslgpFQRBr9tFCCVxjBFijhOGoWVZiJKVek1r - jShp97oWY3PVRWhb2LUZxEqpOI6/f+89d9793WazGQSBUgoDGHg+1BpqUCmV240mQqhWq13oXwwt - EgRBKoVQcqAv+lRn3mw+ECGIEIAwW7/Gy8NDhFlLqyv9JJZSGqSBRrDDE0opyDhE1A4KtdUaVyJn - uyLsqSTxLCz6MXVsT1tAqkzEjsO4kkLLTEniMC6RolYiNYWAWjZFNI0TQhAGulLIV6OeEREo5PJS - qyzlhBCKsGOxdtZybTuXy8VxzBzbtPyFEDZyMiktQhDBQsp6s2HbNtGKWFahXOrFocYwTTJzTR1P - uzfArEH7UUqJLQqMvjPGmRCU0jRNZ+fmGGOjY2MYY8MvhwBknD/V/fG5EM/4nYVRn1ELY8xF1k9i - pbVN3ThNZufnEEKZzOI4Jg6zXWe+urgt3ZkrFSenpwz+SCm1ZaiSy+V27ty5srJy1e9c2e/3H/xB - 0G62XnDWjheefebmjVNBvhALudRoWoHnQ4x1Zln2tm2n50v+5h3TL3/Nz4+fMb179+7zzn/DJ//g - hu/d+803X/LWKEzOOussC7sCYGQ5GmHLsT2X5ou+yIJOpzM+Njy3WNUAjY5NfONrtz+WiWazaT5O - Pp/Xx/mMnBxPP04C6322kzG5lNKhoSGziawtqTWRewEAMMOsn3R6e9xk/KT3f+J2b9gTTyNNduo4 - nsh4qqHViXNnwzkfKPcjhACESZKYDpZj20mSWJiUSqXl5eV777035unQ8HAcRWEYDg0NVatVc+jW - gPcQtlotN/Adx5FaCZ451CJw7Tibnch8j6k1mN91u91NmzYJIQwdw/U9owQThX0v8I21I6NWN+xZ - hKYZz/lBGPV5knbDXi6XKxQKWusoiuI49n2/2+0ODQ0lSRLHMefp5ORkL+xQSqFWuVxOqowxVqut - jo2NLS8v/4dJzNqY6WciTl4PJycxxtQRPHmchBDSQBkBVkrpcLkyPz9vimOhBWPUjJOUAmjdcnWN - P6zRCUTu50usr1UBABgervR6vVzer9VqQRCA9b4vISRJkkKhsLy8PD4+fuzYsc2bNy8tLZVKpV6v - Z2B2EBFDf7vwwgvN6M3cpAmGhhEJALAsizEGAFznsFhJksRJSonlOO6LXvSid77zna7r+r5/1VVX - HT582MhZQQiZxRjvLS8eQ3FcCQpMMi606kkbEGbZGCPNBSEoikPOU2ihdrvtumsFfblcNh0Czvnk - 5OTi4iKz7W6v5+Vz+WJhcXHR8/0k42m89rca7ZZxekIIGZGYXqeb833bthXQYRw1m81KpeK6rkSg - Wq0yzzUrx1SPT3OczTcD/QsjqRLHseu6SqmxsTHOubG+whhbiEEIEYR+rtAMe4VSQLGVJTEhOl/w - fccWQvBUIoJdFkjiZjBDFnEtlimZCKmUdu2AEpzxBAnge46NCNSg3Y9a7brj2oySerPp+z6jlpZK - 8IxiYqov4y7uOI4Qgg/SCIQ45zEArusGvj83N5crFJBFG43GarNRLBbq7Ral1HbsLMuE1vg4qMAT - rCsINIJw3YOFUJplmW3bAABTfiul2u22GVmYv/tcZss+G7E7y2amFaMh8PO5PYf2EmZ5uSCKIst1 - vHwOOwzZlkSg0WlnUu49cKBarc7MzCAE9x7YPzRUXl6pXnjhhee98hVHDh166MEHLvqlC1/76ot/ - /93vOjY3h+0AWHYM0TCy5ueP5hxaqQx9/3u3t+PGQm2WFvGehd0jp1VqjaWZrYWJyeHbvvavr3zZ - JbXVVphxRN1mJ5lfqmHshL2O47DAc8JWJ3BcgqxGL6o12j/60R4CIYTQsiwhRKvVSpIEAPBMM81B - CmIum0EmZK4K27Ydx+FZtrCw0O12fd83hb4ZTBg7JLC+ZT/TU/AM49SYmJNCD8Tg1r0cT/h6qlcG - QKInegcnAyDQSewAc9zMPmXaVwAAs0talkUw5px3W+3R0VGgdJTEvu/ffddd4yOjUsrGas2yLEbo - yspypmQul2u128PDw61Wa3l5OeFpzg/SKMZoDSiKMR7QviBAnU6nXC53u92piYnlanV1dRUA0O/3 - c4WCRcjs/Hwxn4+iaLlaDfL5NI4RIYVcLoyiec5t17UIwQhFYb/VaJrzyBhr1OrT09OPPPQwY6xQ - KPAsPbB/vxAcYyxlVqlUhMocx6k3akLJarWqtZbCeCqtgT61GSetc32f18DeU3Zfjo+Tkxiwjlk5 - ntM+KAwwRpZlGW9Ck8QoJRhjJokBUsEndK4N7ehEMT0FT712n2th6hyjXBwl/TAMcx1/0ImB61tW - kiRxkqysrECElpaXHdddWlpKOTc+glJKCLGUslQqDegC5spSEiilOOf9fh9jHASBZfE4juM4pZQa - Mmm/HxdKlX+/41vf/Na3lZDXXnstgqTbCSHAvpfTAAmeMqQgUAJIABWEkGigFcZaMYaFyqRIBVFA - C0ygZdvEohZhaRTzOOlJ1e128/m85bqtVksDADDiUvhBsFRbTaUYKxfDMIy63YLNLMuybZtgrJSS - PMMIB56fojXdKS/wDRXIshlzbC6F1loIIZREWhNCEEJrHuQnBaJEKZUpKdWaOSKhlGdZlmWYkE6n - Y7AgEAAIIcbQQjjLMpd5iqdf+Nu/7UdRLgh4GPmQOphGURTkiolSaaaJRglPMkulimNMGbWzJKOY - eJbN01hmMUbAtpkCUmFoO87S6oqFiYUsixDG2Gq9zhizbMY5p5RKqTDGh44c3rJly8AFJc24htCy - LMM5QATX63XXdQFGu3fv/uIXv0gsEq9wTVAis0wJi1Ip1o1H16EC5ivCWAOg1nOTJEksyzJ3JbPS - jDVEmqYGBfFfchE8y3jGSczA3I4L0e52tm7deuDwoWa3I5UCBDc6ba31Az/84fjkxEOP7lqsrUxM - TXe7IbWZguDosaOFQoFSuvfxx4XgS4sLSRRdcMEFN374z798801XX331Zz772cXVVpdLy7EPHDg8 - MzPTWF6YPbbY6/Xvvef+B+cfZNvKc/OLCwsLOY4PHWkrBQ4dPFrw997y1ds+8uFPYVKL0qwfZ/04 - a3Q6QmZgpEyIVV1cSdPMsYNKZdhk657nmQ9iDCxd1zWpzDM4cIQMuo7mHA8cAR3HMUaPjUYDQuj7 - vud55jIzKwMAYPR5Hcf5SY+TBqX9U99aNABPpBoQgEFX5uS69slfoZGOP+UAZHAjOX75I222BgyP - C8MmMGhNKWWapqOjo/l8ft+evePj41rr6YlJMwDOsmx5edmAikZHR5vNZi6XW1lZ8XKB4zjEogpo - N/ChMpQibQpNc50mcVQsFoUQk5OTPE2NOLdlWZ7ntRqNcrlcKZWklFmazszMNJvNwSlDAORyOePP - ooQQQnQ6nVKh6OTcKIooxrsefnjHzp2tVitNU4vRXq/HGAUAmDY4Y8xYpbqua0axikCEiDZu9ycl - Meq5O3r+sQI+9UozCdoJ/zkYJw2SGATWshihFMUIW5QxRi3LolRIqRWhjBpRjTUmoFQQQtNIPSGN - fh4lMev9J6m1tm3bVMaMMbNmwHFKQvo4G9rjpifAOCBCiLMsC8PQNKiOM30kAAApZRRFhJDcmieR - wJiatW1ZFkG40+m85Td+c+vWrVMTk77v/8M//IO5pXU6HSFEqVxYrc1v2DixsrgShj0hpM1cYluC - i7DfklJSiARXjuMlaZakme8HzUbdd1zTAZ2cnGw2m4gSzvnQ0FCUJt2wF/b7hFlBPpdlWRRFtm2X - y+XV1VUlpMiyvB/wJMUQMmpRTEyvotNqr9ZrUqvq8lKn09EIjo+PNzptg5DnnGOM/0Mso9mFzHBf - az0yMqK1Nj7SA6V1TFEc9w0GoFQqzR05/PFPfKJZr7uEeBAFtnt0bt7LBYJYmDHH8mIeJ4ALrSgi - DvUoIHE3KviBklmrXvMLrhBZBkTC0/JIJU4T1/ZEnwOlMMZxmhphujTjaZq6rmfq3lwu1262wjCk - lDLHhhgBAIQQUZIIIUqVMgBgeGz0sssuq9XrSkuLMfMJsUWzTGB0IltzXfxTHX8cTKWntU7T1CQ0 - A9c/c1P7mcLEAIyEVgCtsXJGR0fHJyeYYw8PD09OT2UZ7/XDQqHgeZ7CMJ/P5/KFs84569ChQ51O - hzl2vliYnT06XBlaWloB6pGVpaXTtmy96NJLsjT2fb/Z7gIAKEbEImMjw816rZgvLCxUf/GCix2a - G8pPVldam0a3cc4r2Dn37TuOHFoeGdlw+Nj89R+/8f5HH3rs0X2O7ZWKQ2EUU8vpRYmo1myLJQmH - iEqpk4QTQqIwzLLMzALMyWu328+0E2OSj0EnfLC/UEoNvCMMw1arZfIbk89qrc2K0VobYK9ZN8/4 - FDyTGMimnJJz8cQP6/aNhogJ12TwkT5RKh6d0J9R6gno+5PGBKeidj9h07h+31JSGdG/RqORJEk+ - lxsdHX37W94qhLjtX/61Xq//8i//8vDw8NLS0uc//3nXdf/oPe/9yEev2759+0WveXUYhn/1yU9u - 37693mo2m00/F0gpBc9Mf4siDNa2b0wIKbv+VVdd9fDDD2/evPmWf/5nM5ninEdh/5yzX/DQQw+9 - 9a1v/epXv9rtdN/5jt++8cYbGWNKqna3dfHFFzPGvvvd705NTO7duxdj/HvXvDvuRxCjL3/5y0qp - TRtnfvUNbzh27NgjjzzS6rZt207TGEJICAIAKCAJIWYBmP6NFAAh8jPZiQFPi0FZH5g9+flKnJDE - QA0gRkbgSyBMAUhSHnOulM6UAFIpBDkXUq3JGeg1QtOAWfekeL4kMWufBUgAgFkqZs0MMIjgOIaI - AeSZIzYYwK3JGQPAGDNJ3gAtZPR1zJACABDHMSHEcVzHcZqdNiYYIZBlIk4TreW/3vZVIYSWinPe - anbGx8cps4xQykt//qU6Pcdh1Pt5RyMgiW7GXWRbiOCw23OxW3ZzvWZIib33wMF7Hnyo2ehNjA8b - ZeZ6vb7l9NNgt2NZ1tTU1N3f+97m07b6rud4bj+KMiUbtTohRAGdZVmr0dy+bduG8cmhcrnTasf9 - iBFqik9K6djkxL79j5tP4Xletx/2er0kSSybEUqfXs7UdH/XZklKIYySjAOMVuo1AAAhxADszJMh - oZpihWCqRKakw+zZw0fOf9Urdp5+evXQkZ1nnMF1lhHdztJYSACAApq5jBEGBGyttkEGvvlv3273 - Mtd1sc8yDDKlAcajwxPzx2anp6cP7N9fKlYQgFmW5Qp5IYQ5g77vW8SKo/iiiy5aWVk572WvsNdZ - S0KrTAqEkOO5Sqlevz8/P7+4VK1UKsViMeUJwjiKIo2gRSyJIIDwhGvSUI2VNqR8qAFACDLXURAI - rYJCPk1TCKHQiksBCdZaCa3+s6B6z1RG+ceJZ4PWWZPSB3pubu7D130kH+SGhoa63W4URZ1ex/f9 - VrdTLBaFlFEUDQ0NHZ2bLZcrAOhSodhut30/Z7tOo9Fqtjuun9t74GAxl++HoddPG61muVJRSK+u - VP1CEWjBCL7v+/fc/Z1elLZRiXRc3gcJINiTqNgFtA/L+clOmK22u6Whcd6Xk2MTrm+HYVgeL2bK - 6vY6vX7kOT7EWPBUZdz0P4z5u4FwDnpoz/Q4PKl8XGc5GVnebrfbarUKhYJhu5iDZmDwRt3O9GM4 - 5z9RNooy2NnBG36Kp2mo4DqJSQ+cg9dxYKY2Xoe1P8EcfSI3eorXPcXfhUBLNdDmMcNXswt7nmfK - nW3btvm+f9NNN5XL5ZWVlYsvvvjaa6/9hV/4hbe85S3Ly8vtdntmZuZTn/rUR67/6Jlnnvmud73r - s5/9rON7nuetkf8JWSsaMIJKrwm6E1KtVl/+8pcvLCwMDQ29+MUv3rFjBwDgwIEDFqEf/ehHL7nk - kquuump2dvbxxx+//PLLP/3pT5s2z/T09Jve9Kb3vve9nPMbb7zxuuuue+jBH562ZevXv/71zZs3 - X3bZZZ///Oc/85nP/PVf/7VhVXY6Hd/3zTLo9TrjkxNSZvi4gBBqZQBS+LgkZn1gB9cdep7nccoB - pF7XLTk+LQZozSfJpCFaKbTusQwUxIRAgrFFKaWYUqCwVpJY1GieAqUghFoZYrP5jbW/fsKbee7H - WvUPAQDA8AMM+eB44uSA9jjoLw72HzOqDsPQdX2EUBRFAxiNEehyHd8gyQw6uNfrEUJt2x4dHW02 - G91uNwh8Ri2epdu3b7/yyistQhcXF9///vfzLInj2A9cy7L+7H9/aO7Qgb/6+A2w4GUktYbtQ419 - EcmCXIFJpHHe5Xp5aXF6w5bJiYnJahVAGPbb7VZzcnLSz+fOO++8888/f2RkJAiCN7/5zX/6wQ+6 - rjs0OnLFFVcsrSxLxYdL5cWFarvZmhwdu+HD1930pS+V80XZTwAXvuNmWYY16LTbO7ZvnxgeXVxe - 2nr6aZnMHMfpdrtePpdJYYZlZtT4NMfZHLEsy0zC57puHMdCCKM4Z55g7nEQwm7YGclXRMplIjZN - b/jEdTeUc8GfvPe9Ydis95utrMtt3JVJplXg+RVWjPoyCyXvpS/Y8cKHC04vjDMRx4Iz27ZyXrFY - /OhHrot74bYtp1100UWvfe1rr/yd/+fw4cM33XTTXXfdZTu2zASE0LBZX/XyV3z+c59bXVmJemGv - 0014KrRitg0J9n0/Xyx0u93Ttmzp9/tx2O82W8y1M8mN4LvkGTbLY/2zD+ZKQAOIEYDQKBEbXoUQ - aw4YhJABPua5jOcdxDO+g5r9xeDp2u32WWedZXDyvu+vrq7OzMwIIRzHMTenIAjiOB4qF5HWpi1p - ICNRnDquJzRKhEKW3eyGlhfEQo2Mjof9Lk9Dn+HAJqOloLo4q6VKumK6stmKc1a74PVHWLtE2uW0 - 7uScqepqy815xKEpDxHmxSJrNpe8nBVlyWq7rSll+SDiaSYS10Fax0hrw8g3hQtcd0R7qgAYGRgU - ogRRYr7HFqU2SzKuEWSukylaTZNjAAAgAElEQVQZ85Qwyw18bNF6q9nqdhAlYRwJrcxvIUoyJTMl - zeuY7yHBGsGf3ANCCDESSiKCpVZRHCOMTT/AtKAos6RWpo1p2QxTkglBLcvzfUIps21MCLEoIhhT - Yr5aNiMWBQj6uQBiJKW0CLUsy3Vdk6ghSizHxhY1956TgzGGCLZsxkVWLJcsm8VpgihhrtOPot// - gz+47obrDx87+tAjD9uus2/fPillv983rWbG2Omnn/6d73zn3nvvvf3228855xzf9wEAuVzOFJrm - fZrP4gY+cx2zTwX5HCJ4165dx44d01o/+OCDAIDrr78+l8vt2bMHAHDw4MFLLrnkj//4j/fv399o - NEqlUhiGl1122Re+8IUkSV7/+td//etff81rXmNq35WVlTvvvPPCCy8slUp79uy544477r777rm5 - uYmJiSiKjD4Qc2zTaeNSCKU0hFJrqbUReJNAS6Cl1goABdcf5jaMkVl1x38vgRZaCa3MChz87090 - /TzrB4An/ouCAFOigBZSZlK4npdm3GJMQyC10hBIoJXWmBChVaakqQv1WikJqWWlnAMElQb9KBZS - IUyY7URxggmVSisIkyyDhEBCIKUKIY2RhOCnfiie0cOsELM2zOmWQA9Ot9ku1g4LRuZhnml2FWoz - xpiRCTHgMyFEEAQGu2ryIUPu01o3Go1erxdF/VKp4Di2VFkmuOva73rX777znb99xW9f0el1tu3Y - FkYhJrDX6xZLeQWyA4/t+dVfed3/+ztvP/204Y5aWMwef6zzw4P8MV3pbzyz8rJXnnnNNW87cmTv - +ES5060LmWitKkNDjWZTKXXbbbe9733v63d711z9u91W+4/+8H9e/+GPPP6jPUcPHsrZbsnPrSxU - h4olhkkS9ueOHH3RmWe/+AXnbJraUFtceuyhR448fuCB++6Pu2G71ti29TSHWp7jZik3o2GzjZuL - /ekrw0H+Z/hcpnFukENGcdRU6YyxLOVAy0qxFHV7OdunGjFJmLa6tXa7Uf/t376iMlbcdu4ZPzhw - X9duHejtPdR9PBT1Cy586VVX/Vans5RzULu+6NsQqMx2LURxKHlfZe+48p1vueKKv//7L7/4xef+ - yze+9orzz/vYjZ94+XmvUhBkSvq5wJgbVCqVffv2TU5Ovvf3/5BR6zd/4zdEyrMoSftRt9mqLS3/ - 2psvq87OT41NRN2ehbBDLQqR5oIijDQwovDwuCvRXEprD5MTQ4DpGoMaQmjbthnWGyccc5d/Gv2R - 50g8SwNIrXXg+YLysNurVquVSuX0ractLS09+siuYrnk+74QQgqBIMyyFCEEgNRAV+cXsEU912+0 - mpRSBbTMVJYJ1/Wkxq1eJ0piyaNSKd9Pkl63LhXwXJam6VBppLkcRhHPjw3HmQryueqxuZ3TL1qa - P+YFxerqKkTQYkTHIk36CGmAIaS0MjqaCd7rhp7NtMzSuO86TGmh1BMq8j9+A2aA2zXNWwAAY8yk - zACAYrEYBIGRGDGTI/O/xlP0p+WFpCHgnCNKEEIWoVObJ6uLi4VCwabW4uJCvlTMeIYAzFKRpIlp - OxNiUWa5jk+ZZVuO0SQACCohIUYyUxAhoDXPssD3R0dHeZJKKQ0PMK81wlgppdFa4/o4nM1aGFWP - TAoIoTGLN9uu73pSyixJG41GpVK58MILf+2yy9/xjndceuml+Xx+aWnp7rvvzufzO3fubLZbQoiJ - iYlyuayUmpycTDKutc4V8oaYYKyytNZxPxoZGREpV0pNTk4VCoXzzz+/UCh89ZZbwjD8m7/5m+np - 6auvvvqee+4pFAorKytBEKyurqZpumHDhlar9cY3vnHTpk2f/OQnIYTbt2//zne+MzExYQgjy8vL - 7W4nSZKdO3fu3LlzaGRYCFFdXlbLy5xzxqjneUZi+D+rsTJYqM+iZfhfH8YX4vgQUmJAEMaYEKVU - lmUagDRNbdtJMg4h1EJqrdW6aCSQCkillDLyj8BcPkoTQqCUCqgoiWUmiEU1BI7nRmHfMci2dddx - ijFCWD9FRf6zGoN9Zg1ks044WJPk19r0eDDGWSY4Tx3LEUIMj1TSNI37URAEt9568wc+8MePP37g - 0tdfev31109NTQ0NVer1OoQAAVjI5cu+NVwOtm6Z/NGeR194/o6teUCoNaKL40OljcNjM6WZnWed - 4XqUYslsYll5x3EqlYpSqra6+sIXvjCKore97W0f/JM/xRj/3IvPHakMvfF1r7/vB/cDgDZMTAIA - OedeqUIxcWybaHjlO98pkvSb/35HlnIgVXO19suXXHLGGdv279/vWKzdbpcYDYLAnHoAoWkq/Gf1 - ty2I43Z3OBhCQhGJlmerQ4Vys770cz/3EqnFFVe/49a7v9ZDvamzprfkT/OwXUxsN6AT40NnbJ+h - BEyMVIpDo0v1ZoqJXSrWOq1MCMtzd0xMvf0dV1706oswY1umJt502X87ePDg5MYN7UaT2mx8csK1 - XZmJkZGRoUql1+uNj42dc845EELjvqeUKuULLzzr7PNe9SqViYmx8SxOtVQqEyLLKCFmmJ7yDJLn - 93j6x4lnzk5CmBGqpep0OhjCfr9/+tbTsiz7/l13p0ly2patURQhBQTPsAaaCx7Ho+Mj9VrTywXl - 0tBqo768vLxx40bmuEtLS1KB6Q0zq6urSkNmu75DtYB+4GYqw5RwoXwnaC23rLwloF3wmENzUavV - DnsBKtSqDahpytPK8Hi725FcUoTSNHUcBwLUbHcFgIwxKTSwiEWpxFkapVJoBBVC6Me8GZzcywXr - kA5D3JdSGtEhk8Aa7ZmBPAl4Mu/6vz4MRkfwLMuy2upq9fCR8Y0bte3k/FzRzy8uLmKKEcae5SKE - MKVaa4KoEjrqx5FKEp4iAKVWGCJEsMwEZRaGqB9HC1zEcVwul8xUzlOScx7FsTm2XArw5CTmSQ6x - GJkdttfrmTqg2+6YQfUdd35rbHz8H/7xH4eHh++5/77v3PXdGz7+sfn5ec/zzj///F27H/3e9753 - 2WWXff5LX9yxY4dlWXv27MmXilLKOE0MHdFs2YQQRq1Go2E6w0sPLler1Y/9+cdd1437keu6juf9 - 8y23vO233vKFL32x1w8Tnv7bHf/e6XQuv/zypZVlrfVl//3yt7/97RoCDfTM5k2r9drQyPClb3zD - /OLC2MT42ee8oNvtPrzrkcf2/Gh1ddXzvOHhYddz+lGktOhHkeOwZ3feBxiRwY/gVLnLczObOVEb - dP0bQqkGYE1eEiGRZY5tR3EMIRJZZlkWgggRqIVUUgGkgIJQIyQhklByiTTCGhGAw35ECGHMVkBz - Hfue3+l0AAAKaJcSJDC1mcpEpqSQxtHgKVWDfyZjsOTMZThAARts5mCGawZSaZpKIDWQnueVC0Xf - dWq12uzs7K5du84+++yXvfwlpVLF87x6vc4YwxhLrZKUh0g0m42V5cUo6Xn5QrClFCcctyFlWGi+ - WJ0NwzYglu97lkXiOA3jSKTctu18Pv/oo4/+6NHdn/4/f2MsDu66664oik7bsnX37t2NsE5zJE25 - lipNU892Oo3m4dX6q3/x4m63a1oj+WIhiqJOpyOkWFla3nHWTtu2EUK+7zc6beP+uOZ69hTJ64+t - iQWAmVMIXXTz/VavbOc9yy0Vyvv37g9yttKwPDL6tfu+du+uB4jPNu3cWgtrLvOd0AnKeQ6ydreF - MCAE91pNmaaKyLjX9Vw7SbNCqfC5z31p685tlUplZmbDmy9784M//OHu3bv7UR9R0o+iwPdbnbap - 92q1WsJT1/OCIIiiiBrekMXy+XxgB71ez3EcyTMzVSAIOxYjEAmjJg+gPgmGckJJ+TTH5/kSzyZh - NWDJfBAUcvlGrX700GGZ8qFKRfGMR3ES9nmSACE9ZnuOOzI03Ky3CCEi5ctLS8Plytjo6P79++eO - HU3TlFnk6JEjYa+XpFHg+/04TkVWazRanbYEWmpVbzVtx4rCbrtT11pESWh7RKp4esNov9+hFPU6 - XaAUQVRJYDO32+xBSDBmFNsAINf1c7kCTzIhJEaWRW1wEuX96e80A8Suuf6Nn4D5FSmlUsrzPMPK - azabZkpl6PUGl2cAdz/FJAZDxJNUClHI50Emz3zBOcOlchJFMuW9VpsA6Nuub7sME5lmUbcnYi7i - TCaZ5goKjRWgANuYIqWR1Ehp3o+jbs8h1vTYRLlQ9JitMtFuNGsrq3E/0kohCNM0ZeTUsyTTrhyA - 4cMwNBhGk/9lWbZr165XvvKVN9988+zs7PT09LH5udmF+fHxcSnlI488Mjs722w277///g984APX - XnvtH/zBH5jd2cwuDXkbY2y6O51OZ3FxMYoic0YMWSzLslwuVyqVGo3GP/3TP9VbzYd37SKEJJw/ - 9NBDd3//+/Pz8/li8T3vec/H/vzPMcYKgDPPPPOmL3/5xhtv/NM//dPpjRsgRtdcc82bL/tvH/rw - n9Xr9c9+9rPXvv/9X/vGNyrDQ+1Ox4jjGWYstp6xi+xgvZkf1cC/aT1O+PH5ElJKYOyQEAJKJ1Fc - KhQJRBgiqAEGkEJkY2ohzDBhENuI2IgwiBnEWGhLIxsSBnDB8RxqIaV1mlGACIAWwhihqYnJfi+M - okikfK1XitHzXf74WccaRHq9fDKiSgMIsNFrWcOOGKpLFM0tLiwuVYUQ11xzTRRFN910k5QySZJd - u3aZ7ma9Xk94xnK5fiZirreesZOnMOrJpCVgaiHl5PzhYmE0yA2vrLZqq6045vXVRhLzRqPBOU+S - 5PLLL7/wwgsN1GxlZeV1r3tdqVTasGHD7Pzc6upqJoWU0lywSZJIoF987rkjY6MSKNtzXd8z8jAx - TycmJ4UQlNJWqyWlbLVacRxbluX7vpEPNUi4/5RIOJcIKAiY57f7vbHpyQ2btwgAf/DgA3OL1WPH - 5i7/1csoIFk/LTiFfjNUqbYtb3F55Z57H1CYtPrhaqcTy0wTsLS0WJ1fqJSLX/nil3/3f1z9mte8 - xrbtN73pTeYc7dixo16vt9vtmKf1RqO6vBxG/U4UHluYBwSPTk2sNuqEWZkU7W6n1WlrrRv91sLC - wsjo6MrKiuu6ptlmIJ6mlhtQo9fRaM8PfNgzjWfcidFaKyEpxu12e3p88gPv+19KSpFyxli/36cE - Dw0N1Wo1rXUun+9F/erqyhf/7kv9OJJSOg5utVrdfrh504xlWSurNddhBOFKpbJnzx6kpG1hIRGk - 2PICrmEqtee6v/uOK/v1ViEfUM+ZDxvappkQVIFp+9VRu+cVi61+v5/yM3fsrM4uffWWf8m4VhwE - rod4IuMYCKkzpSAJ4wRTFyE8uLYHl/rTF7UamiTGSMIgadQqhCSEOLYbBAEmpNls9sKescAwjrsa - aIQRQFCBn6YKKwTAQhhCLOIUSvWmS99AELYw8d2g3W67riu1EkJImVHKLMfiXDDHIoj2+l2oERcp - hkRDRTHhIoMaWDaDGiCCs5T/4z99ZW5hzg38oUoFQMilEBk3Oe7alNq8B8N7WmP+IaUUNsBeSgzt - HGMssNBaK6AfP7C/2+3+rw/871K+gBD6289+NsjlZhfmS6VSq9v50b69ScY//dm/DYLg0KFD1LI2 - bdo0t7gAIWw2m47jmHKz3TbOALQyPNRtd+r1uu8Hv/vuaxzH6fV6GONjc7O/c/VVjLFL3/iGjZtm - frT7sRs+/rFmvTE6Pnbzrbd2w96OnTv/6H+9f2piEiC49/HH4zThQtQajX+57bZer5fGcXloqNZo - QIyjJPnoDdd/6MN/ViwWi8ViL+p3u+00y4Kc1+/3KcUagic9wPp2cjx96ylWiFpPWaChEhw3LDCv - 81xLZ54goD0ZY0sJQQBKqZIkpQgXc/nXvvo11Wq1Uh4Kw5BinGWZTSwtJALYIkTLNR1tSillVr/f - VxJgjGMeY0oQQpmSjDGeZZkUEugztp/+hS98odXtCCkRgBhArbRUCiP4vNFAXp/BafNYXzCDs3zi - QjrpAY5jG5gpkoFtMsZ4KsylYWRUIISWxbRWCiqT2QMAXM9bXV09dPTYP/7zzQuzc/1+/0Mf+jMA - oFJ6dbUmNWDMCyrDd9z+g29/79sJ6pbHti4uNuvLzYRzJ7KOfque738bhODsF7xytdZCIAh7TddH - nu0gCLudzm233bZz587Xv/71r3v9r4RR/7E9P9qx88z7H/jBww8/HKcJYdb8cpV5ru06SZIsrSzf - euutOc9HlLT6PRZ4biGXpunWsbGv3/6NfD5PLFqr10dGRtr9XhzHCkGhJERIa+15Ho//Y8mM47sO - p1wkEiKnUur0I8JIU8Qhko8c3JdgjWyrVBn69jfvPHjw0JHF2Qtf/Iu7/+0h4mCXet1e48A3D1DM - zrvgkt1HjnYRFAQqoBwIqWtjjFEmP/ShP94yMYURuvP2xR8++ECQz2GIavVVgjBjLE1Tx2LMsR3P - C6N+mESfv+lLCMB22Dt9x3YzOGOMDQ8P7967hyvJpaCubbCA/X4sgTYUE4yxkBIiaKD04Ge3JfnM - scdKYwsLIUqF4sz0hltuvjmN4vHRMYzx/ffdV19ZMRh4P5/bsGHDuS/5eYFhtVotlIpKKYiRw5gB - lq4uLRcLhauuvPK888679ZZbOs2aykSSJcCikBFMmdDQCTwoRBz3P/elz5x2+ow37O9vz7V5nxAy - t+fgjJUf8YbKlcnhiY33PfLIFVdccaR47I47vpUkXAMp0jSLen4hb1mWAEhDlGphNCtPKHYHDMZT - fFZoDHbh8eWvXrOz14aIZNoJ5pUNs9+gokxqzDk37YGfSvUMNdBSaqksQiTPxkZGsyi55davHjp0 - aHJ8qlqtjo+PG/JUwtM10hDGtm0TQqIoMk2OgS6fcVDinGdZNjIy8ku/9Esy4cV8QSipMhGlicWY - 57iIYMg5Mpyv9bcBnmwzOWgwGI5AkiRG9sPAWR599NENGzZU5xcMVx8hlC8UojjOBcHRo0e3bNly - 6NAh88ZGRkYOHDhQKJcIIa7vDcQDDb7PCHFiiIwtwOLi4paZTaVSaf/+/eVyeWpq6uDBgxjjRqNx - +rYzut3u2MT40tJSq9WamZl52xVv37hxY61WY4xhxlzsuq6bSXHkyJFcLtfudrmSAIDNW7ccO3as - WCwSQnq93pHZoyMjI8Z7hXMeBEEmn47w+XTn7rhU+3gI1xP8z5/qmPI/DHPSB67nSkigASOUYYIQ - AkJiBXY9+FC1uhT3I8uy0ij2bUcJiSHBAGqltJAYY0QJhJBzrhWEGAktjFRGlMSMsShJvMD387nT - N29ari4RQhBGCqwpNlmESvWfVpQ/92O93Frbrwz2yOw/CBJTnet1oQcjniu0hFCb66XX642PTX7w - gx9897vfvWnDxlqtbpCezHIIIWmaffQTN4Rh2G03yr7rFUaWGzXPKzo5h3q2sqSTUdshTtleWq5X - q8txmiFIoAZSCICx67pLS0sHDhwQKR8ZGRkZH7v7nu9/5+67glwuSRI3H0gpCYJZmgmg+3F8/w8f - zJUKhJCHHnvUCfzTzzrTplatVvM8z/a9A0cOZ1o98sgjZ55zllF7oo4dp4mR/+71eow84yboKY4n - AJ1+pLW2CYS2NbxhEnn2H37g2s0TEwKhvY8f2ji1ebFTJREZgsPjQ2OtepdgGgwXmu1Os5/06yvC - spnlNJtNHUUes7VSqwsL35qdcxirLi6PTox/89/+PQiCpaWlSqViWZapxGzPjTnvhr1HHtuNEFpt - NnK53CN7HgNA6bjfarV83+/zZO6Wqu27d971HQ1Bvd0CCFmOrbTOssxIFwuRYYDXbdv//x+P52g8 - m04MAEApZRSRm43G77zjnQ8+8MC/ff0bMsvO3L6j2+1ecsklMzMzi4uLLznvFQ8/9phtOwDAbrdH - GM3lckLwuN9zbOu8V72strzwK7988V/95V98/VZa6zaL5WKtH2pkZwA0am0AQMm1gyB4ycte/Fvv - +NXFcFE9eufehf0EqQu2noOOtf7oyv8hUmd86rSr//A9FlExD1udplAxJcpxiGu7m2fG+51eavNG - J0QUQIz0wLJn/eMMapen+bzmBj9A9Rpku+M4AIBBBmMgMqYDMRCKBT/tmw0CEEHEMJUS6Ew89siu - 6lxVJEKlGZI6aoW9fmgaGMhmQog47iWWZaxNTRJj1NBN83kdFiQbSysM4nK+UDt6SGNYKBQk0ADC - LMtEmgzEkY5PX9Z1ZRQhJFNror2WZRnOmhEPNDXEth3bl5eXJ6anlFKtTkdrncvlkJKdbnfL1q2H - Dh0aHhkJw7BcqZg5EYSw3+9ruEaaS5LEUKUKuXyr1cKMYoyV0i984QsPHToEm7AyMuw4zqGjR7r9 - cOvWraY7CAmOeerlgkKh8PjBA0II5jrYopZjNzttM3evt5qMUsdzmWMLrTCAB48cnpqaWl5e1lqP - jY2lgmutjewYZSTlHD1zXN1gTZqVY9aeSSWPB2Y9Z+NJsIP1HwmhPE21UlBpY+niMHvuyNE04Vop - SqxMKCSU4gJjpKUCWutMaKyQAlJqLSWEEAqVJhFlzHVdgDUE0LVY3AspJu1aCytkE0qZlXCutYRS - Sa2f1cD8eRwDLxSz/2RZxrnR2HSzLDM11foztZTS8Rwu1p4jtLBth2L6+l+59Fde+9puJ/zIRz6y - Z8+eJOG2jcrloZu+/HeaZYFv24g0VmvUZpHgyrIAwkmc2oRSiZCEjDDGmGVLP+cnSRKHkZkjR1G0 - cWqaS7GwsEAo9fI5x3GWV1dc16U2C1sty7KIzYRWiczuf+hBDGAYhkZbEmNsW1YSxUNDQ3se37ey - smL01jdsmbE8J4oiG0GjumtE/J5KsffkatU8D+lTqAJAABihAEDIdZTEq7XapjNOu/eHD+zeTXMY - VedmLZsQn1k5Z35p0bIsCEkag8rQWCPsKQSEAknCAwdBjWxkwZhThKOwGxQLS6tL45umbccJqyvd - TqdYKHTa7SCXM75vjUZDKa203r13D1i3mwAAAK0tTJSQY2NjUkpjFTm/uHD66acroBXQAELm2DqB - Qgig1urPZwRz+Ul3LX8SO9ezsR3QUlJMEIBaKc92XvbSl84ePqKlyge5o4cP+74/PT29adOmuYV5 - 27ajKBoZGSlVyqOjo0ZPDUK4sVzsdDpht3vVO698y2/+Vm1lWSk1OT3Ncp4Iu9B2bTeY2gCJhCru - ZSqd3jS+4bTx1sLKaedMbXrpRBKl43bhq5/4wrHlQ695xaVxDH7pVa+ESo2NjVUqlcnJyVwuCAos - jtuN1eqRg4d3nnlOnKlmrbXWADhOnm4QpxRnQxpopRBGYIBFgABjjDAq5gsAgH6/3++FAABjXBL3 - IwCgb7tG8FspaVkWIFQIflKzB6HjlC2ePk4t4QXX6txBorCu6f6kV8QYZzxOtaYQHzt4+NDexxVX - EyOjzdWaTShByELYQrifcigUFApJiBXCEFCAGLE0fsJ+yChwY4wRttrtprE8DIJcp9/NpBLG2p6g - LBEYYy0V0obKpzRECgKoAQIAAUQxEyKBCmWZFEKkKO33wqGhcqPW8T2GITh6+FAuV5BStdvtwHUZ - Y71ej1LKXDo7O7thw4bl5WWjuXzwwIHJycl+v08gsiyLc25hyw1sIQTSqNvqMGIBqbVQWsj5Y7Ol - fCGKIqh0r93BAJ65bfvi4mLM0+FyJYoiCCEjtNNsVYolQkjUjyjCST9yLOb7fq1W2zg13aw3spQv - VBc3bdoURVG5UKzOL1iOPTw8vG/fvpmZjQbZk6YppogQYswgn7zQAABPltxZc6caTGIABMA4w2kA - oQYaQQqwRlAppYE2MitAAyM5c/IiWdc31INOyAkrSD3xLk76rVOFWWz4uBWL9Jo6HwBAQgXWtXrN - WTb2nlAhDYFEQAMgVQaAshDknMcitqhdLBY91wdZF0HkIJbISKVSS40whgjLLAVm7WiglEIAIAg1 - hIHnS62g1jLlkEM/50WdHgyUbbFCPt/t9TKtjNQbhDDLOIJAQaUgUhAAjZAGSAMEzFZkJLzU8bbb - CpDjkYInTBme3FOExv305DgRSnm8g/Bxr/nEWRi8nvG3MUdPI6gB1AiCNeM+qNceTxVQAwn0oNAC - AJhOJ8YYOWjdt0GtidYj7DiOkNK1nTiO0yg+77zzzzrrrOuuu8627avf9a73vOePFAT5UtEN3DCM - oihKBXcLqJm0ZD8L3IBqy0c0izTASHPqM49amPOEZzwJIwAQFymjNnMdpRRhlo/QgcOHSqVSLp83 - DV0jaZ8J0e51Y56WhiqLcwuB509NTx87dqxUKnWj/sbNm5RStVotTpPA8ymzXvTz5+7bs3d2drY8 - VPFzwaAuJYRkQpgKisBnk70atUGkAQBqbTFLLaUgmDoOq4xUFpcWAEbzK8s4ScYrlSgKeZi1wsS2 - 8kmvXywEzCeNRlMSQimjjIqUW4RZVPvM7kctAcRQudLsdsqVSq3ZIMRi2BJZZiTL+/2+n89hjMMw - pBZzHKfT6RjLWyHE1NTU4uIipTSRWbvbQQgF+ZzRKM+UHJucEFrVGnVjOWwYJxjjpwI4/yzFUyYx - a7d5qRBCnGdSSsIsrTVWa5Y0GEAtJKMWgQhqkKYpkGp8cqrb7WZSFoeHEiH6UQy0FjxbmJtPpUgz - bs7W7t0/KpfLU5Mz//ov3/j0Z/7PX/zlX1brTctmrhJHVxaKIyPtubmSm49b4YbxCoTygUd/8Gvs - 0qXeEqQKE+UwKIBc4aFdyf3jV7585W9d015cKSJ/z+rR1ZVmmqnVer0XwiTpXvue//mVr3zF9UqL - y0c9Oy9SLbmECDKHTUxMLCwsAAA6nc7Y2Fg+CI7NzRGM4jg2cN2LL774u9/9bqfT2bBhQ7vdbrfb - kxumt2zZcv8PfjAyNCyEPHDgwNDQ0Jve8Ks5PyiVShYhhw8fnp7amKXcoOfyhaDb7Tiefed373zo - 4QfzxXy73XaYkybZSCIG3DgAACAASURBVGXk2JHZ8fHxdrejEWSEmq2Hcw4warfbpXJZCOE6ThrF - FqEvOOvsl73sZTxJpAZpxv1CPlWCWQ7PEt6PjSy31CriKWXO5774pSiKhFAYAdcnWmIpRd71vclx - kCmZCEpp3s2ZpkilVNZaD2MEAOCcQw0syzIqTwY9R7CVpJFFaJqmlFlHjhzSCNquTy2rn8QJTzFj - XGnqOO1Ob2RkCDv20sLCy8958XkvfWkxX+jGPbtUnF9aHBsaIZqsLtULQRkRorWOeTIyNoywuuGG - 63jStylgWCcqLRfzlfJIpx1BidMkueCVr2h22rVabf/+/RdccIFl2du3bTtw4ECz2dwwOV1dWHz/ - +98PlF5eXh4ZGZEKGPfpJEmMLK8Qolgs7n1837e+9S2ToulM2IQWCoWLfuEXV2q1Hzz4QBT2GbVe - 9KIXPfjgg3E/Cjw/TVJGrZe85CV33HHHr//6r0MI77rrrlarNbNhw7nnnhtFUbfbvee+++I0yge5 - TIp2ozlSGUqjGGkggbYs66mgUCY3oZRkSUYwlioDSl9wwXmXvPrirVu3vu1tb5dSvvrVry6Xy5/6 - 1KeAEI7tSK2wgNe+/33ve9/7vvXNb37/vns7nc6Hr/vI7/3e702MTZbL5QP79n7y//urqN//+7// - +/u+f4/nuBDCldWlQr6ECbRtuxeGcRyPjo4y1961+9F//+YdnbCHCQEIGo2fTZs2PbbrUSWllPI3 - /vuv3X777UurK77v79y5s1gqfeXmm0eGx3qrjampqdn5uUqlcvs3vrZ3z+6HHvjB8OTwocVjk1NT - POrLlGdhmvd8x/ab9VbRLZ7zc+de/9d/8eCPHknS2LEYg1pkaeD5AJOllWVCyMW/eDHkKuPGExQD - ACyLZJxLmRFCpMzg/yXvvaMsq+p88R1OjjfXrVzVVR3pCKgEQRuahgYVBRRBx1FHhUExD+ObIemI - IAqCwG98gBEElXkKEgWJpibZDZ2qurtyunXzuSefs8/e74/TMM564nq+9Xu/9d78vqv+qLrrrnVX - nXvO3t/9/SQIEcAAAIz5MI44SWSM8QiHQQAoS5JYVGUmcEnCOrYLeJ4AxiDCAMUxAYwJCPgkAJLo - hXF//+AN112/PDGDwkjikSBwUACVRgUgqCgSgjCOGOTUUlcfY+yaa67Zu2f/ug3rxw8dlGRZFEWB - 5wXIWa32m7YcrUhys9nudNpIwCmaCSF8/vc7U58Fp2OfddZZG9dvCIKAgyiJaUxCQzV830ccZ2TM - WrMhyXIml73sv3ypf3Cg2W4njGqqEoWh6zqbN2zu2O1yqWvv3ldnp2aPOXbLoYkJSimkjJFEEngE - AGTsT37+vblJtdMQQgQghzCJYpZQz/MgwJKqMAQZY67rYoA4jkcAMprEfgATKsvy2NiBT33qk/fe - e8/37/7h6OioqEkzi7OZTMb1HVGWIAaAA5AXbKtWyBZZBF0vlLGcUxTbthXGiRT7UQQQ5AXJ7rRz - uYIgivV6XVVVCGHHtlVFkRWFMkaTRJZlwqggCMRzdU1zPS+jG6Hnp/GH1Wo11XtKitxqt23b1nUd - ApAr5GVVvf7qq8Vs9pS3bz1w4EAcx1FCUifJMI5eDwT9ny8IKACAxESSJEIIggACIHCIJjGGCYlj - RVUZg0bGaLbqkMPL9VqhkI1bHcpxmJMIjURN9wJfltQ4DCEfizxttmqFgUHH81AciYwmkCII3cBX - RMkNI0XPuCSCgBcFxW63EQMGQhAhLPCpaaGRMSuLFVEQ8rlcpVKRJAkj1K43NEmGGEuy3HGdvr4+ - 3/dt204zc3zHZSTJGiYGkJJEFkTf9xHC/zsmH/+n1Rs2MamZLAZHDK05juMlkVIa+UGz3Y7jOCRx - lJBVwyOHDh1qtlu9vb0LCwutVosChgR+bnFhqV6tNRuO6zabzY7nlHt6AI/TkWYmm1NEmUSESnR0 - ZFWpVB4YGJqcnbGrVU3TTMOIOwGME1NWA8tt1Jq6rjtByHOSROWDk4c0Sacc8iOw+c0nPH3gV/Mz - C/OzixBwebOYJDRhUNEMkHgIco888lin4zSaXr3Z7O4ZwRCqspYkYbvdvvrqq2+99da5ubmuYnHj - +vUnnHDCzTff7PteoVBQJLm3t3fDUet/cs+9F1544bHHHvulL31p4+ZNZ5111tjY2C3f/vY3v/nN - QzOH+3v7IIQrBgZ/cs+9Rx11FIZoenp67JX9nhfYVmdgoM+27ZPe9tY9r+7ecdr2ycPjvu/JouR5 - LgZo/VFr33fO+2699VYtY/i+f/11X//85z/PGPvUpy995zvfCTl877333n777byqNRxHk5S+np6/ - +8CHb7zletdrxyh6dXoxYuHM3LRrOyu6Bzau3lgwS5NTCzHF3YPDvIAxwYLIsYR4oaer0lDf4FGj - qyUkQMIQQ4okI4DDMPTDAGOcjpFS82JZlCCEfhhEUZQwKkmSyEue5ymSaNt2u2P5vt/qtAISx3Ec - RlEcx1DkrU5LkuU0I0LLmIqiDg0M/u63z+3fu+vE095aT+yJhcNz0xPFTIELxYJZOvaY41uNTqPe - /srV/7Jy5cp//sIXi6UMkjQOQBKEmqze8q0bL7/8qiQMNm086m8/9KE/7Nz5/PPP82vxiSeeuLhY - +eJnvnjRpy5q1RtWq93b29uuNXbu3Nnb0zO2d9/01HwqInVdt+PYJ554omnqLzz//Gmnnfb8H3Y2 - m82urq5Gs8YJ+O8+8tGXd/3RD8N2sxVF0QUXXLB927bf/eY3R61de/jw4VwuZ1nWeeecs+vll888 - 44ybbrrp6iuvvOiii7Zs2lwudT333HPVahVDKMpywhiGf60yESVRjBACjPFYAICcsX37Nf9yjee5 - n/3s577xjW+sHBm1LMtU9WazGXghpfS2O2/71k03lQpdTzzx5M0333zppZfms4W7f/RjTVa+8Y1v - 3PCNG2VRKuaKkRv2l/tcpzM3N/fkk08KHD87PyeK/MbNm1auXLlu7dr77/+F77nTk1Or1qyut1uA - AjWrPvDAA1++8qqOZfV0lW+66aYHH/ilKIrDA4Mhia+55prrvv51WZYlgUtk2bednJEr5QuKJI+P - HyCJXywZfK7/d7t+v7A8jwA0eBn47OQ3nfT2U9784m//+Oxzv/ZJwElCGLgiBI7nckcwSpgGLI8O - rxAABxiHMSaUxnGMMYqigOd5zMEUx4QMpdGGURxjSUiShGMQMSBgDiEUA9IJPMMwZFWKWEISQmlM - GMAQcBj7XhuJOIhDSZJWDA1+59Zb3MryCcdsCYL2/sN7W8RCMmYQCgiffMxbUCJAalbnan/zoQ8B - CnXdmJiYxBBb7fZ1X7sWAPCVK69at3rN5s2boyD84ufOu+GGb0zMTheyuUKh8N73vvfC91/ou14S - E5EXCma2VlkuZgsvvPBCvVJ1XZeEUavVyhUL+WJJVpRj3/JmvVfv6+pdnF/yg+Dd57578+bNP/rB - 98rF0to1a5YXlz72sY9efvnlS3OLmzZuOe644773gx+IiE9x3r+MJ1IIuLShgZBDiCLAIEhTyQhN - jnguEEKDEEKIMEQIpYHJy8vLl1122cc+/lHTNFevWnvDDTdgALxOhyU0CQNIqMIJruUYmum2HVVQ - OIyTOAoSgBCCJG6326ppAsgUWQ2DgJGk3qlRxtKQMoxxFMemaUZhqOr6cqVimObs7HTvQP/yUkVS - 5IQQn1KaJIoshwilokUec4qiGLoOAFiaX5j2gkwmc84HP3j48OFWq+W6LsdxDP2J5IrjQIq9vgGc - 9EaVznEhhBzmwtDnOE4U+Xbb5UXOVBWKsOt0ZEEEJNY1DQFIY0KieHF+YXB0qNJp62ZGxcL89FSh - mC1lMjAiMIwESjUsiBBXOy5OWLmn17UdP4wix9cyZoIBZGD16MrZ2dmQxPVWM5WLZ7PZdqudyWSS - KJ5dWBwdHe20LU3TPMcRJGmxsqTrusQLvuPGcZwzM3Nzc+nugyGCHGdZliLLjFJREF5P2P3PXW/Y - xKSC1TgI4zhOEooQwpRPc8KgpummwYuCoqorVq8cnzjc3dd7+o4zvDBQVTUmJE7IU88+s2HDhr37 - 9m3dduq3/p9bNmzaNDE1GSUEYcwEwbOdqUOHRV44Y/v2T3/q0r+/6OKZuVkzl5VNvWLVZ8cmIYGS - yrGYCjJfMrvWjW5+6uHfzNpzmaG8zvUqWIus8CMf+NR/u++Jsph5+rmdb9+6vdpq7nr1FUlVXNft - dJwkshWZe/WVvYIgYF6RJHF5ealYzDcatXK5dNFFFxUKBd/3oyiyXfec887zPM/xvK5iYWxsTJbl - Sz/z6S996Uu5Qv7kt7+N4zhBEveNHdi959Vyufze958/MTEhK1ISR0sLiysGBnu7uk4/9dRnnnq6 - 02xByqIgzudya0ZXYoxPPuFEgec2rFlvtSwv8HLFYnd3z2mnnPaxj/7dnXf8oFAqLi1XLvybD/7s - 3+7LFwvVapUxdsUVV7y6by+ltH9g4JXduzcetT5wvCRJAhIAGL77/Sd3ksrDO6cnqxOqWuk3TJ00 - 22TX0SPvOPPMD8wve68cmFhams8UDEa9KAppjFgcxWGEMcYIQ8YghXEccwgoioK4Iwa+cRzHcSzL - crpEEppACHmOB3/iU5IKNS3LCsIA8pwoioauFROz2WnnTRVCzHOcKkokJCuGhyVFdon32G8enKgf - ePjlh8efmx0YYJFVzXLC5lVDJ76ltGXtu/e+MMETh/MD7JIMl1ny/ZbXyerZr3/tuqX5mcBtySIY - HOpHCLWazTAMp6Zm7rnrno9ffNHPfvGzxbl5juOcjg0hME2zv7//4x/72NL8wm23fIdEsWV38mbm - vee+56yzzuo4zmOPPeZ27HqtlsmZi0vziqamVpXd3d3DIyN79++Lomjz0Vsardb6jRv37t0rKcry - 8vJtt932uc99zvM80zQnJibS8E5K6eDg4KWXXnrttdeS6jLHca7rCoKAOAxe895Nh/9/DmQ/8jqA - wA/DjJmjMQ3DMAy8OEl003jn2e90fS9htGW1ZVnu6i77YSCK4pYtW+64887Jycliseh5DqXE8Z1s - 1pydnT12y+af/ewnH/3oh++84w5TV/fseWVwcPD0M07btWvXr554zLfdgaF+COHZ57xnx44dEKJ7 - 7/vpilUrN27eNDM/11UuRwk588wzb7/99kKh0N/fv7y4VMwXtm0/rbe/77vf/e5HP/Hxhx57uNhT - GloxMD05tap/xdzkjKbohqqFcUQRPfuCd+slbs/0S829M6/QPxJMQJtmqH7m6Enl1ep5q9959Vdv - cGDgMmoWihoWnLAminIQeCxJeAHyAgqCgEE+IZEgCK9TgVK7fQDY6+lI9LWAJA4LjMYpuJvywUMa - ua4dBF4UBxQBiBhiDELKACGAKZpMAJMxH5FEQ9jU1X++8p9UFU7WDn7tO3uWqxWs8lEQGUhafczg - yRvefvDFyq6XD/KME7EUJ5DjZUDik99yogjQ8vKSwIGp2Ynbvz95003fPjwz8fjTTyqaatnWO97x - ju9973tJQsIwyJrZVN5fq9Uu/fYtb37zsdWlyte+9rUw9Pv6e9zAv/DC97/rne/aveeViZlpu9MW - IDzmuLcM9vYN9fUvzM1TQr5Xq132xX/Y+Yc/7PrjH/OFwimnbatUFtObJ1XvV6pVAP6DKOnPVnrF - MMYMAt/3OZowCGRZlmU5DMOExowxiBCAsNVuC4JQLBQW5ue/8fXr+3t6D40fzmdzeVlbXqr06NlO - pyMn8IztO6AOFV3iErY0vzDYO1ip1WVFRYLYsjq6ZhqaMTUxIfDS7MxUZXGJiSxBLMV3dE1jjE0c - PjzY1x+FIQdRFIbvfMc79uzdW8oXOPEIMY6EMUhofbl6wgkn1Ku1TCZTqVSyuiYIgoJ5TVF7e3tJ - FHfli3Ecr1m1mkQx5DHP8wBjURRTWh6lVMB/fmv7c48kAgBAxFGWAEA5BAHCEUkQR3lRymczSQJZ - zJCMWktVr9HZePSmDWvXAcfFNCnn85VWXS7nJ2Zmu4xswXz3/PSULEm5Utf0zJzl+gfGx0gCZEkD - mPNjAjCnycrKlSufeeaZvr5+1/fHx8YM0+Qg2rR+w8LCQhRFjCSKKAEA4zA69uhj1q1bZ1tWd3e3 - bXXy+Xy9WtN1PaXohWHYbLcOqDojtNVoUEIUXQXsCDdRwJjj+f+Tgxv/36o3bGJSJSqPsSAIlB5R - kXiep0pyx7ETxsI4evKZp2dmZjqWpUoyAABjLAgCISQBzDDNn/z0p9lC/vv33JXJ5f7w/M7uvl4+ - QaIoJoQUCoXuYmlhYeHO22+HEPKiqCmqgDnih4agQQVzCUfDRADAtZzHHvxVwkUt2kIZnrw6UbXq - oRNlFbM30zW9++CIUZaAAmXtx/c/utx2GMaiLJmZTBxwuibOz84oDIVOWxDlXCFfrS2mbsK33377 - li1bisVis9nEGLfb7aeeemr16tXTM1MDw0MXvO/8J595OgxD1dCrjfqBAwfecfa7fnzPPSccf/zW - rVt/8pOfpI6izXZLEsTA81aNrjxz22nje/cDQnRNd4nDQ/CO03e4rstDXle0RqOVy+SPHn3T/OLi - 3Nzc73//+1q1cerWbY889ujKNas//OEPn3feed/+1k1XXHHF8OhIqbt8/gcubDab11577THHHsso - hX5QKJVkTgGI9Q+WDrYWcqPGksYPq7153fQm25IVY+xJOO7KaL35bG93UdJEUdAhADxUfCdUVRVj - nsQJxyAEMAgCSnzDMF7XKUiSlCqtQj+AEIqiyHEcg8B1XRIlQRBIwpHEgJTqywtC+idjrKfc5QU+ - z4sJYatWriAMLSwsKCeeMLRiMIKxnJd61xTes3JH054qGyaoMcmXcl0ol0ESF+IkyMjKcUe/mSDE - i5Jsyk5gX3vt1z/zmUuzpqFIkqGpr7zyiiiKX/jCFz73uS8ghMbHx0dHR0866aTf/e53I8MrkiTp - 6uoaHx9ftWoVI4ndbGLMq4LEEOQAOmbD0Q88/MBgf7+kKIZhOB27r6/PC/zFxcWZmZm777knk8te - cMEF119//fT09CMPPfz+979/bGyMEHLdddc9+eST9Xp9eHh4aWnpyiuvPHjw4AknnBBF0V133bW0 - tHTl1VddccUV9Xo9DUuKyF+XRq4oShRFnu1JkrRixYrrr7/+rSecsGPHjh/84Ief/OQnR0dHTdOE - EF73tWsJIeeff/6ln/oMxEiUpVqzwUviyMjw/Px8qVR44KEHKYnu/8Uv77jzv9ZbzXJPV75YEEVR - z+hY4BPA6q2maZqO6/JQ2D85phl6vdlQDX3N2rWtjoUBfvDBB9/9znepilLKF44/9s2e5912220X - XXTRMW9+03PPPbd2/VGSJCVJMjI67NresSccG3QCnse6pEOeOzC+fxAUBAEMjnZpx7+daZwQCdGs - 0zta9hMrhrxRzq7O5kTVqC5URcQpomyoaux7jLFisZjP5jKmiSnyvZjn+eQ1YfBrpOYjCuF0EsPz - GCEuCELGmMTxosDFAcMcVHkVy3xPb7mvrxdLHORRmshEo/iItTpGMYUc4rszXVwU9BrZ3Yf/4CjW - 4DF9AwNrfC7JyYYRiYLEJ7G/dmBF5VBThpIkKUcf86YgCDhGfct+6Of3n332Wf39vblSseN6jz7x - 2Jmnn/XJz3z66Sef7HSsbdu23X777aOjo5RSQIHv++vXr6/X6wxBURSbrVYKc8Q0ieM4igLH7fi+ - qykqSKgoCOP7D+zfu2/wih5NkvP5XDabffyxX73t7SedfPLJO1968dDE4cnDh970lje/8souyOF2 - pyNI4l+lG0+fcUAIQDDtC0VRTBgihJCE8JKYEupr9ToEIAz9arWaPult1zVN07ZtgeODIPjmV77+ - 7EtP3fDNa8v5bHdvYWJ+z/jidCTiiFIassFSf49RtjsNXc8ODfcvLy1ZVlvVNcf301wziRc+9pGP - 7tix47Of/SzHcbIgbj912549e8IgaDQaqdUTj3kSRaNDw5+86OLnnnlWVdV2swUhVFU1nT1wHFfI - 5zHGrVaru6dnqb5MMWSMuY4DMeIFId2GaPzXqdJS6Dw90Umi0rHbYRAXinlO5FzH92yvp1TuK/Xg - gN5y7Q39pfK7Tt82Mtgr0HB6YXJ2vJkIcM9hN6dny3oeR3yH2HNLS2/fuu3Fl59nDMVxLKtSp2NB - CGVN/epXv/rSCy+99a1vPeWUU7797W8nlAIATNP83Oc+J8typVLJ53KBHwgc96EPfvDJJ369dvWa - ffv20ZjUFyuqJCeOH4Zhd2+PYRhzE1NvO+GtL774YjGb5zFnNVuCLCWUpsLY/3lP1/+r6w2bmDRf - I4mJ7/uMAQgh4rl038rlcq1WK5XnLNWrjuN0d5WXlpZkQUyJWkmSIJ4zDGPs4DgvS0Y+OziyIkmS - kMS+7we+3wzrXfmCYRih66bRbjJUlpaWIkplVcM8L4mq7/sRobIgHhqf4CTcJLaUN5qRE7JEV/Rq - za+PTQ3k+yanK4ZmVhuTnKLzujE6uqLd6cwuLBazxnK1mc0WBUEQ48QPA89zkjiilEQR0DRtdHT0 - qaeeopRu375d07QPfehDGOPz3nvu6WecATB66umnjWzmtO3b+wcGzEymUqms37Rx1erVe/bufe65 - 5ziMfc/VdKVc6up02ksLcxBQgce21eYZIxFp1OqpNUIcx57jx2Hs2MHhQ5NY4EdWrqo3W7l2q9Fq - IoQEQVhYXHz4kUcgAO8+95wbbrihXq/LinLt9V/v6ulutFtJFCuCGMYRAaRpdSwvYLxqDK3oKuIA - O3EYBbwni0LezOg853pee37BwGKjajWSACGkyUYSJYmZQMowgKIoSrwU8SEhNCIxB7g0Ii4Fki3L - 4jGXTlw8z2MQUEoNw5RlGTKamsil2hlKaRiGQRCSGIYRiCICAAiCIGYhJ6oczyRJsGw7iOIQMiCp - kOkizgNB6Lh1q+oHQ5FjeyDBNKIAoHqzMT4zky3nOV8Iaeg6nYOTk35IWvXWHXd818xmAMSnbtuO - EGp1rEcfffS0004bHBxs/LLRbrYsy2pbrTAKAAA85kRBoDGJw4ATBUhZGAelUmnPvr1ZxGRZ9Dyn - Wq1GJM4Xi0898wwn8EtLS2uOWvfJT196wklvXb16teM4DAJV1wil//bzn5fL5dRQ65JLLrn44ot9 - 33/yyScRQqZp+r7f6XQkRUkXPu4NfO3eiImZUJokiaJrEMKZudmBgb4gimYX5n/1xBNfOemkz33h - C5TSCy64IJPL3nHHHZ/85Cc1U12sVEISbty43nE6kiLli7njjj9u4+ZNG9Yf9ZvfPlsudy0vVzP5 - 7HJ9GQDgeA7EUDO0xGaWa+e6CiEgESVQ4DiO27tvX2rEJwiCJitWu40Y2PXyH6MgPHDgwAsvvHDM - McdIkvTzX9y/evW6mLFXd+9ZtXpFFAW/ff43Cq+WSiWH+QmAWzYdUzKkJ1/cryUSl8u2oS9hBXDQ - tcPJ+TnEuaaR3fXszmef+t1Q92A9CpnEz9QoopRncHGu2q612+22KiiEMEEQ4igKgoAxqqoyz/MM - gNQgHwFMKeV5kQHkO47v+xHmDFXlEIqiKAr8EISVytL8/CzjQIIooBRzEBKKEJdQnDCEoMADrszn - jz1q1eLcQqvR9PngUG06t3IF0MUDlbkVYrkvDt2OtzTWFmLAAeC73q7du/L5vLVUKWUyuJCJPL/Z - bE4vLUiqtmd8HCAsIv7w5OSGDRvmlxaf+91vGUl0XfccVxTF6bmZcqk0MXF4sK9fUWXN0DodKwyD - XC6rG5qpGrOzMxzHa6rseZ7d6ZiZTDGbtS2LJQkC8OD4gWzOlCTp7LPP9oLgtNO33//gLwFGAEFJ - kRtW609nMH/6++uitj8pABgQBAHxXBhHnuchAFNHKwAAJZSRJPVqiqJIEMVsPtNut3Pl0sL8vCrJ - Ss6cb9U2b9wkZo2609Qh97XLvtTbY/z44bu8IhIM0sa2amawTdeu6T19wylhLfzZvQ9QxiLmCSpP - GC3lC0tLS7muri2bNm875VTb6nAIF7t73nL8cbt3785kMvV6vbtcTs0OgjDWVY1GJHC8k447we50 - 9nfcP/zhD5VKRRalXC43PDw8OjQMAPjjiy9lcznf9wGPeZ4XAcM8l2ZZxHH8RpOYN6qUIIgQSggD - mIqCLMsK4riYxm5o+74f+kHSiVaWhzNA8Zabm1auuuHGr13+tcve/4mzr/vJLXKXykMMcRij8Kzt - Zw32DP7DZ/8xkXyzINWqLVXTJJn3PE7X9VJX19nvOYcRdtHHL95++o5/+OJlhVJh/fr1q1ev5jCO - osjQdU1WaJyQKOYAalXrp118ytShw6Or197743tKmRyNieN7Qcc557xzf/vsc7oou46TRDElCSGE - oxSk43OM2BE7qf/k9YbfdMqQSP1PU0p7iqryCPf29h775jddd/31YRimmnWEULVSWbduXavRlGW5 - Xq/zPJ/L5VzfAxx2Az8mBCAoCaIsyxAASJlvOxzCSRyLolitVotdJUmSGIRhnARBkNWM2A9C2+3t - 7iFhFIKkEwU+ohYJit09kMLlhaWyWfCazawq21bHLOQox7lhgAXRd72e3l4egnq9bupmo9nWNJXH - nNVq9vb1pKqzj3zkI9ls9vjjj7/77rtPP/30z372szzPf/rTn2YAnHvuuZ///Od1XXddd3h4+O8+ - 8fF169a9973vPeWUUz784Q8/8sgjiqK8+NLzM+0mY2x8fNw09eXlpUa7SUiUL2RVSXY6bsdyMIb9 - AwO25//u9zsHRlcomtbutGNGQb22adOmrVu3QoyxwM8vLFxyySWLi4uPPvroj370o3PPO29+ft7M - ZecW5vfu36cpqizLlmO7vscAzGVL1YrrSvzSUsT0ku9iHEQcoCCB1WqnrFodN5I0qWG1ABYBZRAg - z4tYHKXgKCNJ+Z7DbQAAIABJREFUu912Wnaz2WQMxnHc29vb3d2d+gunamdd1eI4tjqd2dnZlIg9 - 2D+EMUaAQQj9wA/DkCGGESSEJBQQhtrNtihyttUReNRcblCEe3p6Z2anOq2OaXTt3rufCmq7BQSt - eHDPZDctjg4MN5aSqEt8fuer3Weta3SsuttZuXHtfGMpDDpREn/mM5/ZcfoZoyNrbrvl1m2bjr7k - U3//+OO/7hscoBD87L77du/aVe7u/q/f+U7b7vR0lZU4tl03k8lAgIIohAgRQmKakDDkeV7iJYTQ - 2NjY+s0bEEIAQU7gBUVGHH7L8cfJmnr66af/0z/903nnnXfVVVfNTE6dfvrpAwMDX/jCF6664kpZ - lgVBSAUCn/jEJy655JJTTjnlqKOO+vu//3td1++8804KAIkiwzDaHeuvffBSJTYlNI5jnucLhUKj - 1fzyl7+sKMpXv/rVNMOPELJpy5bLLrssiCLbtru6upaXl8cOji1WFr/4j5c1Go3c4fzbTzp5fnHu - ztu/yyOYzxaWa9VdL/+xVCpOz846nuf7fjafE4BYbzaeeObXd/34x5Ii8ZLIS6IkSZIkWZa1/bTT - /ubCD9hWZ/PGTZdffvnKlSsfeugh23Mv/MAHPvGJT5yydRsh5OS3vfVv/vYCkoSrV66an54VFBFD - wXODq/75XwZ6zJHRwvxsZWqx3QA+HwpCCwx0SmqiPf7re0ZHjsIEbFq1NnZDAoAPWDtwBYQlxicJ - 45AoC7IkSYzGcRwvLi5WKpUkId3dXd3d3RyPKCWMMXREGhjFhFYqlUqlwmLSVSisHh3heR4wnNAE - HrHYpwmjLEkSylDCMAZJggDi/ZDEJDH1vCqZd/zrnYWVutPwJc0YOzRto7jbKNsJnVqqgAiMPz55 - /LFbXc/lBJ6xhCGm6+q5575n+9atEfFvvvmW2757x5X/8uX9B8Z1Wbn8S5fbrnPa6dsffewx3TAC - 34cc1gzd6diHJycwxvvHDuRyOQaA7/sUMEkRa62aF7gRiA9OHD766KPb7Zap6VvPftemTZuGhobO - fc97XnrppRu/9c3p6WkI4Q03feu8C86/6667Zuemr7zqqmeeexoEME1d/Qv3FWMMwn8/gjPGGGU8 - LwCMWMTCMEQA8jwv8iLGmKNcSlghjOoZU5KkxcX5Yne52WyfdOrW+dm5RqOxeuP65U4LqZKuZZpL - tbyK+LKy7dTjb//NXcWjDKlUEBRZs/FgX6ln0MwN5l5+/gVONP+4V9ULBUiAKqkp8e7QoUPPPPPM - Mccck8lkMMbHHXfcI4888q1v3vDZL3w+/Y+GhoZUSbFabZZQAXN2p5PPZD/20b9DAL74wguWZS0u - LDi2ffnll3MCf/DgwVw227TbXhym5sI44TDHpdvWXzuJSVk1GHOUUs/z8vl8NputNKp21NY1TUBS - s94gfrg4PSskSJYkVZCWq4t/+7G/eW7i90vu7Gkn7iA4dNtuvz7Yvb7XROaqzSuzJZ3QoK+nFAYJ - RrCnt2y7fr3V7BvoNzTzfe8//8wzz+zt7Q2i4FOf+tR5552XzWQQQl2FYq1W6+3ucW1nZnLqbSef - nDXM3nL3Oe9+zy9+el/kBxAAieM5iN5z9rsPHDgQR5HEC+1WCwCQNTNBEiOE3MBPMYf/X6uTAACU - 0oQkURQBADmOUxSF5/k4CMfHxy+55JKJ6SlJktKe4HVNVxyEsigVCoVGrRZFkaZpbcfmRIHjOIQx - gtC2bZBQDmFdVZOYQAjCMMzlcs12S5Ikx/eCMDJNk0YRDSJVFACFtmVjQcyUezxCHJKQhNWr1Z5y - t8IJPKSO3ZZlnmJYa9dzxUJEqKFp9WYta5ilUjkIE54TPc/P53OiLBw8sB9zqJAvXnPNNdlsdnp6 - enR09J+vuDyIwojE1379uksvvfT+++/HAm85dhiGN996Sy6Xn56e+eFdP5qdnf32rbcoitLdVdq9 - e/fatWspiS1KOFFYuXbNUnUpX8xt3baVxHEcEknUBFW++8f3DgwOD42siAjFnIA4HoHEMIyWZU1M - Ta4cXS3Jsut5buCPjIxcfPHFrVZr586d3d3dAIAbb7xx1apVCwsLAIDUSI0BpiqZh/7tuXmntq8+ - q/RkIxglrtODZTVfeurpPffe82ut2Hvi27e1KUGM16WciDi3UyNhHIcxZBAAbNturVpvt9spvQlA - LCsa4nzLshBBKcaxtLRUa9QbjQbiMEIo6ooKhYIsCmEYIg6rqkoYSTM0AQAt21OzGdttAghURdA4 - HfL4wPi+89/1nh2nnX7fj37u83FLCfXs6OLc5NqeMwxPWJ6oU0N7+P6XjczIqg1v4XnZAXR5fsID - YSafEbDwwOOP/uuddwx0DcQxe3X//vMv/MDQ0NAd3/8eY+yDf/uhjUetf/q5Z7OGKYhio92SBREJ - nJHL/vLRBwPH3fGuMxVZLhQKnu8bhnHjbTcWukqnbD/VDfz5xYW+gf7p2Vld133HfuCBBzhRuPvu - u/OFwg9++MO5uTnTMB5/8tfvedfZl19++fTkVHr9C6XSl7/85Waz+dOf/pQxNr+4+I//5b90Oh1Z - ltOjW7Pd0nU9jmMKQWoK85dH/QwCwIDA82EYYcxrht6s12YX5i3LYoRkMtmDB8dXrVkThuHP7/+F - JEkjwyv2j41BBBCHFEW5++67oyjiRE6ShKmpiUPjBzgODQ0NAEARQ4uVhXwpNzF92PGd93/gfE01 - 0idrem52Bc+tW7/u6Le86Yc//CFAsN5saIpqWdbzzz9/1w9+KHB8udRVKhR37NhR7Co5vpfJ5x75 - 1WOPP/p0tVZhHMWY7+7rOjQ1octaV1/Prv27BgeHS5rZWJpJHG5FYQOvWnpfSYgEd6Etg3zskB2n - ng0Antk3V51dAAlAmpAwqOiqgHmRIMxJr+tIUozS933LsihNisV8OqRkDL+uTgIAxSRK7WW9jg2S - ZMXgAMI8AyxFoDDGiMMcB1hCAWAMEIw5CAWSIN3QA8dvtJ1ssUcWWCTaS3WnKz/IF1gi8zjiapP1 - EqcFDA+tXD2yfu2hqcnF5fmBFX2v7t410jf40K8eeeThh3TTaIfefLVy4QUf0g0VU2Ca+sLCwo03 - 3yTL8uLysiKKQRhqqmoWcqVyMVfMzi3O/eqJx8IwPP8D7w88L0liLAo+je67/2eyKm3cvEHVFdd3 - d72y++Vdf3zs8V/NzEzVmo0rrrrSzGSarXq9VX/2N7/xw0CS5Wu/fl0+n43juNPp8JKYsq/eaB6T - 1p9CCZTSVN2PMYYMJElCAElhmrTpT3Xplt3JFfKY5zief+XVV/WMaRRyMWROFPCq7CR+sdybkxiP - 8au7dzc6Nb7fLI0WYgi0JkYiaDWqAoZZM0Og5IehIal+6LValiYrQRC0Wq3xQwfPOHNHEIU8o6Zp - nnzyycVy11lnnfXss8/W6vUwDBukCSgFhHIc5/u+BVFXV5ckip7tKKIEDGCa5tTU1MbNmwghCaWp - NRfHcZIkQYwoY6mJ3xtJrP+cJP6IQpsQwiPIAEwYhJiHmA+CgALqurYE5XypN5PLDg0PtzpWQoU1 - 61b7cSBmxVfHd0MVJWIimkKxryuqgAOzB4Wh9TFIfN9DEEqCGHoeiaKEAUESkyRhCF779eve977z - MvlMRMIVK0cPT02uXLO6VW+A11LnUioez/P5fH52dtbp2JIoapqWBBFGCACQxgzPzM8dtX69IEtd - 5bKiqY7n+GEgSlL61fthIPLCX1qM/j+v/x3ZTH9JYo0xhhCl55vX4INAV9SYJpZj84JgO04+n7dt - G3FY5IWEUk4SEwgWlhYVSQ4cR8eY5/n0OnqOy/M8j7CiaIyx1JaDMarpWtu13cDHAq8bBgi8GCQQ - UtmQEGC+65X6usIoaVlt2wtLPf1Wx1k9spolxG61BQ5ELKaEcJg3MlrHbvGS6IXQzOi15Vpfd5/n - uLyoRHY7jkmtURsZGQEA7Nu3r6+vj1I6PDwMAGg0GhzHGYbhOM59993XbDaxwKcZ1Jqm8byQzWar - 1eW0YysWi/v27Vu9dm2tWQMJVVXl5ttu6Sl33f6974o8v7y8zBJqGJkwWP7Gzd9SZG3nyy8iTvjO - nbfXW20owCRmLavtePYTTz7505/cx3EcJwq6rjuOAwBACM3Ozs4vLS4vL2fzubGxse7ubhLFNKGv - vvrqpZ//tG3Zmmb4ERvOrG43PUXiEMmxjjvf6GR0KVMasEj0+O9+gySJhMx2PC9hhipFLEm7TLvV - WZybbzetFAJPCUyu6yIMXm9GG7X65OSkZXdEUVQktdPppIFQYRi6rpswmiQJTYfQhMQ0UTSt4zi8 - wAuSsFyv6oYMEqRp2t133xPYPoQYqLwjMgcEsggfaj2ahbqGVNty85kyCZNbbr/bKGas0M/35GUY - Nq2mKIpLy4u6ac4vLHiuy0Pa3V2enpnxo9AwDC/w7/23n23ZsmVubg5Qpiuq7brfueN2xEAcRqqs - BK7P4SN+NgDC7r7eSqXiBX6+WOAEvtlu5wp5WZadRTflgMeE1Ov1ZrM5ODhYq1YlSbrnnnsymcza - tWsXFhZSR43FxUWMsaIoacpau93OZDLp6gkxStnQiOf+KiOnKIo4jkcIp+EPQRBACP04chxn3fr1 - 9XpdURQAQKfT2blzp2maFFBZlh3H8X3fyBpRFFHACE00TTl8+PDKkdFGo8GS5Ps/+G4xXwIAeJ7X - brcpg4qiBHGUOvR7YfDffnm/63lRFJW6upIozmQyc3NzuVzOc1xCyNjY2MbNmyrV5XyhYHturVYf - 7BtUNJmXhSgJGvWmoRmQoReef+nZZ//AQyRDLPGQEheY3GxSj3gmM0GI+DynJR5zvVDXspBBM190 - Opaq65bTAlAIYwIpz1jCIGWveUKmSm+e530/Tqkwr3vnQ3ZEgE1IREjM85wsS+ntmhAGOIAgRylL - EpYwmhDAKIEQAkIYRQBEjMZuQCGGu8f2HNi/GxPPCqp8F1/jLNsEFEZIMFnd2yeUnYlWie+69bs/ - 8kUGdH5q/PDGLesWZxZ4DqEEzR2aoAjny2XLageuR+PI933N0BHP1er1YqkEEXBtBwl827J+8csH - EAM93d1LC4uZTCb0fIyAqmmVxjLmufXrNoyNjX3vhz8QBEFQ5em56aHBFX94/vkEkP6BAc/35ytL - pXJRUOXx8XFFU7P5XMoaAQAY2UzTar/RffWnwRTgCLoEGGCEEAhwSndLYhKGYZyECCHLtnhJTG+/ - iMSaprVajSRJFEWDEFYXl3ieX5iexRjXK8sq1vccmozb1QvOOWVkYPUQmW1zSbPNAkJyLMM8QcgY - xOWcdtRyXctyHDeKvSibyfhRaFnWUWvWbt261TCMFStWjI+PX/zJS2RZHlwx/POf/3xhcVFV1XTq - L/IC5pDtuaIktdttHvGV5WXCqMBhnvId21Z1DQu87Xu25wqCwHyXvX67MHaECPJXqpPSpKHUWzJN - XnMch+M4EkGB40lIkiRpdJrztUWtoJMkePipx8/527NvvOO6k7duu3fno3mpzJLYr8TdSl9/fkRi - ulv1uRXy4nSV5Xk/TACHKJ+4QWN0dPRnP/7p1V+58tRtb7/nnnsCEl/2z1+69du3jI+P67pOwqjT - 6SAI220r3REefPDBf7n6y7lcrtFsQg7Hac4Az2dLhQSBg4cObd126uGJCdtzfd+3PdcwzQQwDrA4 - IaIo/rXX4f/G+kuTmCRJ0r4plWmlZ/eQxIIgpOHDqqr6YcAJPIUgTgjEiAFAGcMCHydE1TUv8DFE - JIoBAALHIwYwwiSKAACI4wAAACI3DCgEsq7FjEahTzFLYMzxNGAhZhRKqB1aFHBQ4A1e9VyLx8i3 - mwAASWQUUpHnEpTELASA8hIGgISRiyiXyZmdTgdDLk4YQDiMiK6b6Z6UnpvDMJQkyfd9AICmaa1W - q1QqzcxMF4vFptU2TbNer/f394de0KzVfcc1c9kwDKvVaqFU9AJfkuV2q1UoFCZnZ2rNmmEYq0ZG - D0wcCoIAY16RVKvtqIreaLVzhdJyrZIt5ButarGr5LoOz+Pl5WVVUjVNsxxbURSr2UrBCwjh8vJy - NptNQ1/TZUuSpIWFhZmp6byRdTq+rBsOiyNABUFIohDFYT6j+76bINrwHUFRERYRTASREwCKwyjy - Qw5y9Wrj0P6DqiybplmtVjHmc7lCOtet1+t9fX1h4FWr1V27dgEA0h7O9/00yJAQAijleb7dqCuK - 4oUeZVQURcRoGFg8RmEUISzJkpbErNFp95bKbsMpaFm7bTGXgIgIkEqxoAt5FiR+6Gu66fgBoVAw - 9DChuULRde0EkmLGDIIg9twEcTHxdF32fNePQsux88VCEAQMgHJ3d7PVkmSZURoDikXBcV0O40Kh - YDVbkiYlSRKSRBYFQRBiRFdvXDc5OTk5O7Ny5cr5+XlN5DuuIypyip2TOBYFobtcdtI82CRJr8nC - wkKqeGSMSZKUqreSJPF9nxN4BgGhiSgfyROFHE7bRAgBxhgwFkURhFgURc+NwGvnPIwxIVGqhuA4 - Lo4JxyFZln3XoYykaQ+apjUajZR+1G63NU1L85MSmnQ6nXQT8n1f13XX9wRBCMMwPUgYhmFbFgBg - 48aNs7Oz/f39U1NTM7PzCCFeEi3LKpZKIYkxxp1Op7e313VdHmGe5x3XKuULrUYz/dBUJOK6riAK - uUIuYkHCEcfzTMNAAEICEMIty8oVChwCoe0EgFJFaHaaeiFDGBEpZiCJE4YRzmdKzVaHCYKpq41W - iKCOEyYQSilQZTEBYYIIx6PUgS1tlBljmUwmjQXNZI1Go2aaJqDQtm2eFzHGhWJucuqwADFjII5j - TdMsryMZCmCIMaBrmYbVVmSNMQZgghAANOIEHEICMY6SqF5v9ppZGWZRCEQ7yiq5hmfzWJBYpjFt - FY1yguRGq5kv5Kqdqqog22kKMheyJAgjn+Gert4wCJOQCQCFflDMZiKAWh1L4DlCE0oSQRKDKNQz - 5vLykirLi9XlfLHAKI1pAjAmjPYODeiGEZC4d3DA7biTk5PDw8Pdfb2VetUN/TVHrVlYWhwaGiKA - Fcpd+w+Ol8s9qQm1KIpRFEmS5DiOILzh8TplEDN0pBhjSZJQwAReghwGCMZx7LueJEmiKIZxxBAM - 4yhNchB5IQ4jHiKeQyAiJIpliHDEMpzEI8x7cZREAxs3/eLee/Zc+Y3uXm22teyVUc2ETJTtdmU5 - sHZZ49jlNm1+U8deFoBGSKLrBkmSarU6NDQUJWS5Xvvpv92XLxUXnn2mu6eHEPKv3/lOFMeSqoiK - bFlWNptrNpsZ3WAY3vnD75fzxUJXCSAYhGGaCuSTaP/B8Qcff+zg1MSGozdTwLLZbKfTkXWNQRAT - kpKI/1qzO/haFk3K+TuiTI4piqDMSyGLMc9xiiAU5EgDgR8nCr7oM5/hJTA2v/TW0e2TTy4pokSC - ZK7+yq74gCFoqpu3Z6Kh3NogTiAgccIooqKshWH4lWuvzma0yHFVRfCd6KGHHz48OaEZekIp4jnG - GEgoxDgiseN7q9esueenP8ka5vMvvrBh48ZWq8UJvOd5g2tW/v6lF7a85U17Do4Ve8qKoSUQSJIU - BAHkMMdxNBX3/efMfPwP9ddnJwEAwL8Hkr1er7teptPyPzXBBACg/+gHeiTn7LWJ6OuVIJpAyiCF - iDFAXp82p56jkALEKGQIQAoApYAyyBJEGaQUJQD8ybshhZAxxCBCAsfzGPI8bFv20tIyTZiqqqkY - x/M8nucpYJZlpdSc9BxjmmaqYYOUhX7QaVuartYqy6qhRyROV08AgJnJxDTxo3C4OKyq8qOP/2r1 - mjXj4+PZrDK/XEUAxQyopuF4dq5YiJNI0dS5xfmUEzq0Yri6sByGoW3blmX19/SmU1Df94cHBiu1 - aq1Rz2QyCKEg8k1V8yHEEOm6DggTeM513Hw+6/geRkhWtDii1aVG/+BAplxYWK6oOgcELomTOAwQ - ZaZpRlE0NjaWRIQlicgLhUJBkpSRkZFSqeR5XsrUXlyYS3tTnuchRo7jQEZHR0dXDI1QSq2Wnc1m - bddptVrFctH2PQghgEwTeCRzjsd4hGMoKKrqRYzEgOdEq93WeAlKmBPllt0BJAGYJiSiAEEBBX5g - 6HnLdhM/TpIol9cATEAU1ZfrXV3l6uJcziz6oZ/NZj3flxSZMZZQCiGUVKXT6ZAwyuVyrWZTFMWU - lTU/P89xHC9LLokSwJjA5btKDMG2ZQUkPmrjhv3792OM0/415T+mPgJpexFFUSaTieM4iUmr1eIx - lwL5tm2LPI8xlmU5TpKOYyuKkjaXYRiKouiFQSrmSuNzKaWcgNNTXTq5ed0e+k/tPeI4RohLP13T - NEkWqtVqPpNJ0zxUVZ2fn89ms7VabXhwqFarYQ6lY4n+/v5Go1Gv1wuFwtTU1MjwsCgmIi9FUSDw - kqYa9Xr9qaeeOv7449vttioroiwFQZDJZOZmZ1VNi6JoaHAwjmPAWK1WGxkZcdrW/Px8Pp+v1+tr - 1qyZnJ4ys5nKcmVwZEXLakYRYBwCmIsJdVq2wsuGqff2GO1Ww/IcDqGCke8krqzk+BC6TYfHAod4 - Bpnnx1qWF3kpgJAAiBUpYonMc4asBVFIAt+PfC/wojg2JC2OmO/7r4Wn4jgONU1LAQ7btiUh1QWr - MSFuFAAABEEQMFer1RRF0TQtojQMY9fxIS9QCkjMYhJyDAscozQCCBJEgoBhJMg5HWAhCQIuxhma - jyuMWcSjUb6nP5/PWJadyEwt5azQ4UUO0JgkYaPdyhcEAjAvy64XxX7UXepeWpzuLpUarXoIsaZp - 2Wz28OHDuqIwxgLfxxjncjlD0xbm5i3LisIwm80mMfHDwJDyjh84Vqenp2/80OGBkeHxg4dESenv - 7y/39U5NT5TK5YDEoiqPHRxXdS3lamiK2rLagiA2Go2BYn+lUnmjlTk9arI4STvC9EVRFGnCojCM - SKzruqIo6WoDEfyzouM0c00WJchAFASYAQ5A4gV3fu/7s1YnFsSB/pU06vRkRiIJS5j5EVOwrGCp - O5clHJmaXHxu5+9hgiVJ9DxL09RMJjM1NVUqlaZnZgBjmqaVurrSpnzf2IF2uy2KYpwQBgFJEl4Q - ooQ8+7vfbtt+GiVJ27G7+/su+NAH4ziWFUUQBNtzh1YMn/XOd0xOT8VxJMhSsVisNhsAQYRxuoIx - 8r8iLWZH0soAACBtZQxZZwmLotjxXDtyl1q1f7rmqr7ernVv2uK125TELa+TMQthkgRtx+RUJa+j - BAlMiDmyf/dEZaGJBTHbXag7DUESIhouLS098cQTgWvRINR0o1gsvvzyy5IsJ5RG6VdGmWmajPmE - 0sXKkiSIget5nmd7bgxoeaAvk8nUW82O5+4ZPxAk8dL0VMJordHwAl8UBQQAYZQQAjFKp3D/6et/ - sYlJ63Ur7f8R6EpfoPA/tC+vZ8L9+9v+h4t8xPyAAQrSI+trnQwDGABA0w6ZUkgZpKmjOUUMAAoA - Tf3OAQMA0JhECHIQJkFEotCXgahperFYSt2ioihKfSYGBgbStKPl5eXBwcF6s25ZlmmaiIGMbiwu - Lga+rxtaGkYvSRJHONt1Mhmj3W6Xy+Vms0mSRFTk7r7+8vxiFJFCV3m5UtNMg4OYMcjzvOXYFDNe - 5EzNsD1H05W5yWnDMMIwNAxjeGAw3VOjKOIwdsPQ8zxRFL3AFwRBEkSXOUtLS8VcXhXlxYX52Asp - hsXh/sNLU8Xe7o5l251IAtxo/5D339l773C7qjpvfJXd2+nl1tybm0pISAhKFSkCiggCFsqLIKPD - D8cuMiOoWGZsjKMoqIyggoogEKqIiIABqentJrm5vZ17+tm9rbV+f+wkBkfnGWfe9/n9Zp53PXnO - c+7NPvvkrOyz13d9vp/SNDvz7a6MUZ1vyLqmaVLTMtNaJqWm4jByLVtTdAwhhLhQKBUKBVVVky2v - ruudTqderyc9iGw2iyDQNK23v6+npychzcmynEhFOI4TBIGPI4QQhsgzWyo0cBwTykhMoAIFLEGG - GYwZY5yIvdAPIYriQBIkSRIijDumbflWJl9s1zuGomMmAxZzYVStzWu6dFTPgOW7WVE1JJFFMYni - eq2RzqYBhJqmdTqdKIpUVQ0gghAma5jTNtPptCyLAMGIRoomxyEX04hCEJO4UauvWbPm5Zdfzudz - qVRqbm5OkkRN06y2mQgRXdeVZRkDWJmdGxgYOLB/ZOnSpbquT09PNxqNxYsXJwIlv9VKZgBj3LEt - kCj4EEzcWh3HQQhxAp9ULJRSAA5KhZM98WGCwkHreox5XohDIkhy4DkI0Gwq3ajXJVEGAPiuVyoU - AQCZVHpibCxfLKqqSghpNpvDw8O9vb2KonU61vLlK9uNJsejWq2hSqKuGo1as5Qrnfam03Vdb9Za - vMpPTk91dXW5vpdLZykAmMF6tZZ0DxcvXjwzM5PWdF1RzXaHE/hGq7lo0aK22Vm6dOlCtSpwfE9v - 19xCBXBiHMalfIn48cFk0DAq5cs8YM1mJ+CAJKrIJf1CPyIRIyBkKGVonUo7V8y3aBAFoSrLcRTA - OAxdJ4hizHP5fD6XzYdhCGV4eEcBAKCUQgh4nk9qxMRBm1LKmBtGURAEYRj6DIYMuK5LCBEkuWO1 - isWcquqSqBCIOIQRQhzAGMYQEp94HCcKAk8JRFhstWzsUV03EAv9tr16YA3DqFar1c0WJ4mAw27o - WHYnlzdYFImcICmyFwRdpYHZmWpyH5iZmhExDmxfFpWYxJZlVSrza9asmZ2aFkVRliTGmB9Ekd/i - OEEUpWw657puHFHIAUaAyIl8Jud7YT5XNG136bIVIYnnqwu8KEBemF+o9vR1y7Icx3EYxoZhtJut - sdHRXD6frK6e5ymilOQnHKl9O/J5UmQn8xlFkSCJABwUFSYS64TvyGEe/AX1HEMwpoQRShGUNDWO - 4wiy737OHOxJAAAgAElEQVT/e01Ky7lCIQ6dRiUWI6rzpgwjiFQmCx7HO5D5VE9npiszWjbF87jW - ckMSLVq0KAnyTMhP85VKoVBQlIPoiyzLmOeSjm0QhckN57nnN5IwUmWlXq0yQvt6ehuNBiFEM/SV - K1fu2LUzCAIG4bsvvqhtmZZlcRwnKbLn+wnE/pfWrD/HyTgiCfignzZFB//AVqulG6qkyoBDha7S - kpXLn3/15ShwRAbrc7PFdBpJQptEFGENi5giQDGLAAxhLlPwbC9TyPlh6HmOKPCUxiwOKYtESY1D - nlIqKfLE7KwXRsuXL5+amspmMhhj13Zc11Uk2ff85zb+HjJgaLrZaicKADvwAIJ9fX1JiES1Xq9U - KmvWrCl3d4miGAR+wkAlhDBCMcbgr/Qv/u84/ktFDPhz+MqRgwFAIfgT6+PDpc9fig1KEpYA4Cig - ACACAQAIAcBgDEECwwCGGDkUywIO5rYk+CFKLkPMcYCCmMYAUFHkeZ6nUWxZFiEkm82appnNZpvN - puu6zWYzCWudmprKF3IQQlEQyuWy2em4jqOIUkrTR8ZG+/v75+bnOVHoKpWHh4f7+nqmJ6cymUyu - p39k74giSn09/ZVKRcACCUnGSPtu4Lqu1THTmXS9Vdeg1mzV8rmc77grV66cnZ6RZXl2drZYLLZa - rTiONVUNfJ/n+VazWSyVcrnc5OSkL3gYwEWLBhbmK3azralqqljqeFbIfD2r1OyF7u4ys4Og7iAI - BE7kgQBCWMwUTc/ECHEYa7IicByJ4p6entCPMISMgISRWqvXCSGCICT2l5bZTvTzCR5bKpXK5bLj - OM16S5KklK7Nzs42263e3l7bswEAcRyTMEppOqGMRjEBhOOFOIogY7ZtqxANLlrUbtTdKIgxEhWR - F3jAAccxJVUEhDZbVUPNhJbNARAFXjFv9JW66o1Ku97gZVmT5NnJ2XJPb8TYypUrPd+tVqtJIVWr - LPT19SFZdh2HMWablue6HMa6rnueR+PYCQKO42zbnofcSSedRKJ4z549Ei+YrXajWssVCzzCjWoN - AKiqKs/zhmEEnk8BEzjebHcGBwdbrdb09DQhZMmSJSMjI729vRDCVqsly3JE4sSAIWFEJgVKAqgk - eAwhJOmPHLbKPIzE/MmglCbFdKmQHx7evWTxULlcjsI4adcCACzLyqRSqizbtj3TaFAABgcHeZ6v - LdRKXSWEsGc7tVq9XCp2l7oYIbbtZFLpSmWht7vrtVdeSVLBy8VSs95wPHfx4qF6s6FqSrKQEMAW - FhYQQgDCWrNhtTvHrF4zMjLi+77tOpIkSaLIAKjMVF3PzxWNiEWNWr2/p7featqWXczlO81WVtZw - DFRexATRMI5ZpOGDBhU8xcSPeMgx37ZMU9YFyGKJFxBCDAKEOEBArVbbuXOnLqqqkgqCoGNZyZUJ - AB0eHvZ8R5ZFURRpnOA0ImUMS4IoirIoB64HABgZGSEIdDwr19uV9OzC0I8Y4DgOAuoHriDEMaNQ - QqHnBz5V0yrmOD2tiIIQeWEEwpbTapsdCHGukEUIWbEH4njx4GCjUY1jYHZcWVB5Xu60moYieY7H - QZrPZDy3nbRpSBTritrb1bVj6zZD1xmlkAGe5yVeoISomm51TB5zru3IoggBnpue09OpTCYzNTWV - yxfGxyeEAdE0TV03FE2ZnJzs7+/XVWN0dGTxkqHK3HwlpoVCgZFIFAQec4au26b179iwJkpDDEBi - iJzMZxAECHKyIhNGgyCADCRKC8hely1/8AkAAALIQEgJRBBi7ESBbdvF3u6GbZcUDcRxrdNKp7Qw - siLGQicgCFlBmEaqwiuEsoC4Pf3dbc/smK2BgYFmszE7O8tBBHk+2S+VSqUERfObPgFMkqSOZQqC - gBCCAOm6zhizLAtSpikqJwiMkDCOIhInDd9mswkhlCQpybMsFAoAgCRpBAAgy3IYhvx/InkVgKTU - AwAyRgEADDI9rRBGOQ4KsuQG/vTMTIRpFIQspsesXuPaTrVZjTmWzWeJ7TXaDVUxZEWLQFCza5DA - UqkrbnUsx+JknrE4MduyLMt3/Xw2F8a0VCoRBuIoUmQ5QUwPeq0RQhhtm2apUFioVWVRwpDP5vOc - a7uumwQt7dq16/Qzz4QQCpLYqNWT7oEfhUm5k2yM/7Pz8N9p/CeLmD9ffhwOsIOv+yVBAFCA4Osh - mT8h0gMAAEA0mXKEWVLrHMxm++MJIQUHc9oAQYDAQ37vDAMGIEMHT8UQjSMIMUQwqa7jOKZx7LlB - QtrqdDqCICT8g0wmE8dxOp1uNpvJjhADOHrgQK2yMDg42Ol0Op2OKsm2bff39U1NT3earWwqHXph - uVB2bLs0UFy97KhlS4fSeqZSXZiamjrzlDPiOI5DIghCFMdYQMMj+7bt2pI2dENTt28bEXkuiqJl - Q8ss03RdV+D5rnKZg0gUxXqrmai6RkdHy6WSbduIg7PTM+ed+/blS5cqAu9YdrqU3jY+nOrJIolf - mK9061mFic2petrI6XpubGr6kSceDQAkbXvZ0IqUYjBCxvaPSbzkuwGPMI3ZQqXWbHU6nY4kCaXu - Lo7j0um0LEmmaaqq2m63IxLX6/VWpx2GIWRIEAQEmGmaiXOMbdsMoyiKKGVBBBiGRipDMUQINM0O - gEgQcU7PXPTud9lmO13KOCLzqe/bVhR6NI4zRlbmVK8TKYLOMawI4p13/nChOqcogqypiq5Ztqtp - ei4FzZYtqOLe4WFN0wzNiKKQF/lVRx2VMG0hAxzmVEm+9N3vckyrv79fluVms5nP5zmOc13X87x8 - Pr9u9dGU0kceecz3/WazGbgeEISUYei6vmvXLllSisWi1emks1nMQdt16/U6ISSXyxUKhf37959+ - +umvvPJKJpPRNK1areopI2Y0yWZLqhBJllVV5Xg+6R9FUYQxxBgjBOI4pkdEKB32PmZJSidlsiBK - kuTazje+9nWz3d67d/jJJ39zzTXXdHV11ev1u+66y7Wddrvd1dWFAfepT193++23f+ITnzrxxBNv - v/37Dz/8qCjyP//pPf/4pS806i1J5DVF//Y3v9VstsPAS6VSiYsjz/PpdLqysEApVQ19cnpyfqHy - wEMbHMviREEQBFlT165dyyj9w8bn+3p7EUKX/a/Lp6enH3viV5IoX37J5W7gP/TYo1bUKZZyjWY1 - CqNs2vjDMxsnp8bMWmP8wCgWuGwx23Q6jDGJIadjp7QMpHhR/+CByfHfbHz25W2vhDQIYxhHIYE0 - IgxRQCMQBXGnZXbiVjrlU0ojQk4++WRB4MPQHx4eth2zWnVTqVQSvKAoWhhFRjZXKnYdtWy5LIiu - Ze7evdsOHMZjhBDGkNAIIYARQghgCBjgRFEMPTcOmaGkjj/lhDPf9GbBC2enxiWdF9NyI7aoyPGI - Jy4BnUDjFR6iY9atX33sMee849zZhYrAi6qid1rtVr2Zy+Vy6ZRt27bPGItYCBGHhgYGt+3eqchS - X2+vJIiu6wLCFEl+z3ves2zJknKxNDE2TsIon88LPG9ZVgwYpRTzHEJo9Zq1Z559FgbYdXxZUov5 - Io2pbVqXXXKp5735DW9Yf/PNNwNK3/KWtyxbMvT923/g+67Z7gwMLJqamf5LGEwCtwiYZ4wFQaAo - CubFjmWGYSipSlJk0yRhHkJ2RLzXHxNkk0fuYAgRhCCA1GOxA2LCYma2IYnD0BIN3YeESRhSxnOA - 55hntzQJQMAWWgspkEU89l0PEBL5Ac/zmqq6ruvGMQRA1zRKSBTHiaFo8hFEXmCM+X4gS1KUaIMh - TGSArutWqgtJYMJBrg+hlFIMURiGSeQQx2FwaMPwn3Z4gxAiDCgBAFLGKEWx6zuqrgmSKMoCjUjo - BQODg7Xqgu82ZydmeA7oaY1xgRnWCPP5LGZCFCKH8Sj0XLfjxZ2Yg0jQIMcByqAoCL7virzoxJ5p - eYlTVBxF87NzRx999NTUVBSENIoxhAlWmpggiKLIi0Kn01moVZPNEqDMNq11x6xFAJjtdrlclgQh - DiPAY4kXCCFRGEEIRY7/a5Ok/juO/yoScyQ6dyhF+XWDIIDYwZheCP8MdJlcbsnvIQCQYAAS8AYl - HSWCKAAMMAoABQwdSp2F5NCbQwbQocTXw/8WQgjHQcZAHFNCGWNAV41sNptYD5dKpXPPPfeVV15J - SJS33377nj17KpXKD753W19fX3d39+bNmy+55JLp6WnP86655prt27e/8MILl19+eXd39+LFi/fs - 2fNP//RPJAgFiG+87u+ffOKJhan53fWd8/MVVVUjO5wcHy8Wi4NDQ7bdeW3Lpmv+7poDB3Z3Os1O - u3nSSSeMjU184mMfEXnl5ptvJoRceeWV/T29X/3qV8MwzOVy+7YOf+eOW/fs2fPIo48evWpVq97Q - FPW0U099+znnvvOd5+ayOpUJzIsvjW1caM6tWr7y6V/t6tW7zjn53Ch2f/3s5vMuuAg985TM4+WD - gxecf97KJSua1dp3b7k19IJ8JiuKYuhHCY9SFEVJlbu7u089+ZQ4jrdt3frUU0/Ztl0sFhGHbduO - SKyqKoYcpRRDkM1m/TCYmpmO4ziKKKUUYAQ4PiJx4Lt+5ENGLNcplEspPd2VK9qutX90L6pwe6oH - Gl6j066wIOzO5Luy5Z58P/XR7PjCySeeevmHP/7cxqenq1MI81iVphoLuWzp+s/c8KMf/qRSqUIM - ntry1PDw8GubN91xxw8zxfS7Lrr4xz/+MSEkpmEURh0/kHjhdy+9ZJkmhmjrps2JskAURVVVl61c - sWzZsiee/PVFF77rX+/4IaXUMAzEc9PT0xdeeOH1118/NT759NNPQ8a++93v/uEPf1i5cuVNN90U - xrGiKNu2bXvLW95y/PHH79y584YbbtizZ88pp77pU9d/+pOf/OT+/fuvuuqqj3/84xMTE5QxCGGi - 7+AFDgDAGEEI8TyGEMZRTA/bTx35xUHIsR1FVCzL+sDfvP+BBx4AlL797edu377jzW9+89VXX33J - JZecfOJJr7322rJly8bHx390508eeuihKAg92/n8jZ89663nbN26fW5uzjEtCDBkQOBE17JpzDBE - M5Mz+5x9GzY8oEgy5rlqtXriKSeXSqU3vPGNB/aP5IsFz3bSqRShVDV0jPFnb/r8nf/6w2JXeXjf - 3oce3PC7Z5+5++67Fy1adMEF76zVGjMzM5+78cYPfOBqCzJVUQxFzaXSBJDHf/VYVy6z/rjV27a/ - 9tymF0bakz6IFSSxdnT+m96W1wtQ8KcqYwvNymxlXlGkmMaUxUY6jTgJEOp6NmRs6dKlAsKqkvJ9 - 33KcU089NZUywtBHCLmeXa1WkitWURSeFxvNpqCouq4fd9xxy4aW7Nm5w/d9y7cJZpTGEDFCIl7g - MARh5DPCBJFzfBdCyCNMvSCyrIXxsZV9PcWi5AveK2Ov1rDTCl0Jyav7Vpx+wvFpqu5/be+BzdtO - OvZEAUgpo9hut3EcKFjgjbTAAUa9MLIymQxkomf7kiwfGBlJq7pvORhj024xxhCDph/MT8+AmKii - PDU+sXvXrvEDoxzCDIJWq3XKqaetXbt2oVZtNtopTSdRfNSKFdddd52sqtde88EgCPYP7926Zcvq - lSumxsaXLFmS0o077rjjg3/zgVtv+07GSIW+7znuXzIxY4wlHU/f9xPX9YxmxJS0W6bneTElkiRR - TCilJGk3vP5mTg/lbTNKMUKJ4kcWJZxCtYWqJskoirLpFMwaba+NBZkAIALIIioAFENieZbMy6Xu - gmnZiqxlDbVeW6CEpHK5TqeT0L80WRkZGZEFkROFTDrNDuX0aZrmmBYNIhCRwHbzuZzjOK7rCoKQ - zWbNdluRZMdxsulMLpOtTM96YciJgsDxibw0jmNFVVzP8zxPFMX/pD8KpAAgACljjAECIVRTOhb4 - MA4ghJABp21LSCgYmWyxy7XaCDPCEyMteNSDjGqq6tiB2XFlWZKLuu+EjWojZhBQJmGBQ9jutCkF - seUu6lvkeYHre57pDC0dcjrmzOQUj3EchKIoJlpgjPEb1h83OT4uixLHcYVCgUPYMS0AQM5IZzSj - d1H/5s2bU7rhWXYuk+UQCj1fVOREnpkorf5vEfNnxuH2DQAHm7KHB3p9h+hwXU8PtZAOH3uYSXN4 - glGyN2UQAAQAwhQBhgiCDAAEGEExADFkCIAYAAQYYhAchEKTiJrk7OyPMKskSYRGhBCORxIvh0FM - aWy5ThAEPM+vX7/+Qx/60MaNGwcGBvbv3fvKSy/99Kc//eY3v3nvPT/3fX9qYlLkhZ6u7mee/h3i - cLlcrjUbFIK77747CIL3vve9P77jzmK+OLJv/6oVK+enZzNaatWSFb+87776XCU9tGzLy5sMVTv7 - 3Wfs2L3rQ9f87V0/46nvdxqN3oE+2/W2bdn899f/Q3e5u9Nx+rp7LMsaGhjcuX3H3137oQcffPCM - t5z56G8erdVqU1NTR69aNTc9AwAoZvPbtm274JzzVU362j9/fuuBV+99YUPgV3oGtBDOL1qlvfWN - J9Ga/c7zLz/3/IuHD0wtLNSyWcO0WketWK6IKlfEhJBMJjM0OFQsFKKQxHGMOEwpFWSpv79/2bJl - zWbTMAxVVbvLpb6+Pl4UksxqAEDoRxBCHiNBEOYXKsP79gIAGGM8z/OCBESRAeSHrpRSRIzUtBqQ - eHJyfHG5h5Dobz74fpQC9zz9i53jWw1PS4tchilDhcK6Vavz6f6UXNi1e1QSheHJkUbs9WYLTdsi - uvKdH93hmY4VBM126+qrr3rw/vt37tz58quv0piefMJJnu28+ZQ3Pfnkk4xSReBlScqk06VC8YJz - z6tXa1P79qcMo92xNE0bGhpat/5YRdMc01IEEVGQTWcr1QUK2NDA4PIlSx/85f3btmyLoqhWqw3v - 3nP793/wuZs+Xy6X9+zZw2PMY/ypT33qzDPP/OQnP7l58+Ynn/rNE0/+2rbtW2+9tbKw8OJLLx17 - 7LG1en1qakrX9eSmIysSxtjzHJ7nE3g8aS0dIgwebJQyyhglmVSKxowSUp2vHLt27W9+/eSKZct5 - zIm88ImPffz888+/6n1X1qs1RsAJbzxxcmJiw4YN69at53lx48YX3ve+qyRJOeectzUarTe84fj9 - e/dNTUzyvDg2NrFkcPFV77tyYmLikYcezqZztUZ9aHDxW04/4/TTT09nsju2b4s8X1PUtJEan54C - AFzzoWufeuqpRNewYsWKdDazft2x4rXiXXfdteHhh2dm5latPtqy2qViLp/JthtNkXES5ATA8Qqn - 5gS9jLpj8YXGyKbmi03gCj6fiqS/O+7C1V2DCzW/6s7hLG/05EUoIQibzXocMxDHAoIIs1TW6Oou - qYJCCaKU4mYzIZzGcZzP511P0jSFUoohp6oqpUDVDE6SOI6TZSWKokwmk06ndWT4NOyYTYQYgjQi - McQQAEIZoZSJEg7CmMYRB3CKx8g1169+k5gdHI8mHtj3sy2d8UhCaSHjTs+dccLK44ZWH53u2fi7 - 1yClGEqR50KmgJDpqrzi2BWKLjz7yu+zWeXaj1yzUGku6hra+Oxzr25+9e8+/CFN026++WYOIJ7n - aUxEUcymMzSI1h29dmn/4m0DS2677bYoCDOZDGb4rWecff47Lnjy6d+EjFgtS0sb7WbnoQc3nH7m - GSIvSIK4b/eeL37+JrPT6imVFUG02p2jjzoq0VE6jqNqiiiK4BAA8yfVzJFXWhzHlmUxiHVdBwy5 - gZ/koyUYDIKQMQZez0o82PpngBAq8RxCKIpCZnkyx2WQeP1HP+WanY7VkXUdiXzEKMSIRDEDlFKa - zabjIDStNofw9u1bd2zb7nSsTL4gCFKlUiGEFIvFKIqarcbQwCCNCUCwXq97YXDMMce8tmmTa9me - 68qiqEkyj/E5Z52VTqclSWo2m9lslsdcFEW+6xWyOcs0zzr9DLtjTs5Mu56naVo6na42G7Ztg4TV - +xcC5MGf7yEkgBOkjAIKAGCAEkAJAoyDyPG9UjYXuEGr0UYArFq24ts3f5OH4Ff3PSAiODczoRUy - M07Dh3FfsRtQUl1YyOYKaio9NTenp3OP//oJ3wsxgJZtq4IEIS7kMrd+59Z229w7vP8H/3o7J4rv - fc97Htnw0P7RAwnPgRLSbDYz6ayqqu+++OIdO3Z4jhtFkSAIHMISxK7thHHU19dXqVVPPenkNccc - c8ePfzQ9OYUANDTdCw6ygpKdFcf9V3GK/73j/wTP+K/+hEfCj/92HMQkE+oiAOCPkqGDg70ejDl8 - koNVEXjdp0yKJMwApAgBBBkBAAEGAEQAAMwgZQAydojghg79LQUABkGQ2J8c9sjnFV6ShFwuZ5rm - 008/nclkMpnMpk2berq7TznllHQ6fbj+zZVKF73r4vnZuYjE555z9jO/f279+vVBENi2vX79+mwq - /fa3v/3hDQ93FUuV+XlEWByE773oXRvu/WU5V5idnOopFTHA1/zt33704x9ZsXyZpqgIwuVLlkcs - LhdTuWz+2d89Nzg4tGLpCsMwwjB810UX3/Ozn19yySWPPPbo5ZdfPjk5uXTp0je96U033/z1rq6S - oeue465YsYyBqLuvbAWNZesHutrl4mnFkbn9y3r65l/cb+S4Y485MaWJrz63NVPsXbZsiaQKeUNp - Nusz1lwxV5Rlec3adTxACPNh6FFKDUUJ4yAOwtBzh4eHgyCACK1YsaK3t7darQRRqKeMMAx93xd5 - SRTFTquZeMjGcez7vqgqhmGohi4HXsbQXK/D8cA126lUFiLs65nly5fW27WuvqLLd4yyMJTvw1pR - xRBO2zqDuhgfv2Zpu01/Mz0RAm/xkkGoyyEGVBINw/jwxz563Yc/bhjGkuXL2p3mipUnlMvlD3zg - A5/9/Off/KZTf37Pz6677roDe/chhHzX4xDOGdlVK1addebZe3ft/tfa90AALNsKbH/NytWXXXTZ - jr07d2zdEccxx3GO46bTacJos9kEABx//PFr167jOO4nP/qxkU7deOONF1544Te+8Y2enp5ms3nD - DTf8y7/8y9DQEMZ4cnJyZmZmxYoVkLJOp5PNZK644opnnnkm0XPpui4Iguu6SZM+ueMkN9ME905k - 0geXnEOeY57nIYBlWX7xxRevvOqK277/vcDzZmZmpqen77777scff/y8885rNBoY85deeumNN96o - aZosi4HnDgwM+L4LADjttNOmJyYvuOCC6K3hxz76YQwFVZUdx9FVnVKqKWqz2ZQE0bZtWZRymdzk - 3DTHcZjnBvoX1VqNdWvXMgDuv/e+8847z9D1QjbXVSrHYfTNb37zy1/+smPZTz/zzPnnn/fpv7/+ - f11+2aJFi9rNej6f17CkyGoE4nTaKPeWms6CkAFyH3rjicfhsprn8wee3qaUcMOdVbJdUKZGMaNn - 0jjmi5mcqqqigFAUSyJfLhfzxZyu65iipmkWCgVOEDzPsyzT87ykoy/LquvaCCHf98MwRgiphk4J - aJvmzNRUV6HouFa2WFBEhQho8eLFMSSmZ3EIySLPSKJNJ4gTKeRjP+oplUUMUxofcqass+Ky1Lmr - ztK7i3EzlOv0qKFFC5VxyVJlzItIKOe7KKdyohDZ1qqli668/J0HxvfMtmZ4Q909vGvJ0Mp1x6z+ - /ndu/eqX//GFF553PPfZp3935ZVXmaYpcDygTJOVwPcDz1NlBUNkyOp827SRqakqpMQPPFHifSs0 - UloYRiwKtry26fTT30wI4XhMCPnyl75w7LHH9vf3dzqdlUctf/XVzuLFi5OMsyNlR39+EEoBlSSJ - E4R6s+mHcXdvT7Ygs0bDTRy3CU2MAQkhMJFfHGYCHLoVS7wQBSGGSMCYRXEcRByAV116xfYd2+66 - +8e13TvLi8qjUyMeDezQwwJfyOYFToQh69Q7uUy+v2vR1Oi0ZXmO5brYz2az11577YH9I08++aSm - af/4pS9ns9nNmzd/65Zva5p25ZVXjo0dYJTKsgxj2mjUGaFvOvHkO++889RTT33huRfajSallMaE - 47hCLnfWWWe+9uLLIyMjF7/7XZOVWYrA3NycqmkxZIRSgBEjNKH1HPnR/v0BIWQQAMooIJRSBhOn - NJhR06ETWKYtGAIH+fGxsXKpFJjm/b+458F7f/7yi893mDMxNbdgLrTn6yLGXZnciSevFXlj9449 - S5cdHQbJjhGlUmrk+SSODcO4/PIrDNW47vrrTzzxxBde+n1vV/fs7Gw2lZ6fn08QqUKhQAlrN5ut - RvNXjz3+y3vve/jBDS+++OLkxIQuKUkOvCorxx2z7mf3/DybzXaard7eXgBAwmbjsZhcJIljwn/o - 8/93HhxgCPyJpB4mhcehBg8AgL3ugCMvi39b8R7urR5UYRx6hIdl2Iee/NuimMJDOiMIAEMUAgQT - wIYeelOUvBZTcGRHFyYKbEAPXbVMwAJlMSAAc9hzA13X261WorC1bTuXyyXkKVEUbdd96ZVXvva1 - r91www3rjls/Ozu7Zt3akMSvbnrN8b0TTj4pjKKhoaFz3vrWF1544fkXXti2bdvVV17FcViSRcvs - SLIIIYAAIARDzxcEzvOcMIwhZKIoBkHY7liLeLnd8bDELdSrsqbaVlgodnOCOD09k9KNJ5749fvf - f/Wxq497+/nv2LV3eMNDD0xNTd12223z87PlYrFWWyBROD0zjgCVNZ7XuAZos27ZSnlaodcKSSTG - zVZlc/XVrtMXdxeylu+02jXk4k6T/fMt33TbvoikxYuXMIwcN+QlGXGYhpQcTKMjU1NTtVoNQhiG - sW4YrXZbEGUAQEQYBSimwJCkIAgwL1AA0+m07/uaplEEHcfxHdcxLS9wCYk4DAgl7WZbFOXQ86en - p086+Q3VTgXmiFwQNFmxdDZRnT9m9cC+3+48c9VJcbNhNqNCIQcBbNYbfEhrjRqRONOPItPzTZuS - qHJ3TW0AACAASURBVNGoPfTIvmc3PletVh966KH5+XnXda//1KfLpfLHPvKxGz9zg90xu7u6RMTP - Tc7IQJR4RVUMzIk8DnkscEhgDMzNLlAKs5mC47ipbA4i1mmZqqp+4xs3t1otTVO//s831xo1x3dv - +/5tL2165Zhj1+7YsWPdccciHr/40h8KhYJlm5qulkvFycmJVCoVUXLDDZ955JFH/vCHP2QymcQm - MYpDiCCHMAIwVyoHQQAB6LTbke/lcrkoCEReQABwEDEGIIAIoSjydVUOggAA+ov77is++2w+mymV - SgihfD6/bt26dDZjOfYtt9yyYcODEANJFNtWq9hVSKcNI6VNT09+5jN/n0qlxqfGd+/Ymcql5mcq - fYv7zZYZgkhRpCDyAWMcL3ZMG0KIAcYY247LS+LLr766dOnSfXv2GoaxsLDQqjVIFLumM+fPTI9N - ho5frVR/+9unC4XCjZ/5zBlnnE4hnZ+f5nmeRVSi/Jo1axhgB7GQInp5eIeoq9hANo1Yp9Uz0D9Z - nYc8n1c4iPkDw3vaC00UgLBjVxoLPI8zuhYG7vz8fLPZDIJA4eWEixbG8b59+1zXlWWJMUIIqdfr - uVzOdz3GGKVMNVKO50ZRtP/AiG/bc7OzMaURiUlERUnZtWuXltFbdlsWRcgYIxRTCCgmFHKyFvh+ - RlDfdtoJzXbD8+svzb3AqcjHfgBdgAjCuNaY7I5LtUaj7doMgLnZ2aYXelFMaAhR/NLLr0IumKrM - tcY6YMfOH3//Xa2Fan1m5qd3/vizX7hp89Ytz/5+4/TsbKPVNDQ9DkPP8zqNpqGpvu0gQF3P5gVs - +7aRNbzYTxI6Lc+FkLmuncmnIQSGphFCKCNDy4Z27djWP9AnSNJzzz03OjF6/4b7j1m/NpPNVmpV - meMhxqIsUcBc3xMkkZAYYxTHESExBozDkONRFAdxHCuayotcpTKn6qliMc9xqNlsYl6AGBFKKWQI - IQABY3+8k0MGAQBeFIqSAAgNCeFlCTFAGIsYHRufvew9ly0Zytz32I+ogab9esx5MYQmMU9YcdKb - V705z5Vv+5c7aAw6ZphKlVpmXUD8Bz/4wbVr146OjlLAbNeqN2v/z4euufPOO1euXP7yyy8LGK07 - ZvVCpVZZmIM8xIBBjB3LvPryK+ZnKzd/4Ss/uO17o6PjhCOUxoHpkYC8//3vv/e+X9i2hRmwOlY+ - k7U8lyAAOEwBIyBh6AEIAP2TBevPLIIIAEAYBQhBSCgEiMOQg4iDSTBIEAShE+QW5UzT1mSNg6jS - bFz3qY9pBrd8/aIxZ/KRJ+5bcfo6mhH9iJRUSewhS4t9vb1dEq+ooi5wUBSQb7V6u0rtluMFfk93 - X73eXLp82S233fKOCy546dVXPvyxj7744otsF2SMiRzf6XRyuVzg+TQmV7z30k61Xpud/9bXb37f - FVf4tqMoCgRg/57hz/7DZ7Zt2xb5gWEYtuuEcaQaumlZB+WQr7ds/h88/mok5j9S2B55zJ+rVP7d - F0MGDgJ85PDL8b95UwgAZoCxI8/2uoMYYxBgxhglf2R7MUAdx1EURdf1np6eRqOh63omk0m67Kqq - IoRGRkYuvfTSn/3sZ3v27T311FOjKLr33nvDMFy1atXFF1/87LPP9vX2pnPZfD5frSyUu7sYADEl - 05VZ23WggAWeZ4zJCNmBF8TR8N69FMJmu9M2TWCjTDFv2/axx6w9++xzVq86+sUXXnjlpZdvv/12 - Smnb7Nx2223vv/rqt771rX4Yjo6OKoqysLDAY04WBZ7nXepHIZ2crqRWplNScXJ+PzLEyCMyyBpy - Ia0X3DBgILYtCzLq2G6AqO+6xAE89vVaLZvOpI0so8B13Xar4YzZcRz2Lerv7u62HS8MQ8jxnuen - NFWW5ZbZGd23L1EOLxlcnHhLeJ7n+x7GOAgCLAoJ8o8oI34cRh4RuISVHfmB57iMMcdx4iAOTT92 - WBQCXtHTEpLFUrkcYC41PlUbq9iylvMpbbfsamUBizzPsdC3B3v6esvlN57whueff17V5YsuvDAR - ngiC8PnPfz6fzf7gBz/4h3/4B7Pdyaayc/ML+0ZGJUmxQ88L/AgAs9XiENZVjSJMIeIFac/evT4j - 6UyOl6WxsbFsNq3r+iknnUQpNTKpjRs39g8OiKK48uhVg4ODBw4cgBBedtllX/jCFxBCjuNs2rTp - 6quvjuP45JNP/vYtt9z02c/NzMzwPL9ixYq9e/dGMUmchxIfaoQQz/Oe59kdE2NMMeYRTkL5KKWH - qL3wsIKAMeZ53nnnnTswMPCvP7x9cnpq27Ztb3vb2wijX//6199xwfkjIyPbdmw3bQtCODAwML+w - cM5bz/rIxz6Wy+V83zftzuDQwMjo/n1j+/PZ3JYd2zqNVrGYnzgwShglUZwWBFVVm+3WnrG93731 - Vst1iuXS+jcct3Pnzlyh0LGsr371q8cff7xnOZddcunfXPX+mZmZ237w/WeffRZC+J1bv/vcsxsf - e+zx3Xv3fOFLN7UazaWDi/ft3Lf/wBgDwLL9T193g6TTE89evWl4f3sRoAYHmzGuREa3OgLm77nr - xpNOPqcn39WYbs7X53gI0obueHbL7miizPEiI+BwbDVCaG5uLhEM9vb2DA4OioKcSL0SD0DPC3zX - ma/XJyYmQUQMRXnDurUZI8NjzjLbvCozBiPCKIOEQpDYSSHMYsALkhOEIi+m0vnXtu7cvulFsUDd - vO+obKoz36GTXXJhiO/asOk3ZDyMm9IJx58VACDril2vxQi4vkVx6tnfP/PeS945OTndtXhRs9r5 - xEc/ecWFl5937jtWrT7q6d88NbMwf947L3A8V0sZtUajlM0DjBiC73//+z/1yU+mUqlGu5XJZPK6 - XmnUOp4z15z/1ne/c9Y5Z1uOnS1k4jh+31VXyap66WWXvPrqy7lc7oorr3zPe95z9tlnH3vculw+ - /09f+crTTz/thQFCKIhCCGEQBISQxI4oMeDmOI7jOJBYUwJAKU3sznzfD8OQQSxJkizLxWKRE0Tw - 51a4Q1QBCgCQBDn0fAQhB5GeMqyO2dvfRwDLl0tOZGIudfZbTxl/fEuqwHWvXOIRwkw8MNRV7s6Q - enzUmpVhyKXzadVIlaK8pqmPPvpou90uFAo9PT3Ll58GABgYGNi1a1epVProRz9aWZg7++yzh4eH - X3uNyLIMABCQIAiCLMkCx69csqy73LNv116Mse8Gpe7S29/+9lJXkef5xESnq1SKwyjwfU6RMIAR - owihv7ZvQQ9WPAgASgBjjBHACGAIYt93eJ6PIqIoCoSw02qvWLb0909sWGjOlRflHvrVQ3yZW3LK - YqYDr2UaSEv3GTzgTMckjIqKKkJe4mlWUxEAqUzG7HilbPqBDfd/7eZ/cgL79DNOS6VSqqoed9xx - H/7whxVF4SBSVTXwAkopCSNCiCxKiqJghEzTzGeyicVlwnyqVav9A4sSChRhDGHMSyIHEWMsDILk - sL9uIv4bjv/jDbP/D7124KE01+RHjDGlNJHp9vT02LZ94oknep63devWAwcOHHfccS+99NKmTZu+ - 8pWvbNmypdlsdnV1TU5OPvHEE6MHDhBCAt+fr1Suv/76SqXy7W9/OwiCbDE/MTNdaTWq7ebW3Tu7 - FvURQiiEDIA4il7c9GrD6vz6d79lGHGSICmKT6I4jqu1Wr3VfOSRR379qyc67baqKhMT4xzH/fzn - P+vv79+4cePIyMj09HSCG6WMHIniOCK6luORZmilJx96sX5vg+QEydCZQGkQpp2B/dubJO688tpk - Skof94aTosClhIUYcZALogBDmji98jw/NztXry6YnZbveooieZ7n+37yfaAAyLKcZMlOzc7Mz88n - U+eWXMMwEkfapFFiezZiDCWbuBgEoU8xk0XJj9xmvSZLUiGdLRdLpUzX3p2TW/dsdjmPSLDNLI5D - tRxtTQgbnTnfJkhKr1p7dNtm+UJvvdoEYRzHIeZRIZPeO7LHdKy2Y2IKXnz+BVEUH35wQ0yiVrvZ - arV+fNdds/Nzvb29MSFGLpXrLkzMTt59388lXjrt7DNkWe602gghI59+4NENW7dv6x8aLJRLIYsa - lebQ0sX1et00zZGRkXK5bGRSjz/+OKV0+/btuVxu69ato6Oj11577S9/+cvR0dETTjhhy5YtcRw/ - 8MADfX19Dz74oCiKzzzzTHdfb+LynEqlwiiOoogQEMexJEmapiW51kkYkCjyR5rEMMaSp4wxQRAT - a7sg8B5++GGMsWl1uru7H9jwYLFYXKjVOIHPF4v33PuLRqtVKpXiOB6bmBgZH8tlsgvVBSOd0lPG - dGXuu9+7rVwuG5l0KpMWVSXP82PTk5jnPvqJj5fyhUa7xfP8QrVab7fOOOesVCb9rVtu2XtghGG0 - 0KwrivKZz31WURTMQBRFVse85/77vvW972KMCWMXXvzuVDp7401faFvt3u6yKiiO6ZZ7utP57L7x - Mc3InHbauZmsxnB0Yo9E0hwU+UgLWDFckh6APv7g5UvLpb77HniwMbfQXcoHkY8FUZL5IIxjyMKQ - sBhwWAAAEEJ4ng/D0DRNy7IMQ2eMybKCOej7vmPZEMKD4T9BBLyAAxCRGDMQBCHAgOM4gZcwLwDI - ASREEEOGEaKQUSQjwsWe5zCoGpnCYKm7bKh9S7vrtDlDa5YOiYTsRqdXyaeyOLNKN2tBT/fg7ze9 - 7EYWp1BV5UWi7Nq/5fjlK8fGJo5aevT0QnX92hM3v7y52rQAL+WL5ec23L93dORt7ziPIWhaVm9f - X+h6Dz/+6Oeu/8wJJ5zQMjtBENz0pS9yojBfqciGhnnutR1bL7rkPT09PUetWT2/UNl7YOSBDQ/+ - 5O67UiljvjIrKfLLr770+OOP+74/Pj5+++23JxcM4jnP8wqGbllW4qPIcVxSxCQ+s3/0IoIwqWmS - 9BhRFH3f73Q6CCGMMQmChPj5J22pI4sYC9hxEHIY8wh3Oh3IAKW02W7UOnNHr1rcCto/3fBLlwe8 - nmFQMjI64BBBnEsiSRY94FHET1bHU34GEjBfpaZpvvHEEyq1quU6m7dtv+Cii03H7RsYfOTxx9as - W9vb27t8+fL+gcW/+vVvBEHIGKndB/aIsnxgbEyVVFnVOAEzxLKlXDAfMg602o2jVqxstVqLBgZc - 1602G2EcdXV3t8xOGEUhiWVZPij/OGL8if/qv1ksMAAJd40dOQCHeVmyLCeMA9e1OQ7IIrd759Zc - ITuwZODjX/jI+vNPBC8C1/XzveXIp67LphvVphlPVqZ7VqxrWJZltVSJc1rNlKqZHXugf+Daa6/5 - 5f33jI8fEHn4xS/eNDIyet111+3bt29qelpRlDAIPM8zVD1tpLDAmwvW+MwULwqUsWKpZFtW2zIJ - IYsWDzIIO6aJBR5y2A+DOI7DMAzDMEZIwAf/9+m/33n8HzH+/8X6+d84Em72kU+SR9u2EULDw8PV - anV2dlbX9Vwud8899wAARFE0DGPjxo2JR0jCntm6abMkipVGJTnyi1/8YqlU8oOA47iJ6alyofjU - M09XKwuFrjIQeR6JifbY9uxfPrwhRmDzru1xHNc6rabVQRgbqVSxWJyamtrv+8QPU6kUZFTVNUVR - bNvmJXFycrLdbif29qViubawABgSeeFn99z3/B9eNlvt7q6SKhTas/bU9plUMcWCwPYiQ1GhKFjm - HBcvVCptDvEUUAJRTCDP86IoyrKsKEq9Xt+7d2/oewJ/MCkpQVN4QUIIIcxJktRu1Pft2zdfXUgc - HVKpVKIcBowlTnfJfTCxpgUAYAwNQ3NJYLsOwDBf6BIgoCHZ8tqW8f1jkiJHgAIBEUQjH/CqODnR - pAHYXJlpNmyCuN0TVfDwEyOj45IsQxgxFkVxuGnTq0898zs1lZYkhYdo9+7d6XR6dHTUyKSHhoZI - TB966KHu/r4wjs1OR1XVhx9/rNFojIyPOo7DCYIiSRjxHIbhjm2Yg64XSLIwV6/YrhNEoW3biqIw - xl7bvHmgv3/L9q2Ju//vfvc7AEAQBM1mc+fOnb///e+7urrm5+cTO+Cpqalt27aJoui47rZt2x79 - 1eNLliypVqvJ/FBKWUwTOifHcdVqJY5jRRIIIZTiw8rqw6tMck0mYAylVFEUxohpmvl8nsSURdHE - 1BTHcVom89xzz03NzPT29lqWpRl6o1oVBOHA2OhJJ5+8Z88eRddWHX30tldezuZyCOOR8bHbfvD9 - VrW+dGioNr8ACE3wMEEQjEy60Wm1TTOdTmOekzXVEDJJP6hSqRiZ9OzUdCGXl1V1ZHxscHBwcnoq - l8v5JLDmZxiHBpcOdVrtlKLs2bt3cPGSRse85LJL283W8sGhTqflhh4TEOAAj7nQDUDEFEmJ3Dil - GDGjswuVXCmjpXWn7npWS5QlUeAAABwWZFWllELMJdznJMIscVPEGLuuG0Z+YkErCEIcU47jOAwB - pBAgQgigBw3cYkIhxBzkKAUQcDCZcwgZBDELXd/NlnOBFd1z/y90TunJFU2zE2Ea8LEjECmt+o4b - WS5re4Pd/ZYbEcZSWc0LXS9suRRgiV+xatnXv3pz6ASLlh99590/u+5j189ePG9oqS99/nMTkwf+ - /u8/Xegu3/GTHxNCjHQqjuO2ZYKIfPWfv8Eh3G40GWOypkZR5AReOpsljKZz2Xq9zhir1WoY46PW - rG5bZrPZbJltHsPp6ekg8FRZyWazSbURhqEgCAzBBH5IMJjk+5iQHhL+eBzHUJAwxgklK9nFJUVM - ZLumaSYNdMwLhJBk6o60nDmyiOGxwBCME9MjwFRFqbeakiqVe4q/fea3bznjuJNOOf25vRtjFUha - tuN6QsQHNnJVhiiLAQtJ4HmOqqqu5TICli9fvnTpUsMw9u/fv3Pnzi1btpx77rn5fL7Tsb7xjW/k - coXLL790587dSWbLtp27Mkaq3mz6QQABnqnNEESRiFtmq2W3oQB0w9i1f7eiqpwopLOZstLz/Et/ - mJqakjVVUWTgeTjhRR7BZ/iPDXRwFhgDAEGIITy4c8MYU8hkQymUi7X6wtIVy7/zL/986w9vyw1k - 9v1kQ9imr/1qU7wRgZgobbid25kOcq+98Oppb34HCfzA99N6JpPJQQZWHj2wasXKiIYrV65Ys/bo - Gz53AyHBsmXLWq1WrV5PMiXK5XLg+xzmGQR79g7v3L5j7969AAB+wwNtxyJxRAFjCAZx9MRTT87X - qwdGRxkEqq6rugY5nIDWCCXwLwT/t4j5nzGSr33ydU2QN8/zqtWqqqq1Wq2vr49Sms1mLcvyfX90 - dDTBYyuVSiaTsX1TVdV8Pt/pdBgA2Wy2Y1uWZaVSqb7BAce0Xt70miLLjz35hGVZnuclHmcsJlEU - lctl13XjOIYc5nieF4VGq5k2UpQQTdOASBCGnY4piqLjO7IsO45bKBQAgxziIAVW2+oq90QR6XQ6 - 9UbLdgIO8XPjC9VaTcprvMFXZmq+ZykEQACQIkcRAS7l8UhI46Q3jHgEIxrHcafTqVarUxMzpmlK - As9xnBeESYyUJEmW7UIIE7Hi9MSEaZrg0EJ7MLo8igSelyQpioKE+u4EPiEkJmFEPQQFAmMvDgUk - IIRZEJOAzE7MVISFGAFZ1yMSY4wdy00ccjmBx5wahIQRMDYxY3UahHjpjMzigLJI13WeEyVdta0w - 9kIKgMgLUxOTmqbVajWO43hB4ASu1qwrisLJAkHs1a2buru7Y596oQdi/8D0uMiLqiRZlq1pqiCI - 4zvHMvmcqEhaSo/jmAVhLpeLw4gCMDc3l8lkRFFMdrfpdDqVSj311FP5fD4xuEulUlEUJT5UjuMY - huE4TiqVmpiY0HXddV0GIIRQVRXDMOIwsm078b7zfSpJUhyH5JAtB0KIUcoYYIxxHKaUJLetKGKK - IiVnq9caPX29EKN0Oj03N9doNXv7+zDGbbMjaoqeTnEcl8pmJmemjUw6jv9f9t48zK6qzBtda++1 - 5+HMU1WdmlJVmUMSCAmQBAkIRgaVthGVbpxbaEDEVj+xW2z5RMEJbaTbVhFRUCZBEREwMtliCJmn - SlKpuc6pM++z53Gt748dae22+/Z3n8d7v2vf9UelnlN58qTOWXut9/29vyGcW5gfW7/ONkwAQSqT - brXbGEcYANf3XNtJpVJKMlGtLUYIBlFU6u2xLCsMA0yIH4UIoSPjR3t7exvNJqEgJ/CVSiVfLDie - KyoyzbG2YQCIOY5pdNqKokQR1TMwbAYRCyNVTvAQ1auLgKYilqVZloEUAymagqzE0YjlGDq0fTcK - e8eGO1Z3vDqbViUeRjTGhArDiBAaW47pBa7IcrGGIiaSx4atYRhGUUDRkGVZSEB8kUOKimjokcj1 - PTfwTc8RRREjKnAd3w+jAGMS0JCiCQUJQAQSCD3isiyybYNGdHmsN7DcSqcWeZhhWJmRacuPzFAC - jMDnpBLjBgEl8prRMtpdmiaYeAMDg/uPHvWd4Jprb6rNLJZHxiqLjSv+7O0DQ/3pjDo5P2GZ2jv+ - 4qp0Ptu1zCAM+0vFva/uLuTyY8NLdu18BWDcUyzRNN3RuxhjQZFrnRYn8CVZWjx6JJfLqakkAKDe - bCCKTqZSDKJpGiaTyXrdFQRhcnJyYKh/cXFRVVWe593Aj+Oafd+PxwTxMC6KohiJeS0GMS4K4+/j - zK/XLNRe+wsIoRjC+TenZUyOcTyXRQzEJA4C8xyXRkhmFYmX9+45MD1xzKXsSWMWZjhqqhtEhHT9 - E+HCb7x9EhT7+4Y6zXo+k/e9kGMFAEC+UHjm2Wcdx6k3GkPDw0/+7GelUun7998ft5TtjvaNf/6W - oqhd3QSEHh0Zg4QMDAxMTU3RLPPqvt2FcumSP7sskUjQACJEm7a5Z/++152/rV6v12o1QZExxslk - EnGsruucwAeuhxD6w+VLzPj8PZZnHAkCIIxHbBAAAAEd52WyECAQuaFL09BwrWq78cAPH14yMLBp - 64UXXPKmhcYcozLnQ8fngRaZyZRKGS6lRYlQObv/rCO7dqs0oQRGpEEYhrV6Q9Pai4vVHc/+AgAK - A8IKQqex2G63EcMcOnSot7eXFfhas8EzbLfbyOfziUz64jddGgWhoihau/PWP//zOCfV9zxFUSzX - ef2FF3b0Ls0gPwxCgkEYUoiOrzwS4RDj/w60mD/lIua1DjguYuJvJElKpVIY4zh8QFXVarVqWVY+ - n49fFARBURRZll3X1TSNhbTnuLzAW64jSVLcN1MUFRFsWCZFUwziMCE0QoIoup5HAGAYhuY46HmV - SiUujamAKhaLQRTG2cuSKFq6QQEoiDzPxzpSoOs6w3Ce5zm2y7JsqdhbqVSiiGCMeZ73I9Ku12RW - ZH1KFVVCU7quO8Qu5LLICRqNBgkAy3AMZBU11TX0COIwcAlNQUgwxpqmzc3NdTqaLMsUIHGy45Il - S7LZbCzdlCRJM8yJiYlWvRa/A/FArVQqxWaAcc8Xn4MJKUFoiud5QAEMA9t1I5pmBQ5B2uia0PZz - yQQGMJVJz9Wq2mIlBCCdTkckxD5mWRYTyPKcpLBUBGVJ8NOS43ZZgQIoClwHY2w5VjKZgZHDszwh - RJZlhkHlgf5mp93pdOKMwLxQhDQVM5zS2QxCqFqtqqqcyxcTKTXwQo5BiXTKdV2WZdeevj72nYs/ - 8W6z7ThOJpMBAAwODhJCwjDM5XKCIOi6zvO8JEnNZlOSpOXLl8/NzcVROK1Wi+d5RVUZhqFZJoqi - 2AI/wkSSpJhuZZsWIYSmKZqmEaLjO+N392TsdAcA8DyPppnY0wJCUq1WE4mEosr9gwOxSq7ZbOZy - ubhZZxhmYHjIMIy4HhUEQdO0mMvVmptN57KtViuXy8XqFTmZirUJsaewpmm9vb2mbdE0HZtT0zQF - MLYdJ0ZoHMdJp9OGrmuGnk6nMca6rocE27ZNAOZYlkYUx3LVeq2UytMcTwOqXqtmlQQHKZaisz2F - E7V5xHG24yAMXEvvyZUM03BtryfT02kaLEpCgQWQRZIQ2o7rWABSFMuxLGIFLsai4vFHnHEYFzEM - wzAMzfGM53me48afEeI5TuRYiSMMA0NsWpYgiujU4IRCFONjzNA0FUEKYApQhAIKLxm+SRDxo2Cm - epKFbCaZ4QAb2CH2/SQv0jTd1bVAt2BSrszPpgZ7IwonVEHgGT+wp6ZOZjN5OkTtlplOlQ7uP66m - 1ZGRsfETB8cn3JXLl9bn6pzAy7LcMnWW5+bn5ws9JRrAX/7i2SVLRpOqahhGZbG6fPnytqZ1Db1c - Lldri3Nzc+VyOSYAFQqFeJfSNB34nm176WQyNguhaXpxcXFoaCiWKHOiYBjGawGQp4K6EHrtcIt9 - /U9FuAMQh3DFsC6F2NhRGgCAAYgrmzgh8l8PzFN79BQS4wW+73oix6cyGa3d7na71/3NdSSKIKR1 - w+vYmqDmfBd4NRBEIR+IEqsGXbvtdEIH7Nq1C9G0blu8oEAId+7cGSeUpdPp/fv3DwwM1Ot1AEBf - X9l13SAIgiBst9vFYomG1NTUFMMwf/muq1OplG1bURAKgkBDyrVtlmUDz+/r6zNNc3Fx0Q+D97zv - fZZjl0qlxUbdNkyO4wLP59Dvqaxj4/hTNvEE/EG3Y0IIhBQAMQbzr4Qh3/cZhgkNHbE0w6FEMnnk - 6NEfP/IjxDKa0S31FtvdNmJgSGFXgF7gJhHHeFEGyABTIc9GnsvQtGnYJAry+SIrCpbluK6TTqcn - p2dXr17NM6xjW61WK5/PxwmXGON8qUizbK3ZuP8HDzA0sm17oFw+fPBQuVyOfcNd28lms5qm9ff3 - LzbrFEIY4zCKQhz+Lgnmv0MFA/4fKGL+L2i8f/wVU7U9z6MgpGm62+0CAOKHmabpSqVSKpX6+vrm - 5+cxxv0D5Xa7zTCMZVkxUMEAqt1uW46dSCV5nj85OcmJQixusm07hgd6i6UgCDzXhRCykIKY58oQ - gQAAIABJREFUuI4tSRIVEYZjXdd1fM8wDM/z8tkcTdO2ZSmKwjGsZZuQptpal2VZjuOiiKTUhCxI - hJBmqx7hQBRTPM87vue7fjqbipyAJlgUWZf1aYix77XtOmOHiaQM+aQqpbs1bfLkbLaQQYiSGC70 - PZ7ieZZnWdY0TZqmGYYJPJfjuOHh4Z6enhBHnucJouw4ztzcnKZp8W1Bs4wgCH19fblcLvY4jm/W - ODeYZVmO4NgHhUKIQ7RHiOO5nKik00mfMsIwNHXd81xWQD0Dg5XWouY209kUJICnEfGxwhEceJ4X - dWxdlDkCiRu4NEWxihj6gRdGURTFjimcwBuGYbvu3Ny8nFBkWaYR0jSt2FucmZlBCHmOzTAMx6Ce - YsFyzGplnmURQ7M49KMQs4iiIcE4xDiMj/hKpbKkf3Bubg5SIL71AQBxfRbnHwmC0Gw28/n83Nyc - KIpxTRNXOZIkGaYZz1983+c4jqIoSZY8zwtCX9d1SEB8l0AIGYZxXZdh0Gtjzd8l9rIsQ1HIc3zP - 8xCient7WZZdqMxjAmL0i2EYTdNUVSWECIJAwsh33CAIeosl27bHlowcPnyYEDK6ZETTuzzLsYix - PN+wbL3ZPmP9elc3bcN0A7fc0+uFgWPZiGUEjocUFRECAMhms/EEPQrCZrPJIIQg1ajVs/kcQiiZ - UD3Pk0TBNa2OrvNJNZvN6roZ2H4mkyuXy6Fhu6aeUuTpyRNSXkYSafkdUeQhFUW8G+p6Pp/Tu3WG - o2qNRSAxESaNVlvBIJ3KUIiOCI6iIPaJt207DAAAILbJj29liqJM03Q9EAQBixiGYTAGFEUFmLhh - BMKQhbRhWymSwYHneQ7PswLH4MCjKUgiDEhEARBh7BgWxUFCUYAGIsMzAHlu13L8jJq2ArvSabAs - qyZk13QNw+nvzRKOiTAXOG6t3VRUwXX9/iWlI3uPDYh9huX19PUpmeSR4/uXjC1ptSuuZwmSGEV4 - Zm6OMHRIMA4imqbz2Vx5eJhhmBMnTmRS6YSiNhoN13UZGrXrjcgP+nv7jh49SjAu5guWacmi1KjX - y+Wy3tUSigQwsQxT53iGYYqlgmEYoii6riuKYrvdjiFSQkhcu8Sz0dfWa6hMHF8aM7SCIDBtO3aX - wRhTiInLIJqmqdf8uX6/iIlCIoqiJIiuZRuGEWIsq8q+A/tbzSrLUGZXLw/3z3ValCy0bUNkBdbH - EsVRfpRUVMM+YnmOosgMS1u2kS/2tNttiqZiqmy2ULA9TxTFRrvdNc10Os1wQqdeTyQSbU0XeX5g - aNiyLI5Btut6YeA5DqQBommCAIa4r7+33e5s3759x7O/6HS1fD7/4q9eWlhYYHguiiIRiSSM6Bh6 - j73d/7ML6N//LJ7AEEIgIRBHgNAoJJGSUF3fkxRRlPijRw6mVLXV1RiRRQrvdDzaxrTE+2EUQeRi - EmHSdg0OcabpE5Z1I+yHEQM57GE7MKMwSKaUrt4eGOyZOHmMpREEgKJpQRCi+IyNwvnKgihIiUQC - A2C7ToijRqslJxMhjgAFGY6laTqTywZROD0/S1FUX09JSSZEUfR8l0boFJsndgyi/t++gH9//e9M - 9/6r678FEnNq+kvTcb8SUwHiDNU4A8+yrHQ67XnexMREuVyOabCiKM7OzmaSqWQ6FUcf64ZRLpdN - x65UKn19faHne7aTTiT1bjetJihMfMclGPM8b2t6AChZELzA52gkqgIGJIAQR1EYBBxiJEmqLVQk - RWFYJogijhVc16UpqtvtRlEUQz5xv6J125KiBKFDI0JIIAlCgA0v8CLkIjaSZB5BCIJQ05p6xwQO - HlmypNaqiSyPEAocF9KQ53lZlH3Xo2lk2zZDUwMDAzHhA1Awn887rn/kyJFqvSGKoqAonU5HQsrQ - 0JCiKBjjeEzGIOS6blzYhVEY3/pBEER+FGJCURABEgaOGToEB8lkLvJ9WZbrrXod+7REB6Fph5Bh - mIQiOk2rW9VxCOVEtt5q2oEIGBBGrh+6kKV8xxVoDgSA+EDTuoQQNZnged523WanlUqlbE0jhIwf - OeK6bqlUqtVqkiQtzM1JkoAQCnyfwpzldEkUsSxLCDENmxMFQEE/DHlepAA8fvw4QijeANVqVVVV - TdNibVrMtGUYZnp6muf5Wq1mWZau6/EvfvLkySAMM5mM4zi2bXe7XVEUta7uui7DIkVRcBh1Op1E - QgmCwAEYIcTEEwIITxUxGEBIxz5ULMvHRg4Yh0EQdDqdXC7ne4EgiZZhBkEAMHEcZ3hwqNVpT06c - XLVmdWV+wTAM3/UQQsvGlmp6t9NohgSnUynLNCEmPbmC0e3qbc0xLQhAQpLbrRYBgAIwoaiAgvOV - SqFU7JqGpRssx7GIaXf1bDYrsbymadl0OvJ8GlIwxI5phQyjCCJNId12ACYpRXRpOvJsy7OpiIQ4 - 4jk2KQu62SWM60e6wMHQdRy/SwEfYd+x9CXLV07Uq7phl8ulxmIV08AKPc8MOI6L/Ahg3O126QhG - IXRd1zTNGJoKAr9er5umLskCIcTBdqfT8f1QSSVN3Qg9X2I4DtKdTkdgOYqjncAHEGOIMQ4BDQAM - ASEUBSgAWZb3QeSEEaQpnmYSnJhRRYnjHccSVa6UlD0QREGoApl1Qp4WuqaXzvb60F+oL4RBkM8W - KvMLxXweedB1PYriJmZOpPNp07I4TtA1nUcyzTCR5xZyxXqnBSFkWXZ+ZhZBChE4NDBo6gZCjGs5 - iKYTasLx3AADCbHED3tLJQKB3u5gAPt7++Zn59LpFAVgjDXGGKSmaXE/TVGUbdvxv8+ybPxiXKnE - jjin5kr0KcdeAEA8VIoPvfhF3/c9z+NFOubDRVGEfyeU93eLGIKhYZo8w1K/jWY0DANSmJU4BGE6 - m2s2OjTiJEYBHBf6gYq4yHJZyOia4RI/W8gvVBdSybSSlDudjm3bsRq0Xq9TFB3L90ZGRubn56Mo - Cnw7ToZXFCVwg2qlxnLIcSKaphHDpPuSsYUBy7KBF0BEm6Z5x+c/f8kllxqWOT4+fvbmc17ds9t1 - XVVVbdum4tP+P1XI/qfrlNEZISQimGboKCQ0Qqoqe543NzcDAy+KAjUhUyK3/+C+JUuWEN93cRhi - n+IQQ3ORo3t+KEoKCCLH90OIkulc6ASOYwEYpdMpvdUEOCJRIHA0i3iKokRJqlQqoiInk0nLsWPp - GSaEghBxbExc6ymWNE0TJAlQlKa3E1Yyk8tWFqul3l7P82JGvB/6iqJACAEFIYYQwui/QYz1n2wR - Ez/kMVLteV46ndY6HcMw4iRkURQvuuiiWHUSBMHQ0FA8j8/lcnHgjud5W7ZsMU3T9bze/vLs7CxN - 0319fVu3bn3wwQeLubzZ1RFNs4h517vexdCIRQxD01EQ8ryg63rMI4k5FjML83v37xs/fowEYRBG - mUym2+3GFxtCyDQtQkHP8zmOoykqoAIYwFarsXHDpv0H9lI0rSgiofD1N1zL87xvmozj0iiiU5zu - G5iHEBO70R3oH2saHg5QQc3++JHH251FBIhhWrIgJvgEweS3QlZC07QsSxMTE/OzcxASTe+m02mG - 5efm5hheiKW/sWJzdnZ2amqK4ziWRq7rMghZlsVxjGVZAQ7cMIiiiEUsDbjQDwmNBYbtmJ1MJoNE - NFefyUmZT978P0LXo1kY8thDHp1iLcfkAMM7FNvCKSXfDiMXIQ+AHz3+6NSJcd/xeYHnJJYhVLvR - VNM5K3BVWaUQqi4spLMZFnO9fX2HDx2SZVnT2slkMrBdGoM3bb84mUyKIi9wfGxVrkoyy7KWZcmy - rBkmJ/D7Dxx4ZscvElmlXq+fimyEMAxDVVXjvl8QhFarlclkYhAOIWQYxooVK8bHx+Mi2LbtUqlk - WhbG2DCNZDJp2zYAIE7VjnDoui6JsCzL8fSNomDcGcd4Q8xmsEzbMIxUMieKom27S1cuO3jwYKGQ - Gx8/ctFFF0EKHNh/0NQNFqFisai1267vdzsd27Ty2ez6tev0dmd06VJJEI6Mj9ummUulBwcHWZZd - qFZPnjwpieLdX/uHl154sZjNNWt1FjGu6+bzecuxQ4Jtx2F4rq+/vHPXK48+9hhFYEKSx8fH+/vK - G8/cSBNw8ODB6kJl7dq1l77pspMnTz786CM33nBTJpVnWXa2OvMPd91JsI2iEAckAmDPnoMHDu4/ - 9OoujsaG1eRyQpt3NM8UMC9FfDLk6BB1LefsLa+7/St3HjhyiLS6KoV8ingUDDkmqaQCl2h1/RfP - 7OgrlijIYowjQuIHJ5lM7tu3T5ZFz3d832doJIqiZTm8IBi2A9xI4nnih8ALZienTM8yQmfF6SsJ - xJZvSaIKMIgijGEEIQzCALCIFUTPDlavWPeOS94SNTXPNghP6thwMqwW2TiMEogvRKIa8pTDptP5 - N1x28Vnbt3QczXU8iqLCwFJV2eg25ESSjkAAQpZmI8sXGFXX9VypKEKi6V1AQVVRms2ma9sfuf5D - y4ZHavMVlmFoADt6N5/PO44TRVFvb69hmmet37Dx7LOu+su/GBkenp2fMzrapRdf8pMnftzTU6Rp - +oMfvP6b3/ymaZqQBqeffnoymdyxY0fclcUYVRSFy5YtO3z48MjIiB958TCO47gwCONIuBiMCcPw - FKm/rcUB9XHmaMyGCcPwlC8C/L2jEwBAI8SyrGvZcfkS23m3tBaDaJ7nXdtJJFIwxKbp2G4oIBZg - yNI8wBHLMoYb6o6fzBUwIW2tAzBUVVXX9VQq1Ww2XdcrFArz8/OTk5PLli07evSoJCqxMyTDMIEb - 5PN53dAMw8jn82EYxJF2NEPrui7zchiGEMINGzfG/9nYNNK27Z5yX6vdjp8y27ZZ+n/vaovxrZgN - KUmSbduZTMawdARpTdPWrFyFEIqjRRKJBEIoALher+fz+fhtxABDGnIc55pu5LoMQDzLeTQ2TYtm - aaOrc4iTZTmM3BgYUyTRcRxJklKJ7OLiYrPZjA2oYtFZfA7HHo/JZLLT6aiqGse5w98mgWOMG43G - 4ODgfKWiJFSe52ma5uhT4vkwDDkK4VOKvj/x9SdbxMQfM8MwnudRFFWr1SAAqVQqtjm58MILDx48 - eM4559x6662xK+s111xz4403Tk6djK0P16xZs2nTpp07d15++eWFQuGRRx6Zm5tbu3btrl27fN9X - FcXQ9XQ257teIZObnJgI/aBeXdz96quZVAYA0Ol0zjnnHFEU977yak9fb+h4DI0kSfKC4NixY4VC - YfnSZZduf+N93/8+RVG8JN5+2+euv/56x7Z+8IMfQBylUimB56+99oO26+i67rnO1i1nMQzzrquu - PG1kEEN/Vq8sdKu6b2ZSGTli24v66vVbQheePDh15xe/fOPffARCkM+mN515VkbJAQx/9cKvfN9X - ZZGQU3N0QkgYBtlsdunSpZjALVu2LDaau3btsg09nU7TDBOj+gzDMBSNMZZEkWEYALDv+wEOMCCE - EJpiIEECy/jYAZCU8rmu2Q29MJVNj/SPPPfcDuJ5DA+PLR6jcuhEZ9KwTaD7o0rfJSvOU9jk3tnK - irM2v/nt73jipz+1TUeVExGM2loTRdSOHc+974PXUIhV1cR37/lOtVrdu3fv3Xd//corr+zr7W02 - m7fc8nckjAIQIUjlMtnnfrFDEsQw8hdmF1zXDv2IkEiW1Z6+3nQ6HRB8+qYzn3/++WajwfO84zi5 - XM7Q9U996lPZbPa2226LosgwjPvvv/9973tfEAQx9adUKm3atKnRaJx55pkf/vCHn3jiiWeeeebs - c85Zv379yjWrd+7cefvttwdBwPN8FEX/0WERQ7ssyzqOs7CwsGL5StO0oxA6jnveeedtOP3MiYmJ - ubm5G2+8MYqiZcuXTp44qWnaW6+8ctmyZbfcckun0ymXy72KsnTp0mVjY7t37fr4Rz/6xBNP9PX1 - /fCHP/zkJ26uVCrz8/OdVltkufpizTat/p5eSzcMrbtr1y7TNLvdrqwouUJ+5ZrVV15yydf/6R8p - RMMIMwzTbbX7+8qnr1t3+WVveuU3O5//5XM8w17xZ299+umndcuEBDz26I9sM7j11lt/+Z1vpmQ1 - wl5EESUhC6JKQHTw8AEI8IrlYzw7+Njzjz0780rT1ZMoWZYLV2659PTlZ5hOeP9DDxcyaZlljbZW - GCwfr8zSshBhonUNVU5k0ul8It3b2wsI0nU9nc2ef/75xWKx1Wq+9NJLU1MnU6lUNptdrFQJIYVC - gUFcLkcnEonTV5+WlGS92/mXl3/l4dDCjmFbhmVwImc5OsMwEGKMSYxAuEHkRX7kk5SSO7z70Pqh - oVw+XY9aL73wzDho+RKkAXPB2nNEkjjvjIue/v6Ocrqn2+kkhYRmGRKviAllfnL6xOKR/oGBhfq8 - nEubuq3rLvKIlFAHBwcXaou276WzmcrCnO/7g/0DWqN18sQEtr3tr7/w4J59Tzzxk7h8abVasT94 - X7k80NunNVsKL+7+zSubz9366c/8/fGJE7t2/ibyg5iEy3Gc4ziyKl199dX1ev3ll1+mGUYQhEaj - 0d/fX6stTkxMrFq1an5+HhIwMjKyZ8+e0dFREkTHjx8/55xzNE1rtVqlUmlqaiqVSiGEhoaG9u7d - 29/f74dRTA0WRTH0A/BvkRgAAAj8gKYoURQpAuK3kaKonp4ezTaDMHIcj+N8J/R5WYI0LXE8sAIE - Kd/2OEYo5Yu67y5UF2VZzibT9cVaPP+am5sbHh6u1xuNRkMQhEwmc+jQoYGBAcf24gcQIYRJ2GzV - Xdc9Y/36Q4cOyYokcqLvuBAARDFhGAaud+65505NTcVkNYqitHaHoqh4vEsI8U+JiX7Phvi/smJR - DwDEsqy4wxQ4nqJhuafXse1Go4UQEgRp7fI1nmXbvnfu2MjUwgykiG9a+b6eNnRd3+cxSVFcEvKt - Rnuq3oQM27VdJa0uzi9GUcDLvGX66WQym84s1mutZtfo2rqur1y1amZmRpAliqJCL0QIEUzSqRSE - cGRoOAxDVVZs2yZRZFlWJpNhEZNMJpvNphf4AwMDmmnEQjMIAEVRYRDQ8A8yf/401x+9iPljzMB+ - d/1HI88YUYh74vgKKZVK8WQ6mUw+9NBDnuctW7bM8zwAwPbt26enp+fn58vlMsMwYRhefvnl1113 - nSRJF73hDfV6/ej4eC6Xe/Ob33zttdd+6IYb7rzzzqUjo8fHj7GI0dptVZBYlZk4Ml4u9liG5dlO - KZUd6Ol76aWX/uGf7v7Yxz9eLBTqrWaAI8/zVi5fsW3btve///2PPfqoIAiGZb/lsrfcc889qqqm - U8mrr766r6+nWCxeecVbZ+fnfN+PnX8tXS+Xy4MDfZ+9/ZMHTu697xcPy6wSMSQ0Harm3fyJjxTT - o33Fpe+68q8CP4KQdn2vWMpeeunFCLOuHfz8yZ8nE4mlo8s4CkkCzyDKtR1CIk7gx8bGUulsIpF4 - 6plngyAYGBhYvny5ZugURfE8H4YhCSMAgCgIvu/runbw8KHAC0JA4paCQjAEJAxDGuLpydm+gV4o - 0HpHbzabvdnsJz71sUp75ldHX3h+/MXysuKhIwfWrV++Rhk+vW8wyeY3Xnje7okZSDxEw3Q6PV+Z - C1nCSfJPH3ysttjAEWAYduPGjc/s+MW3vvVNy7IIxqOjox//2Mc8z9NaWjadAZik1BQVQYZmP/De - DyxWF777nfvSssozAqTI4NCSree9TpKkHz78UOSGmVTW8VzbcxOqujA//8H3/9WJ8eNf3/H1xcXF - bDZ74YUXxm1cjNh3Op33vve9P/vZz9rt9tq1a9/97nfHGvWJkyf37t375a/eedttt8XwNQERx3Fh - FPxHW5QQ4jhOT09PoVAwDGNxsS7wiqomenp6Vq5c6XlesVgcHR397Gc/e/Y5Z91www233HJLLpeL - G75Vq1YdOHCgWCwO9g986m//bsOGDbt2vvLwgw994QtfeOiHD0IIFUmuLlSmTk6yiBkZXnJo/4Fl - I6OXXLR97549D/3gh+VyOeB4VVGuvOJtF77hoggQlkb5YrFYLDZazUwm02w2B/sHGo2G53nlnl7b - ss466yzTNN3A37X71Vardfvnvrhs2VihUJiem0AIuK7L0WyxoIY4dB1z+ZKBdRuW79v/nEHXdKlu - yz72fMqzU2U+1yfxFsEo1KwOL4mhH+EISKKSyGURpCPboxHMZrPrV68VGJZjJc/zXN8HAEiShHE0 - NDQ0NDTQajds2z7ttNM4jtM0HTGc7XqyLKez2aGB/sCxj00ep1hGczRBEASBSxYz1VqF53mKgpHn - I4oGhAYRkeSE74cIUoAEF124uaXPDCWzj4y7zfokEURsR1Uje80VH2Lb7v+46bqv/8O3t7zhdZIg - Rz70QkzRQSnXO7Ash1iadBtSPn3ln7+zvVDrTxZe3PHcc79+4YYP3eBGwcM/enTl8hWCIMxNz+Ag - PG316pnjJwfL/StGxnpKpc9+9rMY48G+cugHG9auv/Cii5546kmz0y1mc4osG1r3s7f+z/e8773d - bjeVSpy7ectPf/KTCy+88JFHHsEg2rFjx5o1ayCEzWazt7dX07uGYeRyuVardfz48b6+Pl3rVqvV - 4eHharWaS2VWrlz561//+t3vfnculxsfH08mk91ut7c8MDMzs2LFinq9Tp3iGGFN02KizKmNGv8B - MQCAYzlCiOu6IMKKoviuq2vda/76WtuPMukkQ6Ku2VEySsczrcBjaBTpXjnVQ4ek3dbsMDoxN+vv - egWxdOiHuXTGtm1RkiReSKkJDjGtVqtQKBw/fvy0VauPHTumKArPslCRAA55loEQZtOpC7Zt++AH - PmAYeiqRjI1qZFEMggASEE9+jx8/bljmzNwcw7GlUkm3zCAMaZaBEMQ103+BjvmvSYAIIYxxFIUx - Rs4wtGF0MQkRpnzThpikEkmE2LamX3fjTauXrPjELZ8IveDcM89ptBuqLMw3Krbvjy0foyxXDICC - BYUS1p5x5r0/+AEHsNGsFbJSLlfIlYoXX3zpR2/8SOjhDRvPvuaaa3bt/M3Tz/y83N//xS9+MSRY - 1/VPfvKTGONKpcog9KU7vvDSCy+m0+nxI0eyyVRvby/G2DRNo6tTAI4ML5menl6yZMnLO3dCHBsF - URSEJMIUw+AIgz/+/ft/wvqTRWLikJF4DBxTUKvVaqlUIoSYpinLcl9fH03T3/ve9+Jx8tNPP33R - RRft3ben2WzecccdTz311KpVq9rtdrVa/cUvfnH++ecfOnSoXC6vW7du3bp1NE0fPXq0v6+sa91E - IqEUxNede+7Pf/bU+OEjIwPDkiTRNH3Th26cmpryHXfjxo2LrcbxyZM0iQRJYhjmV7/6VbPZPHfL - Fp5lE4nEX/zFO9/85jd/4xvf+OtrrxkdHdW09rXXXvvF2+8oFouyLEeB73kejzhVksdGhjmZK44U - TwvWzXqLIR/m+MSz//xYozm/ae252A43nbEhnc4WS72ECnOFPEOjQr7YaemSJI2MjKRSKRBEOAoR - YpPJpONYYRRZlrV23emWZUmSNDo6mlIVQRAo5pTmw3XdeJwUS6zD0I/hXFEQVFVNZ1MuiHwSsZwK - aDy8dMT1PRzipJBYtWJVNpOKUCjkOKpOLztnrM62zrr4dDJj7X7o+WsuuLwgluf1IHTNBCMIHG1Z - xqZNmxa0mqIo13/ohg9fd2M+m9c0radQ3Lx58xlnnI4x/sTHPp5Opy+55JLzzz///vu+d+LY8W5H - Ezhu+fLlekfbumXLvn37XMtOKGqnozEMGuwf3HL2OZVa7axNm1wc6roeBAFimRip3rp16yuvvHLJ - JZcUCoU77rjj3HPP/dKXvjQyMjI1NYUx3rx5s6Zpr7766kUXXTQzM1OpVOLmzDAMnucfffTRO++8 - 8+Mf/zjLsgBSnufR6A+3PnFudr1Rs22b53m9ayQSCd/DhmE8+eSTS0eXAQBM05yfn4cQTkxMDA0O - Mwyzdu3a73//+2vWrHnuuef6+/vf8Y53TE9PL1++HGNsWZZlWdPT09u3b9+9e/fB/QdkWX79tvOf - +NmTrmWXSiWO4zjEpdPpWEbn+36n0+E4TmTF3Yf29vT0EAp6njc0NBQEwcjIyL+8/GtZlhmGAQCM - jI7WarVHHnnk7Ve984orrjh+bOILX7g9lU5c89d/NVeddgNXTaY4wCGEWIpJJlVRQifnj3uMU17T - t4mnXREU6Lx7UssPZxZa0wyXG10x2nJcQVNz+dJivVYoFAVZtA2TQrQoCzQD8vl85PmA0CzLAoqK - m2nHccIwDEOf53mGYSABnudxHKeoSdr3OI4jNNQM3dK7nh+mkmpaZBiGEXlJFsRUIikIAocYHIUs - zUQBJoi3CKBpRpFFUeJ0t0lJgYHrPaOJq958WSixtEP3kozRXTx98OxXX/h1vjeLWIYT+GXLVjS7 - OqDgitHh9771ioNHDj75m+cqnebkyROR5V9+wfbvfvtbH7r+hv7Bwe98774Hvn//n7/tCoaiS4Wi - zAsIoUwmI4rizORUEAS5XK7RaMxXKyLHY0Bs32UYhuf5arXq+B6NkCBLCwsLxWKx0aht3Lixurhw - 7rnnLiwsdA1tZGTEtu2xsbEjx8YnJydHl461221d1+MoR9u2RVGsVqsDAwO5XE5rtgVBWL58ua7r - cQS0aZq5XG5ubk6SpLijCyLseR6EUFXVeIASr98WMRAA4DgOx7A8w0IGUAD4rsey7DXvv/bHTzzx - vfvuKablgSXFbz/wYN1u5peUfT/Mcalyqk8FyuSJmQ2bzsmqalpNVGoVEnmCwMfxopdffvnmzZtd - 1202m5/5zGc2bNhw6623fupTnzp48BBCdLvdVlWFZ/kwDAPPG10y8ssdv7QMM5fOvPzyyzGGiiCV - yaS3bdtGMej555/fvHlzT7HY1ru2bUOKklTF933X9xgaQQh/9/5+LWz4PwIooihCiI7jQ+S5AAAg - AElEQVSikJAoTm+1LEtWRBz4giBEBLquj0PCISaTycxUp/e88sqPf/zo1/7pTjlJ7zr2G1rlbME/ - Vtd6hMTSZetWF5bvfuUgl8lGBNq+m0oljh09PDYyctONNy5W6yPDwxMnJu/6yj+c87qz3/n2d0iS - 9NRTT7388su33377Q488rGla5AeKKCFI7d+779CBA3d++SvfrNVN3bj3m9+OxRmyJA0NDZ1+2WX7 - 9+xdsmSJa9uxWTPBGLIQAAAhjDD+gxL6P731J1vEeJ6HEPI8Lyb253I53/PGx8fjmbTruhdffPHj - jz8eRdF5551Xq9VWrFixdevWt1z+5je+8Y2NRuPhhx8ul8tbt26dPHmy3Nf3tre97Zprrrnrrrt4 - nmdZVhAEhjoVw2SaJg6jhJQ0bWvZyhWhF3TancF0yvScVqdte+5ivdbUOp2uxnAsy/ONVjNGOEzT - jM+R2mL1xRee1zTtuuuvv+eee+qNlmW7lVpdYJlWcw4CzDEsz/Cu4XJIWKhWDlaPYJUVmBSb4eaP - TlihqabkV195ebi45qyNmyqVRcOyIUOZhv2jx3882DPsOX4sNfKcgBcEz7FfG66rsuT7/oEDB2K2 - ULlcJmHQ6XRS2Yzv+5qmAQB6CsVOpwMBiJ3ugiDABMeT4CAIbN9iRaGtdxGiZmbnE4lU6HochWZn - Z888a71LB5P1hZ4V5T2v7scqmW3MJl22Z6B378ShvoQV0gmeo1mAORoyNFWtV46ePJ5MJjlCzc/P - wxBMnph84sc/efDBHwZBcOedd1qW9d73vjeTyvz6X16+YNu2n/30Zz3FUrWyODU1XW+1MAGIZgzb - RojBBGIADNNOJlOvvLp3oVLNFguEgFQqTTPItO12q9Ptdu+55x5O5K+//nqaplesWPHpT3+63W6/ - //3v73a7N99882233Rab7I2OjsqyLMtytVotFotzc3NHjo0Xi8U49JFhOZZlIxz+wX3o+77jOCzL - xvYe8Se+MF/7rRkVTCaTAODh4WHTNN/05ssghK/btq3Ram2/+OJut3v46NEVq1ZFhOzctau+uJhK - pfLFwuDw0MrVq77y1TszqXS73bYs6+qrr97x4vNREDI8p1tm2+h0dT2ZSWuGLioyAcB2HQxgMpup - tZtKQrVdx6lU4kR30zTXrl0r8kJH77IC7/je5My0KEuv7tm9efPmRx55eLHFNC3twIlxiqISosoS - RtMtClAixyOOzvRm9iz+i8FFgQBomekaGuJCLIKJk9N9efHY1AkHRDsP7lN4pVQo2q32zImJfCFr - 6sZivaJZumHpxIsoyDIMQ9N0rVbTdd00Ddd1q9UFRZVUVbVNy/M8UZTdwPWiwDScqcrc1Ow0whhx - bIijIApiAd1ipRHiwO46AIc4jCABCCKAWAdSURSdYNHo+Zs7QRcg49jckbZRLVFqghPsjtNpzKc3 - rD86cSgS6Kbf8kFQaVSaXcvwAgIpRVD27DvMMMyxwxMUz/zwu9+7+2t3hWHQbDZSauKrX/rK7GJl - cX4hCsJGrc6ybKtWP378eDGbn56bHRkZmZufBxACmlKSCYZGANEsy7MC7wOMeC6pyov1WmWxms3n - KpVKNpve8fxzkqI4luV53rZt22zbnpiYuOyyy/bcui+dTlcqFUVRIARBEOTz+Wq1agbG8uXLDx8+ - HKN98/Pz6XQaABAEQSKRqNfrMQtQUZSFhYVkMqnphm3bMbf33xcxsdmdJIiWZWGKZhAyTTOdTouC - 4DlmQuC+8rnPqBJ+csfDV7/vDZPa9KS+aDgkhagz1y/dtup10Ga/9tVvZHI9FLbySdkLuVjsybLs - t7/1rWeeftp13eeff/7rd931xu3bVUWpLMwhmuI5pqdUDEOfpilIId/zTNPctuVcrd0dGxnR6u2D - phdFEaQpEhGtrX3owzeyNKIYdGL/hJJIEEJsxwktU5BEUZIwxlEYnqpa/s0lTv59GRMnfgcQAoZh - MA7j8oWnWYqiAMsBQNmGxQuMyPAMpEjkZ1PStnPP9IPWstWlMAW+/MwzS7esYfOSbzv9+eTgpv6C - 0jfz3HODvb1tL+rpG0IMWL5qPQbo85/7wjuufLtrO9lU4vDh3QILp2em1qxZU6vVtmzdmk6nLzz/ - gvHDRxBNM4g1dV0Vpdefd3671kjJ6rvecdXE+LHID+LOPHC9redsfvHFF7OpNIkwQyMSRoQCAJOY - 1k0IoSCM/v8i5v+7K+bExM4TrusKglCr1cbGxmJjmMcee+yFF154/etff+LEiTPOOONLX/oSAAAh - lM1mL7/88rvuuktRFIqiTjvttNtvv12SpEKhUKlUjh8/Pjo2tnPnTgihkkwsVhclUXQ8TxRFDwSO - 67Y7nWK+yEpCRAFRkAOC+wb6Fxv1CAJAwRDjhZnpwcHBRCKxdv26uJGq1RevvPJKz/OefPLJ22+/ - 3bKs73znO48++qimaRoASUWlCbR0K/Bw4EQcEpYMrvjNxF4PQocCTb2zbGjdtHpoqH/J8T3zPet7 - Pn/b1976tr/UNM0JXILD/fsPldIFHBJFVHzfdxxXVDld102jaxkmxzGl3h6E0MGDB6MoSmVzPM9H - PoxBrMnJyfhtlAUxjiOI5ywURUEMgyAwDCOWG0CGwoBQiE5nCmbXwF4gJ8Raozk7P8fuRz2rii/v - 3jU2vNpkLIaQsG1U9PnMwKjIF9oa7pieD3Cj1qQAPHF0PJ9PC4KwbGQpL4ljy5bKqjJ+Yvyqq656 - 6aWX0um0pmk333zz/d+7/y1vecvC3FxE8EK1Igkiw7LZXC4kmGK5pctWVKtVQmHE8o7v0RTnh8Gv - fv2bN77pUoqiqrVFRVEwAKqqvvzyyzfffPPkzJSu6zfccMMb3/hGQsgHPvABjPE//uM/3nvvvbEn - je/7s7OzF1xwweDg4M6dO7ds3frEE08MLhmOXRNlWTZMK4Yx/uBKp9OxHXgYho7j4Ig0Go1sNtvf - P3D22Zs3bdp0+eWXf/e733n00UcfeuihVrv5qVs+/Z73vOemv/lIuVx+06WXRQRv2rTp85//vCiK - NMMMDg8n0+mlS5fW63WO4waGBm/5+08HQfCjxx/3Ap9l2Xqz4ehmIpGYmjhpOnbcoAMIaq3ms7/a - 8a17vi1IIq5WIghMvSsnVAzA9R+6YeXKlZHni7L04A9+uGff3s9/8Y75+XnH8yBDf+6Oz2WK2S9+ - 5U4lnbQ12wswKzCZfMEOvMPjRx//yV4oh8UV2QlrUlNdwAEp5LSJ5g+ajyObe/JHXz3zzNeZhjU8 - OtZutBaqlVI6E7CcY9miyIuKbNo2hDC2VlIUZWpmZteuXWEYlst9Y2Njvb29QeiZpplOpgAApml7 - ntdoNucW5mePTVCYrFq+LJ1OO4HT7RpIET3Pb5u6mkpGUQgBjRADMAlJyNCQCiOG5fKZ/IFDR596 - 8sGRNSVf6rohmNozPTU3u2H5RnfOfHjiiaAFWk132cozutgiLPRCzzTN0mD/3oN7oWG8/Yq31WYq - g8uWcIns33z4b979zr/ccObGnz355D99/e4T05PZdKaysNA/MFCtVBRRyuVypmHe9rnPbX/DG7RW - e2Jmiqbp3ky62Wy+sm9P79DAd3/4wNatW5HANZvNZDr14Y/c5Pr+3Xffff2N1993332FQk4WxT17 - 9qQzySd++lOe5/P5fBAEiqJYjm0YRiaTjtOmksmk0dVjImqz2ZT7xN7e3qNHj8a2jRMTE7H4Lp1V - q9VqPp+PoqhYLMae9LEW7LW9+rtFTOhH5Z5emqJCz08lkooky4KYEFQGgsC1+kf6z9i4/JtPPB8k - vLHVZSGhBE1cGM4IRZazpTPPXud7ELwSlYr5CAPbc2Nfhmw2S1HURRdd9PTTT/f09DzwwAPZbHrd - unWHDh1iGAYhZJqmqqoMRZuGoUgSCLEqy8tGlpWyxXFwJKYzWoZ95dvexjHsoYMHR0ZHl40ttX0v - iqJkMhkB4ga+7bkYY5ZGMbkH/9dGKizLBoHH8zyAwPMdhCiWZaanp1OpDGI4QRBoSDdqTYCB5wU1 - syswFA38sbG+L37/Tq7IDGzoZwsC7ZMSlQVi5BC31W2Jzfbqdeunpk/kMgkGUUcPHVx7+hk4DBVJ - FTg+kUgAAFauXN5ua6ZpPvvMM3v27PnYxz5GQWiaJkOhfDbnOW5/bx9NUaoopWRVa7RkSQIAkjDi - EFNI5nzLcWybAhASgsOIE7gYKYf430cz/8muP3oR80d9K/+TzRmn/MT+3IIgEEJiTky73dY07brr - rovtxQAAjz/++NjYmG3b//zP//zJv735sccea7fbHMeZpvnVr341m0oDAP7n33+m2NvT7XZbzeZX - v/a1TCazUKmUikUIYd9gv9buHJucuPTyNxNCHMtxXXd0eMnOfbsGR5d84Stf3n7pJT/56ROO52FA - FEU5OT21Yf3pMzMz3PASWZYbjTpLU73Dg+9+99UsyyKOvemjH4UQJpIp1/YAoS3LpiLkWaFQUOZm - 63d+5VsLZhUUxQ62CAt+89K+rasvfvSBp30HjB/5crE3y7CAZSmAJMcHWtdHpBu6Tn5FPg5Q9H2/ - Wq2aRpdEGAAcO8PGyXCGbRNCQBRCCI8ePzY1NZVMJsMwZCi6UCh4YYgxDkM/FhFQLCMIgiSKWSXl - A2wFHgFgcnKmVCgygKpWqytWLustDzSa3V/fv1vzu51XJhieAmGUBOLS/nN+/uJRias1aubZm7Zi - IEli2tQPp6WkisR6teb39MmqVBzsPTYzwXDMKzt3btm8+W8/+UkIqfvu+95VV10FIfz+Aw/Isjw3 - PbdixQo3jOrtzle/fjfLsn2DQ6PLV8SZi6qqPvvCc0dPTKxas5rluEw2bzseYnmMQ1mWf/nLX2KM - NaP74IMPJhKJ2Dz36aef3rZt2+7du+Nfn+O4Vqu1uLjY19f37LPPTkxMLFQqmzdv1i3z3nvvjefT - 6XSmXq/zAvcH92G73U6lUqIoAgBUVYWA6nS6YRju3LlzYmLywR88FAccHj58ePv27QOD/VpX//4P - HggJPnjkcKfTufKd7/jl88+lsxlN02ianp2f+/tbP+PazgsvvaTr+tTMzI033SRJUqvVUlU1IqSp - dULXG584gYPwXe99D4KU43uNZpNmGU3vDo2OXHDh6+/93n0dQ89ms4v1WiqV+qdvfZNhGNe0VFVt - NBvf+Pa3QhxZlpXJ5x557Ed3f/Mfe8t9AQGNeruc6e1qWkWrpdLpX/7Li0NLl/YPl3O9aRu5o56G - RAohhC3PLfiymLRa9sdvPK+nVP7ozX/XXlzs6++tzM4jECGaOL4nSKLjeCAigiABOsQRZVmWaZq+ - 7wMAYnggzqKKNRqu61IUYhBLPM/r6pbrEz+EEQ4cF9KUoiRomqERjyknhJQPKQqAgABCQsRgy+0A - wtE+qVe1TWecsXR4Wa5PafmVlFPFIthw1gV2x1H7E1Ez4MoKl/MGTlvz1Iu/lBIyri9miwkMXS8y - aQYwCPT39i1OL6xcufrIzKIfwDM3bf78Z/7+b//HJ+Rk4rw3vH54YLDZaafTaZ5h9x04sPXscwYH - BxcqlVQqdfOn/q7b7Wp6V1EUCtEvvfLy+jPPkJPqXLWSSqU4QfjCl77UNfShwYE4zSOTycQ5GI89 - 9lhfudzpdO69997evt79+/ePLVvqeV69Xs9kMplMxrbtfD4/Pj5eLpfL5fLc7JwgCBs3bowDwjiO - 03V9eHj4wKEjxWIxdrcithPDqIIgtNvtf3OcxkUMwFBgOddxQIQBAK1WS9O0CEQMx5uuu298/89f - fCoQ/PRYxi/RXWjLotrhrAW3PiAOOyQUpVS7q4eE4jguVoZDSYIQnrvt3PXr1950003JZJJhGBrA - 2anpwHMCz4nFQVrbp2kahIACYH5uPiElMkpS5CUSkoSqupQLKGBbLkKop6eHpunp2VlJkRkaea7r - 4pBGiOHiuA9Ckd+7ff7zUoZh6CiigiBADCUIQgyacojJpzLNZhvxgizIEENZSuZLfcS3d+7c9c53 - /tlXP/elt91wxc+/+FIhlwkFvFiZCSURep7jdLVae6ifzJ044TvaicXJvmJ+dElfc3FhxbLlrWYn - kUhUFmrb33DpypWr77jjjg0bNnAcd/DgwXwu55iWKAi6pk9oE+/487c9/NBDN3/04wCTTrtdKhZB - hE3TdC2bpZHnOziKaAAFlgs8HwDA87xhWwxFk9/65v2fVsr8MXCh/9tFzCkxfUwC/4++AgDIqafi - f5H35lF2VOe59967ds1Vp+qMfU7PktCEhIQQYsbMYIEHwOAQbCY7ODGO48TOtQ3mJjYesAlxrmOS - 3NixY8fxgHGwDRgCYjDzIBAICbWkbkk9d58+c83DHr4/SpKxb5LvJpdvrXy5e/XqddZZverUqa7a - +93v+7y/B3HIIEf8LQ1rIPgXPjEbWc8IhDBJEtM0u92uJElIEEzT7KtVD0wcGBga7HW6mqH39/d7 - gQ854BDccccdjPNyqVSuVCbGxzOcjCRJGcK1Uql0ez1N04IgKBQKKU08z3vgoQcAZa/u3BGFIcaY - Jowx3tnxyis7X3MD37Ks7//wBwdnJuM0Nm0LIVQQhYNTh1qt1j13/1gURUkWc3m72+1GSWwaFqEE - QyEKw4hzy8rXFxaLdl6X9d/76EeOWb6C8WRsYo9W0pde6zIVCwp2Wy1eCr12V9OtKDhoyvazX/o8 - EgACKAiC2kB/6vscAllTE5IiJizW5w8cOKBriq7rnAPTNFNKPM/PUlaEkIKVm5qaWpybz1ifGd0O - AJDL5TzPozRljNGUQc7iNIni2PFcWdcgAAKWhoaG4jCKgqhSrcZJ8r3v/6NP/ARyM2fHaULiQBax - J4b7g1k/AbqW50R4/qVd//DdH+7Z+TqGSJZw6PmyLL++e8/+L3whSglgQJOkF154Ye/evc1Wy7bz - Y2NjX/7ylwkhGfRp9fo1c4vz3//xD/L5fL252Ov1eMo1TUujOJujH3/6CSRiDsAP/ulHpUpFzWlR - EkIIZ2dnC7a9bdu2mCSM8zRN6/W6JEmLi4uu6/7yl7/MtIGO41iW9dprr+3bt08QBIjQgQMHFhcX - RUXO+h6jKOp1u7quM0og/7VHlAHEIVUUjXDAGYhTEoVxECemaXU6vXK1L43SkAXZsm3qWq2/6geB - KIqzs7NFOy+KYqfTeeihh+bn5+M4LheKYRg2Gg1VVRASKKe1gf5muykIAmGkWC6GYRiG4Q9/9APE - QX+11qgveZ6HkEAp1Qy90+sijAGC997/c9fz7EKeMFool0LPz8iwgiRmYa6kyIgQw8o1mk1NV5et - WD45My3JaqFUbHe7AhRM22q0W7//+zetPGbZzMwUECHXRAAYiglPEwShpptenGIkF1Sr1+sRJOQs - e2Jiws6Zvu9qihwFfpqmqqLFcZqmKSSUMyGz6Mqa0jM0UZJEALIkSTDKtJYiFgQBQEAZ5CBbY9q9 - rpIzNE0jDKSMIoQI4xwijhBnHADCEEeSoAgyT+D27S81G0tR5E3NjRsFKV8xl1oLqqqmEbPNgtsK - VdNyWQJ+/FMSRBgiCQuIpb12b7C/cstnb2Eh+cIXbvva1//yMzffEqeJrJpXX/Xe07dsvuyKy9dv - 2nj9B26I0iQT2DU7bU7Znt27GGH5vN1ud1RViZJYVdUoTVRNq1Zr+/fv03VD0RSEhYX6gqbpubw1 - MXmoVCokUbRQX5ydnT3hhBN008gs3lRDn56ePvf885544onR0dFyuTw9PT06OpqmabPZXLdu3aFD - h5xuN6PZ7t+/3zTNLMuiKMrU1NTQ0FAGmBYEwQv8NKUZFek3ZtQj0zWAHHlJKiCEIGSEeJ6rahIF - bHKh6fvt009cdvKWczpvhDQBPBJEUZSgHbvATclS4L7w8o51azYxiBzfI72uZVlBGDq+d9FFF338 - D//46//za+ecf94DDzxw4403WoX87/zuh+66666FhTlBEAlJIISQc8iRH4ZhEotiFHPS8ZwUsJiR - ttvTTE2U8YFDBx3XHRwdkRr1iKQIC5ABRVIIpSRJM0EMPGKf9L8zkjCRJTGKIgawoWqBG6iSvOXE - E49fv/6XTz61/+CUJEm5XC5K4kOzswoChEt/8AefLPfbt9/6Vd+jL9y3Y641nVf0ZjT3TPcF7Bpj - r8687dxLM0S4oUtB4K1atWrZ8tXbtj3ysT/86Gc/9/nbv3zH8ZuP/8UvfrG0tBTH8datW0888cRr - r73Wj0LXdSFEqqx0XadQLP71N/92oFqbX1jQDSNw3Gq1KopitVqdOHSw0WwmlAAAkiRBAGQxK8Mw - Q7we6bf6Lz7wYS+JNw+OAECHY6Y3d90BAI52A3F0mImUEZ0BSCiRZBlBEMeRKGBV19rNlmmanKaS - JDqOpxoqoCAlRBbFrAn+yGcdPvr/BmDxXxhZHHP099GbllLGGEci5ox1XVc1DACAIEle4Ec0zRcL - ju9BAcUkFUScMqopShBHYRQZVk5R1cnZGUVVZUUBDCiK0mq1BCyGUaSZRhQFgiBwwJM01XP68y+/ - UC2XoihasWJFZ6m7e+fucrGSEQVUVev0uoKEDdtMeBxE7mF3WUHqdNqWZTHAU0b8OBFECSaEEKJI - UhxFqqp4nidIAhBhgqjbq6uqOj5/UIAgcNtiG1t9hXanF6Shrmr72rOJH5sGJTHBOEpTEsapqmuB - 09UVFCWhqqmE0oSSA3v3ue2uYRiapriuWyjaCAuEsVJfpdfryVjM6cbiwtzMzAwhROA8AbxUKmXC - wCAMJVl2fUdSlJgloixxBJGIoYy9OKCU5jSl120psooktem4Ta+JMEMKDIM0MqHvxZoMfBhMOlNY - kgVVb/fCNKamqLmtVhx5UJIgwpJmtDsNJEoSxt12p1IsOV1HFHDPcYVMrCdiiJGAcBKmKSOMcEmV - Hc/p9DoAACyixA8556ZhOI5TKBTK5TJNo8XGUq5ge3Hg+t7AwEDoB1CAECMv8MMoGhgeataXzFyO - c+4HQUpIFMeH0+wQQoQs267X64qiKIqiaZofhTlBKOULURRxQkVR5IwKADLOM50BhAhCGEWJICJJ - URiHAEkCVhjAkiz4YSQpcpzGEEEOOJYxACBO4+zTGOOKKKVxIgBYzBfmpmeiKCwWixACQlJJlQkn - JE4EAXqhrxtqGPqUIRJHAkYIUgBIqa+61KwrutJxO4hzUZNbTidfLHiexxEURQknccqoqMih4wqC - wFJSzhfmZmaBgiQspGGoW7m5xYViuUQZay7V8zmTQ7HX61lW0fM8gcbMT5YPDtVnZgBkppXvhKEo - iCQkJbvcc5oMAgIh5bwXx6mIEwg5BBEAimmSVpdSaigygsDttU1Tz9hFfhjn8/nMZCojf3DOZVnm - nCGEMmY0QshxXUnVCAeEUQD4Urtl23ZE4shPqpXhwPUsOxckAYRQFDDhBAkCAgwLiCYBBIJZEnvx - IhBgdaQviUK/EQzqg836UsEuBe1EEDUCcYpQ4AXH9A/2Gk0NCiwhBpYZTa+69mpRwJaV93rOh3// - Q1iSEgb7hqsvvbFzz9Q4AKDrOrZt5Yv5TqdjmoYb+VHk5a0CRQxhhDDURdWPwuXHrGAA7Hpj98aN - x+3a9YZt58IwMizD8wJNBIomu76XLxamp6eLtdrk7ExfpVKu1rrddrFcipJ47969a9euXazXIbRy - OavZbOXzeQRQu93pK1WazWYmPG+1WhhjTVOiKLBtWxCg5zu2bbdaLU3TTEHvdHoYozRNOQUAAF3X - Xd/LOpYBQxBCRoiAMQAsTVM/6NX6y7OzkwSA/tUbHvjZzzsLrxRLmHVLnXq3p1FRhUuduqcwZmvP - zb5x8SVXPv3Us5mY1zBNPwg451gUxycmPvyxmzjnU1NTpXLfc8+/uGdsnyzLWdOZLCEzl+t0OoSQ - crFSGqj6caTJ+lM7Xjjt/LOOP2UzIYlhGAlNuCLuO3jgkssvff7FFyRLC6JwqdfKrg9EEBEuCAhw - jjiAbyqqHH4pQMZY1stz2MUMCVlHaugHgiBoil4uFLdu3frOd75TxODWW/+401mwilpKo1a3gWXp - G9/81oply6+47kNBt0XicMWxp74zJwBViAY9Gie2qLKYsxRvfXt+dnEhTBOn6ZbLRU7Ad3/wk6Gh - Ed9xOeeFqj3XmJl5cEoUJADA3Nzct7/9bcpYRvdBCAkIdxzne3f/8MYPfrDdbNm2vWti33lbL0rD - yOn2CoWCoijbd7xy7kUXjE9MMAgy24peq521kQoIpYTA/wsiGPAfycQcFUZxxI/EMQAASZaDIJAV - SZKkOI5hFImyFMYBjRNNK0IMM3mjKAkpoVAQ/kV3zf9Y8gv++u+jxxUEgVAqCIKu657nZdxVJGJV - VQkhvVYrn8+HYRgSku2QkiRRVQVC2Oy0Oee5vN1sNA3NWFpqDg4PdLtd3w8MnMvlcr1eTxTFrAvJ - cbqqMRIn0e7du6vVaqlUStI4CIJqfy0MI9M0GQKZNxMUQLvdzhesJKSMkyAIOAQxSwuFQq/TLRWL - ge97rptZUeq6Pj09VS6XOQS6bWIkzM7O9pXKhVKf5/T27NxbKJXsnO10vZxpc0WiDCUMZFUAiRKM - cRT5koILUiFwA9/3Z2ZmQj/AGEsIeZ5nmma1v7/a3+/7fqvVMgxDAMLExMTM9CQ5AqVNKDFNs1ar - ZQpWAEAYxwBBQzPCNOacQwFBCLNqHQIQAS4rUi8KdF0DUAjCnsTFgllo132aMMkUZUU0RBMJAmOI - MI4AIGnMkyBnGbquNttNQoBh58Ik9n1fVxQEYC6Xi4LQ0PU0TTtOr7+/v9VqqromKXKSJDCNOadh - EgLGsgIihEyRcZxGAPFqtfLIo9tWr11bKBV707OSIlX0UhyHoih4LAUAyLKs6fr4+HgulyOcabKy - sLDQ19eXpunQ0FBmWtTr9Rhj1Wo1DEOMsR+FGcAj87tRVRUAkCQJEITDqccj0MDfcu4AACAASURB - VHeEBUHACaEAIA4RY4BDBAHg4LArGz+yT4RvyiNidDgnASHMXLKLxUK3281wyZZlBkFgWWqn08EY - AZSZNKEoirAgVWuVxlLrK7ff/r5rrnnH1ne8+vrOkZGRMA6QIGTKMAaBKUrlctl13cD10jTNGaah - auPj47qshH5QrfYt1BeTdru/v39yesqybYSwpujz84tDwyP1bleQBEszYs+dmjyYyxkyFtOEK4Iq - IzFIUwOboRBISMacp5SFSSxgiUGCBSELCqGE0pQQyBGAGd8siWMJioIg+L6fsbwy0mAGeUKCwBiL - oiiOY0XRsvQYhBBAyCFnjGFZElMGICeEQM5IEokCppwRmlBGIYRJTBRZBBwJWCCEJIS1e13btjkF - AsUsgaV8vxf4hpFjirzk9tScbRnW0sKS125zwzRMLQoDIMJc0WKMtTpNXTUEIASh33HdgcFh3TIc - 14UQlisV13Mcz8UYU850XS0V7Far1e22GWP5vBUEASc0l8t1HQdCTgipVEqLi4umaUqSpBlc1/U0 - TQGCi4uLa9euXVhYoBzU6/V8Pp/JX7L2osnJyXXr17++c2exWLRt2/M8WZQyBtrq1atnpqdd1127 - dm273XZdd3R09KWXXlq3bh0Ko0OHDq1bt25ychIJwvDw4MzMXJIkOcMSAFxqNEqlUpwmlNLsDsyA - kFgQMMaSIi8uzhdL+Vv+9JZFD/MUOlEwvs/Ri5KfQLlkE0hZh3SgT5TZTrsXhmBmbp4QgjHqdDpA - QBhjTTP2H5hYajUdxzEMo9FuMQimZmdEUUySBAqinjOXmk2M8cDQwPShyT/6+Md9P1AkOU0pZDwD - MjGaEkbXr1+3f/9+wzDcwL/govMJY4PDQ+1uJ0s/AMQZoRgieKQX6c3b9KM9DdmGIcv8AQAopbKk - IAAkQfzgDR+85OJLfvJPPxldNrBr12tm3gxCf6C/XKlW4jh+4YWXnnv2xZGBgelDB5emp4/beOzE - wnTMknKx4HTbkogRQhQIYUpSBrCimFqx67oY8FyhmBLS83oYYwqolbe73S7jXEBI1/UkSWRFcV0X - QmgYRhhGVt6O0+RTn7nFMnOKogSep8qKJsppkhSLRQChbdsThw62Wq0Nx2/MkmqGYbR73Uw8pGpa - 1oP2H1lT/381hFs/eysA8JXXdmx/5RUKGECQcw6OGC4cuQC/8tc4/B5kv5Gqy+YXWZYyfIiu67Zt - B4GvKWoUhQJCtmXRlNGUcsaEX0WIb7rER16+JWUzjMU0TWVJYpQ6rqtpWqFQOOecc/75Fw96rosg - tHMWTYmAUKlQDINAwmIcRpVymVOmq1ocRoBx0zQFJHDOGKWiJOm6RtI0CiIAmIhx4Hme6xqaoav6 - hvXru+0eidNup1uwixKW5ubmKCFhFMqK3Ot1CSNYwL1el3OOIE7iGCOxXCr5gc8oZYwlcZzhcVNC - lpaW+vv7RVkCAHiu67muoWqqLFNKXS+oVYcU2TA0Kw5SwAUIIKEUSShKAqygIHZTEqc0DqKAEBIF - MU9ZTjcD12MxTaIYC0hVlWKxODw8aOfzQRDEcYIQcnvu5ORkr9eVJCnT7Y4sGx0cHBQgchwnA5x3 - ep29+/bKqgwFdMLmE2ZmZ9utLqM8TZI4TQACpmV6nqeqUqfdlARBhFLsxMcMrWIxAZTLEEsUwpiR - KBUZ1EUZcR54Lpagaetdv0MhVVQ5jiJAWU7RvV4vlzMXFuazdV2RZTNnTk9PK7IMAWSUCQDpmo4g - wgIO/KCcL2iK1qgvYUHijEtYuuyyy6enphVVU3VtZnZWltVOp6upmiqrjUajWCwSSkWMNUWVsFiv - 10899dS5uTmMceaul6apLMuZhjdzqmOAW5ble56iKEcNa7JFF0LIIcioU1muThCEjD+bHcd13Uxv - Dn7dm+3NUThlFEAgSmIUR6VyaWFxIXMUyuLI0A8hh6Isx3ESBCGEoNtplwolzrjveO1We/XKNTtf - 3TkyPFJfWBoaGp6dmS0VSggAJCBVVaMwlGS52WhiAVNCVyxfPjY2ZpqmKOC+ckVWlMX6YsZ6j5JE - 03WEULfjUMKK+YLveQLnkFFIqSYrkIFczpZUbXZytpgrSEQQE0j8JI5ThDDkUsEompKhS2oShCrG - mLEk9JM41uxcy3EkUTnrtLPGXts9OjCiq2ouZ8dx7Pm+7/tpmqqqMjQ0xDlL0hgAoGVNuorKOY/i - ZG5ujhIaBVHOMOx8PoqjhJJCKb/91R0JI1gSUpIwSiEAgoAQFijlnCIEFEDFcmkojaGm27Kip5T5 - USTpSkASKGECiO8FnHGBcch4X7nMIY/TmHIKkYCgwChHDIlIoJQZOdPQjWarIYliqVRK00TE2Ok5 - hm5AACihbtdRFBUBhKHQX+v3HC/wA0M3nZ4jYrFUKCVx0ml1rJwlKYrn+YzyOEpazRYAUBLl+uJS - pVzxer0kjXO5nKpqtpWPwrhcrriuMz01ddxxxy0sLGR3UeAHtVqNMjY7PZPP5yVJOnjw4Hve855X - duxYWlrKiDKabvT392ecCMa57/umaUiS7Ht+SomuG2lKMn8CxjnnQJQkzjhGOE2S0ZHljHG35z3/ - 4osT05PNZr1Tn2+3m83G0v6xA42F5qGxg/WZxvz04vTUzPz8wp59Y3Mzs5wxQzdM0wRH+LOO4wAA - dF3PYF3ZEptZfmYp6swkMmM01+v1aqUaBQFLqCSKnDEEoaYomqYhCOMori8t+UFwyqknR3F8aPJQ - t9NBSKSUYYwlUeSUwSPP1GFtzGGgHwe/KjNxzjmAgAPOGJUVGYs4TuJ/fuihRx59pNZfKxRzN9/6 - yYVGfdfYXkO3TjjuhJ/fe58gYF1WF+ZnDVXEmHqJIxbEEPpu2s315VIQ+2koaiITeBD7ioYJiyAk - jBGMUUJiWVZWLF/pucH05IyiagAAVdNmZ2dzlhXHsSRJEAuu7yVRTCmNgrBSLgPGsCBACAWMoySW - VAVioee5s/NzI8uXMcAN0zzjzDOefvbZlBJJlrOUcJqmvzHP/Gce/F85z6xfPItJstiaESpjkRN6 - 6paTthy/Gb6Fwt5sH5wkSdbjs7CwsLCwoCnq2pWrAGCO4/R6vaN/k+23fvNrvFWnAgA4og3MHp5c - LhfHcWb7bppmFEWCIDiOY5qm7/v79u1bvXp1vV6v1WrNZjNTh+i6nqVbpqenV61axRhrt9sZWDpb - VChLIUeAwaFa/8GJScR4Gia6rkOGes2OLMujwyNRlCAMJUXudruiIGUsNQEiRkjOMFVJ812XJmm5 - UIQQkjT1HRchRDgbHR3tdruiKPqeZxmmLEmLc/O6quVsa95vzjbqEEJGYkLSoYHazMw0RFzTJVkR - y/19Bw4eTJLUzlnFYpFGzMpZsiCLSEiS2NatEPiA8WpfX7lcBgAszs8zAPL5fKPROLD/QOaHkIk/ - BgYGMvukJIxEUczWclEUS6USBZQkEWMs9HxTNROWQMoTTiCErtsDnKRJgDioFqtOtydiOD27X1EU - hABCKA4TEQm2bMRpwvxEViXRLjiB2/V8xTDnFuYhwrKoaKLaXFwqFApBEAwPDyNB6PV6URTV5xcq - xZKds8Iw7HZ7mqapiuL3HNM0K/kip8BzPFPPVQqVRqPerDf3je21c3mSpDteePGE004lhNCUAMog - QrIsdzqdXq933IYN+/ftQ4JQq1Yfuf/+Fcceq2laBm7JDF+y7579370wSJJE07SM7Z1pIDRNy/Zz - vzFlZE9dtu07+k725r9232azD+eH9+WVSiXrImGMDQ0NUUoXFxcbjQbGuFAo6IpK46TX7jHGTD0H - DSgiAUPRddxSodjtdkcHhzHGGe6zUCjIWOyr9U8AAABwPW/fvn0ZOUlSlenZWUaIosh2sdDr9RAU - wiAcHB5KE2bqhh+4ke+rqiqJiKVJo9vZfPzmdrNl5Mzhtw22Gi1FEKFtMkaruUGOYNDxIYOMcEVR - em3mtTqaLqcQJaLgpzGWFYAEBIQ0JouLi4Hm5u1yq9XK5EGZX8f8/LwoZjU63uUdzrkkKZSxlFBJ - kgxVoynJbOc934s5TdNUkcUkSkWM0zRl8DCWmnNOKTdVK3JjmnJbK4hVKQGJoAC9qFEWEZL09fVR - SlnKy30lRMQ0JbqqHZw8BAAHWDRUo9Vu0IRmKmNN09rtLnNcu1CEjNMkTZIkE/HYtg0hFJEQx7Gq - qsVCgSZpFISmbgDCLN0oVSqNVlMRRCufr9cXq5Xq9Ow0hIgC1tfX5/t+1oUAGcccBj1XldQ4AZEf - tBtNq5Dv6+t76cUXTzrpxEarOTY2ljH7K5WKqOOpqalKpVKu9nndnqZplmVlXKLFxcWMHzNfX8qM - 6xuNhp3PZxxzw9DcnkcpNU2l3elkJYlMS5CZiCVpirBY7usLfX/8wKF8uSgijnEcunGSUOgDUzFp - yCp6kXLmhgEhpFgpx3EIbYvExHFdRdcAAJmbRNbymWnvMiNeQRCyZFIcxxmGX1GU8fHx5SOjQ4OD - hyYOaoqKRRz7QebcDgzNtK04jPr6+lJKJFXJZnXHcYrFImUgSRLGWBgn/4btQGaPmO06MmMphBBH - KKMT6bo6ODJs2uaPfvQD9ReyosKZxbliqRZFUZIkx65ZXSiUwjBszB8KOtHgQN9SZwmIEuQgSRLf - 99M4SZMUQl9V9bJlMQYylIZhGBCiXsevlKvbX3651jdQLPZVyqX5xTlCSD6fJ4RomjYzM1Mol3K5 - XOgFGGMBIs/zBAiz6mq73dYMA2AhXy6Vqn07duyQNFWU5Uan7fq+HwZhGOTzecZY1tQiimI2L/3X - Hv/uICYrIb1575gNRZbDMJQkkVJaX1hUZWVkaDgDcx06dMgyc7Va/9zcXJqkooDTOBGw9JZ8gTdX - oP41/Tniv/qJgjBNU1HAQwODi4uLiiSvWLa81WgCxicPHqrW+mRZHhkZefjhh9etW+e6bl+1kqRx - Zu+cM3LZvkFVlNiLTM30HHfL5pPee9lVdj6XhAkhpNPqIIgBAIwx07S6TqfRbYZx+NhTjydxbOcs - z/NIHCdCXFvRPzk5mc/bCMJ2u21qelab2LLpeFmWH37kkVajsXnLFs913W7vysve8+53vrPZboec - qLaeYuiGHgKsaNtp14MJAQwkjM82Gz0/uPdnPydJArnA4jCkoZZXkigWMSZJwikL06Tb7YZhSFia - mSAqsuY4jtN1TdPMWVa32+UASJK0fft2CKEiStmCHQRBEAdpmsYk9qMgowjGHRdhaJomlIQwDtrt - pmlokNEVQyNbL3o7QqhYyfeijqiJTuSpWCNdqgKtbBcDLxQEsVgpJ5D/xV9//dDcjGoalb4RAUIM - UKVUc5seJyiMXYTQ/NRUrVYbHBiYn59ftmxZq9WihIwMDsVx3K43zjvnXF3XDU3Lmpkty5JF2XUd - jEVNU7Gi9NyeKGuT05Ou61qWxTnvtNsrl69ACNVO3LJz9658zlp2zArbzGXhCyWkVCxmOt/3v//9 - 3/72twcHB7OD93o927YvvujtDz/8cJYgyeodR+OSo6ZdWUpGluUsZD/qZf1v38+CJFLAGWMJJVn4 - yBhbvXr1zMzM0tKS7/ubNm269NJL77333omJibWrVm+94MKcYT788MOz0zOU0f9+y39/9pnnjjnm - mPn5+Yw1PD4+nlkWi6LIEaSQz8zNPfbE41EUKYqSbX83bty4dtXqb//dt8rVPgjhxz/+8bG9ex97 - 7LGpQ5N/dsdXf/ij7+/Z84aZ0zESIj+QRHH96nXf+4d/fOXl7YcOThw4MF5524lMoExgKaKSacVO - hDpJUbEGB0YV1Xji6ace3PawF7l6zoQ8WWo2yqU+FqRxEPY67Yn9+yEHppGPoggKQhZ5JEkyOzuL - MSI0AQCQJCWEAIBSQhTDbHc6iIMkiiec3nx9kXKCFKk0UMnma84ZREBECCEQx4li6n6SZXe0s845 - 85RTTmGI1rsL2EbtqCHlxDD2y/kC5shveTlsSrGycsWa9Scc/973Xz29MOc4jpW3S8VKt9nhFAAK - XNc3TTMIAt91BqvVeqvpO85Q/4DjOCwlnU5HV/VSqfS2M8448YTNmdKi3WgODg46vZ7ruqPLlnHO - vTACiJ93/oVXXnVlo9XWVW10dFmv13M63Xa73agvvfeKKx544P7ly5dv2LThggsu2Ldv7O+/+53Z - KD7jjDN27NixZs0akrLdu/asPXb1wsICAjCfz3c6nUqlIubzzWZzdHQ0SZJWq5UFOgMDA6VSKTMh - kmW51+tlDm6c83JfJUmS+bkFy7IIISklgiByxjTdBIwLAozDqLHUUhXJsmwAGKBeGMcikuxiPnET - AUCW0NQJJUNRRTHiPE78dqeNMZYlVdbkLLuQFQFVVcUYz8/PDw0NLS4uZimi7NHIHMdc183CmjRN - d+3aVbaLuqpBAkSAJEkq2HlCE8SBbduNVtNxHO65hBBF0yAUKOWe7yuKImIsCpilb+I2HU68wCNF - 2yMSfMiyAhQAjLCUASrKWJSxXbD27h+zc9bNn/7MF774Odsoi4IcR2nRthQZ79u768D4vtNO2rK0 - MI9TmlfMnhf3l2tUofVmQ1H0oqVHfoQ9ROJEkWVFtlzfk4EUhCGldH5+vq+/X0BYF+XZ2fmBodrB - gweq1WoQBEmSDA4O5vP5sbGxUqGUz+cX5uYwxn3lytTUVD6ft207pmS+UZcN7Zhjjjl+y+apmRm3 - 21u+8hgsiVYhb1AziiKIhTCJFVH6lfb0v/R4yzIxJE4kAdMkFUXRLpaWlpb2j+3t6+uTi6Xlo8s8 - z6vX69kNjThgggB/PfVyNBZ5qzDJR61/0jT1fd80zcyTmRBi2/bw8PCKFSuKxeLdd98dBMEHPvCB - s88++2c/+9mjj21zXTdzJsMYv+997/vOd76zYcOGZ5991jCMM88884wzzsAYP/n4E/fee6/dP1Bb - ccyK4eVPP/306pWrFucWHn30UUp5tVqlKZMV5bjjjtNz+vjExMBQzXc93dTcbk9VVc00773nJ9/6 - 5rfbzRbGwoaNGy847/w77rij3W5vveTirVu3xiR9zxVXfPGLX+x2Om63R6LkumuusTTjYx/7iF7R - yiurY4sHG2GHsSSZ7gzmSwaThsvLBodWPrvz9Zs+/glKeRwkIGFlKy9JSs4wnVZPFaUojEzdgECL - gjD0A4QhAjBJU99rWZZlaKbjOFEUiaKYEnI0eZDltDDGoigqUCHtlud5KaeMMcIZFlFEIqftcsRT - SsIwHKiWEeUDlarv+Eutxd0HPap7zWjp9QNjgGKLFYaswc1rNnlNF6TCmrUb3vXe91ZrAw3Hw6oY - BaHnuiJAV33kiueffv7Jp3+5ZcuJX/rKlw8cOLB9+/bbb7/9937v96666qoXn3v+7//+71uNpqIo - ecs+8/TTn37yqeH+AULo+N59JEwkSUmSyPO8NWvWxEE4MzXz27/1W5//4hcNXRcEwfe80dHRmZmZ - 73znO/fdd98rr7zCOf/t9/7W9u3bP/GJT7zv2msEQXBdN4qit5111tzcnKZpN9xwQ2Yd+sMf391s - NrP7SlGUzGYl2/dwzkGm2DjiPJolb7KukCNl+P9FR//rw3XdDBgax3HW4t7X13f99dfv3bv3+9// - vqZpN9988y233HLTTTd95jOfGRocZITec89Pbvn0zZ/4xCdkLL6x640zzzhj356x+tz8008//dN7 - fpLP5+M4Xr58+ejo6Jlnn/XUc88CzpYW66quRWkCAMg4SX/9V3+l6tquXbueeOKJu+66a8eOHYxS - VZIxAi+99CIDtFatRK5v6DriQqfVwUAY37e/kNd+98PXvrZ3+xOvPLG/ORHAFMr6kNl/yapTV5WW - RwF/YftLM3PTXhhAjLue76dhsdwXh5EuyIynEPFCwYYcKbKhaZrr++VyOSO5eZ6XpixOQl3XM6Aw - YyCKY6yoxWKxWq5gJKRh4PieIEgMcpomiAMEeBR4KaWCiEUBM8Y8z5FUhRPuOd0kDiYP7BlZOTQw - bHqi+7P7/zmRYigKqiCNlEeO6VvWVxmM59OpfftOPumkMAwFjFNGgzAkcYIxts1cGIZZstl1XUWS - RQFjQcjQU7qum4YhIBSFcej7SRRPHjy0cuVKt93d+dprD//iQUppFCWFQuGEE05YtebYudnZ5599 - rllv9tWqkiLf9bW7/uRPbt22bz+n7PdvumluZlYWxEPjB6677pq77rorDP2bP/XpP//zPz+wf3zD - hg27du06ZuVKhNDk5GSxWHR7jmVZ8/PzaZquGBlVVTXjR2T9R1ms0Nc/MDAwsH///hUrVjSazSzN - nJnCQghzlskY4xBACDmnEKLD/rWGKWFRFMUoTKrV/gOT+6AcF/Nm4EWO11UlLY5C1dAIIX7spTxN - OYm6gWmagKM0pYQQTVMz+i2l1HEchFC5XG42m8Vi0fM8VVWz5TkrYWe2braZS+L4mGXL63OLIkB+ - z+OUlUolXVU9L3V6vWKp4DluuViaW5g3ND2IQl3VUkoynJXv+xhjESD+pmXl6OssZoIQAsggRODI - fiNNU01TcrmcLMvz87Oapm3cdPzcwrzTC4eGhpa6XcvMUUL27tm9du3qk0/coMvyyuEBlqRRHMv5 - PBPFnuutP3ajqiskSb2Oa8i677i7d76u6JqsFYI4cXteudpn5e2FubqAhaWlRrlcdntOsVh0er18 - oZAmSbfbnZ+bq1QqCKJGvW7bdjFfcF13eHDINnNAFNw4rEJACOl0Orqiylg0ajXHcXzfD8PQ9z1Z - lrPtU8Zu+H+dcP4LjP+jIObNAQdnXJTEzDjXJW7eysuiDAEIfB9QloQJxhhDTBMqYFEAmb4RgF8P - ZTgE/yeXPDtgdlaZaxJJUgRhMV/wPK+51EjjJGcYlVJJV9XxffvGAfjdG2/8xje+QZLkMzff/JGP - fOTBB+5XJVlX1Ha7/c3/+beXX365aZtXXvke09SfeeYZ28z9/d99a2JiYnR4pNZX67Q60wcmP3zj - 75625ZR169Z9+pM3jw4v0zTNcbwojW/77OcffOiBq6+66lvf/Y5VyBmqFidJBri78sorH3/88eHh - YbfXgxCevOWkn/z4nhtvvPEb3/jG2rVr9+7dO7e4sGHjxmw/Olwb0EtKEsUplk49aePn/scnXp59 - +fYf/ZWI5HyhDDuFoci8+m1Xrh84nsT61OduV7HkO67A2frVK6++4irKYOBHP7/35yClo8Mj/dUa - 5MyPfMMwUnq49pez8oZhvLFrz+OPPy5Kwpo1ayRZliRJUhXf9+MgzGDwGGPHd8YPTKRpihVJVVVF - UQhoiprICJNkGQERsDwJ46DryMuO1XX9hvdcL/eB7zz09YRiwxB1zbISoyLrp79941BuKGyl0wfr - faVCp9NaWlo0rBxNYts0/uov/0LGwre+9VcMRavWrnrhhefuvvvuRqOxZs2q00475Zknn9q+fXun - 1dZVTQDIdz1Oua5ox65eOzExMb5vAiEUhrEoCps2bfL98KKtWxcXl2ampovFIqW03W4X84WlhfoN - 113/2o5XJSwqktzpdNI4efTRRzdt2lTKF7rd7uDgYLfb/cM//MPrr7/+yiuv3Llz56OPPhqGIRLx - 1Vdfnc9Zl19++f33358lWo4adWVBTJZ6EUXRMIw4jn3fz1I12czyb1epFUUCACRJhBCcnpseGRmh - lD7zzDOGYXieZ+fsxfnF2enZfWP7LjjvgiiMNVE5bt0GEhNd0SUs5ky72+q++52XHjx48JmnnjU0 - XRQwVoXf/q2rTj75ZEHEv3zyyXK5hADQVc0uFmbn5lavXn3nnXdyxhBCJ598smVZv/s7N+4d3/8X - f/EXl1122c7XXvnS7bc9+czTb7zxRuj5SBB4xCp9FRlISRDmBgpQjMwBYeGVg/O56cW4m4Qoxm2j - evLaNbVuizz8RIOrCFs6gjiNA5rE1XJ1aXrGMhRZFgole+Wa1ZqoSqKmaVrXcU499VRN0whJd+3a - BSEPQg9CGAUhY4wxwDg37DyldP2atZVSOfLc19/YnZC46/cMw5BlGSo4SENRlgFkMhZFGUc0liQB - IaDkJMPglo3OPGMNN5KZeIY93hZykEDedUgR6Kec8e4tlc2sxR+8/1EEaKWvFEFmpnFCKUbC5i0n - 18qVZ557VpJkz3O/991/+PznbguCoFwoXvqeyzdv3vy5z30ujRJAuZ3LSVisVCqu655/zvmB59fK - 1T/7yh2GYdiG3e06Z5x25jnnnv/q669GJEUIz8zM/c6HPvjZz35WllWaMlPT33XxO979jnf+5de+ - dudX7yRpevFFb8/lctu2PYYQ7nZ7cHp606ZNu3btGhwcBJzTlOVyuT179mQIu8nJySzsC4KgVCo9 - +eSTK1asyOfze/bttW1706aNb7zxRl+t2mq1sIgURXVdlzFQ7e+bn1vMpIqUUoSAJGFBgN1uVxal - fD4/Nzc3PTP3x3/030wLDwxWHM9zXF/LWQyCOI4ZY2lC+8rlnGkdPDiJoPTccy++9OLLzWbTJx7Q - NVWSwzDUNL1SqZTL5bGxsV67gzGuDlTLheLs7GwEIGMspxtpmva6XVmSfucDHyyYdl+lEjrBwtx8 - LpcTBAEKgHLWN1hbXFqamZtttJqqoYeLi3Ec+2EgSlK2fouiCNL/tYzCwdGCb9aSwjmEnDFKKVV0 - RTd1wzLiOE5osmHDht1ju88+++y1a9bv3btfVJU1K1fThKmy8q2/+4Ys4o/9/ocHq31JEFiS2YqD - maVZRdXzlo0gNCQtp2jNuaXTTzp172t7WMwY4qquijg8//wLrbz94AMPju8dv+aqq6+++urpmck7 - /vzPdFm5/StfGaz1//DHd3/7m383OjT83HPP91dr3/vuP3z7776lyYooimmSRHHMJaFQKmUcTk3T - coa5evXqx3/5hGXmdEXttdulfCHDMbuBryjKfzbm3f8XXk5vQSYGcoAArjXlpwAAIABJREFUwJLk - eZ6h6bKAHcdRRUmEKA1il3ZHRkYCz3e6PVVWIIKMMpSJdAAARzq0wa+Ew2/ZoJSKAs40qtmKCyHM - dtgHDhzgnF9++eXZ9mX9+vWZOCAMw1KppCjKli1b7rzzziy5ffDgwSuuuOLRRx897bTTLr300on9 - 44899ti2h7fZtl0rVxhjmqKfcdKZSRS3k5YvB5RShPAZp5z6t9/4m1UrV9k5C4t4cHCYI+r6XrGY - f+Shf968efPGdRtHRkYQQu+85B2/fPyJ49atX7lype/7a9eu3XzSlsmpqXw+f/aZb+u02rqsyFgc - HR5RNSmOO2YBbDlnnW+kceIVqPrE1++jp5+f1xVBt088fmOxUDh2zVqv2ynZ1jGjQ37EOYeSJCVp - ODQ0pClqEoXFYj4maRwjgGCtVhtdtoIQMnlwanBwsFQuVKvVTPqXZYBL+QKEsNvtqqrKIAvDUJRE - iJAkSYVC3ieBZume53CAEBDzlhn12oJdHB0eFgU8ODK4SCarK4pGTlSPNWvVoXgq9g51uRwVa7oP - 02eePkhBbNn68cdvkFTFd3sigrfeesvvfegDK1Yu45CKomAYxmWXXXb66adfe+21p59+euiFN910 - 01BtYNeuXd1me3RkBENUq9XefuFFr5Yr9/3sFwghUZAQQrVa7b996pO7du0aGhrKVwqNel1WVVVV - XdctFArr1q3bvn37M88886EPfeiuu+5avnz5Jz/5yQsvvPAf//EfgyCYW1y4++67r7/+esZYX1/f - k08+qeu6KIpYljZu3PhnX/7Kn/7pn953332c8yAIsjpglok5qoDBGKuqGkVREASZhjELbv7tICar - N2V5xIGBAdd1vZ4XBME555yTkXvm5uYyYUG73V5/7LHHrl73vPNc9vcd16tWq9122zIswDhgXFUU - 13EQQiLGuqYtNRqSKMZxvGxkFGAhJMnw8PC2bdvOO+88TVVFRa7Vajt37vzqn9157bXXXnHFFcce - u0bX1f6RgSAOxvaPVatVRKFm65qiE05t2zZ0OUk9QeUDa/qsgaLQZzQWPHWJyyZ3vSUs5vOlHMvb - uw9NcQ7XrFpRry+02+3R4WEex1hEuq4ODAyQMG01e5lOwjAMy7La7RYAIEkSz/NkWc7KdpTyJE2T - JFEUxTTNXC4XeW6n0zIMI6uSyIpkGprJU0nCUZogDgikQESUUomLEgVB4BBqV8q5Q+19uo2GlhWq - 65eljNpyQQnlUsXeseel5coxpqpAAClNCSGDI8MCg2W7cMM1N3iOu3vPG77v33jjjU888UStr6/d - bq8+bt255577N3/zN7feeusXb/tCPp9PohgAkDPM+sIiTUmSJJqiAgAYob2wF7ihLCqigGdn5yMS - l0qlKIp++P0fbb3k7a7rarICGB8dHf3Upz51xqmn3T0wtDhXVw1lcnLyXZe846mnnrILecTRvn37 - li1b1u12swA6DJPjjz9+9+7dhmEUCoWlpaWs46nVah22uYZwdHSUEDI+Pl6r1RzPtW07iiLH7aqq - igWp1+vkC6br+kcKoyglCSUslzMo5ZmpU5qmN15342OP//zLf3pbqVJccdzKux/8qUMDxTIppWKK - Bov91Xx1ZnLh1FPe1mo1IISFQoGD1PPcTGl33nnn3XDDDTt27Lj88stvu+22973vfUmSnHfeeR/7 - 2MfCMMxEvogQRVEYpZVK5Wf33Ltq+Ypex9nx8iuB64VhKGnS4MjwKaefauTMRx/ZdtY5Z08cPKCZ - hogETVEJoxBCTdN835ew+C+6WGePIeOcMQYAy0DkCAFFkXRddxyHMVar1R577LETTjih1FeZOHig - 2l9jSNizZ++l513sdV2QMA4oYOQTf/wHD/7z/Z3YOfjGy9pyaeSYofmZeb/pX3nJe8pG8eaPf/rs - c94mKIKqqjMLi6Vc/xlvO+u662+Ympn+3ne/NzI0+Ik/+vh111/zrne989jVa0ql0sMPPjQ2Nvap - T33qwfsfePWVHYP9AzQlzzz19AvPPvfju+++62t/OT4+3nF6AMKu62CMN2/evGXTCS+/8OIxy5dj - AN1ej6ZEU1XP87K171eT0n/18e8OYhhjsqqkccIZk0QpjZMoDC9++9aFhYV8Pi+Lkucd5g1kvkVp - mlar1ZJVIIRk3XSKooRhWCwWFxtLWs7QDGPbY49yAJCA3sLc12G5FuOiKEZRxBjLNF99fX2dTocx - dtZZZ73jHe/49Kc/HUURxvi+++677rrrNmzYMDk5uWzZsksuueSjH/0oQujMM8/MmpCDILj99tuT - JCnmC9dee+32F7dnpFRN0xZnFgAAvu8PDw87jmdZVqPRYgDIsuwHvud5NKDNZhMIbKnZ8DyHJ8Bx - nGazOTc3VyqVHnnkkcsvv3zdunXlcnnjxo1f/epX5xYXbvv855vNZq/VzhmmS7uZumj//v2iKD72 - yGOsCguFQqdLxEQUBOD7/rZt207Z8naMseM44+PjhiJ3Oh3f91Wj6Lq+qqqbNxwvI5mk5GihLQx9 - zoEoigihbrebmURiEVFKkSAYhkE4y0obCwsLGfKOUqrrekxixpjjOO12u9VqtZw2pSnjUBSUXqcl - cSJBYWpq6m1nn91oNIpDRVVVl4LFXC6X1Wg5551Oh49w3/c1TcMAR1G0tLTU6nZyhoY4xYB2u93J - yUlK6Y9+9KN77vmnVqv10EMPNZvNV1999U/+5E/OPffcEzYcv23bNsTA2NjYO7Ze3Gg0EES6rhNC - dF1v91q6rpummQHc0jSNoogQMlAqzczMYISSJInj+Kc//ammaZs2bYIQPv/88z+8+0f33XffunXr - 6vX6scetv+eee7KJ9fXXXx8ZGdm2bdu6desWlurPP//8Bz/4wXXr1q1fv35ubi6O40yNgRACCGWX - 17IsVdcajYbv+7Ism6aZJEkmaUeCQN5UrfuNcVTzftSsADLY39+fdTyJglir1RBC55xzzlNPPVUp - laYPzbzyyivvfve7NdPIzmTZsmVLnUa+WAjjiHGcTc1HH4rsDpmYmLCKhZAkcZIMDQ0FQeA6Thbu - r1mzptPp1Gq1H/747sce27Z8+egFF18YBEGn05EoitwwrxU6QleAQrbw5O38g4/dZ9u2B5qtVgtj - PZ83sn+0gPD8/Py0E3qeF0VJvdmQZTGJ/EM9R0EoTdNer+d5Hki5bduc8wxKmQmZJUmKouBwb1ec - XTyi6ToUpWx5ZoSmUZRZNKu2Hsdxp9MRk6jr9xACHEHIOBN4wokoiiiFKhCW1/o7nU6j0fAjf96d - V1VVluXY9zzPs7Rcp9PJ9P6u60IAe71eq9XqBR6iQBPle++995jlK7ITPvbYY79w2+c/+uGbvvSl - L1186btuvfXWVqt13XXXZUDwnGEuLCxkVZJ6vZ6BU0VRjOM4l8shjjudDoJo5cqVeyf2dbvdrM3N - 0HPzc4sIof5a/2WXvado5088fvPY2NjWd17y45/c88bYnjvvvFPXzSiKfN8XZbnX62VbLISQIMDZ - 2dn+/v7FxUVT1WzbnpubY4xlQN5CoeA4ThYOZneIYRjdbrdSqTQajSAIKhWj3lgURTuftzodlqap - JGPIQUAj13UMw5Rk3O21dV1lgCDCvvfNb+qWdP+T911WOO+NxkQoMt8PJZeet3nLe8//LRALt9/x - 9dWrl+/bO4ag0Om0LMvKdGPbHnnkheefb7fbTzzxBCXk4q1b3//+91f7+lYsX753715CSBRFmS41 - 5TxN0yuvvHLm0OQF513oOe4Lzz5Xq9W8yJufnYOMDw0NnX766RDCUqkUxFGr1aoO9HedHuA869sA - R0Csma4eAMA545ynJM5mPEKIpimMsSDwSqWSXSwsLdUFQejv7z9w4CDGuFrtv+3zn4cK4iLY88Yb - p55wsiRIqUssySI0PG7dhphFyzeOvDy148mHHj3p4tP2SjvpQLps9fLScWbVLpx9xemJGcsV2Q/i - 5cetShjoeu5nb/v8tddeOzg4GPXc+uK8pRljY2NrVq3OpA4vPPd8c6lh6sbxGzZ2Ol3bzLWWGu+7 - +up2o6lI8u1f/NJHPvKRKIkw5bapL83MXXLBRbtf3Wmqutd1DE1PosjUdMf3BIzBkcLZW7We/mce - /74ghgPAAI/jGCOBssMZuUqpfPVVv/3ss8+ylLRbraFaf9ZlkHWTJklimTkVYUmSwjA82sfR7LSH - BwZFRT7ptFOeeeYZx3MlSaMQMvLWqKmzplwBoqyh4AhEBC4sLERRdO2111544YWf/OQnszBi7969 - juNMT08vLCxgjK+55po77rgjCALG/h/23jxas6o8953N6puvb/fX7NpN7drVQYFFKwKiqCBic1Rs - Y84NwyYemxOVxKsxoLlJVEA9GjVyvCJKUCKogAUYRECaoqCg+n73++v7b/XNnPP8sQriPTfmhhzv - GOfc3PnHHnvUqLHH3utba653vu/z/B56xRVXPPjgg4qivPnNbwYE3Hnnna7rtlqtbr9nGEYyFu92 - u4vLCwSEk1Mb1tfXJycn2+1uEAQMAN/3d+/ezSBQFGUw6DEOyLLo+/7lr3rdzrPOPudl5w4Gg4ce - eugvbrg+nUxl87ndu3ens5kPf/jDUepNq9Xio30KQttzQ0pSyWyjMZoqn7HIuqvH6poeV7HqjuH5 - 579m/yOH08VSo9PNGQYvCpjnFlfXfvijf/ADqmvxfr8vCALxCMdxJPBb3Q5jjBe5yI3ZanejrZbj - OMaIqqqe77daLcO2MMYT+YIoihFwLPpAQxoigYMQEkIFLLiBF1KKMCaE2I7FAkcTJMIYg6DdaJ9s - ts2Rl8rlnJDZI18L1Gw2L8PE8ol6d3WoSvrIMXzfHwx7sizruj7q96amJrdvPzPwwn/81UPJNLry - yisppceOHUMI9fv9d7/73Rs2bFhfXXMcR8B8Pp8HGK2uri6tLo+MMQCU0lBSZF7ker2eIAgnFxb2 - 7dt32WtfVa5WT548mc/n47re6/UWFhY++9nPGobxve99T5KkM888kxP4ycnJb3zjG67rfu5zn7vq - qqty+TxCKIrf+8AHPjAajT7zuT/ftWvXgeJENps9fPhw1K+KOHjD4ZBRSClNp9OUUs/zRqNRBPCI - HofoIkeWGfA7ihiEkOM4siwrihJhBnVFv+qqq6Kf+fBDDz/99NN//ud/fv/99/d6vf0HD779rW99 - 7Wtf+/gTTywtLcViseXVla985Stf+MIXnnn6aVGWbNMglKqaduLkSVGSbv7qVyDPJdKpzVu3LK+u - ur5bnJjYuXPnlVdemUomFVF6bu+z3/ve9z7zmc/0hoOVlZVMJnPo0JHuaLDeqNuGHTCYz+SM7nhu - bt6nnuu63/r2fw1EA2fJUB55E4DEeM/p15rBoHtij/zsT378wFve/h+pZ0JCnbEZS8YMy4hpamCY - BAIOC4QwnuctywwDoCjKeDy+7777HMeJxfRCoSCKfGTHdW1H0zSOA7Ztj6xuu91WRUkSxLnpqX5/ - iKOym0JK2AtGdwQhgxAABmVJGY/HuqCTENq2z6jw/dt+PCSdFmu5erj2+H49laAWGwv22rNrrYON - Cq7ObNjsE18QJIQQ8QNVi60sL3MUnHnGtk6nIwhCqVR673vfe8aOHTvPPbdUKqXT6b1790bxQLZt - dzxP13VeFJ577rn6Wv3qq97QbjYRQt1hl1IaiyVc39n14P133HnHe/7wfYQQLwx2nnPO6173uosv - vvjee362d88zHEQHDhw4dOQIL0j7Dx58/wc/EIvF7t31C0opIYwTBYyxMbY0XQEACILAcWg4HEYK - sEP79ufz+eQL/qPIUzM5ObmwvDQajbZt23by5ElRlqrV6v79+2dmpgEAx44dfdk5OxcWFiCElUrJ - MAzPCxihmzZt5AHXajYrlYooiul0mgM49MJTx07tOHvm8stf8ezf75GyKDOdTybTqOHOlirxpABc - ceNMNZnK5fIJBIVypZROp6Nzo23bqqpeeeWVDz744HnnnSfL8szMjGVZV1xxRdSwlCSJFwTf83iE - owTmeCo5Ozsry7KkKj4JDcPI5nKXXHLJGdt2PPzww1MzM51WW5DEqQ0bTMuSBJECFk2UXmy/REcC - FrVJTxMUmed5PI9t2w4Cb3JyEmPc7/c0TZMkaf/+/RzHv+pVr1pbW7v44osdz7131/3xVHxlZcUY - mdlUdjg0Pd9UVdUNfCSjAycPgBjIz+elnDxoDxNAy2STAhBt4HjIxRqniioShHF/EECoxzXbtMzR - OKHpHIdPnjrxyldfFr0gHn300SAIZmZmjNG447bLxRIhZMvmzbVaLZLfybJMKWUh0WQFECpyvIql - 2srqZLUqCyKPOZEXMIejeLvgdEpMGNVz/99eL7kTw/McpTQIfVmUbNOqTpTa9daxw0ee2/PMZ/7s - 0/1ur9ls9rpdQojv+6Io1hutuBIDAcMYcwrieR4KEEL4nne9myBw/wMPMEIdK8LeB4QQ4SVe9N/l - TooOxBChIAx5UbDGdjKZxDyn6nq5Wj17504G4Sc+9ak77rhjZWVl46ZN/1skj3Kdt7zlLd//4Q8O - Hzuaz+cFQfjWt/4u8jG++c1vvvPOO2+7/YcIoV333lcsFV3bCRl59WtePTk1uffgcx/40PtlWbYs - R1EURsDjT/3m3e95D8Dgda+/8tCRA4quAcRMc4wQarVat9xyy99+/Zv9/oBSIqvKwtLitddeCyH8 - 9re/vW3bNtOxW+02YyyTyYwHQ0iY5dhBGE5UZn9x37NPHN4d35BT81kX0X3La6+54H1/952fp5Xc - /Z+9XisUOVk0HZsRCft0z/P7DcNKxOJJLcEg4AR+PBwN+r16fd3zvEQqXq1WEYdNy+F5HgHMcRxl - wHGcZqu1trYWCT9Fjs9msy96g0/joSiKvg9dwhgDEXaNRzwQkIQkUc4VsolEgvmgttIOKMe7IgO+ - BnQ45DDThn3fpi3fJmec+TJNjheLpbVWa2CMKaUcQLISf/qpfeOBYQ59wx49//x+juP+4R/uIoT9 - 6Ed35vP5Wq126NChsWkAAAigg9FAjWkHDh/keWHz1nlBEBiDhASqrvzmN48+9fSToiLarmPbdjKV - ECRhvVELw/DH/3BnoVDo9/v1eh1jfOPNNxmGEQSBG/i3/J/ffcc73mGaZi6fjzqLP/jBD77xjW/E - 43HDMBRFqdVq1113XSaTiYhtESkfAKAoiiAIkRmSAgYAiLIhoxZjVNb/yw3eICA8L7quz3GcJmvj - wbhZa37xi19MpVKO4xiG8fAjD9+36z6e5wkjQeh/9etfgxRQSssbypqianHtre98W7ffUXTlgx/+ - oKIo0WlsfX09APSt77gmlkz87L57Ti0u+mGQzmZGo9Gtt966a9cuSRR77Y4o8P1+f/fu3YPBIAiC - sWFwHN+od+q1RiGfDR3PGI9DRmzPfvDhX/qUXPCKS4ZmJ5aTDWjYksvF5aHtixJXKai063/qE9cX - yzOP3nhTb7VeqpZCzIaGG1DBhxT4IAgYhnxkBWAURfa3paWl0Wg0OVlNp9OMkaiHwfP8ixM627Yb - jQaijEO4mM1E/i+O5wNKGGOnW1wQshccKIxBQVAY5cIAYJzYuuV8KzAu2lZ+bmlvvBJfbC8UKyV3 - bCXFJO8iPAkSJCUL2iOPP+F7IaRMj8dWl5ZKheLi0gIv8plM2jCMG2+8EWNcKBSOHj+mpRPnnX++ - 63nrtVpAQ4ZAOpVxLItS+od/+IeGYbi+kyvmPv4nH8MY+35oGIYWU1PZ1Fve+uZWp1ko5MaWueeZ - 3fs/+Hx0k5hjI6HHAkZ/8vOfemHwk7vvumfXL9Lp9Orqar6QEyC0XIcQEpAQcVgUecd1SRiWSpXR - aHDgwIGzd+w4depUhJaOLPqDwWBpaSmZSui6dujQwWKxaLtOvV6bmZlut9uxWGz79q3P7nl6dnbW - tJ1afR3j01Cc9fXV0A10VavV1uN6bH1txac+QsL2bdtdq3PzN28cxoaZmQTRuBB76YwSQr/Trcss - IYn8eNhv1euEANcPFUWLxLMRtWFubu7rX//60tLS5Zdfvn///i1btnS73UajESXDQAgtyypkc57n - tQdtEXMxNY55DvMcYTQyhwdBEICgvl6bmpqamCgxCFZWVhDGWOAxz/GCAAEgQQheIBqcZksCwhhD - iPN9H2MIAAiCoFgsQgj9wBM4jobhsDdQJWVqaob45GP/6WM//fnPr7zqirWV9f0HDouKyAni5Mxs - wEA8ndr1y398+Wsv+M2TT1944Sv21A5hwut8DCtYsKTOsLfabaytNEtxs17r+g4IAygoaiqd3771 - jA0bNuRyuZNHjx4+cvCP3v9H5194wUc+8pFsNvv+97//iSee6HQ6ISWSIjc77bW1tVq9fuLEiTPO - OIMXBcTh0WiUisWjTmQ2m/VCL/JzRQEphmFwAhdQwnEcAICDCGD0/4+T/pkVBAHiOYETDcPQZGV5 - eVnEwtSGDZtmN85Oz/Bzm0SOJ0Eo8AIEkDBSqzcnJiYYYxziIKCmbQIAfnD7D3mILMOwTNNzXFVW - nMB3bTuRTgWu+3v5wyzLikYh0dfo5RGB+I4ePfqtb33r2LFjk5OTrVYrDMO/+qu/ajQakiQJIv/q - V7/6S1/60sTExIkTJzKZzIkTJ6amphzHufXWW3Vd//jHPx5VuJ1OZ/Pcpl6n+/JLL8oks91euzJR - MU3Td30EuUgDIQhSb9CtTFctx1qrr+cn8hHY4PEnn8imMxhwnMAjJCTSqUQ61ao3YrGYHwbrjbpl - WXosNjU1tbq6inguntT/7pZbvuF5XhCIcU1OJBuHR53HF3gMJZ73FdCtNXRVd4JQM8Z7Dh3keez4 - tiaJDGE9HveDIBaLeWEgAbi2ttbv9xzXMk3TdM10NpMr5MOAWpbFCIjFYgDilZWVpeVly7IEWXpx - COI4DkLoNEkiBFHgDo8wYEjkJMgCzw8gCSFkDADTdZ985umF5SVK6WDcAyKlmPbNYTaRk0JZpMKw - O9AUlVL205/vCjE8sbKgpRL5fNJzA99xV2urRw8fgSHgOA7xcM+ePVGfv1wuP/XUU6VSKRpIFSaK - vu87ln30+PHSZHXfgf1hGGaKOYRQ4AUch23PffTxx0amMTc/9/iTT67X14vF4mAwSCSTHMbW2Fhc - XOR5fnJ6yjbMpdUVkeM7/V65XP70pz+tquqZZ57ZaDZ5nu/1epIkxePxyP8Zmezy+Xyz2RRFMWLp - MsaSyaSoyIIgmKZpWRYFLGI6E0JecP8ySin7FwlUjLEopsr3fR5hjuNebPu3Wq1EIvHiXm+apiAI - qXS60+mUq+V6rdbrdT/zF5/dUN3QaDSq5cry8jJCyDCMiF9CCPECX5QlLRYjjEqq0u314vF4oVAI - gyD0/Gw6Y9lmp9OJqv9SuewG/nhkMQR5LNCAEsJ4jBVd6A/7n7zuU57jbJyd9n23P2qHMLADG0qY - 12KhFeg+n5ETpuEygPvj0cbpmd6o67MgmYiFlEiyzBMEGIYAE8IEQWAUR/YuURRTqVQUSmrbJqHB - i2o2SqkoSYwxQRBASCThdDAQYxQiDkDAEAwIZRAzACBgkdfG8wLqU1ESGYUP/eqRAwePUERcZnu8 - EyuojUHdC5y4qhE3GDT6G0uz2OY9J/QY4VVZliTfcauVSjIe++qNX+n3+x/5yEe++MUvLi4uHj16 - 9IILLpAU+dHHHitXKh/84AfvuOMOjudtx/E8zw/Du3/20yeffNIwjNAPPNsRBCGTTluWRRlDCCUz - 2eF4YDve0BgrmprP52uNRhAE1XKZ4zjHsiuT1YXlJYxxuVq1bbvdbmdy2dHYkFRZFKOkYmKaJiFi - LBbTVPXEiRPbt28Nw/Dw4cNbt27dv39/hJw5dOhQpKXtDfvxeFzXdcdxJFlaXV2Nx+O5XK7ZbPI8 - 3rhxU7/fj8VilmXZtq3IKgmoMR5LvNRze5EXLxaLIYS9EN7x45+de97mN7z+7b9afHTIe5yqe57P - UTl0Ubs1ymn64cMnIRBJANOZ3Hg8DhlljEmStH379s9+9rP33nvv9PT0qVOn6vX6X//1X+/cufP1 - r399ZPjneT4ejw+Hwyjlo+21eRl3xj1elhCHAYLD4SgSSDXWa9s2b6Eh6Xd7+WJBwFy2WHB8zwt8 - 3/cZYxzG8LeaMdFZN5IQMUZ4nqc0zGbTuq6PxkMIYdRptiwrlcqEYXj22TuPHz027PZ+df8/nrF5 - exiwQXs4tsbHF08YnjXsDjhZ+cWuX/76qUe2jbfwrrL4zMqp55cFKOABXnholbjw+P7lqy9+Fwcl - ThYJzyRFFXg+9IP9z+8rl0vtVv32H9+eyWSOnzi1VltHCC0sLW7ZtvVj//njo9Foenr68MHDm7du - oRCcWFz4+je+EQTB/NYtqq71xyOMkOM62VzuyLGjg/Ho2PHj0eWVJIkwghBi/z6kMC+ul1zEIJ5j - jLmuq6qqa9kxTc+nssNe3zatVq0+USgiyIm8SCiBABMvHPX61VKF0jD0PUkQZU4aj8ftWqvbaKmJ - WClX0GTFtR1O5BOJhGNaHPfSOjG/S+0sy3LUmQ+CwHRsxHOiIiu6FlJSrlYGo2E2n1urrefzeVmW - e72erCqpVKrZbPzZpz8dMdonN2wIw3Bmevbo0aPnnnvuwsKCHwTRlErX9a3btziWjUQscLzhGOe9 - /Lx2s1NfaqQTGc9zvcCb2zzfanUEVWy2W4jH5WqVAsILGCGEAW9Zlu8EiUQiBPTkqVPlctn2XDAG - mUwGYBSV1VEdBiCst5orKyuqrCTTqaVjDcIYx3FaTB+MxpoitZ1mcSL/3NEjW7dvOXbqsO04qVTa - JkFAqE1IXInbts2LQkjISn2t2+/RMFRVFSEkyoIkSX4YBiHBAo8YYhAY43G73Y6M1pEJMzIuvmgS - jpzDDMPTEjlKIcQIIg5xiIOYR5AhCGh3POwPB4xQSVIQ5AEA9ogw/A9JAAAgAElEQVTaVtAfDZKx - uB8EFnJsx+RlwXRMRYK6Ak8uH5dktVQqNWqroUOyiQzHQwIYBzk/CDRddz1P1bRojplIJglgnChQ - 13n0id9MTEyMh6Ner1eeKPmBG3qhrqv1enNqdsr1gmf3PUMRnt00x/N82O2GYdhoNHLZrKJrhmF4 - vt8bDhRVTeeykOdcz6OMeb7fWlzkeb5YLPq+7zgOACAIAlGRDcOQBXFtbS3aODKZzHA4FEUxilWK - mLOUUsxz0SEpOob+K7cVAXPW2AiDQJEkx3FSqZRlWZlMptlsVioV0zTT6XRvOBCwVNkwub6+zrmm - oIr1Vl1L6L7rchy3e++eSy65ZHVpmUCq6hpFbGwbiqI4npPOZRvNFuWx7bmFVDKM5lwQuq4LME+g - jwCIJRIAgHan0+n3AIKxRMJ3vWJxwhyN+oPR5IZKp9WWZTmdTpEgPLW0rCgKhzTeC4tCRsRCt2+I - nAwoHLsgkS4uLS1lixOtbicIXVETFIEfmi4hIcfLkMMMIl4UA9fDCEUKD9d1AQARCU0QBAaw53mA - suhxDoKAURoGAaQswqPxksggJY4j6BJCyA9DwGNGGUMRrxUCEiiybFu2KmhTc7OD/ogx4AZM4NTu - qpFJ5FvdBvNgOpnPVIu+TxiASJWcwZD5nGe4E5XyoUMH/HLx7e94WxAE1XKl3+9aljU3N/f1v/3m - er1WLpd//JOf3P6jOyL/sKQq7W47lUopidjJ5QVI2dzsRs/zLMOsNWqCJBFGE4kERbTerJerk7F0 - /NSpU17oKYoiEK7T64VhmIzH+8aIVyTTNO16Y3Jy0rQdy3WwwDMGfN+HGFFKVVX1PHc8HoeyvHHj - xoMHD27evFlA+PDhw7Ozs5F8J8pIyWQyiqIMh8NisVir1SzHnp+fX1xcnJiYiIrdSqUqCKJhmOlk - OtI/MQISiURMjZljS1HU2tq65wUYSMlcee++w7se3JMsa6dWhnxRHq10w9AfMrUZmCeDtoQW3vzG - P9i/78i+Zxca9T7CIUNAkiSM8d69e6+//nrTNCNn+F133eW6LiEEYzwzM9Ptdtvttm2YmqxUq1VF - U+PJhCxKK/X18y+84Oyzz8YYQ8Q0WUkmk0899dQFF1xw6tQpx7QGg0EikRwMhiEjoiwBQmRZDnyf - QXAaQA8irh1iEPiBF0k2JUlJp9PtTisC5IyHIwhhtVKxLXfh5KL+1phnO5ddclngeK7vX3juBffc - c08ilwIK+tYPvvOKV1z4xre9dTBovem113SM1jsvfZ8VOojndFEXy9KoawicdO7Mq55/8ojR8zRV - 8n2f+ONVz23X13bd9zOOhwENbNdq9brjoTW/dUu/0/3ijV9OJpNRlufy8nJpstru9+6+52dXXnml - yAue5x05cfzS114+OzUtiWKtVlM17bkD+8+54HxO4GPpJCfwnMCHbogxfnGjiaarL+l9+r/i+rcI - eyPTCvGDSqVy8sgxENAnn3yytrzy7J5nLjr/Ar1SBQCAgCCB5zBWZSX0PFEUMYDDwSCRSPIQabLy - 97ffvvP8844dPXpB7hWyLBuOhSnPcdzvi9zreV7UhVYU5cXCq9frRcLJiM+bzWZrtZogCPF4PCpc - eJ43TTORSJw6dapQKJimGfphtVqt1WqqrrmuI4pisVg0rfHS0pIsiwghN4SMsf2H9iPIFUuF8dAo - FCf63f7Tz+zJZrNaTA8ZGRlDlVdXl1aSqTjHcbZhqrKcSKUAAH7gMwTHllmdnFxbXW232wSwTCYD - IByZRiqbqdfruYli4Hqu4yi6xg2GMVFljPkjMxtLdPq9dDbV7vY1PX7o4JFcIVuo5gb9IQaQUgoF - OLZM23XGljkyxqcWFxCAGCPbcRBC5XI5nky6rkspSKfTNKCtVuvUyeOmaUqSFH3QEf0TQhhdzDAM - CSGKqkTBcQElnMSFjBJGEUaMUcOwAp/yHBYFBDkGEKCM2UYoCYoAdWvsYgAUXfVs0+dopjJBQeC0 - nEomm0jFq5MT3eGAAljdUC1PlAftoWXY0YFyNB4nEgnLss44a8eL4/PBYKDJSrlSIYT0e71cqTg7 - N2MYhm1BvRgHAGCBT2XSw9HIDhwtpmGesywnXyyEflAqlURB6Pf7iqpyHDc3N9ftdsfjcSwWY4xh - jMvlMiEk6j/F4/HoewghFnhFUeKaHvW9o6lzNpuNhMORWFXX9SilIQiCiOobbSWR2DwSxfyu+zbC - kPA8HwloWq1WlNIVCR4BAOPxOJPJRMrNbD4zNkeCxHd6bS2mdoe96Q0zG2anbNdardeq1Wpn0Oc4 - TtJUThA4RhvNJieKpmXpsVj0/hgOhwLPa7KCKBNF0bJM33FDRmVNtRw7nUh7rtsb9ELi+74fS6U8 - yjhJth2P+UNVVbV4jFGABYmQgPrIcXxVlu3AdQgIABm3WrymSYl4zx3HUxkAw26jpcVjduD7rkMB - YZBGNxXAHACAEBIJGsbjcdS7ioR0NCSRNZ0XhCj4TMDRUABzHAcQsz1PiisQcWEY8AgxSgA73e6S - ZZkEoSTzjmu5HbvebJcq5WK5uLR0ErJQUROT8YmRMQ5GhFf4tbVGMpvnAShUq44xxgiuLy/NzU5b - rhNPxX3fbzab8XhckpSxZTaarTPO2rG4uOj3utlsJir0IzGK7bkIoVw+L/D8ytoqQkhXNSWmpzPJ - peXVsWPkyxOxVPLU4qmJiYlcsRAJF4IgYAgqmmq5bm19bdPmzYjjRFE8cepUKpXKZDLdXtv1PFES - wjCUZTlqOTiOAwGIFNn79j539llnCYKwurqq6zpjLJVKra6udjqdTD4LIazX64lEYjgeRQbsTqfD - F/hiceLkyYW5udlub+A4DocFUZAhACxkjVoTA+TaTqlYDlzvP//pp06eWvI8T+Hh4LGekBLQKux5 - IwpAQlQ4isNxiJjw8K/3jIaWMTY1VYGYAo5FqR2O4zz88MPdbjeTyTDGIhh6u902TTPqGOVyOcSA - 7/srKyuf+MQnIEQiL7SanXgs5jiOrqij0QAwNjcz0+12FVn2fP+y17zGCwLTNDlJZPT0xNZxHO4F - WQKE8MXYnIjPizGO5FaDYV/TtAhgwwiVZTkMqG2755x9zs1fvhEAiADkISxVKseXFlP5bHfU4xTp - 7l/c+/yRg836qibyCs+7oesy0h31RF7ikchcEAYsHksyiAReCRiIpRNy4JnWGOHQtCwAqSRp494Y - KBpmwPW84ydOTExMTJRKhJDJmen1ZsP2vbQkKCJfazVv+/vbjdG4WCw2arV8Pt9utyO9/+bNm4fD - oRaLRbJ9w7Ft13U9V5Qk+ML6vbxJ/+dfL7mIiS6N7/ue7dRqtWq1WsoWOq12Np157asvz2dz4/4g - lkghgAFlPMQ0ZAIn0pB5jpfQ40Z/8PBDvzp+5KhhW+lEupjLEz+gQYghgoxhzBEa/j/+Dv/yit4P - EfMHYBQyil+I+AIAKLpGKFV0rdlpK4pSKE1EGkzIYQIYwMgLA8jhYrkkSZIgCKPBKJXKdHptXdcV - Rak1G91BN51OI47T4jFFUcbjoWVZ81Mb67XG0Bg5tmvaBmFk89bN3W6PUhqQUNE00zSz+YwgCBAA - xHhJEPrdviCJgEcT5RKgbHV1NZ/LRb6MKEcmZJQQQgFrdzuAMoRQu9OhgSvHlIDREBAoEiTRRrse - i8Xy8VxKT41Go+VuPZVKcgAFgZdIJ7rtvqKpjuuurK85vqdKchgEjLF0Oh1PJqNWAc9zQRAYQ2Nt - ba3Vap0WGQRBMpOOIIGRfBW8kG6oKIrlOWEYEkY96lEIGGAYYB5zQFQknuN5zIBLqOtTJ2CAU1JI - EFUNmtZAUEVb8LEk9YaDxlpPkWSF41eX1pcXVyBGDiOSpliO2+2PPNsTeYl6ocgLjuf6fmA6dncw - 8Dw3IGEqlRoMBoYodPodSZLWVlYif+mg1wU0jNuW53m6qj23by9ACPNcCBgFjBAShr7nuMN+N/BC - URRj8fjC8tLK+prv+zFVi0A+o9HowIED09PTEQMjinqJyl/DtjiOW19ZFUUxMvtEhU5keSDgn3IG - ov8fXbHoX6La5TTy7ndsMZIgYYxt2yaQFHIFwzAII0tLSzMzM61WS1KlgAa+74oi32zWc4W8IEte - 6MWSMdu1Nm+df273M/lSKZGMzW+dbzabAQ2SiaRhWK16rVAoyBwSRGk4HOpqzHEcWVWiA6iqqpgB - EoS6qrU6bVlT1XhM0VTTskxjlC9kFEULCTV8f6neqBZLshxiz7OtkaApLqUmc7WEgnzg2ZZP3BDR - RC7HIGcOLADxqfYaRQRDEjqO6zjFdFYRRM8PKQh9Gni+AwCglBJCEEIRRJhSAgBwXRdAASEEMfB9 - PwyprCjR0IHHiMMwCAJJVQCKrjJ+gYzMIQAJIAwADIBrWxyGIQkQBulcWkkUBuNevdlXJFpI5oxu - nxGQUtWhYZmWN71xY99yXEoHoyEKw0wsrsuSZdtaTDl24ujs7Gy72zpj25m11Vomn9MT8eHIECVJ - kuV2p1soFPxwUG828vk8x3FrK8s0DCcnJ9WYatu249mUUtL11YSGeGR5thd6s5tmO50OxjxjDGEu - CqD2qc8Y3Lh5ngK2Wl+fqkxG+d6nFhciG7BhjmVFISTEGHteGPWoVlZWfCd/9tk7Txw/WqlUVFWl - lCYSiccee2x+fp4xVqvV8vl85JLL5/MHDx6cm5stlUqnTiwWc/mdLzv31MKJdCY3Hg9pyAq5Yuj7 - S4srqqLEVJ3DAoeFLVu27TvwPKcKoRgGnJDKZWzTkqCQ8sKQEeQAwAGMMWAU8zSWECvlLGRgOB4h - BKVsLmpgt9vt2anpMAzDMNyyaX5xcbFUKCYSiXq9nkwmIyYQz/MUsOFwqCgqQqgsSIIgSIJICcnn - szFdH3S71Wo18P21tbVCPl9vtwBlcT02MMeO7fICjzEmYQghjLhjv31kiG6waEDpeV4qlWrWG6Ef - ZLNZVVXraw1JVJ58/IlCofiZ//3Px8Zwbrr6f3zpb7S4kkwneUVwAv/KN1z9wAO7Yqo6Go/aZkuQ - eKjw2WyeBCHxGa+rGAm27xEajBxbiPNDr8co6Y5alVKRBR7GQhj6CPN+QDzfKk9WG42G7bmmbY3H - 4/n5eQaBFtNDQobDoSxJg/EopmmGZfqUWJ7La4oqJBhjhEMeoKN2M/JDSIosypIf+lH7PBrORqaQ - /8H36e93/b8x5frXFjEv6mcxgLZtx3RdiQmZRFLlxbiiSRw/6g/2PLU7m0ojhDDkhsNhxHdfWFq2 - LMuxrF6vp8rS4cOHu91u5NSNMNjRFed5PqTE933MvWRXGHshvPq3KcDR3IeXxKh5EG2RiqKIHH9y - ceHsM3c0Gg3btgPMQQgjRnVAGQ3CRDLFQkKDcGj1JUlOJRK+a0uCCCjz/aBaKpumCQhIJBKW6YzH - Yx5hkRNJQCghiqSKSPIcHyE0Ggw1RWl12sVy0fQsz/MFTgQAdHs9VdQDjCVZ5AUBANDrdEWOz6TT - 48GQ4zjEA8uy0um0G/jr67VMJk0IZWHI84Lr2IqqWo4NAOAgazXr8UQiFouZhm2MDEihhEU5prEQ - 6LLas9rGyGIhy2RToiA01hu5eNq1HQ7B8kRlfssmBohlWaqqYsw3Go1uqzsYDCIZR5SuUCgU0um0 - JElRWI8o8TzGAseLomi7TiSiZAhinguDICJM8LwAGQp9EhAHcZTnRQQ5Rkiz10ynFS2hp7OplbVl - w/cR4iRBNi1PUHVKUUzVbM+WOCwgPsAUECCJqmvZIsczxhCA/X5f13XDtlRVAZ5LKWWEqqra6XTM - 8bhSqTDGep2uJHCalhgNhhjjwWDEKCxOTESQcsRhhDjPGwucaDtuuThx4sQJPwgqE6Ver6crKs9x - nuv2+/1EIjE9PR2VbhE10XVdz3UlWWYh4XiBCQKEMEqLjZSzEe/OC4PTKe4QBp4fIe/+yehIGTg9 - 5PjnHzHEQEBCwign8IyxXq+XSCS6/W6pVPI8LwpjT6VSxshQJCVdTfeGPQqYKEuqoAWBV19tXnrZ - 5f1ud7I82W51WZpGp+2pSrU6UQIAAAiHw5EqycQPHMuODDVRUnfoerIsq7Ici8UCSqyxEVCi6oqY - TgoCt7KyJCu6H9Kp8gbPduzR8PWvfGW7VVtcX56cLLuYmaOxHlPiVBRE7FNCMGeYbi4VT6QyBxdP - +IS5vsdDWCmUzf6QYMiLUjRlCwmBjIUkjNoqnud5nsdxOAIDRg8vAhAA4LoeMozTuIQwwBCtr69X - IGQcYDxmjDEIyOmgOAgoinKXRIFDgEEEKaXr9bXpmaliJddpNgrplG/ZWjEh8iLi+IQXDzAU48kQ - dHO5gjsY2v2hOTYs21Biimd7UxtmxiNzy5Ztq6ursVii3eokMtlOpyPLoiAIuq6PhsN8Lre6ujoe - jhzTOuuMHZlMJnA9RZKDIMimM8dPHC0UCpwoWK6TzearExXXdWurtXQ6ZnsuBCCdTvM83+12VVU3 - RuMwDKcqkzQMQ9+Px+McxwFIwyDQFM0PfUAZJ0CBE13bsQhJJBJhSFdXV6enZkfjgcBLUXkdUQBk - WU4mU6PRKAL/93q97du31+vrhLCpqUnDsBYWT8bj8UajpmmaHlMs0w7DsFqt2pY1GI2e3P3Ula+7 - 4vIrXme7VrKUabYbxCP5dMYzHUYoAkxVZY/6kOcIC93AhxB7tpNOZsaDMRZ4nucjvoZhGLFYLHI2 - 5PN5x3Fe9ZrLI0d0hJfFGAeuhxAKGY3H45btGIYxOTm1srSsqqrAocDzS8Xiddddx2P8+etv8MOg - 0+uvrq+putbqtCVV4XgMIXRdl+e40/HVkDIKAKSAYcaIJMVEUWQAeGFQLEysLK9IkpTLFwBjrVY7 - mUwGPkmn077vf/KTnyzksxddeM783KZTK+umYcX0RKlUefD+BxBGfhhoMZ3HQBQE23e8saNKquGa - WKIEBqZra7EYYUQQBMsyYoqUSsQEBAnigsAfGmamUPQJHQyG3W43crynsxlVVbvd7osUkijFXZKk - gBJGwkQiEVBiWpYKQBAEvCBkc7mDBw4kk8mIRPrfDa8j48VLfZn+r7g4yACEALLfubH+d4sRJgsS - 8AjH8Rur0zf99Rc5hp2RIQoCjzCGyDAMThA3yTIviuPxeHp2YzR+kmUZQHbGjjN//dij6+1ms90K - GbVcRyUhJwqEUd/3RVmm7KVZrNkLu/8LuRgv/OaUCoLACOURppRCiCCAxA9s00rosW1btuazuYhs - +MADD8zPz+dyueeff75aLvu2iygQMX/5a1/161//+vyd545GowOHDjLKeJ5TRTmTyrRaLRrShJoY - 9vu3fPe7B/btT8bjGONms+nZ7sTExGAw4HgsKqLj2QDBW3/4/WSy2Gg1AADxeBxRDkI4MTGxtraG - KCmmUrqu19druqzatp2eSJ9zzrlPP/OMMRyXJ8prK8vTk9NvefMbi/mJgTEY2GY2lRo12kktRiEN - IbOIzwlC6AaaKGPMHTt+8uf33q8osTDAHJBTqsRTQF0/oydGnZ6mqDInmMPRscNHGASGNUYIKVqs - 1+tZYyubzY5HA9d1BVFMJBJRI9p3bVmUHMfxPM91XU2Rhr2+5dg84klAfT9AjEEIJVlxHEfheR5x - 5nik8OJVr78ym00ruuYGUJQlAKFpjX03SCZSpusRwkROLGRz68tLz+558pk9T+m6LHIcoECguJye - WFmpqaIW+vb5l1wYhuHi0lKz2XzlKy+NyCv1en3Y6Xm2l9DiF5x73vz8fNSsEnnBtu0INOd5Hifw - w+GwXK187Rtfd1xX0/SV9VU5rUqS3B0MJiZKrmkN271sKtXv9y3L1XU9l84yxkRebIybLzv3nL17 - nqlUKvX1mqYomEFGGIbYDVyAgUd8Qoiia7ZtR1tGxPkWMOd5HidyjDFAGWOUEIIhgpQhAKO3Mvzn - thcKASfyQRBwHMdCIkii7ToiL+48e6ckSY888ogiKebYvPmmm6+77rqe2WOMveKii/PFwj0/+zkC - UBXUG/70+gfuv3/b5i29XscyzWQyORwOMcZRoCkh5Iwztj/x1JN3/+xnMoOqIPi2HYvpPMJBEHiu - 2xv0NU2bmJhYX1+XBCmpx7HEUcaSemJ1aV0XNI3xpjGYn9l08403nzpx9L7779mweXpt3JIViYxN - HFIJiwIvByEgASumijNzczfc/KX9xw8TRkLHYRzVFdVlUeOfd/zg+9//wWSxlEkXLMuiAER8KVmW - nnzySde1AaSyLDNCGWOO40mSBCCmQajIimtY3WbLMk3Ds6zAe8t7r/HCAPNcCElAfQihwPGB4/CY - 0pAgTqIUv+qyK1556cWLp46aU93AH6fSuiRxg8FAVeM8JwU+HI6ts7dvufKKq7bNb33X265pNts0 - oOlEbrVRe/kll5TK5bvvuktLpBzb+elPf/6Wt7ylkM4MrdHUhskdO3b89Cd3uYbNA5zW4rWBcd6O - ncVcfm11dbJcWV1ekUTxrLntCCGIOBTHWiyRFGOvueJ1j/zyEW1CdSw3oMGF51340EMPJZPJq6++ - OpVIQsruuusuEoTnv+wcz/N2794tSRKFMHR9WRBCQAABkAJBkCilvhdSDgWeMxyOVVVzXd807DCk - o5GRzeZbrYbOc4IgRkUw4uB4PCwUCs1mc2QM4vF4rdZIpuPZXMowDC2WsR2HBKEg661uS9bVldba - N7/7LUEQvTBA3OkIMMgAemFmByFkgESMr4hZChkA4N8GKfm/RKW+OBkJggAAltBjsiynS4Xr/vST - t/zdd46fPFHITywuLm6c39QzRwwyCBmjoShwvu9LkgAh9HxPEKSQENexo1PZaDSybbtQKCwuLqqq - psia44WQsWxuolFrBq5HQ5pIJD75J59QJblaKX/+L7+wtrh25hk7Azs0+uPQ9ifK+c6wExIKAFUw - lghnjS2pmCaY2o6LFUkQBMJAECIEkSLGx71hVo9RK+ACwHM846kx6oUI8QLSZMU0jLiqeabNGHNc - J3JfZjJZQkir2dw0uzFyPrq2I0hiXNUYY4EfSogbd/vTpUp7vT47O4sos8cGhzF8YVry76SCAS9p - nBRdEowQj7Bn2abtAsYEnpc5KaXFAACOYcqyrCUTgIKQEE7gExyOXi0YQsIYxkjW1Fa7PRgNi+WS - nkwMrJGqqpZlQR4rmub7Pnwpd/6LiRi//TUqZSK/ZcQ2jY7CkIFivoAQ4jGHMZ6fn/+D97zn+uuv - v+C8884999zzzz//S1/60tLC4vT0tKZpmzfNV8uVTCp9zTVvf/DBB9/0pjd9/i+/sGP7WVdccQXE - 6M477zx48KDRH+dyOR7yyyeXvEIul848+stfDYdjx7EwxhOVUiqV+E8f/fB3vvfdSy96xU/vvYdi - KEIY+EQQuf/y1a8+v3ffbd+7NZ/LlMvlSy+99O++9W0Ssne9592TkxvGpvHOd77zP/7RHznGeEO5 - snDi+BWvulyTlTe94y07L915fMlcXTpFAmdxdWnzli3jscEDYev09sAiTzz57K233X7PPbt810nE - VOLbihbTNS2wQhFzciYrCYLrOr7vD4dDPwwYpKlUKtKoiqJkGAaCnKIIHM97nje2TJ7nIQlJLAYo - 4zEXcsj3fUoIxlgSxJiqKWPJJX4QUsCDeDI17A8cw5zdMFkplYrZ/HP790zNbah11z3s7zt6wPd9 - zoe+4b/zP7yXQ1LPotD3P/b+j/3B3r2eT1KC4no2DUkykfqTj370zh/f9cQTT+x42ZnvfPs1a/Wa - 4zjxWGwiX5iZmSlVK+1G86abblJkuVVvTFaqd9z+91OTG7bMzz/8q1+bhkEp9YOA5/mZuY1nnXXW - d779nT9493tvvPlmY2SkEynXsgNKbrrppsd+/cjFF748n85ee+21mqbdcsst11577WA4IIz2BoNy - uXzJJZd0u91MPPndW/7rYw//+u6f/XTTpk3nnHfecDgcDod3/PhHqqqODSOKuPu/35+I/dM9Gd2W - iJ1ubkdb9W8fEqLdPyAhQNDzPFEUQz+0LKuQy+/YsePEsWM0DPO54ic+8QlN0QrZQq1Wy+ayf/ap - P/vJT35ij6y5ublGrd5ptN945dWHDuw7evDIkUOHW61WPB4PfPeCCy/M53L/4a1v/dKXv5hKp4fd - XrFSHo7HEMJarXb33Xf/6pf/eNv3vw8h/PCHP7xty9Yf/ehHDz/88Bvf+EZRFnrDwerSan29MR70 - XdPWdB0ywGGh3Wxt3zq/7dyt7OSeh3c/RJkLAmL3nC0bNl9y3iUCERNyet+BPa5nqYri+o6o6J5p - U0gtz+dkkTHK87i0YUNC0SK4heU40asrErRJkoQwiBzsiqJgzCOMvZBQCACEoiwheDpCXMRq6Ac0 - 9LHA0TCEjCHKEKIiRhwBkqzYTgAI4AF/7ODRyy45P1+OtQcrX/vWTUwGk1PVk6sLr7zwlWfPnR3a - YGGx6Q2GAoSUUsOyJqeml5aWAxJe94nrfvHALyJsxNvecc1tP/x+XNMHvU5uovi5z33usV8/EgRB - s9Pcunlzv9sr5PLdZuv53Xtuu/UHN335xna9VVtfj/4QjPjXvO615+w83w+9Y4ePTRRK7WZncmrD - pz/7Gc/3Dx88tLKysml69m/+5m8YoZIoEkJefsGFuVzu0IGDAADXDyVRcDyP4zgAIQAMsQiJAyGE - DGLDMqMgdFlWNU1bW1sbDoebN2/eu29vsVjUdd00TUHiI0tdtVpdW19ptuobN86urKxgjDds2HDg - wIFqdVLX9eXl5Zeds3P//v2UUV7ix5YZTWFe2HtZCNgLBJbfMtz903PwbyGXQoBeJLtEPwQBDCGj - gFJKPRqywOuPet/9/q2L66sMI8t3kcgblqnFdM9zotFtGIaiyLuuG4SeKIr9QVfTtM1bNo1Go5WV - lUQiUalUdu/eXalMchwHMUIAhz45eWJBEsWQUc9xZFn+y0Nj+78AACAASURBVL/8SwCYpiiO57/i - 0ksMw+IQ8m1n+/yW6Y2TWlLRY1K/3RI5LHMSpdRxfSgInu8LioIEuTMYHT2xvLy8CgGCEEOA/dDl - eQ4AigDM5fMrtbqiquPxOKbrkWJdkmWO40LPj6kaDULiB1e99orBYDCRyycSCVEUbdv2HDcid2dS - 6Ug6Zm2whsMhCCmGCDHAQeSRfxe5jy+ul6yJIYTwCEuShAAURFEUJBJSHxIeYk4SA0p4DgMMIIIU - MI7nIaAR2ouFhFKm6XpEcClXS7IqdXrd0tSkrCoBCQkhiMPROPx/fEVa1CAIRFGMBPCyKK2urlJK - dV2/99573/CGN/zmiSf2Pv98v9t93/veNxwOfd+fKJfqzUahUHjXKy/92te+Njs7+/Qzex557NG3 - XXMNQPCK11956623djqdD33oQyeOHZcE0RoNF08cn90w+fKXv/yd17wjCP2Z6VlNkZeXlz9/w/W7 - HviFrmmTlarjuQInCpI4GI10Lf76K64Y9geQsiAInjt46COf+tTf/pevX/vHf3zDDTdUZ6Zu+8EP - 9jy1e9euXZlUcjQYhq43XS1bo8H0RH66krr+z6997Ogjt99/uOf35s5PKELfOdL84ie/nMGVmFD6 - w2s/urxwStcE3x/qMi6XSjzQ0sn8vj37bctKx5Kapk2kkslkEvHIcmwKiCjLtutLkmSM7UZtvVIq - x+KapuuCIEAOi6IYODYAgIZEEIROr330+DHLttwwMAzDcSxAXJlHFg0hwt1uW9fj+Wyh2+0Qz3/1 - qy+67tMfddFgsX/k1rtvmboEri6vbS3OgR5/4blqMTGjp6a/9/27HDpECM3OzNu2ObaGosRd+/4/ - KhQzB448m8rGzjhju+M4iydPWZa1sLBw4uRJURQ/8pGP/OK++4aDgTEYYox1XS8VJ/74gx8cjUYP - 3nc/YqBaqVIIUqnU9jPO2Lx5c6fTScYTmVTKtm1VVkgQapr28Y98dNPGuX67E9djyXTq4osvjsYZ - mUwG85wfhh/4wAfu3fWLVqt1yze/femll+7Ytt12HYTQ3r179+/fX6vVZFWJXreng5N+TyvK/ArD - MB7TRVGIbteLLroIYhyy8HM3fO6GG27Yf2h/uVweW+aePbsvuujCJ598/OjhIxsmJ9fWVgxj9JrX - vW7z1q0f/tAH8sWc4ziSLL/6NZdfdukrTy6cmtu0iUKwcdMcgSDEIJ3JXP3mN70IchXiicsuu+zG - G28EAGRy2bPOOuvpZ3aPx8ODB/fH04lKtWpZriTIqiwzQDrdRq6oizEysz179776KWuxa/ZVThmN - upcVzytq6ZiUWvj1gpTVwmHPNMPpUsXtDmReKMoiAYTncTabOe/Mc1RehoAHAPSHw0wmE4vFbNsy - TZPnMeYgAIAEoSzLnheEgHmMmZadS6RiikIDv9/vAw4EjKbT6XQypSR0y7UApSQIOQQgEUQKw5CK - qowgFxcV4Lov27Flrb+kV2N2KhgCq97qY4gPtY7Mb5rZeea5mEqeB3goyvFEvsIoxJqefOc1b7/9 - e99LppOTlQk/DLdt23r/fb/46tdu/sIXvjB/5vabv/qViy96haZpxULBGhuiKAq8ML95c6VSqTdr - 73jXNctLSzd96cu+7weE5XK57WefMTe/ce++vaPRQJR4v++qqnzbbbdefvnl7XZ7y5YtW7bO3/D5 - v2jWG3fddVdtvUEYNSzTcmye50VFdl072tOiu4W+MESPuES2bWOIOI6LKNWlUqnX6+3bt2/Hjh2L - i4uCwIui6Lp+oTDhuvby8nIul6OUHj58uFqtOo7T6XTm5uZqtVo6ndk0v/Hpp5+qVqu9Xs/3XVWV - gyDwvCAqFF5cEP6rkk3/dQu92EX4pyIGIQgZx4m2bbuuDQCVJOmZZ57JZDK6rruum0qlwtCPNnxC - CMchQljEg9B0JdIqRGKgiBfn+c7K6lKpXJQkgTFGSMAYC0MfYeD7riRJKT1uGMaXb/pyqVxMphNX - XX31yDE0Dfb7/cDzb7v1+3FFef+H3tvDwdSGUqNXW3RGhGOmbc9OzWoxtdteRVgwxt5lr9h5R30Z - M4ww73HAZAEKqCKJ8Wz2h7f+/dVXv0lW1KmtU5//whd6vd43v/nNxx9/nDEmCkI8Fuu0u+lk8tWX - Xfbcs3svOO/8/fv3D3v9fCKNkjAKpc9ms7RIjx8/Xp7d+Pzzz0ddXvZCyizP86dptL+PT+V/8vXS - ihgIAIYo0l4hyrqDvuHbcSEWstD3XVmQGGAEAAoogsgjIaBM4BBjjFFGGYWY+2/kvXeYXEeZL1x1 - 6uTYcXp68kgaSaNsyZJlGZAjwTbB2LBgWIOxSbZhWS9sYkl38e6atHgXDCYuxoRLsrGNEQZHOQgF - S7JkSTPS5NDTOZwcqur+UbIW2GX5WPx9372X95lnHj3dPa2enupTb/3eX5icnmrY7RdfcP5FL7uo - 0FMcn56kHPQCn+N5QAmLVXpBfjHG2+J5PkkS5qxQLpdZ4nmpVNq2bdvjjz/earWuvvrqu+6807Ks - e+65Z2Bg4MiRI8uWLXv729++e/fuSqWSy+Vee9WViqkDDtabTdM0a7VaHMeNRkOWZbvTsnQ9n8kq - vLhudLQrn4uiSNfUialJCOjLL3zZvffegxM6smxk/+GDa1atSShZLvCe5+3fuy+w3Ze/9BWDg4Oj - mzeuXrvGyKS27Tj3Va+9wg+Cl77sZddee60gS4VCfsP6tSePHevOZXt7umrVpc2bRjudxfUbhtbE - ozS/ptkpF0Tz55PTtrNw1sr1EtDP27G9u1AYHh7C2F3en3/9VX/SbhORN5YWqpqs9Bd701aKg4Dj - OAIw5aAgC8Vi8dJLLxcE4cD+Qz97cFcune0udumGkSRJQglCiFNknuejINQ0jQDM87yqqprAFwqF - vmavoHBUhAmgkBeCOClkCzMTs7quX3jB+WHou167uDw9GcYXXrljqnNq80XDqoO+/+ldm0YKYiLo - WU2AWOGEwcH+6lJVVOThNav8wNm9e7ehqX19PalUZmlpcWmp2N/f/4Y3Xc383TVdz2azcRxfcMEF - E+Mni8XiimXLO2e3t23b9uTuJxBCfT29jUaj1qjvOGf7TTfeeOjQoVw6U6/WAKEsSS6bTo+dPKlp - 2szMzPVve9stt9ySMq03vvGNH/0fH9t41qaxk+Olcvnc83a0Wq2DBw+ef/753/nOdxBCQRCUSiVJ - knJdXTt27FhaWrr9i1+QJCnCSRzHL5QWgHFrFEWhlFYqlXw+f+TIkXPOOYfl1rJMnDiOl61YTjG5 - /PLLa83a6JpVqqqmc6l6o5HJZ9auXZ8x00tSSVTkUqWcTqcJhNVGnUNCKpspN2rdPT2Eg2EUcggR - Qr7whS9ce81bOu12NptNm1Y2m928efOLdr7kwx/+8FK5alnpQqF4+Ssu/7uPfLjpNAgB2I/TlpaQ - JAFU1tQg8Hke9wzl8suKYlYF9SSYanNa4sZtTMSYI929xWYnUHkJx4lk6IhHXhgwtw9IaSaTkaAQ - +AnHcZqmrVmzZmhoqFIpT0xMOE4nwZEkSVQgAIAkSThREhCXUdVVI6v6i0W/bR85cjgiUURiP3Cj - KNShDkgisgxjQgWeAxiJIod4iSTUabW3b9+UhH5vT+5Hex5bsXkkzvF9ywfURFJsLj+QF0QupRvH - 52YI4DDkQsDFQZhOp3c//vjGtWsGurvThr5QXrrkpRfadlvV9TVr1kxOTxuWYVlWOpflIQcACFxf - 1bQTJ8d7u4s9/X0nT4yJkkQ4KGkqF8cL5QVeRKqhIoGDPJqenVo2vOKJJ57YuHGj7/uWZY2NjX3g - Ax84ceLEZZddtmHTxs1bthIIeJ7fsm3rwYMHcRTyPJ8QDCAggFIIuDOTFybjpxxTF0qSZJrmU089 - tXbtWgjh0aNHN2zYcOjQwZ6eHlmWp6amenuLLKXSNE3LsoIgYMiNZaV7enpKpSVCyPCywWajzbyR - MMYsbAgAAgBHKXMXjCiFlGKOYxwU7g/7/mv1vDoaAwCYgMv3fZYKJIoiCybzfd80Tde1W61WJpMh - hMQxAeB0LGUY+RDC3t5eQRCYzZJhGCwdYvPmzUtLS6IoRmHCYjdUVaURieN4tjLz5+9734Fn9r3r - xi+94x3Xvfumd37hK//GQdhfKMSOF/uBg0MBk0//48e//JXP9Q90nTw5KectEuKFeN6K1Je94hXb - ztp+/XU3vPjcHSpHHc+BHAoBiQBVVbXjB7d++uMhJs1my3W9W2655dJLL+3u7n7Xu961b98+pmeM - 41gSBLvdgRTY7c7WzVt2P/KoJskH9+0nccJEfMPDw6969asP7t2fWTHie57A85BQAgiEkI35mJXX - H8NQiacQUAAo/M9bNoaB/yrojRCCAoAQJiTBlDTtjpgVcRxrolFu1xFCaT3lRyHHcSIvQgQJoIQS - DnGO6ywuzh88fGhscrxcqfz04Z/VW00o8G9/5zsUTQvjCAMKEQdeoDed9d2ML8ZMV3t6eur1umUY - pq6z3Mc9e/Zs3rz5qte/vrun5w1XX00Iuf766weGhianp3/+0EOZXC7G+IFdP7vjy1+anZnbes62 - 2YXZYl9xcnJy+YrhVrthGWan3YnjqK+3h+cAjaOMaczPzw4PDjQaDdtvh2GcsTIH9j0jyNLczHy9 - 08pks41GI2VZSUIeefShRqNRczt3333P5i1n9+T6jhw5un//gWXLhl+WvuSx3Y/OLc5NTY7nspkj - x589NTm+bvWqhYU5TTPueui7rRRX6ziZbHFmttbogGXDq//1ts9d8/obhwb6Pc9dXJhLkoAnIaGc - IEmSpGBKrExaMwxFUQjBQRAkOBJF0TANURQNy7Rt2/d9FjxkGIaqaVEUJZQwhi/GWOB5Fpbmum6Y - xFDgm81mtVKfny8hWWiGbTNt2oE3MzORN/O5jPHM/r3r110t8Mozzx3LrRp87ImnzVW9U7PTXZ7a - 12udPDSW5XsyyzKKIPrYc1230agklMzU5iPfNyVpy1lnQyA8c+hZmtADBw6Wa9WvjKw4deoULwhX - ve51jz322MTExPj4OA+5ZrM5Pj4+MTHBQa67uzuTStu2nSTJihUrwPOStGw263meazvnn3/+keeO - qqqatqy+vr5qtcrxvCBJE1OT2XzuLz7wfozxe97zHgjhDTfc8I53vyufz586dWrjmnVr166dPjUB - IHjqqaea7bYsy9dff72qqmEY8gLPtAAvyLpVFa3T6SiyxFzvbNseXj5crpYVTUln0x2nE8cxhZQT - 0NjJ8Ve++pVf/cpXnjt29LJXXfbF2z/fbrfzhfzi0oJhqLbnUEh1U+dFvt1u57pyBFBeliKCCQeO - nRxLpdMY4wgnIuIXFxcJxs1m02l3vva1r/3L5z/3/iTuKhT+8m/+ptBV5Hn+b//q/ZHrN5yGKIqJ - n4gCBxEKKfaiRODkpBkX5a4axJVSS8WKwGmVUguJMjJBPl/Y98AjB548sLxv0AnDGAEn9HRVQ5gu - zJX8jotjYoe250bMu4jneaYwj+PY9/0w8gkhHDitjNUUNUGcJCqFVCal6tjxfcf1k4AK0LZt27aB - xLXsliQJHKCAUAg5yolhhCnmNF5cxYGJEyfil5zleI1ztm25/ysPrD1n+1y75C+5m/tGOQmcnBqz - y8RSTQC4ut2eLZeGBwcXTk0S1xsudlcWS9OTE14SvfNd76zVGq+74qpHH39cUOWe3t6Dhw+dOnXK - dd1lg0NBFIii0NXddeTY0aVaJcCRE3oJJJqqkRCIUHZCj0coTCIAyJo1o67rpdLm/MJssZBHkHbl - MiOrVhEAFE3L5fObN5/9yGOPWob5hqvfePDwM5QDCSEAcQD8O15BKCCEQqZHIcTQ9TgObdtuNtsr - Vqw8ceLEmjVrYhIfP3581arVpVIJcCCdTjeb7Ww2y/KVent75+bmHMcZHh4+ceJEsVgsFLqWlpb6 - +vo0XaEUa7oSBIGmKxAgyFEO8hwC7DsECEBCCQSQAMr9Qd+fnyL9Ox4DMH1++xFFkRkWRFE0ONgf - x3EURT09PYqiJEnWdV1NUxitlbHCZVmO4oDneRZ+l06nEUKl0qKiKGvWjE5OTqiqSggOI99xOwhB - UeQpIJ1Op7evODF16q3XvEWS0MWX7Pzkpz+TUtWBQpH60VB3L42TmJAta9cJmFx+6cXPVo9/dve/ - nbvjglapxiuSqZqF4bSsoxft2KKAWAij/lSKcIIPiKJrRjoVx/HH/vEfbnzHDWefc16tWs7m8udf - dGG9Xs8VulKpFCEEUJoyzMiIOq02jZKhvn6vY/cVe17zqle/653vFABnSgoGlMP0op3nP/HoY9lU - GiaEzTcoB5hDBHheSfpH0cT8N36GdQaKph0fO/Gq17yaUrrzxS9Zu3ptsVisV2v1ej1tWSxnTpUV - SRBZWKAoirl8ptlpL1u+fNOWzYIshVGUQIopCeMoJhjxPMdxBCcvSFo3S11h/06lUkmSzM/PM5Q1 - DMNvf/e7e/bs0TTtZz/72Stf+cqrrrpKFMUbbrihUCi88pWv/NKXvsTs5Kv12tnbtpopa+vWrVdc - cUWlUrntttuWFkv3339/KpVqN5scpbzA3X//vS8+5xzH6aSzKT9wAQfr9bqsKGEYPvvskY7tWJK4 - WKqohpokOJ/vuujCC87ddk6xu2tk5cp/+PSn77rzmxjjtatHgyDoNFuXv/c9hULh5w//fKG0mM9l - pmdneroLki4TBMcnpjW5MHOyOXj5OVxc8xtuj9lTsE41qmRkdHPvipX3fPgfrnjjmyudpmWYQDAl - Nd1yO5h4+UJXNp+LwqhNOoLAE0AJpXEc8b6fyWSYrLpQKGzevDljWLzA1ev1ZrMpKjLP82lD13W9 - Xq3FcXx60fA8fT4YC0LBc0NZVqvVeiqfSuJwqTznCGpWT9u28+1vff+Ka1+z58DTmdSI43QGe88G - c361dEiG3TlrePJkaW66JCGl0agJIrLbHaIgSZOuf+v1L97xknQm/6N77unt6zn//PNnZ2c934/i - mBFQfvGLX/hBIPB8pivXrNUVTcUYNzvtMI5s16kslXVdbzQaURQJSJifn3/ooYf+5E/+hOO4o0eP - Ih4hhAgAc3NzN733vV/+8pcFQbj66qvf97731Wq1N7/5zfVm81Of+fRtt91m2zYhRNd10zSXLVv2 - ruvf/vOHfvHQQw+dtWWLYRj5fJ7ZGRuqwqQEL8CqBQAAIEnSmcQTnuc3bdr0qle9anFx8aUvfenD - Dz98yz/9Y6PR+Ku/+qvbb7/99jtuP3TgkGO3oyiiHM1mM6Xy0t0//NF1110XBWGr08lkMuV6TZWV - cr12389+8rnbP79i5YrpuVkrldItEwAwNjb2juuu37JlSy6bHR8fdzv29u3bN23ZPDg09OnbPvu3 - H/xgOlVIp1Jeq9put2231T8wgFFiWGoIItsPvv2d7+O77Bi2aRdwhvlqZC8rDC08M+nuaZrYqDbC - XKY3p6VGB5aBMK7UG2p/l6qnkhhLPJ/PdtEYCghRjtM0TVEUt9E4fPjw7OysIPAQQsMwNKpQSkM/ - YI7Dnue1OrbjOG61lsukU7oGAZBFiddEXpJkVYkxjuKYAAwh5AjBELrYVw3Lt31IQdPpZEzxA+9/ - //CGvslwypbdh376qJq3cCdWbXHx8VPlg4sZ3Hfxxa/xsW8YhpU2nz16+Lz1m9ctX/FnN7yrWq90 - D/d95J9uOTU5gTH98X33aLq6ccuWt113HaF4w4YNn/rEJxfLS5qsjE+cWr9+vaprH/0fH3vLn15T - b7cUTRVU2VJFJdEnZqa9B+9/8MEH161bd+TIkWw2qynKx/7+76Mouvbaa7/61a9KkvSWt7zFtu1/ - u/Mbbdv5yU9/CimFAo8BVSTZcRxFVE57QP86ixNCTlGlKIoQ4sIw9DzP9/01a9YcOnRoxaqVpmnO - zs5mMpmO08lms5OTk5VKpVgsMDuiVCrFciKz2Wy9Xtd1vbe398SJEytWrGg0Gq7rQMi5rss8CQEA - Z1LATkt5XyASBiEEQgAhByGgFPyqS6QkKmxo1Wq1+vr6KpWKKIqqqk9NTUmSpOt6uVxiOCVzNIii - iBc4VVUFQWAWCdVqlcn4McaSJDH//iAIZVmWRalarSqikslkPM978MEHZ6dnisXCvn1PVqrVbLan - vFCCCUAAIsKJIicLYrNVl1X5yX1PhyK2BvLpkS4Fo81da4yCObs0CWhsaaoMgMaLAQZOGOW68xW7 - BRHHEW7ruds/8U+f1BX15ptvvvkDfzEwMLB3716mE7RMs1QqDfQNCIiP4zibzVJKNUVVVTWKIgCR - T05TnvPpHINtzqTBCJKUJAnl/ih6lzP1ezQxrB/2fd/Q9CiJO45taDoFwHXd/c8euvu+H7uum8tk - s+lMHISe50miCACI/MDQdObF4vqeZVmSqgRhiEQhiMIgCkVZDoJANfQwDuOECIj/j5Ko/0Z5nieK - IjsiN5tNnucZjsoGin/7138dx3G1Xg/DkBlYub735a9+5cYbb/zu9/5njJNqvcZx3JYtWz7wgQ8g - hG655RYWNXDrrbeOj49TTJr1Wj6b0xRV1rXLXv3KH/7knmvfcX06bbm+ByC68sorf/rgrosuvvi5 - E8dfcsGFjz2xu9jXLyjis4cPDw4PPP7Uk/sPHBAFdOTIkeHh5SdOnBgaHL75PX9GAZEk6ctfvOO5 - E8c1TekqdlNKs93d49NTkmEs1Ouv+ZM/veOrPzxr5St++fBJooiFTHFpfOrKl9z4+EMne3t7P/n1 - r55z+SXmcDdKW3UnHH9s77ETN3IAqrKUT3UtX77cyuiu7UxNnJqZmUmSyEynuru7OB6dmpxCCIVe - DDngeR4vcK1Wa3FxMSY4juOBnmJ/fz+7eDEdLAQUn/4bcTGGccLJglCwCpVGOQicvq4ePoGCIJy1 - 4Wwr2/Xz+/bQnDjdCdS+bDPwirDvkp3vKJWU9mKzEkY7dlwiABlCWC4vapaSQMJz/OOPP/GTH+9y - vAhTcuDgMwcPHWJmLUwz/9gTuxNKVEPPWKnZ2VlAaYyTQrH76V/uMXXjRTtf0ttdFATh2eeO9g0O - jE+cnJicXL5y5On9e7t6uqvVKkTIdl0rnSIJ/uSnP9Xb21tvNg4cPFir1ZIo+u53v/umN71p7969 - CwsL2WyW6VF37dq1cuXKBx544JHHHt22bVuxt1fTtFtvvZVdCh3HYQ5sL8CqBSA83XnDKIoEWe50 - OmNjYzfffLOqyZIkuYH70Y9+WBCEerNhWdbM7IQma67vjJ08EUR+6EfVRvXFO19UqZUX5uevvf6t - OKGqrvEcKpWX4kx8zVuvmVmYPzZ2AsniQmmRUrpx48aHH344DMNWs9lptjzPu+WWW3KFrv0HDiCB - /+KXvnzu9p0P3P+TfErRNM3IavOLcwISJUV56PFH+gYGe7oKPCCSQgI1qaiuTUOFiEbxZageqUA1 - jHyt1vzO177dWFwyND2btmquHfIAQZ5g3vdCEidxHDNVl+d5S0tLs7OzlNK+vt6enh5JEkSJZ5u0 - JEkAcIBygefNTE0tTc8asrJxwzoIKKU4DMMkgBzHhTFGgsghxHOQ0SmJgBKO8LqYEND0bTO/+rzC - hQMjBaPSNZoBHRN0cIDlpItP9ehWJuwZNlfzorRr166lpYVOu7Fi+eCTTz5KAu9ll11mWpaDI04Q - 7Y6vqNK//uu/djqdXzz8i6d+uafVaDqOo8gS22nOPvvsw0cPv+kNb5Qk6djYcwihl1/2clVVJUmo - NVu6qUVJuGHjusXFxd6+IsaYEPz3H/uYbdtr169rNhs//8WD9973Y8O0kiS55757AISA0jvv+gbk - ONfzJFn2g4Dl48BfL7aZQQjjGKuqLgjC8ePHkyTZtGnTwWcPj4yMsEAlwzCOHDnCgg8XF5cymYws - q57n9fb2Hz58eGRkeT6fHRsbsyxr48b1MzMzvb29GDOKxekUsDPmjWdM1V6oXZNSCiE9M2CiZ5KP - KAUAsktQb19R0zTT0iVJogSmUqYgCIqiZDIpCCEmMfPkTZJElmXHcdiTlEqLGOOenh6M8cLCwtDQ - UKPRdF2PEGKaJk6oLKsUE9f3BCR+8EMfOXTgmaNHDqYNLWWmpyYmi9neVqvVbrdFUey4zXt37br+ - hrdce9Ob3vj+t+6qPed7SbHQC9zg0PHjo8XR7u7+73z/Bz1vG0oiXC3VCce3Q88Ow2Zgy6qy7exz - 5ubmtp6zbey5o+Vy+f4f33vJJZc8+tDDnufZth2FYRzHM3OznuO27M7xo8+dc845vCi0Ou1cPo8o - 8DzP9zxRU9wkCHESJjHmQBhFBAJZlh3PhTxib1RCyX+uOv7/r14QhOI36vdGYkReYNdrhFCUxL7v - 8zzvBT5F3MrVqwkhs3NzmqLKkkQ5DmAiqQrmQBBFvCSovG5m0s1ms95q5gtdCcGCJCUEs7aGF3lI - yAvFRGJ5SczMg/nPtlotz/OY7mN6elqW5SRJdF1fWlrCGDPm12233ZbP53meLxQKbuCPnTpJEyyK - Inuwoij79++nlGazWUXXAAdrzer7/uJmz+6sW7s2DP1ms8nMRTgoEkI4JJYq5YHhFV4UOr6HPL5v - eJByYH5hMZvL1MtLHI/qlWpvd7G0MG8YhixrbcdeKpWy2WwQBJDj67VaoZBfvmLVu9/zZyLidd2s - dVzRMAMBQcTvqTd7crnpzriEIAVPV1o1KWWhXT91fU9TzVWj3U6jI0lco9FYuXx1GIZVL3Q7NuO0 - I1HgOI5FjbBkV0EAmWy6slCK7GBxcbFcLouKzBB+VVU9x2WjJUIIAZRAwBoaCoCZNqvtJSHieZ5f - NristrjExVBOy295y9ty+fx8vcLnDBdF7bilWhZqx4aPHozVxIuAqrQj/6P/9AleQbIiiqJg221J - wqdOjZOYYiLE+PQfKJVKLSyVDMNQNPWX+/ZGUaSqhRQ3igAAIABJREFU6tzigm6Ziih9/0c/NDV9 - /zMHSIINWXvCfZIRLMYnJ35wz92KpkZRhAR+amYmk8n4YZDKpNuOnSRJKpvpdDrM3Y4JBJrN5r59 - +2bmZhVFwYDatm1Z1t69e48dO6ZJsiiKY2NjJycm6vU6M9QhhEiSdEYB94cX86piLMVOp5PNZhcX - F5k8Z3x8fMXKlfPz84IkapoWhn6cJKLIp9Np33eDIOAA/OY3v9GoNjZt2jQ5MREEQTbXValUAAC6 - rruuiwGNCM7kskEYpjOZwPcXSotpK/Wzn/2Mg5CHHMu/nJ6bTQDt6upaWCw9/PAv+vp6yovTvIR4 - AAVRtSzL8by/++CHYz+SKSfLPBBBI24FOnATXyTIQroFZOySIMICJ0ECs4VCu9EwrBTn+LqoxDGW - RN5KGSyQGdLTRqvMT9JxHJ7nM5kMpRiTmPlIMc8YCgBAkHIgxknHdRKCFUWBNIaS5IcRAgJHIxHJ - EFBAACUU0wRQHCcBRALmhBMzp5ZKczrHlStzRsF0UFgmTixQ00hhJ5RdnIaWTp+emV4srugHPDU1 - sVqa2bx148TUpGWkq21bTacTEguCHAaRF3RM0+REqdXuWJZVKBQC36OUUsQfO36c4Phfb/+8Ksm+ - 7wMGVBBMIVB1TTdNHvKNRsN1HI7jDMOYnZ3t7xtMpVK7d+8eHR2tt5ospMz2XEppNpcrlUrpdJql - GTM/zDNE2l/rYJ7P52KQs+v4lmWFYTg1NTMyMsKMAAAAnuevX79+fHzcMAxmGpnL5RBC9Xp93bp1 - CwtzEEIWGMecf6emptg1XxAEZqIGfn3u88LVb7KDIYQQIia8B8/b1imK0ul0mC9l4EepVCqOY/Zb - uK7LIcDwGOZ3xYLGMMamabB3DyGUy+UnJ6fYUxEMwiAmGOu6HnohISSdTn/ve9/7zl3fOXR4f8rS - r37jm0IMEgCtfNaLYl6WJKi0Qv8dN/85EZR/+8b3oMv5i8HhmcMwSjKJ/NHHbmnONfsHlkNJbthu - ytLDONFNK+ahksQ9xZ5zt5793JHD61avevqxR4rF4sjIyK6f/vTgwYMM6mY2rRRCWVXyha5HHnv0 - ts9/bsXwsoXyEpJFr21nC10Fns93F547cXypXo0IFlXFTyIKgShLsdNBBHIcBxBHkuSPQab0a00M - /S0L8jeYMRhjJPCU0oQSJAqyoji+Z6ZTbhQszs3vfPFL3vSmN21cv/4fPn7LwQPPfPJTn3zfe9+7 - fcf2Urls2/YH/uavRkdHb//iF+69916AOIJj1dB934fc6RneC8WJYZ8x5nHXbrcLhYLd7gAAJEly - XVdRFEEQVF2fnJzcsGHD8ePHZVXBGFuWxUYD7MVEfpAyrTAMA89nebkIIUlVvDCAHNd2na58tlGt - 9Q0PApGfODXT39sX4sT3fU0TSRwHcdwz0FdrNqxsRoIU8ihKQrvT7srlFytlWeABoZSjSRKl01aS - EABAHMeZdLbl2mEY8YIoCgohHMFctVwTOGSmyEK1bqQjTdMWFxcNwzhZLkOKESBpU40cr1Nr8YIo - AwRh5IUdURE8xxYEIQxDRVGmTk5WSkuh6wqCYHu2qqqGYTC2QRAECPFBEPA8Pz2zyMzv2bWAEcTY - tsqEr7bnIlGAEEIEOAH72EECMgzDde3ATRTRSEjM8yJNMMRER2LYDnIpXQJQ9MV6o0N41RcRh1Qk - KZ12q5gt1JoVReJJjE1VIYR07IamWmHkKIoWJqGoyEvVSq4rjzG2Hcc0zUajQSjN5nNzM7PFrkK1 - Vpudnc3lcq16w9RNp2NLilxrNyVF3rFjx8HDhzHGS5WyqCluFARRqBg6gJByEBPCS2KtVtNVLY5j - RZKiKPI8T1VVln/JZCBsS8C8wMJNUpkMSyVkHXeEE03TPM+LokjXNdbqsWsHpTTBGCFkGgYbTrET - 0q+IL36z2H/HkMtUKsVOfoqinEl/tCyr49iSJDWbDuP/2rZdKBQIIYBQz/N27tw5OzurqmoQBNVq - lT0miiJBEJIoNCyzXKmwIIUEY1mS6vV6X7FnYX5e1g2WkMpCx5rNpqoqSeQ1W4li6dVmNSWlRNnw - IwpimhIVIPE4iLwwEDQtokIQxikjywdRbHuhyPOcYOlmEEQhpA7HVRKfJprKiyDGCFOKvSB2BIXz - I9+QdZwAlsAXhqGqqiw7qdFo5PIZ9nkMggBCFCYx4UE7dGSO1wSJU6S26+R7uqvNRtdAsdOyzUwq - dGxFlCAFJMEi4uLAMSQx4VFEsEdCHgiAk/TMIImoQLEeypwsEpfISKdxyKnpxXJbS1u6qc0uTgsS - zGfNSnVBT2uE4xExgojykhw47Wwm1U7iJIkg5ATEM5O6dMoqlUpD/QOEEEq4KIpIfNrEz7ZtTVMo - oLKqJgRPTk+vXr2avduu6w4MDAR+6Hne0NBQuVxGonAmiKC7u7tSrbJ1yDbjM00zA0LYbs3IIoQQ - QAHieIIJYxclMaE0RAi1221VVSmlhCQQwlar3d8/UKlU2BOydfX86zRarRYjAHieZ1lWf38/W8+s - 13xBrs//VT3Pj/n1+o+3/Np2NTDY92v3ne6uGE2InD59EaIoahAEdqdDCct9AwBwSUIAAY7tqZKq - aZphWNVq9XWve32E41J5PgIQSZKUSdUCj0tpf/3hv9MsZf052zRTcsIWFek5gynSRF1GoeXVU6LU - PzDQENo9uYGvfeuHRlev48dIUpw4TKJEEoXq/OIXbvsXAXK6pPCEunbnE7femjYtJAqSKAJKwyiK - kyROsK5qk7Mz6zZtBIQQgdv1yC+WrV6ZSaUJIbOzs/m+nh/95L6zztl69/33aoYeUyJpatuxEc+z - w1WSnL6SvJB/mv8t6zeRmN/ZQXA8SpIEYCxJEhKFJEniJImTxHHdQlfX6tWrr3/H2//5058hhMzP - znblcpvP3vLinTtXrlxp+17fQP/e/fv++bbP/uXf/PW3vvud1aOj03OzjuNQCARBiJNEFIQXSmId - xzHTvkIIf/X4wsRKjMDfqVY3bdp07NgxVVUlSZIkaWpqqr+/P5fLjY2NKYqCuViV5SSKDE1TNG12 - dlY3jTgIBVmSDR1jPL9QUjVZs1Izc7O8KK1cs/qRhx72glAzoB+FgiD5YSgpYpSEbuDHOJJUpX9w - cG5mOp/PQ5zIotRpNHkOMSGV1w4ymUyz3UKikM3kKaUVu2qqWs22TVXjAPI7Xnehp1qvgShJa1rH - 68iaqKoaiKJOtVHMZtvNtqWkQg62XB+TGHAChFQQBBwntVqt3Wj6rpdEkSAIhqpZlpVJpZMkcRxP - 13VF1JbKpcpCqVarEUoFQXBdt1AoMEZREASKosQspkQUKIRJkvi+68ce4gXAUc/zPCfEIRUhjziR - EMrzfLvVhAAoiI+atgSBrEsDuQLBIMY0whiEHq9IgOMLhWISua7XbLXr3d3dclqxbUeSVULiJIk5 - DvYPDiwtLXEIMR9SSqmqqlPT02tWrW61WnEUWalUtVpVRIkQYqYsx3N1XU9lMvc/8AAvCsuWLfPi - sFKtdnd3S1G4UFpMpVKWZdTKFVkQh4aG4jBKpVKQ0lartVSpdPcU4zjmJZGtpWKxWK/XwzBsddqq - qjIzvSiKOo7NLOHb7bYsy2wsDSEk+PQgX5blxPd831clOZvNVqtVAAB7zG9bt6x9OSO+EAShUCgs - Li4ihAqFguN5CwsLLKBH103HboeQtyxraamSTlvNeiuVkubn5w8cOLDzJS9RVXV+bjFJElmUQhLx - HAIAyKIkSxLbrrLZrGPbPM/Pzc0Zum7btq7rzIW517JCkiwsLHR35VudtmoZppFyHV/T9DCOkjBq - 2yGPqa4oipGpxb6iZlAUCD6gTpiRUkkMRV7x2r6qGX7kiboqm3pCCU8ojRNeQAghiEBEsef7iHCU - IEqp7/ue5xmGoSiKpmme5zADHp5jCnYO8IgLXAIBL4kQ8Y7jQAgrlYobh6jeVCUVYyoLchzGIi9I - vBTHjsrzMY6CMJbMlJFOeU5Ik8Rv2EOFgbnpuUJ3D88LQRJGcaybXb7naGYaQlwul+MgEHmBRAEA - xAldx7EVNYN4MQxwLtc1cerY8mX9ntMRIcdshSVJqlaruq5PTU0tX7asVFpgUYVLpRIQhEKhQBLc - aNW7u3uiKKqp9TAM2TJut1qe58mSqus6C3P2o5CdtUTEe56naRoHISaENSvMMOJ3j2+eP5KyxebY - HpuwaJomSGKn06nX62vWrHnmmQOFQoFRyFm/CwBYu3btxMTJdru9efPm8fFxFqPLUO3/L8gW/60m - 5jeKvU427zrT8AEAVUWPY8xIPBzHnwEqcExM0wSYiKK4bHi55/qWZf3NBz9o5dLn7NhR6M2WqrV2 - 4EWAPPjIQ4altsO2aklJFBIamaa5UFoUTRMiQHGgyVrgJiB5RuFNP8BREIkKF8dYUgVZRIEb6Zoa - up5jtzOZTLvj9BV7oihCHLI9FyEkCIKu60mCFxYWvvmtu5jMKpVKibxg2zbPccwNYXZpkU0Pj544 - vnb9OjNlUQiYVzgbNf7x0GJ48l+vhf9QZ2hEBALPtiGEoih25fPtdrter0eqTymZmZsReH5geJCH - 3Le//e3r3vG2ffv2CRIf4yiXy1QqS4uL82vXrj1+4oSsqYIgBFEIAFAVxXEckRd+r7HZbyPQMBvm - JElYtI3rupqiMp+AbDaLKWWSQpb5ns1mW60Wx3FrVo/Gcbw4v2Boer1eHxgYaFZroij6rlcqlYaW - LcOUNBoNkdBSaWlwcKBCsCzLi0ulfKGwZcuWz372s6tGRlLp/LPPPjs0NKQoSohxx3VETgaAiBLP - 8xxLCanX64gSRVEwxK7vZTI5HCcUEcd1Y0J4IFcqlUwq1dNVECgnqpYuS3azE4WBYlBRQF5g62ld - lHkowlq7oiQQ2K4TUAnyXtjiTF2BiCCKECRQUAQ5CoLSwmK72cJxzDCVbDbd3d2dSqUYpUPTNJrA - TqfDQiEEUeR5XjONoaGhbDbLZI0silYQhHQ2GxHMyJhIALwIIZIRJ8gZlRLCYUjCeGFxMW0YYeCZ - psnzXKvT9sM4wJGgCF4UhxhoagoSTlH1er1BklDVkCyrRVkSeOR4rmWZnbbbcVwzlWGa9iRJOEoL - hcLMzAyLrchms51OByHU8X1d03AUS4bpuZ6qqgCATqejaNrVV19dKi+NjY15nqcoyvz8vKTI+Xy+ - 3moaKStJEsDxoR8EnqeqaqfT6e/vJ/PzqVRKluW2Y2OMbdsOHc+yrMBxc7mc7/tsp1EUxYAgiiJR - EhluJ0lSHEdsz2BW64ztIQiCKIosru93eoFLsowxjqKQocpxHM/NzTF7q7nZBUJId3cP6+RiHEGA - wiBOWUZ/b6pUWkgZKVMz01bmkotemkTx4lwp9INUJm23OxBxMQGWZZbmFzTTcGxb17RmoxFFUT6b - i3mB53lJVZj6DIlCuVIBiFu5YmRxcR4hhCgSBV7hJdt2RUUeHO4PK01TVn3PWSo3fEUwBSNqBJai - YZ+k5XSl0yIyAB5RTLnsNtv1Bs/zBMeKJPpeTCkFgEOcIAhCOp1WkIQTyMa1kiQFQVCr1er1OgNm - Wq0WpEAURUXROI6TeARxkoRBAMJ2sz4wMJAAmOrKBSThBL7e6mTzOQICTCgEMAEQcRTwEEIUxFGU - xDwUcExVSZeh3J3KEzuy67ZkKoHrwIgCBBRNITRyG01LNzJZfX5xIYFcvthPod/T29dpu7Vq1XNh - b29/uVzNpi0Ioes42Uy6XuukTYvjuL7VxZmZGVVSNUU/8Mv969atr9frpc5SsVDIpLIzkzP5fH79 - mvWO40iSMj8/v3nz5tnZ2TCM/DBkMyNDN5MkASROp9Oe5/lRKPFCQglLwGBRrBjjX0lZ4U5/Ufqr - E5nTbBICKaSe7yOEEA/DMEww7in2lSulgwcPbtiwYWJigj1ho9EoFouO4zz33HOFQkHTjCeffHp4 - eDibzTNk6AU0Q/otdebF/6FDEPZBO1PsRgi50mKZMOM8Quhpd3gOsPMGwaIghkly6eWXjZ0cdzzv - Ix/5yOo1o9f+6bV3fuvbW7evgBD29PWeOnG847ucJGKIyvXmcG8/6SRcW1R41cMhgbDpuBCIiALX - 7uSzBZ6X4iA0dT2J/bDjiAIHEgwASWWsmblZWVIRQqIsLSwsFPt6XddtNBq6rhMIM/mcIAjpXJYF - tiuKIsoSIQRxXN/AgKpr9//oR+e/4uVmNo1EoWV3WHMfRVGYxDzP8xxMkoT/vdxj/8+s35sTw1QY - YRJLECKERFF0XRdjnLFSABPTNNljPv7xj1NCPvvZz0qK+JOf/GT9hg1MIOcG/lK1snz58iAMZVlm - ODxDKcMw/H07mP+i2J7BPvAcx53R39u2zbjdrMth8kJCSLPZVBTFcRzf9zds2LBnz56+vr7F+XlF - kCgmgNBVIyunZqaRIKxaterAwWeKxaLveBkrLQpyu9VSJPmJx55Yu3ptrVoVBG/Huee2Wi3bccIk - TqdSbc8ReY5XpIQSVVMIIdl0RpXFUqlkGIau66IoTS0s9PX0O46j67qpme1mM4liTZSbjUZXOtuq - 1RVeTOXzM+VFKCPDlBMQdNwWHwFNEHKGYWopr+4UewZmSqVaq6WlDAS5JIo4iihHXNuBmGKMZVFC - iDMMI5vJ6IrqeZ7rumYqXavVKqVapVp2XRfxkFIahmH/0KCu6yxtwDJMpmlUVZXjuCRiKTehIEhJ - jL0gTGKiqhqOE01QZEWkidRd7JqZmuzYDQKIomlEBKIqxiQ2NcPuBJLARz7WNMPQ1XJp3jBMAONO - u9Fq+YRC148GB4bp4hJHQTabnZiYGF6+rN1us4QahNDC/Lxpmgtz86okG7rOUZDL5SRBBAQAQjkA - eV4IPO+xRx6hEIqyFHq+rKmmaTLNmiyIldJSId+lipJrOwxt1jRtbm5OkeVGrY4pkWTJcZyRkZHJ - sZNs86hWq8xqgtFodNMQBMELAwAAxlgUxSDwBUEAEDLfvDiOzXQqlUrhKJ6ZmTlDvWSsl/903dLn - naaZPLK7u5u1TYIgSJKkKArBpFJdsjJpHMWGaiRxXKs1eCT0dvfatmPqxtzc/KYNG6ampgb6+oIg - UFVVH15Wq9UKXd0z83Nnbzrr1PSUIAjNekM3DVmW6/U6QsjSDZ7nAz+QJEmQJUppJps9NTa+cnT1 - sWPHIEWEEInjeQhIFM5MTG5ds6FZrmYz+VyhG2sKgcDmlIyqey0ll84ogqHImjIgKYbug6TptyQV - RWHAKzLkEDv+JgnxvaBSqaRUE3FSHMfsiAkA4HnERnKMMsKB59UWmPCQk5EgQA4khGKCINey7YbT - 6V0xjAQ+ITGGhPAgiRNMMEXUwRHhiKBoQUwyVubsjZvjVqAQPrTtC3dum5mbDkliZq2I4DAMCQFh - lCAEVW39Y48+OD/nCJKSzWSr1QaO4dTJE7yo5rOpRq2qa1I+k42TUBFkNnYpdhUcxwl8v91qmZqe - z+ZkUbr88lcuzs9v37atXq3VarV8oavjOKZuCII4tjDW3V30fX92ds7zfBYbefz48WKxGAQByyYs - lUqyLKuyrKua7TqyIHICH3p+hBMmUPivz59nyCsEQ0op4+zrui4ryvj4+MpVKxBCzz333Nq1a0+c - OMHk1vPz8319faqqViqVTCZTLBZd1+10Oox//ducqf83rF9pXP4djOE4tq545hCNEEIIMTyGfX5l - UdY0jeU6OR172bJll7/05ff/5Kf9Pb2dejOXST176KCpqwLiqs1mj9HdV+hdmiuZgt7f3e/HRNbU - ToAlTRYFzbFDEQmB54tIFBSJI9hUlGbDQYLkujYBtNJo9g0NOm2HUb6KxaLv+8yBGgBA4gQIvNux - PegIggAwaTabHMfxHEI877puqVSy8nld19kelyQJIYSFjp2hK1H6W+KE/u+q000Mgf/u/MjqPzYT - 7JbktN8RwBhDQhVRCj0fAdhptwhO4ihqt1qXXHLJD37wg+3bt/M8Pzs7e/jZg2+7/tr77v8x5eDo - 6Oj5558/Oz9XWloYGBw4MX4qnU6zE2eSJKqqsizGP7w05nSSJAyO0zSNJFjTNEXTGq1WsVhk9/b1 - 9ZUWFnieT1sW86ZUZfnk2Fg+m/UcRxTFOIp0XWPEMV0x8oWu40eP5zJ5SDnfdzkAPvP5T40dPyHy - vOc4mqaVy2W24TmOY2WsVCYtK8rPH334wOFD5WoloURV1cD1nDBKdCtrpd3QjyLaatjLh1c4jqPJ - CkdBaWHeMvR8NtOo1TOWCUjytmvf8qpXXHrg4DOipUspuR3YDa9W7C34dieo2325Yqdqp9OF4zOz - 5U7ruz/8EeV5LsEwAIjjNVmRkCxwSJIRxYQSksSx67qe70c4IoS0Wp1Wq9Vq2hQQRVEAPK2edF13 - YWEhdJ04ji3DDILAdjvMd8ELgzAMMaaJnxCB0xQdqFCU+Hq9HhMgQaWvr+fCiy8u5LPprnQn7Khp - o2bXJUVuNdp5M+fVXEPNiJKeyRYoBz/3L5959sgBTEJeElVJNFQ9l+uampwTODGK4zUrVw309D7x - 5JPDw8OzC/Mbzjtvfn5eFqWluYUX7zhvZnr65j97XxxGPT09ru0AzGBkLkkSTuBN05QUudlu7dmz - 55vf+pYoS6tGRiampzgK8l2FbVu3ghjns9l77/nxBRdcIEnSU089FSXx3MKCoqk85GRZHhgYUHix - r68PUbDvwP4wDDmeX7lypWEYu598QhAEXhIZI48tY0VREnyaWaKqqqZpAADmysU2nv8aj/EDT5Ik - DsAoinRdHxsbGx4czqQyO3fuvPvuuyVB6nQ6b3vr277/wx+kzNSaNWsuuuiiYqHw4Q99tFQq9RX7 - 3/fe942NnXTaLV3RuvJ527YZycYf8KMoWrt2rajJw8PDe/btDVxPFqVytbJixYqhgcGUYd774x9n - U+nVq1d/5CMfqdfrb73ubZZpXnXF60b/dvRDH/zbJIpkUVxYWFAtY+36dXd+4859e/YcO3xkdm4G - SsjMWq1GXeYFGhEB8k7by2fyXblCRGgskGdPPAshjWLqRXFMgSgolELXCarl2viJkxATnECEEKaU - kbEQ4o4cOdJuNxEPBUEQecH3fWbDKghC0PHSua4g9mulcqNas6PAjoNMbxdExMwadtBJkgQhRCEk - HOEk2Q19mUKK+VWDyzePjEoJrSzN1pqeJ5WtUZ6TlDiOu41c4kSRg001u+3cc1+0Y+drr37tzNx0 - FEVOy4chueqK15aWlvYfPOh7jdHRZVdfffWtH/8HAOnytcvvuuuuMAy/ddddu3btUq1UKpU+OTZ+ - 1WuuBJgIgnDJBReNj49v3bSl1WqFSZzJZuutJs+LZ5111tZt2296zw1t28UUEsBtPGsLEqR2u/3a - yy8tFouqqn7xi19UFPkNb7pa4NCd37prYX6RRBEgNGWc7sUB5SAF7IsVhAgA+DzGAJlKmV2uLcty - XbfZaPMiGhkZOXF8bP2GtZSSo0ePjo6OLiws8DxvGEa93kin011dhaWlpXw+32g0NE1jSb0srPEF - uT7/YfW7G6lfRWJ+VQ3eXewC9PRBIiGYEEIpwZQIAp8kEZTkpaXSTTfdeOutt4ZBsGxw6Gu33/HI - g7vcOFIEHvt+1jItywCIQI5UpmdMVR0oFlptJ0aR67o4IoKK2s1WFNa7cj0IIc/xKcVRGEgiHwMO - QMKLSBNNP4k0TXfDqKvYffTws4VCQRAE3/c1TWPNIs8Rx3NZemgUhMVisVKpqJIMKQCEdhpNwzB2 - nveidqPlte04FxZyeYxxGAaSJLGrH2CIA/7j48T8zmKQ5mlsI4xwnHAAaooaeC7GuFarfe5zn7vu - uusoAB/60IfO2rzRcTtHjx79+te/Pjs7W2+23/CGN5y1ZfPtt9/OcVy9XmfzXcZNY1nBIvrvWNf8 - x2K9iygyHUeoKEqjVmfUk3Xr1l155ZXDw8NdXV0PPfTQN77+9aeffPqJp5548MEHH330UVVVGft9 - 5cqVAuJfevHFg/39T/9y79jY2LHjYy+75KXbt2/P5/NXXHGFYRirV40cP3Qkk04//sijmzZt+udP - fCqVSkuSJMsyQty6jeu2bNq498D+RqXcrFZoEgNCBMCZmdzbr7tuZmr2gQceQAn86YMPHjl02LHt - j33sY9vPO+/jf39LuVS6885v3HXXXQN9/UuVUtZMXfKyCwaGe69791tf98ar5o4snGxMTS1Odux6 - 2LJXZPu2rtk2O1G++s3X3fPATy54xWVBFFOMAcYkigzZ4BESOISTBFKOYkJxEvmB3Ya26wCOcjw/ - P79IKRUFBVLCIZ4CyiOk63qpVNI0LfJcAECr0UySBNOEgTRsa5QE0dQsP4nCOMQQJ4CjNIa8yAkc - BnipvMgJZLEzf2TqyGJncbo2iwFOWtGmoXUrzH5DSkt6pmdoxatfe2Wu0CWdkDDlIhJzHOh0nC99 - 8avv/bObK5XK5a+49NJLLx0fHx8dHb3rrrvu+eGPDh48uHPnzk9+4hO7d+9+6vHd3d3dY8dPPHfk - 6OZNm/bu3Rt7IQtQTJLEC4ONGzcint/3zIHrr7++v9jTbLfGjx03LAshlLVSketLgrBh3foffO/7 - O3fuvO/H99747hu+8c07Pc/DlPq+f+krL5+dnR0YGNi+ffvCzOyFF1/0mc985uo3v3l+fn50dLTW - qB85ciSja0w6weZHCKGY0jiOdV3P5/N+FC4tLTHjV4ZZshnTb2tiZFmOoghSwOjYq1evFpDw3ve+ - 9+jRoyzA+aabbtq6devdd989Pz//2tde9cB9D7Tb7TvuuOOq1145NztLYrJ29ejUxGSr0f7+d/8n - o1ngODnvxS8yFPVlF138ha/csXzVyumJyWJf7+z8/PKRFalU6v1/+YEffO/7ff39lXL53e9+9zXX - XDMxMVEoFK688spOp/PRj37Ubreb9Xq+K5t+IP5LAAAgAElEQVTvyvpBVFsqc4A7eXJ8YLB42at2 - Pvzkz/ef2N/ALQ5xCIlFs3vdqhXrRtYDIh0dn4QiiSimEeY4Pk4ARTyEiCYJBZgdFuMogkCQZZmH - kJnlI8QBADRNgxxl+J8oiggJAABBFPUgAAC4roujkBCiZ9OapmAce6EnKILvxaIicJCSOEYIxoRy - UIBYkAHXZ3VDL3rFyy+qtOcq4fyd998FuyQ39hMXr1SXrx9cvXXVtolji16rk1BSrbUJlJEgwiQZ - Ge6/5g2vP3zk0PHjhzq2PbJy6NAz+3RVLRQKx5577jWvec2y4eFrrrnm7h/80Oru3vfLvatXrtIV - 9We7dt1xxx1f/uIdx48+t2f3kzzPcxxPEbd8ZMXOnRdku7IH9u0XeCmblYeWDZ933nmrV6++7777 - eJ6/8MILr732WkWSAQDLh896+Oe/IIT8xfv+/Kab3pMvFOI47rQ7oijC02kD/4+KEdoghJ7noZiz - bXvNmjWHDx9evXo1QmhqaoqtT9M0Z2fnWq3W0NBQKpVio8xKpcJiqM8Iqv9fL2Z/99vv/p1PwMDO - M2yYM6+83e78SvwTxx4DIYw5AULYCGscxy1VG+9+97t9z4ME93d1mYZi13xVFpM4FCAQRLRh/fru - XFpCCJF4sVKORC7V1eV0PJ5Hmi75vh/4iaoY01MLhw4cAiARFQQogQKKaQx41G51RkbX7N79hGX9 - L/beO0yyqs4bP+nmW7dupa7u6tw9PRGGIY6AIAjoroKKrBlZ466v8q6KAQNiRl13FdOCCBhAQEBF - XRQkruQgMMPkzrFyvDmcc35/3JmR13Vdd999d1f3d/6o56mZqu6nTp8653s+309Ia5KceNgkQdbJ - TtLtdgUiykR4+TnnxHHc63STRrYqK6HrYYxd191yxBGzC/Mra6vbtm6tN5s0igFlAsIJqxccQmL+ - BwAx/w6JtSgyzg9Kl3UdY+w5Do0iTVGGS4OtVqvb7V566aWlUknV5MXFRc/qMca+/e1va5omyvKF - F74TEQEcurYO9g+0222AUcIAIISAfwsA9nvWcnJOJMh8HMeJOiNha+/YsWNlZWXDhg1v/su//M61 - 10IIL//q5TfffPOVV155w/XfX7duHaes2+6852/e/fa3vz2fzX7ta18bGRl97Wtf+/QzO6+66qrr - r7/+3Fe84pOf+MQnL/34/IG5N73ujemU8e4L//db3/RmTZBVUW00ap1O52c/++n1N1yXNdKNSlUm - RJPElK76QYA5O/+1rzvt5FN2as/e9oNbCUaL+2bu+OntURDKUDjxuO2fuvTSnbue/djHPvrI4w+t - Li8fceSmA/v2O6EjitLkxpHXXHD2vur+b/58ASvMBJoaaflYeeFLjpfi7MknnnHn3Q8UZCONJUoD - gYBU3tTElEiUwApD18ukzL5CQZJEAACRiKprHDJBEMrVOiEkDmi328EQmRkjZRiqqmJRMAyDR4Yg - CFEQIoQ6vfbK2moQBBFnSd3JQsrjGCJOJAQIFFUJINDttXVRTKW1M178glZUrwiLjz58f1j0AGDZ - PkNR7Be/YOu64kYHKbvnVmWBVKtlBInl2EARCEJf/MxnCcIiETDGgPFep6tI8q0/uLmQzUWef99d - d8/Nzh5/zLFPPva4OTrGYjo+PNJrtU8+8aRsKn39d68r5gvzS4uGYZxy0kknnHBCOmNOjI+LCC9M - z249etvKyopvOxDCchQvz84///nPv/MXd9AojoJw//79F1xwQeJc7Ifh0cceMzEx8cQTT4CIPvHE - E3ffcednP3fZ/Pz8GWec8ba3vW3fvn3HHHfsyspKQhiyLCtRbyU7iKZphmEk7UvP8zD4jZ3G75d4 - JCsWQ4QQUmR5eXllqDT4xS9+8bxzzwWMDQ0M3XLTLcccdUx5pbx58+Zmrf68553ked4jDz1aLA4g - AOv15ujwyJsuuGDfvn27ntkBIXQit5DPH3v0Ma9+1atcz9uyaXOr0x4fHZNVRd+QarRbJ5988p13 - 3pkY3p/90pceu+3Y733nu6Zpnn322WedddbA0ODmTesfuP++3bt3W67FGCho6ZSsSoBgSGWFalm2 - aXv+4e7ajLu37HZwIGzFG/7s2C0bJrPVRrT02IwnRlwXmE80LcVYLCMgQMg41HWl0J+bWDeekjRZ - 0l3XrTUayQwIAjEMo68vL8lCEAScMkEQAECe77uU1ns9D3Ajnx0bGpRlWTU0SrisCLKIGea6KqqK - xBiNfY4IoUCEokBiCcaMNr1auJRLy3Ih22wvLODpAKOm186IZlE2iqPHbNk0DHpsZc2SoDQyvn7H - 7n0Z08jIMgt7D91zT0S9lAxz+YFXvOzPP/Hxz37rqmve+Ibzi0P9lt3bsG4qZ2YM3TB0Y8PU+iRE - ffv27RKRznnpy844/cwvfuFvW62Wqqqior7mVa898aTn79q7i5DFtbWKpCqCWP7+9Td+4EMXq7qW - 0nTO4Bc//3eB719xxTdmDkx/7guff+KJJ+yeldL0XqeT0IEP01MOUx7+z1x0lOQQHa46KKPJzVPT - NID44uKi67rbtm3btWvX8PBQsjJzufz09PSWLVvCMFxdXR0YGBgZHuv1etmMkuzYCSXgD96e/28G - +u3y7HfUNAfDlX7Xe3+j/U5gmMNgTCqVOvyUPTe3kkEIYeD7MeX5QjYIAsezj9yyeffOp2VZ5pKs - Z3RRJACw733vu6P5/tNPOWHb+qktkxPcas91q9b0M5CCrG6IEI+MjBiS9ss7b3/1a87fs2uHTyNJ - UbzAjTkHCun5bqHYd+23rjnvZa+klNaq9fvuv/fOO+/8xje+kVhhiZjIgqiqmms7hVx+x9PPjA2P - 7Hhmh6oormU7PSuXy9m27XR6wxNjChGPPfmYu+652+71IAeiJALGk1scxjgIAgHh/1Z1zP+LZuRv - FzG//wMzCGgUJd1EHlNOWRRFoij29fXVKpUwjhIzRMMwHMdJp9MLc/OcHrQSCgIfYgFjgQhCHMd9 - fX3NZrPbqRYKhcSdAgOIMf63fszDQcG/NRJ1EjhE4kng/QTyyefziqJs27ZtZmbm5JNPfuyxx175 - yldOTEyEYVgc6O9avXQ6/a1rrv7gBz9omibl7OOf/MTIyOgNN9zAeNw/0Gdb7oYNUzfeeKMoksnx - 8bmZ6e3HP298ZFRTVEmS6vXmYHGwmM0fsX7z8vxCf99Aqdjvet7gQEmUpU7P1jRt59PPtGr19ZMb - hgcHzzzjrA0T6zatW3/66ad/7R++sW7d5P3335fJpMcmx4y03lc8OnDdI7dtyRczDremtoy37Ep+ - JFU6pjSQH4YSD1Ybu3/48MLa9JnHvbxeXjt6y5EKFqdGxikIFRWNDo+YWt7tevMzS/VyxTDTYxMT - ppHyfZ8jTkQhjINUKjU+6VNKZ2cWEIIYonVTE2YmE0XR2OQEhNCzepIkEYQlSZpfnHvqmacxxkSQ - crlcoVCAmHCMKIkjFHmxhxDSZYX78WixlM6mc0VTQPxE7ThvqJPfUmi166QaW0+XS4PaRClT9dA/ - PboKAcvlcmwsciNPNLR2u/XNb37zgjecPzDQ77pOf3+/KIr79u378Q9/dOGFF/blC2ecccZ55533 - yY9/YmxkNA4jFseyKA2VBk875dS8mfn5bT8jCMuCONg/kEkZr/uL197/0D8ByuI4LhaLtVpNEAQi - CpIkJXjSeeed91d/9VeTk5Ou7130/vfZrjM8PFxvNjDG55xzzte+9rVGo7Fl/cZWq5Ur5GVZzhUK - s4lPTEofGhpyfC8IgoQ5ZJomYzRZY5lMRpblxcVFLwxUVRUxaTQasnzQd+f3+MpADjDGlFLEQa1T - GxoasnvW2NjY8vJyOp2uVNcIIbOzs+s3rKtWq4mQYW5ubvv27Y1GzbW9sbGRXsdCCNEo7vV6LKaD - g4PdXjubyQAIu92ubduGYUiC6DiOomu6oj7966dOOPY4Qsj2E05o1uq33HrzV7/61S996UsnnHAC - YPzdF77LMIy/efeF77zw/lTW5DGP/UgyxIAHokjSGb1jV8wBWR1AW7dsm5TCNMgGe1qR5q62ZkVl - MEBhbrBPXl6TdEUWxF6nKwpQRBBIka7rqZSWz+cVLDGKKKWbNm0aGRnRNI3SeG1tzfOcntUxDEPA - JDFbU41UXpIyhdwRUxszKZ3H0fLKYqVeARhBCAuFQoRZz+5CzhVJRoIEAOSY0BgBKBiqospqf18G - IR5Qq+GujR0znNra79BQdeUTi0cfV9y6ujhHI9qXzzuhFzGumoagavV6TSN8dnrmuO1bGY9915ma - mvz2tVdr2Djn7LOf2vnUaaed9qIzzvz0pz+dzWYrlUqpf8C17OWlpXw+LwhYUSS7ZwWhN9BXtFwn - jiIaRlHor62sMMYGBwfcIGw0a7KkAhZ7jitg8tXLv7Jr166XvuQlW7dunZ+fn56evvXWWz/+yU8k - uxkhxAuC34OIHKZEHIIZEloMS26JYRiGcWSaZhBEC/NLk5OT3W4XIez7vus2k/THZDK73S7BYiJ1 - SbpISbPv37Q//3sH+u2z6Hd83uSkwL8zfekwGvFcV0AAfmMBDCEkCAIEIUAAABrHjFEvcAPPZ6lU - t9sdGh1aWlnUzXQ6nQ45nF9cJISEQaDKSr1V2TA+/nef+/SVX/37c8970dfv/AFFLGukqONrAJ9+ - 1vFjo5see+pJLaX6kR8zKiPR823D0CmniiD8w5VXdVrtZr1hmuY7/uqvrr766qWlpaRVpyhKQqhg - MQUAZM1M4HovO+ecA3v2ZlPpxlqFBrTX7Fq2HTjB+vUbl+cXtm07BnJgGmkIYRCEgkAO+zug/xGU - GEAQRwAixAHiAHLAAQCQIQD4cwt7jsAhHZCQbL6UIggA4FEcSqrctXuKpjIIQnqwTuece45fLA5w - DsKYAYCwIEURJUQEDBAkdNs9ggQjJSQWpQcLxv841thhVC2RVSOE+vuK7XY7+V/G2JVXXnnccce9 - +MUv/qcHHnji17/++Mc//tGPfnTTEZvn5uamNkxdcdWVEY0ajYaW0r/yta/Ozc1ddtllP7rtR0EU - fOe73/3Mpz41OzudSRuNdoOIhAMqCMRxbEKwokiuYw0NlCijxb6BRq1Zr7bsnre8UGlbXc3Q0un0 - wuxSFMTjIxPVavXWW2654fvfBxAur61CDJ945qlMX/6Rpx574KEHozh+6uGHxkeHPdvqdFoT/aN3 - 333nO9/1xm8+8C1hXFlkNSd2iwPafK8yvGH4ocd/ddaJr5zeu3fT1mPmlhYC5g32Z976ljfL2AAM - X/LhS4cHBo886ujAcb0otD1X0xWMMQtZLpc7fvt6xlhKf+rRxx4p5gu5XC750vu+jxBSFMVxnJSm - e56XNDuIQII4rlQq5WplpVYDAgxin2NquZZhplkQBa6HGO8fzDe7dakfW3E3M2rube9P6cqY3vfw - j39R7S5lRaMdqpJGMACOY+3fvz8CMVTEIPB1hOuV6uzMAdfxv/Wtb2VyWcuyXvv619Ua9QcffujK - f7jiycefWL9uauczO5r1OgCg1+tVVtcEIBBC3MD3PE+R5E6rrUgy4zFnbGhoyPY9PwqL+f5KrWoY - xtLy8ujo6Dkvf9ntv7hdS2l+6Jer5c//7edf//rXm1mTSMKZLz7rwQd+ZVs9jKDnu2Pjo/fce3e1 - UQOQd61urpA76phtz+7Zlc1myuVyGIeFYqHdbQPGs9lsOp0Ow7CxspIYCTLGQhomfD1wyLvody5a - yAFBOI5iSRR9z9M1tVGv5XK5cmXtmG1H6fpBc6BMNl0urxWL/RDxp3f++v77f/UXr/kLUREoZ07o - Fop5CqggEc6pmTE63ZYky0QQYkbbdg8i3LOdxeXlbDa7srhsmGbQcwhAmILlmYVtW48cHii1avWh - 0uDu3bsVRclnC2vllXq93u21kYStVs8Q9AYAIhQb3fY4K4qq8cyzj4yOrft1PB+KpGNZY6VSrdkw - 9Gy/SjiHc/une80udZrpdLreaGiGjAGngVer1WzbFgQhCiKMpCTjLJfLZbNZzlkSOH8wAS2KkxuI - KImu76ZTup5SR0aHus32/MJscjhRzmZnZ9VMutNrS5IEOMecQYBDBglWIJKqVmtsZNSKgq7TLVvl - qalJ5/GuiQdFLCpQXVktR0Wu68ZaULH9GAi8XF/puN1qcw0H4bFbNmT7+wMKJic3PfjYI2ef/YrY - i39044/uvP0fjztp+wVvOP8D73t/t93xXS+OY02S2u12Npt58skn3vTGN1JORVnwQ19RFFEifhAI - IiYEFQq5RqNWr5SNdMZQFdtyZFHo78uvrayuO/OMhfnZtK41O2EqbTyzc6cfhn4YKZoKELJtG2LE - GMMAQMg54hAyDiiHDMCDYlMIE70/PYz8YSxAiDmHGBNBQL4fcg7jOO51XYwECKEia3EcdztWgmEz - xhI3OXC4AuBIVVUO/mMsMP6VwdE/q1p+DxeHAwAPPR76p+eSWw8FCUEIAYuJQCCEDCQqa5T0BLAs - MsZKRonFlFKayWVUXcMCVARRU3U7jPNmHlA2OjSsKUq713neCceJMj7ndS95YvWZXy0+cPzLT7RQ - J+o5g9mJ1Ho535fZuHWLx1hpZByBkMeBqSBN05yQhTF865vefNWVVw2PDYZheN6r/+LOO+887bTT - TjjhhFtuvjWKopSmtVqtUv+A3bPsrv1nL/pzU0uX+ge/8LnPn3LSyZIgY0WAHGWz+Y+8/8O2bTuW - KyCBM4gQUhMPMwYwQoEXKJJM2X8OcvZfOX5HWQ3/tULidxZ3vzcrAD3n8d/wM/+Q8S/93uQMTqVS - tm0nGa379+/fsGFDoqm56qqrbrrppm3btiV2T+12+9xzz52amvrxbT+ilL7+jee/973vnV9aLJVK - tucedczRGzZvarRbKTP9+c9//s67fzmxblJRlMcffUwdV2VNveveu7cft31y4/rdO58dGRmt1+td - 16acPfPss1dfc62eSa+ulXOFgmqkVitrjIG+vr43veXNtUr1pOc//95f3vWpT326Y1vHHn/c5d/4 - 6t59+/7yLW8+88/OeurpJ+eXFjds3NRs1AHloqIGIEqls0/u2bFu7Ig9rFqfm1EKmqRnRkqTth2H - FCxXy1DgXuR4niOnZD2dlmRNJDIN+cTExMTYuBcGiqaqksQhCAMvDEMi4mSuEnwi8SYWRdFx3TiO - sSgQQhRJBIcIHwe3RsApP6ivoZTGlHMMCBFHRsYqtbLXc3VFpZRSyp95cqc5lmp2u1reLArD3Xoz - xGhkYGPbYo+V90dCRjVMB4Se50VxIEiCqIgIsqM3b3nRn/9ZcXDo53f8otPpvPyV57quu2f/Po6g - FwYf/dglmUzm5pt+MLcwn9J0URQpZ4sry4vV5SAMRUkSRVEUhE6nAwTMIew59iOPPzYwPBQzWm+3 - OIReEOQKhbm5uZNPPvnST34cErywvPTCs86cmFo3ODj4iU98ghBy6qmnXnzxxUlfwPO8I4444sEH - H/zkJz8pyfJtt932pre+pVQqXXzxxb7vU84YY4nPrK5quq7HcWxZVsLkSMDuP1zTwTmPokiWpOQt - pVIpDMNrrrnmwIEDPcd+/JFH/9eF72KMXnHFFZ/5zGfuuvfuSz/28Vf+xXnfve47TuCrmrKytnr9 - 3ddd9O737Hpmh6KpfhC4rttstZ7ZuSPk9MabbgppPDg8tH7jhmeeeWZi3brFxcXPffazkiRFXnDR - RRf97ec/t3379s994QuPPfbY/Pz8xz5+6Scu/cTs/OwHLv6wIMrNWrM/X+g0OgMDAy4I3DD6+pVX - u2E9OyK3lE5jIKIpokXi2uLqrvqOHH/UtUhfcTKtmhnN6nmdVq2qpzVJFjvtlq5quVzO7jkE44BF - EDBRFCGliXWyJImJvVNii0yjGGOMMcMAyliSkChCwek6nu05PQcBrGkKZ4BBRDnjEHGIOKAAIAgB - xiCiQeCHiqLYob93dvbd7/1QdlhoaQ1YkGcfXXZYlCXZuZWFxZ/OLz4yL7PMX7/rvQRiTZectVY2 - baqGNjQylDazsmyYmb58vtTp9HqWc+2112JCUqnUrr17XveG17darZ/8+LZut7u8uloqlWbmZjkE - n/38584555w4Dgt9fQIhlNK+gX4v9B597OGf/uxn6zdtNE2TcvqKV5w3MjLiOM4LX/jC++67TxCE - 93/wA3bPuuOeuxjgr3nD688466xHHnkkjOM4jiln/JAZPwX/omviP19Xh891wEEyOQAgy7JEUZQk - IZEjIIQYRwAAz/MOgz0HS5mkjPh9VJX/RiMpXw65xaDDMAyGKImdSoi9/FCUiud6iVt0EpfW63U6 - va4kSUEUu+1Oy7Jy6ZwkKaEf1VYrogAlRen6FpeFJ/fvRFki9KvmQF9/yixEqWbcbkeWnjUlPV1p - NQmPVAICq4MAbNuepBj5fCGKoojRkIYLCwvf+973XNf98pe/7Hle4g+UpJ1QSovF4oF9+x3HSVyI - kECwQIgopdKmaZoQwLWV8gnDo4llYhjGsgriOBYQ5pwL+F8k3v2JjX8PNvhHMTEIIV3XPc/TdT1R - b46NjT399NOSJBUKhUsvvfToo49++umn//Ef/zFxQOn1epdddtns3Mx3vvOda6655tlnnx0ZGYmi - aPbA9Pr16wFll//9lziCBw4caDabRBKnF+YERdLNtKDIRi5z+Te/dvT240854/ROp5vL5QLX+9zl - f//q819fqVY3HXnEbXfcziHs9LoQIUVVCSG333778ODQzp07CwP937vh+pGx0b3T+5544gnG+Wql - LCnyrl27GEWuHzOOJDnV7rrNrnPmC18+s6u5f20aloyzNr6k2qyB5eCUiZc2VjhE+my5bPZlIxoY - KTXktNVy7r7vQZXIoRcmgfV2z/Z9f2VhodvtNhs1URQ3H7Gp0WjEDEiSlGi1dEVNDMjL5XLPseM4 - Xjc2appmStPBIVSZMQYgIIQggFOiFrDYCi0ukv0HZor9BU1UeRDl0rkXv/DFrmu119qym9WZKIA8 - wEhHWv/WzZncZCjHippO5wpeDIZGhvft3qnJgmt1e+32csr45Gc+6wRRq9NxPfuWW2+llNq2zQH4 - zne/OzU15Xneww89lM1m87n83Nxcrtj30nNf7gS+ljUveNtbdF0nGCd/6N0z+1MZ84STTuwr9d/4 - w1sghFggcRwrkjw6OnrRRRdxBAghhmG8/e1vr9frCV7y6U9/+sMf/nDihBsEwfT09GWXXWaaZmK4 - t2fPngOzM8kun8lkEMGdTidptxt6inPe6/Vc10UHO+4Hvy7P1Xz+S4uWQxBRqqb0MIwgQq7n1RsN - URTfeMEFSSMgCsPLv/KVTrttGEbCjXjDmy7YunVru91mEHiBX2021m/euGd6f9exX/7Kc/sLfauV - 8vDwcKfb7djW5qOOTKWNx598stKoZwr5lWo505e/7G+/ACHUJDmO457r3PyjHzqeW6lUhkZH9k/P - /PW7LqxUKojAdesmfdvqtnuI4IDT237+M4aEF5zyZ81WxciosRwFBucCZh4TpiDLhQZOxyEolcau - u/GmyvxSSlfNjObHUblSS2dyHIJ6vaXJenKDTC7E5XK5XC5DCIvFPs45QsD1nDiORSIoihLHzLW9 - Wr1VrzYOPL0nb6Y3TK3HlIgCiXxGNM4BoJwxBCPEIGeMAwBpBEJBkRRJ5b7fbrde/IIXiIANThT2 - lHfRDFiNG7GMRCb1rc9NGMPV4TUQwBCh637wvV8//mh/aQDHUbPTuPfue+6KYlXXuq6NCdE1UxTU - O+6923Pce351/zXXfVfX9VQq5bmuKIq5YqFr9crV6tve9rbF+flde3YrkvySc85OvF6arXa12dB1 - fWrzxn3TB8x89pmdO795zdWJDujILUc0Wq3bfvqTO+/6ZaPRME0zovQzn/lMkkFxEDaID2YDJUf0 - b0qT546DgiX+W8vvuZ2mpBJKrCMRQhDi5IYS0yhxV/tnqxMB8EdTxCRZ1oeJaACAgywIyiilCQxz - GKQXRdEwDFmWHccJgkAW5R6DjmW7titJUky5pKj1ZqPX6/m+zykrDQ9//YqrXnz2mbf+/I4jj3le - emXnxvXHO9DZPzPvIrbtpNFGx7vt5794x4VHxRi3m43+rBkCXKm3uaBgCjdvPsL3wxOfd9JNN3x/ - 9+7d73jHO2q1Wr1eb7QaGGMBC4IgxGEYBWGr25pfnGtZ7S1HbnZ9Z3B4qFqu1Vt13/eLpYITOgxQ - M2f6URgzCiE/2OzDkDOGMKQs/l1tuD+18Z/T4PwvGInMEkKYmBgmfkFDQ0Oc80ajgRBKIpMURUmn - 05deeqkoips2bcrlctdcc02lUslkMqIoRn6wsrS8vLjEOZckSdG1Sy65pK9YFEVxYWFhcnJypVr+ - 2Gc+mTHSuqoRhFfWVillmqYlwWOKoliOXXzg/nq7hQQS0VgzjYjRVrv9i1/emdZTnuMKIp5/dm5h - ZSli1HacodGRffsPIIQKhQKEcPrAgampKdey/te73tvr9RQimnoKyeKqVYsJpwLEcWwiAVLGMbEt - V4WSLml+TEPGOLBu/dGPZS4AxjJ6Ngl+q66V5+fnOeedTifBjS3LanctjDEH2DAMiQi1WnVhYcG2 - bT8KIYSqqpqmySkDhwhGCS6LMUaAddpNosqCJDEISqUhRqPA8Qjljz/y+MrsouN5kiLagReCmGHI - OJdlHTAY9ELKAENE0/WMmVpcmJEgjSJPlHBfId+zu0tPPqqn82EcubZlOZYqKwtLi335Qte1d+3d - 0+l0VCMlaWq93RIU+UMf+2g2m/3yVy53XdfM5gSMG42GKitJlHo6Y3a7XVlV1yrlqampXqNhGEbP - stIpw3GcvoFiu90eGRmZnZ0dHR21bVsUxXe9610DAwOTk5P79+9PnFoS/2JVVRHGifg8uba6ruv6 - XjqdTugpnPEkP4sQQjAOwxASDAA4TNXF0WkAACAASURBVOz9V0fyMyVJkiVVw7hRrRUKheXl5dHR - Udd1s9ns6uqqoiiu5xGMa/X68MhIq9tZXl4+4ogjyuXyld+6atO69T/96U8zqfTywuJhD9aIUSfw - JEUpFArLqyuKrmGBECpgQhzXJRgzBButVq6/b6m8ms1mjWymXKnki32rSytj69YhAvdNTxcyGQBx - xFmj077ofe/HEB25YZNr2bV2gyJGeRzHsSoocUgVSSFciINYVOS2YwmypGWMMPJhFOmKDDmNYioQ - KQndTVYUpdRxnLW1tTiOPc8tFouplJbUalEQuq5LKZVlWRJF27L8Xq9ervTlC5RSLMsh9yHEGGJG - IcYC4pBzCAADgEMIgsBVRMQB+vWzT1bKqxIgfuQ2rDqQQGY4F0EehbRZa2hYzWqm3elyzg1DP2Lj - VLfdRAjl0noYxmHIo5in0wUKuOVYURwICGX7+/woHJua9H0fI5zVC/VKdXh4uNZsPP7Uk9XP1aIo - cm3H9/10KpVsR2FMY0aHh4dbnbbtugDB9Rs3NNqtiNGYsx17dkEIOQWx5xYHS6EfRL6f5FEXCoXg - EBXmsLrtuU5uydN/9dA6/JakZxRFFMIgOe9FkWCMRUg454c77+Bw/f1HVcT8RoJ0iMabPEUAUkoB - god1HodNkBM+LEIoDENZVlRVq1bqYRAzxiLqFTMFJKCIxlpKn56dO/OlL3nne94/vmmosWNuMj/1 - 9C93oBSZHB5mjeDLn/3GgDR85Poj9+3aE3qhKCieG+my4Xme40WqjkfHxx948GGESDqTveXmW884 - 84WtVuv6738/sdDs9SzGmOu6mXS61WlTwH/+i18IgmC5Tipr9PUXFUUBAAwMFJ/du7ttd+YXF1Jp - HQkIERzSOLlncgQp/Q9JUv4jGH+yRUziyZgEDiQq62azWSwWkyLmpJNO2rt3L4QwlUrFcTw8POx5 - 3r59+1zPgRCapmmaJqU0CAIBE9/3NU0jhDQajcnJSca5FwbrN21cWFjI5XKCIpfrtc2bN9M4dqNg - oDRYqVTqs9NHH3fczMyMYRh7Zw6sm5oqVyuSIkOEbMtSBUmSpNnZ2YmJidXV5bF1454XRK6Tz+er - 1aqmaZTybtcCAOQLA/VGR8AEIZTrGyQUdmtdVSWGlAtgBAnyIwdSpMpKx/cULZuRdattEUHqOp1U - 2gyCwPFCDGF2JAsAqNfr9Xo9CIJ0Ok1wPslFghAidHC1U0o7trOwsJAc2CKXk1g+SZI8xwWHdkBC - BMYo5zxikawRLnCfhYHPcIQJhyIUNVGQFTw7PWfmc24YcQhjigghLGbtVjdTyDMM1ZQehzQK2NLC - iqEZosw5C6PIl3UlZjwjy4DDMKREwGnTFAUhm8sRUdB03XNdLaWbpmlZluU6hmGM5ceazaaS0pEi - 9TwXY2wWCyyKEUIjfROO4wihrBj6tsFjlpeXi6UBu9uDENq2vWnLlp7VzWQyy8vLY2Njie1bs9nc - vn37/Px8GIbr1q2zbds0zUTp1mq1+gcGAADF0kDy1/d9HwsksYRJTizXdQEAhJCDCljGYBLLe4gH - 8/sxXiwQDgHljEZh6IRYFCzX2bhlc6PRkDXVcp3BkeEkoR0y3nVsxCQvDIZHR2qNeipthH7w9I5n - Xnja6auLSwCjJP6pXK0Mj460u13K2craqqjIqqY5npsr5Ov1ei6fq5YrjLGJdZMLC/MJ475voN/y - 3SAKs4VMrVmpVSsjU1OdSmt0eLhn264f9fcNqII0PzMPMJKzpu06hpKREBExsjoWhxgJ8mAuM7+y - pBeyDvWXuw3MYx3hsWJ/s9eJGCMybnWaiEBOYYLwK4pCCEmyHhNPRUzgYQ8ehJCiyVghSIGQ8ygK - Ah4wxCCgnEMMiYAkRrHIJUgh5xwzwFBEZAAIDd1AFtVs0ex6vdCPDM0YH9u4tLDIyzzwPC2lFnGe - YQiQIKXSkNNKpTwE+9rVmmmk5VQKiZJh5LuW5wU0jCPGUMrM0DhwqW87bpqkozgOaOCHQa6vUGs1 - AYTHnnD8s88+61r2lk2bwzDstjuu76u6FjOqGyndTO+e3p/NZlfKayGjEMKY0mwu1+l0cvk8gnB1 - dRVgxGOq67rruplMJnFmC8MwnU67rvtbNfFznnIA/xW0PFmBjHIAmCAInIMwDAEAAIiCIGACIYT5 - fP43r/8jPA0TdnyS+5bcNxKz08SIJTkdAACc8zAIfN/3fd8NPMgRR8D2HU3TjEza0dzAdnUj3bZc - CKHtuz6PPnTJx7Zt24okdXhivSor3UZnXB2Uke47gTAHuA3G5JECyRtGNLN3Rg55HAFREpuVtm4a - GEW+H15/401zs7N9+UIc+qIofv/6G4hIFEXBGIc0DmmUMzOqroZh+E8PP5Ax0gfmZ/r7+3v7rTAO - Y0qdwPVcN2LhT/7xJ4IkPPToQwENEUEMctu2iCjQmBJCKGOHxZJ/2uNPtohJFm5iPpaYmmSK5q5d - u6Io2rhx47333jsxMZFccZrN5ujoaCqVAgDk8tkoimq1Wq/XGxgY8B2XEJLP5xljs/Nzhf6i5/tz - +/cZfX2yqvSXBizLwhiPToy3up3A9cxMZqW8li8UsCisVSsbNm+qVquZTKZcLiOCI0ZZSFVVxRDZ - tj04ONjttjUjdWBmOpcrhGGoaKkgCAQie4GfzeZd183n+nq9XnIxQlgAISNQFIAIEW9ZLcQxhiAM - fM55FDFRFDttm8VAlIiuG0lwrqIoGEJZli3L2rNnD6CMMdbtdgmGSfqjIAgYoDiOEcKc0Uaj0W63 - E05lxGhiLAEhTES/yVdCVVXmewe3QsgopxzytGGUy5WCmSeM+W6gpvS+fF/fUGlmaQEKRNEVCDGm - 1Mjo/aVSxEgQhIhiVVUtqy0r2PI6qpZutmpAwIByXVZdyx8sDQRRGEZRq9UaHx8XRbFer2NCJFmW - FQUiNDg4yDkvr67l8rlOpyPLcrFUchwHASgQ0uv1LNfBBK/ftNH3/TCKAIRmJoM4GB8fhxzMz8+n - TSMxdOl2u/39/alUKpmBBN4fGhpKyC4JP/eYY45ZWFz0PC+xEUpSkFSsJQmatm2HfpAE7zHGKGWC - ICRzCP9g0lcSPxmGYXKjSmdMTtnq6mpCuykUCuVyOdFyr66slIaHHN83DCNwvcRqYmJsnAAYBMHS - 0lI+m3McJ8nEKZfLYRyXhgYBAEQS6/W6oqnLy8uiIidtiziK6q0mACAIAkEQFhYW+vqLcRxCxhiL - hzdMSZKiGnrIOIcIANTpdKRMLq2pLcciIqYh73qWiDEMQ0VQfN93XRdE1PFdWcoyGkcU5LN5a2l1 - NVjmAEJBpDRKmcYhegdMrsuJ8WgQBAkihTBIyDGCINi26zhO22q2nIbAoULEMA5kRY449X3fRIQg - IYqBgAlnnFOGAYSAOV1fMSSMQcxiBLma0d2qp6aVRqOhSbKKZSOt+aHHYgY5cro9SVMVWUkbBqBg - anzCdd3A8x03REIQUCDrBiECA1AQhLXqqqrJsqp2Op0kHwBwDjFqNxqyKM7OzWUyGTNlrKytKpKM - BYII1jSt16tggQRBkMlkbNseHh6O4pgBjghutJqZTKbdbvu+X+zvj8LQdlyCcGJ2NTc3l8lkkmkR - BCEMw2RZPbdj8oePg2AMA6IkJhnhvu9TSkUxwhhDxJMMzkOv/uOzrj/s+JzQ+BJGQbLGDlJ/GEsy - fSVRhBCyQ9HfjDGMhF7XFgU5n8kHor1WqTBCMMaZQo4h8OgTj97/wP2SIhX7C8uLc2YmTXnosWC1 - VjazaU1SVCiluFavt9RcH+BUECQIsZHO+EEgaHLMWK9RHRsboVEMWJxsHQpWAABd2wIAyLJcbdTz - +Xy7120/2x4slXq93tzCPOQgMQoRRdF3vUanZVmWpmkLK0ujE+OiKGKBeJ4nYZlGMfgflZ30b33D - H0tRDiFMVmTS9UzWcS6Xwxh7njc8PJxkJgiCkPgIeZ7XarXGxkc1Tcvn8w8//HCj0TBSKRbT1dXV - QqGwZcsW23MBhEefeKLjOLVKFUKYTqdt2y6vrI6NjbkAdrrdUrG/3moSiERRmtl/gALe39/PAeAQ - YAg5PxhVnThHmaZJAYcYUEpN02w1mn25vKqmerbNKeOUzc3PJK6viioxQFOaiihFmIXAJSkAVYYA - EwjkYQgRBowTLAsiYSyO49i2uxwwP3AJJO12ewFAx3FUSU4ims20OTk5qaoqxpjGLIoiAHmv22m3 - 28nZ7Lpu30D/wMBAf39/HMdRcDAVKCEbhYweFDFikIQ5u65bKpXatQYJeDGdTSIGrdkZUZd8GIUY - QgG5rg2RNr+8T8aa1bYJVCRFCeIQIGqHPVHGANKI0U6rO5AvAgYXZ2diAA0zHXj+/Nxcgo1NTk72 - er3FZrPRaIyNjVmWxWIqiqJnOw4EHEDHcVrNZlpPCYKQ7GWdTmdlaWlkbGx0dPSpp56SidBqtWgU - J4EGuq5jjE3T3L17t67r4+PjO3fu3Lx5syRJ+/fvT6fTlmW5rttqtWZmZox0OooiM5fdsWNHEmkk - KbKmaZ7nBUEAOE8Ql0QjjRDCEHDOAf9D9xRJkpKcFMZYStM7rXYURSMjI77jSpJULpd1VQUA6Iqq - a1o2bXp+tdNsAcZ1XZdTxr69ezO6US2XN2/c5DvuUnNpYGAAYGS7ThiG9WrND4OUmdZ1HRFcKpUS - rNG27TAIzJSRzWQgQpzzDRs2lMtl33fzZgYLQrPV7qKeQuTFxfl8vg9CmJJVz7by6XTE/Z5dE3XR - 8h2HRumUFDCW1nQCJKtllYaKsUysWk+QpTCmqXRGgjCiMSIYY5gkC2IKCT6Y1Zo4ASbdJVEU9ZSa - +MQEQRCGoYCBllINM4Upl7Hg+A6RCGMAY3hQXBlThBCkADCIIUIcm5rpBC7EOJmEGFA/7PYsMYy8 - Yq7YaTYgB4aZIj6zPVtWtaDX6zi+mdYlSVlZK4uimDYyYWSlTNPxQoC4F4WUx0EAU6qmqZooir7t - JOQLjLDnuBkjret6rVoNXW94eJhTRqPEEp44lm0YBiLYc5w4jGRZTgSAYRh2vY6iKImkVtc0BGG3 - 1c5kMpCDarU6NjaWULwRQhwebJEkMwaeo8H5A3ZFBCFKmL0A8mSeOU9M0Q7iE4QQggWzkD28kR56 - TIS7/ynqpP/rkcxPAsAkwaJBEBzmGAAAOOc0jj1KoyDGGGuapqkp17Zd1xWx6Pu+3bWhoYmyXCj1 - 11tNL3CXVxYLhfzq4sr4+Hil1dh54MCm9RvmF2Y1RdWN3PrNg27gQkpbzQ7DcHB8dKXd4QQzRhEW - AIdYFpEkOb6tGZpt95qNxnBpsN1u9/X1CZJYLpf1dIpzLqsKIhggLkmCJEmW05MU0Xc9AACCwAtc - DllIAz/yQhr4XV8QMAOUQYYxFATMIYMYcE6TQu2/23n9HxUr9NzxJ4vEJGEfCV81WdDJ8k2ecs6T - q0yn0zEMI7ETSOJpWq2WbdsbN25stVor5bXRoWFFUzkEq5WyKElxHLd73WTRB66X1lOuZYuiCCkT - MQldLySiyGHGNOv1ekpR8319y8vLmVzW9j3OuSgJjuOogpRUAOVylUE2MFhKQpIzmYzjuI7lICJA - GKZSWi6XaXc7LI5SKc3zPCtoIyfMZs2YOkiMGGCOZ4lIARxns/3NmoUBBJQhAhFnCEJCMCGSgIQo - ilZXV1VZ9X1fFUVd14eGhgYHB6v1CoRQlFVZlm3HX1lZWV1aTht62jQbjYaqqul0OrEbJ4cibyCE - SfJIEvkRR0BQJcYpR7BWK5tGRoi5G3iNWmXDunXlakXV1GbQbds1IKPRseGcmTvw1B4VQx0hQGCv - 14YCUQxV4qJAkBsEQQAVSWk3OwQSRdHiOI5cX8SEMSbJCuQg9IPlxaVSqbRhan2322UxzRjpyupa - LpullDYqVUJIf67guy5loSiKQRAgjF9w6gvmlxYP7N9f7OtDHDiOAzjvdruFQgFjbFnW8vLyunXr - 5ufnV1dXx8fHk6ijwz6nSUyxJEniIYMZXddFUUyud81mUxRFQkjiNJVIHg5HH3DOIeOHcd3DtMrf - uW5ZAgLHlHMexEGS01QrV9LpdJK0BSEkGM9MT2ez2Uq5HAVh1jQRQr7vB477qle8stVojA+PVlbX - ojDcdsSRHasnK0rXtgYGS67vRZQuLC022i3LsqxuT9M0p2fpuq5gwer2Sv3FtbW1XF9h77O7SoOD - +Ux2aW6+NDwkIV+QJRZG+VxGl4Vexzrt9DPsTjvodY87fmvNabhi5AgRIhCH1MQ678XcA8rUBi5I - v3z0IRaF2Yzp2xbgLAhDjAQQxjSkiiTJshw5QVJrJjSFJDIdQsgYDcPQ87zEo0hRFFFVkNWNAuZY - LpXkbrebMzOQIAkCABgALAYhBIBDhhDgEAEY+2EABRRxhjmcmJgYLQ2pCLEwDiMfCQirU67vRlEs - iYIuSGEvMtW+OOYMsl/ee08qnfM8DyKBM+C5ru95RJMJhggA17ay2Uyr0aSyLBLBdz0EIA0jWZYd - 2w6D4EVnnGka6URXJYsiQigOo0Q8hTHGAokZ9cPwF7/4BQCQADjQ3+84Tnl5JeFmOj2rkMu3223D - MLLZbBRFnU5HFEWMMYf/h9nJ4ZXzB9cxMPkiJ1AE5wedbZMbQhRFCTUkSVyHhwY4GGjwR1PEJBwX - zrmiKLquJ3i853kAgKR3mWz4Se+SUqqqKoQQIyEJJUi6UbVaLZs1MrksI8ALvcnJ8bm5mbSS6vV6 - YRwNDg03rV6ubyCwHEHSVmtNQKAqoFTapE6wXF4hRjrgPPB9SZJiFlHOY8+igIHAl0Xc31/knEVR - ZNs2DohhGK7vJf7IfX191WpZEIRsNjs3N1fI5RjgkDNRUYIgwqIgMEYEIW2as7OzxdJAFEWJRSdA - MI7jREAuCkIc///E3j/+kVwsEs5gYuMtSVLSdxgfH5+bmysUCp1OJwiCJP9scXFxdHRUluV6vT46 - OhrHcRBHiq7ZrqvpeqIH6fV6IhEEIvT8drNa+8kPf7Rr57OFXB4wVqtUFUXVNC3ZC8IoymQybhTc - 9tOf3P/gA4Is0SjOmhnIOOB8oK8YhiGRRENPn3bq6Z7nPfLwo45lbz/++JW1NQih63udVl1N6YCF - b33LW7dv3/7kww9pFFEaUo2Xe1W9L8XikPZ8VdKJlm03LFM0uc++fsU/pFKaGzqyptXWmsOl4TAM - dVUjmHi2EwLQ39+PEJqeng7jwDRNt9GCEK6Va1avm8lkCIbNZjMJUul0Oq1aVVGUOIyiKHJ9Z2Rk - pFav9xybEAIB5jEmTI64xyFNm5oTdHVJDT13YLj0vve9b3VpuW23YAoDA6Ks6ASewuXjNx09RLI4 - Ir4oZYZK6zZuePd7/waj0O51ZVnEiAS+e8Smo55+dreSkjRZXb9+CiG0sLDQ7nVz/QNHHnnk5OjY - zMyM3ek6vV6xWHznX79j3759xx97XK1Wi8M4qS8RQglOxiDww+D5p57y6te+NmuagedjjCVJghz0 - ej1TTCdhFIkaX5blBL2LoiiTyYyMjExPTyegdGKb4bouxjgBpT3PI4RggSR8Z/Accy0AAOAH+aoA - AMT/4POGcVES4yAUCOGc85hKsmwzBjjPZbP1en1gYICGkZkyaBipqqpKSuAFWJJ8y5FF8fWvfs19 - 99xbyGSzWqrdbGWz2W63K8oSkcR6ozHUX+ovDaRTqXvuu08hYoyo0+315QvPe97zZCI88MADsR8+ - /3knveKV52KMP/qxS7709X9YW66apskJu/hD7w9AIGPQrZX7S4N/94W/3bt/zy3Xfc/Ulamxktin - PLa8y6Z+0SgIDtTSCEW4MDCYL5aISB58+CHRDSUs1N2OpCpBzFKiLhEtdtkdP78zlzZlSQ/D0A/D - pGTknO/duzcIPFEioiiymBJC2u2unkq7ToA8rAtpwrhVs6edmZXqKknJp77oDFFB1Vorny7ENIwo - pQBAxBmIIwSQpPqun0vnX3DCSU//00MSgT0WCYYy3V1Q8oZtu2P9g0Nm39yvZ0ngv+FVFxx36qmn - n/3i1Xpd1jONns0BPOX447KF3E/u+BkDwLKtb19z7UV/814Y0os++O71GzaIglCpVC758Ec6jeb4 - 6Fi5XM4apuc4tUp1cnJyZX4xMcUpFosRY4SQ8YmJaqN+6mkvuPmGGw0zrSlqp948//zzr7322qGh - oVajcdFFF5X6Bz780Y9gCLcdfzwA4LHHHkv6I34YJA1HhBA7RFN7brXxLwzI+cEXH1ZoAwAAh5wD - ABPhIQQAxHGcoNfPWa4JdJFU4X8ch2IQHHStdV0/CKJisZjP9wGAer2OqqoYC41GwzTSnLGkbrYs - ByFkaHo2m11cXIIQEiJ4vuM4DpEEiMBAsb/RqEHEi8VCrdECgMc0EkWx027rotxuNzFBREau1RMV - RRRRFNMgdARN05R0u9nOpkzbczDGoijQIHRdT1dTjMWyImmqvrSyrOu6qEiWZWUymVqtlsvlwjDc - s2fPpk2byqurqVQq8FzHcURRTqqxpL4fHBws16pTG9YnbN84jnVdT1Dz/yxv5f/68SdbxKiqmuCH - AICE25WgL5ZlDQ4OrqysnHXWWV/5ylc455lM5tRTTx0eHr722muLxWLiKyNJ0qte9aqrrrrq61// - +urq6vzCwtVXX33++ecXi8WBgYG11dWPfOQjmzdsjILwyUcf3793LwLQTBlPP/VUebXcbrcxxhs3 - bhwcGnr5ua+49ZZbcpmMJskcIVGUpw9MD/T3/+q++6+9+ppapep47tVXX33JJZfkcjm713vpn//5 - 2WefvXv3rhtv/kEU+gjylCa1Gvbxxx41NTn6zre+8W/e9pbVykzggUeefWS5vkIAL+mFgdxwreW9 - 7z0f/fTFn77wHf9bIphzBjhllCb2xBKSAz9wI1eRJIJQtVqNQt/3fS9wK5VKzIDruqKkMsYsxxUI - UlQ1juPV1VXOudvrYowJwpxzP/TW1tZizsjBISIktlodIMREwdVuZWBooNuz+rKZI7du/fZ3r419 - 7wVnntKJ2g8/9OiB7vzKwrKC1Q3p0VcedcYpx5/y0N7prLm+NFRUVbld9rKm2bG7lmVtmdz4/eu+ - /7Z3XnjXXXdtP/7oiz/wwWuvvbZarrTC5uV//6Wbb/rBKy542fevu/6RRx4Z7OvvdrpPPf5kqVTq - yxd2PbNjYXpubW3Nd72ECHL2y855/vOfHzL6yzvuRACkU0bFqyb3/q1HHHn55Zffc+/d11133eLi - YiaTufjiiz/1qU/Fcdw+pGEeGxs7cODAAw88cOONN/q+f/XVV0uy/J73vKfRbl1xxRXZbDaBDf4D - 030RB6F3sMWQGL07tv3+979/eXn5hz/8YanY/56/eTchZMOGDee//g00ii/+wMW33377jh07TD0l - SdLayuqLXnjGww88uDA7t3//fsdxNE1zXHfLkUdkC/k3vPZ1H/nYJRs3bwIxNXTd9/2h0mCtUvnq - ZV/+0KUXx2EU+t5XvvzlY445ZnxyUiTC297yVgzkwcHBD3zw3d1mi/OIx+H48BDCQr1de+LXTxx1 - 9NazX3Taow/dfc8z9674S4HIO1ZtUCmcevwZxVS/qBiPP/1s5Dg4ijuNpmTo2Ww+QDy0Pdvxuu2e - 57pUTQVBwBlO+hqyLMdx7DgOAEAUie/7tVoNcqBpWrdrxRHTU1nIHYIIjOPKWqU4UEwbmVhkQRxw - yBRdYjCOaMABZRwAyqAgRmHkB5aE5EKur7Zc+dB73oNxsGqvfu2HV6E+jvpBFqVp7A1N5F931tm8 - KpSX2s1mK1csVW3X8jxE+REbN77/fRft3rvz2uuuSuey//vCd9x0ww0pWS2a+c9/9rJcLnfVVVfd - ctMPDD0VBaFrO8VcnkbR9P4DP/vRT9769re6jmOkUnEULS0upnTjqKO3veDUUwt9fXfcccfgQMn1 - vUIud/EHPpDP53/y4x/Pzcxc9J733v3Lu/bu3gMxiuP4rLPOUlX18ccfB4dwUNd1/98456LnuN/+ - cY/kppEYtUMIk8yHXC4HYSK8QrlcLgpCcIg9k7Anky9dwm1PRIKigKu1cq6vKAlEUZR8Pg8JPO30 - U/8/9t47Wq+yTBt/yu717ae8p+fkpEECMQFCpCgBQx1E0MHyQyxjHREQ5xtHYWyjfs4MKuqIn/I5 - KiJWBIQQSqhKD+kkJzm9vX33/uzn98eGiKPOfM7oWNbca6+93rXynn1O9r73s+993dd9XVJO42XJ - 7LTSNJVEnlACZSFKA4FBqRvkGSlJ0l1Hjux9/nnDtBnEsAwEaUQS7PmBKitDy0eMtjkxPtHT1cuy - bLVaFQTBsM3sTSmbR2EQPu+888xOZ3RkhGPYrEPdbrcVReE5rqurq9Vq2Y5TLpebZsc0zUyMIHvj - QuAFvI38aSii/Jfit74Tfh89rf9K/Kaen2maGf8x6x8DALIRkozNqqrq9PT0JZdccsstt8RxvGXL - FgBAHMdRHHZ1dXme99WvfvWd73ynqqr79u//zGc+c+ONN/7Lv/zLd7/7XU3TvnPzzX/93vcuH1l2 - ZPywyHL9Pb0wSl62fv0bX/+GSrGkChKUksHBwTf9f5fddvtPBqp9Z52xZXJ2hkE4imMs8CtXrDjr - rLM+85nPrFg+xrIs9QAD8cWvfs3evXtLpZLneR3LsFzHdV1JEnI5zXNtReIlnoFpvHrV6EWvPevZ - 8cJN93zXKzgDK/oS21N97nUXn++Y8PjVK8/eclZ9qQEw4wU+TUkShZokYYwRRNlDolgs5lXVcRxA - iaqqLM/wPG+7vuu6giBAQJMw4nm+q6uLEGJ7rqqqOUXmeZ7ECcuyhtWZnJ6KwihKSRiGlFJB4jmV - s90Ow8HR0VHLtSilCSEpICkm1378w1hLt+/criQcZ4KRsb4V+ZFuT1m7rHegR+ZK6+t+ILN87AeU - goX5ermvm+Wjt7/tHbt3Phd6aLWwOgAAIABJREFU7tiykRM3nuC7XqVU3p9S13ZASu/bfu/09PTG - l2149uln4jBiEO6vVp944omBvr6Pf+jvX37KZpoQVVYQQP09vf/4yf991Qev2vTyzWvGVgoc3242 - KUkhhLIove6SS/7hE5948pmnPvaxj11zzTVr167VdV1V1fn5eUmSgiC49tpr77nnnp6engceeOCm - m256y1vecvzxxxumqet6rdkYHR1dWlrKniXZCNLvJJ+P9ux5ng98X+B5pVjs7e1dmJ1L4wQB+KlP - /oPneW9961tXr1w1Pj4u8sJrL77k2aefiYKQZzlEwfzM7JXvff/k9MTbLn9LV6ncaDQEUbjwgr94 - 1dlb55cW1645RlRkjuMghDzP1xYXzz37nM/e8NlSqSQL4pbTT+d5ftu2bfli8bRXnD40NNxYan/k - I3930//9qqYphaLuOS4GMK/pPfkuSeYG+3rssDW2ru+2PU1TadYTWwQCS8O+lcXhQh+h4o/u+SkW - UFdPd3e5u+VYEcBR4Bf0fF5SBwb7qtXqSHWgnC9gxDuO0zaMZrPpeZ4oCuVyuVjMIww8z5MEURCE - TseUZNW0PcuykiikIFY0VS/qsq603TbLMjzP92g9hBKR5zADMUQQ4igmmsowskoJBSms1Re7unN+ - 3AjDDltOOv7CM4efYVPmvBO2rFjVrzA4FZhioZDP5/0wyJXKtNNh4qi7u/uGGz6/5pgVy8dGUgTX - rVv39M+f+sLnPv+Pn/lsvVk/9+xz5mfnTtiwsdNopQlRZdl13eXLRnVJOXT40FsuvzwOo0996lOU - 0nK53Gy0jj322Feedvq2e7fruk4IsU1r+fLlH/v7j37wgx/kWW5kaPjUl5/ymldf9NTjT9zw5S9N - zEwBAFRVzbo/YRgKkpjBe//OoggA/X8oRBAACGQKvBT+ssjpr2XyohcP/icQR6U4s6lpx3EyjQlF - 0Xw/9H0fIZSkBGOcJhRjRGmSMQ1I4Pf19XGeAyII0sR3XFkU6ku1ak8VANRsNn/6kzs0VXvzWy9D - GI6NLltcml2cNWZqM07klSvFnkqpIGr1Rcsy/bMufM3M1EzkeJCEmCYii2MW8bya1/Qbb7xx61mv - Wrv2mHPPvuDkTZv3Hdh38ODBH/z4RxBCJmJ0XW82m4ImnvnKM3c995yu681anUFYVdX+6gDHcZ1W - G6ZobNmKZrNZ7Cr/7InHBVaAEKcpCP0oTSjLMzClmQXKH/pS/N7jzxmJOTrskLUPCCFRFBFCZmZm - Lr300p07d5588snHHXdcs9ncsWNHuVxetmyZaRkLCwtnnnnm9ddfL8tyLpcTRfH73//+1NRUVv1Y - lnX77be//e1v/9IXbqhWq9NHJpIk4Vh2oK+/r6/PaLV5xDIMc/D55886Y8tPf/pTWRBd24nCUFNU - rZDzQl8UxdmpaU3TSqUSy7KnvvyURx55ZPv27eecc06j1Zqfn5+YmFA17dh1x0RBCCEtEC0IfJ7l - OMQec+zKll2rjnVtSNcv41bWnPpY79C3Pvov45P7//L8t7sds6+3V5GKJ56wyXTa7U5N4HjfjjiO - a9fakiB2dXd1d3XrsqyqqiTymqY5nh2G4b4DBzHGhmEgCFiW7erq6u/vFwTBch1JktIohBBGQSiK - otgSOI5LaMphlMvlunoqPo1ETerGJYCJE3r9fYO+6ydBODw8bGjKihVjB9p7cAl1KxWloCEESr7M - TwcEOVqBc206W2/yAK4cW1EtdwEEjdA1zc6Pbv/R5hM3iwKHEejp6b7vgfsxQtf+/XX//I//NDc3 - d+mll7781FMW5uaXL19OCCFxrMrK8euO27zpZDd0NU0jQYQQarfbvb29nu8oitLXW33wZ49mfW4G - oRQA0zSfe+65q6+++v4HH7jlllsghO985zuvv/76UqkURZFhGGeccUa73X7yySePThvt2LGD5/l6 - vX7DDTdc8pevm5+fz2gEuUI+G6v+nUScEkRBdjny+bwoiuPj41/+8pcvOPe8TNSH47jR0dETTjjh - kYcePvHEE5955pkVK1ZIvAAw6jRbGGNR4LzQW1xcTJLENM1isYhYxnEcFrA8z1uWJchSpVJxPDcM - w9HR0bvv2fbK01/R11tlGKbebPz0pz/96le/+hevfvVFF1300EMP5/Jas7X0zHNPja0cq7fqEOGE - Aj+MIxA2akvlPI/Egk3s8vLi8ctKTElKGnF42AgYt+UuSUpvRCNOFT2a0DiVVbWQ1/JpHLie69h+ - 6AFEhoeHFUGMwlRRlHyxmKnyKIosCAJCgAKiqirPcpRSWZb1XC4kpGegW5MkEsVdpWIQemo+pwJN - FEVAqcDKtmeDFKUxBIAikPJI8EPiRT5IKUxBX18VCTRFyUxrEmvpSSetP6Oci6xomdhbLijAiBwv - PDKztAadmtDEcS1NlwtC6eePP/7aV5/Pi0IhX+o41tDQ0FVXfaC3u/fYY4/tOOaTTz/13O5db3vL - W2+++ea8nnNdV1XVubk5QRCq1SrGeOLIkTCOeJ7vdDosyw4PD2OMFUXJfBzz+fwjjzxSrVZLlbJp - W5CCttG57LLLtm7desYZZ2xh8fT09JEjR0499dTHHnssU4g56qnyK0H/8+2eF+qYPwcYBrxI7M3w - mIwtHsfx4uKipmnd3d22bbfb7eyFAaEXRpOCIOB5PjNt5Xle1/X5+VmeYbwglCS5UW+JLLf5xM1p - nDZqtQvPOXfDxuO++KXr1x6/8vF9Tw33D3EaTykx5muvveDVm1aeePFFbwIYm5YjCjICaafdYARO - kfIpBR/5yHWNeisM4/Hx8QfFB7/+tZvWHb/uwgsvvPfeeyOSeJ4HU8ozrGc7NE07zdbV73v/1Vdf - DVL6+OQkx/KNRkNT1TPP3ELC+PChQ5VK18LsnCiKGL4wigWyJuNL1HH+vOPPtojJ+E0QwmygLnsM - E0KyIZpXvepVxx9//KZNm4rF4uTk5JYtW1RV1XX981/4nKqqV1xxxdatWzPOV7vdPvPMMz/xiU8A - AAzDgBDe8MUv/vV739vT02OaZrW/3wt8QRTzWoFCICpyvdUcGxtzx90UgrbR4URhenamd6DfcuzF - Ri1XzM/NzSVhdPzxxzebzSiKnnji8bu23SXL8vKVYzNzM9NzswlMR0dHj0xORkFASSLyrCzLs7Pz - w9WRffv2QeasQ9OH6pHRBp7Qm3tuYr9ckPPF/F133Lnl5PPNth0F+Mc/ub061NtT0S+//HKRVYIg - +dq/fB1D1NPTUyoUNUkCAISBlySJLMvDw8MnnHRyLpd79LHHn3n6qZyqDQ70ZZhkZlgoqyrLso5l - Z8wPz/PCJKYYdTqdpaWFpeaiEIgds82LQrFYfPj+h3srvdWensceeZSX4GK0tOAtLQZ1tsDxBchA - YjebjcX6cSsGxZIStGoCyyBAjzx/eGJiQivmQxinKJ2fnjnrrLPanYZptW+66aZaow4pOPv8c598 - +inLczZvOvnHP7mNZ7mpmWmzY3R1dR0cP5QkyeLi4kjfUBAEcRDmcrnu3h5O4BVR6e/v9wI/W9Q4 - jjNtS1IUQsh55523detWyKDPf/7zjz76aKlUuvrqqxFCp59++vDw8LHHHvu5z31OkqTMhprjuI0b - Nz744IOGYQwNDWWrXrZE/g4rGAAAy3PZLGW+VLQsyzTNoeHhhJA9+/fxkihLcj6ff9Ob3nTVB66e - n5278uqrdu58Ll8srN+44YEHd0RxNDy6zO4YmGXyhQIviY7jhJ22HwRaTg9BEiRxAqkfhzt3PZcv - FjHGi7VaV09PT7VXlKRmpy2pckxICsC555/3qrO3chz3vve98cd3/qDtW/c9+rAkikWtYHXaw4Oq - CMScplKYxgxZDFqwS4oEr2E1SpxCuHjJa/hJWKEipwi25R6cny5rpcBqO0fGdU2llHAc54eu5TuE - Jp7nIchlwqkbNmzo6elxXefIkSOG0QYwZRgmTUgcx6IocxKfZ3OV/vLq0VGZF0LP37lzpxe4gMFJ - Qtotkw9iL/AhhJACSCkCMI0DQZLjJCAkDhzXsPlnD+4V8snKlx3zyMLjKs9SPyiyitcw62xNEGQr - CaVKPgGx79uO3URU2TdxaN2qVc/sfFYvvfLnTzxdLJf++r1XGi3jkfse/NZ3bq4O9s3NTHd3dxdK - Rdd/IROIQ2KaHtj1XJjEM/NzfhCwHEcBYFg2IsQNAzcMZhcXnNC3PCdN04GR4VarRSgtlstxGNab - jWzoWlLkjSee8L0f/MB13UsvvfTnP/85hDAIgv9yLymzV0xf/Az+o8IF/YbPf7wBIUhTgFAmZ5dy - nEAptW0HASwJsqJoQRAlSQIAojChgEKMUkBSQBADO2ZbFEWVV0RZCkJH0XXT9XIp8MxgYWJWFZSO - 5zEpqFZKV171ngd2P3Lbt7Zd/J5Lj9QmaRCddu6J4qBucOQVF13gCzyTK+iKyIHUM9oMw9hRnMuX - /+Fjn/70J/9h3eq1QRAsLCx0dVcufs1r7n/ggUx3Slc0VVYETvAcx7fcZYMjk4eOnPSyEy44//y3 - vvWtSZisHF0RBMHTTzz10zvu/ru//7vucrckSHEYkygRFRlCmCQxIQSmlOc48j86MX+6kRUuSZJk - 6AvHcQzClUpF1/VWq/W2t70NQnjFFVd8//vfv+aaaz73uc9NTk5ec801cRzffPPNV155paIomW/O - QH//WWeddeyxx4Zh+OEPf3jPnj29vb2KqtqeG0Qhz/OW49x/z/bzLrjgyMREX7WqlwqGZS426wiz - hXLp4ccenV9afH7yiOd5oiIHQRBF0eWXXfbmN785jZKcpv/wxz/64P/6m7ZpLB8be+Dhh057xenv - ete7MMaEprf96IeQApYXXdtjIIcAbNeNjuHtPzDJ92kiZhdnGv2FQdJj2kbIJ3xtqbHjwQdOPvn0 - VatWOKGbENjXP0wJZCAjCIKuaqqqYoxd142iCILU87x8Mef7frVfzVSGKX3Bv9B2HEIIRNC27YRl - shmfrAHBsixFMEWZ5TjgEPRdVxRlgODzBw4PD4425pZglJ5x+ilqQfj6N79RHCtgKNgtW1ZVGoVF - QYrLykLHe+iZ3fduf/KUU15FUzDQW52anDQ7La1ccDz7r/7qbSedsikiyeTk5Pj4kc9/+YumaS7U - lvRSodzddcLmTYIgvO9972MQklVlcXFRPf302uLivffft2rVqiCOREn0wiBNU1bgx6ePbL/33t5D - z4+MLQ+CQFJkAF7AOe688853vOMdYRLt2rXr/PPPf+Mb39hsNq+77rpCofD+97//7rvvzpzh+vr6 - RkdHly1bdvbZZ990002rV6/u6uoqFouDg4MTExMcx8UkyWySfid5m/X1GI41DCMjF0MGb926dc2a - NTzP79y580Mf+tCdd9558cUX79+//8DB52/6xv9dtWrV5Zdffu+O+weXDU/NTH/v5lvwe94TuB7m - 2LXr1rmuixisFfIHDh/87D/945pjj2m228WuSqlUOnTkMOM5f/XOd/A8H/vBe9//vi9+4QsHxw99 - 8EP/62s3fZ3huZ7+3t0H9uw5tF/N6XJeZwGHUhikcZSmLa8jysq99+/49m3fkAZE3M/ZfuLFPlV1 - v0Ue/dlOd84rq/1zc23bjVlBxAKnMgxOSEHVvcBNaRKnJEoSURRpSARedBxnsVbbsGFDf3//+Pgh - 0zQty2JYlDlyJ0kiCJLte2ZgCxLP82sGBgZmJqdEUQxtK0kJwmwYJQmMCEAMw0CYQoBRmhIQUZJw - ACBeQCmSJe3m7/3IJS2xnzFIiGQvjCMzsVSiPrD/icM7vxe54mtee5kZGwDGOZk/uOuZDSeevGrV - yo0v25AvFt79rit+evdd7bbpu94/f/56Jaep+dxVf/s3Tz3+xKc++78FWaq3WjlVazabqq5VBwf+ - 9iMfvuiii5S8XuyuqLJSLpcPjR9eqC2NTxx54MEdF138Gi8IjjnmmI0nnrBhwwY3DN7wpjd+8pOf - vPmWWz73xRvmZma+9KUvPfDwQ9PT0zzP53K5TMInmw3MNAD/J35TYIwzwn5Grs9eYLI2ca1WUzS1 - p6cnM84jhAIAkiTJmG3ZWFMmAKZpipJKrU7b9QKG4QCh05OzDGIkQZ4+dMhuHhdG9nO7nhhePyQN - KsuXLe/OFflWakamLKhz9aXy4CrEChixgWdmYj84AbbhqqICUtRumaHv5fP566677p577jk8Pp5J - gWuK1ul0+qtVh2ElQeQqXbIkOYYJkzTxY4ET56fnEULHHbcWUlqfrxn9ncALBVYAKYUpJSCFEGIA - KaC/qx73H3n8Z4qYP54T8++AZZnYCUJI07RsgLBZbywsLDiOU6lUpqamurq6duzYMTEx8YEPfCCr - V370ox+tXr36+uuvxzgTYbMPHz78la98ZWRk5OLXvAZCeNttt7Xb7RNOOOFrX/saSVNOFLzA7+rp - vvKaDzy7a+dX/s9Xm80morC7u7tWqz2+88lXnrnFcp13vutdn/3cP/cN9NcajXan1dfX961vfev7 - 3/++yPJhGHas9utf/5d9gwO1Rh0w7IFDB2+/406O41zXLeQLIsM1G43I9YeGRsKYvOH1bzn0/NxA - aZUJUy70y/KQTLj+se4yq3NIPjw3+ddXvFPgtVtv/2FECEX85ExNF0WB41RVXbFiBQKIEGJ1OoZh - hIEHIeRFrre3l+d5AEA+nx8dHe2pdAFALctqtVoRSeI47q2Uu7u7M1wq0x4IwzABNIqiJI5TP2E5 - JvATwDG9vVWaAkkQkjBYXFwcXXVyzCSRiRBUdcS6u73Uh6zUhYJcIpV2TXSGVp3AqaXxyWkAgMgy - ACFiWxIL77///seeeDIhdG5uHgNw5VVXWa4DAAjjaGpu9mMf/zghxDBNmqbVatXxXIDgeRdcAAC4 - f8cDf3ftR1iWbSzVMvu0nbt3vf3d7/R8f9+h5yvdXfV6PUnTTMnwwQcf3Lp1qxt4t95664oVKzJh - +1tvvfXMM888fPjw4cOHe3t7FxcXx8fHv/a1r1UqlQsuuGBsbGxycrKvr+/xxx9vtVrZgBJmGVVV - wzD8naQ0x/MUgCAMRVlSMM6MOXfu3nX39ntK+UKcJP/67W9FUVRrNp569pnxiSOFUumpZ56ZnJ7O - F4ttw/jZk0+cf9GF45MTVse48DUXGa22ls/FSfLY4z8vVcqbTnm57TpNsxPT9Lm9e1auXrWwsPCJ - T39KlmWR5Xzfb3Za2+6/99Yf/7BQKIiq8uzuXdPzM34YUMxwDM+yTBwSAiiB4NbbfkhpnC91jxy7 - qh62FE21fAsBiJZSHVbyQtEvBRW5LGN378HDOiM47RYDIAPTMHASSiFmAECIQoSYiEQZiTKXy7mu - OzMz43meoigApCSNoyjKeig8z/MQel6Q2MRuuoftCdexaAQ4RsQc5DiBEyWCKcI4SVMKCAaAphHL - pxGwKcEgwo2F+pqVq/p71/B5ph3UBsprA+LyiuB0XFXQFEnRT13purTmdm75wXcts9FcrG06+YQj - 4wetdmv7ffdhVpycmlF0TZZFQuG3bvlOu91qOMa1f39dGIaGYeiq1jc0OHn4yODw0Pb77l27dm2j - 1fzxT24z2h1F02RJWmo2cuXiY0/8/Lm9u1lR+Mw//WN1aOCJZ59+bv/eO7fdbRgGg3Glp3tyeup/ - fehvM80FwzBKpZJpmnfeeaeu6xBCCoFt24Ig/MfJ9IKv9W/LingpNvOngbv8atAX7bsRQlk7OI5j - juOilHqehxCSBFGW5SAIAEjjOE4BZTCmlFCYIgYGQWi7FoWKwAiakkeMyCIeAGZoaOTI5NTKFSM7 - 7rv/fe++/O5tt5571itv+/J2iAOei1pLk7ojdI2c0DbrsRPGVrRwZB735AOrjZLQYLmUFUwr2PLa - s3N65eSTT73rrtv/5p3vzoDeY4455uGHHgn90Iduq9UKHDdN06X5pUPPHzx2xZq+3n7bdDRFZSAj - lkTXdQEBHOQETuQw11Pp4lkug52CKOQZFmOGpEkSE/hHdgF/H/2tP20kBgKAKEjhL/ZHI1v4smm0 - TOOI4dhyVyVJkkaruW7dun0H9scHYy2nMwgHUQiDYM++veecvfWRRx/t6e4+ND7e29NTrVanpqae - fvrpYqHE8uyBAwcARnfeeScBlKZpQqJysfTuK94jcMxAX78gCLXFJc/2oijJWDgYMbbnyqpiWCZm - WVEWJVl2PU9SldDzEYBBFJa6uzqm0TY6ru9jliiKQilIkkTX9fm5ha5KhWE5TmPOOfd8kNJCWW97 - tRBHMQJYEe3AYynkXcJRplSodNommzJRQiEnpIAJgmj79u0sBBzC4xPj69evDxyfJEm91Zydmo6i - oFgsKq1WV0/Prl27KKWW5RQKhTgOTcPodDqLi4thEmOMy8V8pomXJAkhNCIJwljgWUmReZ5nODYF - AEMEAHQcB1KQk2WQkOefPzQ+PdkJzJRHFKYEpaIixV4gASYNCcOKnhuykJc5UcB8Y3GhUi4xCHSs - Dsa8bTrP7t5T6esjaeoZpuu6le6uTLhiqV7DGNumpet6FEWO68qa+t3v3frgww81anVN0xzLZjEM - w3hkZGRmanr9hpdFUfTc3j3FcmmhtoQQ6urpbjabYRS0Leeb3/xXxDKiJC0sLJiWldP1J596auOG - Dffdfz8EgOU4AMCy0dEHHnhAEMVcLjcxOVkqle68+y6MsaKpcRwLkhiGYaZr8mtT9N8nwr80ezNi - JUSQEMJzXBAEPMvVl2rlctl3Pcdx5ubmRI5/6umnWZZdWloqFgr5QmH37t29/X2OaWGOlQXx29/5 - 9r2ViiJKvusHQcAxbBRFXuD3VHsNyzJtS9E1AGEQhUPLl03OTFeKpUxxzvc8FuGBwcF2pwMwMmyL - 0FTP5zhZBDzj+qGq61bdYDHmRaHWqN9www2CwPmhk7LQTWNCE40TGQp92+FEQc+XGrW2CDiBFSNK - KAYYY5rEksB7npsyDMOIgNAkSSkloig6jpfBY1//+tc9z1u5ckV/fz8AKcKAZVmRFwzDiKKI5/n6 - 4tLs7Gxtdt5qdV552ukxiSEEkR94jpvGUUIhw7I0JRBClsExBRACmFKGgTzPWY55/Rc/NzA6PFef - zpU1w26yDIiDUFFyAi/NLdQkWddy5U6zg+KwoKnFnD4/My0rEkDU833PMI89dk2t1iBRjBnMsGx1 - aCCK43anUyqVHNclNJ1fXFB0zQl823Fm79mmKsregwfKhaJlWa7t5HI5CkF3d3e+VHz22WfjhPCS - MDY2ZjvOwsLC8uXLx8fHOZ6XNDWOY8xzjXZLFkTbdcIwLJZLYRimJMUYc6KQgn/7Nvmr+Qd/Y+6l - AEBIAQQpoPAXdkgU0F8cBf2mg2TkmX9LBf513/kDBokTlmGSJAEp5TkuSZIoCFOGsCybMYrm5+f7 - +vpASiEFSRRjxKQJwRhTQhHELOYgxK1Gu1LI66pOCAkj3/V9n8R6pTQ5O7f+xE1//f5r1DxzxKmd - tOIk86BZ7NGJQ9gQ3/J/bgnqCFM1CcKCpnKQDVLIcSLLsqYXH7d2Lcfgb37zmyzHmbazf/9+AKlt - 23v37o2iKBtD0TSNQTiO4+pA9emnn/zK129cs3KV5VlaTndtp6DrhUIul9Pm6/MLS/NDnaEwDB3X - AmnC88pRM5k/5Nn/740/Ohfr3/bcZ3XM0f3Rv40QwrJsCkGSJJhj0zQtVsrzS4uIwcVK+cDB5/Ol - YhJGKQSCJLZtU5ZlFqR3b9um6FpCiKJroiQ1ms0oimVFlRTVsA2EcZwkENAUUEFgIaF+4iUw6u7v - FTR+xYpRyzciEgRxks/rzWZbFGSGY2zX1ov5jmWCyJdUKU3TVqstS1K5q2vPvn1YEQHDpgizvBB4 - fjZCZVoWpWm1v+o6DsJIlhXTMAClHdf2GSYBKYeZ0Ah4iDBiYohESW92PI5TIwISFgIWkyiut5ZI - 6HleEPrBmrHVHdPkELN71y7TNERZAhhQRBHDRFHUbhuCIGDM0oS4rtPpdAzDyHpwjuMQClMKgzDG - HMY8FycpYGEKKIFpBNIIgyRJaJRInBymqa7roe2lCWEE3vIjtdhbbzdlliNBkECMqBCTlGNYSikE - hGUSQP12u5XLq57nA5JyrMrxYqfVKeSKIIkVUbQJUVWt2WgBChFCgiDwAtdqtYIo1DStUVuSZVnS - 5an56d7e3k6rLQqIpkmuqEzPHRkaGehYjbGVq5CIHnz44a6+Xs8N6q06ZjCFKQFpsVis1esUof7e - ass0wygud3fv3rMXYCRyvBv4lVJpfmkxp+tBHJE4JoDagSfKEkIoimOEURTHvCCkaZqRAXmOAwCk - CQEApBBgADMx1H8njmZvFmmc8gybRInECgBQVZLjIGzaTqWr1G4HQRwIguAGrqRKqq5MzU7lS/kU - ED/yu4q6bVqCLPQOVC3LysnFWq1mODbHcUEaR2kSJZGoSI7vKrrG8KzrOooih2EQBn65WIr9wHVd - kogMxqIkWb4bxXGhUmiZbQCArqhhGIaUVKuDB/buGRsZjkCaJnHHMgvdFRELoetDHwksC1kxCGPD - dkRVs2odoHBSXjdsgwIockKr0Rqo9rYcJ/YCjJEsi1EUQciKoggBdl0XYyyrquN7kMFhGPEIQ0gd - x+F5HkJsWlaumJuem262G6qipJjGUaTqutW2RJaBKS0rubbdkQWBkCTxfJ5l0zhCGKWA+MSN0pDR - UMKHrMLNz8/39/SiMPVJwAeia0V5uYuV5cWllsgLZb0YmBYmUFVyUZoYjimpmojB1PS4JIqKLNUa - dUbgdS6XJAQAaFk2x/GiJJE05XjeME1NkQVZsBxTEIVGu6FpGsDA8u3169dPT09XeirLly87fHgC - pEnguqLAq4rc7rQKxXwQ+qIoQgwZhqUQWI5TKBQIoH4UUkAZnsvsSF9wcYSU/sKt+kXDaoAAyCi6 - CFJ0VBAGAEohpZBCQCF7XiU/AAAgAElEQVQAENBM/ReAX/A/f5lAAV/ITwrAi4xfCkGaFd+/vkCn - R4/zh51jRRABkjIQAQBIFEMAeIYFAGQ9pozzt7Cw0FPp0mQFptRxXEkUEUKNRkPL5xGgkCAO4dh3 - zdjT1NzgQA+WUcylF7/x0rXHrIl9Xyz01F2Dn0lDl5Vtvb7T8xyjzTI8Yu1OoGvaT354q65wlmVw - vOh7LkCcwLOHn98/NzNNIXI8T9bkn9xxu+/7oR9omsZiDBjGcxxN0xzPTdP04JFDp2w5Pevj7508 - tPH0TSyFmiQSQgmJ73ngrvMvPPuhRx6zHYPnOYRg7Ps8xxJCaEpISjLBgj/kZfhviT9tJCYL+Mv7 - o/dOkiQUgky0N9OeLxQKrMB3Oh1ZUwkhkMFxEARxRCmNSMIwjCDwsiy7gd/V1VWv1/P5PArCnF6Y - n5+HEKqaTCnVchrG2POcdqOtKFI+n9d1tdWub7tnvL/aNzdlSrLabDZZXsj0xRHPGoah5VTbddIw - IISMjo4uzM8fOnh4cHBwsVkvd5XNjsEwzIrR5YcnJ1ySMhjTNF1aXCwUCq1Wy6TGQLXPMk1eFP0Y - yLwUW26XUkIMa1gmwiyTcp7rq12F5lJN0lRCIlHgcJBYZoekQJJklmVZDs9NzWVDDYQmECNZVURZ - sl0n64YgSjJPlqWlpWarpaqqYVmlUkmW5SCODNvK5/MQI8QygiL4cRSRBCAYJ4RhWJ7lKSWqLDYa - NV1QeFFw/TCME4UyMiNLkBVZHkI2JiEihKFAkgQRsmEYUJCyIusngSipgGLXD1qtVrW/d//B/cPL - hpv1Zn+1b35+IZfLsRwXBEG73U5IPDA4ODszIwjC4PBQo1ZPAci8IzI+pyBwhtmRJKmvrzo1O/ON - b9x0+plnDA4PLDXqup43LQtRFASBqsqe53iePzA8VGs2GIahCM7Nza1cubJer4uK3LHMRrvFsqzp - 2CzL6vmclCRe4Ou53PT0dLVabbVamf4YxjiTNs6m4RB4gTCUkv/4leil2QsAYBBOE8IgDFIaRkGl - XPaDwHXdRqOhaZofhpZlZX6l+w4cKJbylWJlamaSY3nDaOtqznEcx3EMw+jp6QmTkJclRZQww2Sy - /ZhjGYbJ9JMkScp0hFVZcUyLpqkmK2mcKIpSq9f7BgeanXanbQIAdF2PgthxXD1XqLfqPdXew4cP - l3MahLS/t79jO7yscBgjLxI4kYCkmNf8hPp+ONA/NLswb5MAsDCvy7HnYZFtOgbD8yRJOY4Jo4Dn - uMB2BUEJIz9r+7q+z/EshBAhkNHa4jgBADAMhhACBCFGFKa2azm+AwAIIl+SJMdzKaWmY0IIIxKn - aQoQJGnKiXIUBmkcYQ5bhlXu6ZqbW1BVtavQA0OmMbt03Jp180uLHEWIE03T09UcoiD0QqNt9HV3 - G4aBBAZzbAoI5kBZzXuOX19aXHvcupZp7tu9d/Vxx87Pz2d9n0z+u9PpiKKIWcb3fIBQHMdJSlzX - TZKE51kW4fmZ2X3PPHvBay9hGOT7vk88Pwwq5e6lRn14eNhybN/3ozjWNN1xnJHBoXq9HoYhLwiZ - AdALgr/Rv+XEIAooBSl64XO2P1pJ/GpJAQGFAEJA0xfTj74IDR5NyV/8+Iv7o7PdLz3gL0AX+Ivj - vDS9/0iC/rJ+DAaw3W7LolwqlBBAnU6HUloqlaMoYSADUsBgDiHq+46s6o7jOIEbxCGP4I6HHqQk - 5Xk+9P1KpRL40VMPH8jlCqLIEurGJJBldb81CTDjuRGHmSSMBU4gNKWUigJHKPF9F2IMIDRsi2fY - XC4XRVEURQLHMRwbRKEsy47v3fvAvYuLi3o+12q1EMN0l8qx64aul8vlRkZGHNcNw/Dw5NTGjRtN - q4MxlCSp0W5JkpRZvmdOsX/os/57j99xxyyFv932q0H/y1sWme5ndhtnVzR7n5N4wTEtRZTiICRR - nL3pDvUPkCimCQk9P/R8iRciP9BkxeoYeU2vLy1ASvoHqo7jpIS0Gs0j44dd26l296iSDCk9eODw - xvUnXXzRJQuzC5qiMwhrsiJwvCxKrutJvIggTNM09ANVVYMgmJ6ezmybOIahCbFNC1EgsNzuXbtk - XpB5Yajar/BiuVgKPL+/u7eUy9cXFquV7s5SS0lE43Cnm+2mTZCLcnqoCh4HbKLzMg3CsaFBHhCN - 4WLb5SHGEGV4qee69cWlqYnJIAgQhHEYsZgp5gt5PZdEcRAEvu/btt2o1RuNRvaEy6i+lUpFlmWO - 4wSWgxD6vk8pVSRZEkQGIkgBpAzDcDEhMQWyqkJEGR6JAitxTFEWielSw5UIFGMmrNuMA6SIT1ux - MdMJWmHigTAGkJeAIrksqSdWoiCpS1uyOl19/VGcqoo2PT3T29uDMYrCwPfc/r5qIZenadpf7TPa - nZmpWZhCmEKzYyUR0RXdNmwWsYBQmIKDBw6uXL5i06bNS/NLUxPTACBJVEAKi/l8o9HI7vAVK1bY - hkkTUtBzjmmtGlsxdWTCaLUxgCuXj9GEaLLiO64siEvzC81m03PcVrM5PDQU+H65VEIQRmFI0zTw - /ZSQTMv8Bb2pF1V6f6vsTQFlODZJkyRNOJ43LatWqwmCUCyUwyBOwqRcKB85MhmGcXd3b7FQNgxL - U/ThgeEkIq7lAgJIRMqFssRLJCJWx6jXalEYeq7LIAYQqqkqhxmaEJqQbAYbAJC5RzE812w2wzDM - 3LU4lsUUdOllEKQkiIeq/bZl2I4hK0K1r1cUZIlXmBgnZgDNSAUiimBgBolPSJAatY4mKK12Q5IE - Seb1nNQwaj719MFSyIOAA3bkpoTgFGTEhUy3RhCEjImJMWYYJnNyyE5p9oHneY5hOYZlMBfHJEkS - TuBTQAVFjmmCBMYnMZD5iIUxh1KRDVjUDnzACwSLCMo9xUFzwSugIu8LXMThhBkeHHn22WeTJMnl - Vc8yOEiBHxDX4xHT09MDMU5SwotCudzFs0K72YljEkVRpVJ54oknbMMcXbF8YW5+sH/A6LSLhTzP - cTRNWYZhEDbapiZrgACQwpH+4U6z01PpIRHZv/fAOVvPPe8vLtr59E5EEcZsT091aHDENK3e3mqz - 2YrDWJEUSZAyPw3LsgAAsix7rkvTFGMsCELoB79p7f1PrNhHF97f9K8Z+pICQCBIIaC/ss7To9uv - HOe/vp7/DjfwopFCxpXJ9GMMy6CQSorECVwKKIQwTROAAQEEYOTHJE6xYTpBEK1esdpqd1gIRZaN - fb+Uy3V3d80uzmOFh7JgkrCThu0kNkjaCWM3JV4Y5YsFXhIxhgwPAaJJGgMGx0kCMBYEiWE4juMg - gwlNHc+NkgixmBV4iqAXBiRNO4aFGS6zLi/m85ZlRWmiFvNI4OYbtUefeKLY3c1LomFbQRgilrE9 - lxeEOEkwwySEZDNxf1Tb7yP+HJCYXxtHXb6iKIrjmOd5jHHmCJ0JrYZhmFkgUUpbrVYQBIIgCILQ - brcLhUKm1x7HcaPR0HXd87yZyalischxnOPYeU0XBS4Mw8X5uUIhl9P0+++5L4qCcrGyMDvPc6Ku - 5rwgND2DQZjEiSiKnu0xDBMFYaVUDr1QFMXIDzudTrW3p16vS5JE42Skf3CpXhNFcf++fYVCwbIs - XddtyyrlC2kYL87O9RYrUxPT61YdE4WhGYQz05O5nAYp4QU+TZPFpQWOhVa7VS53yQyXBIEqqwFD - KCGuZU8emSBRjBlWFsQ4Dgf6+guFQsZojqKkp9Jldqzx2fH5hdns9LXb7aGR4UzoIgiCQqFACImC - UOKFTLacUgoo4jCTEuDHEStwtUYdYRwlYeA5eTUf+RGI4oIsOEZTEARNxIAkBVFJGByEIRa4II0C - mqQANjqtUm+351qEQpSQYi5ntAzPcHq6KoN9/Y1aXZblhCR5TV9YWOAwMz8zu2LFiv7eaqfd1jXN - d1yeYUFMEhQV9IIsqg7rcQxfW6jtY/YTCBzL7usbaBudVqPBMIzrumtWrTZabd/xPTf0PK+rXGk0 - m92VrgMHDoyNLk9Ssji/YLtOf1/VsqwTNm78+eOPD/T3W7Y9NDR04NDBZr2BMSZxkvErs/JOEITM - MinTdwf0t3Dme2neep7HMDizy5BlWRTFZrOZFUb5fL7VaimKkrXPrY7leV5ftbp3995KVzkK4+GR - IatjshDNT8/kFDWVZEJImpAgCHRNBwimhIaen8QxyzBRHMuSRAhpe4bE8Rl5lsNMLpebnJrKYJvA - 9oMgSEjUabcKmoYxbNSWOIRpQLpL5Va90V+qmI7DpDEvIpZnHc9VWJalwO8YgEKtXKh16h0zzJd1 - 13dNP2wHvooZRc0zmGcQl03cHO3iZzTM7HxGkccgxLKYEkAICcM4TpKs5Q8Qxhj7fohZJgGUJGG+ - t8xwLMIUYEgBTLIuCaKcIpmOnxPVNEpZILz50jfHUQAQDWKPExkAknMvPjtjBUUkUSWFRMiybFVV - f/CDH2g5LUpplKSN6elyqatcKAeer2laEiYD1T7b9URRhABklyYzM2nU6n19fUkYrRpb0VetRn4A - IUyjZOWFFzmOs3pspWvbLEQDfQPd3d2GYT786MMYMbuffnpszWrP9WBKGQancdKq1ZcvX+44TqfT - KhQKxWJxdmE+MwellAqi+LttE/w7OZq+CBVmIA395e/Dl3znj00H9dfGL+nHgF/ox+i63tPTY9t2 - s9PGmGEgogDEJJEEOUrSlCDLdNqtVk7VCqrum2a1q1RfmGUFPq9JrtXJaTIniqZtwRTKnCJxQhpQ - lmeiIEQIUJgmgEAGhnGKaByRKJcrhkFM0zSOCC8gN/BlTeU49qUGTxi8YIQUhiHHsBQzmQIq4lgK - gBeFYytWrD9h49TcrOHarMDzotBuGYqiZEMGGR/gf9pJ/0/xq+X/f8ACAAC8iED+6s/+tnfCS4/w - SwgnhEeftRjANE40WQk9n0GYkrS2uHTSSSdNT0/Xa/VCoVAulzmO27NnT7GQB5QeOXy4q6vruHXr - 9uzZYxjG8tFl+/btkyUxiaPJiSMve9nLDh48KHK8okjDgyNf/MLnH3v4kdHR0VazHscEQcaynDgI - GZ4XRVEQZSd0Xd/93g9/EJLIsg1RFH3ft0zzmFVrmvXGxPjh4447bmRoOAzDBx/YMTQ4qOt6b191 - 9+7dgesV9NzC3Hxjsfbxj350y2mvePSRh0LbbnWaLkrz5YLnOyzEsWmVc6V2uy3rOTsOh0aX/+3f - /h2JUwEzQRAIvEQRRADGUaRIchJFaZryPI8QCn0/6ywEbhC4nm06pmlm8jAAQs/zRFF0XTf0fJZl - BZ5PCPFdV1NUx3ODOIzCMIlDDEFEYlbg4zRpddpjY6MMhhOHDr3u4otXjY7psqTnVNt3AE69MEAU - sCGOvSRJoaLpMQVapTxdW7j2Ux93XZflOVmVPMet1ZcuOOuc7XduQyn1XW/1qlXlcrnVbk8cOSLx - wss3b4YQbtu2DaZ0sH9gcnLyEx/7eKPRGOjrM9odxzKOKrgIHI9Zxgl8AujQstFPfvpTcZLYtu05 - fhTEHGZGRkZkWZmZm6stLo4MDw8PDrIsW1tc5EUxr+v9/f1LC/OXveXy73zr25tPPtkxLc/z9u/b - p+n6li1bdu/evbi4GKcEIZTL5aiaUkrjMKKUsghjjCmgmaL5b5XPFAGAIWQwATQIAkppEISDg0Ou - 63Y6hqKoGzee0NfXZ9v2z372s2q1evJJmxq1WqdhKKJsx+7pp5yWhOT49eumJiZlWTYMg+d5QFJC - iCiKHctsG+3D05MHDx0CKXUdp1QqJVHs+34m6JzV65brZOLFYRimYSqLvKQW/NBrNhs5TWMh6u/t - v+iCV6dRNDs5sWHD+o7VQDy0iQd5NoGYBQI247yYW2q0Rlauun373Tsee4T4EAMRAKFQqqZhInNa - YMVxmD73zHO6qhACBUGoN9pBEAAAPM+bn5/3PAsBIAgchkwcx4RQiFBEUtf1kYQghAsLC3yLRRzT - 8azNvZU4jFgOxUGYQgAASSiFiHF8R+BESimJ4pHVQ0U999zOJ07YvGHX+E43SsfnDomKCEi6evmq - bin//O4DKtDfcvnbR1evfPRnj07NzfYODbium88VL33tpQjCO+64Y3x8vKDnPvvZz37gAx+IwqCn - UnnHX719+/btBw4cCMNQYLnQCxCAywaHXds59eRT9u7d6xgmppAHWIAM5KVqpSdKUp5hN59z6uGJ - I+PjR4572cZCqfiKV7zixhtvBCmVeeGK97z3L86/4LV/+bre7p43vOENq1evvmvb3Tt27ICSdFTQ - 7HcSv7rcvnQVfSlOk1Uw/3Zt/3Vf/mOuZo7CMFnzNxurtm0bYFSRRElVhNBNkjQFJAWUpmnkhzBl - GMSJvOx7QU9Xt9Fp0TgqdBVdo+kbrf7BgfmlRUFRaeQDy1E4RUZsp9Es5HIcJ7Qtw0kCTuJjSBGH - Qi8WkAQxYhFuNmuSJPEcK4qiYXQYjglj6jgOQqhYLPI8HwUhTYjned1d1cZSzWp3JF7gebFjWrqq - CZJcyOW//Z2bD+7efdrWrRwvAsTwkmh7riAIYRIzLOOFAYf/bHGKo/F7/x++AOL9vn/Nr/7eF93q - s6ag67ocx2V6J57n6bq+adOm8fFxCKGqqtdee+3k5OR555336c98ShAETdMMw5BledOmTSeddNKB - Awde9apXGYZx0003LRsaftPr3/DFL9yAMW41mp2k49leFJCFmfk9u3bv37+/UuleqtUH+wdm5uY3 - bNhwznnn7Xj04Xw532w2eZHjGZZEcW93z+f/+fpnntp5ww03rF+//qMf/egD2+/r7u4+tP/A1rPO - 6u7tDYLg9FNP+8pXb9yzZ8/yZaMcwmvGVkZh+PHrPvytb3zloWemPTa487E7DLOjs1yZiGdv3jI/ - N/OXp17+oY9/+q/es5KkgGXZOIohBQEN8lqeZRiR40kYR1HkOY6qybWlpUYThmEYE8IzfBzHURCz - LCsIAoQQYayq6sLCQhzHmdGg73kMwyRpnLEraGaBmxDHNpWi7iZRDJK+wYG5xQXfsY9fu7ZvqO+m - f73R6TRGlg+4wGv6DT90Iy9kPJwTisPV5QKv2lb05re948yTT/1asXuqsSCIDEuhbzurh8euueL9 - 0/sOurYz125cddX1ExMT3/ve9zDG11577cLCwss3ndys1XftfI7EicwLc1PTe/fu7coVJsYPjx86 - ZBgGx/KtdrNYKJ13wXmrVq++c9vdCLO1hUVF13K5HMeytYXFV529ZWBgoFAqtVqtH/7wh9dcffWj - jz562WWXvec97+m025qmAcs6/vjjF2bnNm/e/MpXvtK27bm5ubvuuusd734XwzB91eqhQ4f27d/f - bDYzGkQGumS+1uBF4Pq3zdtMNjQbEFVVlWVZSsGVV165Z8+e22+/3XGcU045Zffu3X19fevWreuu - 9Az1Dy1Mz1/3kWuvv/56TVGH+4d37959+MD4bbfdlpLYtu1cLhd6fl9f39jYGMNzvu1U8sX9YUQg - 4BjW8zye5c4880xFlO684w4W4ZUrV55z/nnZoNDIyMjpp5wmSeK3b7253W4Wcrk4TFRJZTH7xje+ - 8fu33MKw4PnDzy22pg8sPD/jzLmIpEAqIH1z75pBtfrww09uOv2M0HYruVJCE8t1mkstWVUSNygU - Zd9zfMeem5uJSmXXDQuFQhCE2YtHGIamafq+TQnhOIZBbBRFaQoYlkUcn1KKEKIEdJotThQ4gTXs - DqaIARAAhCAEiKYQUUoRxjlVMw0riGKOgpwujR/a/d4r3lYd7WYeDm78zlf71gyGJGEJ2ju367Tj - N3/k2g9ak5bbaieBz/N8rlycnJ0p5vIXnv8Xb3rTm7bfc8/k5OTy5cuPO3bttm3bSoXi1Mw0y3GZ - 4evo8MiuXbuqvb2LC0vdlcrI0PBDOx7ccPz6iUPjLc8/8vwhQRBkUeE4rlyssJzw+je94clnnm7W - Wt3lSrFY3Pzyl69cuTKv52oLi+/9mw9u33bPa//1kkJmgOD7V1xxxXXXXXfHT25PAWV4jlL63+lO - fBR9ySqYl86BvnQKif6RMWB+bbxUPyZ5iX6M7/tLS0uSIvb09NQadUIIgTGEkOdFWWQty8qpicgI - czNzb3jdJcevXX3w+X0ChyqVcr1Vl1WFEfhmq8NxYlEpOaYfhrEsyzseevCpXc9IWu7/Z++9oyS7 - ynvRnc4++VSuztPdk6SZUY5ICAUjgY2uyEnGNsEk+2IRBHLginBt865t2cgPLsEWCwO2LAPXQkbC - GIGQECiNRiNG0mjydE93dVeuOjnt8P440iCSjUC8JXvd749etbrqVK9zeodvf98veHnEIJBCMASA - gnEuojBQiTI/O7fSaRVusoqiYEJUxhhjrudlaeq73jlnnpUmSRrFzXrDNOYcx/GjcDAeIYQcyy5s - a88477woCAAAURTleX68nV1YLvyyWjjPpniGk5ifVoN56pOET/nkj9Pwfo627o9cW0ywYhsAQgIo - EYBASJ4zXdVYllFC5ufmuu326aeeurKysmfPnrvvuuv2229/5zvf2ajWGGOaQi3d+N23vf2aa66x - DX337t3/cvM/X3PNNRjAF172gkf3PLJ169bHHnmsbJcwQu2V9ZpT+W9XXP71W79erzYPHTgkJHzc - 2/fA/bvedfW7Ni0u3v2971qGWXFKqqW57qhcLl9x+RWFQQ+l9ODBg5OTk4uLi3fffbfrul/60pcc - xwnj+HOf+5w7Gk9PTkVRtD4YFn6EZ5xz6tS8eaI6/Y//5+MPevuUMqwT3V3Nzr7k984+/ZTTTz2F - KGqUMcW0oeSGqYVjr5geQALOeZ7lJdtBECgqsW07iPwoihzLAhJhjHkuMMZJGiGEMCGVSiUXnBAC - Va2oTKqqGqcyCAKsIAihY1q2ZTYnakEWASJ4JqMoKpertVpjz2OPXfS888593tlXvvqK5c6BOx69 - y92/TlRcd6blUJy+6awXXnB53Zzbc+/ebQvzpsStvYfNkgEg0FVYUdT3vuP3eBSoUiy31t7ytjdN - TzQfeXg3ksLStalm4+p3vfvQFS+ul6uzk1Pe2MMQn7jlBMnEGaedUXbK+/fuwxDnKZtoTGyYW9iy - aYuh6o5pm7o5OTnV7nYJVlaXjs3MzCwublxfXz98+MhZZ53VbXeWjy7dfdd3FucXTjnp5Mcff7xw - 2vu9//6ON73pTeeff/6unQ/ecsstf/VXf/W1W2/79Cc+2e12Tz3j9NnZ2ULUGEqZxYmiKBQTAdHx - gzJC6OkasBmGUeiYpWmaSFDRtEajcfDgQd/3XdcdDcaf/7svZFnmXFrKU8Y537XzodFgPD05s95q - W5Zl6dZZp5918o7tqkK/+Y1vYIBNzbRU/ZKLLj711FNzzu6469tOtfLAAw9kkhuE+FG4cdumF73o - Rf1O99avfjXLst/4jd945JFHbrrppnK5/LrXve6OO745u2Hm9W96/Sc+8QkFYoikOxhXjDIlGgPy - Za9+GTHTAWt98c5QZXA9c/Nc2WRMv+GiyxeU6be+/jV33fP95dZSFniUapOVWrNW1XTq9foWkfWK - MTFZ3bKwqVKqxnFOCHG9cDweh3EsgSyVSo5jACEQAhgSzrmUEBMiMRmMx5gqeZTouj41NcUBN01d - g6SqWxHPDNPMeQYAwAgwIMM4qjuWQShiQjN4qaxPLZoHuw9tPGcS3Bnv8/cIhE1onHfWOac+Z4dq - wCz0UI7Lpm06ZtrvnH7mGaPB6Dt3fxcyOT83Vy5Vkjj9wAc+8MqXv+KvP3r9u97z7guff8nO++4/ - cctWQ9eXjy5jRCzDKHDZJ23bfuZJZ0VeyLL8mve+b3Zi5ujRo1nGfv/3/3DHKafeefedw96QUi3L - WKfTu+UrX52bnV9bW5ubntmyaevErzc/8IfX/ubrf4sS5YQtW97+1rfu2LHDNE0JQS54nufoSbzV - L85k/hkrKPIpH/uh+vePf+FTvu0XWc9/GYGKfYEL+KR+TMpSohKWsDD0JRSGYZimHmepgIJnPE1j - jphjWoam5ynLo+z3fucdNcu46uu3Isw73aW13lrfHQzDsUAQA6WMGyduOgkDdN99D1x+xUv27nss - ynPLsEdxADGEmOScAyAZY79y8fOec+55f/+lf1wf9nVd931foVRRlEKirF6vT09OXXPNNStHl4CQ - SRCmcQIxghiruqYoiqqqfhhEUbS4uPiJT38KApBGMQfStu2cM4hRlqamaRYml8+e+GVU6f7L1poK - tGCBWi1UW+I4Lnrtc3NzW7Zs2bt37ytf+crrr7/+5JNPvuyyy84777xCYq4AfH3oQx+68sorwzA8 - 55yzrr766lqtdueddwIhzz///Kuuuur6v/roXy3/5draWq1U1nXjyKEjtUoNSdhaXa9VG5qmua4/ - 9scQwmZjMo5jQsjExASmcHKyGcfxnXfeCbiolKqVSuWS51/SarX2PPrIVVddNTU1dd9999mm+da3 - v/2b3/zm9OwMxhhDhDduGgwGU5OTZ597Viy9XIsuetVFi3I71jAeRLs+869db/W0+ef2R90dp5w8 - OTVTb04AwCiUZcdGjGKJWMbzNNN1Y+PGjbVqJU1jVdcglExw0zS/e/c9jDHGGOdcN/T5+flKtarr - ekFQ1xTKGJNCmKbZ7q7vefRRKUWSJrqq1ev1VCQlvRwCoVmmTGTo+WbJnJ1qbtu2bfeuu+fnZ2Nt - uABm2+oK0HLHtLLVpLpQ0uq0bFuWrYfjkaOWztp2slq226MONrBN6ac+/rErX/Vqx7Kfe8F5LMtX - V1f7/f5HPvKRP/iDP3Bd99yzzwEAvODSS1srK7Zpawod9geVUrlRrwMpAz+CEHGep0k+Pz9/2WUv - /M5372o2m57njUaj4sXi4qLrusvLyyeddFIhzVmr1S677DLTNF/84hdfd911hmFEUXT99ddff/1H - HcehlK6urtZqtf2U3h8AACAASURBVEL9OQmTTZs2Xfnq1/z5X17nuq5l2xjj4q2ih10gY4QQnHOA - n147Kcuy4iBFKbVtZ3l5uV6p3nbbbc997nOFEBs3buz1ehdccMHZZ5/9ta99bfsJJ5507qlJFH/l - K1+ZmJgAQjDGapXq/Pziaaec8s1vfEPBxHc9guHmTZvOe85zcsb27Pk+VmmlVFZNnQE5SfBznvMc - PwyGw+H0zMzMxOQ555zj+/611177xS9+0bKMV7zq5U7FeWDXg/VmzVGtJIjLRnVubh4DmuaZWTak - xqt6SWuQzQsbjXSo6Q2zByoTah2qFNneuGfoypbNG1dWWiLPOAIJz1SCqYKdkgEVOT8/b+oWxmoY - hhCNfN8XQmi6Wq/XdV1RMBaCIYABAFJCAGGQM2N9HXLOOa9UKlu3bPFDD2CAEbINM3FTxzCjSEop - FYISllPbCpNYcqSqiucPrFIFaaI+Zf7tbV8886JTUcOkui5dsVDeEPPQD8aGqg5HPgccAEFU6gZ+ - GIYlw+p0egtzC6VSSdf1ffv2veMd71hYWPiNX3/dcrt18cUXbzvxxKWlpQfu39leW5tsTnDOB91e - s9lstVds215faU1PT6+urlYqFYzVfn+IIaJUAwBompZkaRRFECEIoUqUwPM9z3v729/+/IsufumL - X3LPzns76+1vf/vbhVNYzpmUkijkp3gnPb34GTeUgvIJn1J9eTY3jP79YIwRQkTOiinGGEvS5AlJ - d0KklKtrrbm5mYKZHmURUkkSxgCAOA5Zkk7WG+HQZb73ohf+6ilnbf/Y31y/9YytS/fcPs7d+uxE - EjJM6a+8/NJmdeLxpUOVRiVjLI4TU9VZxlVVUzBPkoxwaermr17+q5deeuk/feXLgevNzMx0+r08 - ywAAqqoKzoMgYFl+8MCBf/j8F677yP/62q233XXHtzVN40CGYVitVjdt2bxjx45//eptL37pSxCX - CsIYobLjDN0xpZQDqapqmqboP0F17BeNn0snRspimQ6CwLIsDmSWZaZpFj7mCCGIUZZlEMJms1kg - p2zb3rt3r67rxSkTY2xoep7nEsgnZE8xPo4bf0ZuDCGUZRklSrHBHLcg0HVdUZTt27e/9KUvRQj9 - 2q/92h133HH//ff/9V//9Yc+9KFNmzZFUXThhRfeeOONhchjmqY33HDD2trahz/8YYXi9Xbruj// - i/PPP3/n8x64/fbbh70hQkRVdYwpl7BUqriuG6eZadi2VdJUY3l1ZTgccs4ef/yx5lQzjKMw9A3N - 3L179xWXv/jw4UOD0eDWW28tLMra7fbDe75/17fv/B8fuPaBB3eWy2VK6bA/cCw7ZhnC+J777n3F - bz1v3AkjkCdIVG0ndWNBkJ/Fd9x15+mnXLJ0bLk76B9bWcmzSCfouWefrUjdoPrO+x8sm3atVivs - FCDUVE1jLONSAACazaZhGIPe8OjRo1QlpmkWSA7GmK7rUMg4ji3TzLKsoBADJB3HWV9fX11rrfXW - IpllBFNNRQlQKV1fW0WQD/p9UzeiPK7Ua3igbz31pAB6kIlcpgfbq/b+veqWapSlaZ6bhtFaXTUC - J+RxFuRe4DqOk+b52HOHx5bVsn3HHXfcdNNNr3/969vtNsZ4aWlpYWHh0UcfFULsfXRvo1qrVqvf - +ta33vm7V41Go4zlCCGAICI4SmImuKLqUZrV6nUIsBCAczkaupZlXXDBBX/8x39MKb3hhhs45zff - fPPnPve5hx9++HWve90tt9xyxhln3HDDDevr64U1kq7rg8EgTdPhcGgYxgc/+ME3vvGNC5s2CiGi - OC7KXcfHdqF0/oRm6NMct8ddmaIoiqLINM3ClK5Iksbj8UUXXfSSl7zkfe97X6Gac/PNNz+655Fr - r33/N77xDV1VHccpmqEbN25cXl7eMDsHIQSSFzZ4o9FI07Sh77bbbYkhokou+LG/X3nRi17Ek2w8 - Hjcq1SNHjvzJn/zJpz/96ampqdFodP9DDwjATzvrzNF4nOHEG7orcas/HDPAIUZhHJXL6jfvvcdw - rD5znWolzZBiqo8e3O9MGiahQMGdQX8cMz8KkzxTdI3xVEcoSdBqex0Toltm6IW2TXVdt232ghe8 - gEsJEQiCQFWxNx5rGo2C+AniEkIgZ9u3b5+bmlIR0RVybHmZS6Zr+nA4HA6HQRygPk6SCCHE8hRR - JfWZRDCViS941da3n7SYZdnS6tJFF1301Xu/BoQQQuiaFgRBJCJnylnyjigKxQC7rpvmWTQYhK6/ - YWrmJS95CRRyYWFh165d77r6PePB8DOf/tvPfvazI9+97bbbLrnkEsMw7r/33lqtlsaJ7/v6+Rcc - Pnw4TVPLsiilnuc5jqMoShAkURQRQjqdDkAIIMikiIPIcRxCyAknnHD08JEwDB3H2bZt29KxY/V6 - fefOncvLy41Go1KphHGUJEnx7wRPaVk+41Z/xTgEQhRLk0SQMRYEgW3bjuO0223DNIu/e1wbXUr5 - 7N8xj8v4AgCKCVtYwuV5LqVUVAoAWFlZqdRrExMTy8lyBjOtqidugjCoOKVw6FetUhh5Rw4d3XHm - tt98+xu+uetbD3UOnv3Cc2CFakKZLW/PZog+Vd9+yRmJJqcW5uawygXQDMuplkfBGCFIFawi9Km/ - +1uzYmumtn3btiRJ5qZnDNvKOYuiqLF1KxAyCaOSZb/21a/BEKVRfP1HP3rNe98nMibC1BOjg+m+ - P3zPNQf37kdMIoAkl4BLjWqSCUAhhqhAU0j+f72TfizyPDcMI01TzrmqqoSQLE1UVS0IzMWDC/2A - UAUIqes6AjAMwwLVnyWp4ziSi6IBWSqVCjk1XdcLJMETbbxnIgpoZ/GdxZcfx8ccPHjwU5/6FOf8 - 6quvvu+++4bD4ezs7Nve9jbbtoMgKJKYhx56qIDLQAgvu+wyAEC3202S5JZbbgn8aOfOnffee5/r - urVGQzfNm2/5ylve9lZC1aVjK9u2bTty9Oigf0wAGUThpz/96aWVYzNrM3ML8wcO7Vc05ZRTTjnj - 1DNOP/W0aqn+22958/0P7vybv/mbr3/96y+94sXPf/7zb77lKwN3dPqZZ1xwyUUf+chHsiw7ZcdJ - o8EwyTKg4NV255vfvu/Wh++qX3ziZHN+eKxnpQ4VJQWX7rr/zpmpU1ZbLdcdISh1TduyYe71r38j - i0QSJo89slcz9MnJyWq1KjjzAjfJUohBUSI64/Sz8jxfOrIcBMH2HSfqul6r1/v9vpTS8zzbMDVN - K/7dhegOEzkUTDeNcrnc8QY8yfM8x1hhaaoAaWk6RiJ2/cALH997SNi5Tqo4iVma8ZxBodRrjXJ1 - 1q5Ofue+Gze++uQMCIng0dUl6hjUpna1/OpXX/nCyy8/4YQdt3zlq6pBf/vNbz7zzDMfeughx3G+ - /OUvf+xjH5ucnHzFy17e7XZ3bNve7/X2HdgPENx/5EAYRZqhm6a5srLCBJ+cnhqNRg89vHt5eZnq - GgcyjuPCjTxL0n0H9v/RH/1Rp9P5xCc+kSTJaaedVqvVbNv+5Cc/ORwOP/zhD7/85S/v93uLi4vl - cnnHjh3nnHPOgw8+WKlU/vkrN990003veOdVt99+O1GUEqWMseMo8uJFMQghhE+XV+g4Tr/f1zTN - tm3X9SYnJwPX++hHP5okyfbt2x/d89gb3vCGe++998orr/za1762e8/3zz3znF9/7Wv27t1LKXV9 - n3N+9/e++7a3vHnfvn0IoTCO0jzL00ShVCXavgP7Hz+wn2gqxCjKksgd15qNKI41qppOudNuP+es - s+M4rtVq/X5/796973zPu//6f3+83V1/7W/85rFjqzDn2zafmGlMNzUJeJZlOx/YfcZ5J1TMRshS - bJQylfhc6gBnubbaDVgUD6M0A6jV63MphaJwBUmiZEIKIaSijt3w+AEmTdM8z+fn52uNRpoljzzy - SJIEWZbpulqAhNI0hQhJxmzb3rx5c71U8UfDfr8fpVGW56Wyg6mCBY2yNOW5pmgS4FRygTETMo6i - km7Oziw+9sihh66+ts+69RPrvCypICMWlmlJAvlvj9z+yXs/UY5Kv/vmdwkgCxF307aSIBqOR3d+ - 59tJFLdaLdM00zSJ4/hjH/tYv9+PssR07AceeCAMw/n5+Xa7TQjRNA1g1G63//y66179yldyKeYX - F9ZWW0SlE7OTAop//bev/9vtX9928klJkqiatrC4eMkllwyHw5kNc2tra3/3d3935ZVXNiYmbv7q - v8Qsuepd7zznOed+5rOfjeM4TdOMs+KJAQDkk9nMzxf/zugsEnEoAUaI52w8Hm9cWJBJZlKtv95R - IErDyC6X4jjGCOWM/WeXiC0UyRljGCKI0Wg0Qgg1GrXuqO/6I8SAaZqddrtSKgEOABdT07OIkrvu - /m6XjTfsWNh81jY6aY0HAfMlqesDNjraXak69YE79PqRbZc4At7QS7NENWiYJaM4UlVlFIxt2z58 - 4GFFUy3LOnzgIFKI4zit4aqlG0BK3/d916OU1mq1zZs3M8Z0qlKIdcu0S8705BQlCsWEZ7lgXMEk - SxJTNxDGGWdAypznBD1d04n/fPG0M4aCDymEKM5GSZJEQaCqqqaqaZxACE1bh0IqmmqoWtkpYQAp - pRjAKIrCsecxhgHknE82mkXyjgFEEgghCcYUk6cLvP9p7CRRGJFjCAFCEnMpuBRpnlmOY5dKaZoO - x+PPfeELYRxXarWv3nbbysrKzbfcQlXljz/yp+//wLWPPPDA7JYtzWbz29++a+/efaap93q9yamp - peXlLMvuuf97a+vtRr0+HI4OHz30+je+8W8/85lLnn/xq1/7qvW1zgUXX9SsNf/mMzds2rpJN7Wt - J2+9+3t3xWk0v3G+0+l85667/LF/913fqTi1++67r1SrvvFNb0qT5POf/3ycpf/zT//EdV0JgO/7 - mJDN8/NHji1rqhokUbvf++23/neiwMsv+a0jcU+OyFmz54Wd3q++9dL+4fWLL76iP/Z+/XWvrZQs - FYEsToNxULbLPg9r5VqlUpmbnqlWq1ESJ1EUhmGSJALwer2OCFlcXByNRkcPLxX6HHEcr66urqys - YKrEcbxpYdE0TYJx0WvTdT1mME6TjLNja2vjIJqan++Nh3HgV0xLZGkceM1GvaI71YUT/V6ycmiN - 1xTbmTXtDWmcIga5y/ft6R575JunnvU8e2LySHfNnqn7Kqe2FmTReDi+9Zu3f+mWr2pU67bWo8B/ - aPf3O52OlBISfPc99+7Z8yildL3Tnp6e3rv/cdM0J2anIEGt7jql9IqXXmGaZlEImZycXFlfNWxj - YeO8UynPLy6srKxE7ljX9TzNbvyHmxYWFjx/vLa2BgD45Kc/NRqNPM8LguDWr932zne/iwm+acvm - AwcOUE3df/DA3r17G41GlMTveOdVg8FgcXFxPB4XBCLV0DljAgKJoIAAPmVfebqF96L5WAhVEQi9 - 0cg0rde85jXVanU8HiuKcuNN/4AQWlhYiNNoaWnp3u9+b/PGTQcOHKhUKhs3LzanmmudtbX2uoTg - I3/2kXK5vLq6Ojs1PRwO73nwXoDRi/7b5d+6606IUaVataXoDfp/+sd/csIJJ1i6sWFu7i/+159t - 3779z677iwcffDDj7I/e//4PXfvh5154wVt+5y1TU1OUKF4crrfaiq4BIJ573vmP73343rseIqas - 1GZVLx2OEpIAEJNhri6jPI1zozk3szlrBel6d10zjESBENIoTjADhl2HWNN1E3FZ7B9FQqPrOuN5 - MYUBAMeTQiG4FCKKIkVRMIC6rqchlQgSqkQsASoJeJZjwJHgFEkFcIQkABJhrBCblkScrbe8k07c - pmgyw/F6tEo0xVsJHavEY9YadWxZuuTCFyoB/d5Du3YdO/zIo3v1askdjizDGAz6d959VxrFhfG7 - oii1Wm3nrgdnZmaGvsuF8Hw/SRLf94mqVCu1Xqdz/84HLrzgeaPR6KE9uwPfP2Hb1tNOO6U3GGaM - 7drzECG0XK8kWZyy1HcHQ3cYZ3FrZaVarQ7d8Wg8aHfXe52uYZlciv/nz/6sVCqFYcg5Z4Jrhh4E - AVVVISWAUgCJn1SU+PniOMblqWspJQoQMk9TBCBBeNPcfH+tU6tURiMXS7Bhbm7kjuMo4WmmGDo4 - fvKUPzrmn22Np6fe6ZOSgAgAICXEWGEiRwgRogTjsYe8xmRtYcPssaVlP/AgBJZt98dumGZhmnX7 - g7EXTM3MYal5X/fziOkMKAI0S1UiRRz6CoIYY855FEWmafteiGmU8iyJYZLFuq4uLs43JyfOPffc - UX+wtLI6GAyEECZVRc4iPwg9v16rFcfvIAqTPAujuNvtzU9vUFV9OBxPTc9KII8dO7a4aSNGyNKN - ojcCEJIcAAAgRBQT8MwR2Z618fMkMWmaFtqarutCCCuVCqXU930AgJSy0+mYhuGNxgGEeZY1Gg0p - 5dj3e+ttx3GemG0QFhK6AIBCqeU4b/CZyuiPM0QQQkXBsCghuq4rhCiXyxs2bOh2u/1+v9lsPvjg - g+VyudVqKZR8/OMf931/66mn6rq+trbmmNb6+noQBEkSbd682bCt2VK51WoRqoRpTDX6/37yfyMA - hQAqUcbjcaVSi+OY5UKhGBHCRL6waf6RvXuIrigqMW2rXK0keTbs9Ucjf2bDXLVW27lz5+TERJqm - jUZj9+7d5UqFMZbk2fzGxV6vhxQSRtEH/+eHQ8+nlPTG/ZnFuUES2NWSqpD15ZW5crWzvFavVFPG - ISEQK3nOIIS2aa631ocjt2SXAYTVRh0ryrDT6XY7njcOw5CL3LCsJEmOHj1a8AxPP/103VAxxg/t - 3u15Xi54EAS1ckVRlBwAiBCSKMsy3dSJRiuVSq1Waw0Gg/5YcGZSg3OeZxmGyBuNb775FoxxmISB - TEFJi2CGDBUhpDJFxELEPHGjmlW+8R+/3B8OVtZWzYqd5CAXzHDstW6PIBJGaSak5ZSCIIjjWDON - NE1N04yz1AuDqQ2zfhDUJ5u6rn/2C5/vd3t/f9M/jsfjUqmkabpKFMZYxlnhiIYIrjYn9h88WCqV - GhMTGELP8zSqHjp0CCI5Nz/f73Z37d5dr1bjNBVCvOFNb+J5ruq6lLIxOZEkyeGlo7VyJUziaqN+ - 9513nnnuuffce2+pVEpZHoahLcWPK2P+fCNZCKHretG5K1m253mOUypO3o1Go9Fo9Hq9SqWya9eu - xcXFkTu0y3Zv2C1VyilL9h888Nbffatk4C8/ep2pG8PhkDHWbDZ5lhddMEyVUqUUpPE48Ku6mrHc - NM0PfOAD9XodMF6M9htvvPFzf/+FJE0ppUePHn3/+6/tdtvNmUmWc5ZxAMDW7SfwlF36q5e2VlZK - piGgUAwU5CGnMsGSKRQyogaCZIhz0JyeCfN0eXWl0ihJwpM80wxdEpCnAiKCEOFMQiDzPNc0LUmS - 3bt3P/b44wDKLMsgFEUJkOVPQhYAiMJwPBopEFUrpbJT8n3XcCwIcCZyNwwERVTTEaYpz7jkCtWi - MDU0GqcZSPnuhx8bdEe97ipSwVL3SGOuEYpAM7UkjIjENrU1pIoIsxwASubn5904TFnOGINIep4H - IUQAjEYj27KISqmmxiyzLKvX71cqlSL9Go/HURQRSnftfijJ0iOHDmOMWZaXbce2rDCKAEZpnmU5 - Ny0dK2qlVgYEVyqVtbW1Tq9nl0rlakVyNvK92YUNw+EQSGQYxrFjx0qVMoAAYBSGoaqqTzCGfrgS - 89Qq4M8YRTHmR7C6AIAkSXRVMzQ9S9I8ThMm8zD2uPTcsaKpywcP64YhOCs5NpeS5xmEUMD/PylT - z3AUNjVYEi4YAlhRlDzjvXbPMJUNUzOBVhqOR4pBq5N1oFODlh47eHB5dEyaXJ3UX3LxFSZ1kl7a - pDX/aOcbj32VcBqPgs7aOkJIN9QoClSVSiQh41nCFYVYhumOx1+86Z+8gZ+yvFCH0nT9+K460Wxq - mrZv375H9zyyvr4OAFjrduY3LrKEjYYDVVVNx15vt1vra/3RsFavd/q9IAjOOOvMBx96yLQtiknG - Gef8v34d5udIYtI0LTAxlNJKpQIhpIpSCDZPTk4yxtbW1nSqSimTMMriJPIDVVXjICxEQiHBPGcZ - Z57nmaZZKpUKW/k8ywBCT1dUA/z0TL/IgosTOcAIAKloqmrohCpSyiAKk+FgYmKCCV6uVlqtlhf4 - qq4BIPft36/rOoBw+dgxIKWmqISQ/mg4MzOz1mlTTeVA6pY5Go3SKG02m6ura6Zp6pohECCG5seR - oii6TnRdD4Jg7I8OHsmNkmlY+uraqmEYCOP+YBAnsaE7AMLdu3dv3brV97yJiYlOpzM1NRXFsWVZ - JoJHlo4ahiEhmJieGg+G1Vo1CuOFxW1Hjy6fuGUrSGXiJnVQdzvxzNTm1fWVxU0Ly61VReGOU3ZH - 3qEjRz7wwQ+6QbhxfmMeZbphhF7U6fU67Xaep1JKpCCE0GAwWF/vaArtdDrNZtP3/fF4vLS0ZBhG - kmcAAKprhm2Fnk8pTfIkyVKgovVu58DBgwiSSqU2HI8Q5FbJIQQRBFQIoQSr611FUYeerzsWC+JE - sFRGec50QHWFalQlWO+Px5qmrQ97dq2ENer5PgfSMNTx2J1oTiGAo6SvlxyJYBBHhm2Zpun5fp7n - lUolimMhpVUu7dmzp16rTc9NB65XUxVVVT3PSzKkKArEEBFcL9fjLPUjv1Ira5oWp5HveXEQblzY - pFtmnqeu78Vpqhm6hDBK4k2LGwej4Wg0Mm1r3B5Va7XuoO+USgCj8XAoAdh68kntXle3zFxwRaU1 - QxdCCCABgkACCQGXEvy84EfJBCZISm5qemGRs7R0dMuWLa7rpmmye/dD9Xp9ZS3cuGWTqqq+72ma - OhoOHbs00Zz0XP9Ya2Xj/GKn79abdeiPKVUFklGeTMxOGYb5+P59fh4jheiOleaZkFJK2Ww22+22 - RpTFxcVhrx9FEZMiSVOJYJqmjWrTNG1TNwdJoiiK644oUXrrbQ2rE40mQmgwGgqoaEqNxUxVkOsF - pmNgHUFFpmkep1Eq02rDMk2S5ilPfKJCqmGWCXfcRYCN3WHZtDkHtm0LIXbt2hVEUXOisWnTJowl - xrgguxTbM8aY87zdXnOHAwjAc887P8lSDViEKkwKQpUMAwEBgIADKAECABiGIZmAEJqOHfbd9V6X - Mamr2taFUzjI8zGHMcaZVq3VMsZ6QSglnZvbcPDgQT7sT0xNRGEoMLBtMwM5xpgSJRslRfdQs6zW - 2vrMzIxl2YWxkYBANXTXd6WUJ560fb3bYVBsWFggEI3H46W1Fdu2bctBuqJDFAT++sqxSr2KEE6y - 2A+9zVs3jccjXdOyPPPi0E6TXAqEkACSaqqiqVmWCcZN00yz7EeSlV/k7PfUptJx0qimaXEUa0Qh - AFp2aXVpeaJaHw6HcxNTmCpHjh4lECkqTcNYQqDrWpJlCEHw9LOoZ0kgCQqvJSmxYEJVNIyI541s - rQKYNDSTEK3njrr+8Hfee1WtXKIqjlO+3lpND0aSsPiBWCrQUA2T6amblkt1PVce2flwv9tViA4p - ynmqabqlmFmeSAh5Ljqr3UOPH1ZVtWAkmbaRpYxzXqhTr66uNhqNqdmZSy+9lBCl0+msdNdf8upX - 7ti6PfT9wWDQmJjYf/jIu977vnsfuL/THzQmmpVa9Y477ti0ZUuaZ3meU0WRUgrxfysxPxZFxyFN - 0wJl0ul0VEpLpVKhomGoWtl24jimioJNUzDujsamafI0n2xOeJ6nEYIVBQAwMzmlW2YYhisrK7Zt - m6YJICzqyc/IjT31gAIhzPO8QPhijA3D8Dyv0FYvxIUcx4njuNFo5HnWbrfjODYMY2ZmRtO0Xqfn - hxFV1CzPOZcgy0bu2CmXdds6dOiQxKhUKydJFmYx4FJVVUrUSqW295FHJZLVUnnTli1DfxynQafb - dSplx3HW1tYalabjOBjSQa9fLpfzPCeEtFqtubm5VqsFIMRU8cd+nuc7TjrpnnvugRAyzoajURTF - GQCzs7M1u9RebSEBIy+yHLs/ck2rtHRsTbcdRNHR1VbZdjTdHI1dIeHR5eW5qVkv8EeDcRhHGWc5 - Z5TSZrNRgJkQIpEQjYkmBBBCePTo0WJqJXmm63qhrEApTVkuISgoS1tPOGFycvLg0hEoZMW2FAiC - MIyg4CL3M4YBxlhxTJU6BGDMo9jQLJVAJjKLahCILEl67tA0DSZzrCDDMn3ft00HAKjres2stFot - VVXr9WoaRt1ut1qtRnEcx7FTKQOM2r1us9mMPffgkcOnnXnGwYMH++MRSzOFYqBASCDESCDIszxl - uUQwjCKJcVGT933fNE1n2hm5RTOI61JMz84Mh8M0zwAA+w7sL5VKzcmJ0WhkWlYxgAzD6Ha7pWoF - ITRyxwVWHYAfaPIeB1r+tEH4M8bxdm0BOzMMw3GclZUVxphlWSeccMJwOOSMq6q6srKCMaS6Zjgm - wujoypJO9U2bN4dhSDS11WlTXTMMo7W6Wq/XVzvrpmFpllmdqLueJ6QUnBen+NFoNNWcUClttVo6 - VXPBGeeVeg0rBATQCz2q01arNTU1NXbdhYWN3mBUr9R1rAAh+t64MT3V7Q9RLhzF8txxxbEAB2Ga - Cg4IgFEQpiJVDSLznGS5JQSNM4gJFGzU78xvmHEcx9LNwcAthEp93/eCQNNVRVGSJFAwVhSsKIU0 - mdAIoZQKIeI4jqMoz1NKKRBMSpnFSdkp+WmcZIwDgRFCmIiMQyEgF5pqSpFhDaQgNUpaGMcyE97Y - VaA635gL4ijOE9eLkKYjVR8mMcf4pG0nHjl4UNOpopI0TRlnUZJX7IplWYJJiBCmVLfMII4a1Vq7 - 1zFNs9frzczMcMPo9nvdQT/O0tmF+ZXVVQAAxrg22TRtY+noMaprECOE0HkXPndtbS1JkjAKbNvG - GOd5blgm3xNHnQAAIABJREFU4nhqejqIokxwnqWYKk6lXMgAIowZ5wUBAkII4A+kyX++dfKpROjj - JGoJAZNCURQFESS4Pxo7mpFG8Zdu+qfJ6akjx5a//OUv33zrv2hUZUISTRWMIwCEkBCB47PgGcca - /1KDEJJlmaJQArHImQRS09U8M4b9oWDC0K25DRtL9bJVKz96YB+EcjzsYSKrtZKUecoygCQHnGJK - Bc7iXACkm1aWC1U3hRAZTxhjKJWEECBklqc845blUEXTNC2M/ILV+IQRG0JF3XEwGNxwww0QoTTN - 6vW67/uOZQMOhv1BtVqt1+vD8cg0zcFgUCqVBu44jONtO3aMx2NEMEKoYJsS8l+/FvP0gb2CCw44 - 54XwOaX0kksuueyyy+qVaqvVKtmOZVlF874A7dqmBSFsr601JyfDMEQEc84lBIZhIIT6/f7Bgwdv - +/q/RlGEEFIp/cXFKIup8yQmBgEAi20YAJBlWZQmRKVBHEEIN2zYgKkSBIGAIGX50soxyzLtcsmy - rFarFbXXHdMqlPFs225324ZhZByYph5EoWFoTtkeeyMhRK1Ri6IIAOS7vqbwpWPL20/clmTpsD8Y - jkfj0NMMxbAtJlicJAWQSKPqocOHtm/fztJsOBzqmmZZ1srScqlaoarqBn6z2ez0uvfdd9/MzEwc - RY5lE4gM26C2VioZy639SRqmaWY1SgykVKUE4EzwjEsocXVmCnKR5gxRQjEFAk5NTwdx1Ol1h4MB - hFBRKVXVxkTTcmzf9x1LL066URwvHT1adNzjOKaUVqvVos6PMVYUpUAvmKp5yfN/5dQzTv/+3kdX - WqthGG7cMM8I7kdRtV6zDDsMok6vH4xdahkEQYUqUTDOpSiX7CweszxVVDI9XY3jiChSchQMhwrW - yqq93mp7+ajZrFtEESzXiQWoYuhlqqntdrtcrsRpalmmqmtjz63V64HvPrr3kS1btoRhGCV5EqcC - AKdsh2GYZHG9WnN9b+yN7FIpTrOc54QqTrmEISqICZVKxTT1w0tHIcFJmmCMnUo5y7Jytbq+vl4c - 31utVqPRGPve7PyGQ4cOlSploiiWbXuep2kagjCK4wJ5CiFERbO00FqEAAr5dAXvJBc5Y4qi6JYe - RkFBbKnX62HkKwpZ764jhKrVcq/XMQxtemay0+5JKCSSpm2Uncrq+uqg3T7vwguLVmmcpROTk1EU - WbZdWMqNxmOJYIG5MVRNVdX11ZahasPBQDJenawOxiOnVHIDXyKY5zkmsFGr+77num4QRKaeAIEU - CJHgiIBUJKPUA7aSJBlmIdUxF2mW5BISVdPL1EniGOUQ5kAkmSpxWdo8YIJwxuHa4SXbtIqCUzE3 - CyJMgaYHT0o9SSkhRMdzRKwQiJFKsOBKnueGpgvGheS9QQ8xINNcwygXkhAIBZBMYEwQwbHbwxTX - JuthFq2P1jRNA4hXpyrpOFxdXeVAlmq1SmMig3BtNJwqOYTS5eVlQ9cZy1LAVZ0yyRBAURKqmEoJ - 0jSFQmimlYRBbziQEgRB2Jhojj1XVdXJqanRsJ9kMRM5UpDjOL7vcyiG3siuOhCjAr798J7dRb/P - 87xqpb7eWZdAZjwHUIZZHGVJo1rjOQt8XwihG8ZxBDRWCEt+AO99qk/cM7J4QgDSNDWwkiepCnE4 - 9hzT0hHZtnGzxGjblq1v++0333777YUrdmHVflx/70dG+7OqLPMTHw+UsPgJJZRcIIIRIizLJJMU - KxJbfhC5QTI5G0uMllvHVFWtViqWqOgabTRq99xzz+zsLAQEQRx6sdCoapXiNIkFYlDqhp6lsaZg - wHCeJGkuMVYoUaMs0wRImAi9MRC8oKEgABiXnElDSoSQbhiEKBJBomkCwVTymOdAQGyZbpYqWepn - ySDwSqVSdWrCsGxElCAKmeAwFwghBCAmigTPLnbSLyOx/QlJjIT/3shTFOWJ9iHGAADTMKSU999z - b2ulZeoGpXR1+VihXooRyvO8XC6bmr6yslKYzA3GI1VV5zZsCMOwN+w7jnPWOWfnSappWkEifaZu - rKDSHe8ZF3xU0zQLrrVt24ZhrK2tFX43EMKpqakCWoUQarfb09PT7XZb0zQgAABoOB5VqzUIwdj3 - whDIKKS0eeKJJx44cIBL0e12VV3jubAcq+yUmWTD8QBg5JStMImr1bIfegAAAGWWZAQrBVd24+bF - bretKApSEBO5aZpSmoRi13UFFK7v6bpuGEYUhnmeh35QKpXSPJneOLW8fFQRElEguRSIcw4C3zMU - 06mUozQbjV3VMsqW2W6vVO2SaZrj/rg/6LqDYeRFQopqyUnyTNVV3bHMsuOFAZeCSDjsDyzL2r9/ - //T0dBTHaZpO1mszMzO1Ws0PA4wxhDL0YtM2CKW33/5v37vve+ud9uatm1utVpJGSZI4lp0m+aB/ - rFQqm7aNFaLoRqfdnrRLpZKd8ZwgKRSAIJJAeJ5LCEnjxDBMRkDgx95g5Ohmbbaysnas0ai77ojz - nDE2Go0QwZZlhUls23av17Uc2zCMMAjyPJ+ZmVlZWTEMo9FouK4bx3GchIZqUEpd38vzXDOMwi3F - c11V0xVFSaIYAjDVnDhw4MDk5ORUcyLLMse0Upb7vm/bdrfbzfO8sK+yLAtwgQHstTszk1MCAtf3 - BCaaqiIJEIT2k2YlEEL4JLCxOIUelzr92Zfy41oDhWABhLBSLg8HA9u2c1aY3NIsywph5bX1dcmB - aRvuyKvWK91uV0Jw3oUXHl1eisOo0WgwJtzAL2iDfhRKCIQQEGFCCM/yFMA8y2q1moIJxtiqWoW5 - WBzHcRzXm40ki6Xk/X53YqIR+fHUxGQYhmkYTTXq424HqXhyZro9HkCFSggAgZIDhBBkQKOUM+4n - 4zzJdcfQNC3yXAwExTjLJcVqDsS+A4dKll0r1auVCqKYarpEIOW5qqoAwigJMUKE0jyNheAYYwUB - hFDBmuEYIYK9wG82m1mW5ZytLB/zRuMkjyu1KoWkUDbCAEIhpOS6oVKdHjxyYGJmwrB0hFAURjqh - qWCWbWKMvcAPRa6Xy5qmScYLCQlCiKJgPwk8LzAMw3TM8WAYZ7FlOkkQKIRIwWq1muu6tm1nWVZ4 - aRXeHYSQarXa7/eLNJRqatEOyxhPo6ioq0kuJiYm2u22ZZgIQss0AYSc8YKYbdv2cDg0VE3X9UIj - P0kSIYTjOEVPH/xw5e/nq3xA+ZMVSnWqYgAlFAhCwzDSKP7O3d/TVS1I4yxJT9x6gsiZhEDRNZbn - WKWQMwif7fKw8Cehf4ooHEKKKUwUzBhI0zTLUkoVTTPinK2utahCTjv1lJWVldGo7xhmFHj72+2z - Tz1zvdsjiKiKDhCAAAtJmCQYECFlnGRZmgFOsBRScAUpqqoJCeMkgRACIKAEAEJVVXmeF94gUKLj - PvNEoa7rKprq+75lmGmaUqphFeuqwQCrNerdbjeMo/X19YwxSGAhylAIYTxRrnuGLZ6fjXE8ifmh - exVPrr9P/BY+NZvDnHNCaJ4zgjAkyFC0NEx+/z3XTDSawdjd//g+jDHPcpVSleq9Qd80bQWj4slS - Sm/+yv/5o6veCxUCMfjz6/4C5AIIACQkisIYQ79AS/VHplBxhgMAFP2aYmQgjAtCYBCGCqWiUEGQ - suDUISlZmhmq5o9dU9OTJIEQ5nlKiVKokJmaLqVkEgZeyLJ8ojFZkMkFkBgiRLBgfNOWxWJpeHKB - EE1QL14UT/S4bFSjUfvxu6hPTgAgECIAAM45ELIQDsnzPEmS9rG2TGHMOIFY1Z0sFVJAQzMBQGEY - CiFqth3HsSS0ZNm2bWdxYqq0t77WqDRMXcnzfGVtZX5x4aTTTuZSuHEIMNJ1fdjplS17z8PfF0yO - R97k9ETBPK9UKoNRX7dMLgXGkAEmCaCmyhiLkrhSq7R7XUyVmHOsapIDCKVtmCLPFQBlnrPcrRm6 - YFnKJAAgZRwCAJACAMBEkQBABOKEAYlUXYUSCsB7475m6n4UIoUmaY4wRhgDALIsVRBKwtA2TMFF - 8QgpUdM4swwbAOC7AQLYUA0ABZCoWOQVogIAWMowRIamAwBEnlOFIAmGw0G9XpN5zvIcQMCZwADo - lGZZSgi2LIsxBrhEEAIB8jS/6HnPe8UrXrFnzx4u5Ze/+EXJwKte8fIv/MM/UEpLluV53rve/c5P - fepTL3jBC3q93s6dOxVFeeELX7jSWj1w+JA/fsIsOgzDQqlo0OsXcvXnnnvuFZdf3ul0MMbf+ta3 - Dh89+pa3vCVPUwDAzTff7Pt+v9c3DCMJY6qrmECqagVeJ01ThAjGJE+ZpVtJlOpUFxC0jrUIUkpW - KYszAIBKFMAFenJeU0yABKkfGqpWjDeRsyRnRFFyxiAACGMppWNaSRQDACCACqZZnBGC0zgkCBDb - 8JNQsS0BReiFBtaAQAACIAWAUEpIsSYYwABADFRD4TwPwxwrOM/lWDLV0qM80wyz77rE0IBOiaWH - rpumgVbW+bpoddc2btyoGirgIucMYiWMxvPz8ytLyyWqVKtlxjIvSwzDyCH38siwTYKgGwYCAsuy - OOdYUTh7wiNMSA4glkDECWtUJ1kiCFAAADqxkoQhqiaCAcGAggyg8iSxIeC+ZygESZkzBgBQiaoA - ABgI3VAlKsCIMaZpmgASQpCEoUoIS1MEAOCSC2EZpuQCQyKY1KgOACAECw444wIKDLBBDQBAFmWq - oo2HrkZ1IMFxY2oEgE5VnuUAAJ1SKIQUAgKAEcRUAQDkWYogUAhGBQZLSAklhFAiKOXPv3qi4sz+ - 5PWEkDxOKEJAAqoovW7/3LPPObq62hn2DcsssigIgKqqfhIJBBVFkRAI+MSp8dncS/qRepWEEgAA - EZRCAgS45JJJKQXGEGNEcAFFIBhjlqS91dXTd5y4a9fOYNTZsXnr448+FvbXLYyiyBV5OlNpdMau - G8ZmyRFAIoxHo8HWLZvWjh2jKlUVJUvjLAoFAI6pAhlTBcUpF0IQg7ACK4o44DnLMkWhpmYcPnx4 - ampKCKFpRp7nBqECSsF5Z31t64lbOGOObfb7fbPZUBWsUzWN4iSJCSF5nhFNwwg9U7prv/z4qWT/ - //DKH6nE/McXFOASAABCyDCMfrdbssuj/sBUNZHlJ52w47Rtp2AAIQBZkmKFeH7sOBYEACHAMp5l - WeIFWZgaFsKYLh9dOmH7NlVV4zxjgkGC4bOtAgmLci0QUhaAvuJxp1HM0iyKouPqIKD4IHx6g+aH - P/+DFOdJ2wRYvCgyrUKvr9BlAoqCIQQSQYh+IEwiJGCcAAgYLwhHqR9P1hol00IIJEmkKEpzstGY - aoZZolBKNJVkWZJnUsrHHntsZWVlfmFh7LkHDx4857znbNy4sdvvEZUywf3Q84LAtA2sUiY4VgjG - yPU91TSeejtPWSB+8qCUx9PlH3tf/swK6v9B2Vz+hNPHT7tE/DAC9wcS7AjleU4xQQAmUTRZb1z+ - ay8647TTARNz8xvOOuW0//GBa69+57sHvf4DDzwwGgzr9frrXvPaf/7il177yldFUbR754MsSd// - h3/43muuCVyvEDhfW21t2LDhZS972cUXX/ymN7xBcq4qynPPO+/M08+4//77Z2dn77/3vkat9qpX - vOLe793zgkte8Jyzznn7299edcr1ZmNtbW3Q7c8tbNh/4EBjcqJwsDIMg+es4Ig++fPfu9n/sN3w - 1Pef/DD68fclAEU2CiTAP3p0QE+5Rh4/5TMuAIIQkhSATAKNYKzSTPLl9orre4PBwLbtYX8Us2R6 - dsoPvW/fdefV737PF77wBU2hV1xx+T/eeOOWLVvG3ujYsdVc8MXFxX0H9q921o3QS/McEezFoabr - Eonk/2vvy8Psuoo7q85yl7f161VSSy1Lsi0bC1vewARiB2MgJAHsmIQZsAMhCTiZCclAEiZfCMZk - 4sk3ZEL4wpJxgDAfCSQwGWCAYEjGgHGMMRjDYGzJi6ylW2qp17ffe89W88d57+mpuyWrZcvqtrq+ - +1W/vu++e8+pe5Y6dap+pRSzlkvBATyWY7sWPfG0vfWxPcMNJ1qi2dLS4QZLCvPEkmdLtcynJHc6 - EXKXNMMgQavVEoCcc9K23mhc9aIXPfaTR/7kvbe+9T/+VqqyN/3qm3PFgmVQbzZ4GHAhLJLr6C4r - WYNZDhEAaK2DIEisjYKwNjufl+HPvOTql774p6SAyfEDv37zG2pJvZqmg+tH5mZmk0QNjYxlBpyj - zOiHfvzwN++6a2L/AW+iaiStUqm0ceMGZfT07MyGjWONRuNAc+LSyy6bnJx01hbinDelMMYYsFaj - efMbbyLrwjAMgsBai5xpa/r6+9M0zeVys7Ozs7OzxpjJycmk2TJKC8H9NojXXZRSzxTu2kqmZdeQ - iKSUVplmvSGRFXL5crE0M3nka1+9c93wiLlS77jwomarWcjlhRCMc855lukolETtBqG1/tKXvjSy - fvgVP/eqrVu3WmuVyrjkgkWGHHQW2SdJy9V4sHMs+fPFqDNERAxZ2zpFBOTdr5xz2lmXaADAntl3 - uUrM8YgIOedI4DWn7v63A0LOGCITHJCTc+AIAJxzUkrkROT8BBxFEVk3PDxcLpU5gTEmM7qZJpnV - 09X5RKtGqxlFubTRilmwYXB4IF+Oivnp2RnH8OU/97PvvvU9119//dXXXPO5f/psZjSR3Ti26eDh - g0xwxtA4YwmL5T6l9UpLj7IsYtSexhb4NvpUauCIiHJRXJ2vhDL49rfuvvXdf3zdK1/x0b/48LvS - d03sP3DpJTt/+sUvIaJ9+/bteviR8f0H4jC66MLnXXn5FVdffXWAMgqCcqlPKZUkyfbzztuzZ8+B - ffus1vVqbWRkxBgzPzv3owd/+Of/7f1KqUqlctlll5WD0tv/428///nP/+xn/uHii3bs3r37ldde - F4ZhmIs/9JEPbdk0hoIrrZGJ46WCX4GErO3zqa1tZWmgI2XN3Pz8Y/Zx0rZSqRWLxXqlfuGFFxpy - 3j/m5S9/xQc++Jcv+akXv/xnX/m1r31t92O7JiYmlHHlgf4n9+3pHyxPz840J8aTLLVAzpkoF6cq - 81Z0jgIRlTWrM1bmGCL0pur2v08/WdIJqLu7FEURc6QSxS31Dw3e893vbN20+XNf/PzffvbTo5vH - Hn300bhUKPf3Z5m1QAyhbYCBY/SXrtv76iUisuQYoMnUcHlw6uDUL7zy1YVi9KtvuSmK+b3fvRsi - 2DM9MZdWOOeD5RGbYjEuD5QGdv3k0T/4vT/43r3fEDxEwcNQzjerhYCPbB47b9u5uVzuxVe9+Pbb - b19/xejb3/72LVu2/P7v//5DDz1kjKnX60SEhEKISy/Zede//Gu5XH70wK5Ws5nP5+crFSJiUmzf - vn1sbGx8774LL7xwbmpacs46U5sPXkPGkK00mJ7TQstWYuI4brVaAvng4KBO0jiMHvp/PyZrD45P - vOsdv8dBIFAUhP5i55zKkmJxwGgrJSciIfk111zzgQ/+5eN7Hrv2FS8/cuTIRTsvNsYwjuRcZnQo - 5DNdx1Mnn30eiNqRAIB+35Qxxjn3USQAwFgb/5uIHDxTbj2MMYZ0FCcbEYFhF02Hc+7XuYtXP0EQ - pGkqpTTW8lgoo22myuVyvlioVqtp01Qb9UypQn+fyQxyNrJ+fdJMMmcMOZRCBvKiSy7+2te/vn7j - 6G+87df/4Z/+kUthAQ8cnGACi30la43SliGudg0GAOxx1sY+CkYlaSGX8yksTKYuuuDCm2++ef36 - 9XONOcn4XXfddfnll5977rlBEDzwwAMP//ihgb5yZXYu3nrBDa957bUvfen0kcObN43deeedRumR - kZHDhyaHB4fO2TiGli44f3ulUiFlrtx52cUXX/xXf/nBHzzwwBe/+MXKzKwAHOwrj+/dJ4XYeckl - 52/d9ntvf+cjjz8SxfFnPvPpJEsrtWqUzxmtTaaWm5vpTJHrANh7dAYfVuq0SZIEHDHOEbGvvz+O - 43379uXj3OHDhx1QFMeNVjMX5f/wPe8e3bDuhz/84Zve/JZI5UqlUqPVajQarTSxQICIjBxQpnUY - R36B0bZlrnQ/jRNRb7hQ78lnVo9ZsgsbY0ibXBSRNrl8cd3GUcsRmMhS+9jePSObRo2zGhwLpAik - cZYQHRw3TG+1Ero4Cqv1mgiiUIZWu2JcVM2UF/PXvvS6W972lvd/6E+Ht4189bv/YgsIHLKm3tK/ - 5Tff/B9CJ37z135Lp9VQgNJptdaQcY7HYSVr/eiRR77x7XskiFdd93Pjeyf+7L/92R133DE5OfnW - t771wQcfFEL40KRABI1a3WTKWXvTG994x0f/erDYt2fPHr/NXZmr7N392FWXXv6jJFs/OFyrVAtR - DhyRsUTk3Sf80ne1K5EnQ8seAVtp4qXcajSSZmt03XoGMNw/WJubB2NNljFAjswoTQjeixYBODIg - yOWi79xzz+233/7444/WajVjzLnnnzdfqXi/SwAo+IjW5RD1HEtUb5HHvj+z+FhMbVRHxgCRkAgJ - GBKCcTbTSltjyRECIRhH2jqttTHGGVreYe3Ro+e8UdoorYy25PxzCduZSiw5R2hs22aInDHBfav1 - uDhBIImcl2cURcVyn2OkrJqrzB6cOlRrVI3ThVLROAucxflctdnInImKcVCKE5OixCte9MLRc8Z+ - 8fU35vtKv/POd6QmS7Uy5BKtMmsSrXgYsEAqD1W2qg9Y4oAOWKJPETc7O7tx40al1PDQ0I033rh1 - 69aPfOQj1tof//jHGzZsGBkYDqQc27QpTZJGvc4Q//nOL7/2Z1+z57HH52Zn01ZitSnk8lmSSi44 - spkjU0mjOTV5uJQvzM7M7HrkkUd37963d+/+J/dOTR62SiubOm0k4wcPjNfmKwPl/kcf33XwwPgD - 3//+9JEj1fmKH60EMikEwJkW4MkdyJkDsuSQMy6FcZYQgDNLFMYRl6KVJI1Wfd/4vnyxuHnLOeWB - /tQoC/bhXT95/wf//PpfvOHG173u8suvfMFVL2wmCZcyiMKNY6M84IxBnAuRM+Ocz+PTXk44B4in - tVKn+1g8xLWPpS47NVqswbSd0z2cuhQEMF2dL48MHanOZYzOfd4FfcODJBhKkVnjM3ARZw7IIXjQ - 6q4GswJnUF/Ikz0AUpUUi3kkQiKnLCk31DeMxARj+w7v+4Ubf97G9sG9P4zHcrVcTZezYETMJ4fD - nLv66itGBkobhgc2jq7bunXLhk0bhjeNDm/apDhcsOP5v/RLv/zpv/uHgAcjQyPf/c59xXyhr1ga - GRnZtGlTqVQaGBjwG0blcvlFL3oRKXP+tnP/9Lb3lQvFxlwFlCkE0daNY//+l18/0j/Yly/kw4gD - GqUBgDEWhqE3QyprzrS8nw06FZwYYwwZFwfBlZdebtJsdnpGN5LNoxuPTB5u1ltZlsVxHMZRpVLJ - 5/Nzc5X5+XnBOIB74Hvfe/BHP4riYPPmzZVmHQXftWvXz1z3MillqnWaZQ6Xr1WdZuoiY3oDjD/p - OsNl9wzRAkvqcp/Qvkv3Y9vVpgd0wa9lkXuQbKKOv0H7yk7iQJ+30lo7PDx81QteGPAgCsK7v/WN - pJW00kRIKcLAgGvpTFsrmdy5c+eVl14RieA7375nem52aP26SqXyk927arVKqdynyOb78sgZAo6s - X/fEE4/l+oocyZKzxnL5XNhwXTCOMwICyJSKwlAEUmfKgwVwzr97//3v/4v/Xq/Xfcz51OzM5k1b - HLiZ2dlNY2Of+9znfMTsnV/55zAX7/rJw5decXmtVtu4YTQMwyeffDKOY6v0li1b/Eb1wYmJiy++ - 2Fo7Nzd32223cUAhxPbt2yMe6Uw979KdmzeNTU1NPfzQT86/YPv69et/5mXXfvZ/fXZmbq6VJqnK - GGOZUrhKXoHfhO3u1vu0mgxQEKs1GjrVfX19hULhP/3O7xJhq9E8b/t2KaJHdu8ql8tf+dqdR2Zn - +of6J2ePjB88uPXcbW9605vqrebMzFTjK1+em5ur1KpRLueAuBQeoZF5W/pqSEl4YlpyTHlmVYPF - d2MEBMSlbLSaoQwYw8nZ6bHzthHRE+P7ZRiU+oq1Rj3M5bXWjVbTIQghegOlntECnknyW/NE4Iw1 - Sm/evGViYqKeVD2u2Pe/ee+R2cOFwb5cf/6nX3jNUHEonGW15jwNrbv0sufXJ2tHJg/xMDYiyDhP - iZoqLRX6HnviiXf/wR+++z+9q1QotuqNG2644d57702ylDE2Mz8XhmFWrRJCrpAfHx8v5POjo6Me - ie3woclysVTM5ev1ukqzvrgIRH7Ab09JrI2Ipp1FODo9Pbdp2SOgMSYIAs6RAb711359qNxvUmPS - rDY7v/fJJ412cRzPVeaFEB5sZ2rqMJJLkiSfz7/hppt2XLLjv/7Zn5XKffPVuSgO1q1b53F1hRAx - YwyZWWZc+8ls+jHy0B3ACNAf0F7UdJENekGfukQIjjrJENBHw6GPl7HGYJeOKcMyy7/ojP9973Yy - oddaEBEY49CxE3TxMalDvtX6cIaB/vLPvPSaYq7QrDfu/vY3q426MUZEgQWq1Ru5vmLWUn0DQzsu - 2fG8iy/Kh9Hs7KxFaLTqM/W5W//k1mq1es62rVe/9Jo/eu97pJShYE/sfWJgeKiVJIyjj+ryz1pW - fVcgLRl1Gcdx0moFXMRRJAqFQxMTQT7mnM/MzUZRNHbO5vHx8b3799334HcPTRwcGxvbPLqlWqsN - DA4+smsXk+Id73znxtHRreefJ8OglSb7xw+MjY01Gg1j7P6J8etv/MU//8BfzByZYozV6/WX/PRP - /8UHPhCF4ec///nJyclqVv/T/3r7pVdcPn5w4vsP/qBRrZ27/fzHH3/8+Tt2jp1zziOPPWrJMSmC - IOAgVov0tdZeifHgUj6iVXJhMi2Y7OvrS5Lk8Sf3DK8bEUKkreTyKy/bN7m3f2jw1a9+9Rvf+O/v - v/+ZyN1bAAAfLklEQVT+6156rYxCRVo7vW50XTFN8sXcXGW+Wq9Jv/RUykMygt/3ZbB6QjNORIuV - bOiYZPw3T8ceQ73O7L0e7j4HMlFmjVKqWC7ONWutVqs8PEhET+zbWyr3eS8MJoXgvL3NzdojKwCg - B7hgq1uLzIyO41gEMtNKhsHevXu3bt0qY/5PX/jM/PR8q5FcuH2HuO/rpUKZWX5gz3gwz7bt3JK0 - sn/8x8+96upfaLSSGCQTobVkjJU8IGOLxWKz2XzooYfiIPzE//zkb/6H33z961//4Y9+5PD0VJpl - xWKxUqkMDQzNzs+h4HffffcF287zC9QNGzYkSTJbmc+y7IJyX8uk0zMz8/PznPPMaORMCpYkSeQh - TjgHhm6FZbE+HU46y1NiCCGKIq01R5Gm6fbt2zcNbtBZFgZBgBwAdGa4FN5K4JyTQm7btq1cLgOB - dRYYcSFyhXylXgviKIyiAwcnLrn8MsaYdg58RPHKa/TEEAm6qDPePaXrE0NEp0mJOVoA9KYgjojU - KQcA9IajCyF8um4PM5gkibcWBEGQBbKVJonOjNIBEjAmwyCXy6VZdmR6qpUmo2ObdJoV+/taqvXI - 44+uW79+bm4uKuS1Vf/nzq9EuZwxptqoj46OJmlqrUUuutXnq1zZ9xJc3LWIiHEe5uIszRqtZmmg - /7sPfF9rHRXyjUYjmTwUFwuc8/t/8MBju3dv2rTppl+5ef369YePTB2ZmT5w6GCUz+16/LFGlhyZ - nmqpbHjdyFxlXms9NjZ2z73/9prrX5tk6fDo+v/zhS+WSqV/u+87raRlgVoq08Y8/sQTUSH/1Tvv - /NSnPlWr18+/YPvDj+6u1Wr/41Mf+/Kd/9zf32/ItZJEG4OCE62sQeoE1GmiFgCMMYwxcKSV8msY - Qli3bt3h6amxsTHj7N33fPuTn/wkY+xf7vq/V7zg8igX/9v9973nPe9xzl165eW7Ht39gqteODs/ - N1+t5vN5ALDksiwLc7FEIABjzOqFwH/W6AQTisfjkYHUWodxBKGs1Crr1q/zCe/Gtm1pJYkPfvH4 - e/4DAHnvwe6yaoUFmy6bwjDMtEpazW1j20TAjdN79u0dHulnjH367z4dlfn3HvnBVTuu2ljYvG/P - 3nX9Q0Eo/9c/fGHL0Obztu0glGFU0BZ0PU2cU4wKxWJlbn7DueffcsstW8/dIhh/8EcP3vYn7yOi - x5/cY6wFzkr95dnKvLamVqv19Zczpf73l754wbbz5mvV8y/Y3kyTQqHgiLZs2dJMkyAfF8p9LJCp - ypCzII4aaeIVR0Jw1rJVLv+TIUydAmR3fPJjH/2bv1ZkSaAlA6wNkdk7QXkPeSGCVqsV8SDk4qtf - +NKmoQ1EFKLQaco551wyxjKthAyMNQAQcFapVvJxTgaB0urh3bv++o6PHjpy+G233LLj4ue/+7b3 - XLxz58f/599qZ8Mocs7ZZdpKjxvTyHjXRySOY611vV7/8Ic//Pvv/L1cFDWTxONTGWM8fF9HeTp6 - O9fxmTgqrMXiW/T05Wqavfdc/NPFlqEl7uB/ZtvOvx7mq1AobNu2bcuWLYMDQwcPHnxk18MHDx5k - BEEcIaIjMkRpmvaVB84555wLtz+PEYyPj+/evbtarTLJurjmyBmRNca000EgLSjnau8i3AEsCk3q - /WdBBWnRyd433vYn6Pzru8ySIbILrlxw/pgndh7mOlHo7ml7Qpw+Wq7PqQc1JgJEjKKoUCiEYcwA - 5+bmms2mMUpKKdomfefze+eKhUgGwNnc3JwPNWec++3dXjdn72e62vWYxeL09fEo5D5JRSBkvV4f - HBzMsixJkr6+PtVK/uiP/ugzf/f34+PjhhwXwjnXHtCIfDyjAATvPLSUJcY/p/tV7zcnaHt+vOxC - PsIqCZ0DgO6I5+1PXtXmHIFRppJCsX+oPPQ7v/q2973n1nK5vGPHRVHIgZuDRw7kh3LzmKZcEzqO - gmmMMBQmyOppdbpenW9kyhogi8wx55DQEQJw18YmsND2GXDoETra5Wk1k8G+8rrhkcG+ck6G6OEz - vDwRtbNRFDHGUpXt3r27f3Dgrbfc8gf/+V2lUnGuWkHEfD6faeXXCWdMpkvRCaAujnM9EkPrHAnm - Vzs6zYph7FL1zt/67d/61bcyoOVZYpAgSZJCoUDKKqVm5ueGBgdNoiGIwigyWgnGmlkahZF2RnDh - wLVUWuorK6M4gEOYnplppsklO3dmWv3rN+7KtB7dtBE4CwPp4yrZM7TH77H1crmcMaZWqznnNmzY - AAAMwIMhtkc9AI9Aj21owx6vFB9tiD1m20XSWAJU4mm0mSXuttT/1IHRQzp6DetsP0kpnbXNZnP3 - 7t379u3jTBhj0izxO01JkjgiKWUzTYUQVpv9e/ft3bPP48MaYxApEAGXQmudZRkZEoJ5mJPjl+ho - eVYX71bA+8HgUqP2ksN371TtenUa7ICGdcgCQOfMMf2058pjyrOYOlGvzu8cdtpirzp1xiW5oDWe - PHWtidbaNE2ttYw1nXNGaR+UBwCWCBjjgABQbzUbSYt3CBG5EMa0HRj9nmt7H5ZoJcjkaXLobBst - GIX8jOtr6qufZZn3Bk3TVGdZFEVZljHG0FEX3AvaO+M9Nzo+LegLvbrLYiiK5wwtdkbWxrSyljJZ - 38jAjksvrlQqjzy+K23Uhwb7tUqsgZppZmTbbc8CQ8FACAxQ5MsDRWutIYdIhA6AAF3XCQERCZhf - txO2Q1z9H5UqwbkDmq1W5h05Y9GRBULOWCCtta1Wq9VqDQwM9A0PDo2MzFXmmRTa2UKh4L1klFJx - HC81Rz3XaNkag5TSGKPTrK9YqjcbAYZhLnRk61krF+ZqKmGcKbAWqZk2wjAkhppUqhIuBBeif2Tg - htfdOF+rfu+HD+QKhZt+5ebv/+AB5xwyJqUkhmSWF6J8vO4UhqHW2vsP5vP5arXqcTi8+iKEmJqa - CsOwUCj4mLR2zz5WH0QAB44QcEn8tIUn/B2W12iQemdAgKODBQHAUsm70AEwIHdsAZChc87PcVJK - RFQqTZKmtW3NJpfLcSGSJCFjOOf9pZLWOmnWiaE1FASBEAGXwhilTGazFgBwzqUMyDljDGtbqpZW - mFkHjXh1cS9h7KiG0NnLIwCHR3f3j+ouAADgFiscvc7ZCyR0fFNbr0p0IiWm9549E1Ab8ahj0Vkh - 8lwudQOhEcHDOVqbGWOEEFxyxoRzzjoLCIRIAJyjtZYI0jTzfZxLgYwQ2ukaAMAbGADRdTTFMy6Z - U+awaNHSJkeCcSDgjHtjcxf6ARHDQgEAfNYzQy7O5awfCE46QemSNpju+cXWx/ZnWPjtSlNxntIy - tEAmWuu+vr6W1rWkuW9i/MJLnl8sFqNQonG5QFanZ0UYtIBkGIBlxhgWcEPOETAMUEgO3BjjjI5D - CaQBiMAaIEJHDIEYUXsG6DZd/1zBhDHGWQvWIYFgDBEJ0ThrgIQQPsVjLpdz1lYqlcmpI1EUzc3N - encCRIyCUDBuzarZbj5lWrYS45wj6/L5fJIkt9723oFSuZQvFXL5A/v2DQ8P53PFRqMRhmGr1dp0 - zubpI5OBkM4ZrfXk5GQzaQ2ODE9PT8sgKPX3GWfvvf++8UMHuRBaa0NOCMGfoQ2KRqORy+X8uBZF - UZIk1tpAyGq9LjmXPeqL94Fa0gcQ/dBMKwtswvspt72VOye7aDHWWr+EzeVyHkvGOyL4dZu3l3LO - GSJnzAIGQWiYk6F3PVN+HPSul8YYrZSPKwGgBePRguVcL1bsauFeCehd+HonXy9e/4GOHab9NcdT - OHpXzCemJa858Qjb1aoIjxpgui9lJcizt6h0cryLds1YG3vat0DvNu46BADIGGIbacY3Zh860J0D - usHV3TKsBJk8A1Lt5QDg24l1TAq/6+HTufg+rrWu1WqRkEEQePjXRGXtbLidbJHeZwUAoHPyeNT7 - VrsdBI49efTzCW60GmhBZHj33zRNQcpMqT9+761k7Pr16xv1asiCQhTreotzkRiLXEgQnPOUtGPO - ITOA1gERMUBOLmAEZJGcBWuBLAPHEACcO6bpdqNQ4yjXXpR2yZEF4kJkWiGiEEJnyjnnvVSJSGWZ - 30jyXYYRqCTtWuCew7RsJSaUQZIkWmsm+MShQ1NTUwEPGWMqy2YbtYn9E0NDQ5zzZrP5zX/7dn9/ - 3/zsXBzK0bGNExMTW8/dds99927btm1iYtJNkEOIoihTSkgWxBG3lnNu1amDxfWuEqIo8m1RKVWd - r0RRFMpASlksFhuNhk9f7iMavK21Eyq96J7H/D3OavOY1ffy+jIdk6HLwdFx4Th2HT+6de0x3QUT - keDtwCVjFPhAKsaIUEqJSEqlvocgorNaK4uIknPBuAFnjMkyba2WUgIhYwhErD1hd7wWji1nZ897 - dTv2wiLbSVt9oWNedlfOJza0+d92B3r/ufuLE3jSMFj4xBOWuOe3J/mTZ5e6cnhKzpm3m/gR3Hq/ - AI4MCYwxDlwXWJLQMc611gDOm2qIMAxDP5EzpM4c3dZj2HFS/T0HiBEgYxyZc4bIOmOAC5MpAECJ - +Xy+v1hqtVpxHFcqFb+KazabCxpLV9vrba4LaKFVcdHmxPGa9Iql4xUSu54ARP5z26rEgQDr9frA - wNDA0GA+zjdbrcw66zQlwKyVUmAoLYHRRgJZsgREDAkcACJznHHB0CnNABCJM84YcsYdR0D0T20b - gzsKPRG1o19ZO6WMdc44S0SklBCCIXJkLAharZY1ppDPp2m6fmi4UplnBK1mi3MehWGvTv8cpmUr - MVmWBUHgjHPOBVGIBLWkaa1dPzxy6MhhlOKGX3rdxo0b9+/f/69f/5frb3jNl7/4hXq9vu28c2cq - 829+y1sqtWqm1d984uPOuf6BgV27dl1xxRXjh8a9T4ZSKuDBM1Ixb35gjBUKhVwUW2sbjUalUjnv - vPNarValUvGIQN7nl4g4Hm+juNdC08GJWejteeqD5fGVGICF/wAAsGO9T7vl8SGR0B6b2qFexhhE - HgRB1znXe7eQsQy8LyQTgXTAuBSW0BhFbckZznkoJOfcWmuN4Zx3+z/2yISAneR0tQJ5r5gXvcOj - /5+yQXbJdtH7ShdoLSfaPmhfv8SQtBIkuaTz8smRcwheiQGvg0B74PZKjN8bNcY4MohI1nhvVill - miof8dRNEIPIiYhR2zlmpcnnmWqfDsHbj40xBOBTXXqQbmJojMkFoUcz8isZn2W97SN0LL7USb6k - XkVnsa1xVagvJ6YlRYFEYRCkJisUc1mWNRvZ3Ox8GOdEGBdysUmzNM2UzjCOgaF11oCTgsg504Zy - 9wYUZQyQ1egTpRI64tYROQ7gGCCBI+t8u/U2F8aYB+P2LjIOyEMIImMBF1KIpNHMmq1cLleIc2ma - qiRNW615gmplvq+vL44i3y+ctewsyDywbCWmnTlIoDEmlAIdhXGUZVmiMkPuNa9+zcaxTZ///Ocb - jcbs/NzV11yDSF/96j9fevnl3/7OvTsvu/RP/vS/XHblFTe+7nV33313I2mNbFj/8O5d/f19AO2s - TGCXsbg8wesRQkgpsyzLsowB+lQ4jUbjtTdcn8vlSoVivV5PkiSKIj+7s55bHqtGLJrCiC3yYwE4 - 1amOFlkysCdodvGkhcdOjNTZY/CjtgXrRzcpJfj03Sg456lOfeKqIAjIWKUUOEQCKQNtjdLWkAvj - CBgLBOO8HeWklfKhsJJx6BHLAiXmjDs/Pk3HycUTRruWy3uPx/1qQcM4xpNpsaENT+RlgrSwfRKe - eRk+pU/P8YgQiCx4NyPE9qgP7eA4IiLwXpDU3VfiHKWU7eRlWUZEDEXX8dwrMb4kR3cHVoBknqY8 - FygxhOCjitoxNYwJIbxXb73VRESn9I4dO+746F9rrQkXusIcvW1XRMe+lO6pro9LtzBsUX+B3gaJ - 3Z/2nlhBdLxGSp2Nm969JCJKkgQlWktG202jG5NmWmk0pRRzlWoUyLCUN2RTYSxYjDDkzGkHaJlP - UMORc+bTixs0DDjz9lbkAMwCAnBEi4SIjIA6Tr2IiPk41wZBJvIfgCED1I0kjOJcEFpryboozqWt - BBxJLsi6Ur5QzOWbzabJlLVWhIFzK8sceTo0KuHwZNsZAwBixDDJ0nycB4BGo6G1HhwYJsT5amVw - eOiaa6+54447Wq202awjZ5lWV7zgyo/+j49ecunOMI6mZqbv/e59Fmhs8+b9+/ej4KOjo1mWKWXi - ONTaOgfsadfTvzSy1gL5DDiJyqIoUlp/7BMfF0LMzs56XOc4jJCzRq1eKBR8jJLvjgunNHS0aFpx - x3rhQue/5U+iSyPFtMeFp7DE+JJ0zMKMWeeMVUBMSIbAjTHWGO/0l+kUnHcO45JxzrlRWgipreFM - KmtEIDOTcUBrjTdicc65dyijtkNMz2jlfL7ip2GEWhG0eGXJepSYk5+YjyeHxartCZQY6nEuPs71 - 1PO5rcGsarJAvk1607oj74iLZB0THMgZaxmiCDgSM04jgTKaAVpr4zj27l/GGICjudxZR4l5zpjT - F1sKvTGGce7XYA4hayX5UlEpVSgUZqemAWB4aCipZwSQy+XaDl490VsL5HLiuW6xktqryixuhN1v - V6D0l7R7gSNiyI/91hJJGRhncnEuIz158JAQQSgCEQQAjnE0RK0sJcaFlA6dNobIScYAGBEZrZVS - XqFx1iAiRwGMCIEAGLSdYBgik5y1EVnJY+9qsgBAzOdxRERwRGRdoVAg3U4JnGQZdSCwC4VCkiSW - XDNNMqPDMHSLFMrVSK6zamfUhqtlHcTa9gUAwgEaazKtlTVcCh7KJLPaKmNtFEVShJW5uTCIAykF - slRrEgwZt84praM4FlImaVMI0TfQN1edI3TA6OWvvO66665922/cUm/VP/P3f/9fbr9934EDzlEu - lw9k2Kg3r7rqRV/+8leiKNq3b79HIUxTJYTsBS04STruS2KAgIYcIIgwMORQcEPOaJUvFTOjUXBl - tLMgwyDTqvd2x8wiCN041qUefMzzTyn6YGkfoOP56JgTzKvtVEoSAK3PKcu5ZNx40EYmGLZdKjWR - NgYYGmcAwZIGBsoqRHQAKEQbjRfAUXuv2B2VRufTKp8+27TIdO+6X8BpWUgual2neD2ufg0SANqK - GZFDak8eCA6IMTTkGAFwDgTKOEbkEBkBEwEjYFwaSwDoLAHyXoXQdsXynNBgHLbtHwsJ0ToHjBki - IpBRlCkNgPVGM8zlAKDWavEwBABlLTIOAEBtHwxYdENa4lMnnPvY8Y86qv9SYzX2XrMyqbf8XY6c - E4AFHwPdKT9y6wCB2cQJ4DL2GxdkdcaRyBIBREFkiUARA2TEEbn1Giciw6OjOBMRdPeLqfMoAABw - BM72SAsROD9GeI4QgQMgYGZ0Oy4RiEdBPW2JODRARqXAEblUzqGUyjlgzD2V4/aZoIV2Oj/2dkZg - P+q2xzwHwDlPVca55AytsgRQiKKs0SxG+cHBwZn6fF+xxABQcLFx40YppYd5JaIwDL3HnFIqzuej - KLLWZpkSQnSDu4IgAADBuUcpSJLEKr1///5XvepVf/VXHywWiyMjQ1EU7d69O03TUqnEOZ+dnT33 - 3HNf9rKXffHzX9h6zpbp6en1wyPT09MmU5yLLsQQ9dT1KXlv/1pK11js2t8rsjY5PDHHHn6iK0+B - el/hsa/zuE95Kplgl/ufdMr2FLVYsiRPJZMTlXO18BNLe8VywjNfhmeIn0zn6Xbu9uFwAT/jtTgt - 3PVMtHD8Xr9ATIuvwZ5vl7wGTjiWLvmspyzPskbyZ40vg8hnEOXMmwsBAADBoVevO/IRDrlD4RAJ - kZA6eUd7OQBSJxqye5/uCIRPNbthTxziSpDhMyX5EzjsIAEDUEYHQQDgjFGFOKdaSRyESG1UpFKx - RNDZvRkbGyNjBeNgnVHt0BXnXKqyrtOccc67eQrGGSIQpUkC1glkcRAGXBRy+c/942dbjeahvQe/ - fufXJg4c4IhCiI/d8Tez0zOtRjMf5256wxuK+fyju3btevjhUqEYBWG5WBKMMwJ/H9YTP/yMc9Zj - j1ql/Gyr7xpf42czZ3Qax8M1vsafTb74wAVHR2Pzjd8TISByANYN3SoUCmmajo6Och/dmJAlgINH - Jv7dG96Q2kyTRyfUuWKOcd5otKIoMsqGQmptpJRaa+8upLUG24bEFULUKtVNmzbt2bPn/PPP37tn - z5YtW5IkqdVqg/0DSikp5ZEjRzjn27Zt27t/X39//9TU1NjY2MHJyf7+/jRNAQA566Lonj7CZWvj - K4uWu4Ow2uu7Rmt0NtNzYsdwjdaoS0cnJFzcuJEAgHVCWxyCEyxVWRzmAEA1k1wcO21KuYJOsm/d - 9Y2+uKidFgKYAxgZGv6pq67612/+X4uuEOeaKgViQRAhU4jcOgOcg3PKmkBKozTnnAPGhYIHCM+S - lDGWJMm64eHpI0cGBwer1Wqj0RgeHp6fn+/vK08cPDgyMqKUmp+fLxWKkov169dPT0/HYajS1Lsm - cUSyjp1mcJ7VPigsVylZ7fVdozU6m2ltEXJm6XQvGs+2RWmnvtj9vLhGfo+tY4lhQMx7sFhrwzCs - N9P5rPKKa19WikuWHGli2moiG/Hw53/+5xlivVpnTOSi2PvHaKV8/J51jgCQMWKonQUAFBwAWq0W - IZTKfUKILMtyhUKqFCEa58rlslKqVCrtHz+wdevWZtISgUxVdmR6amZu9tChQw5gYGjItN1RmXNO - hkG3bqePn/F97lPmvgpnT33X+Bo/mzmcUn9f42dqvoDTPD6f2v1XGnfYPnprRJ10y94b019GCFrr - MAyJSGcql8t5oBDJ+I3X36CdClAIIfh//sM/5EIAuMGhoSf3PLF3715AAMAkzTgXSqsoDAnAOoeM - I2POWUBkvB2gZJ1jghdKRYZYq9cJgHFGQDIIGo3G9MxMeaC/Vqv2D/Q3Wi0uRKPZLPf3Dw0P1xuN - 0U0bq7Vas9XkgoswsNZaIPT5PAFOE6d2otXVyTvH2VLfNb7Gz2aOy+7va/xMzhfLf1+n+/4rjXtP - fPDUrj4jQEIiBO8Gjf47JAfgCHgbuM/l4lzWTOIgfNm11978xpuBIBSBYByTLJVB2EzqUZz/1r13 - v/u2P56ZnXUcgGG+r1Rt1EUgjbYOSIjAGMMYcM6tNpYcEuTz+Xq9bq0lopGRkVq16jO6pWm6bt06 - 59zMkamRkZHx8fF169Zpreut5sjIyOTkpE8cb4yRQcAZM9YCETL2LOA6ED71NSuWTsGcuKrru0Zr - dDbTat8+eA4QIeDpAXt8du6/omipyYgBQC/Ea6eqbfhK55wzNhRScpk2moUw/vCHPvSSK17MAI3O - QhmiMYZxrqzhnLV0+qnPfOpvPvHxg0cOj52zuVqvtVQW5XOOSFsjRAAAxigeSMm4BXLaALRBpdqI - mYsE3S3z8V7Bgld1Wsmt/umcLacpPwfqu0ZrdDbTsvr7Gp0Ocqc37cZpv//KoYXzER0F8kSiIAiy - LHHOOWeLxaJ1WmutWqqYK4RhmCWpzVSpUHzbW976G2/+NQTi4PelHH/f+97nE085olDI7Rdc0Fcu - Hzp08LHdj8owKBWL1lrGGSKSIwISgiuj0yRJVYYEKDg4Msa0cZoXHUCdD77YuOiCY7mvKtJp4dQp - A9Cq5F0JnyX1XeNr/Gzmy+3va/w0zRonyVfm/VcOXzQf4VF9xjnjXCAFkyKUUjsL5BjwofKAzlRl - djYU0hn7K294443X/2K50CeBMUCOjDPOb7v1vY6c4IIzlimVi+JcLtdKknq9Uas3BJdGGZUphiwf - 54BAayWFiIJQCoEECMCRCS7IEQI85QEncQ0C+DufFg4AnfXNquOnIp/VXN81vsbPZv5sjIdrfI0/ - mxx6Wjhgt4ULxo3R4KFbyLWaTcFEFITN+WoujAbLA/X56jve/o5fecNN64aGBTIG3GhtjEEAJEdA - BAytta00EUEgpTDgPv7JT3zqM5+emplmgSwWi/Vmo5Wlllwch8SQEQBnAhkxtEpnRkcycCdt/lp8 - 5bPGnxt0ttV3jdbobKYzNVqu8TV+GuffznYSA0BEa20QCAAgcmmaxnFcyOd1Ix3ft/8Fl13+/ve/ - //yt50cyIrJZmhbjojXGZ7NHnSkhJQAQEBFZcs455NwBHZg8ePc93/rYJ/+2Wq0CZ0prEcgwklrr - LElTlTFAGQahDJjgKs3oWO8WPL4Ss/jKZ40/N+hsq+8ardHZTGdqtFzja/y0zL/EFjRvxhgREdgo - ipyzzrlWq+WM3bR+9Hd/+3d/+bWvU1YJQMklEDhjpZTOOQDGGLQtMdZa75nrkVockCVCZBmo3Y89 - Wms0fvLIw/d97/7JycmkUc/n84V8HhC1UmmWOWsJgDNGANjeyT1OV/T7uyfkzw6dTElWJj/b6rvG - 1/jZzNdoJdDpfl9nW3tAYr3KDQA454Ig0FmirAFrL7joeT/1wqsuu+yyiy/YqUzWqNZGBocRqF6t - 9fX1A4HRGhGBceccOmN9Snd/J6U1ERFCEIXaWs6FA7BgDTgGzICJgFuwxmhHJIXgKBBAkxHIn1LQ - K+clrYTh6dkc1FZCydf4Gl/jy+VrtBLodL+vs609ILDeevWctwicAVgg5zQRSR4ROY6cAZBzjDGr - nc6yQEZMcmCgtf3/vzkO3Fg9kwwAAAAASUVORK5CYII= + iVBORw0KGgoAAAANSUhEUgAABGAAAAOnCAIAAADgJqd8AAAAA3NCSVQICAjb4U/gAAAACXBIWXMA + AA50AAAOdAFrJLPWAAAgAElEQVR4nOy9d5xlR3Unfk6lG17s12l6kiZqBApgGyNhC34kGxPWxjLr + NbZZMMlZBmOLXWz257Qf/xAO2F4wCIxsCwcw6QcIE4xkCxEsgUBpFCeHzq9fvKnC2T/qdatnplua + FjPSwPRX73M+rTf33VtVt+qcOrEwJ3IAzBEiSgQAAAJwjpxDIciYwhgpJRPckiMk42zhCikkAhpn + FFMAkJlMCQWDX9PyPxD8TU8L/pcMeEEFIjJgBCRAWLAOnABhwHDgDpx1VjDhwDkADpwBWLACOAPm + wFmyRVGEQcSAFWAIgAB9YyQQnvBEAgAiYshOv53rWAKt8fo1zIbHhXOtPecb1jr+a8X6+zqDICAH + 5MARnMz9JHAA4iA+cN379uzavXvnrs0bJriSQMwzUMRz61UQES5OPkKwVu8/cuiBh+5/+jN+oFqv + IRPa6YAFBIYtm0SPKZ7W1ElaRpd+u0hx8RqCRclIAJosIENAB46AEPBRm0QMwJKWGPR1X8kIAR0Q + AlhtQxkI4BasJceQOSBHTqzymlZ7xvKnL1/Li9L8EfgZ41Zv6wqtByAgWvzVqbwCl90ZV7nmzGK1 + 9vNVRmh9l7COcxYEUIBd05LhZ3NGMwAGT5qcGOgCznLGvSrBgDkAAmIEHLkpcgAIZUTOIQzEgmcI + yMABEIBgnhMhMlrG/xBRiH6nU6pWAyEAoTCaiIQUjDFy4ICcs7Ozs/Pz8/1+v9frJUnCGBNCCCEY + YwBARESEiISAnvefDhWciKSUiNjv951zYRg654QQRVHkeS6EiKIoCAIiKoqinyRKKSmEtZYRdLvd + KIrCMAyCIMmzoii4EFzJXBfaGskF5ZoDMkBCWE7B0drauU7pRF1zLTh/2nO+0ScG50JPvycoWSBC + t7TxW/rLassBIxl1ez3Pb7mSAE/cK/4O4cWQ1vqmm25SYaDiyAIppQQgIwAAohM2Eif970k4/VF1 + y8bHPwgXH+eci6IIEbu9DhEFQaCNcYhcCM6YIwIir3c6IgTwAnk5BSDOuXOGS9Fqtar1RpZlzlGk + AiUCUxRkHROcMaatdc4xxpDsaj1aDY+yllf81ZpmHRH5IfJ3cgiMBnTwiBNHz1+zQkvO3L5rpXFe + GY808slfuet0na5ALZ6wph6dPm6syJ1WXEeMHo3VrIgzNRrlamWoVm+MDA/V6gCgrbbWAjBwxDkX + aAMVIJDn/MZagQw4MgBgQAAMQDsQ6PuDgItCAgEBURdFqVqdnppqjAwLKdvdbmOonpO5/+H7v33P + 3d/85jf37t175MgRrXWpVJJSSimttVprY4y11qtGiOgZ4mm+MIdAREmSxHEshEjTVCkVhqG1Nk1T + KaVSyhhTFAURWWsRMYwjIYTVJk3T4fpQt9sNwzDLMmMMCu6cs+SEksAYITBAToAE6NbWqnW6Gn18 + OH/ac77RJwbnQk+/B+ii7HIAbGDUx8F3ikslZb1U2zA2fukll+R5DgDOOWQMzj330alwzqVp2mw2 + 33/9B9M8cwwLaxwMvEzL1aFHV4081jT/aXFs/LMYDdoDAEEQIGKe54gYhAoRe90+cMYBl9/HAq32 + voQQmc5K5Wq3263Wa91ul3MBjqIgLIqCDHHOGWOGHBEhY+TMaj1adehWWsv+jZ/6qzXJ95OeAidu + hk5qGD6q++gMzsAV2/kos+JcWLnrdJ2uSNfkkHjcOP31fjrc9WysLw6IgjMCEagNo2O7L9rzrGde + fumll+68YJcE3s96Moz6WRIoxQEE5+gMMa8GPQIOgG6xA0SAy4PiEIqikEo5gOmZ6bGx8SNTR677 + 4Ac+88V/TXVutbHkGKAKA3DU7fd0XnAplJBCScG4AwJHlpz3Jp0+lFJFUYRh6B1E3gpYFIWUkjEW + BIFXwBDRWiuE4FIiYpFlSZJMjG/o9XpBEHQ6nSAIVBhYa/t5hohMCs9TmSWkgda4nK61netYxzrW + 8V0OYg4A3VLg3JLgTPupQIYWnv2sH37Nz7/qwp27tm7ekue5UiGckwrSSSF2gHT4+NH7Htj7a2/6 + DUJAKQw5A04IsfST5b04Iz06KV5rSaIggVLK62wAEEWRcy5J+kRUqVQBABx55c1b8YDhahENRZal + eTa2YbyfpYCYpmmjMZxlWb/bC5USjFtDlhznnBgSESLB6oFhJ22SCFfd0PjxOfX6tQJp0JEV77D8 + /gNV9hybaOdae9axjuUYODlO39OyRr73ONSqNXl+zhS8B8UY4x3pnPNKpTI81JgY33jls37oja96 + HQE5ZxiB1a4URksB0EDgABAHLjCxLKoOBrxx4AF3TPFO2iWGUTX+3T98+99/6IagFFPIQXLOVaEL + Y6x1JpQqqlcbKtDOOm20s9oRMZRSCM6sXdnFvxqSIhdC9LI0z3MpJSNmnBVhUK3Vut3uQreDiFEU + qSAwxhhjeklPCMEAUIp2v9fpdmIbMyWjSjkr8lwXIDgylhZ5WuQCWa1S9b6yAcdHRABE9Ba+daxj + Hes4T8CIGAHCIwrSkuVvw8YJJOi3evXGEOOcGDogY4xST26TTxdZnvX6fRRcWxNXyiIMHJABMs66 + ZWJ4aX/wOCydp8JLzkd2/8sisrp5orVGwiAIQHDBZEUKY0yuCwAAR8Bw+UbBB36fuoEI41hGgSaX + GV0YXRQF9tpFUYRRwJRiyMg6cAMFyQs1Wt0Vc9J2B1dSANjqA+Ovdae9rWEESCckLz1aPNuyy84h + 4Br6u451PJFgNFitbDFY+jHp2Qbhk6MgAQAHKRCFEIiYpul8r9Pu96ZnZw4fPfT5z//rm970ph96 + xrMYcMbp+OzkhtEJ5pUgGLTDt0Usv+MgIg6IiDKdBSoUgXr/9X9z/fXXH5uc3Lh5Ewi+kLStdpxz + qZQTTmvds6lSanahiYjevw8AztjM5s45zvmaeqWkRMHTpM8FL1UrRVEQQyFlq9sRQsSVMuc8TdO5 + qabPd1JBIIRAACaFNbYxMgwA1tqpmWnOOQouhVJhwALJMuGcy4oc6QRx6GXkGRGQ61jHOtbx3QIE + EoDLMo8eQa/XV0yYVPeSfrvXdUQEKJSEc9J9dCrCIFRKAUAQBACQZVlhtEVw/ASl4IyzfVp2++XD + FAaBBaqWKgAwNTXlnGvUhziid3yxRTjnnHO4aLkbhHMso71eTyg5324HcVitVqVSSZJIAKFkmmfO + WM45YzzThSNijLllytFq1t+ldtIp8YHwqDrM4xg7AQNP1HKFfIkuh9/qraaNnNkXt1obVnjuGXzq + OtZxpsEX8x5PXyE5qyF/T9Z68Qk+AwsRkTEGAEQgcmsOHz0yF879+pt+45q3XPOKn/wpnWWjo+O5 + KQTjkvFFxwn4nFCxWHmHgA2KE1kwlhxT8ujs5Dv/9NrPf+nfyuXy8IaRRKdJNzUcHQInF3AmlCQE + rXVhdFwuuUUQEeNMScHY2jxISGCM8R2rVCrW2vn5+TiOwzDsdDqc86IoGo1GHMfGmGq1KoSYbzbT + NI3CsFKpzE5NCyGKLK9Wq1ABIURudJplhdEiUJxzIgqDwOfL0mKg3dKAnsHXc/7gcWTgnVWca+05 + 3/CdRDafDtbf1xkEAvHFELsTv4dAKAYYB7EKQy5FHMcAoKRaUeItOeTPBfgZYp211rY7Ha6kdc4x + 4FIwzuwjfp0VzGSr3W1NzwdcwTJryVly7V4nUsHIyIh3pBCRROlFJAOGhEjAFpWjFRGqSAYCGBuf + 2PDwof2c81xnjLEoVJwjA8Y5Y4wjggNCRI5sadO/mi9o+Zq1ixOBLWZXn9qUk25z+kOE9EjqthsE + 8p/wORVrM68+XiAAW6SnYp3nrOO7AkgDt/WacpbYifP/zFJ4kpaPVz2IHOc8jmNEzLLMFJoHcmho + 6NCBgxvGxv7oHf/7s5//7B+8/ffjTSVDhgE44N6PtDiY3oO0lIYEg9p2Fqgoite+8Q3tbssCNbtt + QqhUKixU/SwNowgA8jzPCg0ADJAhM4UetAxw4KmyzloHa4pB9NWHCDigQJb0k1CqFz7v+c985jOP + HTt255133nbbbcP1ocsvv3x4eLhUKs3MzHzmXz+bJMkFm7fs3r37K1++tdvtBlL+P89+9gXbtx88 + ePDe+/Yen54qtDaFJiIphFcll2K+geF6FbvHTWFRWJ5mVRMCgPOpPecbfRzjv1a6/r7OKCU74P+P + bHoZ+YMeLFrHVORTQA05S9YCKJRnSaSdQRACITLGsiyTUuZZCoQoBBdcF/nSXGKIy+YVfefzbXEN + 0PKW+O+00YFUYN0VV1xx9a/82q7tu45PHsuSdPP4Jq21M8aSL+FNHBEYQ3/8xikhMUKIwujcmsLm + b/7tt+w7eKBUGoqiaHp6OgykEMo550yBTAhkxtnlPq3B2jy10IL/3nuQfIgODfqOK16PJ/yQTt9i + vXgH6wf2xJssv/Nj4kwp5Mu51vI2LCnPK/q1zoGVu07X6crrCxcn7enQFdbgmaW0bNmfHs7IaJhC + cykkF9qafrfneT3nPC+KluvIOCycFUre/B//fm30zmt+67e3btzk65taICRiAxeU1/EWXVFZnmhX + ALBDx478yEt+dHJuaqHXIY6oOFOin6dFkTPGijwv8hwBOGP+c5Id6OQPnTYFQONIm5H6UHehBcZ+ + 7MMfeds1b816/R99/gvuv+fealy6aNfu17/mF6pxKe8nb/iF1772Va/mDroLrbdd81adZkOV6q/+ + 8q+85c2/mfX6L3/Zf/n7D16/64LtNi+Sbk8yXqtUTaGdsQjAkTFEcETOgaO1tXOdLr0vbyqg06Xn + V3vON7r28V8rffL7+D1ElwTS8s/AtI/IBCcirfVSYQPv2z81uunRd6unXn8636wN7hE1AHFwlBAx + jMulfpJwKXzcuNZaIOOMcUCGeDbmG3dQL1c2ToxfdunF27dtDZXkDKRgDIiBc9b2Wu2NYxvm52ZH + 6yMbhkZNkqG20qECpogFyBUx4UA6lISnUsotMy4WqhzGpE0oZN5POu2FcimKA5WnfXCmVqkycDpP + maVASAbIADkyBjh470TLV+vyNUuFcdrEYZR0e0WS1kuVShSDsaity4pIKpPlw9U6s2QLXQpCZy1Z + hwM7KfpjT5CAM8YAOWNWG2et1Yaci6NIay0Z99GPpThO+n0pBGdMCOGLWCiluklfBKpUrfSzFDhT + UZjpYqHTLlUrwFkvTbiS2tm0yHtpEpZiYugQtLO50Q4BBdfOFtZYIAsEnGln/TeZLgw5GQadfo9J + IaV0zuV57pyz1kop/TzknDsEtyzdyC8N8C/6HFi563SdrsrPV/owzsMo6vX7gCiVStI0iuMkTX0B + A8/YtdbLy1AvZ85LoWGrwRjjk2vyPNdaL/3cGuOsBSKG6D+r8Z9HPmdiNDhjni9JLgTnHBn39VcZ + JFlSqVUynae6KNdrt3zty7/7+28/fPyYA2gnbW0LhsyS6/V7gMDAOQBggue6UEGonT08ffSv3/e+ + fp5paxwQcETGHIIFMuR8Hhh3p0vXtAFiBMwRRxZwGYfR85/z3At37f6vP/lT7/qzP7/6V36t1+mG + QmVJenj/wXe+49p/+acPv/W3r3n1z/28ACzHpV6rIxhvL7Re96rX/OzPvPLTH//k7/zPt93y7//x + /Oc+txyX6pVqpILZyalIKikEByTnwDoEOH1huU5XeF80CMY4TXpeted8o49j/NdKn/Q+fk9RGmz7 + HhOMHqFrhU9tXS5uPX0iI5zd8uwaR2dpvjECILt544RCnvWT0cbwhvHxUKqk2wukDKQKueSEJi90 + mlGuSVu0jht3KgVjmbanUAq5DHkQoGQWwThGjiOgs4qzVqt12aUXX/zUi/I8rVWqSDAyPNSab/rt + SJHnYCwCoCPJ+PLV6iW1768UIlIBOdeo1ctxqdVsdpot5qBRqVWjkkRusmJ+egacC7hI+wn3GpEj + cg4dAZGXp2Ssl7BSiFCqMAhCqThgICTnvEgzgazf6W7ZuImM7fV6Rmsi2rxxExGVwihJksnJySiK + yuXy7Ozs5omNW7Zs6Xe6ABBK1el0OODw8HAchL1eL0/SLMvKUTwyMlKkWZZlHDAMQ8m4c46MNcaU + o9g5V69UGWP9TrdcLndbbV/qKo6iaqVCzs3PzQFAINXs7OyjTPVzYuWu03W6El0NeZ73+/0wDCuV + Srvd9mfnCCG01nmeW2M453EUhWEoOCcia4y1FogQ0dsvlJRSSlxcAidRzhjz/hLOBeeccyBy1kYq + CISUwMA6NA4dCUKB7IzoC4+DWmsBkYgsOYcQRKG25tt33fn7f/gHMwtz5biCnPszEkrlcpqmAhCt + s5xz5LywWongb2/423/9/GdJMANEDHxuEvlzvomhl5QwOH39Mam/GOm0KAAwQMnFkYOHiOF/veqn + PvYvH203F4YaQ/1Od8umzf1ON0vS8ZHRsZHRhbn5zc95zr6HHo6CkKwzeVEtleuVqgI5fXySnCtX + Kmk/2X7BNpsXgRQSWZHl1XIFyJEjBoCA4I1qBHB6LVynJ70vj7M0H77b23O+0ccx/mul6+/rzFKv + OSy9uuUi1l9w0pt93Fiep7T090nJS2cql8kHitOiE8DfcnBwuReWZ0l+IYCjUhTde/fdkzOTV155 + ZaTkyPBQKQ6VDCWTOtW1SiXgoiCuUHKOKhBrGl5nyILTzrpCg7GC8wCkQ1fk2Z4Ld1dLpXe96y/+ + 1//6X/v3H6zEpf/8xu3P+MErZmdntdaVuKTzwlrHGbfaLD/WYnl/JedAMD81E4dhrVJVwEgba217 + vpnnea0xND48QgBRuVQUxez8XLlec0BknSVH1jEAIEIEBH8orOOCk3WAUGiTp1kQBM25ec55VK9X + SuWD+w/UarWJkTHOeS/pH9i/X0g5MTExPTsTB+HY8Mj999+/ffv2/Q/vK4zeNLFxrjlfq1Q559Vy + 5fix4xvGxsM4Onr4yIaNE8eOHOVSDNXq2hqyDqzLkpRLESiV57nOi1AqU+iFufmxkdG4XCr6KUeW + 9fqK8bnOTBzHG7fvOHL8WKVcnhjfkOe5H6CBQ3XRQDDYaZ0DK3edrtMV+PkqdRG8d1RKWRSF9wVx + zpVSDNFaS8ZqV1gChyCQKSnJWGLIAa1z4MiQ82eHBkKunNEEmGcZWCcDFUqlnQUCKaRg3DkH6JwD + XwKOMc+D6UmR70IIyZjnfmmaKM65lP1+/6v/+dVPfuoTr3rlz0cqdLYIhCKwYRQIYGi0BYZeo7r9 + W7f9y0c/ahkAQ0QvaQjAedHFELyitCZxhXS61HcgT9KRkZFSqTQ/P99uLgghfujyKy699NIvfvGL + d87cOVStjY+P3/C3f1cul8NS/JznPKff748MNWq1mi6KsbGxO++7c8f27TMzM8jY1NRUEIVBEByb + PB5F0djYWFEUAEBEbNAfICBnLGPs9Nu5TvE72DOdP+053+gTg3Ohp98b9PTxmBbKNdxq8XyFM3Gz + k0G4ciMHyhL5qn1rw5rGExHzNCuKzM6lvtiCEKJUKpFDgUiSSS4E4yGX5bgEzkm+soK0yvgwdGiB + CjA85fV6nQVo0RIDxiAIFDHcsmEClfzRH/3RO/Z++2lPe9ptt/3nxMRGKWXaT6SUikut9amH/i39 + v3NOKRUEQaM+9OMv+y+vePlPjo+MZlkWBaFP382KvJv0kbEv/fvN773ufUWW2RM9gciYr1jOAQ0Z + BmisARjUkipF0eU/8IyRkZFmszk9Pb1j6wW9Xq/TaiVJIpTaMDpmgaanpupDQ865B+67f+f27d1O + d+uWLWmaGq05YJHnjUYjSRJGkPT7U5OTYRhywOFGw1rb63Z9NV0OIIXYumWrlHLv3r1SCACYmZm5 + YPOWHTt2OOf27No9OzXNLY2NjGzfvj3X+o477tiycdMdX/vaDzz7yunpab/TXD5SSAPtaE2zYp2u + 0yeYn+MpuZTWGAIAonaa1mu1JE2dtf1er1GrM0uOAWPMm5AYYwK5BoeEiMgAgYEAjgIBwNpBGZdT + qQRGDAUhWecKnaapr7XmL0BEhuh8wB7RyQxoeePP5vh4nlwUhVKKAWa6iFRYrdfRur+94e8b9eGr + fuLlXHDtTJ5l1bgs/FmuxrlMF3EYvfNP/7Sb9Ec2jLV6HUJERAJL5GMGHfkqgjjQkk6HrgmOQFsT + xFGe56bXjSvlqFsihjIMyrXqq17z6ruvuYZJ0ep23vHOa9M0/da3vjU8PIycEUMLNDc/X6vXL9i2 + bd/+/b1eLwzDnTt3ImflcrlerwdRVBhN/iw8hMFn0da41qauYznO0nz4nmnP+YbTH/+10nWcDaw2 + rkiDTaH/+zvRkFY7lXVwsuHj1pdo1X/BRbhBrjDBYqDdWZpvCMA49HqdPXv2lGvVnTt37D94YGpm + Ks9zjlygQIud0Yk0TYlIScUAgAhX78IKT2AgEB2JgQvO58kgFEaXKvEDD90PGka2jBlypUolz/OL + L754em6uyEwlLllrtTVCSV8qdummblkD/PdBELQ67SAIdm3fJYGnQRKpkAAsWUSmwTqirVu3AsCi + 3fQROCBY3GkRApdCWyOlFEJYbZ6ye8/fXPf+22+/vVKpPP2pT3/ei543NjLa7/cl8pGx0Yf374tK + pUalliWpUqoxNNScncuyrBRG8zOz5XL5sosveeihh6aPT8ZxvHXT5mazecHmLUKIBx54oFqtlkol + gcyffOKsi4PwsosvkVIe3LffFjpJkpGhxmf+/09Za5vNZqfTufHTn/ncZ258+ct+/KpX/NTc3Nzu + bbvf/YH39Nud44eOKKUGUwUATpxl61xoHecsVvDtEDgEyXhhTSAkxigZj6OoSDMOmHS6iCilDKTk + nPuSPGmec+4Lhj+SHepNH2wVZuWci8LQWpv0E855uVxuVGvI2VyzCd5lxNCXwXGOnC/s8mTId6uN + A5vnulqtDg8Pt9vtNE2UlOigNdX6+Cc/9rKX/FhZlrXNy3E5M4UAAMZFXmRRGN1621du+8bt5aGK + cc4YA5IzBEQEhghAZK21gAJg4Ms7LbqWuulee9HWMMaQsz/+4z/+uxv+/tOf/vR/fPkW59zr3/gG + nzp8+PDhr3ztqzu2bV9ot4SS1to0zyqVigyDJM+EED9x1U/efPPNWZYRwi1fvuXh/ftKlQow7PR7 + tXLFAqEjC8QcEUMGAJzBWasE/71NB1gtNPVUCgCrL+Pvvfacb3TN479Wuv6+zihdTSadZOFjizal + NWzmF3FqHJ0Xuqf6Mc5eJpJDH8JBg9382ZlvfnCOT00Oj42GUTQ9O3Pk2LEkSTjnOs8ZFtJJbY3W + 2o+73xKsWIVpxaqqAAgWDLnUZL2k32wtzHXnCqeJOwCXmqw+3HjOy19Yr9SLXBtnDx89wmXQGB5B + gDRNGWPAWaYLxhhfLp2XDVSSpVwXlbiE1jUajX2H9t/+ta9LLqIwRMacc8DQAEXlUpZlWZGHcWwZ + +PAbsG5JtgpkKDhpTQyNMcCZAEFE1VJ5bmr613/5V4QQl1xyyYduuOFlL3vZkaNHL7vsskMHDpai + uNFotNvtTqtdqVQ2TUwcP358985d+x56aNfOnVPHj9979z2lKNq8Y+fM1NTczOz46OgDDz3UqNcn + xjeUomh6drZerQJjzph+kQdcbJrYGIeh5ELnueQi7ydWmzddffU9e/cqISYmJop+io6+ffs33/b2 + 32WM3XLLl+++864vf+XW5QE/sKyWnf/jXFi563SdnkSXmNWpVEppreWcK4Cpqalaraa13rp5y9zU + tOSCc25znekEAIIgqEQlX7Zt+ck9AOAjZ1ec/xzAasMI4jAiY1vNBacNKhGUYsuACPxREg6AIRAi + LXKek+nZHSUSXCBynZs0TZWQPgvRcs6ADQ8P33nnnV/5+tee86xnRzIkAMaYAGSOnCHT7yXXfeAD + YSkGhkmWAvcFJ8gBAYH3JTkiYuB1CYIzTwFACB7H8fTk1PDoCDC897697/7r93zla1+tV2tJlspA + Tc1MM8GZ4P95+21PufipCwsLUSkujD5y7GhUipng//jhf/5vr/yZkbHRp15y8e7duz//pX+Lq5Wg + FDuAuFQy5Ah8VDr60kaAeDb6cp7Qx71fOU/ac77RJwbnQk+/F+ij6iNIwBDwO4usO6k83VJBJB/K + tXSc39mKuAMg9PY9gBOzrZbjpDISp+I0xxMALFGSJNRES3TfQw/ON+drtVoURUkv4YAKlFAKObPO + EYB1IFdR2JDjSl8DMJDAFFNSSmBMW5vbAgBUIJqtBcbYpo1bZubmikITw/rIcBSV2p2uM1ZKaawF + ACGlj3I5qeV+uCrVqrXWOWe07vS6119//Yf/4R+vvPLKoVo9TdN2tzM5M50XxWXf9/SXvOylKgy7 + /Z5jyBlDX8+WMRSMe62YMYcAiIU1ZJjXEhGxFMVjI6NZlo0Oj4wNDUdCXfqUp/74S176Mz/3s4zz + j3zso//nPe9+7Wte8xM/8ROvfvWrlRD7Hnpoy6bNf/mud73lzb85PDryxte/4eJLLymy/HVveH2r + uXDhrl3vf991taH6gX373/yW35ybmRVKBlJJzhlgqJTkIk/TKAijUmwK3e92A6mq5fLxo8c4MiUl + Q+x2OmRsVI4efuCBp+y56K677iqKgi06G+FENemcWLnrdJ2uxH8YnRxu55ElKQC0mgtKqec/93md + TicIgvHRUbNzNwe01mZZhoj1en1sbKxarY6Oji5Gy54ArzidGsLHGdPGSCHiUinPsm/feeetX/7y + g/v30ZM9JiePD2OMQRyHeZ53u11EFIEKVMCRO6JMFx/60Icu2rnngo1bc51LKQUApHnGOLvrnru/ + /vWvk4AoqswuNKNyTAiWjPPH2DGG8IiDjU6kp/JxvviSHK5mlVv5PoTY6/VKlXIQBA89/PD73//+ + 7/u+77vssssOHz3y5rf8Jud8fmHh3gfuL1er2tpekvTTNM3zjZs337P33m6/1+33PvSP/2CRLr70 + kl6v91L1ADEAACAASURBVOa3vOX+++8fGRudby1kWTY2Pt6cn2ecC+7LkC6vWssHLUS3omRasRf0 + JNHTBA5MCOTQHw2MhD6+8BHLJAIBDOjpt2FpHGiNIQd+9a44c1br6emM/ElbnxNssY/Vnke5J9AK + 36/WztX+9aT2P8odzsHYjdOcA7D2meDhTvwJo5MvWJHdn8E18j2J03lr4KMcgHyIuB95XMxnRQLu + GDJY+v4MtGpRO7LW+hpKnPOTLvjONaUT+QDzHeEOGGPOWeQnX3zSE0+awyfxqxUpLI4nI0DEkaFG + rVEfGm5Mzcz4lNe5uTkGTKDwldMNucF5jgy92+l0uS4BIloHwAj5QK8jAg7cFk4KNTs9Nz664fDh + w4xxB87pPNeFFAEI7mPeAMA6s1gI/eReEECv6CGiyy13WIorRutdO7f9j//xGxObRxYW5sYmNmS5 + /uTHP/XVr30jS9JQhsiZQ1he8NcRAYBzzp/MzjkXUiqlVBCQsUNDQ0zwq666atOmTS972cs+/OEP + G2ef/8M//GtXX/3rv3E1Ef35X/7FHXfcsf/hfZKLSqkch9GLXvAjrVZrbmZ2Zmbml37plwDglf/t + Z66++up/uOFDL3jBC7Zu3XrhBXve+ntvvfXWW+M4rtSqRCS5sOSMMUVRSC78Pm9mZqZerSmlrr32 + Wgf0hc99/q/+6q8QQGtdr9eNMb1e78Mf/vAzn3WF1voxJtk6vktAAIA+ReQx1u+posfD72BP2GPQ + I796FPpkgdD/98g3SCCEKJfLMzMzGzdsuP49f/PFW76olDp++GijWiNjraUoCiYmNm3buqVeazAA + Qw6RGAgEAmAOLADSyeIalocaEFBRFIyBEsFlF19SDqPiszcenZ9xHNliXQSAxQgCPPmNPDHIsgQA + yuWyUsoY58+TzY1mZMi6xsjw7d+6wyLkrghkYK0WBMCVFIx/5rOfDSIVlOPj09P1Rj0tcuSMMSZ8 + 8pYj9OU7V+qNFwwcWVEUDKAoimq5UhRFuVyeX2iWKpU0z0qlUpIkWutqtZrpokiz3OgNGzYURTE3 + Nzc8PJznOVnHwsA5YIxNT0/VGvXj05MPf3zfP33kn8Mw5EoIIQ4fPfyuv3xXEAQykLnOVagA4J69 + 97zjTw4xyY0xzVbznX/2J7VazVprjKnVq0ePHB4bH4+UytKkVq7kRtvChiWVZRlHACKjrZASAJIk + GR0dPnbsyNjYWFbkzjmf8BlIpbUOgmBmZmZouOG1bWTMkWGMCSnzPF9u81sD1lrxAghO28WPAMwS + QyLGHQeLaBkBIYEDC4wcI8aQEBkAOQLrK8L6By2+6MH5D4wRkbO2KIpQBaEKrDFJmoogIEdZlkVR + FIZhr9cTQiil/PkSfv5VKhXnXFEUjLE0S+M4Jue8w5cDFkURx3GaprnR1Wq11+upIACANM+iIFTA + vKOXBukEBADEkIiSNA2CYHh0ZHJyUkUhY6zf74/UhtrtdmNoqN3pNIaGjh0/Xq1WrbWWXBRFRVFo + reNK2TevFEZpuyu5YIK3e10uxMTERLO14I0lhEB20bm8CD+ppJRJkmzcMNFqtawx5XJ5fmZ2dMP4 + 7OxsrVYrtA7DsJf0G/WhpN/vtTvj4+NHjhzZsmVLmqbtXtenIFtvxnUEAAz9hgeds6fzZp8wCgw5 + 5wut9tDQUJqmlUol6aWIqIQMlZqbmymXy8CZdc4449O1wzB0ziVJ4svmIKIxRkrFOe+2uuPj40mv + XyqVsiyz5AqTcSnBOX+lM5aIyFghBEeWpmmlXAbr/NEKlUplvrVQLpeNMe12u9FoGGOcc3EcN5tN + KaWU0q9TRNRZLsMArF0K+/EKMwMkhj4o6FwY4TNNcUmInaC6EwGAFCJP0rGxsfZCi3MuOW8tdOI4 + FkJccfnlw41GZ6EVSOWLB5CFalRKksTkxlpiXAIAObc2fnVi5bolMamUGpSVQ+z1elrr4eFhP1V8 + kn2SJJ6rBEHgE4jhFGXG3/eRPwebfiIAIgxVNHV08pVX/XS725eSJ1mOAqWUxhhtTLfb/fJXbi1V + K83W/KZNm6bnZjjnnPOlZw0MZ6c8cIkx+pNzOOdkrD9OxFlN2ow1Ri7Yvu0Nv/jG973vfRL55NRU + vVTNsiJUQb/TF0LUypXbvnpbAFJybgp9atWK1T1ajsASZyBZL01UIBRXeZ7rIitH8a7tOwMlrXEb + h8fK9Vq71z189EgclRlzRERAvpHkwBizdLYVLFeQ0AEHbTXnvBY0+t2sURl662/9quDzvWImFy3L + e/uO7HvRiy7+5m3/ESsFOYRK5SbjnOdFXuR5bWjIR7aTo85Cq16vW2MQQEnZ63bJ2JmF+bBcknF4 + ePLY2/7ft99yyy2tXvepl136yRs/ffu372i1Wrd/8xvVavXrX/jCzLHJK37gB9/5znfedNNN8/Pz + B/cfIOs2TWzcvHnzTTd+6cjMkTu+8U1rbb0x9OU7vvKvX/yCdhaTHidCRMmg2VwYbQyHpajf7yd5 + GobB8NhIv9Nt9zqf+sQn/+PWL08dO14UxVCpAgw6vU5YitI03bpzmwrV/ML8xMREWuTGWhR86fgv + r1bieojddw8lBACHy/fxnks4ICIlpXPOaRcJRc61Wq3RkZGiKAQyX1OEK8kDXjibmhwEB4bgCIkY + IqdFxeuUdTRYq0+KvAZw6Bgwt6xlDIAspWkaBQFH9u27v/07v/U/4zhemJ3bND6xMDc/Pj7xjGd8 + //Of/8LLL/3+bq9fKZfAghScAAFcmuZRFDpHjHFjc8llkmUcMAojZ8k5588TQ8YCwYEBAG3ZuMno + otNukdaCKyUlERVF4RB84EC67AimQXEIgidALnMpAFyWZWzAwwGIIaJ2TgWym+dRGP3xn1z7nj/9 + KweOiAQBccZ7WW96erooCm5UECoL5B0sAzZNi0fvraIdAQBZlxTZxOiYMabVXBCMG0sL881yuWSN + IW1cocthVAhZZDk4NzzUyHXRbi54k1uaZUm353c8DEBKWSqViCEAeEOUFxvdXk9KGcSRz7NExE0b + JoqiEELkRYGISqm4VHIIrVbLj76UslKpKCnb7ba1NgxDISQKliR9wTkiWm0qpVhrA8QkQ6vzarmM + RF4p4lzmeZ73EiEEOopUQNaVophz3u33gjBI09RYyxgTQuR5Lk60iZ5xMDrhj0enADCozQeOCBwZ + BwDgAADJEQGCQweI1iEAOYZggcFKBmPnnDEmDAIhhM2KzGXMEQLEQWjIxVGU53meZQwRiIo8R0Qp + BOechOh2OmmalsvlMAj8BqVSqRw4cKBRq9cbjfZCqzk3PzExkeuCIZNS6qLgQkRBSETEVjAwMAKH + WK1Uoji+5xvf3LhtWymKZ2ZmtmzafPDAgbHhkebsXBzHD93/wI4dO1DwmZmZMIoO7tu/Y+fOarky + Pz9vyTUajX63V4riUKlc6/GRUQvUbDaTJJGBiqII0DvYCB154zcAcM5R8EgFlVJ57969F+7efezw + kS0bN5WjuNfrRSqw1oZBsLCwMDQ0ND09Xa1UNm7c2G13hmr1UAW9TrdRq3MhOt0uciaEYIIxAuec + M9YZyyU/nTf7hFEicM5JLgCAMWa1McaUohic63d7lVLZGkvWouDGmGq1mqQ9U2TkUHHBOfcJ05YL + rU0URLI+1G93jTE9bbIsi8vlkgpFoHSWO22tc97wzBkn67QzSb+P1nndjDHW6XTiOJ6fnx8aGqpW + q0EQJEkSBMGxY8e2bt3qz6rLsswWulQqacjJWGM0IgIi92V0vPnKEiA+6WN79qg7ZVsvhGCMoXWM + sZmpaeecYryv9Ut/7MevvvrqKAiCIJBcmLyoV6rzM7MMUAiRp+lNN93EkWmtrbXwHaQJLakBXg/x + X3rGXq1W/eKy1i7pBpxzb3PxVolTE5YeBT6BJJRqz45dVzzz8lKpVKqUrbVSqX7SRc6np6cveupT + Zpvz7U7nl371Fw8fPFStVXysNbils98dItIqBiwvlUxeOMYAQGvtrJUMa+VSIOX17/rAJz/+iSiK + nnrJxZvGNyRZsf2CkV4vGRuCUhin/Qys27p5MzhaimM5vZ45ApvZDLlY6LerpXKvWq3XasYUkove + fOfQ/PzxyaP1keGoXOKAl1xyiVLKO1JgsdQvOPKnsi7ddKmLhKR5oa0RLpAuHNsw3lXQda0H545I + UfCSeejQfUlWfO3mr8+l08Do+PGpsfF6XAoPHj60devWclzq9nulSqXdbler1UqpTAhpmvqYPSFE + EEZE1O51P3j99T41q7CmOlQ/NjN1yWWXJlk6MjZ6wfZtD+17OI7jcrl8zW//9oMPPLB79+7LL7/8 + 5/77q/wCv++++6655pqD+/Z3k763hkgpu0l/90V77r///o0jo3mWOQQhRG50kiRhFA4NDWlj5iYn + q9Xqjm077n/owcOHD9frddfu9NO0VquFcdzr9VQY7Nmz59M3fmbDhg0LnbZUiknhjWucc5+CJRYH + 60lf4+v0MSn4fQ4CDGptDPY2hMA5V1LOz8+PDY9EcTh1fLJerlwwsanZbI40GocOHSpF8fj4+PTs + TLdblGoVwTggswjWn4xAAEgMgGggR9yJ9TPpSeP8DmBACQf9dQhKSmttIFU5Lpm8qFdrf/Hnf75z + +47po1Of/PgnbrzxxqFaY9P4hgP7D2zcMMGBdbptjGOvw4RKIQFZSwSSSSBXCWMAAGKkc3RI5Jhg + QIAEzloL5MAxxoIgqEqe5lnS7/t4AUaktUZEb6BZUo183Uv0xuKzOB9OsO4tjw7gnBNDB5RZ3Wq3 + W0l7OK4yxnzFBTY9PX348GFjjDEmDENtrS9Bs7yExcrq0dLDELdu3fqGX3htr9ebPHZ8ZGQkDsJO + p8OVLIwOgmBwUjVCr9dTSimlCmO8VV4p5VVzxthCq8kWz9X2BUm9/SaOY855ludeDwmCQCklpZyf + n/faVLPZlEI452q1Wq/XAwBfOcfbnsvlcqvd9nZrR4ZzrnUeyBAR8zwPwzBP+97vwVAQoTGWyAGg + 4IpzaYwJgsBvr7IsY1K02wsf/pePFEWBiDKQRVFYi2uS4k8MHDJEtAjWx51bwkX/CKNBMpYFAAIC + dI8aSyOEEEL4LtdqNQGYJEnaT5IkiaIIEQOlkEv/4qQUUso0TbXWI42GHBk7duzY8fnm+MaJbqfD + HO3Ztbu90Dp88FAchtu2bt23b19UKimlpOAo0btsjDGWc8cGUYBLcxARyNgwjKaOHtu0ZevIUOPA + gQONRqPXbG0Z29BsNmuVyvDwcHuhJbmYm52LhJLArrz8WceOHZtfaNXr9X6/b5IskqrX7sxlGSGq + MBgeG+12u9u2beunycLCgvcLc86Zr16LjBC8K6wWl48cOXLpJZfMTc+MjY3dd+9eP2O3bdtmnG02 + m3EUWWPqtVq/03XaWG2klFNTU/1+/8KJPXMLTeec94whokCGiJwxphjR2iz0ZxtE5KwLgsAZy5EZ + Y5yxgZTzs3OlMEKiJElqQ3UZBq1Oy8YxOLLOCqG4ED6TAQmcc9xBe3Z+YmLTfKffqFTIOkVMoUiT + hBnCokDnQim1BYHIpXDOOcCnXXLpwYMHjx49uvuiPa1WS0p55MiRsbGxMAzn5ua87zGO406n411J + rfmmX8WdTsd7Do1Zj5YBACiKQkoJxpbL5fZCa3h42J8L9/rXvnZ8dNQZywiyNDNat2wrDENvOOi0 + 2jovvCvYqwSPnqtzKrw4hMX4OljUkbzdsSgKIvJaUFEURVEEQbC8hINn/s65VVnrCY6XE57barW6 + 3e7ExEQ5ioUvrh1FtWoZALZs2NTstpqzcxu3bH7HH/9/v/cHvz8zP0s+Wp0GCtKj6yx5niulvPkg + juNKpZJnGRlNxpaiMi+LhWPzfNMYOJRcgcuSbgIOxkZGK+UyY0wJH/XutCmWNMYll9pyV9upMLkR + IU+TXGuLBI2hIUQ0eaEkt8Y88MADGzZujoN4brYZxKVjRw+VSiXGmHfNOeesMSepZEtvlNBZNMbZ + kAcux05/fqFoHjh4dBYOjIh6v9k22g0Ho8MXjMlN9XCovOepe4zNhoeHjk0eJ6J+mvR6PUtUrVY7 + nY6UsjCaMRZFEWPMh1Z2et00z5rtVqlUStPUL9t77733xS99yXOe+1yttXNuYmLiwfsfuO66695/ + 3fv/+r3vfcUrXjE8PPytb33r6U9/+qEjh+Mwqlarz33B82+++WZldJIklUpFCHHsyFFnbLfbLfI8 + juNqtRrHMWNs06ZNV1xxxfHjx40xe/fubbaaO3fu9DbvuemZmanpZqtVqdWe97znXfFDz3ra0572 + O2//3V6vN5jqa5/w6zhnsWQ5QgIAStO0EpfyNCtMVi9XAi763a7k/MC+/Y36UBAErdn5SlSqyrLh + mPTbKAUKzhlDJASw5JCIAV9ujTpn54rPMjKAfh/e7XZ37NgRqOCjH/3ov990M+d8Zmam2+36pQEA + tVrN+9IREYE5ckoqr2DkRc6VAACT55xzVAIIwFJhDZeMcYEACCzLsrm5OVACOfMnBxBAkiSFNUEQ + +P2SN7p5N/1jJoKeVSwFDhRFMTs7OzU1NbyjyhgTBASAk5OTc3NzQghrrVAqTZMgCCw58KERp9EB + IcQP/uAPvvCFL/z0pz89Pj7uOX4YhlprZqkcRO12u5/lURRJ5BJ50usLIWr1eqvVmpydrdfrURTN + zMzUGnWvXw5syZx7n4MXrlJKLzl8FW/OuRexngX7Q4K11mEY5nnu5Xq/3/ch78YYIlKByHNtTCal + KgqjZCS46PdSJRRDwTgjAmtACpUX/Wq10u2kPsZw4KfK01KptGPHjnKt/JGPfMQWWgRSKVUUhY+8 + WmsIylkFAVgEX3OeAIAAATggAgpENrjG/4tXSU5Oql4O7w1AAmNtc36+1+4IIcrlytjIiDM2yVJX + aEIIVcAkMMCF+fmJ8Q25LhZm52pD9Xq50qjXuVI6CHu93vBQY35+/uKnPOXIkSPT09ObN25KstQb + qmWgcqP9qycEy8ABcL9lWZYyVGS5TrMLL7l07z33llUYcVnYYmF2fmR4eGFh4fjhoxdetGdmZoYj + bhgZ3b9/P2nTbDa3bt3abrdrtWq/24tKpdLIKAD0kj4who6yNG23Wta5arlCi8zULQMABFJNTU7W + qtWk2xtpDE9NTW2/4AK/L282m61OZ2xsbHR87K677hodHa1UKlrrcrncbDb37NkzPT394IMPFtbs + 2rVLG1MYrbV2xiIRwrmoYPtdQhiGaZb5hE2foyKQveF1r69US91+Dzn/t5u+NDU1iYjMlwMFRONM + nvswhgAZACgVvvA5z+WAo6OjSMA5z/NcchSMW2uZ4FG5lGYZcMalWGi33vPe9+7fv79cLotA7T9w + IAiCKIo2b95cFMX8/PzIyEi32+10Oj5qN0mSPM+lENVqVQjR7/fn5uZqtZq3mi8BFqMlz7d9z8Dj + oXWpVCIirXVe6DiMtm7eMjc5raQcGRkpR7Hiisj2u70oCBalF/g4t8c3Yl7crtgeWAy0AwBvJhNC + GGP8P/loSQCfVsse++kn6jNhECoh4zAaHx8frjcckLGGc05ku0m/gByc27VjpwPatWPn4YOHSpWS + QwAiC6eVu+gNoohMa52mKSKSc2CdNWZ2dvZHXvgiR6bIjS3sXHOeMbbv6H5wMHNkkhvM02LHjh2I + wAVjIlgehQiP4aNjCBAGEWPCFCZUYa/d67V7xpik19+5/YJ6pX7RhU+ZmNgogGX9bP9DD3f7aakS + cy6JrBDKOeMMCcnIIaADYoCDQxq9482CdsZCWLKZM1mR6/xw59gBd+gpYZmNVMKwfOhQa6Z9fN4l + +ycPXvb9l/3JH72DwBSg3/SmN33h3764c+fOf/znf77qqquWjlWJosgC5XlujEFH/X7/wQcfrA3V + yTprbWNkGAD2Hzxwy623vuMd7xAg3vV/3nXXPXczwecWmhz4jTfeyJW8/PLLL7roov0HD7z3ve/9 + 3bf9zh/+4R8KIY4ePXr3vfe0Wq2777670Wi02u3t27f3Wi0EMMZkWeYZxYtf/OKnPe1pw0PDd91z + 1xve8IZDhw698Y1vJKJOp/P1r3/9f//eH3zxi19897vffeVznv2xj33slT/3s8ePHy/XqiHnRVFo + Y3zc5SNauj2/+Mb3GJZ0JCIC6+JSOel0dVJUG8NWm367Wy6Xa5Vq0ulCoK21SadbHqoYIInICB0g + kY/AQQIgOjkR95wFY6xWq4GxWus4jrMsazabe++59xOf+MSObduNMd1u19ctqGwop2kaRdEjyaJK + evsaY8BwwI3zPLfalYIACMARCORMMI6FK5I05aFQQVCt11AK42ySZ0mSSKWUUugGxiBYplOcC+KY + iBhj2rmFhYXJycmLd1yIvma3A+cP2w6jMHdmaSO4JvT7faXUvffe+0d/9EdbNm1eWFiwhS6Xy+Rc + mqY+LUFrHUXRUuReURSZLiqVivcvGWM6vS6X3KuYAGCM8RI0TdMojn0CiZSyWq1mWeaTWKQQ3vTo + nGs0GgsLC4Do/Uu9Xs8nXzprlT9OW+t+vzs8PFTolDOVJhCHQ5zFeZ4TZIDOZCYIoiTJRkYa3e7s + 8HCtKAwRZllmrfZOgK3btvz0T//0s658Vp7nURRqZ7MsYwyY4NZqBmsMsVtr+vNaLnfLDpJHQI4M + iQQhI1x6sgNAIoeLaTbLDsU4IYyVMa11miSBVHEcG623bNny4he/+DX//TWf+9zn3vfX70WCUhRP + Tk+5KG6MDPe7vXq1tmPb9he/9CU/8oIXdnrd6//mg5/57I3Hp6bGx8e3X7Dt766//oEHHgBHcRxv + 3br1XX/255/7wuc7rXa1XovCyPSMQNbPMlmOrANAsOiPGwMg4ASMiyLLtm7e8o2v3/bzr/zZnTt2 + vPSlLxVC/NuXvnTrrbfedNNNv/pLv/yLv/iLc3NzrVZr165d7XY7z/NAqVtuueXaa6/94D9eVy6V + ZmZnHcLWbRfccMMNH/vEx++7864f+KFnWaBDhw/7+MyBY4cxb9MVnBORUsoVOhJqbnYOhoZe+uIX + X/Xyn5yYmPj2t7993XXXSSnzNL3v3r0bN0xIKXu93pU//MO/8eu//sEPfvCf/umfZBBs374908XM + zAwgen7DkbGBarFyjt+TCEYAgJLxvrWcccFZwITL9aYNE69/3esiHmgwCOzmm28Ow5ABSs6908lY + ywmkVIFUgnGw9GMvetE1b/rNQKpQhIXJAxEwALAFEjggQGRcdPI+k4IzeXjm2Kc+9alv3nHHzqfs + qZZrFqhUKh07dqwcxVs3bT548OCRg4d27tx5/Pjxfqc7MjJiC73jgm0PPfSQT3Xr9/ulakU7e3YD + Xr97sCSKvB1Ha43OXXTRRd1We3RkpDk3f/D/svfmcXJVZfr42e5+b21dVb2mO92dhOwkhCCrWxiV + LbKojLiwxFHRGcSg8x0FlWUUx12ijqg47jMIKKDsgmBkxwQSEsie9N5de9Xd71l+f5zuJiKg+HGG + zPx4P/lUqrurbt2qOvc97/I8z7t3X+QH3Z1dc7q6ieNgiBRMlCxRVRUAkCSJ7LS/3J1MCCERVgfz + dAEA8jtSFEVVVYkUkPwfibwyTVM+cfYyfPE39scvN3MnjsIoCHzXjcMICI4gUiWHSrCUad1zzz3F + rs5Ce9GwzCSMdFUTM8JuAE4PE5TtgxdjlUoYvUYUVVV93+ecm4ZhWBqIqdf02tIF2zFtK+W6LhQo + lc4smr/Y0EzBWHuh4+mntsRe9PSmpyEUHLDZftFsB+klQHeCcQ4Y5aziNjHAvT29pmlalgUS5qRs + z/OK+XYBAEN8xfKV1VatXKkFcRBFCUYIY0UIgQhUNTVJ2GxSdPAthpADpiOVQ24KjXu0UWo11QgY + 9p7KaFevXYU8r2Mf0f7Fg+956/nnrHvn9qe3nXDCCZdcckk6m7nttttajYahaf4MZoQxVm81FUUx + DANyUapUvvClL+7aszvtpDRNk81erJCf/OQnX/3613zfz6TSmVQqiqJyubx45VLTNG+55ZZf/OIX + URIrilKr1T72zx8XQpSnSul02k45TzzxxMTU1OTUJIBwYmIinXLkVqWoKuf8lzfffOddd9Xrdd/3 + bdvWdf1jH//4yMhIPp/nnDfq9e5ix559e889/7zh4WFVVRutZk9PT0QTeLAJAMWhHgG/an+hzaLv + bNvxWi1D03NGqjJZQlykbHt8bLyQzwdR4se0LZWJOYybHsAolXMYhokQIWdccLltQ4w4nT7m81DN + 6BBbLjLb0RUlDENKqW3btm3XarXBwcHhA0OZTEbXdXmRJkkiR0LLJr+iKAIAQzfkYQAAClEgkKOT + sPydEAJwyBgDCCGEVUMnCMuNo9lsQpVI3A1lzDAMWQU7GLAt+J8tDP23G+ecA0EQwRiHYVgqleTv + CQCACy4BRYSQhHKJ9OWcT+uNwmnxjpfeGmWb27ZtIYTneZlM5pijXiM4z2dzgvFSqZTNZjnnURLL + fotpmlRwz/NyuRxjrFwu67q+/PDDr/vJD8anJmW9UzaRkiSZpvcQYpqm7/uNRsO2bQih57qEkGw2 + 6/s+hLBSqaiqKiUTZJXU8zyEUBgE8ulxHK8+YtXc/l5CkIAaT1IE5gS1EFIVVSRJHPlBNpt1vaZl + ozCa5CBoNpuEEE1RFU3FGG7ZsuWZZ7aVy2WWJFwwQkgSsyQKiapMhyCHmBIZAwJAQASEAmAAkQCI + CzSjPcAhEEBwCCCCAgB00HUt7zwHTxdCIYQnFAOIIPR9P3A9SmlHsX1gbr+iKJ7nUUrbsjlCSLVc + SafT69evX3vaWhWooQjTTvqqy64674Lzz37n31NKc5lMzsyuXnkkpQljzNCMKz91uaZpt912G+Us + 8DwaJynbcQP/T9+RPCPGmKHpCiZrTzn1qiuvpFGcNtMTlYmzzjrrzDPPXPPGN775TW8q5grZTCYI + pvnsAQAAIABJREFUgqyVbc8VOeAEoN07duazuaWHLcIA59IZzTJVpK//p4++/e1v/+gl6zc9+aSA + wHRsGaKJGd0tyIXgXACAIUr8MO2kquVyf1/fsiVLv3j1FzSgAgC6OjpPfstJbzxxDUe4mM8f2L// + sEWL+vv7P/KRjyycs8B13UKhkMvlhkdHAYKmZQoAAIKSFSD9lxAC40OuiSSROQQizjkCUCVKEsdH + rjzCxqaXtCDBGMLR4WEFYdd1VZUQhDjjEELdMBAHSRBGlMVBdNxRR2eMFGOJ4DQJQkFoyrAAIkAI + DGWSDjAHOtI4AAf27vvXK69atnLFu88/97HHH5fKKNls1jGtLVu2aJq2bt269evXl0olQkij0Ths + 8LAvf/3LlUrFtu2RkREIodwA+AxmabZxNBuGvtKf6/+oSZa5ZKRIV69pZPURqzLpNObAIGq1Wdq2 + dWtpfCJlWplMptFo6LqOEJIKCrJ1/1fU+aIokoWtWQkEmQAIIer1umEYtm3LRAgAQCmNokg+UT5+ + GhXG2It1og62g09O13TLsiCEyQyUACGEAARAfOVLX0pl0kcccUQUx5PjE8RQMk6qGbgIAiZbKgc5 + vRdbJnKDl7eyHocgDL2gPlVOmVZ/72AxV1RVzTbt9vYOIaBpmp7nRUHMKdv4wO9t3dCQCgAP4ghi + ID8ZMCvxBMAL1iihAIBzoqoCYaThwf55MY2iMFE1wmNqGZqO9HRbOqE0pjFHKN4dP1veRTRVYkMk + kQ9C0Gp5MzQw9vxbziFjMY8QFZyGrUa5XJtoONUE0fyc9rHqVEdHx8S+AxzxXbt3rJ53lOu6mqb9 + 7ne/KxaLH/rQh8bHx3Vdb2trG5+c5JxDjGQb0HEcAEC1Wi2Xy5ZhHn744a7rBp6fMJowqprGyNgo + hHD+/Pnj4+OlSiWdzVRqVUPTCSFhHGmGTlQliiLDMJrNpm3b3d3dQghJZw3D0DTN9o6OsbGxKIoU + hCGEEtspUXypVKq9vb1cLkvlj0Kh0Gg0stlsPp+v1msE4VqtBjHKtuUyuezY5ITjOIHnSQy/LKLL + 5SMd4J9dh6/aoW+yB4IhApQBIlSEvWZTx0pvV3e5XM6l0o5uhp4fNFocsM6+OS3P5yoGCiYYAowF + AAxwwTmB+AXF3Q41E0LUarW2dCZlO57nyQBblo/T6bRlWYSQAwcOdHZ2Gj36nj17pqam4jg2DEMI + UaqUOzs7gyBASHo8TmOm6zoC2Pd9FatEU/0wABACDEzbEATppjY+NQkxjmliGloqlUqSpOW6URRJ + Xh8A4CAN8Rky0l+1xfytPh9ZAyGEiIQ3Gg2JrSMSPicTiSRJEEYxiyVCFxw0IVu8GFl1xprNZqlU + UlW1p6en1WguWLDgzDPPfOD++99++pmaqv74xz/GGMuujmEYTcYNTX/961/f1tb2i5t/uX9ktL29 + vVqtrjriiNvvuXNsckLC4RJKEcZhFFmWNTg4uGTJEss0Pc+TEmR33303jeKjVh1ZLBbDMEznsrfd + dhtESCbBRFMdVWHxNANBjt1QVfWCC963a+ezpqkjmAG0PWylkzhHUJoQwHgSm34ma0VOo9rYu3Be + z+jEjlUrVleqZce0jnvtcb0dPTsO7Hzf+y4gM0ieJEkgFBJ7RmmMED6kCk0CzoTgAmABVQYQFSKm + LKFCCICglHkREHAEsUIgnp4Zwv44ShcAQCHkgmaMKYTkcjmNKPl8HgNcr9cbjYZs+um6LneUM844 + 422nvQ0AsOE7G+r1erFYPPbYYw9bcNiK5Yfv2rXLsWwAxNDQgWu/9e/z5s1bvXr1isMPf9OaE7dt + 2bp/eCiKIk1RMIQ0ig1ucQCEAFhMt4+mT0kICGF/f/8111yDIfao9/VrN2CM33H22YquuZ7379/+ + 9hfdL61YseK1J5ywevXqbVu2/vSnP921Y6frujROCMACiBtvvHH/8FChWHzve9/b3dF1+LLlu3bt + au/snCqXQs+fjaEhhBBABBGEkMYxhNBrtfK5tpTtfOkLXzSAeuudtz7xxBNXXnalAOLjl3zsiiuu + KBQKeG4/4PxrX/lKf0e/R10MEaesXC5jjA3LDOJIzCoECjCr6MI5+x9bHn+JSV0DllBNUcMwBJwT + jOM4OfGNayAACiEEkt9s/G29WjPTdhBHnCOBMQAAIaQoSuQFvu+rRJk/OO/4446TqkIE4bTtTLtC + zjmlSFHiOFY0Va6imlvbuHHj9b+48aGHH96zc5emqoZhDA0N5XNtoed3FNsHBgaOPfqYDV+/5vrr + r5eN4k9/+tNdHZ0QwsnJSdXQZf+BUqr+N4um/G8x2TVSVTUIArfZchyn5ddd1y1NTkHKO4rFxQsX + OoZZKBQyqbRg3NQNRVUBABgiQ9Mdy5aw55ebWEogtKqqsznAbIIkZTZkE0k+GGMsFS8lK2m6hYvx + S7wo/xOHKxvjSZIALuTJm7oh1wMCcOeuXesuuKDVannNVluxEMRRT1d3rVpVTZ0DweFzwt8v7cgJ + IYqixEEYhiEhJAxDRqlB1IG+/v179mJIMk56arJsGIZpW82m20pcwXihrUggqvhlwSEHHGOoKIqA + M1D2mV6ZlDT40xeFArCYCSpCGvFImGkHQgg50VUlogGGxNQVr+4CCCMeUy4IIjSmVAjDQBAiIKBC + VEKIz3yMXuj4AACBsKpCwKHKkQ2EFeJUrGe4mWKBcCltsthMqYQK0JHLp6C1YMGC8fFxRPB//PAH + qq59/vOfnyUgSbC0EAISrKpqo9GglC5evLg8VRoZGYnjuKOjA2McBEE6nQ7jCKuKbpme5yEA87mc + lAmZKpUcx6lUKhCjYrFYrdUKbfmnnnrqsPkLGo1GwmhP75xytQowLJfLAIBGo6Erqm3bkvsk0bZh + GCKEJLhUCGHbdhzHzWbTsW0pECJl/YaHhzNtOUlp9oNgloN0KOB/XrW/sXERhn7acqKmy5JIxQSb + 1kBv31lnv+Pkk05qs1IjB4buvevum2/6xURpwqs1GOICqAgjQrCAgCLAOeOcY4iflzLDGc0GcCj1 + kaa1ylTVsqxZzRvHcQzD8FpupVJZtGjRzp07f/zjH1uGuWbNGkqpBNqFYbjl6a2rVq3yfd80dYQQ + 5zQK4lQqJRgIw9A07Wazee9v79N03Yt9SGDTd7P5bBAF+WJhzrz+SrXKOdcNgzIWhiGaxbkDKFVq + nnO5r9yFNpulYYwBFZ7nSYdMJKBAqtxEUaTZJmBAenwI0UxZ6zn3/WLvwbIs27ZbrVar1ZLYicnJ + yZtuuunSj/4/Luimx584cOBAJpOBEJqmOTw2mk6nT1yzpq+395GHHu7s7DzlpJM/85nPbNq0SdM0 + iXdUVRXNFB1t2+7o6DjqqKPKpVJbW9sbXveGZ3Y8c/uvft3d3b1hw4bJycmxsbH5Cw8bGhp6ets2 + z/MSzjClqqq6QdMwDMMwGGNRFPGEzu3tu+Zr12CMVVwMWu31yTQUcwVNE6wIIaLANW0BUG33/gfX + nrVsz/7HIj+45dabKE2uNC/vPrVzTnfP6MgIo3EcRwAAwalMIxFCjAF4SHbioQCICcwBYQAlnEdU + xAkTXEAIMOQQAAQRRlhApEBEMD8YqnvQcVhCFYSnowHGhGFyzgGACaPpbMYwDFm51zTNTjkfvWh9 + CKK777n7e9+/Tube3/v+daeeeuojjzwihBiY2w+BaNbqm/7wh7vuvJMQ8v3vf//YVcfc0n/znj17 + OGWqrtE4UYmCBCAcCA6kkKL0PhAAjHEQhf/8L//PT6L9e/ddcMEFcRxHUfTNa7+99vS3MiA2PfUk + IWTnnt2FQuGEY1+76aknH3r0Ece0qvWaYRgBDVlC77zzzp07d6qGnkmnz3rb24475tibb755dHiY + cm5Z1sz0evkZCnkZyAZxNp2pVapv/rs3KRAfGNt/5RVXIIQmJyY2bNhw+mlrP//Zzx3Yt9+yrN/f + /3sIYARCCGEul0ulUqVSybBMWcWXHSQJ4RDTEJ9DbvXMlvANw4jDkFOmESURYNXKlQIwFRII8H33 + /EZGFV4UciA4AlRwyEXCGRPcNM3O9o65fXPTVrpaq+azbQIIBBHltOW5ge9GUYQJ8cLAcmyAUULp + H7Y8+a1rv73uH95319130TgpFovlcnnZkqU7nn02m0rrqsYY6+7u/sQnPiGEyOVyUiI8CAJJFNRM + IwzDIAgIIQohr3KQAACyjWPrhqZpsRq1t7eXJyaFEN0dnQpEwweGstlsV0fnNBwOY0KIVESJoigM + Q9/3DTgt4P5yX1qKu8yG/rMdPNlVkNeClB/Udd00zVlJBomWBDOCDS/3RTHGsiUlU2UoANG0BYPz + hoaGeub0xEmya8/u+YsW7tm9R/aqBABICAYB5EKgl8T1zZw2AEAWX0ulUiGfP+nEN7//PRf8y8f+ + WSNKFMScMcs0vaZPo7itra3ZbIaeHwVhJpUmGLuNZhgmTiadsFhiTmZzQvCiHSSkqjqEUGAW0DiO + aBCFCEIMdIIUGlHbtluNhpNOAwosQ120ZImZyWzaurlarVqWpQAg0zlCyIutfwgQQjBKIghYAijj + XIFIZziebDLkLinO4SVer0dFxa6NTHz8lx99w4kn3nnnnZzz5cuXb9iwIY7jT3zsExJNwDnHnEto + favVSpKkv7///PPPP+aYYyxNBwD4vn/bbbf96Cc/2bt/Xz6fb3ruGWec8ZWvfKU9ly+VSo5h7t+/ + /+KLLxYQuL5XKBTkDInvf//7F1100fjoGCFk7elvPeF1r714/fp0Puf5PgCgvb2dJ5QQUqlUpMRl + KpVCCEltIbnSxsbGMpmMpmmtVivlOJyxRqPR3tWpmYZE4tUbDcdxJCZfUmEljgBD9NJSVa/aIWUv + qOcpjRBiaJpXb5lE1RRldN+Bwd65bz/9zPe86931er1SLvd195x7zrsxFd+57tqR0dG+hfMogREE + AWOUC4YhwgghBA6teuaLmmwH1et1WeoyTVPCrJrNJobIcZz77ruvmM9/8YtfXLH8cOl84jiWZP7f + /X7jmjVrXNd1bAsAIAAL/cg0TRozSikh6qYnN99972+o4DGjKcchNG65bswSM+WEYdhqtQzDcByn + 2WwGQSAhwVEUcc6ftyO/gpsyQogxLoSACEGEpFoMwIDIiZoSRCEgl8mlZMoepGIH/2yGJ0vgcgZO + PUkYY7Ztd3Z2JiLRoVIplYv5guu6RFWEEKamKwi3Wi0AQBxFSxYvzmYyV1155Z79+2SELQAIwlBK + 0wKMxqcm6w888Pvf/15T1TPOOGPFsuUX/9NFzXojZTuXfHT9pk2bsELef+EH16xZc2BoaP/wUKFQ + YIxxIOR4HHnakR/ouu67vqboX/zCVw1tzoO/LV33rY0sXBBEtp0qlstVU0dBfcJ0UqG7df7AEUuX + 9J5+xokfeP8Fxx9/nOM4CCDXdSVyYwaISCEmArAoSqZbbC+72/rCAYdcMWEYKoqSTqc5567rcs5N + xZgNKWYx+nEcS8jE7P4qvywogGACc+AYVlhpqEhVBWk0GwohfstzsmkuYJDE6XSaAdFsNk3bisJI + s03GuMAQIgSAkF0jPDvni3MFYY0oQRyphk4B000jYbRZmsoXCxLFoRk6BYwD8a+f+yzEKE4S27GD + ILjlV7cCACzD9JotDjijVMWERvHC+QtWL18Viuimm24iipLKZvwwoJTqRIExzTpOtVpFGOu6Xm/W + U6mUH4a2bbtx3NM7R1W0n9/8C4YhNrQk9E2VXH/Dz3O5nOd5UHCIEcCIAyCBPm7gQ4I5BISoKlHl + KGVK6WGHHYYxbm9vlxVrGaVxLuBscCamK99S8zrwfCHE0auPUgB54IEHdEX1fX98ZFSDis/CJUuW + 7Ny58+abb05YHIZhs9mc0znH0PR6tZbP51ueiwlhNBEIAgE457KOgiE6BDtICaUAAIyghCanLQck + 4sgjjmjPFxFAYRJqir5t2zbGWBJGhmE0I5czalqm57qMc8oZY6LluSedcjKQUgEA7Nm3961r11JK + c21tXuRpuu76nhCCQ2BZVr3R0Ewj25Zjgj/yyCOapkHKFYQblWpbJmtoOqf0ko9+9JsbNnBKoRCA + czlMQZ6wDIYIIZJgPRtoHhzv/v8tOwJgWhJD+gdVVcvlsvxAfN93642BgQHXdQEX7R0dAIBESt5B + CACQWnMSlQdeMleRm4gsxkdRJLeDWfjcLLvmYC1vOKP3CgDQdV3emS1wyofJkoSE2B18JlLLdHr+ + BHhuc0Xwuec2Go22tjbZQFCJIs+/s7NT1bSpUqlQKNTrdQBAPp+v1CocQQGnfah4jhH0wm929o3I + 68KyLNkSufrqqx9++NFT3nJSErOUlUrCBAmUtTOxF6lEA1wYqhbHcQKAoiiKgqMoEpBLtzN78Jfq + mFEGAAQQaERhjGuKjgSI4xgDSIjWqrWyTjpJKIKYxUxTlBXLlj/48EOGajAquACqqlPOIEQHV/Km + q04CAAAYoAgSqOluvaHpaZPkcEPPwuxD33ugGgeDc7v9yVY3LHbiOf259m/c+e+3/OrXdi7TarWq + 9bplWXfccYfnedu3bx+cPz9JEtf3crmcCKFkEXue953vfOd73/ueTpTTTz/9rW9963e/+10mxKyS + zYYNG26//fbqZOn444//8Ac+eO211/phACFsb2+v1GqmaUIIy9VKsaN9ZGQkSuK1a9fec+9v5MKe + 5Y4LISKaaKYBAOAQxIxCDjkQjDO5F+iWGSYxmBGRAgA4mbTMr4iiRHEsfYhAEAMMZpJVNDtw9FX7 + X2WzVc7Z0AyJaf9gWVZlfLI91aYrar1cefe73lWeKkEuLMN0G03IxLoLLvj6NV+d093jNppKxoay + sgDl0192O/0VNDg9jVCRXKNarSYvGcMwDE3fsWPH4sWLr7z88mVLl/m+L/kF0iFL3IEcSTd9KAAN + w3Bd17ZSEEKAUCaTAQAomho0QoMZhmWqhko59QL/kUceBkncOTAoAdsSMsBnpmLOki3/irrb39Yk + OEICDVSE4jhWsZqw5AX67H+dJUkiw/QoilKplKQ6dXZ2alBxfRdCKNHDvu/L7SSKolwuRwBptVpx + HLe1tf3iF7/I5tvkueqGIYechGEIZmZiTE1NnXzSSRdeeOGDv9uYz+cxRKOjo0kUK5gEQdhZbFcN + fXJyct68eUMjwzJFBgAAjFgUy+8GQ0wjSkPe1z0wOQGOWtV9R8e8Jx9P8umeXc9MZTPtdsZKYpFx + MpaWv/gf33j1F75PsGqa8C1vOUlT1SD0G7WKrqgIQAQggSgGAAqhKIpO5OhujvHL/UhfeGVgjOWI + VQihlOaTgAHE4GwVdlYp6GBS7/OCPx0rAAkaxindTGqtymQ556S7OjpLWvXxzZs2b9964Yc+NFGa + qtVq7fkC4UA3LaLpHo0TwRIhuOCQYDEzTengV5FFNQGgnUqpuh5TWqpUZIZ81NFHcwC2bt+2f2ho + YGCAeZ7r+zJadRwHcFGv11Wgzp3T+8lPfrJQKMyfPz9i0fDQ0Ny5c7kQ+/btS2UzMlE3iVIdn7Jt + u+G2sIDdnV3lcrlYyFerVQBAy/Nghjzy2KNQJdVSubO7K0kSWyFN18UYq6ZRr1aDJIpBYmfT9VYz + m81atp0kSRAHqqp+bcM1xba8ECKfzQOArrr8itAP8sVCo9WU1AgpToghkjVXSYdLkiSmbOmixaZh + JCAZGx6p1WrpdHpoaOipp59asXSFaRiMsYsvvrjRaOwfHrrhhhtwJ67X66qqjgwNt3d2JIIzxgRA + GGKEMZyehcuFEAgdWj53On4VYLaoDxKx6LCFGCABhOC84TWq1ar8srzQ1x2DCk6h0HQdQKQaugJQ + HMfLly8fmRztbu+ZqpVHR0e7+3pzudxxJxxfdetIUyQMenJyUlEUDoSUAF533roz336mYCyOY8sw + 9+7eUywWkyA8/fTTW63Wpk2bVFXt7u7ev3//1NSUpmm6qqpEoZzJ+WnTPhfBQwfn8Aqa3PAk9gkA + YNt20HIxxlhVUplMo9W0LMs2rTiOOWW6YQjOIYTDQ0NSPND3/VQmLXOSF3uJmQWMAABSd+dgEmkc + x3IMnYw+X4JTJCnCs5wleWTZX5IRMJgBcAIA+EyY8qfBCmNs7ty59Xq9p6dH+skoDDVd0SwzDIKY + JsXOjolyqaOrc+/evbptElWBCpFT5AUXEENCCKV/zc4NBZBij1AACKb/PbcOp+ddy1Tzr44MngMc + Q4EA4FAAJAASCAo5NQPAWcc9c1Z/1hRVrTfrjm22d3ZMjZdwAhyq5Y20t3to8fw5ex4ZLljZ1x65 + YuiZEg4BpGLlypUBS173utfVarUkih577LEf/OAHr3nNa/YPDUkCg+u6AkFN0+SO5vt+EsWHL1t2 + 9tlnr1+/3jTNer3OhFA0FQmRSqV2PbtjxfLDL/uXT37qU5fdd999/YMD1Xq91mjIZDuKoo0bN551 + 1lnPbn8mk8ksXrz481/4t4GBgWbgBUFgpxwJgYZ/rHvxYtBQOT7yBe3QZ5W8an+1cQgSRhGeLt8I + IUzTTBlWq9GkUZzJZBzLObBjZyHbNjE+btt2I/CEjiGEAkEoIIQACcDg/yY62uwlICsC8nqU3d3y + VGnNmjVnn332wMAAAECyJQEAhBBN0yRG2tANAQQXDEEUJ7Gm6JZl+Z5n2hZjwvP9lu/FgnV1dU1V + S63Qd9I2IshKOXPnzaOCt+cL0u1LovUr+1G8LPubJUgAAMaY3AjlRhuGYW9vb8tvpUzHC/ykRO2U + Mx3QK6RRKU9OTvb39xumqel6nCSGafYN9D87vLfY3p7NZhFCDbclFdMppQrCS5YsCcPwjjvuSFn2 + N67Z8PfvOPvII1bZpgkxNizzpL876Svf/NrKlSsPjAyvWrVKIisUTDRNC1qupmkqJoIJ27b75szd + suXpnu5lVhowxtJpK4j8js5uKMjUZFW38Y4dzzSb9WoFnH/+BSypBW4yf2AwCaOUbvH2jgULFhSL + RcuyisU8VhWIUcIYVoiAgDH2slXsXmSDlLp8co9hjEmSmBACgOkqrIRLzt4BL1QXRwIwSsNWkM0W + klaLR2xOR49bb3Z19nz9m99qtbye7t5bb/31XXfc+eUvfJEmCaaiWiprGYcTBFSCMRYCcnnkF9pg + hBAhj2qN+sjYaDabxQqxHSdJkmWHL494rBl6vlgwLLNUKfu+n8vluACNWl1BOJfLBSzI5/NveO0b + OOAIIAhgoVCYmpqamJwcGBiw0ykOxOHLliMBAt+fO3duGIaNZrPpuaZulCplAEBfX19npjMA4dDo + SBCG3d1dcZKMT060t7cTVQnDMGrElm3bqVTCaZTE6WwmjCNCiJhWpMCDg4MYQAJIIhIquOM4nZ2d + k6UpqQaeyWRStiOdCAAAQwQAkNitvbt279mzp7e3d2xsTI57asvmbNPinMc8LhaLCiHbtm0zDINA + FLgeAkBBWMWkt7fXD4MgCg3ToGBGAWJ6uNOh6G+lvCGNqaSRcM5VopxwwgkQSBIF3rZ5c71exyph + QMQ0gUINojCkoakbSRhpmMSUWppe6Gh3iMkAb8vmr7/xhl17dn/rkm898eTmu+6/F6mKnCpjGEZ7 + e3voB7LOcvOtt+7evTubzQaelyTJosMO6+zsfOqppy655JILzjvfbbYwxp++7FPvf//727K5IAjC + MIyiSEAA8LQkGsYYHGJzpV4pk40g2R0dHx3DGCMuHMcJopCFsYLJbx94YPfOnaqqyrGwcRxLqQZK + 6Zy+Xia4rEG+WIIkhJgdkc5nxtbJP8nyjXyiEMLzPKnl82KnqmmabNXKx8ggRu4CmqbJ48hfylQK + zujjTevKAAAACKOw6ba8wP/RT37sOE61WsUYC8Yty4iiCCvkrHe8fWR0NFNom6qU05mM7pgJoxFN + GOcSXycET5IEwr+Cw/bckpM50vT9P0Iscw4BAPzltiRe7PHP+7XMkWbuc9kZA5DPnN4L6RJDIAAI + oth07LZ8ZnTfvnzKgE18zWWfJqSZ7fjoN/79mretfe3rjllTnfR//NStBjIPm7f4zHf8/aKVS4UQ + mzdvXr502Tvf9a5/u/rqZ555RjAmO4qqqrZ8DwAAIOSMJWGkKerFF1/sKM6nLr3soos/Mj4+3lYo + xDQJPT/ygziOP/7xj8c0fte73rV///7NTz1pp1KapnEgJGj2vvvvP+eccxBCq1evnpiYePbZZ4sd + Hb7vyxrWn3rRWUjnC35uryZC/7ft4H63XP0SOS+ECKIIUW45dhzTOI7VdLZUKi1csnh8bOzArj1L + lx/OWh5R1SCOsKZyLNiMaBmEAECIBQBCwOnx0n/yuodYFiAb7yyKZc13tmqg6zqz7aVLlx577LEK + wkEYmLphWZaMecCM3CiQbWsIAQCzuABFUwEA4+PjzWZzYGBgaHz0+BNOuOX2W4N6CBVIAcea2lYs + QAgVRXF9X3aNJCJptqJ/8EZwCELf/2YJ0izKX0qqSxRyEASKrgkAenp6RifGZduac24opKenR9N1 + S7d10wAANFpNAADnXBIJZM8kTGJJrAzDMA5Cz/PmDQ5ed911Gcv5zne+k81m4zjeOTKyatWqz139 + +YvWX7R9x7O1VrOrp7ter/tBAABAECqYBK6nqSoGEArIElqvVtuyuShi5RpmoDZe2tfTadYqFYw0 + wwFhMn7YouLo791qFXjBWGeXqRDCGU2SxI+Cark8NjrcqB1GkyiOIswYxzCOY4ERhFBAWcx7WfbC + AZwM71zXxRh3dnZK5cEgCBQwDeifhWxK7z97C/54ngZGim1Ar9VSKPNaLopod0fnVVdd1blwwfD+ + vZpt2ra9cuXKKAxTujk6OZbv64aWFrAk5CxJOEUAK0RBiqAMHJQpyRIdxthBtqkbtmk5lo0AZAll + CW3U6gjAlO1oiiqRkLqqWYZJEIpgkIRRoVDwfR8I8d1vXxuGoeM4F110kWnbn/nMZ67+/OeCGUul + AAAgAElEQVTtdOqTn/zkCUe/lgEqONOQmohECKEhvRY2NE07+dRTagcOcErLXtmxUiomua6u8fHx + tra2vr6+er0OGE+n041GQ4baGGGpdzQ1NeV5nmmammowwP7zZz/bu2s3FOCU0049/IiV13z968ec + cDzgYsWKFT/72c8UohCAOeACCAwwAlAAoQBlrDK29pRTfd9XVbVYLBqGUSgUSqUSQmjZsmWc866u + LvlXx3EwxrZtU0ARQpOTk8XOjlarRXQNY8w4E0IIIGR/RsL5DjWIneSLJ5zJOwihXDa3dOlSDjjk + Qif6nXffhTEGCAkhNE3TiMIEj5PI1PSECgXhmCZHv+5oFZMgCQhRmWD3/+6BefPmHX3sMR+6+CKz + LUWhaDabUkNlbGysUqmkUikpRvea1Ufdd999g4ODQRAkjG1+6qkPffCDN9xww+7duw3DuPTSS/P5 + vK7r4+PjuqrJQTqSXMeF4ECgVyExMybn33W3d7RareXLl+/atUsnylvPPAPEEGlKvlAcOGx+JpfV + Va3VaCCE0k6KMVapVPbt2ydmdNVeUDlAmqzjKIoiW/cyw5H63ZL+IWEbEELbtmVP6QWPIyehyb/K + nTgMQ8uywAztB0IolfEMw5DV39nnHhz165peKBTGx8c7urrmdHeLGXwsFAwSnEqlUrms63uNVjPX + 1oZkeQsIxjkDYhrsKgRjjJCXlSBNv/7zlt20TvT0zBQOJK79vyF1n25VzcjSQcHhy+xQGYYe0nBi + YoxzHkUJFHjLE0+bRsQ2u/9y4Sev/9lN257YeeThx7EA0lBcfvm/1oD74Q9/eHJyUtM0ltBPf/rT + n/vc504//XTZaZdSFnJhYIzdVitrpwLPW7t2LQLwuuuuO+Otp4+NjMZhqGhawII5c+aMjYye+573 + mLpx9Wc/d+KJJ+4fOhAxKofbym989+7dw6OjCxYsOOWUU66//nrHcUZGRhRTz7W1lSplx7YAf45z + ONtNOtQCr1ftFTQBAcQIciEgUDQt9Foxo41m89e33xYlcUdHx9IVK2pTU/VK9Zvf/CZDYO/oUHd/ + L0CAgecGokiAgsyOXoLsdIiY5BKDg8bKqao6Z86c1atX//53G2+44YalS5ced8wxMmj0PM+yLFl+ + kl1fyijBBAAg+cNjE+PZbNY07Yceeuh71/1Hpi332te/7sc/++nRRx991313G4bBAfDCIJ4YN01T + HkR2/iWCYNaTT5e0/vz8t1fM/mYJEoQwnU5rmmZZFkF4wYIFhULhoYce+q/rr7/5l788MDKs6Xqt + 2UhnMrV6fWJyUtO039x377Xf/c6TW7eceuqpmmkkjHq+XyqVKpUKFVzCMJrNph8Gqqpm05l2u/2Z + Z57RNE3tniOFAh9+8MF3vO3tX/vi19Z96B8eeHBjOpdVFGVoaKhULhcKBV3XaZIALgRlAUIsTjSs + Ygg9r0UUZBr4q1/67mOb/+AnmaGpittimmraulptDDWiwM54m5966pe//O6Gb3w2DMNNmzYtXrzQ + 1oxMJiNTOJm9+EHAMQQCCYJkvvLyv2T+Eii7OI5VVSUE6bruOJYQImwFkn+cJIn4c8oZ0lRVpaGn + Y2zaFuFw/8hQvrN95zPb2jqKDIJ9w0OD8+fdc889Z550atpxauWKxh2oKYpGgIoEZ5zzhDENTQse + whnRepkghcIPfV8lJPR9KEQUhkKIe++55yPv+6cym1IwLpfLbW1tAmO32ZS6C7qh79mzJ+NkHv/D + Y//58+s1oqRSqTCJL7nkktNOO+1jH/84UsjExMTQ5JDsV0hKgxAinU4njKb0dLFYrNfrtUqVICQE + XXLYwm3btuWdtFtvtjjXDN31/HyuzUM4DkPfdQnAuqrVKlWVKIIyJAAByI+DX//61/v37DU0/dHH + H/vVbbdlzEwul5MziOMwCnkwDdOamQ0FIfQCf+/evRFNUqmU53ka0gghQRA46ZTruipUAxE8++yz + Ul+kWq1ihNxWCwGYcpxsJoMEaMvm/Dj0fZ9JV4vRNL9LCMbYIeUluBxeSzBCiAkundr8+fPTTpoy + qmLCAf/tb3+bzWYrboNSpqoqo1QBiHEAAUAQcsoA56e95WQVKoxAmtBf/epXbdnc4ODg3XffjTHm + cUI5MxUNI1wrVRzHMXUDcDExMeE4zpNbnmor5FVdc31v34H9PT096z+0/shjV1mG2d/f/7ZTznrg + kQemJiY7Ojpe6Y/qUDeM8aJFi8oTk6ZpPvbYY11dXVknddRRRz2y8dFsOj06PgYxWn30a6IoatUb + bdkcQSiOY0PTf/CDH7RaLV3XX6J9BABACAVBUK1Wh4eH/+u//mt4eDhJEsdxBgYGzjvvPOk25fBB + OV7jxVyWjKQRQlEUTU5Obty48Te/+U0YhuPj4319faeddtoRRxzR19cnzwdCOC27LACYYcwKCCCA + MaMxpSNjY0uWLe3p6iaqEgSBqqqKgiHBlNKRiTHTtnXbGp+aNCxzYmqCaKqiqnIqqOyYqar6crHx + /Ln/5WQhgKbvy3gKgOn0afa4L1t/gkMhDm59wIOV/KZf6I+q2s/9IPtZAgAh29bTdW4480cAfK9F + eZJOp9NWFiipfePPpjO5w/sXDy7q21Oun37uxf/5o58/8Og2ZGR0q21w3mFvPvvkp3du7yy2Qwhr + tdo111xz7x33HH/88Q8//DCGMEkS4ftSwYULIQHYPE565nX7rrdt69ONVlNVVayQerOZsp3dO3cp + imIZhuu6DzzwwJFHHhlEUZTElmX5UahpmqAUY3zHHXesW7duyZIlV199dTab9cOQIygzMVlyEkDI + htg0XeQvGx/4ckcSvmqHvsnv9AXqZBDqpsGiOEkogDCVTru15g9+8INf/epXN/78hvHhYQDAN/79 + W3ff+xuPhl1zexmGHAgGOJdRHhcSRgtmVKMOtZbR80zSfgTnuq5LrLJpmkuXLu1p777wAx+87rrr + JEZJtuUlB1s+Uc4dnYYxA0gZJRh1d3cDADzPW7FixRVXXKEa+rZntleq1UxbLkxirJCIxxjjludS + zoQQnDIIoUYUjLEUu5pmmYLnyKOHZgnjb5YgMcaq1erk5CTnvNZoPv3004cvXabr+urVqyuVyjvP + OWfXrl233nprEAS5XK7ptvL5/I9/9tPOzs4vfelLxWIxjuNNTz3p5HOqoauqChiVU6tkmlQoFFYs + P/zDH/7w97/z3ZRlv/2st5WnSjueeebcc8+98vIrbrn9lmKx+I53vGPzlqd27t0DEFy1atX27dsh + hEkcAy5UTBBCXExzglWi/NdPf/LOd6/r7jPOf/+bKhX285/fjm2VATxcKrd3ZCrVobPfccr2Zx99 + zdErMUGxzxBCpmkywEqlUrVUdl1X5gmEEKQpgkMKBeccopercjM7pO/5Jiczyhrq6OhoLpezbZsQ + Uom5LADI25liBj+4SHZwLk4phRDpqho2fVvX86nM1q1bx6Ymewf7xyslP4765g1Efiij+faudg1x + ZOuBoAFjcUwTKGQV8E8niAshIBcWNHlMdaJKkgADiWmZI/uH/MQd7Oi/8B8+8MMf/vDAgQPd3d1L + jzxK1/WNGzdGUaQoCgZ4ViNhZGTE930Nazv27qxUKk4m/bnPX80YS2czjUbDdd05c+b4vu/7PkJI + wSSKonw+7zZbk6PjS+YtWXfu+Z++9DLLsCZGxvoG+//u7/7uxhtvrJbLQAhdN1K2gwB0my3AuKpp + DEAIAAPUUI3SxGToB4Hne4HPBQcQzJ3T+8TmTXv27DnmmGPkdo4Q4pTJaExRFAFBGIaWYTbc1n2/ + /e3g4ODy5ctVVTVN873vfW8rbFm689v777dtu9FohGE40N8PIRRAJEmSSqUmJieLnR089DHGszxp + 6Yz+quz6v9045wmlUC45CCGExx13HJh2Z2jvgT2jo6P9CxfwZo0JRgBJwkggiCCMvQAmjCa0kMkd + sXJlGAeQC0t3Hn3o4cD1Tj35lOHREQUiFWIIQRiGSEdZJ+WkU57nQYzbsrkoiaMoGpg/b/v27al0 + ev6ihZddeumH1n8IIZTEyYknnvjsvmc9z5s7d265XJZdBU4ph9Ogq2nBkkPS7f7PW5IkpVJJIaRe + ry9cuHBiYoIAuHz58qe3b2tvb1+yaLGTSk1VyrqmZdpynIudu3ebur5wwWGUUrllzjqcF3sJqUGX + yWTK5XKhUBBCyB2hvb0dACCTIlm/lCJAL3gQqbmsKIqmad3d3UcddVQqlZJDbx599NGlS5f29fXJ + bpXsTWnqTM7GhQACQql2CQgmiqYKCIrFom4aXhi4gZ/SlFqtIuWnc/m85dh79u+75htfD+NINXSI + EIcAcM4El/IM8ODBAn+hwRdAzc3mQFAAMYtzm0lL/vIcSUDOX2ZChcR0eRuKv6SXxG3bBABghPfs + 3KMgbeGCZSef8haO/Bgp2e7igVLtrPe8f8fWZx7atKdUbxJdPf3Ms46uH2sbZqlU6u7s8jyv2qxu + 3boVAKCrajOOpWi77/tRHHe0t59x8qkPP/jQliefyuVyxxxzjOu6t/zyZiY4hpBzvnLlSlPXx0ZG + dw7tOPnNb2m4rXq9ruqanU5FlUTOuMzaqYceeujyj31q8zObfd+v1mvFYnFkctz3fSn2AGdslob0 + sj6xV+3/ns32bwWcbfKKhDMsMWOaqhNVREm1WvVa7gknnJBLZ8IwjBkdHh896vijd40cwIomEGRA + MCDw9DHFwSyk567mQ9IO1ivinHue5/u+rusDAwNRENZqNdkvQggRhAEAruvOOmrHcVzXtW2b0pgQ + EiexYEDXdSklIBFe+XxexkhJksSMRizBCtG4NjMxCCOEIBdJkkikzAtelS+9v7wi9jdLkBBCUsgY + Y9zT09Pb29vZ2dnR0fHt71xLCPHD4MDQgfbODsMw8vl8tVGP4/jE+fM8z7v3vvsOHDgwMDBgmOaC + hYc98MjvZ6P/MI4kGr7Vao2NjT355JPnnHOO12yNjIx89qp/1TTthBNO2Lp1a1dX19lnn205duGu + O8Z+8uNytVKr1RRdk0ReDBESQNd1gYhgolwut+WzmzY/fv+DvyOq0d3bv3vP8PxlAkKmEI1FRhK1 + Vhw1kIDhJzaPZFLmQw/fo6moWq20mk0AgKZpAwMDhUKhXC4HQYCnufuUQgEEhBi8TM4DP+j2j0wO + FJod8NdsNqXeaFtbm+d5Es05zWB5SYFBQoilG95EWYWw0Wg2640lhy9vn9M9Va+mCm1JrToyMS78 + 6O2nvrW/v3/7ju0kbRncYRqBGsEYMcABAIwxKCCAEInppJ8BRimN45iCpKu94/UnvLZSqYRh6Lpu + EAR7Jqd+dfMta9euXXfO+UkYbd26deXKlSeffHJbW9s/fuSi3/1+Y09fry/Cuf39K484wjQMVVWv + uPyKVtAqlUpr1qzZsXuXnXIwxqMTE7n2AiMo4LQV+KqmZlLp8tRUIZ+vlMqQiy9e/fkNGzac+vo3 + 48+w7373u6vf8ffvPO+93XN67r7zrkaj4TgOAjD0AwIQBtCx7SRJMEKAcQSAAvCJb1wjoUfnr7tA + gxoHfPv27YSQbCbL0mmpjCwnaM12iilnjuNAAQzD+NGPfvSB973/iCOO+MAHPrBly5Zzzz3X0K0H + H39QfmW5Qj6XzqRTqSVLljCa5LO5pUuXYkJGJ8bNlM0RBEDIWrWcJI0RAhiBV1rO5XnGhWBJomCF + Q5nIieOOO46DaSHmjRs3mqbZaDQghIgQAICmqEIIM+VEYagSBWNl9ZFHFrNtBJAgCgAQX/vyVydK + k22FAgTw/PPPT5IIYsQ514gx1Sj9+o7bf/jDH45PTmBNDeNI07RSqdSWz2OFHL5yRWdX1yOPPaZh + 0jfYe955513+qU+/6U1v2r716a45PYZh6LquaVrMmeSYcc6ZAPgQE714pUxRlCiKDF01TVPKraoI + T5amvnbNNY7jfOrSS3t7e8cmJwxVS9sOT2gqlXJdd/fePVghEhQnjzCrNfc8m2VCAgAYY4ODg47j + 7N69W2rFzmZEUs9a07QX81cIIVVV5aYrn5LNZhcuXCiEuPfeeyUy3vM8yVNijIE/hrAffKgwDDOZ + zI7du9rb26WefhCGfhiYtm2nUo1WM6DxV7761Sc2Pz4yNjY4byBOkjCJ5XhTjLEQsizyV3KQOARY + Tid4oT+BmZLzX7E6xUycxxGf/nn6yLMHm8m+IJfDZQEAAMLpvQly8LzE76C7nufRJGrLZFcvX85d + L661fvofPzzjnWvz7elmHCWK2kLgxjvu8AEYXLb857/8dXdfb+dAJ2ds/vz5gedLYIXENkvPiRUS + xbHEO3DO29ravvrlr+iK2pHviHnc2z+3q6urUCjc+Iub3vCGN3R1dKxfv76nq1uBZGx0ZP3HP7Zg + wYKJSqler0u+RBiGYRhWKpX7H7n/jjvuiOPY9307imRkJsHw4I/VXMFfHHgdnNm+2k36P2wcAgRA + EIYmUTFGRMU0pqZtWbphmWYcRuNTk6ZpUs4WLVu65ZltZlsGqkQQNKOXKTCAQvwxwUkylGZ+PNSW + j6wYcjY9j55S2mw2oQD1pOZYdmdn565du26//fY4jlWi5PP5ZrMpHayqqmMT41IZP0kiwTgmMIkZ + Y8zzgr6+vjm9c7GuTlbLpm3FNCGKIgLBORdUqJomfTjGCEKYhBGllGAMD1IxBTPUo0MtNZI2nSBJ + 1yDXzcG/mbU/e+5yPE4YR77vQwg3b9580pvefNratQQj2bCbO9ifz+c5ENVqlaiqYRjVei2fa6s3 + G6dl3zoxNamqarlakVUiOW0XCmFZlkqUOIy2bt26a9cux7SqlYptmCyh7d1dH7lkfTadUXWtVCoB + BA3HTjiTc+UMXZd9FQhg4PtQEpya3ujkxAf/8cJSdTJfLIZxHNNEN22AIGMs8Py5cwemxspQQCiQ + oSn1ai2bTjUajXTa4Zz/YcuTjz768HhpinKuGZamaRGjLIo4A0RTpUjDy/ya0SwS43kWhjFCKIoC + hJBtpxhjtVrDtu1ivt2PQh5ySCAUMOEJFhhghITgEGAABYJIAA4h5IJDwFjs+tROp4TnK8KGSbJ/ + 6MCVl3/6H/7xH8ujo4WOznqlPDQxgVS0e/hAtr1ANaTYJkQgRgJwATkHBE5rMfFpBIvggjOeJEmS + JGEcHn/M8UceeaQExjDGbrnllm9/+9vf+973ms3mB9Z94J3vfOe6C9YRQBKQtHwvpommaY889ui6 + 971vbt/A9679ftOrp6wUAyyMok9+6rJdu3Z1dnd5gV+v1zu6uqqNhu045XJZ13XDMKbKJdM0x0tT + lmEgAB/fvOnGm25673ve8+Y3vWXNmjUCAo4wA0JKsXPOG/XGxMREDOjcwYGIUYwQECIRnAMYiOgT + l12WxJGhGhyAUEQXXXQRJJjRuOG1XNfVDENXVcFAxJJEMACAHIUhmRUJTeI4vv03d5104pvXrXt/ + wmOEMADwAx/8YCqboZR+4tJPnvSGt1BAMYAc8Ave/w8IIAjw0a8/hnIWBAEkGGFMEAZwus8M+aHm + V4GUXVEIAYxzxmlM+7v6IhqqRKGAPf6HJ9K57OjkhJ1JEQVTKOKEJXFsa1bo+QhrCiGDvf2tRqst + ndUVFQMYRmFHoZ0KFgS+ZhqqoiCAE5BwQC3TPPust7XnCxsfevCJJzeVq9V6s0EIqdZqmqGfc845 + 3/jGNwghpanSVVdd9eWvfqVrTs+mp55cffRrtm/fLjtIUZIICASAECE8PcZNSK2qv+T2/4xNNw1m + 2pIyXdRUtem5hqphlbS3tydJ0nRbnIEDw/vPXXeepHmomGRS6UwqNTY00mq1cunM/Hnzent7oihK + p9MS2PyCBiGUPWSpoyCRclIBb1a2ewYw/OercpJAItEdrutKaN+iRYv6+vqkV5eFScMwxAziFyAI + ZnZZAQAXPIqiIA7+7YovNFrNOI47urrS2dTQ0FAURW7gO04aYdzyXM3UFi5cWKqUEYKQYNkllg1S + 6ctfjIQ9m2TA5/ZH+f/z/flszeNvQYnjEAAgEIQczchzzy5dOfX74JP8U5tlTYCD3trsHU3TMmnH + rbVGdg9/+N0XBq3m4488+Ycdm2rU7Rzo8/yo1Qxi13/NymMef3rzV675aoz9KPE554LzbCqdJInX + cqXoecKYZuhRFDWajZ6eHojQ8NDQNddcc8P1P583MNhsNh955JEFCxZAjM4999wHH3wwDMOtW7de + eOGFfXN6OWVPP/20gCBymwIKGsUcAhWT9nxhamIyiqKvb7hmZGQk8P3e3t7R8XEn5WBVaTabpmlM + 049mxspNNxVf/OqeZu0fdPuq/d+w6avxjwlCEg4HBUAQqqoa+wHGiCHGOGI8LE3WkyTKZrJQxUHL + C2tTfQvn132XEwgwAowBGdDzaQr4bLh3iNCQ0EGopNmVjASgjBJCAIIcCKIRyzGRqoR+oBI8VSup + lvH001v2Dx1ACNCYKSp2Wz5REKPCdswwSqIoSKUyvu8qBFFKgyDq6ekpFAodvT2NyK1O1qZKJYBB + 03URQhAKDKDvB046FQWhpCASiGQ1X1b2Z0/44Ozov1+k4YXhWi9hhM+K/0jfiqDgkov/3INml5SA + gMPnE5/lC1JOKUsUXbUcy2u5ikL+5dJPcM4Lbdn29sJkuTQxMZGwWNFU0zQbbktTjSiJC23F8ckJ + ToXtOGEYmaYpdykaxRBCjFEcRpALKdIthGh6rqppMWcAw8laxUg7PmR+5CspCwBABZeqvpqqcsbi + OM6k0kEQWClHCBEFsZF2PvW5q4gCicIgFJzh/4+9N4+TrKrvhn/nnLvfW7fWrq7qnm56NphhcBCI + 4MAwgy9LZBMQ0MTg8hAj+NHXJzGLj48m0ZDEYARfFp+4BRCMxgXZxFHgUSMYF2RnFobZerqnl9qr + 7r6cc94/TnXRAzMiioGJ8/vw6U/T3VN1695z7/kt34UzCYGKMOcQAzDgBEDCTALEAAUIGHBZITrl + rNvtVirlIAhAlbwokHWVIkyIDIzJMqRpAhhj4IBeKqb8wB1KUfFLEuacB0Ek4KFBEE3tm16yZMRz + 3L3TkxKWFU2OwwQBl2SZ0pQB4cBTyjCRCSZJEnMJEOJ+GiJECaSIp4Yif/dbd2765p0c2ONbt551 + 9nnNTkfR1dKS4ZnmvF0aChDjABQAc1ARYQwAEGJcURU3cgkhlmHMN+rlctmPQpDQVHNG13W/61uW + BQB7Zqdq3SYh5Jv33DHfaZx99tnLly+fn5+/77777r///qeeeiqTyYRxmLCk7bZN09zXmNNMc/uu + 7ZdddhkAlEeG4zQGgvOlgus5qiTTKKZxki8PNxoNWZaxKvvtqFgdDnyfgnTNZ2+8575N77rsHa9/ + 3YlGxvrSrTd98aabMIDwJ2EYssV8CuzO73w7BgYYxWE0Pj7+6NYnjxgbj+NY4qgNvZSzz33h8z/8 + 8YOGZcq6FsaRkjEp5z5NEEJYkagQygSECcIcIYQkJCVJcu31n35q69Onn3762qNf8+jTj11++eVW + 1mq0mpqmffRvPjrx5YmhoSEA0DSt0+mYpul53hlnnfGtu+7UNZUyxvsnd2EG+Crro2AOjAFBREHE + 87yCZZ/5xjcAjzWJUKApZw/+5485wdli3gsCBZQgibhMkCwzhGw7y7wk8IJzzz4va9jAEeKYpqmi + KBggiWOJEBlI2+upmiYRiQN4nvfYY4/dddddn7zmU3/wtj9MokgmkkIkwuENGzZKCP/g+98v2jmO + 4PQNZ7znyituuO76Rx55ZNee3SBhSZGxJCGEMMEJo/Q5GigHAAbA0Yt/hYXE99ANiUh+GBiGoShK + t9tlCAxVS/v7Odc0jXEexBFCCGGkGHpCYyKhMPIUTY2TIAVcMHKxlEQ4Qhq4iccIo0CxhDinqioD + gHA6WvyNKFrE0JsQctppp0mS5Pv+6OjoxMSEODAxT4A+6BcNnAleyNAVYmXCdqNUKhUKBeHXefrp + p4tKSXwVGuIU9f1VMSCE8ABAQxDHiBMJ9dwOBSZnZJ95eS3HZQ4MG8RgmFFGFV0BgE6n0xcNB2AD + wiH0Dw9xOPPMM++55x5CiGmaa9asefLJJzljuWxuZmbmjDPO2LF9u+u6YRgmScIoIEQwljDHnHPO + 0P5WShieX5y8ZFEfsclL7PlYPrGGKQKKsPhf4Fg0+/CCXh7iGHGOWR/iMqig+rbgiClEcV0fMMkP + D/2fr3zhn6/+5Em/f7Jman4cGnbGCwOJKJ1Wq5Av/fCHD2IzDbouYCRmbk7gIwBJVyOWgoQBIExi + wCibyzmuCwC5XI4yNj0z3Wg1EEKSJvd8J5vN3nTLTWES65bR85yu22s06gsfCRAGCRCSJQ7AaNrr + djRdBYCde3YBgKRIHadrWgZnNAmprihCTGihZF3gp/3yEyoedIu+/pL4bT8cftvV2SH9cPu1ArOF + NsdzSpcAAJwQEsUhJijlHGkSA4QNQ8+ZOuKMI4q4ZuUB8VbocgIcOKMUAUgIi3sJYemXcNtesfPM + MV5Qall8MBxxVVN2z+5bs+ZoL/KZjM46/42KJBuy6nuerpmYgNPzdEMFjlMaK7JGWWIamel9e6uV + 0TDyozAhElJVNQzD4eHh7z30/eHh4Rtu/oIwk1UNPeIJkTFDFGNs6gbnnEUpASRJMkYYAciStNgc + tn+8i+uJ37KokhBnf/4jV2CehQf0fgYMGOAF1/iA5ZV4ZGBBVj/IJ1BV1TBNznmUJgAQRRFN07Hx + UV1XvdgvDhVkXZ6enQmiIPU5JzhIQ1VTZxtzlPNcsQAAEudhErfbrUKhIHysheEjxpilKZD+Gw/K + 9MG5fOFBIQ4Y4YxpUUpd12XACSFYIpplIpKmNIxYgBCXsYYxBsYppQjTPkqBUwoIIAbsAwAknDKQ + NVW1tLlmnRBCaYIlOUqTJEn6HUQsyPZAATh7iW3Cg/y1aHotdIE5cMRZ3y2n0WiYpuKs3WoAACAA + SURBVD4yMiJQbZIkEYJTRimlDAEhhEgS50A5III55gwgJSBLBDSOAXjCWMoQ5Zyx9a97XaveyJYK + vSiYbdX0QjYmQPHgGAAACAcASCkVnWDOOZalQqHQarVuu+22e++91/d9XdebzSaldHR01HXdWrNR + rVb37pv+5h3f2nTf9+I49jxPtJ8zuawkSQ/+54/fdNGFU1NToqNcKBTm5uY456ZpUkoJkUWSpCgK + RsTzvOpwJYniJEkUXYvjuFgeqjXqMU01WeEYfvH4Y08//bSpG6Ojo8/u3lUoFacm99q2ncvloij6 + 0m23/Z/Pf65YLHpxCAhl7Ey72/nzD/3V1OReYCyfyXqepyhKrlQwM1bCWRiFTuAPDQ1RLs4pS2mK + hJGrhNM0TZNESCqZutbudf/9G1//2je/0RfUIlhSFUlVhkeqk5OTl73zHUKVK5PJCCHafD4/Ozs7 + Ozu7fPlyoXcpHhlooYXyUpbOf0WI46KUSpgEPfeU16+TkUSBYcAPP/pwwhkhRAg5pIxKksQkGWPc + 7vRMLBuqms0VCoWCJMsIgFLKEYSub2YyuqrHaZyy1DBNANR1e1krn8vl/u6qq5IkySiZffv2FYvF + fbOznVZ7eHj4gvPO//rXv563s5zzT1933Qc+9KdLly/vuc7s/Fy5MtxqtfwwTJKEciYBxhiLThRj + TPQrBgD0X/71v0HzOI5jDEjAkIrFIiGk3W6bppmK2luwakSHnTHKuSxEXhAwxBhigIAiRhFLCaOY + EcQ4YuK3g7cQNCHhU8Q573ciGBNoCtHMAgDDMAQCSjR3xDoXAjNiunuwrqGAzwFAEAQA0OdA9jUe + WV+9faGFhBEWPgEAz+1kaH/pXY4YR4jilOKUIqBY6Mg997YcATqIKxFiHBC69OJLxkaXfPGLX8SA + 3nvFlZs2bfrKV77S7XY1Rfnnq6/+6Ec/+sADD5imSRCWkIT3344W9NSe98IvWZth8T/DAqq3GCX3 + gvV8gH/Ln//9C4gTOAxDAKzqKsa41m384eWX6ao2Ozs7Ojqay+Xm5ubiNJFlGUsSxnhmbr6QL/KB + TAZ/8fweYcw4p8A5YwmjLImlMIAF7KW4vkISs2+rhfoY2QN+pudNBn6N4IP0ZtHXw/HfMg66hBZy + 9YN8ffEXeVXFwe4XSmk+n8/kskOV4du+8m/CQdvvukNDQwThyam92YytGboqKz3X0RQ1TpP6fG3t + 0cdu27F1yfgYp8x13TiORVp+xNgROyd3qaq6pLJkz/SeQqnoed5wcdiyrGd37pRluVQqUUp7Tndg + CLuYGfiKO8P+ivGycZCEtHcQBAKMTgihSSrL8szc7Hy9tnr1asOyZakZJwljoFtmGIaYyFHsDQ0N + ESI3G61MJkvTeGRkJA5C13VFfixIIKqqCvT5fg/EBeLdAZesIDIJILumaXEcR1GEkZdGBBNJIjoC + yjliPEGMIYRFvdgn4KEUUB9MgjGmKWNJaqiahLBpmh2nI7j7hBAGlHOOXvLU6MXjhZOEwZ4hEN7Z + bJYxJsoShATzDQsLWYyR8HGVZClFlHMm9M4xwghhihLKGQBXDW3bnl0rVh/V9VzFMoBTSVNjdOAZ + pyTLlDEiSUmS1BsNSZJMyxLpl7BsUhRFmF+pqlosFsW1EzwlWJB3JIQAQrIs64YxX6vZ2WwURZIs + z9dqnu+Xy2XHcVRVBc5lRSGERHGsSAoI8k+aKopimma9XrfzOVmWFV3TZEVT1IxuBI7bbrdFpTE9 + PW3btmUYgruVzeeXjI9NTU2VSqX5Ws1xHFPV0jTNZrP5jN1ptUdGRubm5oQSRhInCKFKpeJ5nuAt + iBK6f/45lwgR2Z4fBItVBBljnU5nfHy85ziO69YbjYxtz83NDQ8Ph1HkB0FKabfXC6PIMM3lK1aI + bIC9uhnEDAFllHIWJbGuqTqQE9e9ngKkwBCQb997bwosSRNFJliWRBuJIqyqaq/RyuR0icjHHvfa + fKHAACinbhTcdPPNw8PDe6b2jiwZFST+TNba8sw227Y935dleefOnW+66MIHfvx/Pc9zPDebzzPG + Tj75ZNM0b735lvHxcZqkZ55x5sc//vEkSY4//vhPf/rT7XZ7bGxskHALDgksJKa/hpvfq/mKvGgI + piLG2O05ohoBAFVVI88dbFELXh4AAMARQ5yhPoLgeRitF4YwVocFw0FhdCN+Agv3KV8wOyKEDH4F + i6ggoleyGFmx+PUFEg8hJIZI4jqK/oJA1glC1ACbQQbNMxGLGmdC7Y0JAs6AvYNERTTQ3d6PvvPc + 0QIgAFmWJUySJOl2uwDguq5MSBJFQ8WiYRg33nij53nFYnFkZOTZZ7aLfQEteDCgxcPhQyRkWeWc + 05gmLMEIRWGc0ayVy1Z2Op3CEYXQC9u9rqmbc/PzpVKpUh5OKYOFD3iw+mjxuRXLrw9QQogDRHE8 + 2NrEb/nCtTuIx8wrGa+243mpcagf/+H49QJhHCcJwvihH//4/De9SSjmx1EUBxHnnCapmbFGKtXH + n3wiZ2dzhfzU5N7iUImlNKGpqRvP7tzxmjXHNJtNRZKr1equPbvz+Xyf+GAarVZL2CTKquq6brVa + 7fV6juP4vl8oFcStzfuYRKCDO/2/PH4NDOTLViCZpilUjHRdT3ioqqqpG5puTk5O9er1TDZfKhUS + ygiRE8oppRyw74eqrhFJqc/XwzC07VwYRZEfyLIkLFABQHj+CCeNF77pQTUcAURrk1JqmqYQYgYA + zhgmSCGSJGscKKUJ57DQ4FwEdUMUkDD8wYhjoigi/RVAqYQlgoXSZzgslMIH7BP+JvE8minnnFKG + MYiDQQhls1mEUKPRSJJEllVFUdKUUUoRLN6AuOhoMow4QVwmwDnHkERxkIYrjl0zuW9aUmRVMyzT + duKQH0RdilIqGHuWZfXBJIyJbEzcG+JoHccRCVOz2RS/EvTcheOnURwriiJYCpIk9Xo94Vy2YsWK + RqMBAKqquq4ry7KA4ohKZmZmxjTNNEmAMoRQ6AeMM5aw0PM5ZaaqZTMZyzAppZjRkUo1iSLOea1W + K5fLlmX9/Oc/X7p0aRAEqqrqmoYYD/2AU5oEYafVbtUb5WpFYzSO4ySKLTuDAJIkwRIRy08oCIv7 + O6VUkvpWVAAw+F60Z0RNWCwWBZ29VCoBgEjmhAihaMDwvqL3cw0VAOh3TPHLX2z/JiFmkmmcyppx + 0utOKuWHgjQkksSB//jnP5UUxYtDSBIsEQqcYxSnqQqqMOH2veDk9acAYD+N0jRtdju3fvXfvMDX + NM0PQyEMXSjlAcDzvIRRXdfHJo646OI3f/+HPzAsk3OuKEqv17vsssuuvfbaSqXiOM71/99137z9 + m5qmBUGQz+eLxWKz2QyCQCy2Pr5ZtLRfZXjF/5oQFhOCvdPr9QBAtIcGXtL93H1h7XHKOBdEfsyg + v/j2f4z1F6SYsIkZsoCVD95RLGnRihJvpCiKAOB5nocQEreJeKQLepIQTXphyLIsXln8gVCnFe4R + 4vgHakvCoMPK9H8OIhFfmGawBWgNR8A5ZoAZwgzhvuS2oCwBADxfsOCFIXxvxdNDTOx1Xe/1eiee + eOIDDzywZs2aJEmeeeaZoWJJVIODVECc4T6Y8BDJTAVIMgjDYqHgui5Q1mq1bCtDkzSJ4jROCCAa + J4aue67ruG6+VGKLzPdeNPqKnZwjhEQZvFhfC16wRA+h2vJwHI5XbYgbKpvNSpI0MzMTBIG4vwzN + sG0bAzzz7LO9Xm+4WvVdd75eX3Hkypm5uSSKCqUSp/SYtWu3bN48Njamq9rWrVtTRjVNGx0dbbVa + tVotk8kI7+9aoyFAQACAMbZtu98IHsBkDrUd+WUrkAQIWxSOEkeu6xZyeV3Xl4yOJ5VKrlDinEtE + IYQgyuMoklUtDEM7Y7s9l1JaLlcAgDGmyTIh++WI4vz2YRX7Py0H6NLFIXZ3TAhlDBHMgPc6XYRQ + NmMTgjilNAnCIOGcIyIJg5c04QsGrwwQxRADSgAlwAlmWpqmmqZx6muaJgQbaJL0e6VocTWMf50G + zcF6ZPtNfrnYOICDqOgEhIYQYlk2Y+A4ThiGIvMQKiUAgITy+MKCpMA54pgAVglSCNFkTZbbSeCw + +Jgjj5qammJJJGlKchCjUoSQQM4IF0jBN3BdV6i4BmEoiqUojiFJbNvGhIhEJwiCOEnERqioasa2 + RRNauKYIDreqqnNzcwCg63oYhuJ8xnGcyWRoQuM4NnV9+fLltVqt1+uZphnFcR+MpxlpkkR+4DhO + xrQ45yxNPceJ47hQKJTLZd/3KefHHHNMq9VyfC+Xy3HOJUIsy/JdlxCyatWqwPXCJI7iKGVUoIai + KHque03ZYoc1tFDJiINMkiQMQ3HODcOo1+ulUkloIjcaDeGqRAgRfwMLi1mkj7A/EhcONDZ8xUPG + RFXVnt8Kw/Ccc86hIOYzuO13a62mkc04rTDmVAaMJJLQNEnSIAjE8vB9/7XHH58CTRlVNPWnv/i5 + pKlHLZu4+uqr660mIGQYRq/bHp84wjCMJEkmJycBQFaVH/3oRwCACGk2mx/+8IcfeeSRH/3oR2Nj + Y91uN5/Pj4+PX3XVVZTSO+64Y3Jycnh4uNvtCvynyJv7wCGhq/ZbsON8NYfAJPu+n8/nPc9LksQw + jE6nIzawARkJADjnlHMkiCsYJCRILHgxhrmvlrZoVYraRhQ/nHNxrYXGo1jblFLxpmLBi8JGBEIo + CAKh5nKw4xddLVVVB/hq8Q/FKwuNOwAQ3xuGQRlFCGEg+7WTgA+GRWzRB1k8QeKLFOAXqqsDhOe4 + wJimKJxSmRBQFJZSVVZoku7Y/uytt3xp06ZNNEnz2VzGtGqzc4PTe4gGY4wAwgj5vn/sMa85Ymx8 + eKg8Pz9fLpczmczk5CTGuNluFYdKO3bsePjRR/q1zf6twV9S2CyufwZttcWZ0+Jc6nCBdDgOx8sS + 4ondaDRUVS2VSnEcW5YVx7HvBzt371IkedmK5U63hwhWNC0J/Jm5OQY8k832XMftOXYuu/Koo3q9 + 3lDRKpWHTNN0HEegcjgCWZYdxxFdsziORbPYC/woilSivPjBvYrjZSuQVFXNZDICBJU1rNnZ2Xa7 + TZ+lpVLJsqwwjhuNehREHBhwRFNqqCSgnFPue17OzmNAtbn5QqFg6qrrOiKHFk6pnPO+mPoLQtRL + Bxyciam9eMJSSrPZLAB0221ZEo09CSEZOOJM4hxxjjDIgIRiRYoAARDgCgCWiJrEkSRJ2WyWJn3k + PV6IgUXfIOf4dbHlBzj+g3woDgCyLCdJIhBcoiswNzfHGBNp/ABWxFKKCMILMI+EM4yAyFhCGGMs + 63q7Vjvm946LokizLUmSgjhCB8ldRLISx7FIcTRNUxRF8PPE1VEURTgFu67rOM6gABALI03TKIpE + P9j3fcuyRHs1l8v1ej1VVbvdrlATFgAbANA0LUkSYFCv1889++yLLrpox44dN91yCzDOGQv9gHKm + q5oozDgHzrmYXy1duvSLX/zi/ffff9VVV1UqlcmpKQYcCLZtW9f1er2uEZkmCTA+dsTo/7PxtCuu + uGJ6duYbt3/zO9/5TpgmjLE4ickira3nZPs5RxKBBXCc+KE4G5qmOY5jGIYsy9PT09VqVch5EUKE + wKMo8sVLcc4HM6jF/eZXY0LAOOKQxkmEorVr14ZJaMpGCMnDDz/ct2XkXOSvAmMpIZxEsSEpQRCU + y+Xx8XEAUBWVALn33nt7vd4H/vzPPv73V23essXxXMuyXK+XzWY7nQ5gbBlGEEWSICEg1Ot2q9Xq + H1z6B7+37nWGYczNzVmW9b73vW/fvn22bR955JF7du0Seb8QFx5YJx/MZuF3IcQ8PEmSPloVQMx7 + oyhCCHG8X97ZnzIvFA+waHbEAPqo4/1DLF3GWBRFAvUqJoGTk5OCbiTmRWmaiqG9qJQopePj4+Vy + WfQdBnjIF8ZggjQ1NYUQErLO2Wy23W6LMZR4Cgkb+Hw+nwi0Hjw3LWQc2MJUiAHm6Dn61HOl0UKx + BC9GKiiVSsDYoAkiUgExJd6zZ8/q1asnJydVVc3n8/X5mq7ri+9loQnc59UcIqEQyfO8ZUuXbtu8 + ZXzJ2KmnrH/6ySfXHr1mfn5el5UjRpdk87m5Wm3lUUdOjB+xefPmlHGGQCimEkBsYXj7S95iMIQc + YBEHZ+k5FOiiB+PhOByH4zcMYSsqNgJd12u1mhgiyUSuDlde//rXv+Utb1l3/Lqd0zs/85nP/PSn + P121atX111+/YcMGmUgrVqy45ZZbTjnllNHR0TvuvDOOosnJyWefffbaa69tNBqyqohUJ4iiUj7v + OE6v16OUCr1i0QQZpD1wSOGN4WUskJCwzeY8CILI9Xu93sQxr2m324obSBx7YeT3PJRwTBBwrEmK + xIkGMklAYaRgZpvNJkmhmM3tntxlGLqwzkiSROyIA/nsA25mz5Pi6X/PGGNMwPGRCpIk9dqdKIpO + OO4kXVUV2eBIoSmmKQIkYSz1NzYOAAxzAMQRB0Ap8BQhTmmSLxZmZ/cBwE8f/glfcDwUEDvxTKcv + 64VfvD0M9n6EEOdsANtI07TdbovctFgs+r4fx+mADy1EmDBHpF9GIoQQwwAAFHPGkm43CNK4G/p7 + d++pVquqYdTbrUwmc8CCM00ToSVl2hmREPtRGIahbVoCCyfqIpHEiNpJXDjRURbIH03TEkaxLAmL + sZSzuXrN87xSqZRy5kchQsi0M1EUMUZlWU6jGAN+7dq1b730LWeceuajlUdv/fKX/TAghEiyLHGe + pimjVFMUASOMoqharf7dxz5uGeaZp59xw3XX12o1VVWFkmFKqSjGDEVr1GrrTnr9Wy659MJz35TQ + ZMWKFX/zv/5m5cqVX7j5pql905KqDLS2npPbYoxSmqZ9IJyiKsL7MgzDnud2nJ6maeVqJY5jhoAo + smYatWZDyHyJDIkAlySJUepHoSzLAx7oAOqDMWKvMqVvRmkYBJZhLBmqFLI5llIODBh74Hv3SRgH + rocBqZIcRZFumoHrWZadRLGMcBSnG9efqoIsPt9cY+7pp5/OZDIXnHfBRz/6UVlRMMZpmmay2Xqz + WS6Xu04vZaxcGd61Y6eiKLZtr169+rzzzrv6U1dzylRFMTS91+uVSqU1a9Z0Op3HHntsycgI57zZ + bFqWFSyihP0mxI9Xg1Trrx2YQxAEuqoeMTa2c+fOSNNUVSWILF+6bHpmH0IIcwwLZRIsVEcMgCHE + Buwjjg9UGvV/Mmg5CTEGUQs98sgjN998s23bpVKpXq/btj01NbVs2bIgCAQlSZKkDRs2nHbaaaJA + QotEGmB/MKR45dnZ2e985zv79u3zfR8AhoeHRYGkKIosy81mU5KkN77xjWeddRZBzx3V4qJrMDjq + v4WYJi36b6D83CcjHQiMAADddluRZKfbW3XkUZvu/Y5hGNlsttfrdbvdcrnsux4GZBnmju3PDg0N + TUxMbH58y2DhHaIpvjB/tG2bpXTr5s27duw89fUnx0FIAPXanXajOTk9tXvnzg2nnaZIchrHCAEG + hAZCYYvihXcTxwgQEu1OtNDHBOgjJhCCxeC6/4La8qWqMvy2nw+/bZWIQ/r5djh+7eCcuYEvqYqs + qbKmFoZKlFICyNINx3H+7mMf27Rp09997GOFQoFzPj87+/oTT8QAF11wwX333VfI5YCx5UuXdjqd + Rr1+ww03zMzNXnnlldfdcP1f/uVfdrvdXq/HAASxAjDKFwsi8W42m13Xeb5IA+nDcF7pU/IrxctW + IInhgGmasiyHoXfKKadc+6lrnE6vUq5iAM55EEUYY0mSBLtj0EdvtFsj1SWe52maZpj6my65oNVp + OY6DEFoMRn9ex3Hxc4Qt4M4Xh4DkCRwIpdRxnCRJJsaX/vG7/jhNWRIDS1XGcpjbABZwmXOBJeGA + UgwUUIhQhFDAoGdayvz8/FlnnaGoEmXsnHPfCAA0TtI0BWBsoXkpquSX67Ivro4GXUnxjaIoYRhy + zi3L8n3f87xqtVoul2dnZ+M4FQfTl5lCmHAgABz3D5EBp5wD4xR4tlgwLWu+XhsZW9JpttI0tSzr + YA9QcT41TWOMiUFNJpMxDCNwPbGbijpE13XBtAmCQDSPoygSaU2apmEYAsGi5dztdoX7suhtCKyq + +HtKqa7rvu+rkowBv+td7zr91NNjiOZn59xeT9E007KanbaiqowxyhgAeJ6HDGNoaGj9+vVrV60V + gn++76uqKilKinin08nmckGSKEQCgFwud+7Z57zp3PMA+Jdvu606MnLGWWedffbZTzz1ZKfT4Qiw + LAnhPragxI0RIoQsiGGwMAwFcZwQous6QohSOj8/TwgRaaKYOnLOxTg7TVPhTi3SSoFUHDw4xJV9 + FT41ZEySKK4Wh9YcfbSOVa5QxqiCpS2bN+uy2g08TZY1WQlcD3NIo1jNEIwIYUA4rHvdiQAMcR7H + 8dbNWxCHU9at+/a3785kMoxzz/OYxIIgKJSKjVYzm81GUbRr167iUAkAfM8vlUoXXXTRueeeCwC6 + rs/OzuZyuW636/t+FEVjY2NOt4sxHhoaGvBSBqXRAKhzCCaov1Houp4xzY0bN/Z6Pcfz8vl8tVpd + t27drV++bdCq5wuPF4wQFbKmHDBHlD8nwIY5LGBtEfD9klRxz4onufA+Gh8fP+mkkyqVSr1e/8pX + viJ+ddZZZw3MYcMwrFQquq6LtpeYO4lXe14JIW4uSqllWSeccEIulxMTm8cee+wHP/iB53kXXHDB + KaeckiSJZVlRFOm6CgAL810AAIQWJJ4XHTbiB5iGiY/5y0dIgnHk+/769eufeOKJbDa7dvXaf/X/ + VeTuRx999LHHHjs5OXnppZf+6Ec/mpqaGuhSwsKeJfoslL7qbu0DRsLo2NjYli1bjhhZout6u9n6 + u7/9GGfs5HXrFJCDJNBk/ZGnHr3uxhtUWQ79AEtEWFssSK6Kwd3CnvgCc5jF7eTF50c8Bhefulch + 3vhwHI5DNEQeImzlPM8bGhrqdrt+EEgMveXNl/g991P/9Eld1+f3zZqmOVKuBI6ny+qV737Pt++8 + u5QryIjsfObZlUcdKaABv/jFL6677rpbv3RrvV5njFm2HcdxNpud2jedy+UQQtPT05VKRZIkgvs3 + OCzsy3TBS+oVOAmvoEiDLMuapjWbzWw2KwPO5/M/+clPrvnkp779rbsVSbv00kuB4DRNPc/LZDLt + biebzf7FX/zF2rVr/+oDfw6ITU1NOY5z42f/ZfnSpXM/mxN5J0MQx3GSJKqqapr21a9+VZYkAAg9 + v1Ie3rhx43ve855LLrmk3e2UhsuNZjNfKr773e+entknJMIBYDCDkhBmhHS73WKh8Fd/+eF2Mxyp + HOt2S7E3pEoTvTYQQhACzgNE/GIRbd76H6edvuqxp+4//fTXPfB/75EkaWio+IbTNs7W5kQpKCBS + nENfAmGQ2uKX6rx+4I0TYxBFhTi3QRAI3r8kKZRyQmRKqe+HkqSoKul2Hc8LlixZYhju1NQUIUjT + lCiKCMIKVmmSIowYBkYpyIQQEiYxIaTX6xFAYphjmiZwjhHiB/E7E2MTcVZFG1g0d7EsAYAXBuL7 + KE2EzjtRZPGNpCoMIKYpIOh3CDkHAKHkLl7ccRwx8cMLFpCC5b/siIkvfvbzlmF23HbGskWBPZD6 + 9Vy3UCzGcdxqtYaHyt12+/7v3bdkeAkDCgC7duyUJEnI7oFM8vl8p9vNWJauqDRJZSKd9HuvU0G5 + /rPXf+5znzMta83a11QrI8cdd9w3br99YtnSyekpMQ0jgvfPhfsopjQdyIcMzswgyROgpucpfQlO + CAAoisI5F596QJp/iavlvzQwB56mhqREPfeic89HwCBliiTfde/dnXqTc6phiXFIvcBWdR7GpUwW + ojToOkPVUT9hF59zAQJgLFWJ9LMf/2en1X77H132uS98PmtYs/NzqiTZGbvWrkupDABiIipsozqd + jmWYl19++Sc+8Qkhwl4ul0866aTR0dF2uy0SLN/3y6XS7bffPjc3VywWOedigo0xdj1PGAGJ/w1c + T1EUwzBqtVq1WhUK8mIlD/KwgYddeohwltCC4574IKIHgRhnKXvnO98puhXlUkkm5F//9V+/+93v + spTGcSyrisCIFwqFIAj8MNANi6YJBiRhQhGiCSUIqbKCOCYgEUQ8xxWVfBiGiqIA5XhBaFt8FWs7 + l8s1m03btjudThRFy5cvz2azQRC4rqvreqVSEVcWFp4eLxyPD/43SZJyubxixYrt27eLK6WqaqfT + mZ6e1nVd3D5iwKvr+sKDtw8N5hwoBYY4wSjwfcEOqrXqNE4yZimNUl1ReUqTlKZRjBDGmAxXhp96 + 6qlqdZRzzheJ7vT7nZLk+t5nP/+5t771rf/wiX9st9v/dM0/Pf7445zziy+++NJLL/V9/3/88eVB + EHzv/vusrA0AgihFCAk8n2i6+AlPuSzLqq61Wi2EUC6XC/1AUZTZ2VnbtgfIbbFzZbNZob8q0LmC + GJmmqWmasR9omlar1SqVSs91BxzOrtMTsAtxa3DOlyxZYppmw+8IIKX4UC/6wOEcej2nMlyVZSX0 + Apqm99x1z8nr1h1RGQMAAhgBmp2dy+XywAAxKA+VZVWhSWpY5tzcXLvd1nU9jGPDMGRVEUvC8zzD + MPwoBABVVQYc7n6Vvkj3DxaG7Yu/PxyH43D8hiE6s4IQIcuyoEZbpokpWn3kUbfefEvGMPfu3bts + 2bJmswkABNDuHTsdx/nI//rwAw88YKhaxjDbzZZlWVES+77f6XTanfZxxx338COPiAS11WlnMhkB + Gsrn82ESI6nPAV78UH0lz8JLj5etQArDUJBMms0mDWMAsG171VFHjZSrSRhHQShJkqHrakYqFAo8 + TiGmpWx+vDoaeu6FF1548cUXb9q0CTPaajWF3gBCCIALEothGDKRPvjBD7qOMj8X/gAAIABJREFU + s3bNMZe97Y/uvvOujGnd+a07vvG1r+cK+U6ve8qGU89/05v27t1LZMn3faHyLjJUAkhVVQRAAPEU + NEW/6uMfXbls443XPvDEI2FjumCoYzLoaRqbBnTcXe2k7nblU04+bdfun/7NR//6PX/yjg996EO1 + 2RpNKaMUcchm7DiOgTLRlERitoAxAKQvGSJ14L8XpfZgYQnZN0rpgLs8ALDBAnO60WiYpjkyUhn4 + I0mYsJhySjlDWCaSJFHOGWMSwoNeMeccC8LBK2oFLcxPPM8TqU+32w3DEI7o75Tbt28fHR0N/SCf + zfVcx3Pc0POPWLZ0dm5OluXR0dF6vT5arZbLZQY0TmJTNlVVpZRGSRzGMSNIVVXLshzHcVkvieIl + leqqZau8xLvvvvts2/aD4Ac/+MEll1xSqVSy2Wy32xVNbrF5C9TiAk38ELvDf8MgCOuK6rW7xVwe + KFMkudvr1ubmOWOYcQkhzoAyxikTTiaKoumKGofhxlM3AHDPdW0rEwTBL3728yOWjNXna41aPYki + xCEKwl63q0hSFIS2lUnTNGNas/NziiRnMpm1a9eOj4/fcccduVzOtu33ve99o6Ojn/nMZ2zbFpPn + TCZz5ZVX3nXXXUL/UEiNJUmiaVo+n3ccJ04Sy7IMVaVxUigUtmzZcvLJJ2/btg1jPD4+3mg0FsmZ + /EaovFckhBmROA+Cq+M4DlAmI8I5n5iY0DTNtu27vnHXL55+VIjvJ0li2zYA1Ot1QeNJksRz3KGh + IdfrRWGYhGE2myUIp1GMOKRxjBGzbRtzSJKEK5xggjBQSkWxJG4QgbITg2KhoSLL8tzc3C233HLu + ueeec8454mEu3lqIpA0S4sXj0+ch7k488cSNGzdyzr/73e/+5Cc/efzxx0UHtN1ut1qt8fFxVVV9 + 3xf6EADA2IJCGmBAiAHYmZxl2bPTs1glhqWHrqfKShonrUZz5cqjut2u23PzheKjDz/82hNOmN03 + BxgJT69BzcwYSyknGE9OTl5zzTXXX399GIZBEGCMDU3//Oc/f9tttzmOEwTBcccd1+l0BvKb3W43 + Z2Wy2Ww2Y+/cuZNSSmRJVKSifIrjuN1uj46OrlixYm5uTvCbxVxOXFlxhkV5OUAkdjqdjG50Op2h + oSHR+/N93/f9TCaj67oYyy9ZssTMWFEUbd++vVAuPm9S9+IJCkIcoV6vF/uBbdumqj3xxBMPfPd7 + +6amRYshWypUR0byxUIcx7lc7i8++OdHH7PGsiwB03jzJRcjhBRJOuuMM8YnJr7whS+EYSj86Hzf + r1QqjtPji0UUF+K3cIscjsNxOF4kEOOlYnH3rl31Wu3aa6454YQTfN//wAc+QDBuNhr//M///LWv + fW3njh00TSvDw1EcdzodwzAMw3j66aeHc8NEloWsMSL4edvoLyGavlIxcAb61eNlK5A0TdN1vVQq + EUKIoggykq7raRKbml7I5WZmZmRCkiSZmpzUNA0hRDgoCFmaXszmshmTpXGn3RobXbJr76Trur7v + E0UeVAVEQU8//fQJxx9/3nnnzc3N/ftXvhqHYZLJMMaCKGy1Wuefc+7dd9/t+76iqbquB2HIOV8w + JVyAPXDO0lRC+Jhjjh6tyBs3bpyb2py6eUgqLFIwQOQGMsQyBkPPv/vdJ3L8B2malstl0zQtyzIk + AyHk+77o6imKJMZifTIJR2IjfIln7sBXTOT3fSmIRbJpotHY32AQETxgUSZ1u11C0II/Up3SlAEi + gDHGKWOIcUwwZ5wxJsvSYFt6Iez+JcGgX2pNdbAX5ylliClEkjBxPF9RlFzGbrdat9x087fvvucP + 3/LWE48/cWduZxiGaZpKGA8PDzcaDWG30mw2BYztX/7lX759x11nnnnme9/7XiHJQGSJAbhRID5v + LpcjgFicHLXySACuEGnv7j2aabiu+9hjj1166aXrTlpHKQWMxCBLkN8khAkgDkAZw6+ye/63GggA + A4rCMJfNrj3mNTKRUpqqqnrRmy447oTjU86EtLeEMCFEwgQhFDPe6XQ++IH/OTE2TgBpigoAhJBr + rrkmpumqo1Zn8zlKKSLYNM0wTRjhURL/+7//+388+GC31y7k8qau91z3yiuv/Id/+Aex5k8/84x8 + sXDJWy61LIulVDwQKpXKX//VR/bs2bN8+XIhYy0Gob7v+72wWq0yzrvd7r59+6rV6vz8/OrVq7du + 3To+Pn7xxRcff/zx73//+0UGPMD59DkPh8j1xZhIiso59x1XYN4yhilJEqK8UavXcvkkioMguOzy + y9avXz82NtZutzOZTG1u3nVdAWLs9Xq5XC6P5am9U5VKBSGeIsyTlEUUIYUmiYQJ5sgyTdd1MZIU + WQvDOIlDy7I0TQMAITgpWhuSJN1+++3btm2rVCoTExMbNmw49dRTEUKDwREAiDJggKQabJ/7cYcY + EyMmRVHErPi4445bsmTJCSec8P3vf/+xxx57/PHHZ2ZmLrvssuXLlzPGgiAQ4xqAPpKYSMAAojSt + 12pAmYSJJMmGrALn+YzdmJsv5wqze6ejKFJVrdfuHLnyyNr8vKYoDIADHYy4xbLAGCmi1RJFcZpi + jO1cTtM0TVHm5uZ4GOQK+fbuzlxt/shVR+m6LupS27YVRfE8T1c1QRDdNzujqqpu6ADgeZ5pmmKL + nJ2dHR4eFvjbMAwty8rn8+12WyhNcc5N02y327Is5/N5oUYYRdHQ0NDU1BQiRJRVYtY0cKJrtlsj + IyPlctm27V7LRwixhSHSoCI92CrHGCuKRmOaJDQMY0ShNld3e54kKYTIw8PVJE2drjuyZCxN2Mz0 + bBrFd9z+rTvuuCOTyfzt3/7ta9ceu23btm7Yu/DCC7//wx+GYQgArVZLkiRNVmicYA6CHot5H5F3 + aBVHh7qT7KF+/Ifj5Q1Jkn72nz+55JJLvvaVr/7TP/zjxRdf/OY3v3n71m0n/d7rSvnCwz/92a03 + 3/LRv/jfT259Eiirzc+Pjo42Gg0zk1m6fHkr6ExOTnY6Hd1c6FItZrtwgFfZenslfZDiOHZdVzzo + LbXPxC0Wi5KseGHg+r5QM/M8T5FkjoQNOIuTmHMaRcHU1F6EYHx8/Kmd24vFYj6fT9OUIRDUDoGR + G6lWx0ZGjzl6Dafs2k996vrrr0cItdvt4tDQG97whrWvWfs3H//Y8uXL/TCQJCmlVKAWgHEaJ5qq + JmGEAeWyxUp5tNPseF371FOsr30ZFEmen+/k7KrjeKWSKSOTAaYJm9wNQ8WSpRtR7Gxcv6FSrjqB + W8zlJyaW6bqWMa1cLodliTHWr56JTCllB5kIHTwODOkZYH4E7qLT6YRhiDE2DGuA41zoDnLRl13k + j5RBiDcajSSOJKLKksJpmjKKWL9xiwExzhEgzjnmCxX/K5oaCnyU2OnFOFhRlHq9fuutt7o9hzEm + A5mamkrjRFc1isBxHIZgfGwsjmNdUdM03bx16+6du3JmBjg3DWN6errVaGbyWSLLQjdvZna2WqkA + wu1Wq1qt9oJet9W2bTsMQzuTaczXNElLgQFjLKWKqsSQ9gdHwAejpN81qz0sERol604+WZaUOE0w + QkSSykPlbKkACPeVQIBxzoCylDNGpNvv+JZlZ9atP6XV6+TtrOM4mUxm5YqVYRoHabRmzRpTNWNI + ZJCbbjtnZT0IZSIdvWr17Ozsj3/84+07nn3729/eabUeeuihpUuXJknytre97cYbbxQtakWSxTPB + siwCpFKpdDod0zTFzeJ5HgAIYWvP97O2vWbNmr179xJCZmdnL7jggr//+N/f//37q9Uq29+k95Dr + YYuUHWNsGIaQrYvjOAwCUzOy2Ww2mxVSRXv37j3nnHMETFGIRmYymSiJhTq/0+1JSFpz5Kpf/OIX + 4+PjpmHUavORmRkfGZ3avYdIJA6idr39xGNPrj7q6PJxJUlSJNKH9gk0rKhMgiCI43j58uV33HHH + GWeccdRRR61bty6Xy23evHliYkLUReIMC1qpeIUD8kwEeVLo44kR38jIiG3bIyMjY2NjjuM89NBD + QgRcKIwvSOolaZoSLCuKxBikKZMk8s2vfd2NvOrwcBjHnXpLNTTMeBpGBGOU0LHqCCFSkiQzc3O5 + XI4QmXJGKRNK6INji5I4ZRRxoJxJmEiKDAC+78/NzQl+I5HlfD4/V6vZltVoNd3A7/V6vV7viNEl + jV59ZmZGkqRutysIWp7n5XI5IVdTKpVyuZygdDLGMpmM7/tpmnY6HWEeJSaEURSJNp/neZxz4Xo3 + OTmZJAmR5WKxKMtyGIYs5AKOKPRFhV5Oq9XqD8R+ZReBhDJEU0mSdM2QVMV3/ZmZmYxhWrpBKbWz + tuO6c3Nz48smhoeGyuUypZSntN1oPvPMM0mSrD/5lId+9CDlbOXKlR/5yEdWrlypqupPf/6zYrFo + GEa73c5mbQF8WDw7wr/DspOH43C8gsE537F7V8rZH73j7XfffXcQR47vDY9UW91OmMRr1r7mjrvv + OuP3zyoNlx3fy+ZyrVYrn8+7rvv+979/z549jUbDC/ziUEmoBgB6DhOLB6DnQzletgIJAES7XeS4 + fU9ARQmS0NJ0M2NEcRBEkeN5xXw+oTT0XdPOSLJiZiwiE83UVEOlPHUcJwwCgSjHC2AMABDQ6ocf + fvg973nP2OiSf/rHf9RVbXJycvXq1U9tfvryyy//xje/QQjxfN+yM/O1WrFYFA6GwDjmIElSimKW + MAwkiZmu2VnbkgCiuMuxLusal51iWXXcfZLcoriRUq9SgXXmKfV6A1Ak/J2CIECECZz34hhwhBlj + L50Hxg9YI3HOZFlJ05QxME0dIc5YGsepeHfgQjoIOOecAXAk6BYL/kjIsizGU7fnxX6MFQSYsJii + hW2yb+yzqHv3m6ziX/0j/xJf9IEjsPD2TZLE8zwEYBt2pTiUy+WcyNFkpVgstjptP/Cz+bwT+r7v + 12o1oGxiYqJYLLqdriCt6UQ3TVOQfyRFUVWVc75s2TLOWByEpm6wJM3r2VD2PM+TZVnTNYwxBvzs + 7mcymUy92ZRVRUIYE2mxovfvYERJzCk94+zfT4BxxFUiIwDab8aIpc8Fe02WFBmQy5N7v7spjOO1 + rz1WI3JMUyJLMadpmiqyynma0JQDJJRygvJW3o17KaWbH3+ymC+88+3vOOaYY4aKpSuuuOLKK68E + xmZnZ88888zZ2dnvfe97wsOq1+lKUl8F0UkcWZZbrRYsyE8L7ywsS47jmKZp2/ajjz46Uq1Wq9Wr + r746k8n8yRXv9jzvhhtuoELXAT0ngg8ABGN2iFzogfWqSKbFJIETIrRDBCKXOXRi/IhWq7V27Vrb + sur1OpaksbGxer0e+oGZsRAHlPBeo7NjyzaM8Te++bVqtWqb5rPPPnv26Wdyynw/sDSrPt9we71O + u2sYhiwTABAy3yIdF2XS6Ojoli1bbr755iAIjj322CRJZmdnTzrppIE2NwAIQUtYKJPgQHWpsAgT + JYowM4iiqNlsDg0NaZq2evXq0047TZZlwWDEGPt+qKqqqsiqMnhNwBj7rl8pD08sO+Kyt/3h3ump + KAlN25Jl2XG6Xacny+ppp5124Zsv9n1/fGQ0jCPP9YFgREBCmJP+OJFzbmQszjliPGGUxkkchRLC + CKFM1hYEIWd+rpDLp70uYFwqD82YM5qmPf7449N7Jt2eo8pKLpebnJyM0ySbzcqqkiSJYOoShMUr + CO95jPH8/LzAziGEhJMY51zQeDDGnU6nWCxCSjOZzPT09Ojo6NS+fcJrVZbldrdTKpWEf7dlZxzH + yWazp75hQ2XZkl99UXEASVEo53EU0SRN01RWVd/3ZUxUIhFCut0uB8jlcnEcNxoN3/dlSTp27do3 + nX/+KevXv/Y1az/72c+qqvrHf/Luhx56yHXd9Rs2qKq6e3IPpVRAE3/zlX84DsfheLmiF3gP/fQn + w1/9ylV/e9X//NMP7tyz8/77788WCwwjNwx6vtfpdO7+zr3veMc7/DgisoQl8qEPf/iTV39qvjH/ + 1re+NQzDlStXCkfy58WLyd8cGvFyijQYhiHGRISB2OQ63fbM3L7J3XuDOAKJtLudJElm67V8Phsz + +vSWp5zQ7XkOEBgaLgNG+2Znoyhqt9tRHHPOJVURyBlKqYxwFEUjQ8O7d+7ase2ZvJ7rNFvNWv0/ + arUjlk6cdtppZ59/bpjEbac3Oz9nmOauXbsEkIAgjDk4vV7ihxjwvn1T03snVUXmAFf94789+tTP + VWmFpU+0w+0YEU2BBHWx3MCye+MN92y679++fOuNQ+WRrVu3vmbtMcOFoT2zu+tz85wyx3Ha7XYf + YoeAMcYReekQO7bo6/PPp6Iovu8zxoaHh4U2SLPZFMppEpGfx0FirO9Sv58/ElHmolrCGSDgBPdR + FhwopTImi3nSbEH09pUaiaIFLx2RRfWl4QAAYMuWLVEUmapZKBQiP4iCUOAM0yhO0/TII48MPb/d + buuqVigVw44Ter6XeMKVyLCthNKYU8pYu90OfL9cLNmFwtatW0MajpRHROHd6nbe8ta3UqCWYQrS + s3AJWCyMxvfXEf5dCA7AERqqDh9/4us4YESkFHjKU8aYUAng/ZqXAweEEAOQkDI1s2/dhvUUQQIs + jEJT0zkAwjhII1lSFFWNIQWMOPAEEkVRtj7xxCevvvp/XH75d+/9znBp6O3veue2LVu3bdm69rXH + PvzII5/4xCfe8gdvFcuj2+2qsiJwR41Gw5ZtzvnSpUuDIGCMJUlSKpW63W7spcPDw91eb2ZmZtmy + ZRs3bLjiiivuvPPO6667rlqtmqYptE9goae+HxPpECmQkgXzZcMw2ELIkiRj6ZxzzrnppptardbE + xMT27dtd1221Wo7jrFixYseuXcKNV8gqGqr6/77v/RtOPkXBJIqis95wejabjZNoxdJltm1Tyvye + Pz01ZSh6uVzO57NpynzfF3MbIe8GAJOTk9u2bduxY8eRRx4pbNA458LErF6vVyoVUWxomiakCAYq + Rge7m4QxNywINgiyTbfbHR0dLRQKAKCqaq/XE4Mmw9Ao5WGYQt+rDTgHTlk2Y4xWqm7PW37K0vHx + 8SgJEYY0TW3bwhJRZK3eat73nU2O6777ivfMzc1pqsE5cCbG68BRvwPq+744AF3XZbMPu43jWJb6 + nxQhpGiqEipe4Idh2G630zSdmppqztd81+OUCR2FUnmo2Wy2Om3LsnK53Pz8fBxGAFAoFAghu3bt + iqLIsqy9e/cKaLqQMrdtmzHmeZ4wmw7DsFWrG4bR7rQ55z3HEbzfXC7nh4H4syiKACNCyNTU1JKJ + sfLEyOA5/0uQdYMQFwswAoxSSjVFwxjn8/nYDxRFCZyeqmmaaSiKohmGAJ9PTEwceeSRtm2/973v + 3bJ5MwCcefoZTz791IUXXnjsscdmstkdO3b84tFHxAcUJdzzFsDvbPvpcByOVzbyxUIul/vSv922 + Y88uVVX37NnjeV6r1aq3m09v24JkYmYzn/ncv9z3/QfyQ8V6vf4nV1yBENq1a1eapvl8Xtf1p556 + aunSpX2plf05SPjQT5heZpGGVqsVBAFhAABC1O6ZHc/edsuXZmpzq1atatTqOjECz4/SJGLpV7/x + dVVVu67jx+F8q8YlKJTyXacjYOgIoZimYqSgKIqhqMuXL9+9fYeqqq8/9VQv8aIoKpVKRsY657xz + H3zwwUat7kaBYWcYTXVd73a7hBBFUWQi0TgRu7KiyIW8qen8kcceWrnyNXapc9HbVk7t7eyZ/P7M + ll26nQ9arqZgiaSjR2RLQ/app27UNEOkX1EUpcAE7F485ZMkAYY551giCCFMCMaYvjQVLL7o6/PP + p3BaFE1EjLGu69lsNk27nD8ntbSY5zpQje77I/FUVrTcUNH3wjiOiUSEGlvf7KQPq1s4jsFC5i+h + 7v/1trUDvj5nLI4i27ajKIqjKJfLKYoSen6n2znmmGOiMOTAGvV6r9czDIMiHgTBkiVLJFVpNpsC + j7dv377RatUwjHw+b8qmcKRNOPXjSDV0DmBZVrFQUCV5aufueT4zPT29ZGT0oosu2rRpU9HQzz33 + XA58y5YtgtHu+p7IkMRXhBDi/126Ir9ycACkSBTBF2+5aWbvNKR0Ymw8ieI4TdzAlxVFDDEiz/cc + NwnCmNEeS3fvnUQIffLT1/z1n//vO799N0tpu93O5/M7du3kCKWMBkFgZCxRoisY3XPPPVkrM1wu + P/Xkk5zzy/7wbRvecJpt2z//+c8/8td//eUvf3l+fh4Aas3GyHDF7TmCB+g4DgA0Gg2x2kXNLOTv + BcTO9bzXHnvs+9/73uFy+fzzzy8UCpVKhTE2Pz+v67ooxV8ItDtULq+qKEEQEIxlSWq1WnEcb9y4 + 8ZJLLjnjDadvfurp+++/X1XVkZGRG264IV8sYIzHxsYefPDByZtvFreYJElpGMZhdMlFbxaSDATh + pRMTjLEoCgkgQiSMsa1ntmzezDlvt9vbtm3fvHnzrl07oijq9Xq1Wg1jLBoxpmmuWrVq7969jLFK + pSIoYbIsb9q0aXZ2Noqiffv2SZJULpcHBCQBN3ieMAMsdCJ0Xd++fXulUrFte82aNevXry+VSkEQ + ZDIZ4cvs+/62bdu2bNnyzPYdpmnqqhHHse95pmmuOmr1UatXVSrDpmki31dkOW/mJJmkVAhssl6v + Z6pmEoRLhkda9cY1V3/yT//sz5wgZPD/s/fm4ZJV5bn4t6Y975qrztynu6G7maUhgiKiRmKMRkFD + 1Bg1DoniL2qu3hDRKOFm0BiNiSImxowaDaIYFXGerwqCYBCQsccz1Blqrtrjmu4f65ziMGojRMnP + 7+mnnu4+dXbt2nvtb33D+72v5oqrTYVxI0jguK6BM2R5zjk36F/bcTAhiBKLMQDoDvqIYI2RAjBn + WCqVymGhx7q+6zWbzZmZmcOLC8Vi0TS+Op1Ot9vduX3H6upqkiSm4zcajarVarPZ1FrPz89HUVQs + FhcXFycmJvI8N2Nm6+vr0xMTSZIcd+xxP7r1R42JyUKhYBB9UitD8Tc5OfmjW3+0fceOJEmmp6c3 + xr3w3dfZ7BQPVAhIslRr7Tl22Q+DILAADwaDftBX+UamCgC9Xi8sF/M8NxIon7rik8211Ze//OVG + jd1xnP3793ueNzs7u337dtt1KaU2s1CAlFKbilOAtrhS9LMTyD7ST32k/cMjfRUeLf7tF/bfY4M4 + aq6vTcxMLzSXDx48aHyU7Xt+sdDt9YrFouTgBP5Ka90wZ95+++2U0lKptLa25niuHwZREhvG6U19 + hXuv4Z+fJYc1yJ8VSYPhmsOE+L6vhUQE94eD666/ntn2L//q2dWJxvr6+iAZ9no9z3YKtMBl/qPb + bp2amnzK2U9dXF5+xe++MoqGf/THb/LCAiCUJIlCQAhBBDPELMtyXPfDH/5wnqQi59Vy5ZL3vCcR + +TAedUeD5//Wb/3xW99iOfZMrdIdDmzbPnTo0PTUlNlXxlxVCrRQkmsltLro4j+enN5m2e4gTpNY + RHm66+SSlK00Tjzby9Nser72H1f8a54N/uumbxw6fAcAvOhlL0IAjDFiEcO4zRjTGimsEKICBFJq + XHc0dBk/9hUAAyhAABt1y7tfKWZpFhcLZYT16sp6nmVzs/OFoCgliqIoiVMzA2DmMYQQCBt9pFhr + HQSFOB5FS9Hk9FSj0VhuNvM81xiB2tRHwlhuDCIjhJBGgADUTx0dbv1297LNXtUD7gAmtzO3rFAo + SCmbzabvuCcdf0Kn3eacY8DHH3/89vn59W5n2Ot6gb94eOHG/7rRAeelr37ptddeOzczc/yxxy0d + OBRnaapS3/d37twptWqurrie3+n3rv7ODzhkH/jA33/6P/8zi5LOoD83P3/+7/9/BxcOn3LKKdtn + twtQf/Znf2a7bn848H1fggapxjG0BsD3edh/7H7237ahPvgHaUAbdwe03rwPP/YVAKSU7V73Qx/9 + iE026OZWl5uFcgkAACNTLBA5xxosxphldUajarXa7XYv+9jHfvdlL//3j340z/NOq8WlRAgx28oE + Ny2gTqfjui5WMnC9JM+e+9znPubkkz/4wQ++7R1/aYgESqXSy1/08sc89jFcilKp5INeWVkxnMj9 + ft+27QQy3/eVUvV63bEswHjx8MKePXvSNO0n0Zsu+KPf+e3f+fBH/+21r3lNKSxEUdTtdn3fr1ar + hw4dMiivMVvA1lbSo8LMgIppL5j+xsLCwoc+9KFPf/JTN9xwg+XYSqluv/cfH7vs2u9/f+/evQsL + CwYTVavVFhcXG7Wa77rDbr/XbVNNGCOVSoVowjD2vCKXnBEmlLIYzZNUSr24uBgN4+Xl5U6nk6ap + AbISQlZXV2+++WbT5W40GieffLIJ96+55pqrr77a87wzzjhjMBj0ej3DNGhaSaY3fq8mEtpUkzPM + KwBw2mmnZVl2/fXX33rrraVS6cQTT6SU9nq9paWl5eXlpaWl0Wjkun6aJI7tua7r+T6zrG6/e+ed + d6yvr0XJiFnEItRhFoDSiPgWEUJM16cwYJda/V6vGBYMa6VCoDAAQgQjDVhrJTdHPWGTY9OYmfgy + ZPHmhLvd7kStbspwGU9Tkapcra2tnHjsCZ/51H8CYM6z0x9/RiJS2/FimTGtHn/m4//h7/4h8FzG + 7GOO24MYVRQEBid0jz/m+L9//6XlcjVJol971rMPLx5izOYyC8ulqZnJL3/+y932+tTM3FG7dnaj + IckQxjT03W2z8//+7x8ql6uLywu/8mvP6MaDfqdv+a4cl9IQkveBCo//YShMEYDvOaAxVrrf76+u + rk6Uq67rViuVfqdLCNEYCSEwJcViEREc5bFl25bnfuKKKyzP/V//+w1f/MqXS5XyG990oR8GURRd + cMEFaZ5/7Wtfs23bdp12uz3RqI835XFb/lFUaH4wB4Hupza6ucs/RLuRPqxmAAAgAElEQVTnWPn4 + +BjuyTr7ILiPjbl5pADMyj6yEzjyG3NkMgmPeEVKP7KozkfNwn0AI4QUK+X+aEjTZHpuVgjR7nWD + IFhrt8IwXGwuE0Kq1Wqn0ynXqqZEZZz/1NTU/v37CSGNRiNJEkNgRRFWm2nS/09nkLC+2w1sbX8o + hDIhmOMMR5HjOI7nn3nWWf/x8Y8lSVSuFE885SQhBChtEarlBi21UooxhikhhPz6uc8JgiBXAuGv + UdeO8jTwAyEEF4JRmmRpxvPzXvD8RrUmhOi02/1ON8syaRHb9l71ut9vtdsJz7O+QAQThMMgiEeR + UT41zLMI0FqnfcyePcMsf8/7/75crguuMp4TQghFcoOIbstq1xiAAggFiR/Y6+vrNrMOrx86uHCw + WCwYZlulQGstQCOkNSDA2KDV4QgSJNjUD7/3q1aaYZbFGaGo4Bek4p31TrFYrJYrjDEp20IIQrGU + MucZQshithCCEKa1juMUADuOHQ3jKDo0Ozs9cr2FhQWKidFHAowZs4UQAEhrkMIQWpA8zzHG+sjw + D2g8WYQ1qM1XA6dRWq+vr1uOPTkz3W63GSaIYqT01iYYmAoiINtxOeeeH8Rx7LouF7JUKn/yP67I + eZLnOQV83J5jPvnJTzJsfeaLn/2TP/mTl/32ixkgDOqlL3zRXTf9iBL695dc6tg2A8aBH7N7z+c/ + dVUq0na7/YLffuETf+l0CwABed555338Yx8bpfHfvP+S9/7te4rlyvs/8AEEqJcNc8FTwaVWiJJR + Ejuuy6VACBG6MXeRJKnvegCACB4MBuVyOc5SE+2Zwrwpso6ZRZRSR6qKdUQOVwOozcdxvA9s3g6M + MUaYmGq91IggBBgryQ3vnAKtpZKgCSAgeCOtVVqhja2XANIIQGvKWBLHCgFQ3E1GpZmJJEmCIOh2 + u9u2bbvtttuCIKg1Gv1ubxANg8CTPGOEPvlJT1ltrX7qU5/OdY4RxpsneK/FhAFlMsMYf/nLX37W + c899/JlnPv7MMy++6E8BIAP+zve8M89zwqjWOksSQ5XZ6feq9RrnXIK6/rofSJBScJ/6//hvH5yb + mo4Hw7m5uXe+/S/POuMsBeqlL3zx88/7zceddnp9ohENhjZlIssLfsA5H5dO9M+0hv3QbZOXjGKs + AVrtdqvdNj/JRQYAtx2467YDdwHAvsMHDBdLuVLq9buNWhUDMEJ+50UvrtfrOlfD/uATl1+xbdu2 + Jz3xCUIIm9qgtY0tzvm111x33XXXmW6G4zgH9x9wXbfb7Xqe5/t+v98PgsB13a986cvnnnvuicef + YFvWoNf3HPf0x55mWVaect8NfnD9fxl2ASGEIaQ2QEeTTRndMKPpVC4Xu92uIXPf+5iT9+7dWwwL + xl0Mev00TevV2tLC4hUf/8RgMJiYmFhdXQ+CgDEWp4kQolypOI5TLBYZY2kWB0Fw+umPJQgHQWBj + AqBAyf565ytf++rRu3b5fpDE8cy2uSzLmOcgpDXSGmnQGGGNJRh2FgAQPAcAQKC0lEICAss2dHxS + SlEMgiSOGCUEIY01YjgohLlK67OTU3MzSAPnmRt6NtGd0Ug6eHJy0il4u3YdhbXKufQLfgqalbx2 + Opicrnuhd/wJx2oJKU9HPC5O19abK6V6VTLYueeoialGvVGOsxwHFvMZtanOFQe1a9dRRx21QwN2 + S+6Ax07ozjV2rvfbM7vn0zxzfA+0NnADjLDkAtENz4Tv6b1lmqdpOjs51c97lCBmEUDq9a//g8D1 + KKVeGOSCX/eDG66/6cagHPajYaL4t6+72in6n/vqF+eO3v7Gi958ySWXDNOoWCvjnCyvNi3b9gJv + OBzaru37XpbnYJzA5uoFgAcZ/HukA9Aj9bcStBFq55z7vk8IMUVJIYQfuIbv3iAazJSBEvLeCZLG + sAmRdRzXdLxbrZbjOIzRLE0pxUojhJAEwJhoUEIIoTjGQJBBLW0EGqakqbVmlGqtCYAR7uv1eoRh + jLHr+2meRXlqu5ZGgJRGXG6dBN4Ks7/fr3yk6QU6EgSNRkeYTj3I5yKktTZRh7kdGzRXiG79EuOv + abivzPxklmWGjRMhNNZmHL/5fmtn4x/pIxSA/nlLqLRWmivXsgAgi2MA8Gxbce4wxtO0GATm/33H + UZwDgFSCUMxFzkd5uVQEgDSJEQAlRnNb352Bj7lAH832sHWQxrhDYrEsy772ja8LIZaWFx2PlUqF + QW+Yp6nIOUJIcoUxJkC01lJrKSVjVpKlnue5YfD9G28AjFzPy/I8TVPXdS3bHo1GALC80lxfXyeE + yJybCV3btqMs7Qz6wzgSWjFMlJQIIQzItm0hhBnUGQwG09PTjanJxZXmhW9+c5ZxmUtCGMaglNJS + mKdrQw9o4/tghTAAEKa5yCgmpVIp6o0syzp88NDevXspZYRQrbUy+cyGjLiGzS3nJ3kFs4BMkePe + rxoDAa21RKA1KMTzfDgcciX9MKjX6+1224TjZpzXyAeNq7AIEQBs/rO93vJ9f2Zqst3uGn0kMwkg + pUSwQRyntVYKxiM38OPzonvY1l3WvCqllpaW5ufnZ2ZmhJLD4TBNU69YypOUwN2Es1tXjuM4g8HA + eC5CyAknnHDisccN0oFDmOt7EqRFHAGCa/4Xf/EXQogvfelLF1xwQaayfXfc2el0Tj3lFMd2CBAF + WipFMcll5lPvhoPX24Tuv/3Om390Y6laee/7LsnzHBFyzbXX/vLTfuUNr/uDF/zG87nil19++cev + +EQURbbrGGFiISXnBlDGjeCMZztaqjRNJVfFYnGUxAb/GYRhq912XZcyppTSBqKDkNKaPPLFUZNs + KwC8sd1sYAKllEpIrTVCBBAIBVpyjLFWMtOSEEIYJQhtkEphDBoUaNAgAcA0ozVghAaDQaVczvI8 + ztJqrbbSbE5MTh5cOFwslW68+aadRx21f98+TXC5VGq21iqlUhzHvU73yqs+e/XVVxNG41GU5pnN + rM3z1FvLBBZlQslSoTiKo8XFxe9875osSRSAlNLxXJ7lYbHAGEt5zhgjFhslMaUUM7rvtlvP+83z + ut1uGicIodFg4LteHMcUk0Gvf/4rX7Xn6F1cybe/4+3vfe97C4XC/rv2lasVxphhvRsMBpRSxhjG + WG2m64+iSvYD2WbB+D4/wFhJiTEWQhCj+Kw0aL22skoUrlarp5xyyrXXXJMlyZlnPMEKbABQQmqp + 0jgxnGkG5Wu4T8IwtCzLiJWZCOOYY47p9XrXXHONkcQxyWehUEjT3HQJkiThnI+FgBljpp1lAJOG + XcN17Xa7HYZhv9+fm5vrdDqf+tSnCCHlctkM//T7/VKptLCwYLTyoijyXRuD6vV6lsMcx0rSCAi0 + D7XNiZWLYbVaDYJACiFzzhizmHvF5f9y1lPOmp6d4VpNTk4aADNCIBFILUACQkoDIgSZ+tGPNdNx + xRoANKakUC0Xi8Ug8HYft1sBAEhqWaVaKdPSqZcIYwzhidlpCZIS6hBSrlaUbzcY4VmmuWxM1hEg + RMAmrlvwglq5MFEjhIhh7AY+FxnG2PacUqOWU0QtpnLuA92xcz6JYwmaeX6hXiK25TiuIihKYs/z + pNZCCAMCBwO027JgtnpvREitVF48vFAqFGZnZ9eWm2ecccauXbu2Tc8CQMIzwqhm5LNf+sJaa70+ + MfGBf/rgwsKCE/idTud9l17qum5/MKg3Gp1uVyn1ne9+12DFi8Wi3tjr2U+5wn+2RgjJeA4Y2a4j + tcrSHCGwbLvsl9rtNrNIsVwYDod5nHmex7mgFsP3aiJpDACe5zHGhJCrq6vD4XBubi5JkkGvb1Fi + U3sYR6VyZTAY+GHY7XcxRZ7jaK21loYWBwBhIIA2JEDMhp5nmRlE9DwPIZ0LniSJRBpTJLVWmiMN + rmU9CFXSfQ3pI8qRxv2Dn8g0gEIPTxNJKYURRgg0UkorRIBSspkdbXAkbiVbygXHlEitlNSI4DzP + c8EZY+NoSmuNERrTipjvNT6C0soMrB5pAfR/sKEtr/9j7OGk+TaMn65lW17QbDZvuummVqc1v3Me + CO52O4PBIE85AWT2adtyTaKf8dxx3DTPUQeVKmVCCLMto0JjWZY5stli8zxPRUIpNTxCBGOtdZZl + Jvg2WhwGo2VOyYTgRh50MBgghBDSK2uHfN+nzEmyRElt2y5GkOWcMQaKwEZJXgFI0No8d9EocR1n + OIxaa2vlcrlWq01OTo4JEgyL1/gTj7Sl+MClM0OgDGbAHQNIpZIkyQQ3Qk+FQsHwqo/941YNcpPy + SSkwxmYcq1gsKgXtdtug/02HQckNSLrWWilBKUX3nMp4yMYwmZuba7ValWqVc94b9A2nLULIDPRv + +aIIAEzhzajFB0Gwtra2b9++O2+/4/vfuUZwvrK6GobhKIkbjcZaa71arRKbSaSf9ZxzOp1Or9O1 + PeeOg/t/+em/sry4ZDA8eZJKKWu1mpJyfX09LBQuvvjiTr+3vNJ0PNdU+KLh8O8uff9fvu3t5XJ5 + FEeE0VKpFCWxzGW/35dSlkql+vQ0SNXr9ZJRlMEGSWOWxIyxsm33hoO1tbVCoTA5MRHHcZKmJuwW + Qpi6OGyuxp/QfppLb4Jj49E3RuE1IpQSwgghWiEJEgC4zIUQQkoNQIw05uaCuVeGgAAQQrZl/eHr + 33DLbbd++5vfOrS4UAzCQb+/c377MI6O2bV7sbl85uPP+Pq3vhm63kStrgC8ICiXy3GatrsdjVDo + +7brglJ6XDXeAuRLshQR0u52qGXt2rNnqblcCEIpRZKloywJXM+27P5wmGZZsVDAhAgpbcehlFYb + jVvvuN11XYpwpVg2jZTG5ERrbb25ulJr1Dv93hvfdOHhw4e///3vF4vF448/HlPyvOc978orr/R9 + f2Jiwky9SykxIQauNn6IHu22QZ2x5WZuiHoxCwCMYA4ABEHgMMuz3EGvNzczU3zq2a7rBkEQRZHv + +aPRqFAqnnzK3mE0Onjw4MLS4vLyciH0DbqPUIQJzMxOCSGWlpYQ1t1e+0e33kwIMRKuhkgNASGE + jEYjx3Fc115dbVqWVa2WsyyzLDoaDUz0zBjpdttG0YhZJMuTwwsHr/jkxw3/9WAw4JyXy2UjJE0p + lYpTSgEpx7G01lJyITSluNPp1i0yGPYwxr/69Gcmo4RSCzQmxCKupTgftNtnn302sVir3U1EylzH + 8t1ipZzmqQKlFZWgNShAIDfcIDoiBW0uBcJ0vdUZdHuOFwySqOSGQglAJIoiThGmeR4lT3vSZJxk + xCVS8jhLozwKq2WhVDQaTs5MD6NR4PsS9HA0EhbhUqRxElK7MTGBCQGtFShCCCEo5XkyHCliRXHs + el4qeaqycrlMbKvf7iwtLfWHfSklooQQIpXSWisEmDzgDBJS2rBEmsTG932PWn/+538eD0dJkliu + kwu+6/hjjW+klO7btw8ADKlGt9s1HEKmqe44zsGDBw2fpOEifwgr+eewqztW4BAi11oRQgGg3+/H + cVx1q6VixbIs0xfinEt+nw6DxgAg8hwTwAo95jEn7d+3TyvJCJ2fm9NSMUK11OWgpDI5VWsozr3Q + Q1hLw46jNEIENCZA1Ga4QQhRXORZSilVXGBGHceSWnEpEcHIwhqB1FpLqXOB7m//faBN/0jjXXxk + M9gPW4KENrWnx3cHALRCSgFCZPye8fsN7acRFzGlll6vN4bTj3nnH6TDtvmjIzv7n8P1fET2qD7/ + n6UOkmVZpkeZpqlmygSglmVJraRSuZKZ4FxwizKNESI4zTOuJMZYITCPcZqmq631UqVs5lbHgebG + cYRwXZcgLIQAqcyYKc9zijb6JKYxYlIFU6yilI5GI8uyKpXKYDAQQhRD3yn5eZ5rzV2XAWApFUIk + cD2e8i3xJQYwUQbSWodhCFrLnBeLRcdxOq328vLyltEUjQDudjoPE1BnKzjbAN+11kIrKWWr1QqC + IAgCSmmr1TIDUePmsgH6wwYvHJgu2aY+UgkhZLAujNmWZQkuTb40hoMrBBjQVu/w0MrqhgK4Xq+b + mCb0g1qletttt000GkiN8ed3ex/btgHAqCtaluV5XqlUopisLC1rqbYdtWNlZYU6liaoH43qU5Mg + +Hq3M0oTIYRfLHDOuVZJllKLmXl9ruT05GSr1Qp8v1gser5/ww03+IWwVqmurK1WatVSoQAA6+vr + BT/otTvNtdVjjz+uuboSFovMtkLPzwTXQh7ct58x5jmuzSyMsWG3q9frcRyvrq5WKpXtc9uEVvv2 + 7atWq2EQxEmSpqnZI4UQGP1siAFNpVZLLYXkmdBaA2BEABGikTKdVQMzG+sO3+9x8iR1LPsf/+GD + 73jHO4DL7KtfBYDDhw/XimWV8ebSsk3p/rv21UsVUJog3O12pZSGBcHxPIM2SdM0zXMDGoFNxS0F + gBBitm3bdr/fH/V6lmMDgB8GWZYhSszjH8cxZSy0LKmUkNJgJ+Io8jzPbITRYNjqtPM0cxwnX1sz + KpzD4fDZz352o9F43etea9jetdZ//w8f2LFjxwknnLC6urqysmJZlmFJ5kIYcKmRpf7vukX/3WZC + B621Aq2U0lgbeJuidpZlURSZuUoA8H1fcuEFPgA0m819+/YBRlLKsFhwbIvnKaVWmsZZxuN4hDGt + 1SqO4wmRcy6Hw76UQaEQmEdASjkcjQghCGvPd4T0TAoUJzwIfYSQ69lRFLmua9mh6R4DqHq9jjG0 + 210hckqplBwAhsM+pZZB9Hqek+cCIR3HI8xovVGOktjxXDzoK6WCICgUCouLi1mcea4npczTzHUc + TEixWCzWqnfeeUd9coI4dK3TVkqtra35oa8xIIQwQiZiU1pprQn6MQkzvidxi8Ucw+Y6UW885SlP + YYxp0IBxfaKBPDvimQLtW85ZT36S7/sIMMVozzHHrI56Ec9yyGe3zf3K057m+B4AwoBMIQxTwjk/ + ambbWWedhRFWYGDcCFPCNPJrte0T02eccQYAIIIp0DTPkJZCyTv33YUQSCnNI75ZQXuwENaQoNYq + VSsM+/1+KQiPOuaoXq3dqNURQoSQtW57em52aXWl2Wy2Wq2gEOR53uv1HMcJw9DwzpvxQvOJtVpN + KWUwIKbi+eg1hUBLaQD2aZoyRkzDIU1TreXOnTsLhQIAOK5lnrVCocDzDX9y9xrRGAAYIVJKwdWF + F1541Wc/e8cddxyz59jfOOdcnQvP8QmjtucuN5tLzeXPXPWZpZUFy6IGTY0QIkAAQGukFdJ6U/xX + KlwsVEvlbreLMSYEaYySLNUIIYaEVojgPM2cwLpXgvTg9tBmkExN4Sd5hYdpDMkA2jfUZYzmgdY8 + N/jGTZqlLZC5OI7JZkPVoIUppUbKxdRAAWBcBL+vTtf4AqqfMy3UX9jDaz9VgrR1YZut1yysNE0J + QpJzAOCcSw2ACSCCiCKMgjaEgIoo5LqexsgElMx1RqORUbijhCgpEQDB2NQ3DOUUQiCE0EJijCkh + GGPLshgmgJWWSnFBACGElVZIaYdZrVZLWFa9XteeH0VRlmWgqJBcaU2o0Ao2dDkEQxprBBupkcYA + YPCxWiPX8ZQQOc8dywZApkTKGKWUaK2VVmCwGFppdWQt5ge7tpv7mcnETBSLEQaAcRnVcZxSqTQc + DvM8R/e0rX0kjGma5u12lxAWBIGRJkzTnDFGKJZKjN9sWIbGlN8bFZKH9IW01oYhd3JystVqUUL2 + 3XXX9vl5I+UJ6F6lCI0QyqUoVsqDwWCUxBjjaKXpMAsx6vrWnQf2T09PA0atbnfHUTsHSSSVDEtF + k6IEYWi0dNda60dv36GEjKKIS5HkGVcy5xxjvLKyMjk5iRk184Ura6ue5/Esn56YXFtd3b5jR1As + 5HleqVQWFxdd3/d9X2oVeL4Oled5kvPRaOR5nuM4aZrGo0gpVS1XXMfttjtxmjzmhBNbrVYaxQgj + x7ZN+uG6rpLiiOoWD8Hb3gsyYcIfbTpXCsBo5iCMMQUCcZoQa2MDMIn0RuHNtEPvuQ1gDaHrIQ0q + 5xe/5a3/8i//0qhUL7/8cpvQZDiaqjcajcZdd921vr5er9cJIERIGIZSK5PSY63TPBtGIyGE53n3 + e/JJkmRZRi1mcA6WZbW6nTRNPc/TWhu5Z1OL7ff7CKFiocA5j7LMME2bW5bneblcXl1dnZqYtBxn + OBw+7eyzf/eVv/f4xz/+mN27XdddX1+/9NJLv/71r7/2ta+98sor19bWZmZmTCFGSkkZGye0j3aU + nVk/Zslt7SOZO2Lek2WZEIJiEsexGQGplisIoUq5AgCGchARTEw8jUAjCAuFKEkc18p5KgW3LItS + QimN40hrqNdrCwuLjNFqtaa1Nxj0EVKWZXOeE0Icx/I8b319PUmiMAyFEM3mkm3baRqbPoNSgvMM + AEajURAE/X6EEA4Cv16vttut4bDfaNQ5F91uR2tdLpeSJI3jiDGL8zzNUxEL35/u9bqYEM9zkiQq + FAphGBoMdpzEvus5npfEqes5UqhBq7Vr9+5bb7+NuU51srGwsui6LpdSSQAMGiPDPmLwAPe9wve7 + PsaE91EUpTw3469z89ts6mgAqcRoNIrieMRTRMhUvXH0nt1cSYQBgU7TtNlsSoKAYNd1DdciVzLH + mtrWKI76oyHWcNLuY+fmt3EtDURZCDHiScLzSlAIw3B2fluWZ5IgRIgppAdBYEAEtucadkdmWYQQ + LTfGM+53/RihjixJkdZJltYr1Uqt+hvPfS6PU/OhxLFuue1WRMm2bdsmJiZ6g55SilLqed5gMMiy + bMw7byDuhUIhz/MNuTnHebQXIMzAjxC51pJSGyFknIbrekEQJEmysrJivKhU3HXdnHPzi3dT9hkU + vVRCCC2hEPqd9bVbfnjjqD+Ym5haW2gWguIJJ54oQZerlVF/wJN0dXEFM6yRQGZ+wAT9SmuNpNSU + MCGElrJSqeyYnUcafN+Pomi93VpcWsCUKIAoiW3X4TyzKDuiwZ8jzl42YqeflAToSO2Btsdx+2hD + 49GwTht4OeDxG8YbnCnImrfZtl0qlUxya3jzzdLdUlO4+2O3Zlnmgx/p/f0X9jO0h62DZIh9AMB3 + PSUEACilQifkXCqlMNwtKWM6PDzPEcYIpcRi/X7fsu0wDLXWhFCT2Zva9oavkdIEQ6A25vBMtYAx + hjbfY8rhZkTeEA1hjD3Pwxib9pHjOAjhJEp9P6Q2FiKVStiODxsTk8YZIAAMmgAAAgkACGiWJJRS + ikme54bme+ujqLUmGpDeGKx5uHqQ48b3mBXXdNswJWagudvtFovFUqnkuu7a2prZAsdP9fiRNid5 + b30kSldW1u52JZvOSkpJyd2/+9MEi2YvTJKk2WyWi6UkS0thwbVsI+5ubvG4c2Usy7IwDBljvu+b + OQQtpOM4oHSlVh2MhhI0c+zecBBFUb1e7/Z6tuNQxg4dPiyl3LVrV1wqtbtdkeeU0tnZ2SRJGGNJ + kpjJB9/319qtLMugD57rurYTuv7qykrBD1ZXVvqDQX1yotvrHb3zKI1gaWkpShJv1sYAeZrmaQZK + u7YzGA2LxaKU0gRzURQhrT3HXVtZFUp6npdlWRYnlutYjHHOCf7ZBNzGxVNMbcYosUBpziVPuMUY + gFZcjO++VspMUsF9R1E1ZFHiWvaBO+4ihLz+Na9717ve9fznnvfKV76y2+3+2UUX79y58/d+7/cK + rt/tdtc7nanZmVEyAowRQhJjjLESkmLih56pRGztTJpXz3GklI7j5nluWPIynoNUBT+I49i2LCll + r9slhHiuO67CTE9MciWTUZRGcTEIu93uaDQql8sLCwuzs7N79ux59e///ite8Ypdu3b96Ee3bts2 + 9773vS8Mw8suu2x1dfU1r3nNxRdffODAAcdxDBpTbAqYPtqzo7HdFxVmbreQkjEmuXA813e9KIoa + jUYeZXEcLy4uHrN7T7laGbOnaIA0S23XLVUqYbFQa9QPHTowM9HQtkUQjlOBNASFUEuVZGmxWGC2 + lWWpF/hBEPSHgySJhZKh7dmu02mv1xoVi7GFxUXPdSem6hihURQRChlPwiCQSjFKbckANGG0EISL + y0uB5x+9e9fy4lKr0xY5L1XKPMuXV5oYkOt7nuvmPLXsYDAcUosEBT9JR2GxvLa2Ri02YVndbvfo + o3ZrrYXUGCHXcwCAMLtcrwnOPc8DSkx0q7W2bEtqLbWUoEFpjQEjBAiZbo2xe/FzIg1Ib0wfbYac + yDT2TX1kNBotri5amNq2bds2SWOqqUbItu0syxYWFgLHDQLPPKqVek0h8H1/NBp1u12Gibap7/sZ + UowxrAFTQildXV21CMWerTEKvRBnG7ITZkArkRx5NqaUMCq5QFpLDRZCY1YkrY3K+AOuc611kiRK + SItSE0T+9V//9Wc+9WmHsj179iwtLd15YH8/HvnFwsGDB03nzYDYN3IwxgzXn23bBlppRv5c1zXk + dQ/P+v4ZGkZKGXwjAQAz3x+G4fz83Gg0iuPY8zzXdV3X1SCTNKW2BZth8caQhukgYeJYtlKwbWa2 + VCods2dPvze86jNX2siemZo94fiTlJRTE9O9wWDb3PbV9VXMMGAD6VdamX4IwUAIYQ6z0ziRUs7P + zz/t7F9BCObm5rrd7tXfu+a714DlOgp0u9sNCqEQuVYK4G4U/Y/d6x9agvST2xEf/oGOg5Cp8Brl + 5SRJzE6B0N0zb1v3nTzPTWIvhBgMBkqpWq0WhiHn3CjWmD3RzHqMpavvdaiHcP6/sEeXPWwJksMs + i1DJRZIkGIAg3O/3g0IYOkWL2oxYVBOpMQaikVRaO8zGlOZ57rquZzsSNCXEtixKSJZmQm2kOmYh + EkIIwkgDAs0owwhtUMQoBQgZkNi4PmqWsuGzdl0XYzwajfI8t23bspzAaQwGg8GwxyygDklzrpQi + Y0y2RgAYb3CPaUAaKVBcU8YwQwauRilxHEcqrpTQWmsMG0VbM7xt7hsAACAASURBVFtxhB7lgcoP + CIHWSmsNGAEgpZTSCsGGdwYAU1NnjNm2bYofZpskhJgn2ZQJlTL6SBiN9ZEUWJZVrVbNbLTJNrXW + 4ynEh3D3N9CJZhswF1IqnuX1as1xnGG/f+WnP/PMZz5ztbnCCEEIIQ1IabTBbAFmzMpyqOLCIjRP + 0lacmCDDsqzBYOC67nOe85zzzz//r/7qr77xjW/MzMxMTU1dcsklF1544fe+970wDB3HaTabUohS + WFC27ThOmmeLi4uu7bz4hb995plnTk1NNZvNRqPxve9f98///M+O43S73bVeb7ox8dhTTn3ik590 + 0mMeQwj5q79+19XfuyaKoumpqSRLn3zWk+bn50844YTt2+aXlpZ+8IMf/POH/nVtZWXbtm2DXq/X + 6Rx77LFXfPwTDFsvfvmLb7399jROCKMb8DatKSEPDmj5ydfDT2Jjn430xlQAKMjzPOUZaE2AEIwl + l4QQACSlBgBKCcZMIy1yAXA30cjmkcBCzKH2zm07hBC33vSjF//Wiz7xiU988O/+wcAPvvDZz7/g + vOe/7W1vK5VKk7WJbJSWglKaZZxzpIAy4rmuUirPcpveIwEb/4UiAhpkwtMolkpxnHuOg7DOo4wn + ued5SKKMa89xTcrdbreFkiqQaZ4RhD3Hp5g1ahNRFIFC5XL13HOfe/7555966qme5wGo7Tt3vPvd + 7x4MBs8+91whxEknnXTNtde9+S1vnWhMCiFywWXOCSGUMqGVVAofYY7081YRHAfxd+dI5nnUGiHM + ufAcN05zz/Mty15eXk7TNI0jjPHS0sJll33UiK2N4mhpackN/HKlcvtdd554yknP+PVn+r7/B697 + zfpyU3IZBH5jurG2sjo3vy2J4v/64Y2nP/Y0x3MP7Nvvh16v0x1Gg1NO3qtA33H7rdvm55lFcs77 + w96eY3dTQu7at2+i0dg+MT8cDA4eOlSfqCGMV1dWtm2fU0L3Bv0oHp18ymOyJO302kHBn63MNpeW + kyw+Zvee/nCAAZUq5R/+143dbv+MJ5xmlFVrjep3v3fN/NE75+a37Tnu2Fe/8vwf3HDjd75z9Vsu + umjYG2qti4WCY9kiT4dR1Ol0Lv7zP52dn11caVZr1WSUlD0XaaVN+AlajwHGgLZeTJMRAWyWwzav + +uYfMCJRBT+oV2tpnNy8eFM2iud37jD0Yp7jpnlmhKEciZBURiuiVKzkuWi1WkU7uOuu/dkw0UIi + x1pcXHbLhXptQkvZ6/QPHTjclIdt23ZLhdXV9frcNGM2AQyAkyT7/nU3SIIa87P9/tDx3NDxcp5y + znGKKaVAkAbgXBh9p61rZqv31lohBEHo99odAP38F77giWc+oRwWJBemed4d9P1SYWZ+2w9vvsl2 + LLPXRFFk2/Ymq1saRZHneWYYyUj9jvGW4236J7Sfxh8+EoaQzvPUsiyjuoYxLpVKhs5xZWXFcCIb + +Q1TpxVCaKQNahNrgzUBAMi5EjlPozQajaLRSEvl2Gw4GESSTE/MYUwZJqBxrdYoFouVSrXdayFQ + GGON0AbWDjRCEA1Ggoo0STDGoHTo+VrrWq1uAqThMGJcSMmj4dC27cGw53me1keQph5pgnREnA7o + SEQXN49//2aGSA2RDAAY4Uop5TiFuRfEznVdEygyxoxkYre7IeI8Go1MUrR1WuG+cdFW//CT28/b + ej7S/evn7fwfaXvYEiTD2a2UypLEc1w/8KPhyGaWhYkFmAiluYBcIEwpIEAENGBA/eEo9P3AcUdx + nEdJnqYk8BhjFDHYHDQ36FKtFSEEY+CcIwDTgjDKp0prxpihYjMMJAZ6Z5y11tr3/WKxmGVZt9PH + mruuXyqUuUoVB0IDSoiUJr3BoDEARhoB4ghlGISSEHghxrg/6FqWhZCWEqd5tsEFB5qMSwtKa6UM + PvhhuZ4mwzGJ4vifGoBzzhizLCtJktXV1Wq1GoYhABgErXET45kiAKOPlGqtgyCI4ziKlqemphqN + RrPZNNg8gA19JIyx3sC33z2U8tBSpjzPK6XS+vp6Stm1117rIvcpTzzr81/8QrVel1qZBuDWbjjn + 3HGcfr9frVbNqE+lUkmShGd5tVw5+uij3/zGNwHAH77hf3/tK1/trrXe/55Lyn5xolZ3mKURikYj + Sohj21GaEECI49ba+vadO2ampi+48I0OtRCgY/ccS4EeffTRTzzjCc9+zrmWZW2bmS2XSu9+97sN + P57Q8gPv/btXve7V//c73zYj5uecc86Zpz4hg0wLuWNq/oxfOuNpT//VF//OS7rdruu6M1PTd91x + p4e9RCd5mikhGKUAiAtpUaoJeWiX7qHZ1p1MI8ilIICwAskFxWyy3ti5/aiZmZmpqYkxAMmsEJNR + b62ubTWiIU+zMAyTJLFtO03TeDAypLT79++fqk/s3bt3stYwqxRTMoojalljUJzpBxoG5/GK2rpR + mQfWZozZtuu6zWbTdd3BaBhF0YEDB5aXlxeWl1qtVpqknd6AMhZ6PpihZCRMwe/wgYPFStlh1nA4 + /MhHPuK67sknn1wul5Mkqder7/3bv11ZWfnDP/xDw8pw1/79hJCbb76ZEKIR3D22h8A8a1vZ5x+l + trXRMb6d5qvxNNMIRnFseBpuv+OO4WjkMMYYe8pTf/nEx5wUBAGiZGVl5eijj26120Gx0Ol1Pc9L + snRiYuLO/ft2zMwqyc8999w3vOENcRyHQXjV5656+9vf/qaL3nLqqacWwkKapRdddNHhw4c/8IEP + 2DZ73ONOe8UrX/G7L/vdt73jbV/84he/+bVvrqyvnHPOORdccMEznvGMt73tbd/85jevvPJKjPEL + XvCCM88884IL3njZZZe/853vvOoLnyeEPOtZz3rqU5964R9d+LGPf+xv/uZv/vjii578xCenPE2S + 5F3vetfi4uH3v++9juOM4jjP8998/gvavW5zdXWQRG+qVZ909pPPfNJZBb+gJSRJUgzCOBpqqSil + iOCgELa6bfMIlMvlNE4AI4nAECnrzeb2faXPHvzKY4xdy9Za93q9MAyfcOrjBM8QQlmSJkmCHUsI + Ebjezvnte2a2I6WM6NOB1WXkMNd15+e27Zjf/oTHPk4KLkDv2LFjtduO41hLyRjbs2fPzqlZQgjH + MDs1LSmNkoRQxhgrl8t7n/gkCdDn8UStHuVpkiSM4tD30zyXUkqtGGUAAARvxRrdy8yP4jgOS8Ub + b/rhq159Pk/SWrGcpenk5GQURVyImGfd4SDJUvNcG4BroVBoNpsY43K5jDHu9XoAEIYhpdS4DjMe + /JBX9c+PGTSK1jpN00qlUq/XlVLLy4uU0mq16nne8vKySZbCYiGXuUmQxk0kI0ZEMcMAg94w8HyR + 8xtv+EG9Xg+8wrA/MvAETMnBw4e44ocXl5ZXVpZXF4mFGGOImGBAIyAMUaYog2gD2ZjzMTnKUnOp + 0+kMen1EcCYyw360ttqyHbZZzL3b7jcBMPZoSZCMG3ccp1wuGzj3aDTiXI7xdVvfCQAmuQUAy7LM + zOr6+rqZCDDdziRJDNOSqS+rTcU8uBeh0c9ZgewX9iD2EKbdHrYECWMscq6EKJfLPMu77c63v/kt + KSWxGCGk1+4UCgUpBGPMJOiB62WCU8aGcRSG4WA0rFQqoVf4tec+8/a77iyVSoSQ9npramoqCIJD + hw4ZiV+s4c4779w+Py+E6Ky3jjvxhEql0mw219fX+4NBGIY8zycmJk455ZTPfvazCKBYKPR6vSSO + XddVUlqMvOb8Vz/2cY8TMiHU8ZzK7XcsTjaOArAIYUgDY7bI4zQZOJ70vDzN+xQoTzOMsZC5X/CD + wHvRS15kyudZlvkFv91u1xr1YacdBEGeC0JJoVDo9Xqmw2taZAY/ZsBv95oReqAnbNwTG0dsY9Ad + bHaHxg1ihFCxWDQcvkZz3bRflFK2bQuh7qmPxEajURRFs7OznucsLCyYOYEsywCwRY0+EowLfhhj + 02iC+0ucHojJxWYsTVOk4dxnn+MhlwN/yW/99i033dzqdRAhWZbV63Up5f79++fn5wGAYpIlqWs7 + SEMaJ4LzPM0GvX69Wh32+xPVGtaaIrp8eIEqKJXK+++488Tdx+3asfMrhh2NUNikYFJKcc7DMByN + Ri996Uttah9cPPSVL35pNBo5tv2q888/6qijHnvKqXfddVee5xdffDEj7I6Dd3zjG9/4tWc8Y6Ix + 8da3vvW1r33tvgP7n/rUpz7+1McpUFd+6tO33Hzzzp07n33OObvmdr3sZS/7pw/+42g0klw877zf + VCAZonfcdrvnecsrzeNOOH7fgQMKtJkoSNNk60V7hOzugHjL8AlCKM+zerl62qmn7dqxsxAUK5VK + MQzM2giD0KQxABt5wv0eeVxhKgYhAECh2G21CSCeZnPTMwCwvrI6WW+M318OChtFJtutBIUfe+Yb + 3U4uECVKqelagxBSK5XjOJ5tTMZxLLVaXl6+/vrr9+/fP4yiLE25kuVqBYGVZ0kcx/VahdlWrVK9 + 4I1/dGDfna997Wvn5+fTNJmfm/m3f/u3D3/kI//+kQ87vpuJPErjYrEYx7ECBWNYFBqXAbXURyq0 + /XNXUbt3eLK5ieciZ4zlkud5Vp+oKynb7XboejFPGMVa6mSUBOXQKLFOzE42WythobDSWpmanel0 + OtRh+w7tL5SLvWFP5GmtUQWsM56SDBOGLYclWewFbpxFWuug4PcGXT/0hsMhsy3bsyMehaVQghQg + qE2jNFJIaayZw+IsZg5TSmGGNYIoiZlNM55qpJhlc5lXauVhMsAUpXkyNTOZygQw2K61vLJkOTax + LYl1KnOueK45ZtTynCSLMcVZkpfLlX6/DwpVa9VBtycELwSBUiLPs/VOatm2z8jqatOy6DDmhFFm + UY2RUkpLZXgNNvztPZ8vc0nx5mONYMw5CgRhUBqUdh0n8LxcZAxjIaVt21iDFtJmVr/bcyxbacUw + tiw6GvYtRjCjSqnVteb0zKQUGQKwKBv2ugRpi+JhNLIIJhgciwGAUcWJ4shiFINyHUsLDqAUKIR0 + lid5moauBwZqS5BGGiMkzTykknBPR2FsY2JeKq11sViMRqPV1jrWINJ8OBxKLvwgWF9fb3U7muBU + 5JhRpbVrWSY27XQ6hqXJSACbDrZxLwaqN+YIefgX/SNgaIMG1sgkoHHNUYFESAuRK6XC0J+dnY7j + UavV4lzu3r270+ksLTVt29m9+xiMsRe4iKJ+v29T5vs+z/M0TlzLZYTmSU4J8Xa5rdW16YnJx5/+ + uDTN+52e7TgKoFAscSX7oyG1yNTszEq7SRzihbZSSmrpewHGOE1zxLXNiYXZ2tpalCS+4+cpr9fr + rVaLEFouV3bv3t3pdS3XcV03zTLf9x3HkpKPGyNbUe4mlTX84yY9sD13MBpWq9XBYAAAhjUHAAyH + YbPZrNfrhk/fFGGzLHNsD2NKKV1ZWfF9v91umzlPx3EMiscgegwukXNOEI6GQxMjYYyLxeKBAwdq + tZqBwBUKBcM1WqvV1tbWgkIhzbOto0Fb4ygjsLa8vDI1NVWp1Ahh3W632+0bpijDh2lOEiFkPlFr + bTqchtchTdPDhw/Pzs7W63VTPjY743iXhM147O6/P4A+0v+AQtsvDB6uBAlrUEKaUpzx/nv37v3W + t771/ksvvewjH3UD+/w3/GGv1zME3wb3v7q6+n/+9E9PPvnkC173v/qjYZIkcZK85f/8ydFHHZUJ + vrq6alnW/Px8q9VaWloKgmB+fv6jH/rom//4woMHDwLAcDi86qqrPM8Dgm3b/sIXvnDppZf2+/1X + vepVr3nla9rD9lvf+tYzzjjDEL4Zr00pDUP/saedevFb33rLLbc0JnZ218n05EmOve3gwXVKHMmF + zQghWaGEF5duKNbS9fX987OTWqs8z//iL/7s9F86vRt1O51unueO6zKLEEJ83+92u/VKtT8aer4j + c7m2toYQMjOySqkkSQxZ1jhQvgfQ6MgDrPEQlHnC8zwfDodSyiD4SfSRNvpFCKFWq+X77vT0dLvd + vrc+EkJb9JHUQ9BH0lonUVwIwjNOf1zCo4D5MxOTySjSUgWFAsUYITQ9Obl3797Fw4dvuuWWarmc + JEmjVhsMh6HvY0qLYUgx3r5tPo2TT37iirtuvf2kk0664oorGvV6r9OrFkoOMBBKCclswjDhUprC + mpBylCQ757cP+4M/eP3/eumLXvK5z30uT9KVlZVtc3MTExPPe87zzzvvvIsuuujEE0+cn5/nIN/x + jnfcfMstV33uc5ddfnm1Wj3hhBMOLRy+/PLLR4PhlVdeWfB8s7snafrC33nx2Wef/a///C/FsLB/ + //4PvP/vlBZf/vKXQ9cTQj7utNO//NWvnPa406XWS81lrbWpNd63Y/ZIBApb0yTKKNGIum6lUqmU + yq7tWJS51GIIE62QRpZGTG2wOJAHZqc/0gQAayBH8s3MshIaiAKlQEuNMSAFVAFltkBZnGRFxz/+ + 6D0F21tsLi+sLTHLWltdw4yWy2XHsm3bfva555xzzjmXXHLJFf/5yWOPPZZS+sIXvvDpT3/6ey65 + 5MrPfiZJEkopJsRECRqA2dZYDGCsyPQ/zAx/7t0dJMa01sy2FIJ2rztZb9Tq9UNLC29+y5v/5t3v + Dj2vPeoFdilOY8SoQjosFdc7rcmZ6cXlRcfzR0n06885x7XtsOClMTq4uHDN968DgCzLEp7/4KYf + Usf+yMcui6Jofn5+/+FDnUH/u9d+L0mjXMvm+tqnr/rs4kpTE/zFr3/FdV2/WLjtrjutr38NMTpK + k2999zt5nvdGQ+ra37r6/x5cXCjVqt+99ntKKctzm+trX/3mN5rra5bnLq40v/mdbx9//PHD4XDH + rqNvvuWHn//KFznns9vmyuXy4eWlQqFgeTZl9j996F9f8pKXtAcdpaBWqay0VhzL9kvBYDD0fZ/Y + FsYoztI4Tf7gDa9vNpvbdmxP8yzNU8mFNooq93lI77VIFGhkpL1MsmGqXUiZKtWG+iS1CYCZiMAY + E8siFrMtW0rJ85wQZmgMVgbdXr+vtea13PhbikkvGSGEGGV5mtFN7FCWZ6Yups0EhZRJnPT7/W63 + q5XSSCulXNtRRpOQEIw3BNm01gptlAIQGkMF722WZQmZR1GU8bRYKEuRawQaa8uxR1mUSs5ljont + +y5iltG2gs1Qe2u0/fAu5v9+2+gf4g0CtM2IXGoEpVJ1//79xWJx7969q6uri4uLnuft2LEtjuNO + pxNF0fbt24vFYqvVWltbK1WKSgmepMPBABSyCMUSRXkOCtnMWltqTk9Otdc7N95w465du3q9AUhy + x513fuELX8i1VEgDhYXlheFwqLXOMyFknqRpv9sXUmNAvu2BtrnMRM7zND1w4AD79rctmwopgeCF + pcX1divOUppnw9Eoz3OEdRRhraXWSGuJEDG9EACFELFtphQcOnQIADcatV5v4CQJc9ybb765Vqlb + Dut3B4gABjIx1bjxBz885rg9SwvLXOaVUnV5eRkUooyFk6X9Bw9MNiYopQRhM/FYqpR7na4X+BgQ + F4Ji4nguI7TTaru2bQKVIAj6/f7CwkK9Xi8Wi51OBwBM8XdycnJ1ddXMk2NAejNL2brqYFMpRGvd + breVUoYIV2vU7/cNze9wOCSEGJ6qccF663ZsbvrK/2Pvy+Msqep7f+ec2utW3f32Pj0bM8MMMyIg + ooAYiSwixIjRiBgTieDynj63RKPRxC1B3Lf4NCFiFBNEgyISFlEMIoNsMwzMvvS+3b1ubafO8v44 + 3c0wzPgc4578PvOpTzd09711blWd3/JdZmeLxeLAwEC73W40GlJKlb+pTVxKeThM9IhS7berC/Df + LX6Ojf64CyRx2KarXkv1mFW13ev1bNs2NL3g+XnfJ4CGq8NS8oO791erVc/Pz83NQcINn2gSebZT + LZaQkH/0ohefeeaZt995ezmf7/V6c9MzTAqlw2hZlm3bZ5999kUXXEgA1RcWVo6Ozs/MViqVu+66 + 62Mf+1ihXNJ1/YYbbrj55pvHx8df+tKX/v3H/v7ee++9/vrrzz333JtvvjlJEkWcBYCg04nDqNsM + 3vn29z3z9Bd88qM3z0wY9QmfhBvL5QFikU57tljCYWO/b/Cr/uTCL3357/7pC5/qhY33v/99jLFW + t5WyVKGG1PgV4jhJozhJarWKbeg5x01JBhIbhqFGTApaoDQnDp/PLt9CxwXhUECg5W+XZe7URmWa + R/NHYkJp30kpAMnlxhjG0Om0CEH5fF4IseyPhOCJgfKSP5JQldJx3fbq1V3LfvaznuXrbsKTNStW + n3HaM+75ydZuu+3nvGuuvnrDuvW+k+fAgqi39cf3ffgj1zTrjaDbGaz13fKd7zZbDU3TCl5+amLi + Va961YevvvqkdSd966abOs1WsVBYmJnNeGISzdFNISGjmQSJCZEIlELDwYMHCcJ91eoNN9xgmmYQ + BKeccsrOxx/v6+tjwIaHh23bXrt2rXIwPHjwIKX0nnvuGZ8YXzmyct2G9Td9+1urVq26++67Hcfp + dDp9fX1Zku7bu9ciZhonSRQ3m83Voys3rj+RAPnQBz/omNZdd/2gFbSff8H5jz/+eLFcppSamdnp + LRqSKtU1uSTvcSy/nePmtDxZk2iZTiCEYFzaxPDdnOe6BGHEBJGgScKlFFJggbHAiCMAQICOiqWW + 6OdwUj9SVe///ysIEUmIJCAAcYQxwhgjBhhjxEFmwsB6X7kGTCZJMjk7GfZ6jm27uVzQDd745v9z + /vnn33fffZdccomiKSdJ8u73vCefz//ZFVfsO7Dftq1KpcIYo5yZup6yjHOJ1Ix0GSKBjjkI/S2N + IxUaEEgpgAtN1x3XbXXa7aCby+WA4Icf33HxpX9w0ze/tWb1CZ//5y8YhjYyMpqmcbfbMx3zB/fe + 89KXveRpJ58iJc/lC1EvcHMmskjEk9JARcl2GfPzo+tWDa0eWbtpne/7GOOv3vi1ylBtyzNOnpyc + 5AQVatXn/P65j+7eZeTcs3/veWMTYz2arjlxw9qNJ27ftVN3nS2nneo4zgc+fDXDsGHLSRGjKWQr + 16/O5/MRT0zfPv3sM+a/U08kHVo9suFpG8MwrMjaN2+5iYI485znzM7O5ouFOI6JaWiWGcYJx/D1 + m2780lf+5b57f+zquY98/MOjo6O6pk1PzQ7294dh2Ot1X/ryPz7n7OeedfbZ0/Nzq9atPXBgv2bo + i7RsJKSUQnABEiPtiMU86qWyaOeCEWCkW5pONF0naRqnPLaJoYgrWZZmmEOGCZISOEISYakhrdVq + UUoHBgYAwPM80zSFEICJruue53WzJE0TlZypVj0CpIbAYGrE0F2EK5VKrVZDGGOQqjaTUiJliYGf + oOQvtucQLFdHT50jMckklpwzzdSAQKfV1olRHahamoWQzJfyoMugFyVZApIDgSfkKZ5MYf9Fzcx/ + rRy/J5DASqtDIuCcB71OsVgcGhqKomh8fFy5WWiatm/fvnK5PDg4mKbp+Pg4Y4IxNjs1LaX03ZyU + stPq2obpOA7LMlOzGmGdJmlzoXlg7/5KqdJpdSzDZBl0g85PHrg/zqhAkHGa0JhYOMlShDkhhGga + AsgYQxLLVGZppIGGEDZ1q16vBw8+yBhjgmMdR0ksEQgATJCQMssypBENA4BQRREAXj4KAb1ed3h4 + Bcba3NxCLpcLw7jZbEqJcvlcEiazs7Ou5SINzUzOxHHsWu7unXtcy43TpM3bvSislqpplj30wAP5 + YnFqYsLJ5UyiubZj9eutTsc2TUYzRqnlOJbjUMaYpJVSSeEV6/W6YRiFQiHLMoTQ/v37K5WKykAo + pSqf8X0/49xxHCYWfajhMBWr5RGfgj4q70fDMGq12tjY2HLao+hhTyXCHV4jhWGo6OuFQoFSqkzY + lIjR8q69aDN4tIv8sKHWUeI3jbN6vPFb/f5/nT5Itm0bmtbrBoams5ROTk5qmnbaaadHUeTazpqV + a7rddqvecEyr4OdbrVbRz9cqVZ1olm5UK5VCoVCr1SilrmX7vt8Ne57n+b6/b9++gYEBZTm6e/9u + x3FmZmZq5crCwsI//uM/joyMzMzMPP20UyvFytzc3DnnnDNYGPzOd74Tx/HnPve5l73sZVu3bo3j + Rbq/7/sxjwp5t1LKn/nsM0aH8xedd+nXv/ZoKzNqxdWIW1mUWsgNFmZXrH7aD+99ZMvGZ7zj7e8e + qA4Fjk4AZynzvUKchZ6bIxqK4h4hSDeIKczRlSsdx6lUKowJw7Cmp2Y7nY7CtqZpmqap6kCohVou + M37OLURItITtWPZHUtvn8fgjCVUFLfkj5dGSP5KhmcrD6gh/pOUj/P8eAQCAAQxNt13vxPUbql55 + vjF3x+23v/Llr3z1n/7Zg9sesW2bUtpfqZWdUiITHemOYZ137vN1on3gAx/IOW5/rc8EvVQomshk + wAAgiqJ6vb5QmXvJH7742zd9i2cs7/k60RljlFIF4wQphJQIYc5Ymqb5fN7Q9CRJ4jQpl8sE0P79 + +zds2PDsZz+bCXbXXXdlWcYYo1n22GOPdbpdidHgyPCdd33v8ssvr1Qqvu/ff//9qhNW6+vrdrsF + z7/iiiuYZDfddJNpmq5lX/SCFwjOmKBJGK3ZtKnbbUdRaJrm6MiKOKN5zdcMvVwuq0/ncLSGKph/ + nk//GIEkiMNKGYFAMi6YkFhX5F3gAhEpGF9E3yk7PAAAIEtduCdtmOq4LFzyM7+N43ReB1hSfSVo + 0XcMhEQINEw450gCQZhmaRxGNEmlEHnPH5+ZWrNmzXnnn3/ppZf+4z9f+/KXv3xqZnp4eLjZbr3x + jW88+5xzPvShD91z7480TcuXCtVqNQgCIQXnPEqTxYEeSISeSAuf9AU67gLvNy2W3UXkYd8qGcyY + pq7rer6fJAlOiFf0c5Ytefb8iy/gjOZyvqZh3y8YhjY9gdzm4wAAIABJREFUM8dF1ouST3z248VK + 0cnZc3ML5f7KwtysY9nbHtvRjcIfbb3vtNNO+9wX/m9E06/+27+ee+653W633W6PTU2apnnnD77f + bDaxoc816rfecfvu/fsYyFu/d3u9Xjcce9tjO4I4OjA+plnmnT/4frVa7Ubh/rFDP7z3Rzt27JAE + P7R9W5ZlcUbHpiZvue0/JmamG5329//zhxs3bnz88cfXrl37w3t/VCgUtj70QBiGvSgcHx8HDQsd + 05ATbDS7nf7+/s1P3+w4TqlQbrVaru1gTIIgKJerGadf/Mp1TsF/6LHtKaP1Zt3Je4qMxwVjGRMg + daIZuk7Zkqbf0RgHUkr5lNYCpRSZSDN0w7YI0QCQYZnVajUGHjEapwkhxLJtwzARQBAElUplPurO + 1xcQQjrCzWZzoFjJOKOUNtqtII2LtYqOSTfsTc/MrB4cEYJjXbNsOxA0o5QntNlqzc7Ojq5Y4Xp+ + zsmlGU05M3OeSYxO1EMIIQUBOKw3d4ynt+CCEUIQFhIgYykQnC/6tuvOTk6pt+rl/Tij3XaQJZHr + ukTXkHhicHREU/+3OpaXSCwZ7EgsheRC4I0bN0ZRdP/99/u+v3bt2lardfDgQTXrsCxrx44ds7Oz + pVLFz/mtxoKpGZBJlmXlfMH3/ZnJ2SiKivm8a7sf/Jv327aTRHGv10vTbGBgoFnv6IbVbreZEJqm + pYIKyRHlBiFcSswRxlIwjrgUgqeMAcMS6Z7nEULiNOpmXS4FB4koUJZhTUMECw4CAZNCkzijAuHl + 8lXxahav8EKh1Ol0hBClUinLVHvXVgzSMAx1rGdZ5tu+kshzXVfHOsaYAGk2m6OjowcPHszn8319 + fZ7n7d29BwBYkvqF/GD/gILStTptwbjkHGGSpWk76NX6+8IwjKKoWq2maTozM6PqIgCwbbvX63me + l6ZpEAS1Wi1N0/nZ2ZzvC1i8gFXreXnKRwhRrQHbtlU65HletdrX39/f7XbjOFbpkOq8q1mTCrGk + eo8Q4pybphmG4dTUVLVaLZVKQghVIyGENE1TRAY1ONU0TRzDH+l/4ncjfmEFEgAkSVIsFpMkMTV9 + cGCgMb9AkxRJIAilacq51IkRRzG1KOc8jtN6vdnf30mSRPmfSCl7vZ6UstvtDg8Pd7vdTrPVX62J + jP3ge3eFYXjNNdcYhpHL5RQD2895vV5vYGDgf7/+Dbt2PZ6EERLywR0/AS4MouVznue4nGampluG + SePE0g2mxRrOLIthFE3PdM4+J/+1r4QZ47LXTiLkOFaahp5vHjzwOAh6xjPxF/9pPE7W2bZ9yimn + FItFIcT09HS321VwKd0ypZSaRhzbsi1zZmZGSnDcvDKyULA35ZeqrOUPX6vDp0nHtchqt4Mj/JHw + sf2R4IlNC0ksBQBeLHWUDk+j0SAE5XKOEIUgCNOYmpqOMJGMIyEXCRp8Mf1elPpb4ps+Ac0HAFh0 + f1PR6/XCoHfOledIkPv37bv/x/ddesmLRoeGu80WNvRSqVTw/Eymf/PX77nrrrtOPPHE6/7pugue + e97HP/LRQ7Nzo+c8txu2Pdd7zWuvuPue/5RSYgnDw8N9pT5N0xbxyhrBS/zLJ4DAeFHesF6vD/UP + MJp1w9AwDOWStH79+htvvFEHI4iat956K9a1k562RdfNeqsZJrEQYmho6NFHH9WINjK6Yr6+sGLF + CsG4WiXbcf75y9cNDQ8HYbhnzx5KaX9//xlnnAEAN3/r27VKteDny3651e0szM5VB/uVlFP/4MDC + 3KxSc9J1HROs+lic8WNNkP7rIQEQgKZpCISmaQhAME4wNnVDJ5qUkkvBl46qxUgwElxKBELCEUeA + X0XBcDj6VN0RumnwJFn27MuyjAkOCFGWvfdv33v66af/4Ac/OO/853v5vAC5eu3qF77whVf++Wuv + +eRH3v3+v8EYE12bnJrOsizne3EaGYahmxqlNM2AaEQIsdxEP6KrRH7LoeNPOh20eJQAWEO6rgVh + txN2bMvUdIwxzlLaCFo0jQcGhnqd9kKn4TgWMnUbm17J7/W6OmcJT6XA7bmWYVidXke3LWzo23c+ + 9tr//YZ169Z9/DOf0jRtvtX42o033HL7f8zNzfX19c3Pz/u+/+GPf9R13V6S3vDNf1dOWVmW/cVf + vcv3/TCMbr3jTmV/lyTJ+z70d4SQXpzs2LX7nq0/Vh2cz/zffwjDMKbJvT/Z+t07bnNdFxnaJz77 + aUVGbTabzaBj5py3/sVfDA0NRWkyMzNDDD3lTLPNDEkjZ03OT5cGq1mSjk2PW5Yl4gAIxpZxYG4C + Cel5HiE4yyjlmW26URAASzHGmqbppiGQkIxHaaQRQz5lSRcfdIsAOwkYSyQkxhIjyhgiRAltE01D + gCmwTtibmJ2ea9alToihE11nUsQsNbHmeG4n6CQ08Yt5IYSTc/yCzyQ3NdN1cuVqOa3PR0mkYcIl + RwRJAIFBxzoQ1Gy1JIKyX8iXCn4xjzVMBZWYlKrlXhKnSUo5whgDlgACEFp8bi916o56/Wi6LiQT + wFNKAYlCKV+qlHpRsH3HIxDwaG04PLqC6Nh0TJEmUkoJEuSRJPjfyTQRY4x1TDRj5epRmiVj4wct + y1q7dm2aptPT00LAhg0bgiDYu3dvFCXlclUB+01saEAwEMcyTN2Ym54P2t1LLr7485/6fExjQshr + r7xqcnyKEDIyvIJzbhu6YehJJl3bIoTEjAjgTFIEIAkCIbOE8YwZuuW4rkXMuBlgQAghwUWWcWzo + pqFzkBIjgQkiwBdlYhEmmq7rAhg6LI9f1nxXT9p6u66mYQsLC/39A1EUBZ1eEARbtmyxLKvdbs/O + znLOPdenlEopg05P07RTTj51+/btBb84Pzc/MjLy+I6dT9/ytNmFeRonTdZKe4lmGgSQY7kWMcMk + RgzyXgFzNNg/BAIlScIoj8PENh3JIQzDarmW9wo6MQghpm6BQPX5huM4lXJNt0yJYLkpzDlXVANN + 05aLJkJ0xtIso4QknU5H2XKo1EhRm2DZSP0wssNyUqQ+6ziO6/V6pVIplUoIISV/tSwbtszaVckJ + PFl56PC//D/xWx2/OB+kNKWU2qZFCEEAnucpLWkFCVCXWrlY2rt3b5qmfiGvIHmFfDGm6fzCQrla + UY6Qg4ODmzdvDoJgYGCgUqkEQaAUWpTtjOM4p5xyyvTE5MaNG9vNVqVSef8HPxDH8Qc/+MH169fX + arW+vj7XdU8++WTVS6hWq57nKfRqlmWNBTvneAWvkPdzhLh5FzLazuUGsjQtVXyQ3MvZQa++Ynhg + bILGIbzoDy6OoyZGOiE6Y8wmxpqVazZv3jy6cuWaNWvWrVvHWKZIhxjjR+75CVgYQDvl9GepNoM6 + I5UEwC+iu4AlyEXj3Cf5IwGAGiiLp/ojxak80h9pESwLR/NHWojrh1Nl1OsqdMdRZ1/HUpko5guc + Zpf/0WWUpTff9K25mVnPcW3bPvvMs3bu2wNS9oKglC/SNE2T5OGHHvrRffecccYZT9uyJY6iqclJ + z80xnt1xxx0nP+PUqakpDChOkkgkSZJQlinaFQWm6bpmGgJDxhgAEKIpXQovl5uem4WMn7h+/eT4 + RKvVOueccz796U9nWbZ/fP9ll11WKpWCIJiZmUGAVq9erexNu72g1t+ng6Eka3XTyIBGvdAxrX/9 + 13+tlMsLCwvv++AHduzYkYSRcNxzzjobA1oxMnLVlVeWSiUmMyTkgw8+eMmlf6gTokRv+/r6lGao + qpbhyY/XX2wcnhxLWGSRq1fHEnSiYYwFSAGSIykRiEXJRgQYHT4sOvz4c1RHR5lEHfuoJlcK8CEA + mBC6krYXIEAKIbgUAqRpW07qEEKYFNdff/273/3uweFhJsTK1avOPPusF/7BJXfdddeaE9fWBvoV + zIlm2dDwsOe7hw4dKvj5LMsUXjdOE1vXBBPKVezwkL9DELvlnH4ZEa0GyL7vE0J6cQRc5HI5rKEo + o365uGf/nmq1um7T+rGxMb+cHxwcvPXWWwuFQkxjL+8Tgh3iKqBpHEbY0OOM1tstv74QZ1SkyUkn + P21sbCyII6RrhmMbjo10zcq5KWNU8umFOc/zqOQJo4KgdhikaWq4NkOy3WqoFnVCM2zq0wtzuk4I + JkEUlkA6vldvtzIpNMust1vlcrnRaIBGqgP907t2mq4TpkmUxKARwrWUs3Kp0O50PC/f6nYs3bBd + p95qOpa9Ys1okkRplOqW2WgFK9auHh8fTwTzc97YzNRJmzaNjY3VahUl7sI4ZywFACWFysVRlvSI + pRZPpEdSMzRBkJNznVzu8b27v/71rxMuT9y0sVgq9VhKMQiQC436f/7oHtoKNADP8yKaur6Xsgwh + 1O4F9z/0oEyzVr3hlQq79uyuDg/qlimF4FLs2r/34a0/wRgPrlwxtzBfG+izbDsJo9n5ua0PPTA2 + NtYMOuWh/m4QOHlvttHMWFqtVpfhA4tAO4zRsUjkSABClGau62q6niRJLww9z3NtZ3jlSsF5tVpF + GFPOACNN1xFCWZYRIMviQ7C0N/0O1EiHD8RUk8uyDd3SGWM7d+70fX/L5pObzeaBAwfK5bLn5QFg + cnIyjmPLcpTVFU3SvO0amPR6vVavZxpWrVolEj22/dE3v+3NaZzs3r17fn5+7dp1Qoh9+/ZhCYP9 + AzSJGWVKxYolMQBwnqg1lpJriFiGo2Mt6yVx0rOIjjECsUgVNgxDAKeUaoYOGCENyyfZT6FFKwex + RMhAoL6Oo8TNOa6Ti6Ko2wlGVgx/9CMf27tvz4evvuZNb3rTm658IwOuAbnlru/+zV+/NwxDSzd7 + SbjhhPUvffnLLn3RiynPpiemrrji1VHQ27ThxGuu/vCb3/qWSA8TmoKQg339nVabUgqaLgwRhxFC + qFapvuD8C2Ka3njjjdPT0yMjI5zz8fHxP/7jP37xi1+8efNmAJibm1O95s985jMPP/wwYyxoNpUh + 2PJHo4ZIyuNEicur3rQCC8zPz2OM1X9vt9tZljmOo/bi5Sv28KqGEBKGoe/7juMoJcaBgYFqtdps + NuM4TpKEMWYYhsq1hBDoGP5I/xO/G/ELK5B0XVdsDcMw6rNz09PTnPN2u93qtMMgGhldNTs7u7Cw + gDFGROv1ol6vOzc3t/fA3lwup9ROZhfm87VKlCbz8/OMsdnZ2T179igYaK/Xq9VqjLEwDLdu3Vot + lR955JG851933XW79+655pprZmdnq9Xq3r17X/GKV7RarXvvvfdtb3tbu91mjO3duzcIAsMwPM+z + dac5Hzfn0/pCp6828M1v7JlbOFDwSuUy2b9vV7FQ6oahYSUHDu63bXP3zvTbt3zhrW9/PRA6MTFx + 5nPODGk8MTu+bdu24ZVDm7ds2rVrF6WpAuIPDQ2ddMbT1649IaUiTbJms4mWJPaVXelTe+RoiSD5 + s8dyrrP87F6W/xbH8keSQZZxztkT/kjyWP5I4uj+SOqlj/POD4LgBedfIEAQQq7+u6sZyzDC3U77 + rW9968tfcVkcx6V8AYGcHBvXMSkUCjnbMUE/sHefZNzUdBP0qemJWq328MMPe3m/XC5PL8zVarW1 + 69f9+7e/xRgr16oa6JSzNKPENDRDzwTPBCeaxjnXHce2bd3Ge/bs6avWDE3/7Gc/iwCFaXjppZc6 + jhOGoeu6nPOYJ17eD+NIStnpdJ797GczYI9s365p2vz8fKlQ3LBhw7ve8c6hoaE4it773vfe/+AD + EkE+n1fiHxjhM5955kJroVwsU56NjIwAImMHD42sGo3T9NChQ77v4SVj3GUq13JZ+9Q43rTiSMzP + UsHKOQcupCYRQvgw5JtEoEAVy/8wQk/ALJ4S+DghZ3IJsX/UedRTj3KxLkFKXV/VbxIBlyLjXMAi + BIQJwaUUCChNNVO74KILNp606Yo/ueKb3/n3HTsfv+AF5yNCqoM1P+/N7J3Jl4qAodFpZDKTUjKR + UZZmnJqmqetECAYEBDwJOrW8msdL4vwtSAMRCAAuuGDCcRwMkPVollJiEMuyfDMfRL1NJ2/euXNn + 49Hm4OBgs93EGu4f7AcAAOnknPHxcdd1bdeenZ8FAZqmDQwN5nK50VUrZ+fnwjCcmJqMknhFrZpm + dGpmOpfLUZYZlhm2Isu23ZzPGGu2OsprQUo5ODQyNTWVz+cd15MAumEYCDHG4jg0LL3bCxzXsV2H + UqqbBsKYMVapVaWURNds10kzumrN6pmZmXqzUav06baTME4MM05okjEXCUSAA/d8rx20M06SLJmZ + myGIVHN92NF37Nk5ODgYhWG919l8ysmHDu4fWjl8aP8B5Rus6ZrkIIQQwJer5qdWRwIBWazuF3NO + hLBEwEFW+6qmbqRZuv7E9WecfnrWi5KMLjTr3TAgjuX6Xm2o9PRTn44pN4nmOI7/L/80M9lgGDRN + 84t9W07ebBMdhOQEjawckTqpd5ospadu3vz0055eNBxCiDS1XNFLaNyNA02iSq18zu89J+/mgjR2 + yoWhlcOWYxMEvW5XCCElX+yLIbXp8CP7WoddxJxzLpimE0kAGIqisNGoWwODa9auVptFp9OhNM0y + KkAKwLBkn/dLqoh+vfeXOjdQhjmaZhiG7dj79+/XdX1kZCSl8fTMJCGkVqsJAYcOHWJMFItllbEo + 0imj7Pzzf7+/vx8hNDM9ZxlGLpfTMJmZmcu7eT+XJ4Tc9I2bPM/L2bm+aiXqBlkSV8vVzVs2G5bj + 5GzXdRMWGYYhBFeNHoxJY66xd+/+uZl5llIpEOccSzBNU9P1kGZpRkEnQgjJl5jDGHHOaZYZmEgu + pETqigUFKRHcNO04SgjRTVO3+3LNRkvTjFNPOe2uO+5ybOuNb37T7d+7bcump73hja9/4YUX/+i+ + e6YnZkaHVzz+6M7Lb3zF6/7X6x/f/dhlL3vFXXfeddppp9IkHejrn5qY1InGpeCYjB08tP6EdeVy + WTBOdK2xUE9o2l/rq5Yrk9NT9XpdVdrNZnPjxo3vec97br311quuugoAKKWbN29+3vOed+GFF27b + tk2JcgF+op6BJbldUKbnUioIiVp8NTiq1+tK+1sJ8xxRzzyp24uQqpFUR1jh8Vqtlu/7qrWkdO2X + 1X2PmhT9dALCb9p+cfyU59/iwBKOV6b2uAukIzzalyFVTAhCSJwmumloljk0PIwN/b4Htz7y2Lb3 + v/+DUS/s6+uLY5MAUnI9hb7qNR//WJolas+jnJ24cRMToj43Pzc3xzkvlUqqulBmr/l8Puh2+/v7 + +yrVer0OQn7hC18AgOuvv54Q4nne/Pz8CSecUK/X1Q12yQsved0bXjc5OVmpVMrl8sTEBOc8SHtc + Yo60jErHMW678+uTMzt27doFVAfkzTXcJApsW7fttJeM3XLbN75/951vePOfiize+sDWP3jJxZpB + KpWKZVkgpa7rwEHHWpKklGaHDo0ZhhmFSZzShfmGcvXRNE0RXVQz44iV/Pk2leWKaNEfCS1+CxIY + zXRdN3UjjuP52blyueznPJAoCII4zsRP80fyo6gXhvHAwEC1r6IELiVGIIBypmMCBEshF3MCjNQ1 + gMRybnuU0Az9rLPO4iARgphTQ9MjlnqFwgl5j3OulENd192wYcPY2Fiz2RwZGQGAXN7fd/BAvlSM + ZUoM3bbtSqWCCJ6fn69UKqVCZev99+cLBdM0F+r1GFLACGsEVD0gBCIYEEqSJNa0JIzsfKFSqeQ9 + /5Zv3UwAj02OveLyy5WIBRAshLjn3h+9+U1vwXlcq9VUWbhx40YGbOdjj0kuCn6+Vqm+5S1vefrT + nj5fn3/ve96zbds2QkiaUQ6Scf6HL7n0BedfIBjP5XLFcumSiy7JgF9w8YWaofd6vTTLhoaGKE2X + P+5lDtIxO7gAEgn0BD/oyfm7wsggAYoajYREQiLJsRAAEgmJQChYJIDkAmXSM0xiEcMwCNEx0nSs + w2E9s19el/epk6ifckTqiJBUwmsIAEDV87quA8ZRFCmxVyFEvuifde5ZnIiHDz00ePK7V69ZM9+o + IwdnjLGMN9t1b9gJ4rZumUbemJofHxju63TaTtGhlKYiMRwjjmPLsXnGjloLHS+H6jeTsLRYpqr5 + BgIAwBhTxsKkyxjz8q6lu3EcdpO26ZgBdOeplh/10ihusoXVK1bHKOyidq/XK5fLOyd2VAdqQa/j + uR42AWMtSRLd0uudOh2jqZOCAc1Os7qyur++3827jLEsl83MzPjct2tupzvZC8K+vj6piYB0GTDO + uWQiy9E6X6jUKmNjYwMDA/V6PZ/P657eChp+nx/Fvel4Op/PR2aUpqmbz423J/L5fGhF1MsCo/fg + /q35YjG/Mt/sLuSZ10xbmZVwjaG87EI3NWLN8fbO7x4Y7geAiYUD+X5fw2SyPZYrV3KOGWkBKQJL + sx2Tj/hlf6JzKL/SF4ynLImFAAwIIbxYQi9eDke9XZEmpZQEYQDQiRYbvdjo6iWJiexkjdl4mpo9 + wEAcRCpIIhbQVrtbJy6DItcESWmc4TRxk8gMBUau61I7Dq3Q8vJJkiBdq9M5TbNIHuJO3NMDrYIZ + 4YChE3cb2bxT8BnLwigOtK41ZAVhwG0cW9GuqR21wQGnamYs7fUCRDBBeFmVnMtFJuoTZ7F0DUsE + CElK0pZodbttz8v75VwUhvvre2jKdA0LARIJTTe4xjIhdcyJrknJpVjcCNRYFgMRcpnkhw8ndiuT + UyyPcpOJJ3dpjvozv7JAS1KxAMA5R0gKoUAKiFL6jGc8IwiChx5+eHBwcM3a4Xa73Wl1wzD0XD8M + epJxyzQ1pDGWtusLtWK5vdBCCFWLpTRN0zBmhNSKZdM0W63WbbfdZpqmrut5zz948MDo0DAGVK1W + +2t9QqJcLiexbLViwydSCkm5JMCkaDc7rflm2A0K+TwTGec8YxkyNHWdEkKIrlGagUBSSs3QFVCc + MWbbhpAgFhUYEUJYSgQSpRnlnPl+YWFhzrZdQkin03l028PtVvcNr3ntgw8+WCmVDxw4EHS6uVxu + /959Q4MjjYX6hg0bxqYO7dmzp9cNbr31Vgn8Rz+693Wve22z2bQsC0kwNMIzFobhW97ylo0bNyEA + DCQT1MRGKqiBzc9+6fOKJtrtdkulUn9/fxAE73znO2vlShCFmqaNjY3dfffdX/nHr7zjHe+wHUe3 + TC6FYPwJ9VEhBUiCMDG0NE4yzjw3hzWSRLEAqbhMCl9TKpV0XZ+ZmQEA27ZV//dwGxXVLM7n851O + R70fxtj8/HyWZX19fQCgxlmKg7So9I0AQBJAKjviIDEgsSRuiZ98hN8tnMJ/hzi+AknxtsnR+qxY + IwmlhUq52e3kCv7Y7NTZrhXz7G8/8sGLXnJRu9l68MEH63GHpTRJEtM0o9Y8xrhW6z/99NMf2v7o + 175+Y71ef8e7/ooxzjPu+34cxnkv3+12kUS33nKrYRjVQnn92nWvuuyVl19+eaVU7q/1M8E/+tGP + AsF79uz5xCc+sXPnzm/f8p3Xvva1F1540Vf+7frtj+0QCDjIufoCURMGJnTPbMftD3/yI29781/2 + DZHLXnX6pS9+xac+8YXtj+6ilJ1Qq83M7qlWvGHNrg7pL3vVi7/yjetuv/MWZIkgbQtgvV4XYwQc + GdgwiZlxxhg3DXtmeg5rpN7oIIkBQCMEpEyTBCPkOo48LBtG/4XEFAEQQAQTAAC+WKAQQAoFjiUA + FwiQQTSesV6nSwAV8j4miPGMMaYbBuc8S+gx/JHMJX+kQcdxxicnNExM26BJiiQ2dJNzJgBJCYxz + TAghhFGqY6LrmpRSfayaRsIwlFJ6jnv+hS9IILvyyiu3bdtWzBeSJLn3h/foiDznrLO/+93v2qZF + EBaMn3DCCRdffHGlVBMA0zMzwyMjvSSOM4otI+FZmiZRFA0PD/d6QSKTvsF+fffOTtBdsWoUAx4Y + 6Hcsq9Fq9vX1NRoNyZHhOIau18qVxuy8Bqi/UvvmDV/HgLIsverPXzMyPDw5NVWqVtKM7tm3t1Sr + NLvNil/+9Cc/9Vd/9Vfv/Mt3VAolE8xbvnVz1Au3bNly1Z+/5oxnnB7F4fVf/ers7Gwulyv1VQ6N + jzUaDd3Up+Zn/uHaLwJAkiTPe97zLrjohQLg4NhBL+8jBEkUWrbJl2TWl0eIi5jwo32+EgmEZJzE + luUYmhlHCQFimjalNMkSyzGxhhMaGpYugAdh1y97FNJYxBxzrGMuBZdZruiWa+WMpZawVudGGtML + UZoYxCHE4FzqQCRPbNPUMOZZpqs5IefHSkZ+2QXA8kA1yzIMyND0jGZIgmVZOcdphFFGU4KQZRip + YegEBVHnX7/1VXeVBzkYOaufOKJ/VVUQxCSVSBAJCKE88tQfL5w4DIBzsvbk1/SXN62nBpa/5SSk + J8dP0e3Jgw0AgESZu4QQKQ0hLNd1LUeTjPdXy0IUOefr161BCFVQHhR6ioOUOYxxxcgbhuFChVJa + pDkhxMiafjUjZYxtOmmdbZuMJUOlihAlKaUH+cNH6EVsq7exfs0oAPgwqL4tSB2EKOgl23UEYtVq + gWYcEZzDOSGEp+WIgRMSretbxzNGJJRRv0Zo/6pCWXhJmiWZQrG6CJGCHEUgsITiuiEiAUlRRE6G + CccWAEZSADgA3vKCHFWgaXn9nvo/pZSwBHU2TbNSqezsbRs6vczGenv27Nv8tI1z9mRqeYJDSmNv + s11p5SzH7XbbI6uqjwUPD1WG26xuWVZuszU62NfpdGxbX//00cfj7SuKw6mRdsPexgvWjk9O1Gq1 + TscobLL2ZI+XnAII2eLtLRet37d//1CtamjVwkZ7P9qb6TTvF2Yac6vPHBAgDY2f+oxNj+/djTAm + GKPDZL6WuRZHDyRA4gryVLLnSXt50sy5ZIzquqnrhGY8DKKok7CYU8okkzrSHN3WwaAJZTHXiW4b + NgiUpUzTNIPoKaNcZgipmfWi/iZCCDBw4AIv2Q6v1feOAAAgAElEQVQARhLEr75GOiytUeQcQlCS + JJZlUZpQmuS1XBiGjmO3O51Op+MViv1Dw7Pz9SAIgIFOjF4nYEla8gslrzA/PUej2CEWUKFxrGka + opKFVNM0Q9NZxjJA99+3ldHMsUyaxMCyoYGB2fm5kzZuHl25mmgGlrLdbhuG4do5kYEETEAv+sWd + O3c++sijUspardZq1l3P6YY9pGvr1q9tdNppmxOZUZpgjNGiLLYUnBm6hiRkGVWLLpCERYISAiSJ + QQjgXhQgglzXnp2bsQxt587Hf/CDuzvdZpj0ACRjfGhk6J57f3TSlpN27dpdqZSHVgwWSoV20Gp1 + Wjnfu/ZL/2za1uc+/3nf8bq9nqHpeafQ6/Vyvvfe973nlFNPveLVr37f+98/MT4+NnEoDpPPfu5z + tuNMz8xUKpWw0QiCoFVvICE/9+nP/Ps3vrn/4IH+/v5NWza//X+9/eu33FgslcIkxjSTGGkIc5BI + Si4lAYQxRkLyjBlE0zRNZIwxpiEsECj5uziOx8fHBwYGCoUCQqjVarVarWP5IynxYWWlDQCWZalf + Hx4eLpfLlFI1pFr27SCESAlCCgDAGlHrLcQiv1cdASMpASGk0rafPf6nmvr1xvFPkABgSd5KhXqq + MMYEyIyzjDNd6PliceNJJ/3lu985OjoqBJucnDzlWaeZmskY41wQhJVyYrcdmKYJEp9/4UVRr3fK + Kad87+7vrVixYn5+Xlms5nK56enpN73pTTu2b69UKp1Wu7+/P4nimXTmJS95yczcrGZoEiPLstT1 + fe21137ta19zHEcpX9u2HUWRMrDTNE1aMF2fePVr/yRoBw9tu++E9X1hEDy289bnnrfyueevBQDb + tufmZ0xT67Sb+Qr0Yi4FvuQll0jGV69djQDFcVQqFAq+3260g26INYIIJphIpPgUx200ebzxU/4+ + RovsRYUKyCgNgiAT3PV+Fn8kAk/yR3IHB/sbjdayP5LS1hQgldKolJILwBhTmrKM6rpuWxYhRHVW + 8vn8qlWrJKBeGk/OzcSM8qBtmuYXvvRPr//Tq6587VX33nuv7Tqc86s/9OF22LZdN8qiR3fs6MXR + 1IF91cF+pJOwGblertluOjm31+sBRjayJ2am5usLlmN/6cvXbXra5ovPu/ikLZtf+pI/CsOQJunQ + yhVjU1MI44WFhWKxePLJJ3/iYx83kdnqNvJ+/rb/uC2TPEnTnOX/7UfeX7z//j179lx22WV3fueO + 1atXf/GLXyz5JQLkh1t/aBDN1PRPffwT1XIVA/Zt/8qrrnr7m97OgCdAZ+fnXv7yl+87sH/jxo1K + HsfNe92ox0DsPbhXIaQppa7rghJLOEwNaRkVcKwiGWNsuQ6Wiw0/ohGEkGmauqXHLDZ0pGlaxCNs + IOLh+WhW8/XaSHX1+lUrV48ODA14BQ+ZkgHFRFrM8sPCI/+xXc+0KIoMTdMtw5AAQiq5ucP//boc + wZd9JGCJvbDsGSW5gMWRDsKAkAQphVdwn3nJGWueu66n95KwV6wWBceZ4BxlEnFNAADioCkdW/xz + NiN+BTXSz87SOt7j8YXCXBGiC8E4l4ahGYaVZWmWcSmVD71AiCxJAz/xWwpkAkszbfUJKm1Mxphp + mqZpcs4V/RJ+dhloyaUUmqbrhgGAk4xmTCCEgGAuGSFE1wmAZJwKxrEEExmaJAIwl4JxyUEiggkh + kgt1AYDgqjpSeDhOyHGhKI/VIDhciFKdvpLGck3rpGjD8+nvIYSaeO7AxG5d12u12h+85qIoigqF + grqvqR8/1t6mwD9nvuhZynSl1+vl83nqJY+3Ho+iyPO8577kbIxxtVpVjNaW1hifPsgYq1ar51/2 + +3/kv7hSqYyPjydJsqe9W0qJE2wYxgv/5AI1J8/53hnsjCcNoQ/v1BzP+arfkkvu4YqDkaUii1nU + S0XGk16y9/F92x7YNjc5jwTOFwsspj3GEUOCCV0aCC120aWqPiQmyodXApdClUICASCJhXiq4/Gv + NVQlp26uJ4m7KK1IKSXLGJZQ8PP9q6u7t+9M2yGLU1PXgQNwIAjphGgYaxirLwTAvj17BGM8y5CU + jmWlaUoprZRrff39xWIRAJRyGiEEiUXcgSRybnZhdmYeAIQQUdxTe67jOMQ0hoaGMikmF6ajKCqW + S0lG0yxVeDNCCJKCC05AzT2UarlcPiOlXujYFkIQxeHw8PDa1asrpfKhQwe/8Y1vZBnN5/PnnXfe + lk1brj509Y4dO5Sg/8MPP/Td73739a9/3XXXXdftdjzPu/322w3dOuXUk0dHR9XsxbQtxti+/ftp + lp199tmbNm2amZ1yXffEE09sdVu33nrryjWrxw8eGhwaioPe2NjYlX/+mnPOOeeVr3zlxo0bDcu8 + /8EHPvYPH/u3G26Ynpv1fd+2bWXtKqVEGOuYSJWLIKQaHIcfAQDJY/kjyV+gPxJTgkeEwBLKHRGM + lnooEoFchPnII/XF/yd+s+O/xEE64skLAIonhwHt2LHjve997959e/KFworR4Xq93ul0kARCCGMC + S2CMm6ZJk7RYLNKUpWmaxHGlWn14+0OW43DOXS8XJTGltFQpHxofs12XMmZY5szcbKVUZoyNjY0V + SkXNNgChNE0BoUKxqKA4cZIAQrbjqGEoJgSkxFKGUXjD17/q57wsyRbmFoYGhrMsa7e61b7+udkF + y7E1HUvJXcdI02T/wW1cCo7Bcd04iu6++24/5zUajZ27dz/zWc+yXdd2HQAQICUgwQVCwH+N+j1L + Xu4SQKnacSHiOE5ZZlhH80daosEcTojinGGMlYdAPp8XApb9kZSKj+CL3UcppRBM1zQspfL/VblR + lmUqXdB1vZf1du/ePTEx0el0BgYGbNu+/c47rviTPxufmgzjaKHZWDO0KshC23WjOPr2zTd/6Oq/ + X7lyJZdibGIcI22+Xt++Y8e69ScIIbqt9p79+zZu3Oj6XqFUpJz98J7//PYt31k9unJ09Sqia0Jw + J+cCQuaSsrmh6TMzM67mRiwq+CUAQICEyDTToJB9//vf3713b6lUCsPwZa/643e/+90nnHDC2Mz4 + tddee+ONNwad7nnnnWfn3IRTk5hUUNOyKWQICAay0Gz04sgvFjLB661muVx2fW/vgf0pZJSzcq3a + arXSNLVt2yKEcw6HZyQ/XUNKYsY4xlgKIISYOUsIESaBAGHYRsJ6CwuB5VvFvnyUha7rnv3MZ59y + 5in5mm/7VpIlSZIgTRALE92M41BgScO0E7VNZg7kBzRb001NAF8e2jzxsr8+aukRN4yqjhBCy04X + h/8AB9no1qUpwJTEQjnbxTaKggibOhdMIoYESIk4LGoSseNFsR6TivWLDolVn/4XfzzOQAgBCIak + QIyD5IhRwTORcpCYgLKSVGw1VSAt5w1UZlJIpHh42uKTBCHBOeeISwwcBAdOMT1c7mX5RY91yUkk + AIBhnkEqBRJICCJAaWlikAg4CCk5ExSQlBilgBOZCYkEkoIghDEQqbiZoFRtQCAJUi7OSNFxTgh/ + ygRVIqnohSARy1jKaZTG3QSklIrJGUHsVNwwDMfrE7ZtY0yEJS3HjqJoLpjnnCMXZzrTfL0nQrea + s8tOGIaz3TnHccBBAe/1rx6glDa6TdM0NaL10hAsSBIaolgrGAEPs4CTvF6oLPIrgigglBRHyhhj + w2CMCGJrAqRcmnQBLKJ8l42Sf/bzhUVZcwmQUZFhjJGDNJfk8maWshzY60654EWXX7Jz+86tP/zJ + ow/s6HTbpnTyTh5RghHBBNGEUUGxBCmlhnQMBCEMi/YAUh7t6v2VPpie8qhYBv0ui+YvP5SWx6HL + YwSW0vn5+be+6f+UryrGneDTn/jk/T++b+XoKtUOUJhhtTcp68Jdu3ap9Fptl1EUCSGUJIBt20o2 + bXkkq5q8WZYdOHBgdnZ2uRPheV631xkaHMgXCn19fVNzs0iCAtRJjHRdl2q6wZRvDznWgnLOi8Xi + ob37c7nc2jVrHnzwwbe+9a0PPPDAihUr5ubm1q9ff+jQoeuvv77X6z388MN9fX2maU5MTAwMDHzy + k58Mw9DzPIRQs9mcmplttFr3/eS+hUYjoVQzDCC43ekUCn6nF7zrPX8dBEG1Wu4fHGy229dee22r + 3aOcYYynpqbioDc4ODgxMXHbbbfddNNNlNKEppW+mpKeUgLiYwcOKlngZYqRWtVjQdZVWaLiN8Ef + 6XhnQr9pCO7ftPdzXPHr9EFSrDilJQIIq/ufaLjRXPDzuVar1Wg0spQCAEJEXVUG0YMgaHc7jGaA + kW04B8cPKJ17iYAQouu6qvjr9XqpVEqiuFAqRb1eGEcgZM73KpXKbGNeAqh0Srn6qG6B6qxgjC3L + UkL+hBCioUceeSCKIiRxwSts2/aIYRi+7+/ct6PX62mmlqax41pIiJzrzs3NuU7O8YthlBi6nqap + qem9blAsl5ycywRnggMAkwJJLITAuqYhDfivKsd6csgl2QY4TCeNyeP1RwKM4TB/pAJa8kfSddMw + DJbxI/yRdF1Huo4QWnSON0317HjooYde9KIXKQ6Y67qmac7Pz9fn5l9++SvGDhx0fa9YKnXS4K/f + 856f/OQnk5OTpWrFdp0f3v0Dr1QslErn/P5zwzBcu+6EOI4ppZSzj370o1/+8pcPHDigtAFNx/74 + xz8ehqFlWa1WS9nM79+/3/F9IUSYxJLx+dnZ55x/DmScUjo/P18sFn3fn56bzRX8uYWFdRvWB0HQ + 7QXbdzz6+te/Pl8szExNcyl833dd98db77vgBRcymqUZRRL8Qr7b7kgEaZb6xQIAFAqFhYUFtW+1 + Wi2E0Lnnnttut3Vdz+Vy+Xw+iqI4jrkQaEmHHX4GeXcpME0ZIcR2LIxxnGQccyA85Am32NCK/gxn + Ae+c/nvPvPCFF5YHi+NzYxEK4jRABAtTMMklzzDGpm9oKRKECcyIAZqFsYkkElI+ye3+11fQHxnL + K7Mcy2285ZBIGJ6eQtJJ2sgBjciQ0V4W27aTkQxAKBgDBwSAMQgAcfwj3V/2FqDeEP+lHeG4TmHp + WqACBGCQmiaIFERyxiTGGMNSpblYai5nsSqxU+2S5RJISimIkFIyLAXmAgkGbNmrBA57TB31+pcI + BCDACARHggFITcNIYin5Mp9FICmRkIRhCUCwwJxLJKWQCABrEiSXGZcCaQRASERU0YgQBhBYAuEc + Hc/6HMsYcXFBCAgkOecCc4KxpmmcMZnxgHXCLCSE+K4PQiRRRGkCAEkYqe4SQshwDANrVCa6T1pB + A5LF57YwBdOVE0YsMpaxLBQ9iTgBkkFmmiYm0ONdoYuQhq12w3XdnJ2LsxgAdF9njLWShhpGxTTV + dF1ihDACDE/c+BI08+hb/0853+WjECKTEiOsmJyaq2MX0TSeyaZzpju0eeAFI+ef9fxnT+yb/PFd + W6f2TheckoZJq95M07RSLQOTwDARBEusSDICBEYgJcYgBKCl8dFv1BDpyFiklCxFlmWC8xNOOGHT + iRuvfNUVvXZXQ7h/cGD5UbZcR2GMwzCcnZ1V2lGmaWKM1e7Z19c3PDxsWZZSPVXmECqnUr8+MzMz + NTXF2OI9pWmG2h/zOW9oaIhSqiYbtmlmnGOsGZoOAIyxw+rhoz8QleNQqVSyLGvPnj0rV6589atf + PTo6esut3x0dHd21a1e5XE7T9M4771TujlNTU319fYVC4V3veteXvvSl7du3KwsWRLR6vd5sNtWb + zLLMzTlDQ0OvetUru93uHXfcsbCwEEWRZVm6rlPGmRRJkgwPDXW73VqpfOKJJ/7F297+L//yLzfd + dJM6fSX3pWmaMlkZHh5WTXAl260qQPVaR/+YMMb4qP5I1V+qP9IRz7cnUCS/5V6r/93iuAukY6X/ + 6iJWT/+UpnEcp3FcqpbL1VKr2wqT0HRMpROg67oqVzDGAskg6mVJarmOTWS3GwBCQHCxWAyCwHVd + IChMopTRMInSJA7CIOe6hmloCFNKJ6cnJcGaaSAElmkqQ1gOUkgRBKFScssYI4RYrhPHcblU7XXb + +Xy+1WinaerkXCllQuMojcp9PpcMhallo3q9ZSutIEfvdDo040Y+r1TIDMt0cm6z3UozqmAPCunL + l7Szf10P9eUs/En+SOjY/khPjsPnSBhrSUIbjRYhupo7B0GQJFTXdaJhLtjyDwuhZMYQAmCcY4xt + wwCE4jhGGHeDIElTTdfDKAKEGOfDQ0N79u1FEuJemAkeBAGTIqZpZaBPCOHk3BO3bNZNs9VpU86S + jKI4onEMANVardPpdLrdwaEhxlin00nSNE3TkZGRycnJtWvXNptNTdO8YiFOUs3QW42mZZobV510 + 6MABQ9MlF/lSsdFq2TnX9XJhGK5atSqKounp6YKft103jKMD42M6IaZt53K5/8fem4dZdlR3gudE + xN3v23OtVbVIpZKEkIQEjPACkrEljCyWZgdhDcYspu1uQ7dNtxljf+PB9pjN5jMfLfBCj6Ebbxho + 94CMALELbai0V0lVWUvu+da734g480e8fJWqjcxCJYlpR+YX38uX990XN27ciDjn/M7vp7LMC3xC + iNMkzTPf9QZxBAwBIaxVDx06NDk5aeblqampNE3n5ua2b99usrCCIOj3+0SUpun4+Dit3p2RTXLG + cA1a3EIJHLksVVYONGonsIUnMgJFxaH2wT2XXvCmN7xh98XndwfdRxYfCWqOJAkWOZ4riKu8KJVE + rqOoV8Nq3Wk6gc2kKKjMy8y2bbYmFeGcD8p1lLU5smxNGf0Ja3uMUVLGUhQ8AGmpQRYJ2wIfU51I + LAEVB0DiCiUNydv0ambs+su5tRj1Brd9G5xPNuydGXJakjbxEIklEgKC5vq4mxyIhjwaawwbPN5V + pI9PCMY5hYjmTc20mYiODzmCk7RVj7deogDgCERaCQ0cQKAAw8OGGjUiotZSa80BARmhXDWekIEg + olIrKSXjQiMRoGFcMEzcDDVHhevuJUanHQ2MM3PhZh4wSxsnXgurpCnKE7vpKKVmB/OMMb/pG6ht + lmUaDQJao6UAVBRFoROWrjIbZVd4mcraURcRvYaXYymZ9PzAkHOqkvoyEo4Y5HEYhrVqo1AFAMQ6 + TTBRStXcmrkxBOhUvDhOozJCZJzxtZAhACh1ccrrOsP10iqK0jjdh6dysFd2hRBexVOlXMj6XHLb + d6q7woumLmxONu765j0P/eDhfq8XBhUnrBrZGqYBFCAJBoCAAoUmicQImaHcfCaXta4lUpq0JiIh + BONicX7esMjG/YEqSlmWwWQwglcYdCIA9Hq9Q4cOOY6TpqlZYY0o6q5du8bHx8FoDa+aVSZIAgBS + yscee8zonZhEX855NOht3bZ9ampTszlWgtRSITGLcyFAalVmhUbgnFmWBUprY36eqhjOpG63G4ah + 4zhSykaj8brXve6tb/vVe++99+///u8PHz68vLxsWZYZAOedd16SJPPz81dfcfWmTZuEEH/wB39w + ++23l0oL23Icx8gDDAaDTreLiLbrXPPca1/5qn9z1113ff7zn7/zzjsdx9m1axe3nAMHDiwuLiql + EqWXlpZ279j9kpe85N3vfvfnPve5v/zrv8qybHJy0vW8fhyFYXjkyBHP8wxx14it+wyyGcYdMIrd + jfgVngJ9JHOGkYk1atCG4hj/ak09veXJFIodEayZ4etYFgBEUTQ2Oea6rmH/MMzXRhk2lhHnolqt + mtHZjwdSqc3btrbbbUMRPpTXZGxiYmJII97uMM77/b4QohZWSq1cz3MDf3FxMU1TE3g1K/Hk5KSR + hTbB61qtJqXM87LINWckhBtn+Zaprf24nySJ63srnTYxBVrajlAapGa263FmCYGW7ZZlmaYpapJS + mrScSqXiBr7WWiqlcY1z7mlKq1sb8adVImmNwMRp9JGyjE7URxreRDiVPtL8/KIe6SOtXqNUykI0 + EWoD2zNUYwDgeV673Tb2g0l2rFar7XabSNerNWFbcZpsmdhy730/nF9caLSanPOZI0cqlUo+6MdZ + 2hANz/Mcx/E8V0qZJEmplYrjTr8Xen5Yq9pcJHnmuq4b+PPz87ksVVHWW83eYOB5npkKZ44c9sOw + yDLHdSYnJwlhEEdMcEKMkrjT7209b3uZ5ZrIsqxt521P40SRjpLEiBcHSlmO3ZwYl0UxiOMsSWzX + LZNk69attm2b1cK0zeh8m4FhboFxp8VJckp80Znuo0YhbCIlpUSBXEBBaS9Lev0le8K//tUvvu4V + 11tVay46JgLLqziZTIlpiWoQ93WhQYDlWLYtbGFjjlmZRWnkl4MizM2gzZN8rXU0GjZPVyjphK8e + WexrX69ZYzR3ObqINqCjZS6BEToil6XlW4DAhwY7GHUnIkJGGokR06jXUY8Yh9Z5/EZrYIYrjNZV + jwo7FSfSqWrGCDbUKkECOSKhRm2BJUGqUmnUFrM0Hv8hJAaMGDE9/CwxEigUKNQoSQ5ZnCQSkhBi + mEDNyCLLHK9AceIKlPnsKdtDDAg5AKAGLhjXYCEwJEZMgQbgxs/AgTNi3LDVI/DVaDaiREQBXNnM + vGVkoAiBGJouZRYAABIj1D+yBjhen/BfINCgkBgycNEl1FqS0uXR5WO2I9IoHZscE5YgW9u2k0OW + JMr0Erc5asxl7oIrHCFcvhIt61KLgCsFSR7rUpNFnu2hgH6/p0B5tteOYw7cCz1F2vYwzwqd9zDn + UhWC2wTKr4RKl6nKijIL/IoSsqt6sYwczzdKlhIlatRMc+DEiAM/uf9HmWwnXy9pItQcBXLgIJAA + NEpUGkvkkMssTiJEDCsBRyziDIj8WnDx1Xsnpydd17372/dmSRra1TRKmOJCW5yAExEwxoAj08AA + 1LBvAZ7J4SOkIdPSyO2FmoggCMK0OzC7ZOMscBwH1myyR3KCSZIYMWUjH2+s61arNT09bVlWnudm + O57nOQCM/LBKqcXFRTCMlEVh1rharXbppZcavjXhCtu2k0FEDN3QlQYbwpCIQHB2RihatVrN83xy + crJarbZXVgaDweLi4u233/7d73/vpS996cf//ONz83N/9md/9rWvfa3T6UxNTR09enR8fLxarT58 + 6OHf+73fu+iii37jN37j//zA//X5f/ynr/zLrQ8//PDs7GylErquW61W4zjetGmTAYBcc801H//Y + x5M8+eQnP/mVr3xFA9u7d+/RmcPT09NZFDcajaX20rve9a5du3bddNNNn/3sZ+eXFj/ykY/c/8AD + SZ4FQbB169aiKIYJuqu5cGeQzVBKyfJp0Ec6YV07vuA+OWPwX8tTVM7GQDplkusQNiAswbgsCkOx + MBgMKrVpm4tkkCSDhHNuBJiN8W2IlZdWYiHE1NRUt9v1mTs/Py+1sl1nbGLc0CUbIydKYiGE7bmO + 45ikFxTctu1+vx+lSVEUExMTBnpryMENFQFjrFardTodk9XX7faa1YnBIHbtUDCane/EcVStVl3H + LZTkFpLSyJxa1eHMZ8CKHItcMUaMsWqlprQUaGeG5Zl0UZZGyxkFBwDjHFojyvaUFkQg0mRENgG1 + 1po0wun1kQBM7P64PpI+nT4SnFofyRBccmE+aOZr41kkIiPv6zjO/Py8yYk0jOfVsNob9BljH/nT + j95www1xmkxOTzme1+v1LMdmgru2X6nXsjxPsyxJUy6YZVllXtSbDdA0iCONILXq9/uWYx+bn/PD + IOoPhG15nscE932fiKrVqizLXrsTeD4TIkrilYcfarVa3W63Wq97gd8d9A25jRmQHBBy5lp24Afd + lbbre+OTE91uFxjr9nv9bq/ebFRqNcuxEaHT6ViWFYRhlmXtTsdxnFqttrS0FISh1rrX71drNSml + WrVUiZ2wyz8txI4RKEW2sNK8BIuCij8ouoOy6zadiy591i+8/Oc379nah26RFDkrtCLOmLCRcQRS + lhAisJBDWqQr/b6N3AIeWtVqtToGE/V6g6FIkoQRG4GjnsrxebqyFnyoV8sIUnJCv5lbnxV5d9Bl + NgNOkiQRoGBpkQJKrgGASTBQ8iEp1gZMmOPGybo20BuvAc7KQFrn8av1BlrFgHNgpIBQk6BSFUVe + AiPFlQYFGk8+XoNiwM1r874xFYBIlRoYIaIGJQsFjASzzHmGxzAaboJPOjMS0wxKlJrIIhQASEia + kDRjTNGQlt34XxGRmdyaIZ20NinRHJAxwUwsCwBWVYwIQREAkORq/f0zMpBOHivmhwNHgRYjYkRE + GlSjVXfQih2HMewNekygYzmdpC24hQSe7wnGpVa6VEVZZEnqu0GjXrfAziEbRBGhtrhtOSIv817S + VaWuNaoVVhUuL/JS6lJqVUjgFguDoFTKAgbE+oPIcV1CXUiZlxnLOXLQoNzQIwJAUlppUqSBUCtS + QFSoU4yTkYF08vWCAuDAgStQxsQa9gNnSGDbtuvYJRWpinPg3OJFViDHxxcPNBtjb3z763fv2f13 + f/OPczMzU62tXApLWSAZFkBaK4UaNOOMSJ8QX9WIT2PWA65y5Ws8/muaczy4etwjqWVemHlVSlkU + heDcuP8N1F8IYdbHUaJLlmVmU66UmpiY2LZtm+M4I/eiIQwwWQZm92IUeAzvFCK6rluW5YV79oZh + CACdTqfaqHu2VwlCxcBxXEW61KXUSmsNUoPB7JzGQOp2u4wxYGp+ft4SwnGczZs3h2EYRdHHPvax + b3zjG3v27Ln55pvf9773ve997/va1762Y8eOY8eOXXzxxYh4zz33PProo//wD/8wMT315je/+f3v + /90DBw68//3vN5lUhw8ftm17ZblTrzX7/Xs/9KEPffrTn77xxhuvu+66l7/85bd/6zu33norEc3N + zcks37t3b7VaRcQf/vCHH/7whxXp17z+df/1L/7rsaXZX3nbrz722GMyLwyyDgzUc5XH4gzLGXtG + 6SNt0EQ6i7SZDZWNRqjOdXueaWVjBtIZoLRnAsoAACAASURBVAnGq2GeZLPDDsOw2+1YXDAmapUq + AMSDiDFmcWECxABQr9fLsjQci4PBYHx8nBcSGBruoyzLENH3fTPFMMZkUa50O61aXWu9uLhoO06l + UimUNACGubk5z/MMFeNgMGi1WktLS91u1/jOy7IsC8255Xle1O81m01EyViVcbHSGaAgbrGi1EWe + BW61LMAQjoe+n2QZIgohusvdZqthHja1Gk4xppqZ5owr6Me4HWdfRuG7oT7S6p8EUJalZVm2badp + urCw0Gq1KpUKAAwGAxMgZqfVRwqTJInj2enp6YmJCaOPNAr3m7mg1GoUUxZCBEFARKO4c5IknueZ + Cagsy0qlkpcFWoIL8ZXbvnrrV7/q+t7MwUOt8TGttRcG/cFAWFaW58hZrVFP05QzRESyrSzLDA4B + EeM4thzb9/2FhYWgErbGx1ZWVoIgWF5e9vzAjJyyLKc2b1qcmx9rtrTW9Waz3W43x8YGcaSAarUa + ASRx7HueWTZc111YWJiwLWaJQsm5xQUiClyP21alVs3KwuYiGwyUkiZQadKfTBctLy/X6/V+v99o + NLIsS5KkLEuTnoSCr3VEAZwZYgcMUHBbUl8raSHrph0I1IVXPvulr7le1EXCIvA02KBKSUITopLA + kQNqpdVwJbZFs9nkGgJZYREMBnGn6OTuJhFagRukcfIj2/BUlrUIT1glaYBVc33tMWDYoqQMPN/3 + /ZJLjqRRSwWMMQYMcPjscTR9bqgFyOzs1lePilHAfNJrTbgxVrq129Z11xtrFQOUpA37PEdD4I+M + cUZAiADImDAkDUQKkXNAADbEGQEyzgUyY+qWoAC0JSylQaFmiEIIrcFQfCIzlA8MTITv5JYgGFZF + C8DhQgCRkkDIGAIwBUOeCEQUKECT1poDcARm9E+VBm30XYCxoWkEQzJjBggKUTFzUevtH64ZIAIx + /sSaCcE4IHCly7IsATVDIYTIsyyWfQAW2B4RWdwCgCxJW62wLAERsyJVilzX0QKKIlNKKVWmlOV5 + almO5zlSao5YaulYdlCt5GV6tHPUdW3bdtM8C/yKVAUAaNBxHNuO8JxgmHGqpGVZXKAslWULRASl + i1IxZsg2wDB9I2MAGvipr5fRqa+XWxbjAMSkKkgj48BQIKIqTQquVKgUaC4swYTWZIWWJvIaXpam + nbJz6dWXWL79nX/57v3fu9/TvoWerW2LLE4CGXDkGk5wLLJnuLcdn7i5NJyNI4ipEMLhQhWlmbJs + 2zYJCMZfKYTwPK/f709PTxucy+Tk5NTUlNaaM248bkqpPM/NvsWk9Jh13LKsdrvt+34Yht1u9/LL + Lx8MBq7rhn5QqVS2b9vWmmgJ21rutHv9/kp3eRDHDJCtBrVOdzm+7zPGLGBRFPm+3+/3TaLRYDBo + NBr79u07cODArbfeOj4+bpDkCwsLzWbz4MGDmzdvNjs013UXFxc/+MEPbtu+/cILL8zz3GztJicn + TdCm2+0eO3ZsbGyMiD7zmc984QtfKIqiNT6ptd65c2ccxzLLy7Ls9/sG4Le4uBhUwltuueXLX/7y + +MTEoUOH6vU6B1wbojkBOHpyYYxZljARnjAMOedpmhKdc30kWGNlmRYOl7NnysL7v2LZqAo8nDXE + 7hR2pBqiqIUQZZ67rpvGCSJjxJBY4AYPPPDQ1PhEUK0cnTkUVCtlUbquW+ZFFEW2bXfbncDziUip + UnDLtkUURauxaduAzrUGIZiUAKARCZHCit9p95rjYwsLC4yxsbGxsiyXlpZardbKykqv1zMJhSYq + Wpbl5i3TywtLtuCKItutRXEkSwgqrUG736xX8zJhTFiW0+30x8fGOHrLSwuO49jcLorCb7m2bcdR + wjj6vi8sVpRZq9VaXl6WiW40GlJKLwiX2iue55knCtfkBZ1dP6+/4CoHy9oHG9ak1RrbSUrZ7/cR + sVarmbRCg3s06Vv61PpI1qo+0hbfd48cOcI5d107z3MAZgtHEhVKAoICirPUWE2ccxRckmaWMHOC + 5TpZWRgfSqEkADCAKE3GJyc0AuNMSul53pAsCCFN09HlIKJxnhlby5B8pGlarVZNyqYx6sIwpFVl + dxNS98IgzTNAiJLYdp0kSznniFAUBQEYj535CsOrm6ap8RzajgMACkgWBSIwzhUQCi4EU0BKlsKx + JWmZZ8CZ4Hacpdy2+nEkHJsAhGOb4+Eka+TMYDZCyMrU8ewc5Eq07DTcXCS/9NobdCATFilbSa4U + KHJKCYSabG40iIkxZlLOSJKUUiniRVYVdYfZge0XcVlxqzKTUmrjfjORQ+OkNNG/M4+uteuQWWtH + gce1iO21WUPG2QYAayNCoyPXumBxFedpchTNpwxlUK/XMx+RUtrcJgUylzLTVsXilsjKVBalQGbb + NsFqpgsxTcCRcRT6LHSNhoxweC5qonNkep1ljcRAaQsEoKZCI4LDbAANCgHZsOUKV9sPRGsT6BnA + cb+6VpoDB0BZSACyuQ2goSSGx7fdAKZv4ZT9QwCIHBAEEZMayUDkQGsJDDUQMcE573R6zVoLUMdx + PNWcUFAO+n3fc4Tr9DvdSiVAgjTNhBAm90msRgMkEBKnjfQPN9x5J9eEoDWBZoA2s4amqdQWsyyb + A7A0T33HB9JpntYrdVUoBszUjDFVKABmMWv4XciEGwAwVSgEprU2vVfkBQLWwhqABo2+5VGpEZlj + uWUhPccH1EVe+m5ACjgK0MiQ28IC0qrUiMzlYjieYV2jmp3uehUY2n0ODICB0kYryFYOANMoNVM2 + M2FzzQCVVhLKQTKYakzncZbkySXPv9D1nSMzR5cfW7hw55ajjx3zwJ8em24vdGzbRrbqBsEzRQOe + 9sJWlyQzpwlkjIac76TIdz3UBJosxouicIRlPmXUdYztZFYcwyttmKY3bdq0ZcsW27YN4qDX673g + BS/o9/tbt25dXl6emZlZ1RgUtm232+1Wq2USia+44oqikFqD74WP7H9MA/38z704K4tNW7dEyeBL + //Of/+Ef/3FiavLI7BEhhNkdpWVhWRYyJCKplQEEGgw857zX7QFAEARHjhwZge2TJDGmhTEPDJ2D + MYrGxsYMF5H5kxjmebrv/h+utJeULh3XJ7CM39wwT8hSd9q9bdu2ZWkRR6llWSsrK5zzMstbrdag + KOfn5xcXh2B+szPJZbl///79Bw6EYVgUhTC0h6suM1izQzjdLTNZRmbzM6JzeGr0kUbNO/76VJuB + M9uu/1qexrJhA+l0RpjrukVRCCHSNG3Uav1+XzA+NTGZxqnF+0Swa9t5aZpGnV6j1gwr/kq7azGe + xkno+WNjY8eOHbO5YIBJklAKAFCtVsMwNKxrJlhhWdb87NwlF1107MhRANi8efOhQ4d27jp/kMRb + N22Os3T2yNGJ6Smbi3a7vX3L1qX2ikCWAxjJMJuLxbm5sebY8srShRfsfGT/Y2OtrXnKuiuyXt++ + srjoeAEpaDTH88GyysT8/MJVz73i6Mw8gbaFs7S4kkbprgt2HT4yY4IklmUdPXp0cnKy1x30+/0w + DOfn55vNZlYWo22fmetNVPfHuU8/TsFVUXCzeTThNaVUGK5HH2kYL8KhPpK3adOmlZWVkT7SEGSI + aBxj5ovWJt6csNqtpbrUazAza/87wtKcRYbik5vgSyfV59qryTkmeVKphaXMGINSFG9799sGNKhW + Qy54yaTEQqJSQISaM4ESGDEzueIaXUUOwICjRlJEGrQkXUitVZkXyIfWkfForvWWnaGceB9X89xG + 1Cx6lR3EvG/4hUx64cn0qSefduQUNKuFCVGOjKiRheYwiwGvhrWo6A3inhv4Fa+W66JMcxCaAWPI + OHIkAtMeZLh+xxGOOB3YOapNKGONkfC01mDiLAzWVw+to418C9IG+ocIEIERY6T5UBoHNOqhFYaC + MVYU0rV8l7mkpWJ+fxAxxoC4VMg4B2FpxpUulUBkmphR0GaAGokxAg5AwDbW/mHO0jOiBmD8XI5P + Y/qusz1Mc1taAEwxrkghcSSlmATQiGgJXtq8hJLbqJRSnprYOfaz1/30V//pa/uPPtKsj2FMcRpZ + vk1KK1KktUYgfBq1MtZV2EmrzCl15AybuUnQVauFMeY4TrPZvPTSS020wbxTr9cty1peXp6bXUDE + fr/vuq6JVCwvLwshzDb9kksuMXOs1rrRaNRqtSzLGGPz8/Mri0taS1WU4+NjY43mYNC7+MK9B551 + IC+KZ1168U//7M9+4hOf2LlzZ6fXMcnJiKhhyLoBqyYH3wye5y0tLl599dWf/exnv/nNb+7YucNs + DyzLMuGghYUFk9RNRFEU3XTTTddee+3jjz/ebDZ7vV6lXnF9b3F+wfM8i4tSyU1Tm79x++2f+uQn + 777nns2bNo2Nj++77756o+G5biklMNZut7dt3iKE8H0fAN7ylreYtcAkAhjX7abNm5faK0KI4wJH + J+lDbPQ+PgX6SCbjYO3yN3IgrvVcP5M9Av9/KgaFvqFyNhGkU8IQi6KI47jRaIS+P3dsdu/evf/u + 138jCIKp8YkwDPfte6DRqMVxXBTF+NSk49jz8wu+7wFDw8ewa9euw4cPX/ncq375rTc/fuiQ4zgr + KytpnNTrdSOgVqvVms1mq1bvdDqGTzyLk/Hxcc5YnqTz7WPn7dgxMT5eDcKJ88aFZS0vLa0sLk1O + TNhcpElSq9c1471u91VvfdsLX/jCxYWjjlsJvc2DAffcqeVOtPv86fnFji0cUlIwTapfqZQzhx+d + HJsslOQcJ6cnkiSKs+g3fvPfOY4DmmxhIWnHErVqGEURkHJWgQ22ZSlQSikhuJSSQD0twDszD47+ + HIWzjIqu45xKH0nqYSCCNODx7FLGoNfrcI61Wk1rPdJHQmCjffZoozyiyjhlqwifsJycbsSeNX/L + CSc0w9Wcbe3QPbtF+CxUJjdyISSpkLqQlGlLRdng+ldef9Fz9s5Hxwpe5LyQkEtUGjQgMUQGyIgx + 4+UFQM0BYMhrTMCIgSbUOBQH1MO8jNGtOZ0Re9rGraE6XOvAy/N8BB0ZhSXXYuTWvj5FL60JdZoh + ajYTJiL9hNZq4sBlKlERIMpCMRctx5akC5UhwiqZIzPZ00jANiTNR6b3zmHQBsEEUtZbD8Mdp3Tq + Pwn1xp4CHHbSBr4FaQP9gwBMM0bAR/Rxa2YvpZQtXF2mFSvEnFjBa1Y1zTO0BBeOVloqUEyUyHOS + YFkayVjVoIkDIBAAQ2JocgHWfxeAMUL9zKifmtDiOtvDiTEtGHHUhFwiIRJjhBoVEWkgRMjLxAJb + cjVQHafuP+9FV64stb/++VvRQ9S8F/erToUAThfofRpZvEx3MABGx3/PsA0/+VEy878xLYwvCQDM + 1r9arRoib0MMYBKKVlZWDh48eMEFF5jUo4WFhdnZWZNxZBgFdu3aBQDGZWy45rRSzWbzB3fe+eij + jz47vJwIkyiem51Fjfff98D9998/NT29c8fuqfEpIeyZmRnHGyIjAIBwGAczJpxt2yvzizt27DDx + k6jT271790qnbYAGxhl6/fXXHzp06O677+50OkTUbDaPHj167733AsDBgwevuuqqRx55SDj2S37h + um9/77u+4+5//DEq5Auufv7+h/dv37ZNl/LA/v2hF1SCyqDXe9G11waV4P+99SuLi4vz8/O7tp+X + pmmz2TTwQkTs9nsKyBA8vPHNNx05cqTiByd28gk0ceu8uasEG/TM0Ec6XfufaSx2z7T2bKg8nTpI + BrXVbbcRMQgCQyL3kQ9+6MMf/Ejciz78xx8C1IgYRZHlOEWZIeL73ve+iy+55NWveRUAdDqdNE1v + +cu/CDzfeER83280GoY1v1KpdLvdT91yyy233HL3nXetLC236g2t9bMuueRjH/vzl9zw0nqtFkVR + r9f79Kc/TUSbN28OvfA9v/Weu+66y2Vsrt9niFGSTLTGf/7aX/z93//9xw/sD8OJuSNy85bn9Nou + 49UoiScmJ/c/+ojgau8FWy27f3DmW1NTbp5FhHppafEP/vAPXn7jjWmZDLr9lZUVRMyypF6vLyws + +L5vMT7o9iYmJrqDSGltkKwjVJtBDz9ZXb2xosmgFwCO01Aa38ZG9JG0sYJW9ZFquKqPZAvHtm2T + fjqadEZ76NEkYs4zkts7czkLQ3/0wWdUIQS+QceWRulURJQPeqqz+4rzr3/F9cc6s+FU0M6XJJSa + K8BVn58Gpk2fshFzsSE0Axixr4MGYNYQt8YYWmApkrDGTAIYQkRO16S1BgysieeMoj2jUBIAGLij + IU4lIsNCOWKqPUUXrYkarX2tV3P8RsfAEI8HDHDQj60xUQ2qjIksTpgtbNsGJE4aNBJoQmDAcXVH + sxGuOdCI56he3USttx6alSNuhye9BmAbESbQCIAaN/wt6+8fAEMRNhoesApnIypL5TrM1pbP3HQp + 8sCqhpVQ+JqhQhrkfcaEIGUEmLglFJoQIiExM4oNhTSjM/X56eph+GYd9UbPvKH6KRuf67tSI11F + gJoREAFoBsAQQZFShRJCaNAlFGRRN+taKh5vTD3/RVfNz88f2jdTd5tFlBfkMcbMd6LhGvxJcKjj + SXTkw506DGnuRvtvACiKIggCg87Ksmy0whpgswG5tdvtlZWVbrdrOADMBw04zXXdLMvMZt0gzxEx + jmOllOe68/PzMzMzZVkGQUBKl1neyXNC8B33oj0XHj529KeufsEXvvTFpfkFSVIkFiIQQ621cU+b + FCkAkFL6lvPwww/Xa7WjR4/KNFdKjU9OIGK73Z6YmHBd1/DXtdttE+ZaXFw0ikZKqUqlsn///jiO + t7Vav/bOd/7N3/yNbVmNZjOOos7yylizdXDmUJHlm7ZsLrIiSxLf9V587bUHDj0ehuHK4pJJ361U + Kp7jWpY1MzNTr9e5JUyGuRDi8OHDQRCcQMM96vaNInTMXuip10fSG8Hb/2t52svGdZDW7HFPuLdm + ZJjx5LtepVJxXf+iXXsFYL/Tl7KoVqtMM5mVsigty3K5Uw9rl+y56NlXXH7NNde89a1vFYBFmh09 + fGjLli0AsDg/yznfNDVxxRVX3HjjjdOTE4LhytJCo1Zp1KubNm265c//C4KYnph88MEH5+fnr7zy + yj27zr/u+uuWlpZe8YpXvOff/+YrX/nKoigmWmPJIPIdNxrkaaTmjvSv/7lXvetdv/Xb7/nk9789 + NzX+/JnD0e7zL+wudy/a9ryV5UNFt3z0yHd/5//4wMc+/jtf+MLfKZ28+93/vhHWCajdbgPAWKPp + 2Y4thG1ZsSpVmderjXqt0mi0Sk1plhnGtlHw+ulibjDfjifrIzEGp9NHgjXbX2KkwdBSmc9mWbay + ssI5hqGvdX0wiLOsEMxigkuttNndMFQ01FtYC0472cN2CpfbCMlGpz3mzOXMHo4z/3dIkLXmnad6 + oUYNTNseS6VUKN/4ltfnmHGfpTpRHLQAxjkYEIpG0ISarYXVrW04IWnUGpQGxQSSAMXUUDlzjXjq + hmJHaw9eO7ZNKNLAaw3bvhFcGolL/Mjw0dovGn3LaBiuPZKIpqamzLdblsUskaSRJRgTDJBIk0G7 + aABEYIgaNQBoAEK93np1lnvS67Mr53QQnkWrzqI96+8fNgI54vCDioFC0AikkWumSwhtxwbVFGG+ + mKNCEoy49phVrdSp0FoB18Yg1wBKA3DGlCaxuqc/10RM5278nOt6wwU18MKQ4GnzdK7qCHPkUssg + CKSUaZHbtk2syNJsIKOJ88avuf6F/+WhTyohucuJ6zTODSM2ABCO8jSetkXzDOVkcN0T6PgBT5jQ + jG/RYK5olQltRJtmWGEdxxkMBseOHTMCJ8vLy9/73vcMAEwI0Wq1DL5jBMkDALPzBgAk9oM77ur3 + o0qlduTQzG233dZoNZM8czz7hz/84YGDjxPClZdf+eGPfmTXrl0X7L3gi//jS1orbltaa6AniHEb + pqKVlRXWaExMTLz2la/au3dvnCbmQoQQs7OzL3jBC+69996yLI3ltmXLlle84hWGy7vb7dbrdd9z + HMcCrTzXroa1o0eONGr1fq/3ule//qJLLl5eXPbDIIliPwzKvHj+85+/2F7udDpjY2OWZfV6vcce + e+zeu+85cOCAYWByPHfredullGaJqdfrUa8PT1wmTDkDivuUZeS0fer1kcwUd0Lg6yfCKfC/YHnS + 5iBDWWYGehLFBw8ezPP85665lpRGAJdbzUqDa8aBe8JthPXQCbZu3uLb7r4f3k+F3jQ++dJfuP7Y + kaNjrdb2rdvyNOOcB0EwGAyMgJrvevfff//O885TSiVRfOjQoV97xzvf+a63lVQcOXIk8PwLLrjA + Ftaj+x+dOzY7NjY2Pzs3PTXNALXWpDRjjCMLfa9Ik1a99o53/Frco5vf9CsX7PzfUG65bM8vPXxv + mfe39pYaDtsV94LAmbrw/Evf9itv92y/Gta67V48GFhgccY8x02SqCgKy7KiqN9qtRzLbrUae/dc + iEhbtmwaHx8fudXNVPh0GUiMnqCPZOC8JtoDACN9pCRJ6vX6xMSEifibY3CVIsacynx8pI9kUMiN + Rg1X8wtH86xxq8CaZ37tNvdHlh9n92I8xCf79n5yilakkjJRWF559XN2X7R7oTcfNINeNtCWBmZ0 + KQE1cs0sbdlknWDyGRA/IWgkYCRRadTEiFBKXZZUjiiA1sKpzzA7r53BT2m9GNqPbrdrVDvMYm8C + v7ia/Laeu7+2MWbdOiV2gjEIKmGtXjFIUcaAWcLIRkldEhEirY43rUgREiFpptZbm+MRzkU9lOXZ + 6C9u9JfWXQOhJtSrL9ZRw4bOP6zX20sAimnFtGK0+kIrHAaibCE4MczJzuG8+vT5rW2Dx+aXf3i4 + d/989OC816ftYqqJoS8tUTJLW1wjamTEkIADIhmGcSCk4VWcs/ocjZ9RfY5aojd4pYrpQuS5lRai + lLxUTOohvpdprZGQAZOk8zJTVFiOcEOHHJXqZNezdm7dvaWgHG2wHFHIXJHUWpt6A/Pl01GQTuFo + W/sOroFvmeC8UTcaqbsCwCh702ydu93uwsJCv993HOfo0aO33377N7/5zdtuu+3OO+88dOiQCXQY + a8ow0Jp877IsTTzHvD58+PAd3/3erV/+ypf+6Qv9bu+1r3mNzcUv/uIvfu+O795/376LL7548+bN + 1bAygoeMlmkjYGjb9tLSkpEtOnLkyOOPP753795LLrnkjjvuuPfee/ft2/fggw+2220DyTMZy0EQ + xHH8qle8amxsLE3TRx95aGlh8eEHH4rjGDRVq9VrXviiIAhkUR46dOi8bdtffeOrv/3Nb919993H + jhz99re/ffTwkW63Ozs7W6lUiKjdbl911VX//M//fNmzL9u3b9999933/e9//8Mf/vAnPvEJo1Zk + GIlNQtSomHc2ehMNis91Xd/3TcbBSB8pjmPf91utljFKje9vxMEAa/Yz5v7GcWxZlklVMOqI4+Pj + YRiazZK5d0bSExHNlux0q+q/lmda+bEgduaumltt27bv+0a2aOfOndu3b496/aWFBVVKpZlruQxZ + lmccoUwy4QgiOvTYoW2bt115xXOSKAaNvhs0aw0TYB0bG5NSOpY9OT5RFMWXvvDF//nFL330ox/t + tjsXXbh3fnZuz549733ve/M858ie/axLDz72eG/Qv2D3+dOTU8+98qrv3fH9N7729e2VFVtY9al6 + 4PntbgcJ/Ko/Mem5fhons65z3vbt9nhrqn0MHz02v33Lpcsrbd9xiyzRoNvL8y/8Wee+B2LBkTF+ + 2WWXNepNDsCIua4b+H6apojou57FheNZgvEjMwcPzhzZvnNXJQwH/X5ZFMYTZglRliXboIfjSSnm + Bp0MXjIvTq2PRIOyVEodT5HXdDp9JH1qfSTz1Rt85tfmCOGaxsOPCvucroxspJPRemvNp7X/XftF + J0eTRk1af3PWgmPWUwiAoMxliiG79rpr55Znvao3KGLNNQIp0owAiXFCpoUgxoFLAlj12hr37bAG + TUgKlEKNFkObEQc0Ab4fbwti7qxZU83KZLIETQ6ScZ4ZhfhKpWKQmXj6PNSRFXQC0G6tgXQC0O6h + hx569q7LuC9UpgpZWpYFFhZlYfBUgEhIRKRIj0BqGy9sI7Ta66835pE621jHWbRHrwbezlTr4ZNz + LnpGAxicFbI1CFvFgNAEAA37G9kaMVNjreo2Pv7lg4vYJ2H7GeRbquPbYXwlXyCUOQiQDIgz1Gh4 + 8YgM2FUx84AwOAe1Rg0bpHE/u5oB07iu+izOvKHrVUwaaKqhq2U05EQhjYxhXuYmxV8BaVU4lqdU + maqsVqk/5+rL/8fBL6NSLvcc34HViQvWzMlmuD11IKS1UxSuguVGv2sADusviDgzM2P2ymajbN43 + /lMzueV5Pjc3Z3iiHdsz/L1BEARBMD4+LoSIoihJEsZYp9MxcDuDuEuS5LH9j5upUmpyXddxnDhL + hRAve9nL9j92oN/vv+lNb0ry5B3veMdrX/+6Bx5+4Dvf+U72aFYoadu21Go08RrRc8/zDOSsWq3+ + 7d/+7fLy8qdu+Yt77rnnwIED1WpVCHH55ZcbjIBJfLj33nvn5uYqlcqWLVs+9rGPBb7bbXd2nbf9 + 5pvePDU+kcXJf/7t937qU5/6+te//shDD73nN3/zox/502QQ3XbbbYyxslQveekv1mq1nTt39nq9 + 2dnZPbt233fffYcPzfT7/WuvvfZ973vf/OJCP46MzbZ79+6FhQV+Uoxu1M8bvdvsGaWPdJr2n+vB + v+HxfE5a8RQVRqA2eAE/loE0+i5GkCSJxQXn3HPchx56aNu2bZs2bfrBd++wHNtC1FoPBj3HccKw + 0m63OfGyLMdaDc7xgfv2Peeyy2WRORavhhVEHGuMKSAT08zzPAgcxhgozVC4rp9lxcTEVJrmrutv + 23aelDKNE0TctWPnzMzMN79x+1/9Bw1LSgAAIABJREFUxV9p0Frr5eXlPXv2JEkyGAxajaZt21xg + FLfHJ+pJEk1ttzuLIFVM6DiOj5SPNcI4XvIDsH1/5lhy9Cg8/3k/lec5QbF3715LCEVUq1Sb9Ubg + VzZNT09OTk5PTx54dH+z2eScf+ur34VU9bPsWc96thDCmCJGgMjkOP44XX3WZWQRDfWRcPgnEBig + o2PZaZouzi+0Wq1qWAHCwWCQpqU+kz5SNUmiOE6np6cnJsZOqY90gq8FzERAx6eBHzlQzQEbso6G + H4EzpdKus5hknpNr2sgcsdFAlkYgAcITvM6ndk91ZNuu27FKHMeRUCISMmSacWkBIBEqrYgdH1dc + MyTGlEACLCAg3ys8WwtLCMsW3GEcGHIoCEaUhiNrZP1EdqMb3e12jYU8Pj5u1piiKIqisG3bcRxD + sYDrxpeujSAZ9+rJawYiKl1+/1vf2XnVjunzp7mFZVJYXLhuoHMFoAC1RiMdqhEJGRBpgvULv47k + VvU5qhWyNaPiuCuAEeCq0UKABrAEZrO45qlZhUIRO5WdP3pHMQ2gATQjhrR6WgTzZOBJGfEaR405 + nusyeq0RgDgAEGg4biOtq0bSgBpglWl62AwGAENVUDx+/Fp6BkKt0fTYcIBoqZGBKxzKNFPM4V7a + SVu8JUpRJgNRiAr4oiTGQDAgRQI0MEIGDIBI4mrijIZVn8K5qOFcjZzVu6YBYAgcXUe9ofYc/9T6 + akJNTBMSMG1EXjUAA4aElsU554UsELHiV6QuojTiwIsytx0nx2zPJef/I35BFdrWdqs2lg3yEVr4 + hH0krVoma+t1ltPN1U84D2ogduKRZCQ9TZPYCf85uWgEvvZ5NDVRWZYPPvigCbmYOI+U0nXdJEkA + wGjl9ft9w4tgNtkm+KCUarfbtm1HUZSmqVKqWq0uLi4KIer1epQmJJX5rPFSOZ4bx4Mkz7glqo3q + BRfu+fwXv/C8n7r64f0PA+KO3ec99NBD519wfrVeNbt2x7FGIrcwBNqoSrPa7/ZWVpZqYW3r1q33 + 3Xff+3//d5GgVql22p2gEh46dKjX67V7bSLkthXWqr1o8LnPfS6KIo5MSXJdV5K+8nnPPf/88x94 + 4IFnP+titJ03vOENX/z8P+3Yses//ef3nr/7gssuu+y+++6r1Csf+MAHWtMTURSFnj8+Ph5naaPR + jPqDr3zl1ls+ccvk9FQ1rBZFuX379uuuu26pvbL34ouWFhZpjUPNUAXCiWPmxJFysqA2R8ZtkadZ + qWQlCJngWZJqOOf6SGb25oAagQMqMAybgKfab4wG6jkt63fDMTp1e87wVP5EG1RwBgPJeHPN81n1 + wl6vV/H8oiyDSlgoGUVRq9WSecE573Q6460xUjoeDFzXHQwGU1NTrutGaZJkWT/u91d6fsVPi1iS + TMu42gyTNOUWa3dXZueOTk6NJelAKUmkF5cWFheW5+YWLNdZWVkx4mtFUVQqlV67U5aq34uOHZ1z + LNsk2M3NLtjCPXT4cBRFK51OHMf/9wc/+Km//MvJycmXvOQlvu/fd//9URSNjY11u13HcRiAJby5 + 2WVSbjSAu+5eWlw6kOSOsDZLNVAK/aAUdrLSPuD5/OAh2nf/I69+9bWWU+zbt2/X7h0McTAYzDw+ + 072sY1TPZmePEkOJFFYqv/BLLyENWrN+LyqlFJaliZTWWZ5bq36jk10I53QAIQAH5GYPrTStvgO0 + ioVVGgFtLlQpo16fA9ZrVcZRqlJKaRnEXVboU+sjOav6SJt83z9BH8myHCmlgWKselB4URbCZNEY + t+5JfXJKc2hjXXTS7u9U7qZ1nXzIIPbEeqMulw01nlCXqCMVvfFNb+zznlO3+vkAGGiphGcp408l + QGQIoJgmk3WjkXNW86vFICt6ZcBDkbGmaFV0JVspgzgorcwb88qyJCHSOObAOecaVrWJiGlJpNYg + B/AJXWhs7LUMH4aocHp6+vhlIpq77Pv+CZgBfCLr3ejNJ1w4kSG+M1FKIsqyzNhalUqlKArDG56m + qee43eX2I7c/cP7ELkhBotIMVaGrrJnIAXexwMLxWFREKJRneUlaIGMcuCJAjcg5A6YB0cQRiJ1U + wzmtSQBHwTVIqUpFwFAILjhjRYFSEWlCoQUHIq2lJK0Fs4XtEov6A24JNwzaUR8ZhbYj81wWebVa + 1QyiJLNtWyCPB1FQCRQrJWqugZR2mac1KSANjFAiAAPDvo0AjDFgjOWlLKS2HJuhUFJajGtVMsa0 + VLbrKA0ohCKtlCTKcY0T9wnuj1MUzUmPnkjDBGZsLEma2UJKyVape7UqVVn4lg1KpXkeNmqpKntx + 5FdDBJ7HZdUNdaL7nXjn1vOUZguqv2nn+dmSzCXZ9TH0/D4UYb3RTzMLsKQSeIlMalKGzE4JBsCI + ODt12t6TVs7d+DnX7TmLMwtpG+eCecf0LSEpUkoqABDI8rwA0J7wSQExFTbcxWPHaq3Gi1/6oi// + 7W1a6ZVuO2AVRkIbpRohhMWklkpLMRQuxihJBGNhtZoliYbhmtLv9+v1uslXYYJbluU4Xp7nthjG + Q/IkhWHGDs+yTCnVarWiKHIDf2l+IaxVyjL3AzdLi2pQ7fX6tm0zJoqisIWVpnFQCQF5VuTVamUw + 6Jm8RgAghmCojxgaqKqZ5RRpxnkhy9GfWusjR47s2rULEbvdbrVa1VqbPG0TWxhNp2aWS5Mhcy8R + bZqeNk7Jsiwt10nyzK+EUsooTRzPzdOs3W57gZ/GiZEyF55TKLlt1/ZfvvnmnNSXv/EvWZ7vP7y/ + 0+vZrv32t7/joQMP3XPfD8cmx8IwbHeWw2pgWVa32wGA0A+63W7gOZMTO+J+XA1rC3Pzz7r4knvv + vkcIa+vmLaEfbD1vy+23fx0427HrPGAiyzKLc9/3oaRqWNFjk48+/FBQDdrdTlIktm8fm5mxGsHk + 2OSxuaMT0xP9fvfeu5cPPX5w05bNE9OThHBg5vF+FhdFZjebnU5nrDnW7/S1gs5Kt16pdxbbtWaj + VR/r9wYa0fODKE6SPHMch6MJ8EEhJQD6vl+kGYz2+sSOa3+B4TI9xWhXpbS5EELoUkopjRjMU6OP + RARGoI8JjgRgLNXVULkGAIZk8iOU3tBzubH9BoACaDSaDzzwwObNm414caPRiOM4z3Mppbl8Y59b + luWFQdLvayJENCpeeZ7X63Xbc3vtDrEnJGWYFYHDTzYNxWkNJLMTMvSUQRCUZRkGIc/zOI4ZY5un + pvfdd9+WrVtt2+bIkiSpV6u2bZsgUrfbnZ+f7/V6UTK4487vf+2rtx2eO3LxxXtnZ2dXem0jGVaW + 5W/+h/ds27Y1iiLLtYQjvNCb3jzlOG4/imkQAYDn+nmecyampzZtntokpWo0mueff8ED++73ff/8 + 8y/IskyCvPzyy2+77TbjCDeuF8/zbrjhhptuuslYR2YEK6UUQKfXj+KUWzYKuPWrf/+dO/9FwHQt + 3L68mFQqtWjQ1jCYnBLcHvy3//7X9+77+stf+dNHDz++vLKYZEmv32WMESnXdcMwtIST5ElRZlKp + XMlSKyGELsFMxGy1jPKRnsLberycyQBAHPKCEQFRWRSDwaDUKqisRx+JwxP0kYJNm6ZWVjon6iMB + rdFHAsaMrYZAQOszNZ7GpwtPU5+7QgAKwasHlfEQPJ3onAQJsKAsOHIEkiQBGGgCRAIghoxxAEaF + XJhdbLn1bWNbq6piWTYt6xpvhKiqrMrJgtWIkeHPYDRMGBtljp2uSeYAg+IYIeWM5+yUxxu5YROl + 5MO9zdl0m8E8uK47cqwioiDLLtxAhpVerdFr2LmnOZDFlVA5k6K6OaN4ZukgVWB6fHKxO5/rkiFH + gyUjRkCokYBAg+kEgBNqOKe16R9FyiYuhOCWUKQlaVLSJhLcQuSliekxJhgi6AJUWWYudwLHZoLn + eeo6FmPIgcLQi/pFmUZetea7oshy5vqNSrUEpUgjI0PXr0vJkWtkw7gU6CHVNTEAKPLMsizBmO27 + wERRSEZgWRa3hJRSCySlpZKkFXHGLUbyRMv2DDeRHY8DMw0MgQEgA6YQhBAIzLJt0jpPUo7Ms1yt + gBMy4LnGIs65bXuWC5IBRzOB1yr1ypbwaGfp//nCf3v4rgf3f2MGCgAHgAAnYOy86pt//WZ/wleu + 8nyHcxNDNME4ImJE9BQs1+d0/JzT9mz8zAxgOJB+VANMtFALWxQ6D+uBVYpdF+xArm3bRs0ZMZLE + yLI4IwIpJWOInAMBY2xxacm2bb9abbfbxmfveK5Sygt8y7F7g77juY1Go1Sy1x34nmfmuiRJLMuy + uciyDECFYbi4uBhF0Uq30+KsNTHuOHa7s2ji3l3dRTA+IOCcZ1lmWY6ZhfI8z7KMMSGEyAs58h4R + AhEpMImhw5E1eiI0gmCsLMvdu3c3m00AYIwZtBgRVSoVw4gwOzsbxzEAmJB7kRTddsfx3MnJyUql + IqW0HceorjPGBoNBrVbzAv/o0aOzs7OktCMcRbrUahD3UfC9F1/45x//+Fe+dusNL7uhpFJ4lgQd + 1CtFUXzjW7fv2bOn2azneZ7liUlqItKWZXGOWZ5wgY5tJUmSxgkpvXvHzj/5kz8Z9JNarfZHf/yH + d91zD0f23ve+99HHHv2rT/91pV7zQ6/fHVx++eX/9u3vCl3/27d/62//7nN33HXHG296w02//KZ3 + /9Z/fN61P/PIgf0vvfGlc0ePbVab3/LLN//U81+Ql+U/fP6fPvO5/37Flc/5nd/73Vf8m5fv2LVr + dnZ2ojV28OAMI/ijD/zxK172ch+dL9/25T/92J/lqrjhhht/6kU//e7/+B++f+cPtm/fVhRFFida + a8e2hW2RojRNh/7W0VAcioYBJxyF6Ncz/pGeCn0kqRURIecwxAgAcoZDlA0RAg1hPhsSqTibwoVY + WFrcs2dPmme9Xo8JHsfx3ML8xXsvSrJ0aWExqITdbnfvxRcdfOxxKSVIadt2EAQrKytTU1O9Xi8r + i5Vup16va60V6RFNHzI8pT7YT1Y5rYFkZJuNpEkcx91ud7I1FgTB/OKibdu9Tvf5z3v+o48+OjE2 + vvmiqccff3xpaanX66V55nhuEATjkxPA8PGZQ3/0oT9++S/deN1Lr1tZWWo/3J5fmG+1Wr7v1VuN + ubk54dgvfN41Dzzy0Fd+7R1Hjhx5+zvfaYa14zjCtuI06XQ6l1122c033/zcK6+aaEzs3r372hf/ + 3E1vfJPreb/+678+OTlpgfXb/+m9f/iBP/qZF/1Mr9d77etf9+IXv3jTpk03v+V/v+POH2zatKko + ina3MzY25govS9Lt27czBu/6t2/5m898PteH3vyrP/2yX3rjhz/8ifZyfmD/Hbt3TkZxp9ebG5sM + rrnukosvDz79mU8+/Mi+u+656zVvfE21WnXJMY6rXq8XRZFGLbiNyNMozbKCiASKslAjkoMR2Oxk + 9Kp58bTl5+EQxEMABgWltE7TNJel7Z5KH2nVxhttkYlIKckYMxoCtVpNaxjpI4HRR1JDxBQRaS2F + ELgmT2k95Sf8+dpwQUb1VrM1PiYEG6SR8ITFrbQ0TntEQNMjq0llpEmB1r7nybi0hTNo9zvL7R3N + nR53bLQU5xYIQegwIQhJKgbHWRnWY7oY6oWRZ2hEy3M6g3+EqTMRp9EHzyL3ehStyrLMMIvY5IS9 + Bk/d2vJYqz0ZKgkWgoOlpTIoFpcWm9Njs8uzQjG0rDo14zSW3o8Pt3wyi8UsUpohMkRNpJTKtUTQ + UpPFEEGXmoi0BcJhaCPLdSJVXpSZb3kadBL1vFqFMx53u7bvuxZLs6zIBgCQZ7EuynqlqUrFXQGg + QGmBHP4/9t47zK6rvBd+V9n99DPnnGnqXdiWLHeKvzg2JsEYMBgSIDSHUEyAQO4FYriXkpCE5JJQ + LoGEBEgoIYFgwDE2OKEEA65gG3XJoxlp6pnTz+6r3T/WzNHYKkEEkPjC++iZx1aZ2Xuftdd6y68s + GbrodYMHVb5G3GEiMUmFQKAU4gIxTqmJFU95whhDBCEMyEAKmASFlIFAnUkyjdUSmm4JK6gQlnp+ + xQFJZVAsIm6H2DbMIskBzaYilSAzxE2TlCCKsZswDlIiQqM06gBRHBzPXX3FEzZcuqvyluJQJpdx + 7anZSeqRmdbMmvNW91Of2LibBEpIQFQiBAoDUKwQAgWQ/vfbVM6pkEHYLxhlLPC6deuWUNxSauQp + IQQQShmTUhJCMSFKQORHq0ZXUUrb7fZQcciwrbm5ORKnhBCeCpZwg5gSVLPZBgAlFEiIozjjuEEQ + hHEqTUuPa0xq5PM5IUShkC+XSxMTE4QQL2MhqTzHwRibxE7TNE254zhKSAAI+r5pGYJSzjmiBAh+ + HKv++NR9+ZRfudFhjEuloSuvvNJxHD0hz2Qyvu9jjLUqgO/72kROY5KFEIVCwbbt8dWrNmzYoAUA + LNseQPJSwT3P6wf+7OysYRhu1pFMFovFiamJDZs27jt4YPeePc+84dkMeBCGI+PD07OzKU+pZWIM + 07PHhOL6UfT9ru/7hkWTJI7jOJfLgVSEkHa9zRhzqK2UuvTSS/ft2/eRv/qbK6+88s1vfvNff+xj + r3v9a//hM5/+vx/5vxJU1+87rhsF8btuedc73/2OfT/a87a3/MHTf+3X3/OePwxZ9I53vGv37r0X + XrjDzWa+9a1vrV21+sUvfvHTnva0V7/iVTt37brhuTfarvuiF7/481/6lwsuuKDT69mGSQgZGxtT + XDz72c9+wxvecGDP3k9+8pPXXXfdrkt2bdm+7Y1vftPMselyoYikIkjpxhlaPpgYYz+td1qXJTrO + BX+kM+3Knulj0G6KjcVFwzRdxwnCMOO4mzZsnD52rFmvX3rFFZNTU+vXrdvzo92VoaEoivKZDAJI + 0zQMw9nZ2Wq1GoZhIZvDS1LJJ0ktzp3d9ifg9J6yQNIiBJq9RwipVquXXXYZIeSLX/xiy/cJQvV6 + vVqtPv/5z7cM48///M+LxWK1WiWEaCFsTMlVV121bt26oVJJMNZut4UQv/niF65du1ZTEtM0LRQK + nU6nWCxKuWTMUixXPvvFL1LTwJQIITKZDCHkwIED733vexljGFCr1dqyaVPKmSWtt97yB9PT08Oj + I61OxzZN23Uxxh/+yEc+/OEPU9P0HKdQKgVBQE3TcZwoSUwhUs6mZxduft3rG4utz33hk5c+aYtU + ZPehO579gh1z0/Mb1j9tfn4+l/UMg9QXZlv+ZMefGx0dsjM7N27bsHPXDgbp4SOHEcVxmmSyWct1 + hGB6T0zTVDGEgCSCwXKaqJZBvic26X/8DPVnFCuvaoCe4upM/ZEAY1jhj1RAy/5IhmGZpsmZeJw/ + kkSA4fgmcnYfwjkYCkGhkHc9J0UJl9xABsLLpQJItQyNh6WnpxDCjHPTNS1qmcScX5hvPdqsbRsp + OUWUgmKKpxxJsA3LwFQPhAcf/eBoP03JapqmWpa8W/oET6tKNzBDhBXpwk/wEQ8wCQMbJSEEFoTG + phkoK3SsOGOAQBhYKonkhkWP1Pt/+n/+uLgm/5yXP8cwrH7Qy5bzzagJy3d6+lnZzyGwAsCYUEIE + SMZTqQQGQgnGBlEEALhSApiUEomUcqywtB0bTBMHKcEYA7aJZSKDIKKoLZgsZgumkfhx4niuVfR4 + ItKIK4UMmzAppQRCCJZYSXWqc9YwCMWQBolJqYmowYyM4QimekFIEYChhJSGYwiQQnAhJQUCJyhN + oFOKcCB1nP4EsGTXhbECJQBxaXJQiVG0CypMsc8AEYQol2m1Vg1ZFMQBNoQkEhMa8wQRJYmQFFHX + Htu8Jukz23Z93g/SdpqJac4rZPJTzSliG3mvwBRTQBAopCgCihUFwEhJRTjAmVmm/DJ+iqGRBalK + LezYjpPNZpJmRIUtQYKGQgIAkkuNFSkpIomUGrfS7XYZY/l8XillGyYxjUI250ehbuIIzkulkm1Y + jLFes4tsN5/NCSH0rpWm6dGjR2vDVeq6vcD3fZ9SipDKutk0jQWTSZQKogBAI3u1Sm0QBNTIYQIY + E2pSXeTIE3p8CCGpFEJLMqODrhBCKAgCTV/RGaS2x9EIpXa73ev1pJSO42jX1yRJBJOVSqVSqWjr + WN1j0lsu57xSqYRhuH///kajoS0WhVSNdoMYdGp66uW//bJHdj984NChTtCVoGbmZx3PISbp9XoK + QdWudTqdRn1RCJGyOE1TN+NocZ1isZjP5lzXXZxbEELwiM3Pz19++eW33vrlhx566NFHH/2d3/6d + l7/0pe9973v/8Z8+52acfLk0v1gHgG3btnWizle/+tVyvvjggw++/OUv++737/7UZz/1H9+7e8Om + TXfdddfo6Gg+l/vOd77z6le8+g/f/W7G2D9/7nNvet3/uOaaa175ylfuPbS/Uq06DqvP1R3H6bb7 + l118CUHkO9/+diGX/8Y3vnHzb7/mL//6/a9/4+/VxoeLxaIZBf1+X0hGiYkxTpMkTVODGEop7W5/ + YgzIPD9mIIINdBb8kU5cUUvX/1+bHv+nkUaxaRq1kdH5xXoSRkkcW6XyYqORdb2h7dvnZ2YRQGux + sXbNGsm44oJrCS8hxsbGdLKHENIQs6UcDx/PK4SS+JwU6//x45QFkuM4GlLJOW/3Ai1Uog1zNg4N + feZTn778iVf0Oh0lxIatW7UmAWOMS5krFKIouvPrX5+dnZ2dnRmuVbZu3Vqv19vtdhzHvu9blpUk + Sa1Ws2372NEZDaRptVqlUkkhmG80sEERIe1WK4gj27aJaTApmp22ZzuO5/Z8v9vvA4CUsjYy3Gi1 + svlc1sssLNYt0yQGlVwgghutVr5Y4CkEUVgoFJjgvU4XAH/6M/+UJjxlcaVSbtr16blphAFhbJv2 + t+/55yc84fzW7pbkyrE9Mkl63e6hI6Zp0TRN3/0n7xJCxHGUsDST89CShLFG6yAAbBKDYENgMcgm + B0qaK+FJK+OsjY9WdPrlSn8kdGp/pMfGyjkSxjSO02azTYih5879fj+OU8MwCMVCHhfH1F0fiR4j + B3f6lPVnujucg4GQyhVzQnHO05UiGZxzwPLE3UYP9lOW+v1+xR6qFIaMkuFZGSww4ogqgjgoriii + SCKWcGoaUp3BEE8ts4P0UT1IOE4lOjLQSNSwTD1+1DDLM3wOCAA0qUnLuWKMFUYSBEdcYIGoBJAc + SSEZ41Ezbn/or9938y2vpTXjWDhFvWqHdVSkCBACZ0cf5aTBOUeYIAmSC4TBpBZQQyFsAEkFRwhM + k0rJVZJIJhRFTGKCDc4FpAIpZeIsCxQDaagMi6MQQcJUnCJKKQDmjGWoQ2QScaYQEEBKIaV0UY1B + abYyDEQREACSBDhY3BjN1WgMjWbD8qUCAG4Wx8q+ijtJm0dKYkEIYIpAoBNbgqdbTorqvp3SUg0A + WrDBxIRg7IFtUbKtuPFoc+LI7oNMgJnzfJFsH93CDH6kfiSV0nApECIZB5AKKQky8HumNCnCaRxg + EQkV1WrlbtyL06A8XIpEMt9dsB0HMAZFkMJIIlCAhAIYYO5+GWcpCLYdJ+4nxYwRdZJVa1YfrE9Y + BhZMUGQopYQUCCFMMEJICOn3/fXr1k1MTHiet3HDhk6nE/j+ULHE0rTTbBXyeZEyAy/lqRSRA/sO + VstDtaFaHIcxi/UpliRJLpMpFAqMp+12m0kWhn5tZDjo+51WmxBCgOQz2SAIPTcrGXi2l3Wztm3O + zAsAYIyZ5pLK2YkjI4CVqIrjDgr6dgci0Zqswjk3DEPTkPbu3Vuv1wfbe6/XMwxjeHh4/cYNtVoN + YwwaNAAAKxAoExMT+/fusyzLtu1ut+s4ju9H5coQtWgcx/VGww8DL5vJFwvtXkcBRFFUqVS8rGsY + tNlsapkl0zJ1Um4YRqlULJVKnVY7m82uXbt2YmIiSRLP8/Ru79i2Y9tSiRtuuGF2Yda0LdM0jx07 + ZntufWFhqFBWQjbqixbQamX43u/d87/e/vaxVaNP2LZ978ED5513wbFjxwiitcqwZHzjxo33fu9e + hFCQBr/3+tf3/MC1bIPSQi6nVq8GAIpN13UnJid0rdjr9T7wVx/4m7/5aJDEfuSv27yRC5YZGWl3 + mkkUg1J6jGMQKqUUYoU8zGMxn2c0Nxh8lD9/fyT9HQYl1uCCzuj6zzRfMgxDCnlg3/5sPocUrBod + i4JQMg6GQlJ1253R8bGJw48KIbJeRjBObTObzUZR1O10KpUKKFWpVmdnZz3Pk9q+TgLCS+gkhE5y + WPxixSmzloEIm2EYBhBKaRiGYRimcdJtdxYWFkqFYoMLvhz9fj9Ol0L/5aljx6QSieD3/eB+xtjC + woJSyDRNvbz6URgEAaWmnkLGSZw06p1uF1HKOfc8r1QqaYHLJElyudzIyEiSJEkYhXFUKpUcx2k3 + m47nWXEUhmGz2dRSWrr0j4N4dHR0YWEhm80ihuI0iaKoUqv2ev737vk+xaTX60gQQFUY9zduWlev + z2KMC4XC1/5tP2egOOQzJQSUcx4nITWpZZhBFJqmGUUB57zT77V73SiKMNXvERFcSQGCpzqTWy6f + jrPbB92FlcmEOoG8/nOLwcUsCdkIgTGWCDAlA3+kfD5fKBQcx6nX63pjHeiSDe5isE1of6RarZbP + 5yml8/N1OfBHWm5fCyEowY/bC34Zg5BYSSSHhkpMspQn1KJCcSYopTSViX5aSw9NYUBSAaSCA0Ya + pGEQM5vLerWMTSwWCUOatmFSQrQbjOKKM2aapnzs7A6dVmguSRJ9rmtqGcCSg8fp7kJKfQbofOI/ + /fsnDX0U6ZcriiLXdQkhjmMpAoIIgbnAgisuFWcqTlVCKXr3e941F81Q11w3tu5odMyHMOu5MlZ0 + RUk/+HoW3zs9sUMIUYRAKMG4ZIpJKkEBBWQhTAFTYiiMEBYhTkMxateIBCRxxs5FKeOce9QEU7CA + cSmGXFty6LZ7acK8YY8aJEjSxfBpAAAgAElEQVQ62MIGoSxNTUG1x8BJ1B0VBkUgRTnkbSDrAtGZ + 2L2/zxuEEDvvblh/YQv6mKEW6zIsEAKsDAQI8PGp4+DrqZcQWirMljIVPVEEUAQJqaQyUjwEhfkQ + 9abagkkrm0YqHaHlBLNZNS2VjKWUQjDOqWViUCJNk35S8GpDXmlq/yELK0rUsenD5fFK0cpShjth + ahiWRKBAISWVYgACAyUIAVLip6Bw+cv4LwWllCumlAqjYHx8/OD9E/r3EUJCMCklNSmAYDxFCBUL + henpaQKoUCgc3Lc/W8gPV6rTc7P5TLZWrbYWG0Dw+Ph4q9VqNpvjI2PrV63zHPc3f/OFpVKhVCp1 + e+3Dhw9/9Y47ZmaPmballLQtq1aszMzNRUFIKbUAm4YxfWy2Wq1lnOyrX/XqUmkIETwzM3P48MHm + t9qEQsqTJEkUVlq7eYAcHswNlFIILf2Ong9ISgd/qlUW9KnKGNOeSK1Wa35+njGmHXL1YKFWq61d + vTafzy9tuYahkyuEkLZMaDabjz76KMa4WCxGUaSUKpfLTPAoCn7lqVclLN6yZUur06y3mnEaS4ww + Add1C6U8IWR2fi6OY+0taVuukKzd7lADj46OKqV279790N33PPP5N65evbplNUulUrvdftWrXvX2 + W97eafcsZN36L7cmLBkZHX3GDdfPzM2Njo5qJjbGdM+evSJO++1erVa586tflVh1Q/9/v/Md/3H3 + 3ZZlBf1+qVCMoujaa6994fNewBhzTOujf/URoDROk+e/6DdHx0eGh4fvv+++8eFV87Nzq8dX3Xff + fUWvkLLYMpzX3/w6BnJqYfLyJz/p6muvWVis62NICKE0SpjrYuNUXbAzy9D1seW6LqW03+8LIQb+ + SBhj/fudTocx5rqulhAb1MxoRTVLCAmCIJfLua7b6XQAYGRkpFKptFqtKIo0aNw0Tb0qBjij4xc9 + yJHO6OrPPCgmYZwMV6tXPOlJ115zzXe++92D+/fPzM05lmW7LtSEQeiunTuDKOp3uwBw0003aUzm + vn377rzzzlKplKap/koBCd1+0yhTjAjC8swg2edcnE6kQduGNptNxNXIyMjOnTuveuJVb7j59QgA + A/7W17/FgQPAnf/2tXw+H0SR4zjZbFYhwATbrtMPfCY57ZJiMY8t4kRuFCX92B8fH48ajXa/Ryl1 + shnO+UKz6bouAsgVi3IZsdb3fdu2TdPkQkRxvLCwUKvVqGVGUYQBGYYBGPd6PUKIm81ggyJKup2O + YRiFYrE/PT09N1soFAzLqjcbluuESWxFIcYAKvGjeGz1yPxi3XXtcqVwZGLSy2XcrL3QWMQKhms1 + Jcn+PfuKhcrY2FiyGKUixRgs10IImWA6xBkbG/E8z/FcKUAIwaWUUmGMABDn/HGCxYPW+4kPWUPO + zkogBLrdBRhplU+pJIJT+yNpfrkQx/2R5Kn8keDk/kjLeK4zus6z9XzOWmA1PFpDGHMlTcMM44BQ + SSllcok7cTwx1Yx7yfUUu1QqKSaiMHEMO01TC1kKARCsYSsGoQQTJBFBVAJoueilIxwE1qJuKxqW + g9DUYa2zNMgAtGnGyS9/BYRP/5MBSuSMHoOeXGnJB+3EJ4TAlAgiOOYccY6YAC5BAAGDkohxxzLy + NDdbn5ccGnHDrth+FHvIObEcOlsFkkSACZFKYUCUIMm5jFNbWS7JWNhFBEco9uN+jBMwEKaUCmtU + FurTi1fsutgFQzDlGTnhQspZjjoG4AQSAUDAioF1cXe6Pf2jA4+UNpYNTAUItGx3psF2+hqO1zFI + KcBI2FRSRzolGMI+V5OpCBlTSuXZ2K/WgJEej4OUg2kyBVJwLcV3yn7nyWJQmCkkl9YwkgKE5Bwh + izOGALDEDnGAC9VlnoHKONOCngE0MVTMQyURtgxCCE3BQ3bRy+wY2lKC/MP3fo3EyrDodHt+/TPH + z9tx4Q87ewKeWgWnGXUk5gorBWAoQBhhRBSCZUbWL+PshFIqYSm1jDCJAaFcsYApYTF3KMIKM5Hq + HSNN05SluUymUih/4m//7ujk1NSxo9/6xjePTE32uz0Q0rHsN7/5Lbff9q/3PXB/EkZh3181Ovbc + G56bsbJfu+Pr68bXEorymXzW8zat3+B5XrGY/9pdX7/ja3eMrV51+eWXTx49uv/AXtd2f+WJT+aJ + mJubf+pTn/rAAz/Iejmk8NjI+Mb1G4KgL4RAGBmGASA1iIgsNwIGPYKl/FjBEj4QgFIqtKazlLCk + oYe1JjBCyDRN7cSqG9B6MKXpSSMjI2Pj45Ztp2mKlkcQuoJKkkQIsfvhR+amZzzPi/ygF/iEkMXF + BS+bOe+88zKZTI5mv/iVL6/dsLbt9yzLSqWgBi4UCoyxhcW6lhbQjU7GmAJhmqbtmNls1vM823Z8 + 7KcJB4B+PzAM6xOf+PswDNvNtoENhJSXy0ZJlPBk7/59lVqt3w/CMNzfP/DcZ9+w4wkXpFE8Wh1p + Nhf7/V6+lJ9r1PuBf8kll0xNTYGUjUbjL/7i/eViySKmYRjDI6M/fORh03IkUWEY7t69e3x01ZVX + Xrn3kX0TExMveclLBGNB38cKer1eoVzohn42nxkdHnnwvvtLtUrG9RzL1jpynHOkVtpUnESF9UwD + n1P+SGdYIp3puCmN43Kx+P6/+MtiuXT7bf/64he96Neufdro+Njll172O6965dv+4JaDhw9t3bjp + lre/7frrnpH1vJte+rK77rqrUqlcsuuicrn8+c9/fm5u7rzzzmvEMcaYIqyU0mUSUoAwUmc4ATvX + 4nQTJN3/KBaLeTd77NixP/mTP/lQ5kNRP1BK6VViWGa73Q7jqN1uZ/P5OI7jNNEND+0N5xmWaVmW + Y3c6HT8MSsWhcG5udm7Otm3HMyzLWlisu66LMLaWaYgAoKdWet/RSbllWdVqVSnF0tT2XCJBi3hK + UIyxuLskpDg0NNRqtbrd7ujoqPYW0OrApmkODw93Oh3FeS7rYSJTkUrJ643FSq3mZLKe5zUWG8VS + QXExv7BoELpxyyYkYWb2mO1mDGIIwWTK4jjWGoj9fr/n98MwREAAgBA6sHMWYolPBcsMwpOC6+D4 + C3Z26uuB1NiSP9Ly/yoA3eUyTTOKooWFhXK5rN27+/2+HhDjU/ojZcIwDILZkZGRarV6cn8kueJQ + 0ff/Sxvp5VAACkG+nCcmkUJiioQQigqDmphjwEohBUs6N0uJKaUUKZUkEVGo3ec5mc3mKyjEWBEu + BMiEiRQwsiyLAEEKCCFCPcbPd3DSn/ySlisijQzR0ILTTgxgZXWkTxo9VT6jR6H/ued5SzNsgDRN + 4zjkkKYoTiBJUapAIkMRgrBJPdNr9prD60biPmuw9vrRjYusZTsZFkQKPz6hP1uSkgCQSgFSUWxg + QpEQRKiam1/jrq7CKAAsQP1Q//Bc0pUYMwwoMcBHvYOdkV3DJcgzwgywDbBTyhwwECiN7/VVgpGx + 1ltnMeuf7/+nS0cvc4tuCKGUHGNsWGboR/BYSKRadvSSimBkI04kICMxCrRALRVFUdwXeZSfjdsq + BuDYdB1kQioTxgMt0/+4vumpCmCkd0KQAnEApZAEJCVghIkiyHJtqpACjA1quo6SCeOxSQgGGbNQ + gqAmYalEiABCBBAWYAiMfUFyomZmra6sWkNxN33C0DY2G+d3FDyRyWB5dGomO5pnhClgSkkEAhQo + YAowgPHLAulshQRABCcxq3gF0WGum7Vt0zQpDyU1KRJKKG5gSghijOljqFKpbN+07SMf+vCNz3/e + s667/hWv/J2jk1NrVq2eOjL5rKc+s73YvP/++2emjq1dv+65Nzznxhufd9dt/zZxaAJLZRILSxXF + qWPZ3VbbNugtb/2DqanJVqd95ZOfkn34ofvu+Z5NrMsuvnxxbv6RHzz00P0/fOCe+6+9+tpyoZjG + Sa/TjoIQhIx4qpB0sxnO0yRJHMuEFRN4dFyKaekeBy0h/de0yhlCKAgCTTRSSrXb7cnJSbTCF1vz + /rVatM6tAUALfzuOo92Toiiq1+va+aDT6di2XSwWm83FdWvWjoyM6M12y5Ytj+x5pFqrtrttJ5vx + Mo5pmv2gV6/X8/m8bdu9lu84nq4u3IwThcmxY8eGh4fXrl1bueSSNE0XFxf73Z5pmpdfdNmxqWPj + tXGEULPZzGRcy7Wb3c7mrVvm5ucf+tEj2Xxuzaq15UIxjmMC0G62lJD5XM7v9davWVtvN+uNhfXr + 1//wwQez2ezOnTv77Y5gctOmTUmcnrdtO5dwZHry2quveXjvI2Mj4wcOHCCErF27dmhoKOj21o6t + 0kdGGPkCgR/5m7duuePrdwqWWllPz+WWMhD100whNN5BT3g0B15P6n7W/kiwosoaNCIBfubpoWma + mzdu2r59+zOe8YxOp/PJT35y9erVUsrJyclNYxvf+MY3vu51rzMMw3NcwzCUkO1m6/bbb3/ggQd2 + 7dr1wQ9+8LOf/ezGjRt7vR5nTGfBGGOQUks7/gTKTD/T+AnA1afzQdIvv3aEzGazzWaz2WwShbSO + dqfTcTxXSokIzmQy2pGg3W5rI7P9+/dnC/lCId/v9x3Xsm3bMAy9woRQ+Xyx3w/6/aBaqUkpk7gt + pcxkcvV6vVwucs4XFxdLpZIubyzLmpmZyWazvV5v29atk5OT1WK50+mMj4/3/D4AlEqlo0ePrl27 + 9siRI4QQLQ4Tx/HQ0JDv+5pAliQJpVQBxHHsel6r1cIYO7bX7fbHxsZn52eoYUcxswzTNJw0SRjj + lmWJZVY6pVST8/T1aAEJx3HCINbrO5fLNZtN27YBQD803X4AAM3Dg8dWBXBWcT6wvI/DisxmJQJw + UDtpbDRCKJ/P6/vSKGohhO7un8wfyVj2Rxp3Xftx/kgmtXQDRuP69FmiB03wy8IJgElu2CbjqevZ + YehbjokBJ0mCKNIq1UhhhAhaUi5WCpRlmjwVacoqhaGMzAb9wAZHUA4GkYCwQ7yCCxjNHJsZkIgG + yJCB9gYA6A9CUwT1B+E4ThzHAOA4ThAEWtlS5y66r3li6LaoNn/QrTI9RNU/RWcMOgnQYFRNdDwx + 9PpsNBrValVjTmzb5pIhE9KQCVOklDmOFQS+aRpRHLg5L2cUOvOdhx955KKnXtbotjNGNuKRY7pS + spWQwpW1/c85FAKFEKI4YVwJiVNWpG6W0aMP7V8MJxEiferPJbOjl44vim7IUsfOQ9fkIc5AEYOR + wVkKhlTYhAwFpITElDDgHvIQEAF8Q2HLo/smn3LDU3wWIxNYmlJK0zTBhCyfVI+dpAGkUqgkGi+u + CmRcbzVave7CxDQAWGXv0emJNup2w65b85q8B4pIJNEJOJDTvKdIAQKJFQgkESgEXDORFMISY055 + K+p0plu//7u/3z/G1QJUK1CfhkwZ3vf5Tz75mZc97cXPlhQTRIHiJI2JQhZQIaRruwYxMBiIE6Vw + luYXG93tu9Y64OXM4kyrWS0Md4MAbACDgki4kiZGoEAJAcj4WXyyP/VYmSrByZRUVuIUzu5RckbB + Fbcc0w8Cl2S0m1Capq6dSQUnHBuGYZuW9oqwic0Y8xz34KGDxyaPvv2Wt3/4gx962Ute9ud/+t5e + p/fS33rJfGv+6l/51Q984INZN5NEye++6rXXP+tZfjNct2Zdo744MjbaXGxYlvXgvfd96Qv/smb9 + mksvvXTz+g0P/OAHWKqo5xczBeDCQJgAbjfa991zj2M6npNJ4jhohYZtaJXtTD7DRNpoNEqlgue5 + oe+vFF4alDdIYS64ZRhSSt/3o76viZeEkCRJtCqs5vYopeI4tiwrDENKqed5OmvasWPHtm3b6o2W + ZVmSc4SQJlZpdWndlW6325ZlLWFSUjY/M7t529b16zd6WTcKQtM2gr6fcdw4jg3DyGaz46tGH9m9 + u9vvlQrlhMX9fj+fL3Q6Hds2bduOklB7187Ozg5Xa4ZhPPzwQ7onValUXvOa1xzYd8Dv+lEQGoYR + p1GumO/0ukBg6xO2P+s5N8Rh9L4/+/N7v3+PhY3hoZrf8QEkwjLlCTLo9h3nf+zjf3fk6NT55+1Q + Ur773e++6447PSeDFJTLQ71+v9XpXuNerUz8nOc95y//8gOEED8J/v7v//4HD/wQuDAJpYTU6/Wx + VaP1RoOa5MqrfoVS8t37vldfmCsWi8VCLgjNKIqiKEIIpDqedxnU1AecYRhSnBzpcLolyrnud+ts + R9e6Px9/JFjOx47/98nEG3RS+hO8fYPhmM7usAKLmtdf94wvffFWJSRLUtu2kYJep1veWToyM7F5 + 46b/7ylXdjodJSTFJNAr1nHTOJmfn3eIc/GFuw4fPry0OymFADTCSHEOAARjvsKW8nFtyl+IOGNu + gPZEy2azT3/Gdbfffrv2c9RF0dzc3HOf+9wkSWZmZi6++OLJyclGozE+PprPFhqNRhTE5XLZtb1G + oxH0w9npmdWrV4dBEEVRtVptNptJzIaGhny/TyldtWqVpjxpzlK5XNYqeXv37h2uVJMk0XKZRyen + dl180f3333fpFVfcd/fdG7ZtsyxLl+ZDQ0P9fl9/cjpXA4B8oQhKttvtarXq2O7cwjwT6sjE0dJQ + GWMsJa8vLFRK5ZHh4ampIxjD0NAQYyKKokI2Bw5GCM3NzRmGoZQSjOs30LbtNE3n5+f16+E4Niyv + YF1m6A7TgN/5+Kzi3FsqaJlApZ9emqYajJvJ/Dj+SEvzIrTkj+SMjo5qbuhj/JEQIsf9kSQ+wV/s + v2kgqZCUSCq0lFGeNrCGTKecY0KAwMzC3OTu7x/bNw1dlUGuze3R3PCBhw5YF19p2EY+nxdcEWIo + tLQLD076gVLcgCynCyHt6IWWeUT6jzQs5FQfkwaTDEqvgVTD4NTRuNl+v6+/1anubdB/0uw4/b8c + 8YCGfdq+7fu3Lah5x7PbvbZjGTFLuGQpSu2i10rbpS1Vo2QzJbBFlEhhBXdrZaV0VoJSyqXUnnoY + QxpEi43ZhR9O4zpGQJJMUrlwOG73pMskhjBNcoRQbBAgFAgBTBWVSrNpACkCEohWQcBABCWEUIUx + ECwUUpopLsXSwGz5oFpiMEsALJG0PUIM+sD+Bz70pW899PUf4A5Us45pmp2k/5G7br306ec//bee + mbeqkvGu30FEuqZ5RnsWVhIBIBAYpNK/kFIgwyS0DBNMXFk7/MJX/VYWZUiMKvkiSwJJ5FRj1q7l + uSV6UZiimBqWRS2ZCGJYyISZhdYPvneraMaf+NznatgliLaSnveNL1xx/a+cf9VFzmgmlMy1nRQY + FykCAyEipFAAiGCQvxjjo1Pp/w5GE2pZNUu/nudas/b0sby5Hb9mIQRFRCrJeQoAhkkk50pIwfi6 + 1Wseefhhx3W/dOutz3zWs2rV6p69e1/6kpfc+oV/ed0rf/fC8y+YnZ19whOe8LWv37k4Ny8ZOXzw + 8Lvf+c4HH3xww8Z1C/Pzfq+vBExNTM3OzIzURjzHSSMWBoFlmpIpAthABhLKcu2Mmz184GAul+NK + ciGazbZmDWGKMxkXEcylWOqRA8DjEr5TnFqDRqTuK2m8lk6O9R9pIen169fncrl2uw0AGGM3k9HC + sDrX0hOJOF7qxkZRxBjLZrPZbHbtqtWWZYV+BAaSEsrlcrvbwQYeKlYs1z58aKJer9dqNcBIcmXb + dqPRsCwLIYIQchyv3+8GQVAqFyYmJvSGHARBKVcsl8uf+cxnbvvybRdecGEuk5eSp2kaJWEn6M3X + 67/7hteODY/0An9+fv7Tn/7MxjUbLEIp0KzrJGlYby/efc/3f/PFL4qi6NChQyPV2prVqz/1qU/d + /uWvhH70jKdfZxjmxMSEl8mliu09fOB/3vJmjHE+k23Ntxzbfvstt2zeuOmSC3c1Gg3btu+7755D + jx6emZ+5hb2t1WgGfT/iMUKoVCppU3INPiTE0Km5NoQYCGX9FBftz8EfSbcvVwogrXzfYblz/RPc + l1rWAkEI6T5+mqZCyHqrizH2fX9mZmbnzp1XX331v//7vzebTQCI4/hjH/vYm970pne9610aBuI5 + LsZYl9+tRvPo/NFyufyd73xnbGxsIM6UBIGQciDLZGAi0fHrP7vZHVJnPEQ64wIJABQC07Z+4zd+ + 44tf/CKmRHEGACalGoGTy2Sbzebhg4eCINixY0ff7/GIyUQ41I56oWnaBqJU4Q2r1/V6PcdxiOV0 + G51qqUIICeOIEmKZZhgEi4uLenDc6/Uymcz+ffvy+fzmDRtbrZZj2WEYSs7PO++8qampXbsuOnL4 + 0Sue/JSpqSkCaHF+YWRkJPIDixqmaWKMFxcXM5lMqVyemJg0qVXKDx2ZmO73+xs3bcrmnSiaYzEo + JTmHjWvOn52dtiiMja5vLs6JVMVhbFCDINpuLOZyuVK+5HmeEEpKyVMGGCkQCKs0TTKZYd/vAywN + kfSzGgDSTvUwzykGm0SPOZIHMnd6R7ask/kjcam175SSgJYkXIQQGEO32yYE5fN5KeXAHwlpf6Rl + mJY+5ukypfXESzqnns/PJaRCUiGkkJRIIaTFvGGZOyFhifG+FEsAdxCYktJIqZQvbt26DQVgC9NI + jIpZVkplyhmFFVeSpSwKe4gSy7IIWmqSSRBC8DiNTDAxxtorQ+/4QggtWaNPHd0R0No+p7r6gar7 + QMZnwETSg1xdfemeRZIkp0K7DYo3XVnp78lJGmf6L3v9iyrDNaeY6fk9jkQpn+v6fSVYIpmXz/S4 + P7ppOEVstl83bcpTjs6ZlhVSkMQJpkSCEqAsi5atbE7Y0gkN1wIBDdEcLY3M8oWs52BM0i7nEAuI + OMQCBJZKYiSAIiAYYwmAMSglJRIYMCABIAjGFLBGkSGEJBIAAmFDnajQAABIBLxlYGN4W+VFG170 + sle/yOLURKYQIkFMOii1WYSTllhgpnQ9zLlESqEVIlGnP/DQki+8wiCVkkgtLV0FQE2DEDOKkxjS + 1Ts35rCHGBCEGc6bnkH8oqAgbZxGCaHExMQPQyQBOQZgIgSdNROVh03P2rW2MCrjVJlqwW92nCh1 + FaayH/VzuQJnSnGFsYGQWhaM0SbBvwAxeC8et3RXzi7gbMMQfoJQCCSSCEldI8nlX1gJQoniSggB + GC23ulOeMJNapXwpk8s1FhrVoVpjobFqbPVobfSfP/f5yy669JqrrvmjP/qjG2+4cXxknGKD2PT8 + 7dtf88pXIYTanebQ0JBSIgrCWq3iGtZwuRL3Q6JQwcsjDt1my0AGi1kapYr34zD5zKc+azl2KlIu + BLUoBmRZVhD5jDOdWg20an7Mdrhu1QOA1gLV/4pSqjdS3c/N5XLr16/XugumaSmlSpUhILhUKvV6 + PYpws9nUox7XdQGAMwZK5XO5sbGxNavWppwxJAjCoR9t3bTV87J21imWSgcO7w/98NKLLq2Njiws + LDSbzSRJmM1d143jmLHEcmzLshBCUZhghIWQo7WR6enpwI88N5vE6YYNG37t2qc98fIn+X4vV8hz + yRYa9Y/+zV/blttotBBClmEVcvnXvubmcr5QLVZMgwRBvx8H37/v3sVO69Cjh7ECjc+3bXf1qrXX + /drTr/u1X3csTwFq97pzi3Nf+tptjmn12p1isahxOtls9qMf/WjQ7Q1Xa67lpiKO0+S737/b9lwl + JKXYRlSzJ/KFguNYSi157yolMF7meyOkz6+f1ruxclp7LvgjnWrVnSpfEkISSpVUUgqFiVIKhDQN + o1CrZTKZhx9+2HXdG2+80TTNt771rTfffLMu/O64447nPe95N910k64MCSEsSQVbcr8cHx679/v3 + rF+/vtPpEIQwwpIuFYT6CXAhBnwttNRX06nMT1Lj/dfjp+mDdMqfAUqCklIOVSvdfq9SqWgBlm63 + WyoUWq2WVj9zHGeoVNq3Z8+aVatlIm1irx1bOzExEcWBbZoWoZ1OJ+O6UkgTEymF3+42Gg3LsTdt + 31xvNnq9XrVaLRQKk5OTnPOxsTFKadj36/W65CLrZVzbZoz5vV4hlz969ChBeP/+/cV8gTHm2k6S + JJ7jSlCtRjNXyOezOYXA7/UxoCdednmz2d64cWMQJYzxMGJb14+5meL0sfl8pYSUOn/bpsnJ/aVi + 4cLzL7r/vu9t37wuCAKEkLHKVEoJwZuLLZNQ2zCVUkhCGIaO41RrQ1Jx7QenVnD79GujTuBsPA5B + cQ6FPK5kP5Ch1L2NM/FHkroKWvZHyqNlfySTWjo/fpw/0uArnANt/rMYEoFEUiJ00oR2Zeh2iN5J + BReCcwNTx3MsMJnFKRg0MTCmAYrmuvPNXhsjnMvls7TAJNNHtSb4UUz0YEePhuI4joJIt5p0J0wD + KVfi0wYj2ZNcFUK6kzdYDwAwwLjq3M73fY2uPE3bGy1DWzUF0fd9hBAQFJG+yKah50MGMYcLJDtW + P7XibDYro0hSrmIx2Ziwck6fdSvZKk8EheNUwLOeUBqEEkpZksZxrARn1GGMRVHamPWRRL7br9cb + 0pOMpYxiAAsTpQhDIAAwIKGUAEAKIQVYIa4AS8Q1eU0BBxAahAl6+ksUYKS4UlhJtSx+uOQCpO2J + pFCJgqQPLCUmsTEWmCoDMIp4SC3ai3tggzIR47FtOgo4wNLQ78fcuBRSuihCAEQBSCywBMBSSUUQ + xyIRsemY9bDlUDtKEmUrHotEJKZpOwRs1wKMlJAUECI4BdaNg1yxVD1/TcbOb33yhQViyDjJlfPd + sOdHvlvOhmkY8NAWGaUUAawUAkCg9FJDCJJzybrwlLGyrbbyUQ+mRrDCPUKe1prsHIrHsOcHusxL + pwbGg1tQGmhAMcrlcq1GI01Tk9J8Pt9tt0dGRrZu3Xp0cuoP3vKWKAifdMUTPcc9fPDQ1s1beMps + x5o6emTN6nUpi9evX29Z1szMsfGR0X6/O3XkKFK4Uh6K/MihVrlYLmULzXrz6MSRjOVhg3qZXKPd + CsMwYambdRvtBiIghHiKzhgAACAASURBVIiiyHIN0M2aFWppgw9CLZNCTwy9xekEQGfPlNJSqbRq + 1SoA0Eeh67rZbHaAsNBU51KptHHjxsnJSb/b07UNQmjt2rWmaaZJEsdxtVodGhpSSiVRnPHcdq/r + R+E11159MVLjq8f6QcA5v+/eB1764pdesHNHP/RXrVp18ODBl7/8pjCMAJRp2pxzjGk2a2k+DwBo + 1yYsUK/XWzO6auOGzffee/+1Vz0t42QAJAMyNjb+xCc+sTpUybiem/G0WdP+/fstQp94yRWjtZrn + edls9vrrnnHb1+9I07RSqTiOMzs7qy7YNTY2dscddzzvWc+N06Tf71fKNWziHedfAADDw8NTU1O6 + ZTY9Pf2Pn/7MmtWrx3/96Y1GvTJUNhzj8ksvu//BBwq53FCphAw8u1DvdDpCykKhoGUPfN9P0xRg + 6e0YvBpnKnJwqtC50M/fH0mewh/pTENP2/SZq2t1fTGxiD/84Q9//OMf/9KXvvT+979/1apVb3vb + 27T6heu6vu+/853vvPXzt7Z6LUqpHmnop/HSl7708MRhy7L63V63281ms0II3WHXud/SD1qGqAyu + /xdjp1qOMy+QpBRKIYK7/T5grJa7xUt0lDQdqdU6nY6RyfzrV25LkoQiK+O6e/b8aHh4WOt46KlO + GIaGYWjk1cjIiFKq1WpVyrUX/vYLZ2ZmMo6bz2TnZ2YtauS8TLO+mCTJ8298Xrvd/vY3v8nTtN/t + bd68edu2bSNjoz3f/9evfMVynMWFhSc++ckvesELpo4d27t79ze//W2Q0jatXqdDDMOPg7GR2ot+ + 6zfKpUqv52fzQyyhplEyaanVSPLZTJpAEivDkuUyOTzxUG3YeeVNv5NEIWcJYHXJJZcAyP2H9r/h + Da+PgljjgE2TxnEsJXcc59jssXKpwmKmi2YNH9IL4qQSdudmaMQGPM4fCZ/aH2kFYxsprCQAPm4F + EMdxs9kkBGUyrpSFfj9IotSiBsJEcYGkTl4QiCXgi94N1HJG9d8ylnKIFbNgeZpiaQm9ZlDDNrji + 3aRDTJodznrEVT2Io6Qne0ZidqIe8UmEEqEEIcSwjUFrEwA00lrzyur1+sThI0EQFAoFPXwfHx9f + vXq153m6WJLLpocnDd0Z1axiXWWFYTg/Pz81NaX3aH08bNiwIZfLDSQTTwy9oPSMSx88CCFEQIII + mD8yNJ6rFjnrBCLqi8guWb6IFBXIQF7e68ehYVEZ8z7rYATnDikfK6AISwYUYWLbKAlCnjrIMvK5 + TJUSRTKVSihYuVo+msz5oRiyPIqRQYACEJAICQRKLVkaLa+TpS8KI0VA8XTJqBoAACGJBNbihNpj + eMVpq0W3TYqEZEGc9CX2rIxlugwLpZTvR17Gwy4Ngr4pqWnQyO/ZtiuFxD/2q6nnR6A/AgVI4SXy + HAIppUDKMm3IgDKRH8duPqtSJk0lQFmea1Caxkkcx5ZpGoZhESqVipKwH0deJuuNuABAKFnstBEV + voqkq6QLgRGCKTO2F8RdQikQClKP8SkASMEJxr8QRrEnKmXpWEk9Wlkgneo9OgdjqWJ9DIRYKgRc + CoSQQQ0uuZRCv/4IQAlZHaqwJO202v/xrW9fevElURTd+dU7Hj0yYZvW2rVrn3X9M13X/ciH/0qk + iS/k1q2bJyYmR0Zqx6Ymb7755n/4h38I+v7zb/yNJExYzHacv7NVbxnI2LH9gqyXu/tb3/7Rw7tZ + msokQYjYhikAXNfdecmFd9/zXS4ZAEgpbduWy7xz+Vij2NN3CgZZskZb6aZPPp/fvHmz3kUHZM4k + SeI4PnDoUTfjXQyXZDzPdV3XdeMg1A1K27a3btnieZ7GO5nUQIgIJgihPOX7du+1PNdzMkyJoVKl + 1ekhRDKZHCHGZz712dvv+Fff95VS5aFyp9Ph/PguofNmJSVCSGs/aIlqKWW9Xv/Gnf/WWWxalpWK + lJokThMn446vXj0yMiKllFyMVGsH9++vz9Z3/3BPEoVJ1McGkQQNjQ6XS5VDhyc8L1sZqvm+f+DA + AazQW255SxTEY+PjR49OFyrFZth54UteWK/Xe71epVIpFou7du360Y9+9PBDDx3cf6DbahcKuZn5 + ueHR2roN68fGxvY+utfzvFKp0GhwLetVKpVc11YIeLfLU4YxBkBKKSUkBnRGCJTTTBgGTVvdEf55 + +iPpWxiUWD/Oqjsxer2e5sJpfJ3mhnDGwn5wbGZ6enbmy7d95Zvf/Oa6desWmw0/DBQCy7ENyzwy + NfmP//K5iy++2M14KWcIoRtuuOEFL3jBtm3bPvCBD/i+3+12a5VqJpdNkkRL8ymlpJAKFCGErJgE + DAJ+cdrfP8EECTDGxDCEELlcTgNvEELFYrHb7ZZKpXq9bhC6bcvWb3zjG//77e/48he+0ofOe975 + xxoq6jiWVpGXUr7jnf/rsssue8UrXjE7O6tF5267/XbLMCUXlmU1m81ut6slMqIoet5zb/zDW/7w + Fa+9yXGcY1NHd+7c+aXP33rP/fcARkPVylOvvvolL3nJVVdd9aEPfOjvPvF3w8PDb3rTm2q12sc/ + /nGdHAnGMMaE4PGx4Tf+3v+Yn+1s2LDz3nsObdv8pHbTAF4ycJlzZVu43T22dXvhgYfuGKoi04q2 + b14/fezR6enpv/jAX1z3tF8fHxnvd3qOZSdxrBeu7itEUSSEUCC8jOP3Qz0e0buh7oKfkoN0LgVW + oPDSpilX+CMBgB4oyxP9kaJEPd4f6bgW/on+SItRQw78kZZfEj1P+DFBC///DrWUOmhBZAmnNTlF + CpnEBAImMRFCaZrGEPOUd4JWxsg6zM7jAvJwMVsaHh/BXWKCNtZgeoikO0mGQbXhmFIqSZJ2u334 + 8OF+v79jxw5CyNTUVKFQSJLEdd2VC/hUob+nXu2aT9zv92dnZ/fs2TM8PFwsFpVSDz30kJ48/6e5 + he6hDOZXBFHbdLAyQKIj01M+iotjFSF4I+pRBFgBCiVCKIxj6tJCLptBuT7vomWBeTjbSwsBiEQo + JAyT2IYVspAjVRwbXeVu23DNeQBoTkzfffRbkmJgyiWWZ7npQpREKYdUgEUFIIIxYARUJwGAMAKM + QWFASCpCcBrFWOn5GwckEQIBAoFQaOVWv4zVBMBSEUSsjAPYSJhodBYAo1KhaOadTr8NShWyOSkE + QqiYKfQiXwEoOIP3VOrzUYEW/EQKiAKFgADhTEgsFcGxTGMV95UfilAkElOUMTIEcCqE4FwQYlkW + xQQw4qAsG6fSl0ooFUvsgMsRBj/xDUoRQn7Sd0zLcp2w65vIonj5Y8eAl6ZnvxgQu8EM/6Q918F4 + dlAmnYVLPPM48W0flEl6oE0BE2JwyTEG0zQpoY7jYIzf8Y53fPCDH9QSspXykBCi1Wpt2bQ5n8/v + 27dvfHz8wIEDh/YfAIByudztdk2T+r6/fv36w4cPv+9973vPe96jdZscx1m/Zn2v01UICl7eNq1e + p89TVh2q+GEQ+IGT8UzDLA9XTMMWQlBjCVCXah6BaaZx8hPsJAOerU6gKaXFYlE3j7RWje4odfv9 + I0eObHvCdn3XjUYjjmM93rEsi1JqmSYAaKUoJSRjQkqJFJ45Njs5Obl1+3YA1Gq0FmYXwn7o9wKQ + aNXoqlK+tGbNmrvuuuu+B+7VvG4tzoYp0gq0CCHdhsACpWmKMHiel8lk5ubmVq1a9cADP0BIAchM + PtfqtYbHRuIwnDj8KDWNKIoQQg8+8MO56ZmclwPOMBLUpD5LnvWcGzzHDYIgSZJCoWCa5ujo6J23 + 35FG8fzsAv3BDxAQaYC00CvcVwghtmzZsvvhvXv27PF9f/XI2AP337//R3s8xxWSAUIHDlobN26c + n59vtVochOM4tVqt1e5qnnmhUNCuRFEQcs6lVEIIhDU37MwSrVPRVM6WPxI6hT/SmUY2m9VFkdbQ + H+TtuVxOMP62t73tSU960po1a2ZmZv7sz/6sWq3W6/Vbb73V8zxK6Sc+8Qmd3zqOc8cdd+RyOc75 + a17zmqmpqV6vt27D+sXFxUarqVsAuoAEzTPUBeEvyO500jizAkkCAEYI4zhNMCVCSSWBmmbKuVIq + iiKQqlQoOpathEyiePOGjZvWrieAOo1OEASmaSouRCpMSp2MU8gUyrkycPjdV998zTXX3HTTTT96 + +GHPcXVp4dqOXbV6nW6apldf9atvffObZxrHDEKVkDsv2NHrdK9/9vWPPvooMeimTZv+9m//dtXY + +Oz0zDXXXG3b9uHDh2+66aanPvWpt335KwsLC4QQrR4T9P3FhXmE0B+/531XXHbVn/3pbbff+rBr + bqsULnj0YHvtmk1HjuzZsesph/b9W9Suvuldv//PX/jQ29769l5v/qMf/ShWOGWp5EvTfy1CGsUR + JmCaNEqT66+/7uDBgxknh1EzDMNBqwmfwgRJxzm1dvTLt1KlZyA1IU/lj6T6jAkh+HF/JHUqfyR5 + cn8k/aNP8eafU8/n5xRIASyJNCgkTw8IwhgLLrpRN0kSYuBMPpeFnASR9FJkYWCQEp7IuN1rR3Mp + Zch1XQCFDawbtLrfoxezrm9t29aaK7t27cIY79u3Twt8L9mArKhjTxr67YBlsP4Abe84zubNmy+6 + 6CLbtn/4wx9q1pk+Bk76fQY9cj1BgqVeGkIBogF1pOcRwJaDgBBs5VxbArOASM4wQqBw4qeJTDlt + G8RE6hyialiEAgATIoxDPwxAqNm0KWa6CwIA6Ex6ZB46xkIKOWUZpooZ4gbhmAAl0iDSwIoqZCCM + QAGSBCEgkmJECQaQQhCRRKm+WQlKKIUxAnyqmlZihSFVCOOYJ0wllpetDtW45CBVt91dXR6N0//H + 3ptHW5aVdYLft4cz3/neN8R7MWZkEEkmmJiAFCopIghlK5jQZdndaIt223bZrdYqHFbZtVqXdpVl + d1VRiVqt1UstLceSodoCRARUBCxIEhBzjPG9F2++705n3sPXf+z3br6EjLAyKxOw7L1i3bXeixsn + ztln729/w+/7/TJTaNQszzIImScDxS3hf+ohTQAWEZxEHAE/rBMzC6BNTYAKtEHDPRY2Q+IkAxkh + VHmRpSOOQkqv3eoCgFK1EJwxYFYhp+JghwEK4LbOGDLGpUD0OLNgyFSFqklqGQBgbUkhR2bRod4Z + A3pGbbdf/DFn9YSjdNX8QHFblR3TWrhFRfevxaBDongLyJw58nzGGKuq6sqVK7/wcz9/8uTJT3/q + wTOnTnda7c3NzcFg0O9093d2dVULZGtXr12/cnVhMNja2hqNhg4E7nneXXfd9eCDD/7sz/xMFARI + xBHzWV7XWleq1e0URfHZBz+7u71DRGVZS+EbkyOwTqdz7tw54UlPiLwqKjrEBjuQcA0VPJkPE25J + 0gDORBvj2F/dSerMmss/5nk+b/1fX19njDm6syzLhnv7jLGsLPwoBGONMRbAxSS+71ttAJit1fb2 + 7qOXHg+8MPRCVVaSi93d3TAIVpdPWG0WBwu0ANPp9If+1x86e/7sy17+t4hRt9slsmVdOE/GVfWl + lJwY59yTHueiKKoHHnig4ceDdp/QZtlsd3cXGDECFxuoqg49f2tzc2drbzabCeLddnM2PSAk54un + abrQH3DOb9y4cfH87e9973u7zda1K1cH3UG3v3DlypU4SAYnFtPZzBNyfDAia1/8lS++fv36tccv + nzyx4gVCMF5lhZCyKArO+f7unlJqOBz2er0gCpstY6wqqhKmk2YTwzB0WDuq6vnWeBZXKfuy0ke6 + yaPd7IG1UtaY2XQqpfSkNMaks5kxBgx5Ql66dGl7e3tra2t5eVlrPRwO0zRdX1+XUg6Hw6Iofvu3 + f9vRnb/97W+fzWZOy+vMmTNBEFy+fNm1wHieRwgOhehyo8qaPM+dgZrDjObz8IxfxDMejODpNqU9 + 7dPCPeR0OrXWzibTVqsVx/F0PDYArVbL9/2DgwMGePHi85rN5uqJFcdVY5XpttpEhESRH0jJy6IM + pAfWRr63dvXaoNd5+Ve9bNDrAoAnuCbDuIeMTQ7Gp06duvC823/sx370e7/3e4XgStW7uzuIuLe3 + 2+/3siJ/6UtfkiTxwcFwNps6Xu9ut3PHHRdHo4Pt7a2FhYWyLMuyYIw1W0kY+oNe7/kX7yhz+J7v + /ObrjzTWL4fbN7wT/ZeOd9Vi58Vrj6/F4akkuHbh9ue/9e//6KC70O/EgecbpT3p5XmKiI6JK2lE + kxtDLwx67XZfiP/xu7/n537uF4iwKpWzO/MeJAcw/bxpPPz8MislzSOiQ30kPPwRCHStpJS+9Iqi + 2N3e6fV6zaQBhLPZrCiUvZU+UjPP0ywrlpeXB4t9p49EDMFCbbRkHDgjSxaBAxJDRmAR0BLAoVrL + 35zhnM95rf/JvqhFAnaElSK0hFAbY60Nw7jZaClblVWVU0bGBsI3VmutwdrIbzSbbUhzrjDwfdLK + ABEd8n27AouLalyzkKPrGQwGxpg53ZzDRrvF4Pv+zei5HYW3M3+u5k5ErrJvjHFXHo/HLot5Cys5 + z5HPe9WstWDRKpAikEHoMWMDM8vztEqZQD/gylYqzRtJ0kya2mphvbouBTJL1unxOPt8BHlBAGTE + AADJAgCxoywGPYfuptMmUkpxwXqdfpO3di4PP/LhT1751Ja24C/AN3/XN/qx5DHUpUrT9Eyy2Iga + ISQR84ECABfvHdUXGYAFxlxugwGwWisDBAyJDIFBEE46CwGOCkc4T+VbgMDzPCGZptpYICjr0mrt + C2+htbC9tddJWtwwhqK/uDIdT5hFBGPRumvNX5+FJ9pLjm9YArDICBgnQEJuXRkHAJhFziWrjAZT + cgCGUqUVAgHwBsYi8rS2YFEYYYxBDXVeCsHImkYQ+oJ1my2rzXQ6DRsNZUlZVae19OViZ1CDTqvM + 8z1llDWGAwOOxlpE4ijAWno6kEuLljm+cmJuEs0T9GvuOu5xiREAWoOAxLjl3DJujzKpboWhZQRH + FBcIAIRPQCXx8LasBWYYEJJFS9aCIlYi1WgrZi0QV9hAEUnLjbbaIjAwhzW5wxv+0hDboGOSOU7g + MV8SnxdREztageR4O7hlHAUDRERjda1KISMkW6TFzubWxuW1NE3roiRtQs9vRPFoNJJCdDudyWQS + RRFn7LFHHw2CIEkS3/eVUhxZq9H0fT+JImPMZDJxRGGdTodzvpPvOLGBy9eu6rKSvjebTXr9hSgI + fCm63c6JEyceu/QoITKJhBBEEUPUOguCoEwzfqxkN/dWbzHlc66jORcoHFXagyCY09Wsra09+uij + AGxtbe1jf/ZR953FxUV3hrp3GgaB8549z6ug4iiYwO3tzfX164vLS489/siHP/xhEXpbO9vNdtuL + fc75j/7oj169fm043Dt//sLb3v62b/u2//rdv//vp9NJEHiSpOcJa+W82k+aAiZUrUbDgzD0282m + yRUiXb92/fbnnTdoDkajqqqiIOSILmjZ2tqKg8jr9ZhBKaUnAynE/mwCnFVVRQgy8P3QI0QAaHZa + pZBVVW1ubCRRxJHNJjPfC33fPzgY+b68ev1qM2k04ySbzvxGyxEOb27f6C8u+H6YJI04jsfpxLWF + e0J2Op2Dg4N0OgNLg8GiF8Wqqq021lpwmEhn+OhwX9z689hinh+yh58cGfdEVZTK6EacMMHLvLDw + nOsjWQQA4oDOOzJADNAi4E3u/ynXIQK4oC7yg4sXL977ta/o9XrD4bDIikG3N51O283O3nB30Fso + 62J/d3jm3Onh3oEfelVRWzCdVvfhRx+68467prOxI2pyJIpra2tLS0sOeCKlLKri6tWrD37mM1tb + W2Ss53naGoHsUK3+GIvs4efxo+jYrX5ZjVvpIMFR0Gy1AQCODImqqm61Wvu7w7/7d759sb+YpmmN + ta6ML73QD8YHB+1mk4gA7Wh00GwlxhiPCyArOFZVXRc1MGy1Bmmaco6MseFkuLS6NMvTuBXXuvZC + 0V/su2a1StWNViKlfN/734sExIjQnlhdbiTJ+vr62dNntre3X3jhrh/8+z/wi//6/+702r7vL51Y + rOv6tttu+6Zv/ts/+ZM/ee78WaVUs91wdOT9frsRB1JoLktliqQRFlU6nplWHOWlVoY8FNYwazBN + 0zueB488CmS1Nfr8+fNh6COQkOzsuVNx4mtdco533HGxKMrBYFDX+tX3vspOqlfe981OL3Uymbj0 + ubNoTznJR/v3y2UgAAfkrg/VWDr6jdPcYQRgLAJ6XBil08mUA7ZbTcZRG6W1lp5njFFlbZ9aH8k/ + 0kc6EUXR2sa6YNwPvbqskJgnfWO0BSQCbYyr1NZ1LRmjJ/f23Tr38GU1n093OCeJH4VHRz6Tc2/J + wZMAHMcdg0NGB06Ma2ONqRiBj8IwBtxyi8wAqyFUQUd2Nq9sx1HLSqZMyRghgNY6z3NXNw+CoC5V + rVQzaemBufsrX9RqtcbTiTHm1a9+9dLSkiNvcBAR1238lPk5PJI+dHGREMKh4xYWFqqq6nQ6rVZr + Z2fnda97nYuOXKjgyH88z5sjsOu6diVKF565hrcwDCtVE+dKUopZKfJJmfFIJixCRmQVIImGb8mU + VUEAhmkuGZDhKCprrQXBgAERaAvEvQhR5OOymSTCUlFnXiDSYuqL0CHYn3gqYsce9gvX19PwRglA + czKmjqKoripmfE1APr7uza8J3hwrZSgwShSFmFmtlDVSoqpqIRgHMJXmDqeGhwwLnCMYYByAwGjg + HEuo3/zfv5kJ1FbJQBqogQiM9T3PaHejDAEQDKFz97Wyta4q32sC44WpCIwUIMGG5AXJidm0DMJm + u9vZ29nlFDSiOCtmQjCOIp1O2832LJ222+3RdCQ8rnUtA+mHwcH+MEkSR/VprbUGpJQCha0VIvel + DwAMWZVXnHjLj9NpKnyP8xgRqbacSVWoRhjWqlK5EpwnQVfZyiqrq5oZ4RtZjxBAtP0lWwJaU0zK + bqdPSu1vjHkv1IZZYSX3jK5qpVEKxqRARsSM0ow9wQfDGKObmBQEILTEakvgG8GIWQDDgJg1zBKR + 1oqhjIKkrErQOg6kATJYCxbCGBNoQi7iIE5NyQM+q2eAVhjwmJTgAaG2pibthcxYXaR5v91Np6NG + oznJKxvKGRXgY5lOluMlHOMrVl+ZQGJAT2H/z7c/ripLMSmaoQBrLVjLEAGYcVgo51S5sP85bsBT + VkVRko1njbhJNZRZEYeJMcaCsYhMoAHDOFqwpSqlL8iitSaQIZW6qjMAG3m+VwhbWbToRbKqS9/3 + O51ONst9abMsG3R7f/mpz/QW+kkQMw1FliVeBAxVqXzhMyY+9omPdltdxphgvJgVRNTudi6cP+8J + 4dQX2+22MoYxpqyqTc09zgR+5KN/WqlSBrIsKz/2AW2aTU+sLN1x8fzBcEf4rDYFMTRIaG1V1Iyx + 0XCUREk6y1zTJpHhHNEe4TYRAA4JjYiIoWBMMMAyL9w+mI4nLrmulFJGJ0myNxyGYait3d/fn6Yp + AHie2N/d+dM//pBSajqdLi0t3XPPPa1Wq6xrIcTecOry8axgvu9Pp9PPfvovyrIMI5Fmo6TR+JM/ + /VBaFpWtv+qrX377yu1xK0yrLGpEne653Z3tViM6GG73OjExFELUWnHOtYk9zwOLZC0YayvTP9m7 + 7bZzgLSysrx5Zb3M84WFhfF4HDZCxli73c6y7Myp01prhthuNEd7I48LLnxjDAAzhhiKulZRM0mr + LC2z3qA9no1EKDe3t5Z6C9yyyWi6cnb1sSuPn+yesdqSE3tlGIY+kRmPhhKYqorFwaCuKrCYpnmz + 1RmNp+PRtNFJdna3hVzp9XqT7bHVutVM8jzfWL/e7/cH/e5smk0mEwvWhSdcCq20sdYTEhlaY5ng + VptDhs1jn4cbn4ARWPj8T6O0x4UQwiqttRbILH6R9JGIwJIFACY4kltl9KQ7Z4cZQGtvQgVsKQ6j + PM1uWz3zipd99e/+7u9GfjCbTLOtgyAINh9da3dbo2L3O7/rOzgKLlkSNRhDBGbBACEiIDAD9lCh + /qmAypUuS1Xv7O7uDfdLVf/Pf+/vWbIikJqs69RAxuwRi6NjdHCh3eff6tMZz7W/d9MAyT2Se1Vu + WfAjpMpkNDp76ky323388ceDIPCEDMOwruuiKOI4NsYUZWatXVldvnLpUlnm6IVRFBRVrmvTbDeU + Uvuj/bzK0yIHsMbayWQ8mU3PnDuzeno1juMw9AFAKcU5ukwzgODIgsA7c/bU3v7OcG9vZWV5Mhld + uHj7v3zb/b/667/6gT96v+eL/qC7tbV18eLFt/3sv/iJf/wTV65ekh5vtRvW2jDyEZEjQ/A8nkwO + Zo1kABKiiPUGQZ2NDNZeFFYqjRoaufEEhQFYa6oi83w6hJABGTKzfBY3ov7CIEliz/PqWmWzVAjv + xInVUTiaTCaMcwfZnOfIv1QlxWcwbrHgmEu/O/EyIlXXs9lMWRM3/lP0kTg8SR8pPnFiaTgczfWR + tDXGGOsa+4QgImMB/+bpI81TkYRP4dogWCDmqHKPMkaH32JkGQEBwyNUHoLlFqTlHklOHAAIbZrN + OJDnBb1e0Gx13EK11gaBazEixznrKN0ZY+fPn3e1RIeacCRLDiE5rxTBk7swASAMQ0cmO5vNpJSn + T59eXV11OdRms3nhwoVWq+VOCEedBwAuUer6XN3KcWiiuTCiq2paBEuk0WhuLBmBghGiZQbnxQt7 + FAYcTiMReV7AuRQGjKq0sghUTYt2a3Fc57NxPmg3wNiyrHzfB/MUK+3ZgmpYtNxjpEiB0mTSMlWo + bWRLnhWUGmaAAXAARgSMe5IT14XSpOnwHvDwiQ6ZDgisRSYAgTEABghse3dnkZY4MiJixBgBY5KM + BRBI7KjO80TmjhhxLrKiqJT2Ij8I/KrMyzwLIG563ZWVc+M8qzOd+M3V9sJotu8rvx23m35jfbqm + RuruUy8isPWkgOxM3gAAIABJREFUFoRLg3MF5BubGy2/dTI5XUE5S2dENGgMUp3NxrN2ux950SzN + qqpqxy2v6W/t3lCZun3pNg58bWdNKXVu9YIBs3b9GgC7rX++gmJ7a1ciP9+7c1jtZZid6C754E/t + dDIeRzLqBt0b402/GfVbXQHkCX9HpX4QMc4AtJAB93lNpqjKGlgkQ0RkgHBcmeRWZNnWzis+BIBI + SIZZg8AQOZNInCwTzDdojSbLbK2ULk2v6q+2VyKWrDRP7sFomA1D9LUufZT9Rm/BGzDw9svh1mi7 + nGa+FB2/fTG5DZLKgLpU3phqnZEx3MZhMztIg2H4gz/9gyf8FW1rtqpe8999Q0FqNJvyhBd15nuC + ISNjjypax72O57aehADCgqxtkwK7V6x0VrRQ3AoNJtdlBZVhFjgBZ0QGGQEZzphAMZ2MT3fPouHZ + bCKIb27cePHFl46Hozyd8UDURXn9+nVfhP1W79Ty0mKnd+mRSx7julZGabCEBFpZAFBG7d644diD + fOkZY8hYKWWn1e602khglJ7L48zVBbQ1+/v7rpNE+J7wJAEejIcnlhZPnVoVDNrt5v5sHwCQI4Kd + ZWkchycWFj3Pm04mVVWxw2TDE2k7drSnGEdnr5TSSild1h/6ow8ywV2bihM2EEIYsohIiA5l59pX + hBBxGGZZVmRZEASLi4txHF+/ft1a61ztOI4R0fGkEVGapnlaFFk+GPRGk/FoXKeqOnFyVYT+j/+j + f/id3/OWF97zFffee+/u9s5jjz32dV/3dUmYrKwub+9tunBLauV5njbWGONaRnrtDhq7t7OvTe37 + MstSh4VudZrG2qzKnPBDr9dzIV8gvYX+oM5rjwsGhxp6iFxK2Wg2R6ORMSYIveXVlVanCRwCEbh9 + 12g08jRrxs1GozEdjbNZmiSJg1IDgOd53aRpajUZjZngt9122+bezubmtud5g8FgZ7i1sLDgFDJV + VRmlxsWw2WgJIVxrQyNpcc6Hw6HWGrlgjKEnSSlDlhEDhtYekXp+wedcUfvwFd/yEwCQvhj6SNoa + IkLHL48ABMiZqwu5LDsdwnyeAnDjfrbW1mWFBFVZ/umH//j3fut3XnT33RwwkrFRejabff3Xf/09 + 99yz1F1w0vCmqpmUyIAREDlaJovGMC7BEWs9Gd5CaD2UQeg3TsenT50q6urM6dN7+/uFrQUePqk5 + EnFSSgE/zPDOZ/7oQk/TBj3H46YBkqvhOEAkCGGd7A9AEARvuu+NP/IPfmxze9OBzd785jdnWZYk + idYaOROeRMUIodZqY2NjNDl4ZGu3UiUxkr6oTT1Np8Cw0Wqu31h74LNhq9NeWT3ZaLYee/xxhWbj + xo3LVw81y8IwVEqNx2MHcNw/GH7q059+4MEH281mrVUSxfe/7f7/7Sf+0a/92q9dvHjRWvuRj3zk + da973f0/e//rv+31V69edUDJTqeTpqmraZgaq8yb7LF+61zgx5/85CTPt8tyn/CA+03BPS+Gstqv + 0utxjH/wno0PfPDf/eOf+QcH443ReFjrKtel7/tlWd+4cWNra+va+roDFHlcLC0tXXz+88qiro0l + ABcnOFDpnLHxKef5y2w93Hy4CqnzQhljAMbaoigqrbzgqfSRjtgdjoeIxhwy6HPOW62WtTDXRwKn + j2SIntBH0kIIfDJl0F85/trM51ONZ1BP5GSRgIFlRIyeRKPrggxE9BgXhGiJkY3DiCFZC1VV5Xnu + NI44574fIqJSFSI6cJ1DocRx7CIi11zkqB0ADmHocIyfB47etdbaXdaxNrnrO7qF8XjsXj0A5Llr + qLWc8yiKHDVQURR5nrs2UHcFV7ya05wwYmSAtEEPBQrGxGHB5xBKwZCAW7QIzAqLtqxKQ4jMcMt9 + zWLpd0SfELRkB+N0dXBCqaooMkSsirodtiptbgmx+89bX2gNMwWlleZ+6JMBw5XP/bzIrLXgHy5+ + FHhUdmbKmtpqDSQ5c0GzRbBAHMCSNcZ4KICDMS5uwo2Nta+gFyIdckFaS5ILowwy4VaXSzseCcUC + AhPSs5YRcM8LGFhGQghBhfZDfwBdEfHt/Z0kCAbQtjIH1LGIetCv2+pgf9iGFgFJJZRSrW7LB3k9 + X/M8vwmtAsSsnAV+0IOeELIyZdNrtaFtBdUz1ZStBOIh7VtNfegR2BuKcfC70FZgdjGMWNSFXg7p + CKYh8xJojNSBya3oyhiSaTUzmU06jRgSRowZ64PPgawmXSoUPC9TQAoYYx65XAIRGaMEYwi3AkQd + HxYYIbrCERAYBpqBQUZoGXGPSyoQVO0HXoVebUph2aLfi1lQ7dST7e3RGFfvGbS5n+6bBnGtpQci + qIknCgFkSnEqEDzLDVrSDe2hrYraHigvFkuLg53ZjsfBs6IYZ5O9YZ3lhc5OdpcrVfNESJSlSj3f + YwzqopTcg6Ns9xcNlsAtJOgnteh47Wx39rITz+Mht2Br0NfSjV19MIVZSrXRljgJZjnnyhjgPA6T + vb29gVg8efLkdPoHt505u7m52Uqadc09z5OSWwtxmKCmOI5dpqYoiqIo4Mgz8QRnjAnLr1y54vhU + 4zCSUuZp1uv1XC5/Op0aY5yL70sZ+L4hcv98e3vbIaOqqvK4qOu6yPOvfOHdy8vLVVX5fuJOsbyu + gIPv+41Gwx1wTqLg+NF2fLjMjvN9rbXKmHbS3NnZabZbLqRxhtT3fQtUlmXcaCil6rqu69rh9h0T + gAs/XPfmZDKp6zqO46IoHAGA1tr3/bIsi6JYWVkpimJ7e3Pl5GpRVdnudpZl3/bGb/2WN7w+K4sr + 1y6vnj7133zb313sLqytX7v/5+//+Z/7V2EchElcFAUT3Pf9slJCCI5CVfVeuIfajobjr7jrbq3t + 1tZ2yHwhxWw2IwANWmu9sbGxs7m1t7fnyPSazaZS1+qiBIsc0Zee1QoEzPXBr127du70mZX+QhiG + npVa69Fk3G60x9MJ83ie551OZ39/v91ur6ysgLG+7x/s7qG2nUbTi/zxdDKZTIiIMVhcXPzYpz5q + mXHBbafTqeu63++naepaZCtt6rr2ZCCECILI2txp0swpBAGAHamiPytb4Bho+8tDH+kmKW4hhNFG + Stlut33fv+eee/7Pn/3ZhV5/tDt+//v+4D3veY+rDU4mE2NMt9utqmreVT4fjDF7mHt1daRjD8JY + VdVkyTCQ3Av9Q5hJWZbAD7UQ5y9CKfVsmaindR4/mzpIx9XQEFEpZepaSukJ+d3f/d2v/duvdeQk + P/ADP/DGN77xl37pl9I0dVbMvVRLNJvNdvf3H3ns4Q9/8EOVLTutzuXLlznnnV7fWp3V+S//+r9x + Mcz69ub+6ODqxto9L39Zo92Koqiua+fAVVXVarVe8pKXvPCFL7zjjju+/du//U1vetO//sVfrKrq + 3e9699bO1vOf//z777//N37jNy5dunTvvff+8//rnz989eG3vOUtOzs7Ozs773vf+7IsA4CFhQUi + 2tsemZoQ5Pq19bi5+B/e8zuf/dwfG53kBVepAUI/9KpqInixerL1sY99YGt7vVR5rausSI1VTGBR + VTt7u0xIYMIY49Z0SSU/GPbaPRQ4Ho3IoiuezhuNnq3d+CUcdIwuaU5D6YqnT0cfCRgD+4Q+UhuP + 9JGk9D3P08qYJ+sjWQQGT/K//wuYzGdrHBKAEiAQI0CiJyB5R5aDI3mCS47MGAaADKUUda3rug6t + DcPQvValDMAh7Qw7JsXQajTZkbCvo2Byb3bOOzLHFh93DlwQFUWR7/surViWpUu4OOYG1/EZRZGz + AC70cvxOLlKiIwVhY8x0Op37ImTRGmM1oWMBAbIAxxs5kBgS4+S4AFjcaCAKAmFyKysMIQhqWVRl + TbVgaGRd6czYWkhqNttam+ca9YoADJBb5JYVWaXIeG2fS1bXlZAcGClTA4E1VsqYiAxQbbUGQxzA + GAAOSBaIAblCISEQgSbNgAjsZDw63C/EODJjNeKhFJFFy+AJBIYDcCpjMltD7UkWUW2ysgiE6IRt + rHmEUkHeAH+iscVCgqotGrnKpfIMtyGEnaA9qaYDf9CUzbTMCNCDYBAOsEYffAArte+JwIARmvsm + oBwwQqE8NVXBsk+AQonAhhZIGSWU12g03EO1vVbkJwa0KnUEYVM2PJBN0VKoPPIlerwWPoR9XKih + CijI0wN/4DMwNredpGMkeMIH1JKoVjUTkAQNQ0ZXNQAjIntkSf5qY0KMAAiYi47cHyTGDAZWcoPp + LBctD6TILQKCnWmh+B++64PVenlwafL+pfeMqunOeKe72DZWYU2oiVsPgRuGmhlsoCZdpGUzigTV + la4nqvKWWy94zUsv3HN+6cQiF2atWk8Cvx6VylbK1F7gZbrUqMNWaJjJ0mnoSbBHUf2TkiTPbcII + CVil9VT1+8tepc/CAgduwOZQTeRwZlmGCKgtWAcLZQBWG+AQBEGVVWBpZ2u3KkslFSKqqk7TtBUI + zxPbe7tlXoGyt58+W1VVlmWktEubOkNR10oIIX3hRFQdMMmZmqWlpcFg4KgRnInQWjuHLy9LRDTG + 7O7uFkXh+z6RlVJWRbmwsDAYDBDRaFOWpeCes1dhEi8t9j1PjEaT6XQMRC5umXNmwGEi/1jr3dFA + gDRNl5eXu/2eE89x3ZuMMel7zWaz1rqqKgBwMnRVVWWzmWumCoLgkLnO96MocgkpF7bFcewYLNI0 + vX79uhCi2WxOJpO90UFvaaEy+u1vf3vSTjq97vrm+rvf/e7f+c3fGo/Hy4tLB6PhufO3DYd7zhuu + ag0ErkxBhhxnADPUarUc94AxJkqi1736tdNsaom6C11tzGOXHnPpM7RUFWWWZb7vn7tw5tTqacEY + A7RgyGej8UEcRkkUH4yHRVE0m80kjPJx/trXvoYMBDIospI4jKuZ438ryzJJEgBot9to7PNvf96J + 5WXJJAAwT1ZaAYDWtTFmsNDnkp8+fXoymdx1110PPPCAo+Cq69oPIweqjOO42+3GcXwwHh0nlJu/ + oJtt/KdbckXOJH4J9JE+Lzh/4nFucvPGGH7UCOfiQ8/zHnzwwQ+9/8Of/fRnrly58qIXvYiI5kW8 + OXH5cZwIACC7Ka2u53kWLYC1YGtdl2WZZZnwhLLGuQ1zD0EIYW5yo1+qFsqbjZsGSHMfxTk0LhPT + iJNuu7PYWdje2ppOp7NulyEuLi5Op9Nur91sNpGzsq56vY4M/Kqur65d+Vf/zy+eXDrxkpd/VZZl + ha7qus6LIi8y3/cvX718+uy5O15w15/9+cc/8vGPXb58+VXf+JqNjY0sK5gQ0g9DIURZNlqdpNle + Xl553/vef/b0mUceeeTM6XM3btz46Mf/PM/zOGqMR5PXvfab3va2t2Vp8e9+7x0nT57c2d5bXFze + 3toFYgyFsWq4P3JFpKXl1sHk2v/xT358sHxymhavet1tV65ujA5GgZ9wzmfTG2EgramSeDKcPLy0 + En3///I/7A5v7OzsfM0rv5qIOBftVrcqVZI0o7iRpikyQVYPDw6yLBPSz7LCAps790REDAHxZsHr + l9VquMXAI64ke1wfCW+uj/TkcbyOxJgoy3o4HHEuXd15NpuVZS2l5IIZq+dfttai63IGgKO9f2uX + 5q/LfD4rw7V/MzrEBDNg5sg9sng4U86r4MjQEiJqpaxR1oKLc1yF0xjDuXTNRdbaPM/piLBua2vL + NQjNMW+uFurMPf8CUXlnMdx5XxTFZDJBRBcpubBKKTXn50FEh/FzdHZzlHZd13Pp7rIsXc7lEMcM + AOYo5GaEjAAOP4/PDCNGlhGzk9lUAwY86sjOUq+/zLsDaEuQGejf/fN3rjYaPIqzsvajYDqdRklI + lgCfOD5vcZQ+g8GIQWX92pPkRxB3/C4RQgEVMQ3G1kpE0oI1xli0nCET3CIpsBqMAotInAEBIgCB + FcisQOSgyRrSBEigiEgwBGsZAUOhtTYcEJgjRbQEgK475ZBmIAqbtiIkGbGorEtWsiDyRcWwYlEQ + hCTTSWondafTaEC4PZzQlDpLHdRscmPCgbd6rQY0YmpUhQrIy7KM5UJr7Z0I8lnOZqzpJwkkurS8 + 4H4c+BDwgmOGkY04k7Ly0JrQhFwzrxBc8BBCbaysPCFlEIdaG1llQkpIEFKkGdIMscn12Ka7+Sge + N1qJTjXlJEFKEKziXKAQcjKecjTSk1bpipuoIxHQGINCwtPJsxAyJCBghGgQ3B9BTCjGS9PjLZsX + 0kPfDzOdAxOsFuqg/oPf+fDtjdU+Xyi2CzL2TOeMKWtjNdZWGEQrEblmTKPSWvlCeoUnS/A5cCJC + QRnnM9vAcOfKBhSmEYU3rl9dDJefd/v5AzMyaLOyCLpepau0mnUarbJM2TE9gC9aAokBeExSVSQY + hSFLICRjkPsGDGrQWhmvZgIQLSKiBdBWIAtEMDmYNEQz9GIiuvvuu3cfGXIQLmOilPJ9GQRBHMSo + baPRCKTveR4T0kkGHYqMM26MCbjvyFRHoxEQFEURJfGJ1ZUoioqiMGSZ4FRpV8R2XqYQIgpCsORL + z/d9U5XOQt55553NZrOqqriR5KZycLgwDDudTrPZzPN0b2/PGBN5cn4OwjFo8VxLwPUgOd9XCtEI + 41e84hVe4Espnaa861DKyyJJkoceeWRnZ8fhkJ2FXFhYKIqi0+nccccdriPFsec546m1diX9y5cv + z2azXq+najMajYKov729c/vzLhzMJo8//vjdL33x5t7W3vZ4eXk5y/PpZJJEkZP+fOyxS/1+t671 + aDQJo4QxlhW553m+DDjngQw4QeD5BwcHZ06eftnLXhaL8Id/+IdRYFXX3OeGzC//yq8sLCyYWvm+ + r41yUcp9r3/Dq175DQIZWW3IEmf3//IvOF8cAOqyKrL84sWLKlNvfetbYz8haziT28Odjz7w8TSb + 9Xrdsiw3rq/5vs84XLx44b773vSNr/oGAAi8gIAdzMaf+NQDe3t77XY7CII0y8Ig+pl/8k+///u/ + /+vufeVDDz00Ho+NMaiUtVbVRinVbjPOuQsdXVAKRzrLt2Z9fFp1hvlB5k7DL6Y+krvCPMQ6uuBN + tipjjCDP8jzPqdMVQiilPvGJT7z//e+XXCwvLwshdnZ2Lly44CjgXeYUvsBOPlWLEwCAMUYZ7dhx + EZhj+eOe9CK/VPW88DXfGreY0qc1/8+1v3crkgb32viR+C6zhyEvAn34jz6Igneb3XE63tvf/4vP + /sX6jev3/9zb4zjWZB+7fOkrX/Lir3r53/qN3/zNC6fPjyf7w+FIBr4QgjPJGAOGrgBXVbUyOgzD + siw9z+u2Ov/mt34jDMOiqpx5IqL9/f0/+ZM/eeATn9jb21NVvbCwsLu1vbi4+EM/9ENuLTp6x6Io + rl+//qu/+qtXrlwZDAaubM0Yc2rTw+EQAOIkfOjxB9/xrl/b2p4ik61OL0rig9FoPEs95ktPgDVS + gOQsDqMq171e+/L1h6PYz7Ls/LkLCHx7d8cCoJB5UVWV0tpKiZ7nlaouq8pjXPr+nLh4Hny78PK5 + fZPP8ZgXCuwxfSSLwMRN9JEc986T9JEAbq6PtL29O6+Az8vExhjB2efZgv9/HB+MyEHs3I9PwKcA + iKEFcPknwVEbA4BScrcaHebN8c9IKY2xiCilMMZUqmaMHYYoSeKiI8e7ME/T3ux+5mSGzibGceyg + I+Px2HWmOsUPl3Nx+TByxL6e50qyLsSabxz3/SAIXKHpkC8KLSIgkiFj0EE0NYAlYIc9I+TkTG3Y + TMpKIXEktnXlxgc++N7H/uxz44OR6McXX/78xbMLaTUTEbiQ3hdBVc3mgeXxhfeFcJpn8rIsF7Xs + i34I0XQnDVjkyeBgctDqd3rt3tromvAEMUNAFqwBK4gEY2DNkaqEJhIcEcAKQOawrgQckTNgYCzo + QAp3iOERsxYRwZFDcFxYngGAZcW4DEUiaz/AwAMRcpmgL2oshgUXtJj097Z29LAKV72+331o7SHG + 5BJfQmCP7D8smTx34fwMZtMbabvVPo1nb/AbYzP2/GAJlqbphKVcJF4DWrMsNRPTGjRb0JpU4xY0 + QhNGLPFVYKuiy/vEzVq1oSrVP9mveb02vibrut/rR3E0XBsaY1b6K9a3JZRN0RrAoGxWaqR8FZ7g + K7t6V/p+C1o+4MDrXR/thOB3WYcx24+buSlGZmKI5XVqlOU+t3TI9epeq71VvMSO0HgIAJaBcW4M + odRcD/OllTOeT5oJI+ReecCk9L24122bDPqnTpxpnKmntZ4deNqfFiUiSpCCpLCeRebcKiElJ26J + QKmmj4ZpyfSkqEyqOlHT90zQEtu7O4MT/b0r25PL41arZ5jtDbrDYlhQEQS+BVsb6wsAsEDMNZgd + cV0+twwNFlwKXaZ12QijDJSuKhn5FVgKuDakmVVIyhjBmEcSES3yLM/a7Xa+k6XlLAmjBz/85/e9 + /r8d3RgvDhbjRvwHH3zfZDKKk4ZkfDgc0REPmLMqc+sRJQ1nkQBgMpnMZrMoCD3Pc53u1trjPjEA + hGEYhqGZM3MQAUBZltlsBrFtNZunT5/2mKhU7XnedFYwxshivz9odlqTycSh9J2nK6Scd8/PL0VE + cCyr4v7W2bFer1dUpaul+77vSvRJszG/PefDuH/lGrnb7bY7Fp2ujjtzgyBwyJTRaPTYY4/NZjOn + UHfyzOnNzY0LF5+3ubN96uwZvxEPRwc7e7tnbz+f5+l4PO51u2EYXrt8pd/r3nXXXXt7e1qpbqfv + unE6QQcR02lGxgbS02VVe761dmFhIZ/ONi6vffLBTzLOidMsm3W63evXr7uZdwksbdTyicVLV68E + wUc5A8m4AZN024hIaIuicAfNaDRqNdpb482HH36ozMs0zc+cPlfr6lOf+fS9r7w3SRKlVF3Vvu8X + aSYXlzc3Ny9fuZLnOWOsqBUhOP0JBNja2rrrBS/4wAc+8Pu///udTmdlZWVjY2NpaQkA8qqeYxSn + 02mj0XBd8S6H64p+ziGZk8v9Zw6H/f7i6yN9Xubu2PH01Ecz51wioyBIkiSKIlcdvXbtmjHGsUcq + pZzP5spc8/mZY3kO/zt0iRiafwAAIXDONRIiEhgCMkDGWq11nSrg7JC25Ah4IqX868KmdasAye1k + Z5Xcb8qy3Nq4cd+b7pPSX1lZGY/HfhR2ut2NjY3tva27777bGLOwsBBFwb///f/3P37yE3VZBYKf + OXNqNsv29/e11koZrbXSWmvb7LRdxLwwWPzc5z63urq6emp1fX290keNjMY4JG6e52VZRklSi9IC + dQf9rd2dkydW0jS1CKPphHN+6uyZtbW1tMj9KDRAKHjkJ3VdP37lsutwaHXas3T8D3/iR9BgVcsg + bO3uDWXgN5tNIT1dExH5Advd3ohCGYfRwW4KACdPDbIqlVJOpikiO7G6kqYpWQiCUAqfIs44GtJM + cGV0VRUIkugJFPK8G+9m8/wMYJFfkoEIRJaIXAO8tdaSRbi5PhLAUWniSB/J3kwfCZ5aH+kwInt6 + julfl/l8Ngc+YewJwSJYxqxF4yosyDnnwJCMnjOguWQqY6zVarmKzWg08TwPwDoTCQCHxX3OlVIO + /Z8kiYN2OE0P+II81jwT5jpoXdrM8TpUVWWtTZJkjqGf55OcYobDGJRlOY/A59vH87wwDB3knXMk + RoTGMm1AE4GxhjFGzAJasICoXReSm41aKWKEFgMZBHHcZImYcTbFVi+pR2XiRYXJjFFxFOlKGaXJ + AuATmO8vnOrP/83T8UGlEQ3Vuqt1ZwDRf/jke6fbN9rNbqGLr3n1xfagubGzgYz7jZBzk0OmtfbI + SCJhjQCSAIwskuHI0BgG7LCfCJFxCjga0oZyxkFbxZEhAFlkTLg7dMQe4JBYh3sLkHAQDnwTToaz + GqpWq6FrJipMeNQMWw1qRhC2sOE1RWJjCX5H9vw4CSH0wFttrEruMUAsoQlJW3QkeImNB+GilL4A + 7ldBh3eb0AooTKA5CHVH9kKI2qLrtYJAR4H0B16/YlVoA2RsOT5hrZUgPfC6XjcQQQgxGNYRvUiG + Bsivw8gkdgJa2MgkPX/QoGYI0UAslKbwrS8YtVmnYJYq7oO2qkbLqrwsqU6WmsRiQ4YBI7T0V2Ux + 3WAEjuXbUf3O3z23zDcs28tOnu8v9poTqcZcYQ0sEHmtciJQkNZ6Y2sv4jHnUVUDE6FkTAJHi0Zx + Q4BCShGSLkCRIKYKY7XRzPJQUFWFwte1mRSTwmLQ8v/tb//bLnRTKNZh81PDz4xHE7/lE2nLbJZn + nufNb44dM5jHobbPxSCEUZ17HvuL7atdaJY7QuU1C1kZKNXGCTc5s9qZJ+KMOBLnAsfDSTfqlIx5 + 0ut0Oq/9O2/4Zz/1z5jiWze2al3+5WOfu3z58bIsgZNrMuGGqqoyrgCIcwoEpZQqa+0wukEQNJtN + IcT5C7cLT06nUyEF4SEQxlWzGWNGqbQsAcCXMo7jStW93iAIgnNnzgrukSXfDx1cCgA6nU4YhkVR + DIfDuq49z2OMVfUTQrHz8hHnnOwTllAIoTmHQ8YCtEeSvq6i4pi+syK/evXqjRs33M0DgIOdd1qt + F7zgBYPBwPM8Z2mbzaZSyjlCxpjt7e2rV6+6+5FShoPGcDhsNpvr6+vf8I2vefTS45ubm9Myf9GL + XnT5+jUhWBCFURzPptN2u+35wSMPP9br9cIw1lobssYYS8QYazZanDEwViEPPL/T6RpjtnZ3Gu3W + O975zqqqRCC01eduu+2TD/zHb/qWb4qbMREJKZGxU6dPP/DJTz766KMc0FQl98TDVx7/qq/9agBw + 2bFG1Gg12vWsRMR3vetdVVWPx+OFwdLgxNI73vGOr33lvaPh0CXEtVGI+IKveOHu/s6v/Pqv7O7s + O0XdT3/2M9/7ff+T7/tpmgrfazabjLFid3z27NmVlZX9/f261i6mJSKtrdZa69K5K+6scf0aLrq4 + dQXp6Q6GtRqaAAAgAElEQVT2ZaWPdJPIQ2t3+oMLFx0jSJZl3W43TzMX2vX7fVdyKIqi0Wg85a0+ + dQ8SAgCxQ7k7MGAJQPhelMTD0bDT7wFAURSMcxeYPcOJfqrxXPt7Nw2QnKs6X0lBEEhkVhtd6/W1 + NekFjzzySL/fH8+ms9ms2+tVumy323sHw9FoJH3R6/XW19fPnj7V73YrVfuht3LyxKVLV0aT0fLS + iiartVVaW2uzPM/L4sy5s3VdP/74JYtEZBzaxyVgfN93Cy7LMgaglKrLqtfrjUYjxpjwPVcNdJ0w + LtEyHo+DIHASbIPBIEmStbW1KIpQ8NoWnvRUVatsOlju1UodTHa6/aXNvb1Go1EbFL6IG+HBwXBx + 9eTBwcHOwV5Rzk6ePF2UmdIkdiVyGUWJUqYoShTMGFPrKgg96ftaa47MBUjHa6/PSvr5Szvm2Zd5 + v537kQCczKjneUVR7Ozs9Ho9t7tms5krELOb6iMleZ5n2eby8vLCwoLTR3LfcaAIxhjZJ04jdyf/ + BUzmzcYzSGq5xpKjopHDAjmxGwQ8BFYBY+jY0l2fpbWO49vzQyHExsbGgw8++IEPfND3fbf1/DCo + 69qB6KIgdKbgzjvvvPfee1dXV11VxxV85qbfXdYeKRe5UOejH/3ohz70oSzLWq2Wy5C5E85lVeu6 + Lsvy1KlT9913X7fbdblhAHDnwTzH5hKHDql/lLVBRAJGBMYQWWuIcYYWgAgNIVhmmXUc6NaA4dLT + mZ7kE0xDj7wAA994tjC2MqSo0WoUNKvqinNOz7G5RctZztrQ9UyYr1dql3wbTEYp7PPFU8tqaFig + giDwpNDcElnBJLfArOXu3EEAYECIVgMwYBJcaCwYgEHQus6JjCbr0nzWWsEY0Oc5yfaw1IBMGmGn + 5vrj1z78rg+Vo3J5eXkyOciLtBM2RS5FLWORlHkhQsmlUFVtLBAyIOYJr8jKZpxMxuPBwkJRZpWu + pS+MUcCwLOtWo1lkJecckaTvudM9CmIAqPLCWut5PiLkVck5AkPn8oZhWFRlFAWzWeZi7LIs60q3 + O01Vac/zXGO9q226ToNIBqWqhpO93mJbMhylB9AMxmUWhmFdl1LAqBwmZzpf802vWLxt0WcBI9DH + bJEzK7eoEDJCJFdHsszRlBEIC4GRs3E5YG3RELuQWpihBiQm/CCfaQihs7BgtwzzfAGi1jXzpDbW + GhfIIyA3loGyoEEij8LYkJBUKpNxEBwFMZzk07gVMzRK6Z/6xZ9ueZ1MFQtfsTy4sOD7sixzHnGj + DcITdvKLPAwDvxFErWRteG0CVTalbJarA6U805H9/XQCCUjfFyQQQNeotSLiJ5dPjsajQIZJGD30 + 0EM/81P/9Pu+//se+dSjYOFb3/j6GzduJEkiA3+8N0FjkyTxgDkSXc/zgiBwYQOhBoAwDF/wghcw + xoIgIGMPDg5OnDjh5NfiOAYAl9t1yGEiclYrjuPbbruNELXWTEit9dnTZ4os54B+GBRV7vs+FNni + 4uK4mO4dDKtKIQJDYYxy/is7ImmYA84tWjgS7XVwPquVs4dKKdeF4pI+ROR8xKtXr2Z5DgCOqlcI + 0el04jBcWFhwX3Z361DQ7sw1xqytrW1sbLium9lspu0sjuPJbPz6+7611Wr9xUN/2e52I9u8fPVq + GEfK1JLJuq4PRqPTK6uON9wcCTMiou/7QsqyLNM09T2vzPJASCLKsmw0GkVR9NP/+0/t7ewmjahW + qlIVMfrDD/5hWVcu+ZVXJWPsv/qWb37xi1/c7fY5gzrLmeBv/u7v6vR61eMPG2Nms9loNKqq6g1v + eMOFc7dfuXS52Ww5/aKirv7443/iOHsajQYR5XnuR+F3fMd3HBwccM4ZcBfHvuUtb3EE2e1OJyuz + vb29O++8E++6q9PprK+vCyFmswwR40aLMTabZS7v5oAt/X7fRSmu2jPH9Twrgx3RCGmtkyRx0QXR + c66PBMdCF2e+Dh2kmzyZK5VLIdxy8jwviiK3laIocnRNVVU9/PDDZVleu3YNjrl8x3MBroLkkkVP + YtwVqMkCo1KroBH3lxa3draBYavbOXXqFAAMh0NtjONbOl5u/WKOZ9BefNMAyWWI3cMIYHVda0uS + iygM3/D61zdbHcbYdDo9e/62d77znY8+9lgQBNPp9MSJE/v7+6unVlxJcWlp6Ufe+tZXff3XQWVe + 96bXnzl3rnj44aKukmZjsrMPAO122yJM0lkcx1lZBEHAGBZFIYQHgHWthfDqWkvpI/K6rtutdpqm + DLkxFAQRANRaWwu+7xHRwcHB0tLS5uZmt9slIgCmlGk246tXry8sLBABAveiaDpJkUcMaVpMmGAi + kGk+a3c6iBzQyDDKqlpGwTAdgQBVV71Bf2d3N4pjRDGbzeJGK8uyQ7NIBABBEFirAZGhgGPH7Ty1 + 8Mxe55fVwCMOluMbG47ClXnspLWeTqeI2Gq1XMLMVRucUbZPrY8kj/SRVqMoWF9f55wHgVdVFQDz + hO8m0FUV+FESgh8pzf9NCJxuNgiAXLO+oyogZjgAcsaQrLUWamW8IEzzDIm1221VVmVd+dLT2goh + FhYWtre3pZSTyeTHf/zHfd8Xgv3e7/3ewXj0/5H35vGaXlWZ6Fp7eOdvPPM5NaYqlaQSCAlhDgKi + BvRysZtuEVRoLjIp177+vNq2U4OIOFy7r1fb4Qp6G4e2bcUBmRWhIcyEJDUllRpSp6YzffP3jntY + 94/9na9OFUkgNCDK/uNN5fzO+Yb97nfvtdbzrOfRWj/zmc88fPgwA3SbgAtN3O4/rQNNA4UpSRq2 + xdydXsvP//zPdzodt+M7rsU73vGOdru9urr6ohe96MlPfvJUHM/lRZxzt05cxOBaq4fDYRzHruhl + weZl5gfSCrKVBY5RFFWmqlSFHPgErdUGGCAYRo4XzkFuGwbAFNF1CV6e5+STJZtlWXO+netiundv + r/Br+QyP+zZNV6YBqFCA4IolIrIMPApsbqgiAaIYlbubKzlkWZozwSqti7KIo5l+d+un3vaTexp7 + KGccvTTPAs+nosrTot2asxwH+bDRThjqBzZPjoqRF/ChVugxQiALSMQZNxP5JsX5BM71PC+EUJTi + D37l98RA3nbjk8wlNSubmfViFRaDspW0q3EFBSfDRCQRvFE6CsIALEEFMUaUUyNoFv0CCAPuU2EZ + eABWYmzHFFAIFgAsVUYCl8Dt2ACARx4AQMkAbIQhGAADAFYCp4x89M3YRBiBAlZBBEEkGYytBEHK + xhiBBcgBAeuihgUzKUnwZoN5GCpDNmZJOYIma8CYBeh7wDzh3f3uT3HPf9mBlyFSUWSWXykhX+FH + PdJAQg7M+Y8ZBCTgZIGYsIwrOHvizP9936/ONOfXaLD89EPRLp8IlDX1OAQfRkWmxlV9oa2KMqol + 42JIQEhAljFHD0UwQEJyIszy0pRFKA33pebYS0cKCUOR85RAFaLYf8d+PbSNYIY1xbhMQ+FL39Om + QkTOuNKWAV5lWwsAxOwjWQV8FQcBaAYbRS/ZPdOMWxcvd5ZvWup0turtmUE5ipJEUwkFEiEBGqNF + EBVVsdnbbMZ1zJlS6vDhwwBw//33hzxinP31X/+11lpKP8syANi9e/f58+cXWjNEJKXn2iMRUUqp + LQVBsNXdXFxcDIKg0+ksLC453v7xBx541Stfmed5o9F4xjOe0ev13v72tzsZMRfk5Xk+OzurteZS + MiGDIOhu9WaarZMnjv9vP/jqTre7cmDPQ+fOzp964A//7I+BsyiKer2OJ6TWWmwXB9lEatVyzquq + QkLOmFYaEZvNZgqsk3ednq3b91wk7dCMbrd78tRDaZqGUeQw+SiKAMBae+utt7JtUZwp4jRtPjly + 5Ei323URuWvslMCKonjmM5/pArCZmZkLD6yDYI64wRgrimK2PTM3N+cksMuygm3zAwLQ1ihtAEBI + 7vvesNebaTTIWCmFH3j33HPPm9/85rm5Od+XRVX2hn3hcSZ4EAdFlQNAXIvSIv/5t/5CHIRBECVJ + hNb2h72t3pYmVWqljBZS7Nm/bzge/czP/eyepd2Hb7rJGKO03traunD5Um8wYBJ7w97c3Fyj1vA8 + j5De9iu/lCQ1R3BYX1//xN2fWl9fr7RGRHciXLq0trCwUK/XLl1a6/UG7mhwN8LdGs65tUREFuza + 2trMzMzs7OxwOBwOh9P8xIUrDprD7e5Z5/n5uIa7R+7VnPKq++HXwR8JtuOx6b+v2clceMQQGePM + klbaFQgcK8QlZk77dnV19ad/+qf37Nnz4he/+Pbbb58y26uqOnbs2G233eYyTCn9qqoQyfd9wXhV + VUVVfv7zn3/v+98zSMcoEQWvrEHBZ2dnh+PRvl3Lg8Hg9OnTCwsLS8vLx44dcw+CstfO83aC940V + xT1qgjQt4jLGBAoA4ASekL7w9uzdOz+/mGXZ8vLygUPX9/r9I0ePlllBROlwtHfvXs8Tpy+dabVa + nMsjR44EYVhQYTRFURSGcVmWvV7PdaFMabjTeolzF5iGwlPCpdtonCSdKwhVqnJohgPZAaBerwdB + MD8/XxTFlOVVVVWtVguCoNvt5mWmeuXy8rI1otKKdGEMMMkY2qosGErOCNAAWgRgAgXyMGoYbbXW + UZQYYwM/YkzU602OjHPJwRqwiEDGIGe0bUHzTTUmVH63IRFVVeUQ2yT5cvyRJngRTvyRwuXl5U6n + M/VHmuo7u4jZvRH7Z+qP9LijGbQWkQESIRIiIAd0eAMDRgYk94wxnufXvJoh69y4YTulcRuxo9i5 + WleWje+5557jD5yQUt5xxx1JkqiycojfVLbOjS8mCUy+AmPuRHfgLThxG2sHg0EYhrVard/vf+AD + H/A87/nPf77DGF1heNqF7B726Zph2/bzrtZgtA6DQFemSgsmAAGrQhFYX0iDhtHEPR05GmbJNWER + SCkTL/ETbqXNbT5QQ9tLd7X2RfVorMdlWclARFHUH3cJCOiqbzetn32ld3XHpDHyEtnNu4OLo88e + +0zZ0728O8j6ZzdOB1u8tPm4GAd1f9zdjBpxo9ZSVT4oRl47+Pv3fWz1+CVuWRImzvJlptYiTVWl + skppZnKV5mmBTUgOJ7mpZE2meiACzjmvCmUtMDbZm9xDaozVWqtCQd/iAGsqSvLIGtXb6HKfiVju + bi2k3bwu6rUES1JZWmpDsdeQyIEDEhAhagsAHBgiWWMBGE3njICRc7pAuKIfwOCqttqpJbLd/h1y + HNEdv+N+Y/sVriY0IjFhJABwYhYZB22QM8sMgrUMyfqSa6ahAMw5lgwl554krK50Z32pgQTcMkYW + LHBybo1WGEhEOBM3mrKZDVPFVNodivmmpACAOhvrYAGJVnYtveg7XvjQ6dNfuP/zWT4KPD/0IwGC + NChDnIEUwgAYq5lgngiRCg0apJAsYIG0HhRCM25Z04ujEOe4F/gqBBKGyGhdadDEACakG7I7TGER + wHxdSrTWWiZ4vd4cZ5nXkNozRlCajkJf5uOUIQpPGmYtQ/Akk7we+NYaNDAajUCLFzzvBWcvnJvw + CxiOhiMeCK11vdGkwD508vRzXvEtqIwLCXCHULLrmnB5RVVVYRgOh8N6s3Hu3LlTp05tbGzMzMxM + o1J7tVeEixk458BYpfVoNHK6mufOndvc3IziOIqSgwcPnlp9OMsKYpTUkySpe57Mi1Twa6nF11Do + tdZFUbgNk22TR6bMKBd39nq99fV1gImFjlKq2+06YYZms+liHieHw7c9Fd33HQwGLtSh7cYHItq9 + e/fi/AIHDMMwyzJVlnHU0MqMRqN6PYEguP8LX9i1Z0/kB46xbK1VznXQ9ZY4TB6g0+m485ojW1xc + vOuuu/bu3WsKhYheGBijK12252af/qyne4Ef1ZIwDL0weP3//gbJZFWqMIx9T/Q7nVuedMtNt996 + 2x1PPn3+3P1Hj7iD5q677nr6U58WcH80Grkcb+/B657baBjQ3W73uoMHkGBlZbeU8qUvfenSysrW + Vsed+DML80+8/cmM4Im33vqBD38wz3Pucasq1900Ho/dKUYTgOMRhNfdr2mta7Way06rqnKHlItC + pxHFV6sxyY2vgz+Sq13yHerh05LltHLNEC2RMVYim3J/OOdSStesVZZFq9VK0/THfuzHXvSiFxFR + v9+fnZ110+I0JA8fPuwyAs65UkZKTkRFlksphSdXL5w/efrUgYMHK1ulRV5Zw9FzEiyIePf7PgCe + MMYEYeiKnsYYJq9NPbYpgl/D4ZjSj2s8aoK0s8ZmyVprjbFkbFWUv/qrvwrAxnm2sLDwute9zt3s + ucbs7OzsxsZGp9NZWlpYnF8wxtx7771z7ZmnPOVpngxI00NnHur1Bq1WqyzLKAo452VZmUpLKUmb + 0PONMUQ2CaNSKze5bmtgjHFA3w+KPGeIpA1Z65IoS5YAPN93xtKX19bq9Xp/MEizzLm4uI0gy3Nj + 7Ux73hCORmVZZlESEDOez7Qu82LsiQaiJQAiY41BsEhgkZWF5oBS+tZSlmUIvCyVIyVrrRlzVUGA + qc7b4yxA/JNWXbN4FbN2mu469MCZjV7rj6St074jsk4ujCaSDzAY9DjHRqNhrZ36IyGwnRW1ae3n + 0YDyf9Lz+XiHs9C2gMxyBMaJWcsYogXgxITmoQirVKnKyCToj4a+kAh2Cv05omMcx2mauidlPB77 + vt9ut4ui2NjY+PjHPx4F4crKylTFzqVJLuehL+pu38ml9jxveXnZVdfKsuz3++fOnWOMdTqdsixX + Vlbc7ry0tFRVlesomP6tq8O52z0ajZysbRzHkgtGWA/r3AhQKITHgOVlKaTwGCqrjDFIiADIJkk4 + GeOLsLveXdu4fEvz+pe96vt+/FU/akCXAG/7019OVR7Xk2wwEsLrDrvzc/PDdAQAj9r89hV1vU8P + PMNMJtI3/+efW3t4c/PSZiySy+vnh+nwxLvvKz5YfNdLXyiarJ/3gsivR/VBOrLWpB7NPGE/Hjma + ngOwdsiHgABpdn7UBw9AASCwGc+KCgTM7I++5buep5hRZJgUWisiklxqQwCAlgjMdsaHRAAGoESW + QyKSQMnQq5e6nJlvG22zTi4sT4ep9L36TCPPOmEQe74o0jFjIIAjIVoGAAIZMNDWAEzsF502wOSK + V/ifk9mgnTPj/sumyxkAaKfk57UTfk2CJKQRFgGQGFpOwBGAUAADy8FYz3LFFFQAJYJCLgVHtIzZ + q+/vYyTAjl+HBAwJwTKyAIITANHWVrddawL3wohzLufaM2OqEGBhdgbG4Fn9rc965mte9UpL8J73 + vft3f/93qqpU6cgYLpgvGCdtCqWstJVSgYgCRKXKXOfok2FagS5JVaikBwKlJJ9KNBwU0xYNswZc + 2ZiBBjKGGHNnvwUCJJepXgGTvkYDAZglk1Wp7hejolVrRSRl0MRKB8bjYT2v8gpsCSYHlWlVqSyU + yACqTO1e2tU/Oz5x5sSN7SdWVZUEtbXLa7c88ZaLGxes1Zubm9yyRqNx7ty5SHhlWfpRPI0HfN83 + Smut/cB3vKMwDPvdXqPVdLU5YExbu9XtuhKMk7+7QkkiYIDIuAXyfZ+hyNJ0bW2tKCogppRaXV0t + bOWEp8f5xGPHPTUumZhkdNuVPiJieMWp5kpXvRA7l5Y7uVzmMx6Pa7XaOMvcXqqUWlxc3LdvH2PM + iejgtvSzq145UnS/39dat1ot9xbW2tmZ+f379zcajUqrpFYzxji6u0YTMeScNxqNOAjdiVzmBZcC + AIgBm+6QQNYSEIWhX28kEmHQ7z/w4PHXve41T3rSk/q9kWtV8KNAmwo5W15e7PZ7/eHg8vraW9/2 + i4uLixvrW0VRBF4QRWE6GszPz6In/vaD7/27j3xUKdVsNh84+eCf/Nf/WuVFmeW9Xg8Ro1qijJae + Z4BOnTpVpFmjXj9z9tQP/8gPj0fZ3MJ8XEuMMWlelHkeRRFYev+H3n/PPfcAAGOiqnJXOXVHWBAE + RVE5oHIbNblKKc5RfMMwdJrpuN3+Os0YYdsfiX2VQvQpXEnfAP5IRJPolGjC+ZxQJ4jc2l5cXHzR + i1505513uk/iWiTcizsJgGnyZi2Mx+NaLXY10KIohCfr9frhw4c3uh3kkJVFZU3a71Za9YfDuJ/s + ueVwr9fbtWuXa0hzD46mKyIQOz/qo/VQPdp4XPHeV9MHaSe70UWlYAwSeEI+9/nPS5I6AAwGgyc+ + 8Ymf+exnoyg6d+7hXqe7srKShNH6pctBHDWS2uXh5fvuO7KysiIYP3r8mCqrdqMZBdF4PNZlhQxH + g2FcS5IoTvOMjK2qKstSV/iZhl9us3BUH8cr1WXlWrdhx0pyObHDWFdWVhwRGRHdPgIAvkuixkWS + 1JOaKsvUWMXAK/O00WgbvV18Bk4ERAzAIpHn+6StlBKI1WvNsixrcX2uPQPGkrGTeUJ0lU+G31Tx + OQAAWJoGo1MmgKttPB5/JOv2qW1/pAZu+yN5wncO6OZqf6TpFb6p/ZHclkcA4HpuGHFuiCFwS9Kg + D1LlVToYm5otSxV6PphJHYhvm/k6A/KyLE+ePPmJT3x8dXV1ZnZm9+7dnPOzZ8+2m625uTnXCOQO + b9pWAL/mHMJtKQ5XdvI8z5VCGGOu0dkVwOr1+l133RWG4d133w0AL3vZy1zQAAAuqth5brm14Rpe + B4OBUsbDwCMv5IERNtcFAA+JSeCm0hyAAQMAhG3xcQSOTJXV/Ozs3OIc9dT/91e/f+Rj92xsbNhY + fufL/9fKmv5WXwZenudzc3OlLtAFDttfbbrA/idX1+TPGRWiuOvlL2iGDVDILEdLhSoKypVQJS/H + Zhg3k06/NyrHUsrKI+Px+Vv2vOSN3/89P8TjIHaeldk4FcoylMj9XOtMF9oUusiED62l5tAOc6qA + o9VglWKcM0BryTJiBIwxwRgDYkxwJuM4if1aIpLhRr8Q+a655df/0A8tLS9zLX7/d//g7Mkzoywl + ZSMvrJTlHteVkhyJIUfmpKURCAxwAAtsImRAjNEkQdpGhNj0cNq5Re4s2LJJImUtMEYTHMniNTnS + VQkScw12NOFlOARfWEbkCRJkwTOgwAfDmeEu7Xq0SvOXMSwjS2iRLANblao9vxD5zXMPX/BqySgv + 2mXlR6yqVBwIqACN9jizRcWk0KrMxiPJWeB70gq0XFtABihF5VvDyRNSIiLzrVIsCAIWuAfNkLVW + uaZnS2Q1VlgRogdMMg7cYYKaiCw+wtnztT6MkMBDnsS1fFAeXrnx9LGTxx641zPCB8GAXvi/vHAj + 3zKmEBHzfb+wVmkoVdVMairXgnutmfa9/+P+b33xd7zgBS/44F996MCBA8ePHycBi4vzG53VA3sO + 2lK1221hYbrh8G1nAmsn7Nlp1SwMw8FgcPyBB5zPxM5WyaIocIdm0pSkBAwHw2Gr1Tp9+vTDp88k + YRSGoQUzGo146Ltut95gIB0ntFScSc45sKu2vmkcTACIE/6LmbifX6VU5opKbu91pCynxCClvP76 + 6w8ePFiv19cvX2aMuaqiY2G5b+2wjunfAoCUslarHbjuwPLCoipLLgQYq5USjHe3OuixpFG/cHG1 + 5/txFOXjVCa1hYWFy+trjLEJbMS2ozvSnpDdrZ7VerbZqNVqn/3sp286dMO73vWuZnu20rqqyiRJ + GIfBcNieaTIhnnDbk7a2tj71uc+GYUiEUsoizZMo4gwurF+MG/VLa5eFJ5N6bX5+nglx9OjRzY0N + ozQiAkPGeaEqQ1ZK2Wg0du3do8tqMBgcOXY0CpOL65dLrZAxP4o4IBIUWVbmRbPZkNJ37MHpeQQA + nueVpXrEJeryEyew0e12kyRpNBpBEDjt7+lWP/3lr1ZvjIuF/jH8ka4CwdzXcyw79xMp5ZQEODs7 + 63le4Pnf+73f+9znPtfNgBNkcswOlxdNW+CIyBjbajWIaDwe15JalmUPnT71+c9//syZM0ESv/IH + XvEbv/NbpdF+GPSHQwDo9/vPec5z7rvvvtnZ2Uop14FsrZWB/8U1KUT8RmNgPWqCNI1HGWOSSSEE + Css5j8Pozm95dmert3///rW1teMnTrzzne88efLkrU96AgAMen2ObPeuXecvXEBjZ1qzkkuwsLW1 + 1d3q7l7ZpYzubvaqomzGdWBYcV6Lk9FgsNnpzLZbCLS4uAQAbgXEcewFnqNLOoCoFidCCN8PENFq + U1SlBQiicDQalWU1Nzc3Go3OnVvds2ePtVRVKghC3w+IiHOhlO50OtaaIu/4AQsjabU1ZRWK0BS6 + qjRZQJRsAnOSJWUMAYSqMMZDY0yr0dzsb4GxZZ55QvpCAgdD1gIRIRE66bVvqiTJVdXgGn8k9uj+ + SDApvCEiEiNHzNleaUVRdDodzjFJImubo1Fa5pUvJDJO2qAldPIDZmKL6B4xmiirfDMOA+RkGZgB + YTiS2woZtywwkhe2IWOmgBPzkAvOtapcIXNKT3U5DABsbW3dfffdvV7PDwMnlO+cCl1fkEul+LZD + 4mN8JCJy991VmFzDUpqmSZK4216r1TzPO378uENiabu5GXbUZVxe5GgJSqnNzc3BYIDEhBa9C/3F + GxbrQV3lljgEEArLx8WAcwmCA6JFpg0hJz6JgrAoikv6clR5e5+0b+/1K8po43Hjs5IZPwzCyKvU + eDgeREmIZgLDX4MwfHVuFrM2MChgPb0805rRuRkMBnES+oG0VreSekW6nw6JgQVdqVJEQYaVLvJg + yU+CWl4Ug7I/gDHGkHdHQDyOZyzjFakwDAMSVTbuln3yyAooqjIKPDTWFEpwj9BOOmsBnAg8ECPG + x0XeTYcL9YUwrjWj+v69e2666aY4Tkad8c03HV47e6GTbfmhlw1Gfq2OAGEYMrCOVuwUQNCSk4Vw + IiEA2yp5buoAGLFH2xV3/hCBMbTOvnb7ukNt6tHTJIvWopM+mLwcJ+BWkLWckFsGZhIIajLaWosW + 2AV4c0UAACAASURBVFU39NHgI/e+hECgLToNQwKwFkBzGCm1+7Ybnvyc5w14ev+Fo4PxqOhnu2f3 + dDe2oA4c2fEjR//yL97VHQ0++omPWGstggVrrWbmim1OWRbKmtIyMsB1aUlbpTSVHuOScwZotDEK + LBfIGeecM0FEYMigYRosAnKUUmrSk8n4Gkt7Xzu04Uaeu+/sdyx+2yfv/djdf/mxwMooCAtT/MBL + XpHm+aBIi6I0kiEzfiCMspWpoiRa76zNsvmqqv74r/74+1/xfd/27LvGveFL//VLv/dVLztx4th1 + 11036AyacX11dXWxPev7vhRSKeVMgYQQjvrbH/aSJHH6QPWkdt+R+7XWXuCvbaxfXl8zSnuel43H + DkEyxgjGGeeatDGGI+Oct1otznm/30/TtFmrnzhxQniSx14vHa2urrrQMAzDLE/TNI2i4DFmYprC + ueCY80l9B5wLDWO07bXtcI9ut+uHYVVVQRAcOHCg0Wg4QyQXEztJWJcMTDEQF79ubGyUZTk/P793 + 795D11/v+/7m5nBmbnY4GjmcYXT5UuAHVVHMz89bayM/IG2stRcvXoySCAA0uc4Fi4jI0Plvzc3P + qKLkHHdft++6fbuH/f6+A/s2t/pe4OdlPi5zIVit3VzvbNXr9cFoGCVxe3ZmdXW13ZplnBdVVerK + E+DHc5Uuh1kaRGGapspopVTaG42HY8ExCAKt9TgdadJhFNWSMG4km52NXqdrlfV935AuddUZDKXv + 1SU3WutK+0LmqmogaLJoUQhPCA+RAzBjSCkzTVm34XECYoB2ZxV1YtG7LbT7yP5I9Djit8dAJKZF + W5fT/iP6I7kEyWiDgGDJHeIuCb/zzjsP7r3+8sVLP/mTP/ne97632Wz2er0gCOI4dpS/R3jctRaC + A0AQBFqpz3zmMxcuXazX66973ev+399/x3e84K7f+N3frrRq1OIqy/wwyPP8C1/4wnA4LMsSGXM4 + ngOvvvjFERGIvqHi58dCkHZWUh2CZK0d6OFb3/rWqtSI6BjAvV6v3W4PBgPn4ZWmKeNA1t5+++2j + /thaTMJGY1ez2WyXhRqNB8uLK9x5TebjZr0RRGEchq7lzhhz35GjwpNRFDno2SkSOiG7VquVjVOt + dW1KBwqDVrPZ7ffCMEzT1OHI119/ved5q6ur7sM7iNBsOxHddOMtWlfjYUcISTVpNDQbC+NRtu1U + vV31QaUhs2RMCUJ4AFAVeavR5Mg2t9aN1k5JyyVHjE14I0TEvwKljH+ygxEQu7JCaNsfCQAcoGy/ + 2B8pL+laf6QJWRYeyR9pM9+anjHTJ9axK6/ZC745x6R0aRm3TBhkwCUAIhfEAxJYmEP7Ds7KtiAe + BzEHXmrSqD1PuPl0Cg1OSlVKubi4+N3f/d2EEIbh2tpau912jGG7LU/nkqtp6Q6uJgNck+cQ0ZQM + 6bb4er0ex/FwOLzhhhsOHjzY7/en7afuBacaqW7Tn8K/e/fujaLo4taFB1ePnTl2euWWXa247Smf + DPrM48iqygfpuFBgt+XsDAO0RAw9z0OGBAYkithjIK0vRmVJHlMV2VHqBRyRjFFE23jE9rfb+R2/ + sjFdn4igQTOBJS81VznkUduvJfX+sGtIdzopDwQy9CMfOShS2lrphUZCpYtuVYLAzOSjsqwnNTnL + iViOWWUsSVZRZoqUgfWYsAQgERmWugyZJ6QkQ04W3BAQkbHGGiIUxhLzJPhck0XOBsPhVrfz8Llz + hw4diuLg5EMPlEVWj0IhWKOeDLOcMfICYVVlXbxP4J5Jxq4q/CFYB+yAY+FOfvioxcEr2RQBAEO6 + IpH0JVnjFi2htcwCErMaibmGJTKWEzKLjj7EGDi85Zq890sihM5dFxEIrd3BDuS+99wXfPvtB+80 + QA1QfFfYpY3ecEMp5QmJCIPB4PjWic7m0KDtjXpJs6GqosiVNiBRMiYImTFGBBwZ55oh6VB4ylpL + zOc+VZYT97lQTMB2JmnJEEMLJIC508cSTTiACBZAWAC0O5PJr7EzPQKwqqgunroY35X4g7Cetrlm + gQ1GaTHLl7MZMxqXw2Ity8fWR2SMcxwOh/OtBc3MOEsrXb7jD39/+G3jl333D5w4evzH//2Pnzlz + pt1ud7Z62Tg3hXrKrbcFTBRFYbByIQdt84Km2JFLKsbj8ZkzZ8qyHGfpBz/4wc3NzfFw5Hnewtzc + 1L1tyhQCAMkFclFofezYscuXLyPi2traH/3RH1mgsFnv50PwmDGTHUxwyVhJRMZavJqzsDNMcrvW + 9I2mxApl9BQVF0I0m829e/f2+/1Gq+W6U2ZnZxlj4/F4fnbWaS0AwPz8vDMCmmp/7fRHcniC7/tO + t4CIVFnd/qTb8qrcGg1kKM9dOH/iwePck1mWvfYHX/O3f/M33W5XW0e3BcQJWuLOD6VNvdZszM8t + zs6dP7faqNXn5+e1hfOX1sGTSaPu+f7m1npYj4gh9+TljfWFxcXrb7ghKwoAVqgqatTQWsGp3m4N + xqNxNmrHYVRL5pcWR93++TOrcRRxIEskoyDgaMG0ZtpxHG92tlRRIme+9BFxOB6HcbR3/76iLA2Q + UhUACN9L6rVSK5dyREns6m7OWM/NgLWP0AGOwBxN0SW6xhiHI9VqNfNI/kiur/JxPACPskE5FPEf + wR8JHsEfaUKxQ6ZU5d7LqcI+4QlPuH7foWycnjt3rtfrhWE4MzPjFMimrFQXOU/JPs4y0VrtCqbP + fs63bG1tVVp97BMfS9N0YWFha2sratQM0WA0bEthjdnY2HCHe1GWURSFYQgAldE7P+f0399Q2RF8 + +T1IWmtbKUT0fd8Pg+956b9wtiSnTp168MQDZVkqpc6dO0fGriwtD4a9pz3lqb/5//zG0SPHa1Gz + 1Zx56OTJRqs+6I8Yh7m5ubzK19bWlpeXm836sRPHd+/eJaXsdru79uz+1V/7j/fee2+321VKSd9z + 9CrP86IgfPGLX/yxj3701KlTqqyMMUhw46Ebnv285/7eO94+NQ3wPO8nfuInPv3pTzug0PmruEDN + 8zyO4t98/6vnZxeSJBkNqnq0VOZeVQgECWABrbXGkrZgkFVcZkwo5AaZBUvXHdiXROGRI0d+7Ef/ + bVVVRMaStoYsAGOcMY6udk+P8wH7n7l7/9jDLWa7bVuGO+S/7aP5I9FIKWOM5lN/JHo0fyT7yP5I + 7q0frWfg6/PNvzYDH//uwIEjAAcUgBKZtIIxxoBzxBAFN3DrjbewLZ4PxzySSMC3aXLGGC7INQU5 + jaMsy9rt9kte8pJxlh49erSqqiiKjNJSyjiOnTm9g5umWdA1YaVbBu7WuwPM0QPcAzgYDBhjTpTf + +S+5woojzSNiURTOO8stA1dvc1D2wYMHd+3a1Thf/5sP/NXZE+cOn+/U5xrNqFlZAxVJ4DVeN1AZ + YwxZDSQ4t65BB7W1QEjAmREWGXCJyJiyOqzFZDAQoQSjbQGMBuNB5CeOxw5X2kZ3EsS/eH19iXt2 + zTFgtI2i2Ci7udmJZKi13Rxthn4QeBFVY6NARpibyjBFvgZrSEHIfGMUIkrfs2CM1pznAhBBagJl + nbANSaY8T0ZhvZ+NFVXC88psLIj7TGpraef9soSWmGRMcPCF34jStGSB4BK3+r3f/b3fqdfro83h + 2rmLZlxKKXkAoeQU+6VRunQMNQSwzgyDGCK4RsxH3foee47oUWZ24kT6xZDIFXlZMswSGoMWmEWw + SJaAWwS+PfOGLCBI35ORJ/1SWUY7LXS/6B5d+1Y4CYBokiMBN0gIwyL7yHs+8Ev3/tba2kZjpfmc + 77rzhqfsYZ4djcpd8S7K4PSFc95w/QvpCRZ4PGC9cS/0ZcQDSQxKawwRFyQxhxwZYYFC2abnpcVI + h2KQlAeefwOWVgaMcWmRBDGtyKBVzBBaScJlG9aaSflyxyQx+jopNAAACF5vNPcsH8hLLdKozZeq + tPR8P/DMyfXzaW1EnhDAwVIcCUXWEE9qNQsmiiJpfRcd/sZv/sa7/+x9HFgcR/1+v6oKRGzUm8tz + S+PxWESJ53mR57tEaNqWrLV2elyOgXbygQe73a70fc752toaETmRGOF5QRRdc0JJKTmyvCz74/T0 + 6TOciaRZB23TPMuLXHOMG/FadwN9aYxxTThhGCpVItA2CXdb+HhaTZ4uOWN2StpM39TlWlLK+fn5 + pF6rqgo5d10ZriJcVdXW1pYxZmZmxvf9w4cPr66uCiHOnz+fJEkcxzfffHOz2XTcLectm6aprlQc + RhfPX7BA33rnXYWq2gtzG93Nj3/6kw+cPHFw/3V/8c4/P33p9L95xSve/va3v/0P3oEckPNtip2x + ZN2neuihh57x1Kflefb5ez5n+/r81uobfviNeVWqDAMpgyQuqmpjc1MIUapCW9Xtd6SUYRwVRbW1 + uRkGkSeFNuU4T0tVNWfaXuAP+qPBYLC12WFSCCmBTFGWVZUKKWvtph+Fyhq351dVpcHU6/Vao54V + Rd7rjcscEZMkaTRqulJSym63MzszE8dhXEvyPHe9MU68zume43YD0pWZJ2ITGQpnkaSdItRUUfCf + nT/StXutW6SCc44s19odr8aY0WjUqjcwZLU4cUVSJ/Ix9Sx2qREROdcpt6qF4FpbAHBSiq7c6fJV + AMiyrNlsyigoqmp2drYoCt+TS0tLvV4vSZIsz6dtL9yT13zIr2ySH9c+9xVsjI+lYjd5UcYEE4wx + 12vi+/5v//ZvMxQPPvhgs9l85Stf+Qs//5b77rtvNB4sLS2FYXjhwgXJeej5H/7Qh3/2p3/24x/5 + RFWoX3nr28qyjBKH/Aw550Ec/ciPvLEZ3/Rffu8dRHazs1Xmxc+86T/0u91Op8MYi2sJALgFHcfx + 8uLSa1/7Wl1Va5cuZ1nGABtJ7Y7bn/zaV/3ge9733o21dWttu9la39w4dPD6P//zP99c32jPzhil + vcD3hKy0ytMMkR++4QmveuWrOZceb/e3PInLYGdVKQAAGTGGgBUTpR8UcSML4sr3ihMP3E9g3vzm + N73whd9+46GDg8HA486miQFZB6Iwp+/wz0hR7csc04xo4o+Ek/8FArep+dLL83xjbX1mZqae1IBw + NBrl+UQ6xp0xeK0/Uj3LxmmaLy0tzS3MOn8kYggWKqMl48AZWXKabMTQ9SqgJQCgf+I50uMdjDFm + gAFDEAICj4Q0gUeca96gOml7cOXg+cHa+c65lpxhXiAEs8oSGa01FwrAH45HtUY9qdWCMCQEDaSM + GWdZvdnsdTZDP6qqIs+FlE4j2xGdHaXBiY9ZACSa6E9OmZYA4AQkjTHGKABotRpKqX6/yzn3PKF1 + Fceh7/tKl6oq7bZYJZErPFjnz12v13u9ngOBpZQeCzobvWq98gfefLKUqzIdpyJgtTApKMtMrpgi + bogbDpbIdbUYREIkA1obVYHRSCCkBJYO83rYQKCirPwkYNxprzFw3e4A8CX2bnKOtIwmdqIw6bq5 + ki0I4NxwYYUgwS3zSYzW+ntnFi1XwjBOvCorNFJqbz6ZWR93TGVJUqqqCorQCwVw0ASkpfSMyY3O + /EBYUqOsYNzzoxYYm1d5HAZe6JtKXV6/lLSbVhtrre/7zLAszyMZagOMODPoWc4tAwuBSXyMgcv5 + 1kI1zAfjUcC8QIoHHjhZr9e5Qctgac+u8WC41e3U2y1AZ9BZcM4542z7aUfrApMdvbYISNZuBxtf + 5p44KWyT+/NHum7DUE5NZ5I4oSG0sO0LDODALIvCtT4BWBIoExG3eVNOUMISACxYO8l5LDCycCW2 + sJN+MbTIrmR9OOmtAmAWLU+Cp3z705/41KeWSo9NtnzdvA3KIAhbcVx2C6jDqJfDII+S1ijvQ2l4 + XWQ2K4qCl8iNj4iKZ9oo8C1owJICi4qZtFAZU1SDglclVkYjGOaXMmE1roXiMDKqxJybCtF9fgOM + I+dgkAFNZh4tfG31va/cslKrbjXolf2/+ci7v/DwvZumMyiHLBW2rt9z9/uT66Lmnrr2DQBI8LJy + qC1J4Y9HmRB+IP3NTq+fjg4dvmlwYdSIa+fOX9i1Z1en3xGMSSkePHnipv37EbGqKolsKqPs+36p + dFVVjVZ9c3PTFWJOnz6ttU7qdT8MyrywxgBAVVUOZ5ieMi4c9zzPAqVZ1ul0RqNR4PmXLl0MpJfU + aj75QRw8+SlPef+HP6jR1htJXhSDYd+XXhAEusjhkTqLmJv+7SjcGDMRzdiBXE0l6RwIPzc31+33 + HTvG8SyyLDt27Njc3Jzv+4ZoYWFhMBi4+qDjhjkuhutaiePYKD0epkTkecGDDz5Ya9TbjWY/HR06 + eD17WEjGy3Hxute89iOf/sjP/czP3HTTTW95y1v++E//xIKx1hprwQKgZcgBrdH6uusORlH0wNFj + +/buT2fT1//wD+VFOTPXNsgGg4FXZs12i8jUarX19fVms1nm1ZkzZw4dujEKYrBUFCVjrKw0YwwQ + y7JUxjaatUajsXr24aQeDwdDT4gg9JjlrdmZ5ky72+1urW8Jxq02c3MLZVlWWgVRZBC48Npzs9oa + XSmlqvF4tDi/wBh6kvu+HwTB+vp6p9NxunDuoHlEBMkabQ04YQan8e0kH/rd3uzsbBxGRmmrjQFD + RGBp+9m/0gP5GNfp+OL9iiPjnijzQhldixMmeJHlFr7m/kiusHcV8QHRYZ7EQBmNjCWNetSoVUZn + VanKKgwCEPx33vG783OLQegxFGk2IosEJonrlvSJ4w+eX7tQFkophZw5tVtjTKPReN7znpfasu75 + hdWNmcbZ1XPck1EUXTx7Zn5xwQV46+vrbuU7y2OH46Fzg7Nk8SupC3/dxmNR7HZquiulbKXiON69 + tLJ7aeXlL3/5yVMP1Rr1N73pTf/qpS+5/9h9YRyNx+PxOG03Wv1eL/QjQWzP0spCY6YMCienoMc5 + EbWjRq/XiaLaUqN9w+59nqJnPetZP/DKV7ziB77PpGmr0WAcLdnRaCilDOLI5tRut/7w7e+81L1k + rUnTsQCM4+infvLfP+VpTxXAqrJgQGHgb22sv+Ql//L6vdd98hN3z7ZbZVUyIMFZno79KPR9P8+q + ixcvtdrzb3jDG2684Rn/8W1/8dlPbZHaz9icKpkhiMOAs1Tll+49+ve/9p/e8N/f9eu//jv/V3+4 + 9r73vVsSCsAyL8hqP/CM0cYqZIysUapqtlu7d69obU+fPovAXZXCbZSuOu5Q4Klc/TcuK+zxUAQR + gCNwxgEAjHURDAd0QsmMAIxFQI8Lo/R4MOSAzUadcdRGaa2l5xljVFHZR/ZH8rf9kZajKFq9cF4w + 7odeVZRIzJO+MdoCEoE2xpG+q6qSzhF1B7jx2FnrN+pt+LKGa08nY43mnAWhaJZrRcJqexq7B5f6 + vgoavFH0IKsURd7f/sMHyjyjNG3ESVKveUGotM2KfGZu4YabblTclqijViO3KtV5a3HmoQdPjsb9 + B46f+IcPf3BpaSUIPGNocXFeSn88Hkrpu6QIwJLDE4C57diVylzm7FwCK1UwFFEcPOe5z718+XK7 + 3ia0jDNly3wwZBw+8+nPra5eCKOYCJUyWVH4wi9UNR4Mb7vtttm59szcbL/fTdPUWqh7zeJ8dYN3 + k+xwpZSBGVDUHXf92GvNzL3v7vfOHViQMx6X3KBWxjAuBElwPEQ0hJozItC2yuIwtKQVgZQ+lKVE + QYgTZMSdKwCAO7nmBNs0AAQgtIZXAMCMh1aiFQBAXBMakFiWeT2oFd2sppNZ3l5OVg74+9L+1l++ + /7+dqi7UfN8UlQBELonz2+582g233nIx6nzqzOca8zXittvfrC/VVQXaWi6YRYWkPSmstYBCJFGl + WKVLL4gi3y+ryloIgyTBkLTxGSdwj6QRniBLnhEiFybDdtyGkgTw5cWVFb4nrNU+sfd/nNo8QdoI + n/l+HGmD4BED8PnmsA9AYb1RWbSMAEDyAMCCywuB3DTRVW1HAOB6fCYn/eOC1BmCBebUHq6+WntF + yGH7OsmBAKwAAMAp1c9qUoqqMAygYrygN/yL19xQPzSAwdH0+EANDVoQ2Mv7fuKDj4UqUFhCAwAT + b2VC5ZQsAGDbjheIIVhmhWGQ8tRb8fxlRsBaLFFcG2ZTU0JOkiS0AHrwxrf9xBte9UbG4dd+/Zfe + /vbfAgA7Nrc97el/9s6/SvN0Iz3/bd/1XJfzMYSH7j/KldbSHvzW28olLBeoqKPR0KSG34dnX3dn + Aq0S4CJsPTA4kZn1AgcUWuaJvNAcOJIHYIEUgQWmCRiA3J6qr1kAgmQ5ZFjsfsqutc21ff96783y + Zo9LTSbT6ZB6imcSWBxG1sAoLRF5HHqmMlyGVrM0Lebml+MkGWapYTYti6TZGoyyMKrlWcpU2Wgm + Wmvp8anMlwtIpqyQwWDgcIBPfvKTiOgJ6XFvlKUCRRAEoRcuzi3meZ6Pc98LBRdpVoRhWFbZYDie + nZ0l6t97772MoZC80ahFfpDm47IqvuWpz87KVEgYZ5kpbBhHQdgo86IoCp/zSpVOKWFquDkajRyi + 5D6Y53lalFWhtNaaTeSFXKXf7Y1KqTCOsixz3VMAsLCw4IRDu93u3v37Or2e8OT9R49WVbW2seEF + QZZlQRCEfjAejowxnpBlXgBAGIb9/vDYsRObm52FxeU8LzmTH3r/B667/iBomG/PH77+pt/8zd8k + i1+45z7f95/xjGd86lOfUqoQrtkpCIwmYxVjskiLc2cvLC7vadbqjLEsy0ZZ6UVhfzgI44mcAAA0 + 6y2OYtAbElEtjvubPRVXB/Zdd+LEiSzLWu2mMkYKwQEjzzNFYaoSySpVNtuN4WCQlXr33j1JkgyH + g0vnzod+0qg1F+fm6/V6t9slhkEQjLM0CLyimlAHOTLP85DAzM1k42FZlufPn8vz1PdlVRVCeI1G + o8grRHQKg05mEMCCBck4AKiiBIDAqW0Ya40loo3LazMzM/MzV/kjKWu5FFppY60nJDK0xjLBrTaO + xbrzuv0QACN3/l11NUp7XAghrNJaa4HM4tfWH4mMZQQ7g0zBOQCQtVKK8Xjcnm2nush0eX790t/+ + /QeQMB+nRuvmytzRsw+K1bNcoKqMsUpwDxkxFFwgWbz40Q+RRcYBEN23YIy1Wq2H1lcdCXAwGvaK + cXN+hjj0h/2kFlujjVZkmZOFICKrNUNMx+NJFvdIHfvfaPHYYyFIjkujlCJiUkohvTiMXG/Z0aNH + r7/h0GZni4g6nc6kLuJJ9/zPz8/7wpNc3Hz4MAJIztPB0A8DhqxUFScIZJCPxrWoJoGtX7q8a3Gp + GKff9pznxX5Q5oUxZnllpdvtVkZbaxcXF5d2rbzu377u9a9//dbGZj2pFVmWp9nq2Yd/+Zd/+aMf + uXum2frC5z7/hCc8YTQaPevpz/i7j/xdq95417ve9epXv9q5QV8ejuw4xSiy1jabjSwf7tu3x/f4 + G3/ke/7D5buPfsEc2HfHqVNrvhfbiqXFpSioYm/haXfcBPZftuo1X5h+p5+NMwSoikxrldTrhcqF + lIUqOOeNZiuKwo3NdSHEvn370nF+6dIlx0B1rCRXwMAdvJ1/NuMxFvRED9VaIAIiVVWj0UhZE9e+ + HH8kDlf5I8XLy4udTm/qj6SdkwOQk/UhImMB/5n6Iz3qQKu1EZwxQGPs2traiU882NZN75Zgf2Nv + nRKm2IXzl2684XBQX53fvzTud0brm1m/f2ltfX193RKWqrq0tnH/0SP1er03HKRpnqfZ3t37xsPR + hQsXOMEzn/nMmw8f3rd7z92f+uTHPvLRy5fXBbK0yOtxMq2luTrQVHPMsV9cKNPtd5M4vuPwHU97 + 6lMfXj374IMPbm1t7d+3DwAE54TsT/74v6RZlo3zolKBH9brzShKZpozrdYMY2xlZeXQoYNFng77 + g3Q0ZgSHDt1w7Ngx3bdhETCL+SBlknkNbyac+eSRT/7p3/33aFf8o9/5ncf6Rzc768sry2uDMWPa + B+LAiRlkSByQE2gLaAE1kOvfsJwAgAE9DqFXixaAtrWtHeYAFpgFU5lSkdK2ioKwaZo1FTdsI4ZY + jsp2L1Zpmvjcx4iIilLxxE+GXmwjvXW5f67b8FvNlZYIcWwqQE6IBBYAuCXmvFcZaZj0zziJBCSj + tAVjJeMAlhMZAGIWiDkfQG7ZnrldspSs4KEfbl7e+Pzf3bMxsxFWjdUTZ2ylGjN1D2VVVboi35cG + NCInMbE8IgQLSIBOVuWqSXjEmfnK1vP2H35ZV5ejOW8lywgZARBYRgzQCs/LyqK7cSlux7GXvOfP + 3231C1Vo/uwv/tuP/NT/MS5SL/THMN4qOmM1spUWnAECbb/mVD3PJYbb6JZDF5EAFFeGGTuRqrAG + rcuiLScvEq/5P1/d5PM3L9x26vypJ95883f9qxfO35xYpWPdasuFtMwas83T4+Nv+c9v6RS9+UYr + O9+zgVG6yGzx797646uyc/13PjmDsuzZKquWcP4X/t2bVmoHFefFAtzy/JuDWiAjPbD9cZrGtYWi + MBxxG1Kz5ECwibLfV3onvoxBziTdsyxAr+2BRSGExz0AqOvYEDEOXPKiypU1QMiZZ5UmAoGCc2kN + K4qq1CbkLEpij/mVoqLItDVKKT/wOWecM0cfYlfv7a7+6Ie+tfby5cuuC9ztPJJxZ9K4e/fubre7 + XX3TjDGnEOAOnW63+9CZ0y6O1LoCa63VaZreetsTicjzRVVVQeB7YTDO0rIsfSmQ8SLNoyR0CY/r + anasIcYYbosUTznnjkbOOWdiwpJylk1E5PTNzPavDQaDixcvPvzww91+L8syYMg5T/OMc95utxlj + G0pNG3edgM2EgmGYlPL4iRNpVtRbzcFgMLswv2vXnosXLzKAra0t33cSVuVoNIqC5OKFS043T0pZ + GKMrp3bNwQIglqXa2upubGwhYhzHtUaSRC3LaePymlFVGIZFlve73SSKPBlYY8qyXF9bW15aRkqX + zAAAIABJREFUWVpYstpEflCkWRj6jUbDKp0OR5zzOPBrSdTr9bJ0FEXR/Pw8A9xYW+/1eu1Wa2Vh + N+fi0qXLR44cbTTqTArGWG/Qd3oYjkVWlnlZltYYznmzVp+6zzthg20jpx0I9s4+w0dZuq6dJh2P + jda1Wo1t+yO5D4CeJKUMWUYMGFprpzzba658e6dj9KWvAID0NfRH8qXHAWnbH4k5U3AAAsiyjIhG + WWqAWvOzPPA++A9/3+90m/UmWHIopdOqdezQa8RFJkuaMyaYpok4hHfJc5akTjd8z4H9G73O2ubG + 4uJiK47G43G9Xnc0SHikeAx3XL9hx2P1ILn03RjDERljRunxeGyU/vCHP3zfffcdPX5s33X7F2YW + Xv6KlyNiq9Vyho9VVQFRt9t16+Dy2uX5+Xnpe1xKjjjMSqm4DGRVFP3h0AI1Z9qdXnd2fj6MoyhJ + 4jienZ11qlnNWtNa6zQZz599OAzD3bt3n104M+j1OLIPfehDnPMSyjiO77jjDodR7tu37xd/8Rf3 + 7NnjUMg8zxljhw4dcusvakdhyHYvz0hmsvE48hJS2mfBfZ87urh4I+NClbkqDI8YZ+q66wDFrXmR + lWUhhFhZWXFQ/t69e9NsFEXR3NzcKBsJIZrtRl5k99xzDxHdftvTmo12HMcbGxuueW7q7jzd36eZ + gLX2qyUr+VUbX62PM/FYAAJwnfvG2jzPS6284JH8kbbVHaasbiIyRjPGnIdAo9GwFqb+SO7htYZ2 + dOtqIQRe7Qn4Jcc3VBb1eAU+LFoeAlhd6lQKtu/AnhhCWoOo5pUq7+dq3En/0/9P3ptH23ZWdaJz + fs1qd3v2Pu3tzm2S3HQ3MRASEH2I1jMglg1USSeFIEP0+RhvlE/lDSyHWqUOqmygXoml45VQ4rM3 + dAVESlCEdARIQiA3N7n9PX2329V+zXx/fHvve5LcGwgESHjzj5OTe/Y+Z+21vrW+OefvN3+/3/39 + VBWdQT9qVvJ8ONjcCqSwBrQ2nEtA7kQYrbXS82699UXNsLa9vLE4d+B/e/PPhYHnMb69vb18YWVt + ee34Vx7Z2NoMPJ8QyNgJnYABjklQjAgZY0WRObuPTr83PzPbmpqWTF5z1fX79xwUku3fv//C0lJ3 + 0KvWakrDfV94MBumZamJsFqpF1nJOQcLBHbv/ILnCaWLfr8vJQeJ/Tz1vbASRmWpG5XYj2bSMitY + kers9o998NVv+Ql/wb/r0TsbB5u+F5zrnKvXm4wYtxzGx0tEpA2gAFd2MCebYw1aNsIKnkhPGtV+ + l43xz3Zt0lJIAKuUQoO9Qd/mtK96sArxjt7xmM+5ZQYC30fELO0KFFL6HvO1NmCZLm1nddNv+8oY + zhmh5QaYNR4gswjEDKJCYAgEiNYAgGUaSQMwBp5xif6IdTZC9jiXArwpvxX7FQFCkPen7/3z//3N + P089trS0xAp71eKVxTBXyriGX1FojowjwmRLBsInqDE8i8M9/+M0Wu+uDwaD9fX1arU6hOEX7r6v + jc25cHodtiz4kecnec/jHMAYsOPBKgBggGMEbHx5GQKO6kP3T5YRcy9CBEZAaDHgDORNL3xeg1of + f+8n9k3tf+Ar9+67cn7qQJtzfrB+xZ0fvXfrw38jfJZWBje85FhHDQThg+eX3vme31lotFgsXvKq + lzzQfWwnGw7tcKZRr3G5/Pmzjzz00JJZ7RT53IsP3/iyYxqp1+tRharVaqkLRA5POQP2zYs0SwCA + I/fCgFlmrTVgEDGshIhojMmLwlgFnHHBEMm6leT0DKRERFMqBWUxLHyuLXE3IC4FCoY6LyYgjGUc + xtw2xpgxVikVxkGe5+fOnXMSqW4kyb1sdnZ2//79GxsbWmvXg3O/OUkSN5D82GOPPfbYY4xLt9cw + xMFgUK/Xjxw5UoBNTU5ETo4Ox07oDEfi2tbaLMtcgjQZy0QisBdVv11eG8exK3gAwIEwE9trNrY8 + klJ2u91ut+sahefOnbvzzjuLohj0+rVarV6vE5HkfCKQMxE9R8Q0yz74wQ82ms2FhYX777+/M+wT + QKlVVI02tjYXFxft2HxJa31h9YIQwg+Coii0M94VwiplJ0RBYyaSa6VSpVWxrjSqNZOXvV5PMN6o + 1fr9virKFDIwttFoBNJLBoOdrS3BWOwHeW5D5jFFwuDU1JQxpr/ZgcLsac/1er3WVCuUYZ7nRT/1 + QbTrLQTIkpSMtdb2+313xnzpdbZ3nIhfGIbVSiUKwyzL3MiQSxWCIHBlqtYKERleTGV3T/I8xePK + Eqmy1MYEYej5fhhFgKjspf2RninKD05ctL45/khcXtofKY5jp4BFRI1G4zWveU0URdkwqcZVGGtW + T8QeXfcBxpnqhPiHiISkrHEjFTRWZXTJrXM7bDQaroJ1h4e7tAxo18Det6V//Uz6IMEYyGaMSSGF + EGmaFUUBlt7xjnf8L9/3EiFEvdm4/fbbt7a2br755ocffthqg4i5KoMg8KPQC/zm1NTM3CwDhlLs + dDutViuuVgjAWutFoR96uSkKVfpxtLax3p6f3e7seIE/Ozu7vrGxd+9ePwodS+eBBx648vARY0wY + RzvdznS73Wo2v3Df55938/MBRsbYSqmDBw8uHlj89V//9Xq9Xg/rv/mbv/nOd77z/vvvB4Aoiubm + 5rIkTQbdwOf9XmduZs9cE8q812hUFmYPnD59QQqPMd2eYl6gENPODgwHnZlWNNVuMcmCKPA8P+sU + FsjpxwOAU+RA5AiMjIGh3traqsQ191OHHTkrhkkDgC6vmPSdFJMnOOwqtl3v4en4IwFjYC/6IzVw + 7I8kpe95nlbGPN4fybp8/f83/kilyhDRKrM1SKqNSqUShbNxILyyk1PptaemFvcf/OCHP7Tv4OLm + ysYw68ehV4LlHAUTZJGMRQCPSSaEMebU8RN/8l//n95wMDU1lSSDoihIm/n5ecH5Q1/+cqfTYyi0 + toxzzuXFmZDRZAgAMdfQM0wCMM5lLa5ZC2dPnf34x/5+Z2cHAIbZsF6vuz6ZMnpte73THVQqtaji + q1xJ7pWkrLaScyG8zfWNwbBXq1UsqP6glH4wu2/f1uZOkWlV6J4ZyEAaRt1+d1PtvP4Nr5s+PJ+G + SYA7pSkrUTxUfW0LsMhIcIsMEJA4ACG3BDSqH7QbVALUlka4wahH+FXP/nj0yAIggt39BmvRklKq + 6lelkqRBUdGBbpJnuigRUKkyteB7wlrIS22BAbBkmMVRtd2aS4bnbElaW14lAAKXe1lAcCalaAlI + cEKrrUFGXBjGiZHRxtGrrJuuYWOFg6Iozlw4d7J32vRMyKK9s3u+/yXfv7Cw9+TaqX379mU7g263 + ywyGYazMyOfKkjVADJDIyV49l+4jxtgjjzwyPdt2Os7z8/NBEBDat/7UT3/m7k+XWCquZd2DCuSQ + 1Gaqg7ILrkBybwcYG9aOWWpogUbUGub+u0s9YjL+28sHmcpiinWi/+enPmqH1BnuvPH/ePN3v/K7 + h2myPej8432fHJ4eEDOHXnRo+qaZeK5a5sVW2f3i5+8qO8PUZG87HJbTzNY5GkN5oTLV73Vmppqx + bYgiE4IleRLErF5tdoqtoiiQR5wxnIgCEAO0jufzLSD3u1SSI3dUy0l5YIwBN5ADmgsupAQkZUrn + /G0NGWMEwEgUGzlyYoxxECC57/tlYciOaLq0K57w18uyXFtbW11ddS8LgqA/SJzp6tzcnMuh7bih + DmNdTQDY2tpaW1tLkqQ51R4x30qllLr5+hdwzithtLPe9TxvWGS5KqXvCSFUkSPDmelpL5B5njsD + A9g1ZTRRvxyngNZp6919991e4Pu+7wqkSsVZoKZEZAGklK576ygVbuTynnvuybIsT7Opqam5uTnf + 9/M0vbifwmjSSSlVFGrvvn0ra6vLG2uz8zOPPPJIPxnWp5rXXn/N3MLCffd/8dyF8/N7FthDD1bq + tb3z+06ePlWWhctPJkiUg2JcMu3Yg65M6nR6wzRpt6dmZ2fDIEj6iVGaI1vcv399fRMJyryQUjYa + DSSYnZ45depks1GrBJExhIY2VjYOHVokRT6X2+vbRKYaVvM81bleOb909Og19TDe2N45d2GJiPbt + 22esLpVaunBh8eBB0WhwKTc3NweDQRQHbj7ZWusQJHgSIjGBKb7GrZ8u5Y/khUGv1yvUJfyRLver + nq5KJHIm8ZvljzTo9RUodil/JGeWkyTJHXfccccdd1hrnai3xyU5thtnSKCMttoAQ196TtvIfZ00 + Qw1YJ8Lk7qOJvXsURevr61EUbW9v1+t1J7wkhNBFsfsafVvqom8kLlsguda+S23d/L27bZrN5p/9 + 2Z/d8F033nrrrZubm5UoFnPiwQcfnJ2ecRTVZrOZ9AdhGHJPfvauO+//wQfW1tYKW8rI6ya9wWDg + +76UUqUqVcVDxx9WZEFyEiwpSl+IJEuXV1a2t7d7vV4/GQJAHMfGmKWlpV6vt7q6euHChe3NLSSa + m5u75557JMiTJ092Op1qtaqU+re/8G+dRey73/3uX/iFX7j//vudVqYz2KlXaxz56cdOtxotwfDf + /8aHlNrw/IDw5LHvamurs7TjeWpl+RGtt++5+8unz33u0OK/GmbD9Y3V8+fPHr32sFvT6+vreZ4v + Ly+HlVipIivyVqt58y23DAdJtd4aZmk/GYaVmHNeaAUAzpFtTNYHxBFuZB+vkPVsiKdbZF/u6YBj + xWe72x8JL++P9PjYjSMxJvK83N7ucC4d7jwYDPK8lFJywYzVkxdba9FNEQDARB3rKT/Rs01W8ulG + 7EXGmFqzaj3SidbdYWB86XthFMZ+yEv5wy9/xYlHThaqrPnVwJND289MzgxKFBIEF1yQBEuCkLRd + OnOus7FFDMMw3O7sMCnmpmfuvOdejwsvDIwFYpxzURptjLnU0KoZNdcRyVKW5cSgnwy/+OCX7v38 + FzjHmZmZtbU1rfXs/BwR5UVBvgDhK4NFnpV5EYjSkzIKgn63K3loqAwCoW0R1UJWEhd8c3V7bmZh + z9y+WlxnAGmZFVDMTM9ur/WOHLnycye+GO0L5ubml/oXtFFREANaQrRgEblzL2UkLFo3WUQAAJYQ + LBgGMAENLhe7V8uT54EBLSEwsASgjZVCGK1dF9APZeCFEkTcqJBg1lrpy6zUZIGHPngiLVMGvCRj + yGqtAy/kHtY9LIx16lgGmeJkAC1hAVAQEWfEmQHFrOJIAhUSY4TgPuDj+GjM90LP+nG7ErcjNdCP + njj9ypf9uAfyB158+PY/vX2pl8ZxbHLNGOMA2h22JRrJ3zFkSOjkGJ4bUZbllVdemebJhfULzWbz + ta997aG9h1IYFM+/JdOpllSwfCPdEkJIv9LZ3hJVBmPYDcYiBwyYhbH8gyuN6CKvzzLLdq0XTgBA + fi2UVuq+1aL84/e95/DMob7uP7z+2Pn+srbmYPvAr/7Ov5vDVqNZv/vCfXlFLQ1XG3H1lpd998/8 + 3Jsikssby3nFrFFnw/Z8zpJe3yujvQszZ86ebMuU1SrbG8tT7UZHLZdJwjxGxsR+MCwU/zbtJMgY + FwJdOxWIeYzDyPyHiBAZZz5jzMJIvIeIhODGgFKKlHKv5Miq1ZiBUCUpVRRZniVD3xO7Y4LkwJj/ + 49gZS0tLWZZVKpUsSScyp4cPH242m0mSuE68EzkQQmRZFoZhnudnzpzpdru12oivFUfBoNvbv3fv + gQMHsiKt+rXJrLzWGjlzu1UQepVKZZD0nbyyK8Bc9ukSWKIRC85tRmVZRl5w4cKF1nTbud840QhE + 1NYQkfR9rfVgMHDqYbVaTVsDAEWWSy6iqSnnSNPv9/lYH88hQpxzVZRpmuZ5ubW9/bwX3Ly8urLV + 2dm7b19uy8VDB37mZ3/2x1/1yv2L+973/ve9/e1vP33h3Bvf+MbTF04nSeJ8bGhXOQcA7iQ7TpdL + eV3xQIx2tjqCyan6FAe+fGEp9MLX/MRr5+bmrDbvf/+fZVn2pje9qV6vdzqdf/9rv/7617z2phuP + cSbv+/y9t//dB3/+LT+7eODQ1vbGb//WO49ccejHfvSVgHZ7q/MH7/m/3/rGt+xbPLDT73/4Y/9j + dXX1Na95zczMTKfX+53/+M4f/ZEfue666wDxU//4D/fee69LGjmTDqdzxZsD7ibZqVZPUz348v5I + 3f6l/JEuH08rZZrkKt8MfyQGWKSZtVYbwx1zh3NXMhZlaYxptduVSmVtbY0xVriki3NrjGPkuEYC + 4wwYU0YbIiQyRAyAcKRXwzjiLitkHJt05Xler9ezLDty5IiUst/vp2m6W5N292J7DpVJly2QHILm + ylAnms6I4jiOqxVEvP7660+cOOH2/nqzsWfPnjzNXPHgLm2W58baII4GWfr5B+5vz81OT0+fPHmy + nyZeFHLODcJrfvL1V19z1RXXHEUphnn2yU//0/962w/2er319XW3LObm5paXlz3Pe93rXudxcdNN + zyuKotVqffafP3P+7LnbbrutMTVFQL/6q7/66KOP/uEf/uFgMFhZWZmZmVldXe12u/V6vVKp7Ozs + uOsRBEGeF8ayQ4ev+chHPvLa177VQDeuJ0W+fO+9d2hriEyRDSu1UBfdxQP1C0uPrawuDbNUUyp9 + Ly8LLmXez5dWVna6Hc/3lVKsKLIsG6aJEKLdnvK9wBDf2ek6AUQicpC6W1K7F8p3fEzuBLvLH8ki + MHEZf6Q8pyf6IwFc3h9pbW1jgoCPrVbAGCM4e8Kj/zs4GLE8SdJhJqsyhMj3/aAeBEWkreqmuQy8 + 7Y31vUf23/Yvb/v9d72rOTNVYlEIBSET3EMFqlCMcQZgC9UdDuMgPHhonyZ7/vz5XCVBHGhrVrZX + ZChLVepS15o1a22apkwy7rZZGOVlbGTZyxiitdaNeObjPaxUuWUYRVFSJNVmrMludNaJiAsPwPMr + EShiktfDOifQpeoNuyiphIyE8Sre2uaKtLI+VUUhB73+1mOdg4cPiFAQmTRPSRjFaf+hxYcvHL/5 + luev5msPnnywua9hBO8XA98XwAGtYIZxw4QhICSyhqNhYFyN5CTSRtPyX2fsKh0sAHDOOTICUkqp + omSGndh49OGVL8tV85mHPmfyPPYDnaaMg/QCLdk6G/Qb9vjyCZjiWZFbbrNhISscjXFOypahAgRi + gJwsE0wAIVmQyAkZQGlRIBE+Uf9ltLVrrQ/NHhbG90pZaVfrQe1P3v/eI/uOhCZcXV0tiiLP80iG + 1tp+f1hr1DnnBBbJOiEGeK5tbL7vnz17Fhjt27fvs/fd+aEPfejK66/0YvHROz709n/3iwnlIuDz + MLeWr+2YnSD0FGrndDT+hBbBAgFDZi+6nViLDlGyCAydah6NUSckAuhnfZ/51SAMPN/asoRcCs7r + PEsL5uOO3gmlCKvzJRR79i3ct36/N+VlNgeuJHoGaWZu9t7lL5QVYAFU/CpiEaZyfef8/MEF7Ems + ChFAZ7gDIVkiIbxS58Ns6MnY6slH35XM4SV0vZ7ZUK7IIVJKIaGUkji3ZD0uDIySQWWU0ppzzj1B + QEBgjJGIvu8Lxsu86Ot+YUpmmNFIjJyRtBDMlsqO4wlrj4iklKbU3W7XPWQAwKE609PTMzMzjrc2 + 2U1cSpokSRzHAOB2nGq1urXdGZ0qxGPHjjHGHONDSlkUhfSkL8NBMkTE5lQzjPw8zweDQZ7nAOBq + toluBIxdaFy47WkwGMRx7Pu+c+Nxn0JKyUEwxpgQWZYNh8Msy9y2qIx2una+70dRVKlUGGN5ngdh + ODkV7sNqRGAopFw8fOjBh75Uqddq9fpjp07e+Lwbrzt27F3/+d1RJT574fxWr/Opf/rH//OXfun2 + 22//2Mf/R6MxysLdjuxOnWuI7C4D3I8AwL2ys71dBOH09DS3kKfFNUevPnr0qFHq7z92R55lL7jp + eVEU5Xlujbny4JFj191Ahjo7O77wbjz2XfOz893+nC+8Kw5f+bwbb0KOjz7y6LCfXHf1tQcOHcy1 + uvPuu6w23/vi7wmCYHV1FSxdd/U1N9/0PODsyw896EzGOedGqcJawQDGQup8ZDnlcgyYXMcx4POU + rGjEJ/sjRdVKpVbV9hL+SJOC+RsMd+TfJH+k9sx0b+vS/kiukszz3DnfOPssYy2hM0JgCGSsRc4E + F8AQCTgCWGJkGaDDl4iIwE5QWUdVJSKllCO4DgYDd3c4WDIIw2JsBP9chI/gKQokvsua1xhLRMyS + A2EbU82/+Iu/uOuuu9wVffjhh3/7t3/7wIEDSqlSq7haiaKo0+9tbG8tLS//wR/+Fyfb3TD1Tm8n + yYbAyGk/tKanGs3mw8ePb21v//673p3l6W2v+KGoWmk2m+4UuyuhlEqSJGg0P/ihD25vbUVBUK/X + m62plbW1g1cc+Z3//LuVeq013dZkPc/zpRxm6aErjvzjP3/6U5/+J6314cOHndR9FEXDbpIXePLU + +ZWV1b/+uw/H4XSrvf+eBz7S2lfjHqGAPC887uncTu+vffZzn9jYvPArv3b+1OnjpSq+9/tfLNGT + vu8e34yJSqVmgIIgSPNka2srSQbGkLagtZ1MUsKYRbB7oe/O4L8NtPFnNC7XPkEEIkvkck601jpF + R3s5f6SxACuf+CPZy/kjwaX9kcYY+zNy/M+ViINawCuxjPNeGXLigcjzfKfoREElFRlNITTgJT/6 + vX/zyb/ppf3lrTU+xUtTeuAFXBDXhS5D7jNGIuZW6HPr59I8k77nx1KGkoEwRa7AGE6a9Fa2wzkn + SUVRCBBwqRKUEbPWInE3zoGACAwkiVBmtlhfX29PTzWbzZRSz/MqtcbyyqrR1pbKKCW5YICMQPgM + gEpUeZlKK+qLzd5wJ4/KfpnbQNanm6amLwzPNxoNf9ob5INCd4d6sPfwwslzpz7/6H1XveCoReV5 + FZCsM9hijHHGPBJMc2G5R5IYFGQVs8TdFL47VABX51xqBV0OaWSPc+mZ3M3WKGtAA5GUUtQEpHj3 + F+7+7Ec+nZ5PLnxhjXngMTApCAEygH4GzVP3fPDBTySy+LGf+teRjOvN6novlcLLCyUEIy4sMCLG + SDDLhZE++bbUWpfAOXo+SWGEJrTkHAdcljxiDDJwDrxgmrzaDBsITMzgXXfddfNbbxa5WFlZsUl5 + ZN9hVSqtrVPd1Mbg2AcWxvfVbh7Rszy01nNzc4R2mAwps5ubm7dO3WqYevjLX6n41TpMdaDDIJdS + kiYQaNAaBMtGAuK7h6qdjN4uutqTRLQnT3G0QeST0t1hN4qDux/83NLMyrBMRTustoJhnq5trj5y + 5ssbe1YLlc9fu6dT7NRn2oPeUOXDu1buYYWtT9X7dkgg0zzJy8QvkVMo6/6v/af/MMVb2uNQb9zV + vScxZViPMxr6fliUUBSF5B5MwC6Ab43MNwBwz0mYovQ85w8ECIyxQpV27Fk5eibjiIKqrLEWOOc+ + SQDgnDfjeoXVJMgkLdNsyBgTnscZ5G4GietJgTTJFGns3uMa8E5QDgA8z7v22mvdUKsj3sO42+v+ + USnlUl6XqjpkSWu9f//+mZmZvCzcZj1CgYgQMYqiOI4dYNXb3tFWWWsJye3ybkczhWEAuMs2nTEm + GIv88NZbb63Uqq7umrzeeRjsdLvLy8tCCOcON4IUilIIsXfv3jAM4ziuVqvD4TAKAkfqAYA0Tbe2 + tnq9Xp7nwERv0G82m0wKL5CzC7PHTzzy8KMnMpVV6rVqtSqk/K13/lb8BxWHdA36PVetSSnKslRa + SSmJobIGyTLGhO+50khprZRCMkIIo/TmYLMW16ZbM13sCMa2N7Z8z/M9zxRlkRYcuOCCGSyyUpfa + ljZPc9DAgPW2e4UuPObVKjWVKS/ykl4y7A9DGerSWIB0mDHgoR9Zo7Mk1aWO/SgfpmEcqVypQnNk + rooApQAsY8z3/QmLrCy11trNID2hkICn2t+JMwacAaIBUkZrrYkhl9+Z/khuDq0oiiRJGo2GMw4F + AGutAXJqWsYa4EwgGLKkjUUAYw0QBwQaIcNKlS5Ds9Yaa90NYoxhnA+GQyFlEIbaGNdocCgfMcdV + pme7IMOl4rIF0gg1YgwAPM/jnNtSGWOyLPvFX/xFVyQ4KG1paekFL3jB8ePH3cLqdDpSymGavPSl + L/2+738pY6xer29sbPi+PxwOZ2ZmHGRcr9cdV2pqamo4HBKRlKI5NfWe//bHbn34vr+8vFytVhuN + xvve975WoymEYM5kClCXKkmSv/7bv9m/eGCn10VER7EbDodRFK2srPze7/1eo9FgjG1tbQ2Hw0ql + 0u/3JQ88P/x///IvkrTr+7Jaa549e/bde3+FcVrdPB9VQobCKFQpzE/v2d5cbTZq6+vrlVqFMajV + KwUUZ86d7Q46s3Nz29vbypqiKKrVKhNcqaLb7QdBoC1wLh1yOmkmSSmdigg8N4mYX0dMui8jf6Tx + /xKAUspRVLMsW19fb7Va1WoVAAaDQZZl9qn8kSppmibJyvz8vMMJXdkJY1IQY4zsxUFAdyTPobP9 + tKtlYnmpOIqSTFLmgL2V9ZUzD51RHeOb0CSmXZtaW91otVorfDURQ2+/+Im3vL7URUVGkOnHHjpx + 4oGvDDb7grNKHKVlUUgV1IJKpbLd6/azngj9gpVcSnfJhBCDdICI1WY1SRLY9RCffCNQILIsT621 + 1WrFcQBKozliXIsjFqWQ5+mqkabkZb8/xAovTOqF3AuFLyRZm2WJFox7XPjQ7/Sr1cor3/DKoRr6 + lXDQHxqDX7j3i//z+N93ProZ+oEX+KVRmmuKsat6OrDbxfax77t+pbtFuY2rYSgDZIyhJ42QxKXi + nnauURaEJdCGA5LLfwU9TQMZBqO5o3E5Yp0JEhJwQM8LCW1a5DCkdjw9vzh3+KYrghvif/Gqyt75 + BcpLlWVxGAohljdWwnpltb91fmdpz1XzqU2lkrlK6hij0YxJEKiRkeFIMlBeUHhBgroQL24cAAAg + AElEQVRXlplmgqDKKeZlJLQPGo3mFggtWnQAEAAQsxYefOjBhmhmm3myMwzQf9vbfv7IgUPdc912 + u639XAiRZ5nn+Vz6TPAkG3LHFQIkIkvk2BXPFZEGIUSSJMhhmA9n9s8uLCwcPXrUcv3L/9fbP/6J + j2dQ8CrPZSHb0tZRe9YwMOwig9ACcBpPIgFwINqdbKElYoBkmWWWwXgMDwCKPK1FtUE26JaDxmJr + oLJ4ujo0SZon2ujWQnO2NZV28+pc5fjS8fae5sZgCwCn97UoMyChZwbRdLVv0npcT/JhFIZlRzX2 + Tf/T/Z/Z29jfzfNuXE5d0Ra+GKZ99MAaJVgAnJHZ/fDYvYa/uRfM9ZIZMSkl31V1MMHdYCggCs4R + sTRlURQWjGCScw8IkiTJk7TZaNx45Q3XHz4mQZ5fWvviA1/Y2Ngoy6xWjV3i4R7sux/pAOBSTC8Y + 2U26QVYhBBfekSNHHIkoiiKX47oCyb2yLEvnfL2xsZEkiXuXKvMjR464mmqQ9MkTrrXfSfq5KucW + 5pvN5qDX3enspINBpRYTUakKGhuPCiFUpiYMkclm5/ROjh49mpfFpIRzP/XDABG3O52dnR0HfLm3 + BEFQFEW73X7+858/wo6CQEoZ+v5Eum1nZ8e9KyuKuOojMU3WFsUgHQjfm5qayrXyjAecWaBS6+bU + lBuzGQwGThjaiWDBmFk3SmTHgy4TfMn3fU+wfr8fVKqc8/XVtTSuhL4/Oz2jtWk3p3whl7a2ydjp + VrvX6VbjijEGgc/MtAX3hsOhNTC3dy5XpVKqLHSv11tsHwrDsF5rRlHk+341ChvV2tb6xtbq+lVX + XtGtbnOCMss5YiWKq3El9Pyk38vTLC9yxlgUhUKISqVSq9UQcTAY9HqDsizLQu/ejL7qpj9BcnCX + PxIRdTqddrvtqhT3mksOv33d4Qpyh/BUKhXOeZZlbh7pGfFHmpuZjS/lj+R8daMoqlarYRg6PXHO + OTFEi8AZQ9QMBedMCjJGM8sQCZFZi2M4ERB8NipNXa9hooniRukYY84X3lVNaZoK32OPHw/7NqJJ + XwdB/KlkvsMw7Pf7s7Ozva1OpVIZJN0oiobD4V133eUGyJxmXZZls7OzDrl2t5nneWfPn/vBl91m + gebmZlutVqfT6Xa7RVFMZATd+WKMX0SoAQiRcCT/opSqV6oA0N3eaVRrDshz4mUEIH2vPxy0ptuD + NHF8PFfROXgaABy26Ho2URQBAOfcWPOTb3oD4yB4gWgBrSZtiQgscD06IcQZCW4BQSMxsh5ZDmAJ + jLYqikLOebfbdQCo7/tJmnKBiCgDnxi6AUq3KN03E1VKeDbJBrgbtdfrVSoVh9Ht2bNne3vb83xH + 6Xai+77vO7keR1B2YjiIuLy8fOzYsfPnz4dh4FpT1lrf9/M8d7cKwEU9fvcXd3cTJ7WT1rrf7yNi + vV53DSF3rtztZy/tjyTH/kh7oyi4cOEC5zwIXEeEecKfDHFOOigOaILnbOF0ubAIxJgiY8pM1iUZ + ivdWrm5eZzPiigsQHPheu98i/OzL3+oFXPieCGKrrC00ZfrQsUMv+1e3iRK5QQnixCOPHLvm+k6/ + 127P5Kqcmpo6cfpkrTFSklxaWtq/f78j7vf7fbf3O60FIYRrc/T7/Ua14UR1t7a2oihqzUz3ep2d + nZ1Go1Gr1ZZXLkgp5+bmer1emmVxWGGGm9L4QkZRdObkqYMHDw6H/bAebHe2omYlNWlqkuZcM56q + ZTotSRHSd/34DaZQVhmjtNXaAgOJJJkS2vgWA9zMdryqZ9EUuhDIGHiSh4PtQbsyt2d6dhZaGmwC + 5b1nvhDN1fpZT3iMgApVVurVrCwuqpZ9TQvkYjJKF1EGxgB0aRgAQyYjPzVpbbr6kh95qQWPETIL + kkhYJw5u6zhrmF1gB2/gN5WiRG5zm0T1YJh3K4Gv3IQ5AVMQaO536BUHX3zXx+648MCjdS/WzK6o + nV/45V/56JlPdVRZxMpwRYRATqXP2foA53xubs4rvVYwVTYKyM3hI4s1Wdlz9fzRo0dPPvRIkiS+ + 8BxzQ/qeMx6ZVFg41kF5ts1MXi601lxw5OBkxG655ZZ6tW7BtKZneOTlkPGYJSLTVZOITCPlulBW + +4GHgOkwAw0Vv+oJyYBZImN1aQyhHSXrAGTG8AgfiTsTEBJ4nOXF0AukMaYIDQvYju0RMwjGF5SV + AwTBanKbeqIhBkVXeojESpUKzhzns9BWC10qDQxTXUqfbQ6HdipYzjcx9GWdD4u+73Hf9xWUCNyS + IUtPnmb91kxXIo7ItgaIrAIE5ECubzBiOZExrrZkgnsGSilkWRhDJvQ83/fbzanf/Y//6f67HtSF + edW/fu1bf+5niKjb3d7Z2VmYmbVjwR7YVXjAmOGSpun09PSpU6fcM8f3/euvvz7Pc5d8u4kjN+NK + REmSTE1NIeLW1taIYWW0y4Bvuukml3A7pklmtRuOr9VqTIparWaM2djY0KZ0usYuqXDZoed5w+Ew + DEOwVhXK7TWc8yIrDedBJXAsGGdlMxgMXOFBRCsrK48++uhgMHD5iSNTdHd2rrrqqn379nFEsjZL + ErC2UasN0zQIwyzLCPH+Bx9cW1urVCplt1tBYgyzrJC+N9ue3X/44Efv+OiVV1115txGozWFSIyh + m3owZBcWFtbXVicDXRMSndvcJxu025oBwBijLPleqLVlTBhjtre3D+5fJIK15ZXDexd1aerVxvrq + 2hWHjjSqtTzP6/XmqTNnZ2+dB8a55x8/8eiRg1d6Pueer4zd2N65+vpjwEWS5Q+fePT64NhMJS6y + nCMb9vtkqRbXfCGzwbC31Tkwd0AAekyEYcyY4ExWa7HW5cLCwuLi4oc//OHBYPCWt7zl85//4tbW + lrXlbr3Br5qFu3zAJY27C1cLtLa21mq12u3H+SO5MnuiaeF6vpxzq83l/sTlwp1w99t2e40+g/5I + zXYrV2WZaAPkkB4mBedckwXOkjzjnuTj7saEDurOyaTp7M7eZBZr9/G7byY8zMkL3G+YIKue59kn + TbvBcyr1umyB5ODpESLE+erq6myrXa1Wz589V6/XX/SiF73+9a93GiN33HHH8ePHHYLsrGmAoVsB + wJgmW1rjvipriBgBWSIpBAAgMOAMLJF7qLKLpcPu07p7KMXFV2VG2YtzoojjuUliZLHUZLUpEA04 + w3vOAFG7DhxpAIukGRBCyUhIJoksYwAMheHCk0JJ3/ftbp0rYgAE4G6VbxG34RsMV/0vLCysra1N + T0+HYbi2tjYzM7OxsVmr1TwuwAMwlnMuGa81GqtLy2ElHvb6muz8zOwtt9zyubvvmZmfm1RHkx6Y + u3+eApWePMVcylWWpSPjVipfiz/SCC/CkT9SuLCwsL29PfFHmowPuqJuwnZ4TtycX8fSISKLzp6G + jLTIEDhggIxxAGaAiMCQNsxogZwrsiVHLiSXMfc0FxqZQqGRNNVVY+rotOj7XHi9tW3L0NRtY7Fu + 0BS5sqkJ9oRBJSMBMhbVSo1xGPQTFmKj2RQ+t9skIy9o+ABIJdWqtSiKLFcGbRTH1VYNGEnr1Wq1 + sBUNvKEsvWo9ClmUDxKw6Pt8Glq1vXGgOEjWlT2ogS884Ute8wcs7aW93GR+VVpbomZgCQ2h5QKR + CVlawxkHgSQAORADIgsEqtBIhL5fi2tcicceOHk+Ow0Acrq6fHbtQBzWatVSJciZ8JgptbXW4qSY + /2q9jLHzzMWvu5XNwDnngEVrGJTCGmQlM5aBMMDJCss4WSQYQ0/WMmOYNowM04QWyZa51oYY56Ff + YdKLsmCuUfUAFkQzzUPWUVJiQ4YesEhVh9hXIboHESOnATkKrfVcc3aP2COBe+ANup33vOe/3Hzs + BcO1/LOf/Wzdi6t7qsXQaXPxKIoGSd+JkuHYNpfhSA796S/Sb0M44hByQIuc8/e///0lloOy/1// + 2x/+yfv+xIAewHAI6TqsZXkhpCdQldYqIh9FJap65HkgbEmDfp8xJjwehL7wuAGndqY4853XIRCx + cV+PEMfjbKC51cwAWCTipDlYIGvRELOGA6BF0GP1B2OZNcAAQDPQ3BpG2moiQpQikLLliSAUSghP + 2hC0py3mpdGGNDB0JBZy6hGEu1YgPUtcRnZv1mMsCCpxxRt6W5ubnucFGLztbW8TJH757b/y9l/6 + 5de/4Sc9ya44dLi7veNApMl7J9+PqBlc7t27t1areZ5ntRFCTE9Pu6Sz2+26wiAMwyzLnDQUjDu/ + e/bsqVQqmiwB45zX6/VqtRr4HjBM88SvRNl2lqZprd2sNuq9Xm9nZyfPsnqjyi1YMHmeIwOnQz3B + XpwjmhvMUJ5XCgW7Eke367m3WGv7w8EjjzzicCqtdRzHbj4kiqJ2u91oNACAiByXzPEjXMvvscce + 63Q6jsvjmBda62q1Wq3Xvuumm/757jtnZ2ePHz9+9JqrVzbXiUxYidMsa7Va2hpnaOsECRz85TZH + /iQ56UlwzsuyrDYaW1tbIGSz0VheXl5aWpputw0ZjkxK2e90BbBBlqGl8+fPv3jxgCHb7XbbM9PN + ZrOfDbTW3X4vDMPWdDvNUmA4Pz8fRGG9Xs/zPAqCZrW2vbkVME/nZTZMDi0ezJLUDVzuXVj4+//+ + iTe/5aeBs7Pnzxw8eBUinTt3bvPMeVCwurqa5/l4GOkZWO0ODHEGJNVqFcf+SA42cZTOSUbxTA0m + ufjm+SM51rQdyznuvtxs7K2yu3P9bM6RvpEYj5I+jXgqmW/Oebvd3tjYaExN1+v1N7z2dZVK5Z3v + fKcX+Le88Na4WvnEJz7xqle96tFHTtz5mc8uHjoohEDOHLhWKEWICISMoeDIATiMviIgoCUihghk + yCCBJsuAIREfD9k/ue6Ep0MX2H2lXYUEAECWh4aRQeBEjCwiciQBiB63k3OHQEiWoYeEqrQI5Io6 + IKus0mSVyxJo1CKz3wAz/9uloubMKLJhQtqsLi1XKpVaXAFjQ8/PhkmJrFqvpXlSFIVReoC9OIym + mlMba+sOaj979ux0qz091Tp16qQbQkVEq40vRpvQJe8x+3ix4ElzIssy12O7hD+Stk77jsgCjiRc + jDGMQa/X4RwdV3Pij4TOH2ksnTfp/VwOKH9Oq9gxAgLOxzeKJWKMwGco0QJZ0MTQgrWoDBgAAig8 + iKzllqBUNCityvJyqEymVFrkWdZKp3tJzwCtdlerVEttHlMESJ20dyE916Z2H7v5sLSkDTcEZmWw + hoy44Hmabg13hBDaqLIs0zStVqtKqp2dnTRNw8hHsOkw7ek+SGuMWs6XrbUM9EbfDHe6Zalcz7K/ + 2ZOeGObJ9rBjcstjEU1VPOUpZm1gRchTSAA0M5wBZ8bN8DFiBp2gFjILxgIQWSSOZCM/tpYQWehH + 2Xb66Y//0/kHTg8GCW/H17/0poPXH86GGeM28oNhkQFjiAxGzqAusSN+mSzTpX0MLhZFFi6OozBy + 4wjuizVoCVnJTS6UYsAJhLXSMEaWEeCIyUUWLaF1dqXOolRK6Xk8BxgkiS6GhupHWvs6qpeWRXO6 + nWz00Zf7FvYQCMa81tRsaleQ8MmHLAQzVuWQGsPzIt3cWE/SQaUaz1f3OT4GTJrHUjoQ/jkdSimL + gBwUKceWGQ0W+7JfDsDDEnSn3Onl/QLLnbQrGz5nAgiUMSwHtMABQdFcbQY5FLoYJkmeGz8MpBBA + hMDQklOytg6pc0EMwenhgmGakAlrwVpuAQGIWY1MsRIAPEtIdjzvRITWIChOhoFFy5A5fppCIyLJ + PcaNIACFSmNJoAmBMwkMySIZw9iTLFMA4Fs7Mfa1ZIuMsbwowqCeJAmUYmZmZhWWc5MdveJKlelW + q3XmzJlKpVLkSZIkq6urExrCZE9xT3JjrKsZZmdn5+bmAAAsMca48AaDwVVXXbW+vl6WZavV4pyf + PHnSvcuVBJ7nzc3NTU9PWwQuPEQEMo4dVK3XkmzYaDTCzsbi4iJ4vNAqSRJHQkPENE2Ex524AYyt + UEb4qrUCmRv28DxPiALHW6E7VFe5cc77/f758+eXl5crtdqkcHIuQEeOHFmYm/eDwLWnJ/MerlDZ + 3t4+fvy4YwYqoycadwfaB6+99lo/kIuL+x946EutVqtUue9La3lRFGmWSE90u904jp2Fq1baWssQ + BRdERNqwy1QXpdEWIc0y3/c5sCzLlVJxHH/oQx+54fpjGxsbYGzghR//+B1KqbmZ+SNHjnzyk5/c + 2Niw1p47d67T6bz3ve/9nu/5HidX+5nPfGZzc/PEiROnT58WQvzRH/3RjTfeuL250+v087T4yIc/ + lGXZoDMwub7jYx/t7OyghQvnLrzi5T80yBIUvNmsnzhxotmsT09P3/jiFwKAq2Emc8u7YwSAPJ0b + YNT1JnIDPGEYOqkMl9PaS/kjPQ1b8aeMCURP3xx/JIeSsSf5Iz35SJ6a5fRMqRx/W+KZ9EEyxriC + 1alquPbGwYMHq9Vqt9v9wAc+8Md//MeNWn1xcfHIkSPtdnuygIQQFkBbw8kioLLGGKOMMcaUWkun + xYwInCGAmRBBEMGlJmMrLXcYbsV8Hb2Bx1VH48WnSRsncg8cSZBlRByIORLKmCFjEQiJGDAAkpKT + scCQAblP4bbbXaWo+4YBEdBzAz4CgDAMXSLrPJ0Gg0Gv10vTNPAC6QdKqWF/AAChH4SNkIiGw2GR + 5VmWHThwwBFem83m8oWlvXv3GmP6/b4jczt5H9eru3RYQnYREeJj7yPz9PyRRoDV2B+pjmN/JE/4 + nue5azS57jhWttldez8biI7fcCB3sJMlImOJWdAADBhaA8CQiIARMQK0RIaIrEkEcY6CSUBE5Aw9 + wUsIWdSAKZyVCixjrO434jgWhcz81AKoimJTQlc1MVRcAYCqKGutruowDHVV99XQ1ExcCbXQutQa + FDQAAiqyjKThVV4GZQmFkIzqmLNcxcYTzNQorHlUiUNtiDCKY2tttV7Tfev30hJVUIviVlSiLU1O + aDWAshkD4GiQGAMEQEbMWoXAiQCQEzjzHnAPFLBklM5N4vtRLHwf/QDC0uqiAFDoCT+sep3umjaM + c57kKat4DByqc9HUBb6G1WInk/rEJk6i7qtTgzZgNSfFrWbgnIWIAXcsOwBnnLT79wEAIbMWOGPW + EjAKKlGZmrOdpY//w/1nPvXg6Xu+snM+b874OBX94+aX7Uxw3UufB3WCS3mG5nl+euX0Y73HGqIW + YKBS9daf+9m52kJvOXnhC1945uHH1tfXm5VGGMbbnZ5P1t2iCKOhIyKyZAmedbYElwshBDE0pIFA + a/3qV7/6hhtuKIXqlr37vvD5EpQNLG8gbwiU2Gy0+nYIjKElKi3XIuRBQ1Q8X2ZZApIxQgnCaKOK + UpAU3LMWgDvNOwRrLYLbzUb3IzFAN/ll3XZiEZyrrEVLruPjCBQA4AoqJMVAM2sYEIIgBKdRRBbR + SvRKKMGakhQww5FxMcp29BOVaS4jM/KtCPbUVRIhWGPKsqxUuJSSctJab29vRzz6u7/826JUFa/5 + m7/3Hzwhw0bDMdxoV8D40U1j8o/WynXH8zz3pef7fpaXKysrL3rRi2ZmZubm5paWljY3Ny9cuAC7 + budJ6x04U9q6jDNN097O9vNufv6+Yu/ewwcPXX2kNT/78U9+4tTZM7VGvdVqJYP+YDBAbZkYv31X + 6x12TdK7rYoxhuOfupmNCZmt1+udPXvWwQWOLuFcUPfs2XPdNdcGQTBME0dsdi+QUmpri6I4e/as + q3OiKMqKXCnlRlmuv+baIAzDMNzZ2rZKh1F4+vTpZqvFBCeiZr3hSD1IwAi0tRPLIwdnPYURqsvW + Cq0iPyjTXBuFCK1W69y5c8+/6XkvuuXWf/iHf/A874EHHrji8OFms3nu9JlWc8oonQyGBw8sXnXF + le/7k/e+9CXfV+ZF6Ad7F/acP3tu/959+/fum2o0N9c38jQ7euVVDz/05Ruuue4DH/y766+59sW3 + vhAB4jDaMzf/yIkTnU6nKVq9TpcQut2uMiUixXE8MzOTZdnp06eXl5fjON7NCvuGdnZ7CX+kIAh6 + vd5una3JFf8qLiJfc7hc6Bv3R7KX8kdyNRJeyh9phDyP4zsiKXom47IFkpSy3W4jYhRFHopWq7W+ + vn7llVdmRVEo1el0OOdnzpx54Qtf+Nd/+Vezs7Mra6suOUbOkTMUnHvS1UtCMDdcaKwSglk7UUOi + 8VQsMsYYckBrtWt1w+6k9uKj50mX77LqUrsG/SfptQGDAhGRg8fBQyaAOIwafuSauDiZuiYEJERN + zCJjyJADIX8c0P/4CYSvckjPqsjz3Pd9a+36+vrMzIwQYnZ2Vkq5vbntSzk3MyOEWF1dLfIcrO31 + eldfffXS0lIchmsrK4j4Qy972Ve+8hUppXOgcjWJ67G5OaXLUewmaJ7d7Y/ELu+PtEs4FYmRBad6 + 7N7r7K04x0olsrYxGCRFVvpCIuOkDVoaJXnGuqMZiVG7sYpvzYn+JgezDIEzsJYcnYdodG4YIYwy + OCJERsARjAEDaIkRIWfS44GM6wAWrLJIMMQk9wrP87jk5Bv0iGKrjQlCvyHrFFvpiSgKAUB7ylpb + mYvjONagTKgr9TiuVlRufPBtqCCy1tdBO0DEIPSMUTIQAfcxoLLMg2kvkJ71TC9NdcVKxrW2JrL9 + /hCFzGQ+tdjKVC59CT5olRMzKBDAgiJExpAz4Aw5Y4jALbKyLGGk944Aju2ECATMEDNkwYIB4EhM + EBMktcUJ/40YWbTWuf45kbKRdtulz/njnkLE4AmFDY4wJXR8J7SERKPfbxlYQcAt4wQOVRhXR+Pf + h04wTTACIGasISDiwD0pOE/LvMfz1bBnrq3/i+97nR4Wvf5QCYoPzqS8tFVreAloGViDNFZ5Hj2s + 5ubmglbEc1RDBSoT3ENkB/YeyPO8LMtauwYWiqJ4Cr7NcyiSJEHBmUDkKKWMoqgaVTWYm2++eWV7 + pYAcY9wpd4wx3GP9YR99y4EJ4J4UVRF6pbADkxZlqhLDrBd7zWZDMz0oBnlRohSInCZ4OBsR64iI + AXcIHgIw5/5LAAAGmUGHE8LEZHZXekUWwDJrGFgEBGs1cEQgRCADhIyY4GCNZGiAgyVLRGb0x7nk + xhIAWNd4uijF+PRER76uuEiKB4An1Ujs8a9hANCqt5JBCsN8X9QUyGpxpZd1v+clryAFL/7el/7G + b/3G7R/6IKLt9boumZNMuFR+kr0xxgRDzjnoUb9Max14PhE51SgnnhSG4fT0tBMk2C1j7SACay0K + TsCUUnEUbGxsnH7s0R975Y+HceBUUoMg6HQ6TuYhTVOHPjVrNQsmTVOrR86QbCwjDuOkxQ2KWGvF + uIgaw3kj+xoHETSbzf5w6D7aYDDYs2fPdddd55JjMtZ95gn46TbA1dVVz/Ok7xWqZIz1+/1mo3bV + 0aOzs9O5Ksu8KMuSMYijYKY9bRkaaxhDNy6xMDff7/e73a7DuNjjhXbpMowPIbw8z+vVqsqLPM9b + zalep58Msx/4gR84f/58FFXm5/ekaTocDoMgOHjgQGd756qZo7f/zd+++tWvvvuzd/Z2Ov/m9T95 + 4uHjb37jTz300EMH9x849ehjC7NzN15/7JGvPHx48eD6ymo1io0xp06dyoYZWDywb/+JLz981ZGr + fv933/WGN/+bG68/ds/n72vOtGfn5y6sLEkSWZadOnXKzd64AeM4jp88hfF1rubL+yO5GmlCexnl + LfQ08r2nQDAmpR0fX/evzx8JOSME5CzNs83trXa73WxNAUMnf6Wt4QjIGaOLItVsPGUKuwqky53M + 57rq79ONpzKK5ZxvbGzU63VlcWNj48SJE69+9as/8IEP/NVf/VU6HO7du/dH/+WPXLhw4Utf+tJD + Dz00Mzc7mjsa6zUba5VRxkSTaRM7DkS0xs0IuWyOM8YsaQLj+AZPuFpfR0zqKxjLbgKAQNd2Y2gZ + WQtWARlEBIbM9UpHf9CiGysiW5a5AesJxpFbq61lEyhjHGzStCME9rXwDJ4F4Rpvnue94x3vePnL + X15hlbMbZ192222SiT//8z+/cu8VCaWryyv//NnPvPv339Vqtd7/3//0TT/95kcePr64uPiWN//0 + K374h0MINpOtV7/uNWvr6250lYhcJXw5bi4joF1CqDT2RwIAByjbJ/sjZQU90R9pRJaFS/kjbWZb + EwR8sn6cWsPknv9OapOQI9MBMv7/kffm8ZZfVZ3oWmvv33jmc88dqm7NValACBlIwhAgNCI4ICDQ + 8hRt4PEAZbCh/WDbPm2EDtA0YNtqq7TKE+w2+niNRnkMKq1AQsCExKRIQiqVqrpVdW/d+d4z/Obf + 3nv1H/ucU7eSqkBJASlcfDhJTp06w2/Yew3fAZDY5mNMxEBWvBMYEBi1sh0JTwpjjNJlwTmbDICY + mQ36vq+VKrVymp4goTOlSXmBm+os1bnv+9oz6+kGIrJgIcQg3QAAx3VSzJIkySBDh2JOcl0KJCVV + WfQjRSCAJEa6zPPccRxHiCSLLY+ZUUdZpABAgu/4RmgW2QAiUJRhrgzkJkvTpExVoQonEKEXEEiD + UqIUSAAEDEzIDIyGHDlau8fteWY2QIwSfc8noKIolFJcGNRGSl8IUag8j2LponBEkqaOJ0ujxtcu + btk5zhk01JYnm/jaRzsU2qIKDWxpSGiYjGRAA8RWnoGGLxuZ7YKlLVltbkZgQEdqZEGotS50FMWD + znTjuhdc7yo37SWSnasaTZKYqmS9v84yM6jBklvYkE1VkQDA9/1mvTkFMyFUQgiOzh97z3vf9/xn + /AsRy/vuu69CnpTS5EMJsiAI4jS6pDlI7XZbAytT9rJeFEUf/vCHX/nqV25km7/70d/57N9+OoBg + BVZa0OpCd61YDZ0gwxIFoAKhSBrylAzIDRperd5IIOkW3W7cK7BwPFcETmFUoRCfjqIAACAASURB + VDTQqG8zmh8ygLFzSwDisassW8qRFSoAMGIkWwMADGTQIKNFfjOCLWtdEgQoiUo2jMykWWtEO55k + QEBGHvaYniAL2jefXCEDCxqkA8+pycANgmB1dTXP86lgiojCWoWIamFtZWWlPdGoVquukGVZetKF + LczV4TE3bNkgdrW3zfUoiubn522LnYjW1tYsMoKZ7ZBka9vONtSl41m3mY2NjW63myQJo0mNUsL0 + +33bN8zLIo7jVqNelNY3FogIHzNBsmGMsW6wbADoDF9g+HFSjj/avqzZbBpjPM/bt2/f7Ozs5uqa + zchtn8K+XiklXdeSkays2WAwqNVqnudNT08f2L8/Ggwqjfogjjrt9tr6yuLiout7RIKkzIuiu75h + EGz/2prbwmjMBd9sN9RakxRplgW+LxLHADebzW6/Nzc39/E/+tg1V1179ZVPXV5eHgwGhw4d2rVr + l5Ry7pGjT3/adSePHX/m9Tfcc+dd8/PzMzMzUsrtU9ODzW49rKycXnzaVVcvL5xutFrr6+t+GFx7 + 9TUn5+amOpMbGxu7d+3Ks6zRaDznOc85cuTIjTfeuLC8tDHo3XHHHdc/44Zjc0eFGPKQmdn3fdf1 + icjooTITbClyLjRsfvJYf6RqtVqr1bQ+hz8SqgtL+M5Hg/mO+iNNTk5ubJzbH4nUWfisi1Jkfj/F + eQske6x93w+CoOZXEPH48ePve9/7/DD8iZ/4iQP79q2srPz6r//6X936l5OTk/v27dM8FPfQWtt5 + ru/7SpejYqk0rLbOyn0/sAMeABBCEpFWXKrcLiVjiMv4+zy2ch21Ws8dj83RhRBCSDYCrSUDlwDK + AnLQCGMEsM2xDKAi0AiGATw/KMFIQSSAWQKAZqMuXpbwvdrZkjgWQvzWb/3W/v37f/rVrz59+vSb + 3vSmPM8npyfiQfS2X3jrF2/70jVXXX3z+977hc//3R1f/cqJ43NXPOnJxx5+5OnX3/CsZzzz/3jV + q9I4efkrX/H+97//zW9+cxzHYRgSUZ7nWZoOXQseE8OupjE8Es84UzCfzx+JB2WptVbjDqLh8/kj + mXP7I9mPPs+d/0TILMbxONfzeYIVFmLIVrDQRQujGCI7hhwYo5k1MTAYkxtGg0iSBErJRICCCcuh + xIqphmGpioLzomSHXOE7JAR5QhhpHBaCVKkcz2UNRCR9p9QKPKxWagBQ6Fz4krVxA18ppXVpsQpK + KUc6RMSCDBoSwnFdY0xRaAodbSAngw4Aaap5pQQIhfQd4VsrkrLUBYCBUmWldoRDdpZkjKUFMqBm + FlIasGwQi9c12jAaXeSpARbCidNoe3XiBS94fv2GAFGYmcrXFv7RoPErXqnzpIxZoh5jYrbKlz/+ + STn/MkQMYMseyywig8yOQanJDq9oOMICRjA43jttgSSQiQFSVgBGaHAIa14ATtRdX5DSSVj4ExUm + OJ0sCmPKNCGh6vXqWpzgMFFXgLbRYwCNKtXmRi9VhchFRVaXF1cInR2zu9pyQkpZZIUQQrMCYMdx + vw+2yX6/j1JIV7iu22w2Z2dnDx48GHNy3XXXLa4sduNuZTIsZbG8udQ1PawKtyqNMTorTGmAfYdF + J2xPh1MxRASYUFzEecxpxalKz9GayQDjiHuEI/4qojY06k8YSw7UhAahJGIABC14qMzBw0kPAYAm + q9JhzxxJBhcdMqy0YWG7eZo1MxoL7hbgIjGh0FrbxuP3ShyIGADIfAt+fsRkUCNiabRLbKVTZ2Zm + jjtHV7PVF73oRXt27H3a9c/85K2fvOyyy1bXlvpRXAsrW1ur4+TBdta01ijQPu95nirV6urq/Py8 + FQcTQljlHlvz2348juDZNlcBMXz+2LFja2trExMTWutSF0EwlEItigKlICLXdSuVSr6ZxnHsh57l + II3bfGO4nV2CR9AVgnFdx0Mgn/3mAGAVgK1Ygu/7e/bs2bZtm/3QM1thXgghxsIAWms/DIqytIxf + KeXs7Ozu3Tvtu6VR3JmYOOmfuummm+685+5BHA2iyK8EROT7/vOf//w77/rq8vJye3q7LczGjBoY + zUPOeXJzo1zX7W1267WadeZo1Vp33333xz72sdWVlTRNiWh5ebnT6cRRiohJFFer4frqitXL7nc3 + d2zftr6+bku79dWV3bt3zx076jhOEARzj2woYOoNts9MLS8vB57/yMNHJidais1XvvKVZrsVF0lS + 5HEcNxqNQpVLS0v1et1ixa1MBREJ4RRF4Xuh5YM9qga+IBaeBTURnlE+sNeSPdrfl/5Ij21qjIvM + i/W7njgpFjHoiyXSYJNdK77c7Xa73e7+3XuOHDkiHOcDH/zA0umlmZkZo1SpVZKlS0tLnU4nL4s8 + z+2dUK/Xp6e3aV06jjM9Ndmo1BthPcsyV0geqqSPqiVCQRIR87LIsixJYmYemvaONmpEPMccc8sz + OJLsGT/avzuur4lICuFIrxa0iMiRhoS1MSFgl8FBkAByBJhRiDliysil1soACWbWGSUaOE8LR0hA + q2FkRjAGgwwI5ruM0j/f3X9OiNCQLMUAALWwkuf5857x3Jf9y5cdPny42Wz+3n/9nWatnkRxkeVK + KUfIO+64I/D86enpwPOJSJeqWq0+/fob/uIv/mJ1eUUp9elPf/rHX/HyNE3r9XpZlr1Bt1aroQAU + sMXZ/UwYBDZKsyEQwjnLHwkAyjJ3HMd1ZZomy8uLExMTtVodGAeDQZoOpWNGew/CWf5I9SSJ4jjd + tm3b5HTH+iMxIRgotHJIgCA2bBAEIBPabr31LeQn0g18wYEGkTXr4QgCEAE1ILCtlYQQlhHBzAhs + hOX5IRkkQNQIDFxyybaN5GBZqtKUaIzv+1awvihLNKy0UqpwJbBWSRYLhzQbBGGbatIVrgyiLEnT + tFmpZWUauhVGXepSsLBAed/3lbY6YUxEGnRRqkLlQehpXahCEaCWTC4VOieETGWslTHGtspRACFK + Eo++4HFoma6NUsYwGUAUSMCGgZlV4HvAZCT2ou6EV9l99c6d/qwqTeTr+WDBrznddN3xQLHJ8jKs + 11iNUEEXfmU8qlAalkb2P5gADDGSoREvf+vmSmcuRKatH2wES4dMWfTjKPCwWg1Vbpq1zupat1C6 + Wq14hJKUHwhBZRwNaCim+eiE1a6ncRx72vPAb7fbN998c11WTZdvuOGGUw8fT5LEJUcIZxAn4VBp + 7JLhID22Kev7vuUglaocDAYvfelLDx48SBLL17520Oszmo21tZgjv+Y0/FomiqTIiciVXlX6fuKs + nVieO/GgVopDIeqyPtNqTDcrfr1fDKJ+BC45jmNGrBY9bgwzjb6MedTiyyPI9hjFPYzRMsQwhGsK + BmIoyxKssipJB8iQkBIAgAQrzWzYmDMK7ESkvzfIhRFEdLhvnhkijdBsAKPmpgFgQKVMrVLrrnR3 + tfZES33FiiWdXJh/5rNvRBbrm2sf/OAHN/ub9Uat1WrlWwTKbIxE8Ibhea4xJs/zoYtrr2dlu44f + P/7II48sLi5OTEyMrZBsgms1kc8kiwYY9AMPPLC+srp/z+7Dhw/3o15rZmphbfH02srm5marM+G6 + bre7MRi4Ukq/4gBxmqZEIIUrhMWqASOzQSAgFMPCwyAAoBhWIPab296/rd+klIHj9KOo3Ww++clP + Djyv3+22WhNRFBWlZuY8Lzzf8UTguphkWZZloR8s9/q1SrVerQWev2/P3v179y4snJqd3Rln8cmT + 8697zWvbU5Pv/4/7/+iPP/7x//6xzV7X9f3p6embb37vRz7ye3/8x3/c7fVIAKEUDgGTAW392xHE + OJPZ8giOFABghd2KomhUqvPz87f++V8MBoNmvWFKZZQ2xrDWjuOoomTmLE3ZmP379q2vr3ueF0eR + IAqq1aIopiYnjzz88NTUVJIkRutqtWo5YPPz86Ef+L6fed4giW0l5ibe5LbJte6m1VhvTLQXlxfb + E800TVzXD4IAgCxc5SKKypzTHwkMdzc2O51OJQh1qYzSGjQzg+GhVA+DwW/+eOa24WFjbfwokIQr + 8zQrtapVqiRFlqQGLpo/0vT0NJzLH2ksRGSzI8vftd26c/6KCwq6JL1hz4rHmyDZw62UEogTExOD + wQCIlFFFUlSatUEWE4NXCzNVNjsTdrfwpN+sNLqbm8971nN/7V3vOXbsmDGm3W4nUSQEbqytz0xN + JVGslGq3m5ubm2meVRt1EiLJs7wsrr3u2l/7tV/79Oc+Ozk5ubm56ZAIa9WFhYU3vvGNL3jBC974 + xjdaT55Gtba6uvobv/Ebhw4duuWWW0qjW/XGdU+/4Zf/7S9lZbG+svqBD31wcX5BsdFFyYQSSbhO + kWYSnA9+4INHHj7c6oRxlJal1+nsW10pCiW3bdu2srhcrTSLLPccZIhqjay7uVBxwzxPHZdufO4z + G/X6icWTL33FS40xeZ5Wa+HKyorr+z7JKBoEQeAIZ5AkzUY7iiIL8x3bxY7H2ePB6D+tTctn9+rO + ty3S8E9tk3LIzCAGMQL/mEK/4Hk/AMBzjxzfPrM9juMiK6Y6k6urq2j4uTc+e8/OXQAw0Wx95fYv + p1EcBIE1fJiamrr77rstZXD+5Kl2sxV6QZIkjidRYqZykqJQuSBpsfnjvJGREQwiS0BiMBY1D0Nw + owENYIxRiMKRpFUx6HcJsNFskEClS6WU47pa6zIrzLn9kbyRP9L2MAxPzp+SJLzALbIcmVzH01oZ + QGZQWpMQVhfIoaEwyNY59eMc/yfWDc9EAEDSGNDIUkpABq1JgCDSujCGEdEQGGMYmBgQDDHmhXK9 + ICtLL6g4CN1+z0IupRRaa2mIDaFmYHBcckgYRVU3JJUz6UrFUayQfAQJCip+WEI5KGJAUQnrxmjX + d5QuAIZ2H4hoja0QrBcVAIMpjURJXgi5cVHi8Ho1ACxsOmUUIKNAAEQgRmPYMjLIjHmlo1MmAA2A + SwJAMACOt0sUCKSVIubJ6cbqxsp9h+5eO71WFIUi2PfkfVPZjPSGijGOQ0VaSClHULczl8GjGnVb + t7phtmtPxJm0kIb4qeH/CQGkcu1/KrK3xBmC/uhvjdNLBrBadkycAZIWBdVpsxxIdmvBBOZBs/A9 + 7VOfXFeKgNf7S8phr+loaTSV2qKU0d70pIzxEIPAK5MydIMyy1SqF5fmg+37Qz8YZxjGGESDF1u+ + 9jsa59uztdZoUOnS9z1XOmVe6FKlpuj3BhPbWlIoz5Oh662Va1G/70+FAijLCiklKlCDfNJrRRvL + nOWpo0Xs7N19gI3ox/2MDfgi8IMkjxBR2tNswAACEpFE1mgZZQS8pUiSbJgBgYlhJNJw5iDbq0gw + kBmiLo0AJDmsTxVbWTwAADWUM4JR75ABx9URbb1oAb4bYyULBx02AgzgUHRkCE9l4GHvxvZlDJI0 + 2tQqYZ5FvlcD4rnTJ//Vm/4vmXtCAyEIISpVH4j7aRxI34w0u8a6W2NcgC2KmDkIAos7uOeeexqN + RlEUt/7FJ+M4tutPrVaTUgoCNoqEYKOQyHX8PFd5GjcmOn/zN3/juu5Ep7WysvKpT30qzZONeODV + g5x1s9nMsgyILculKAujDBD6fliyQRREsiy10QCCpHQQiXkI5CtVLhwXAICQ1VDIzvb4d+zYMTMz + MwSle67nuIyQp5kUbpRm0vPb7XZRFK1Wq9vd0JqjqC9RVvzKc5/97HGVSMBCOP1uL/Qr3c2+G7jV + oHrbF28/dXph247ZH/6xF//d33+RxOnp7dPvfOc7JTlHj855nt+Pe66UQiISaGUMayQhJIFmRAvu + 0I4jy7Is8zysVgxQnuc6L7xaIy+VLtVTDj7pB//F8/8qSk4cO16rVI1SuiwlCSCtFAshDAMJZ7Pb + J+GUygAAkiyVQZKDKGk023mhhHS1AV0UdjUN/QAAoiiSrgMA2sCuXbu+8g9f3av2bdu5oygK13Xj + OG42W3lWSOmywSTJgCnPSkT0vTPTlS3b9wih9C0HAjgkAKDMcgDw7enTxmjDzCuLSxMTE1MTZ/kj + lcYIR6pSaWNc6SCh0YakMErbCfPWx+GnsL0jHv2oS+UKKaU0pVJKSSSDF9kfydoE2yGV5bbBkIEG + xgL1pUAGsJPRrd+ckBnIIncueXjBBcTjyXyfI5ANjjpkAMaCrQEAgJDCMFxbXfVcN3SCwUb/S3/7 + v9773vd+/u+/sLS09Mvv/HdlXgiCLE7q1VqWJMaof//uX3ve82/68Ve+oijLgvUgHvzyr/xKPIgq + fnBq7oTt/US9/tVXX/3Of/3OT3/uU/VKNY3iycmpjbX1d/zrt7/0RT/21Tu+Mj05leaZ1vo/f+jD + H/vYx7785S8/4xnP+P3f+8hrXvOajY2N0hiBIi9yDwAAdKmKPLv1k39+/0P3Ts/sq1UPPPj1jemp + a7SqLC8vu9Lbt3vvQ9+4f7IdVGpZWhzeu7veblSPPXK4n3Tf8raf+7GX/YgrZeCF7XZb6zKK+m7g + IjIR1Ov1NEtcz5mYmIijtFKp9Pv9IAhsp4rO9uG5WAiWIcL9MWsA8hlyLls9L370C1whD/3jvVG/ + 32m1l5eWH/76w4lOfvRHf9T3fcf39u3bV6nX2o3m05/1TFa61ZlQSvV6vR07dlgYq+/7cRwDgAee + 1kpKMmik46AAGN1yaPkvZ74kMhCCsTcY8ZBSPO47jtAIilkz66IoBlFPGV2t1r8FfyQBZ/kjVbZv + n1lf3xz7IykzNOkSQggpmVkbwEvEH+lxgoe+jGwQS21Nj40QqPOMtUFiIQQSEYNBQYiOkEQkHVMo + LQyB0oaNL13HlcOWGBvNgIAaCQWXqlSgGEgK9KUEITSWhS41CzRG2GtLGiBAIxDQgKW+EKA5W9Tx + 7KHmFozZ2b328yAz7bJ8/lN0ZtU+u4Vd5goABDIiVNrh7mv2zl65EwCsrEieZ1qJMAzJoCkzHGts + jr/0Fu71NzsTZyWjj3o18daW+rfixmATTCPIYW0Mo5R+mWlhnGQz3z9zxW133/7gV7+RbmZxPBB1 + +Pf/6ZcxNPPJwma8XDqKJaOwP4QJ0RFC57oSVHbv3CuAQggfOH7/b/3Gf/mXL/mJqqndeeedIbr7 + d+xLerFV2/d9/1LnIOGI8axZa60/+tGPssv9sv/RW/7olo/foiCLoZdCUpZlXhYDnWnBwhVFVhSK + phpTvsasn5RJgQ3ZrjYDGfTyVPiO6wSRidAUZVlKgQZJoJREmolhxCizJMnRKR4td48qXeCxVzPx + WX+29SKhLVfXpaKUigwExgy9wuxzwwEnAhOwVW40CBoBaahvMmoNGAP0OB1r270VUpRlmWVZEASH + Dx+2bXWLtR4MBhYCY93t7bZrzWSVUlYpOwiCU6dOZVmWJBEBuK6b53lZlq1WqzndPrG4UOgSEZEs + tFsRkXSEZuO6fplnURTlee57YZYWZamIgWlIMbJf0n6oNZmwVRMiWm2kMAztk0qpYUYb+nmhlldX + Xc/fPrtjx85d22amFxcXV1ZWiqJQnHme57EcQ/gEAREZTQAshTh5ct513Ruvf+7V1zyt1m7qQjeb + 7UOHDv3+7//+n//lrRMTk8BUaq7X68qUSpesWQghRnBl6ciiKALXUwqSJPI8r1oNNessyVqdiXat + mcZxtVqtBZUrnvSkN//szz1w76GNldUiy4qi8F3P/pCLePEwc7vd9n3/TLOGUPEQfIiIvOWOuIh7 + 9/muONvmi6NIK1Wr1Wjkj0SOJCJ0HS5LzYaYgNCYIeb2sY/iTC/jmz8CAPJ3wx9JGc3MKIaKZcCA + gtAe2CH6xFi84mMgHN/ncYEF0rmDAUApVRRFrVaLoqhWqwSBJx2amJiYrLcm6s0sjsosn56cyvsR + auUIirOSNLsokkH08+94+zXXXvv+D7w/jxO7oHQ6nSRJgmrlsssu+8M/+MO4jJn55MmTV1xxxcLC + wutf//rXv/71G/0N3/dXV1fzstixY8dNN91k0bpSyje84Q12zkgjby97fSNzreKtr6//5m985Npr + nvf2t/yOUL2ie4UnZrdXpRDi+P2nL9v9yjQ5fuTB237t5l/8u7/7H7/0S7+yunzytjtu27//slq9 + kXbzNE2Xlpbq9abWPNFuJUnS63VrtRozF3m5f9+uXnfolj0/P1+tVoMg6Pf7dkEcX68wWkYvnHUy + 5C18S6flDLHh0WF7bxP1iauuuurTn/vswace/Mf77t21Z3f0YFypVX/7t3/77n+8p1lvZEWuS7Vn + 28yJEyf27t37qU99ynGca6655s/+7M+CIJiZmdagjFGlKskIx3XSItdaeW6APFQJG4UZGc6PIBmw + 1dMQCJGBgA0yWASSMSpN06LUnhecwx9ppO4wPpjMrLUiIush0Gg0jIGxPxJYfyTNfMYfSUkp8TyW + TY9zUL9zcaEuZgaBQWsrp4XIjKCZQEoWQjiITIAIyBqNscMkTtKMiELPLwe553lSiUGSua5wtdDW + QQiMJjbIAgQAlGxxVWTYZIUyWBaCmaTrSjACtQY0Ixk3zfyEYItvDdd1bbesN+gjg+M4zoj3jIhB + tWJbGHGaGGMqlYp6QlkAsUB2dWkkeb7wqMxaolkkaj/s/fL6lx687esT1Ylqozr/yMnd4Z5j0REV + q8D3yStZMqMyxrAxqAxp9j0PgQ6tPtAJJ5xSkhTXX/M0n2TNrxBRnuXWVF5KieeRtLq0YuuU3rIZ + JyYmPO0d2HfZseVjKHTYdgdmEMdxrBOn5ZUIKAhKXRRqUESqlNpFR3peI1hYPt2Mtle217tlmhWF + V/EEidKKJcBQiVWAHbhe6B18yQcOQeYAYIbG6/bfAcZyjmAxWzx8HCehyGclguND9y1SMY0xrvSy + LEPEpaWlU6dOWf76GHdkiUO+7/f7fXslWO671jrLMkuUPXLkSJqmRVEEnud5bpTEKGB6enpq58zc + 6XmtNQgaVtqWB2sAAS1sOAgCq5ustRajunVMl4ItSlHGGMsjspwiHNmpWw2GMemo2+0uLS1NdCYb + jUa73a7ValZ83JSFFYYdU4bMSPbS8wLSSik1Pz/f6XQ8z2s0mzM7Z08vLdx44zPn50++4Q1vcHzv + 1T/905VKpdPpRPGm9XE6cxxH31AIYWcmlUolCAIwnBV5Z6IWZ2mWpbVaTZKQjFdddVUQBF/96leT + OLZHwMLS7M+5iGi36enpIAiGirhD/FgZuO6jcGXwmAn/1riIC5lhLotCae0Hget5QRgCYmnO7Y90 + sTg8tiyx8UTwR7pQDM0TaiO5mD5IFxrCcbTWlWo1iqI8Sa0P8TXXXlWUmURqVmopySSKXOmosiyK + YveuXdu3bXOl06rWV5eWq5XKvt17dm6fVUW5urpqmwcWVfxjL/mxpz/96T/0gy+s1WpxHLdarc98 + 5jO33nrrb/2X34yiqNFqMvMDDzywf//+lZWVIAj27dtnZ6DjIYOdIWitgTnLB35A22dmpIAPvP+t + 73jrl0z2pPsPbU5O7On2+tPN7ZuLp6rNyd5GfNWV1wwGh4MgaE920jTt9XqlKrU2ROQ7rv2Ba2tr + WuupqSmbuz/3OTe99a1vf+MbfnZmZsZO563sCZztnTpuS8N3ZXBh9x4rnGU5UoxAUoRh+Cef+JN3 + vefdiyvLRDQ3NxcEASMcPzEnPKdarx0+emT/3n3r6+v9aDAzM3PXXXdVKpU77rjjda973cGDB0+d + OvX2t7/9b7/wWddBB91SMxF5jq/QOMLRpRl3PQ2aYS14LiKo/QcbRiIBZNgQ2SSNjYEL9EcCIjBn + /JGaOPJHchzPdV1V6kf5IxkES7aBswvXSyU0sAYtyCFyWAMiuCgcFmVaSCBhq1BjjAYmAcQ14Sql + qn6DEatuKBxpMqONwtIgGSDDhECoQWs7ZBeIQA64pBk1gzZALFAKIRgAjWYwiEwICIYBn2gcFR7J + gSAiWXSKFMyMzORIZs6K3LactyrOw+hiGD9+j64K4pKIHUngsosaKl7dk0pBfvr46ZnWVD2or66v + 5GnmgpMNcqNYSVOWJTMD2VY9gCBmLPPygcMP7J3cf+rEyanqpMnUC174g1fNPFVrvuaaa47ef3gw + GFTc0HXdKMn0pe+DBAA2K5WutC2zG66+IYXUq4eD7oCpXI+KCPtcR0d63UGUO7ooy2bQqHp17EuP + gvbOKRVnCaQHLr+8MVFb3FyHOgaBN8j7oRv4gcvagLZ625b9d07i5/d3sJUyIku6YsNoZe7NEElr + u9FAwIbsSx83tt5jj4/k2Xpfa63vu+8+ay8BAFb/OgiCnTt3FkVRlqUdRNjhsH2N53lZli0uLi4v + LwOAZQRZrGm71Zqeng4rlbIs7ZIBwERUKkNESmnNpiiU43vbt2+3FkZZljVrDdAGGOyb8Ba3JR4Z + xdonR7Pr3GbSRFStVq1hxsLCwpEjRxzXk1JGUaRVaSUBoiiyrBjrrGS/MCEjiDzPe4P+I0ePPvLI + I0EljOM4zTKFHKfRsWPH4jRpdSaiOJ7pzABArVbzK1JKGutVGGMsqYuIpJRxf2C/TxzHeZo1m21g + mpiaLOKMAFgbnRXVatUeYddxELEsS0lDEfaLmMwwc6PRUKgtX0uf3QndegF8d7o5tj55lD+SG/i9 + Xi8vz+GPdL794lFkpG8aKMjBi+CPpC/YH+msbzn+OeYSsbG5WHERCiR7yq0yxvrGarvd3Fxbl67I + yqzb7QaOl2dZliSteq3Ic+NIARj1+lkcZVnCxkghSHOZZJPtCa1Uo9G48cYbB4PB+vq69NyZmZkH + H3zw4MGDvWhwxVOvPPrwkQMHDiDD4cOHp7fN7N69+667v9aZnupMT/X7/Wc++8ZWq/X2X/g3f/AH + f6CB25MdGnkWSSnjOJZkmq1gYjKs1d3Nbrax4lcqtUMPzu+YviqJoFmfXF9b9gLc3NzsTE1edlAG + 1ZtIYqvVYgRG8GVYqxWTk5Ptdsf3fUfI3bv3BoG3sHBqampqz97d8/PzSI3FigAAIABJREFUz7vu + epCu4zi1Wq0syyAIAMCWZ+OL9TsH63pU7+1xeHXMvLiy/KnPfLrQ6k//9E+V0bfddtvtd3zZD8Pt + O3asrK0tr67u2bdvs9v1Ar/b7zHC4vKScOTv/bePXHPNNZ/6y08x8Prmys/8zE/mReJ6QalylReu + HxLLMlFSyvEnW8onAgNbZOYIlgkAMAZiCUJAm4YxIyFZQQGgc/sjnR1bV08imWXF+vqmEI6dOw8G + gywrHMcRkrRR4xfbPpwZCZWNUP2Pd4SfgKvD8OcAgEHJKLSEAgNd8cl1QLJmU1o3d4ccSuO40AUx + cQ+SKPWrQcOrF1hknI2p4oYMM2th/StJlcpVGJJTc6qAfgxlbrTRGrTRRgEoYwwIGklPPrHoK4YZ + AUiIwHHOJLCI5EjNpixLO3N2PQ8Rsyy7uMJE3344whXkIZi0n2TdpAH5zORMCtnV11/1wD33L6wP + qs3K9OTk6fh0faKW5lnKqSKhTWmQDY3YVMQI/JTLryhWsuuefOXyqVWF5NUqhxYfmnIm8zwfUtgB + re+Kg+6lnucba7eHyMxlWUZRtNpb7WXdI4cPX/v0awdZV7vCCcRyvupWvVbgrBVdkAIldQe9flfV + JvZe/exr9nX2MsBps7KabORR4bqh8KhfQpRElcB7LAP0UuqsXLQY6kKNFnmAcRZolySAkbcD0JhJ + tSWIz7hFwbe8wFogQFEUQRA89NBDCwsLU53JKIpsqjcYDLZv337w4MFDhw4lSWINZwHAWplLKS24 + 7uGHH7aYUum6zFwUZbVa3bNnz+TkZDfta62l64KgUhd2s9BaozGGTa3WqDYbQojV1VW7gKCtkPlM + Hj8eH/X7fSvbPYb52f3L6mAhogUBnjp1anl5GRE91xGEWZqosmBm3/crlYptsFqPV0EghGAgpZUQ + TrfbnZubc1232ay3O600y5ZWFhkxy7KyLLvd7qmFkwsrp3KVH/r6ve1Ouygyq2JnpcyQhxoSnuf1 + u13f98Mw3NzcdKUzOT21vraZlUUjrLHWYLgeVI4ePQoAExMTeZZZlost+awSxrd3LZ11fgWRKnND + Q6CsPaoa2AAbSx2wTQlE5vMuVxdrv95amD3KH6nbP5c/0vnjguYY48vp2/RHsl+JiNI0XVtb63Q6 + 7XYbceiPZAdHNlve2hmEs7v59h0v6Ps/AfOlC4oLvKDPo2lrEIxSjAiEQNiZniKiLMue/OTL8yKt + +H6SREk0qNdqcRw3m81WZ6I76NuaYWVlpdlsVqtVRFxeXt63b99X7voHz/OuvPLK+cXTDz744O7d + uweDQafT6fV6O3fuXFpampmavvzyy0+cOOEFvq3p8zzXWs/Ozr77Xe9+17vfdeTIETsBHy4BiLbD + QSgFudWgEUd54NO118D66ryUnX4878l2rx/v3ze9vLbUqHvJsur1YXJyIsuSVrsOhECUc5EkCQAa + Y1whJycnV9fXfN8/cODg4uLC0aNHHele+cxn3H/XPXbJsEhoqzr/qNnlGG737Xemx1ooW+N8+w2N + ziEibt++/ctf/rLW+j03/wdjzGWXXaaUGgwGP/nqn+p2uwcPHpw/earRaORpWq/Xf/EXf3FjYwMA + Dh48+PM///NlWT71qU/9+v33Oi7UGlWldOj5BlxAMghkIXAMVmLYABOYkRvmFpEcKzQ4+j7IiAbZ + MANrNAKHlmfn9kfKMn60PxLA+f2RlpZWxhNwHGUyWmsp6FFrwSUVBoiHcgLaQMHEHhaQ9vLuwpoH + PhUY9+L+Zj9LMkQUjkDSGrQXuEmWrPXWd+zbcf1NN5DnOhIYCoOKuWTNQCwQNRICgQFTABgwtpQU + hoURIQCyQGJAw2SM3T/4Qjtk34UYzwyB2boOjNMXx3HCSsXqWeEWo5Xx3x1vD98T1BkyZNkAIfMc + EVa9TrNhUnP/3P233PYnx++bC/dUpv1qPx30s97N//Xm1mzj8usunzowbYgLAwqGDGVmBm1c12Xg + /VP7CfDyXZcfW5z7wAc+8ObXv+X+w/cfOnTIM+Lg7suKOLckDdd1k6y8pDlIMMoqGFgI8YlPfCJs + hZvJxmc+/bkffvGPbIdtGSQD6GmGoxtzgzyBEIQQeVl4rmzvmIqT7At//3cmVUZwe1t731Of5NZ8 + zbooC0R0XDfLMgKWKImGLqBwhlT5zybQjKQmaEgwHf3JeB1gtDA8QnMuuqx9HPEuLqg5gYhFWRhj + Dh8+7HneWMgbACqVyuzs7FC2eyTYBVtu5CRJFhcXFxcX3bACoxY7AMzOzu7Zs8erVld6azZfNDjc + U+yW7bkuEM7MzKAjFxcXF5eX2q1OtVot85IYBOM4l9VK2Vro8OHDdkY0RrFurdYAIAiCXq83Pz+f + 5hloPH78+Gc/+9lut2tzGwFsbVhtcWWMkQKtPnhRFEqZY8eOra+vT22beeihh2699dZSqbjIGPFr + 994jhIjS5Kd+6qeq1SoAvOQlL/nS7V+yquVnCjkGKWVZlnmeV6tVmyLX63UwHMexBSVKKfOy7Hd7 + 9aDSaDQQcDAYDO17R8d2jDG7WDFG643XXvsR46R/65XwnV6fEc/hjxTWqtV6TZlz+CNdrEPxvfJH + etQQ7EyOdFF+1aUT/7SK/yz9Jbu6eZ4rJFUqYb/fq1WqK+urSZZkWXbHV24PPL850Yz7g0IXmtVm + b6NSqQziaHVj/ZHjx6a2b+vGA4W8trm568D+v/r8XwvX6UWDL95+22AweMpTnvKFL37xqquvdhxn + fX09CII4iU9+7a6ZmRkhxCOPPLK0tHT4kSPNZvOFL3zhe971nje/7c2HDh2K4zgIgrW1NRhBQu1I + XYKzcGpw+lTmOZOV0P03v/CJSl0+5an1ODZpf6XWUieWHrzq6p0n5ldX1uYWFtb/5P/9zfe/71c2 + BpsnF05e/6yneeglSTIYDAaDQRynx4+fSLOs2+0ichB49UYNAGq12gte/GJETJIkTVMYoT/tKGl4 + 3B7X3+mbHPqzOXzDN3nMM1su7cecNguxE2JlbbXZbt1199d839+5c+fcyRPtTidKYum5HW9q7tRJ + 1poR2p3OiRMn5DZpZWXn5uaq9ZrjOMePH+90OkhKmTJLcy8QJKjIlTHgChBsqSkMYAiMATNWt2XU + BoDYSh4RABEQArFGNiwIAYSyaHZCg+fxRxr5EZ/xRzLn80eCc/sjDRGOF7awPtGcpAlQD/WvGA36 + IKEQphsVqwVqYWK1vri+eGpx0B0wsyMpz1MiAIe01N849tCTnnnlM571XEUFIDNoBkMkGUo0BMCS + AEF65FS8ahvCoOA8i1ihkaXwHSYUqBlYgWIGDSgAzy+s+L2JXJVge2OAjhCeEMMMBkWcxuNumWJD + SFbs9gkUqCuhRDS5yjaTzU0DqEVrd/u65g03vvg5gQgkiFzlXt0tqcg5UkJ3054SRpM2qBDRQfKY + XBAqy3vY/9yxL7T9lqvdCnlP3nuAsnKy1Q6CQEf5mQ7O912WL6WsVqtTU1NB4W+bmbntti8VmFc6 + wYDiAfZ71G/umUgoYwRbAnXzHsW8mm1iyaz5+AMnKjtbs5ftOd1fSqIkqAckKM5ToiFffDQ3QAHn + mJB8f4dVVbWqjMg0svYygEZb0/QRwBtG2k6PCjybgPQtxrgjfuzYsX6/32g0iiy3e4FSav/+/bOz + s0mS2LzWVia2CrK0lpMnTy4tLcGINsMAxphGrbZz585Go9HPU4s7MsZYxwQ7b3Fd13e8Sq0KAOvr + 69bUZIz1gi15vN2AbOfl4YcfbjabYRhaupSF89mybXzTDQaDKIpIikrY6Ha7//i1u9bX12u1GiLW + ajUYChENp1hjc6QsyxAgz/PJyUnf91dWVla++MU0y1pTnbRIW63G0tLS//zk/+xMTbq+/9a3vXl6 + evq6G67zPMeWXnb+IJDsVyqKohIEvV5v7969l19++d13fe2hhw+/4Ade+PRnPVMYPHrkyJdvu31j + Y8PzPMPG8zxhxfrKUiBZ3544ji/iEElrLR2pEZRSIM9CPsMo+zcj4+DzvcnF269ZEIEgQNTApVZK + KSYUzverP9Jjfv8QqHJhB/SJli9daFy0q1kppY3Z7PdqjXoSxfV6fdvs7Ic/+KFXvvwVH//o/7P/ + sgNRFB06dKherydR3E0itxq+/0P/qdvtPud5N0nfY8K77rn7imuvFo5cXV31PK/dbmutt2/f/ju/ + +7tlWVbC8A//8A8///nPf/SjH73llluUUldeeeU1V1/74pe+5Pbbb3/ooYfe9+73rffX3/GOdywu + Lu7bt+9tb3ubFYC3c0nXdX3fRy2DsF2rTf5/n/jka177ph2769/4xp3z/aNrK+niiYXOtm3rq2tH + Tyck4ssun7jnvjuEA/24D2RKXSZ5lkEhhBCC+t0eM2utJ9rtQRQlSeS67sryqud5s7O7tdbz8/OD + waDVaimlLB8UH8M4sneR/jawLDji9ZxTWmSoXr8F0rY1iqKQUtpOUrPZPH78uOt76+vrlVq1KIrB + YDA1NZVGsdZ6cXGxXq8PBgMpZamKarW6ublpVUqNhjLPgbBSq5cFF7pAFIErdakQzdAkig0AELAB + 22LEkUIXIwOBHnYbtTCGCVCii4gEysoKaOCyLB3Hsd4Iy8vLExMTds8YDAZ2QHx+f6RqkiRxfHrb + tm1TU1PWH8m+xiKbiYjNGUSEPTLfk1mBjX9abUGABCwYXRQB+gSU566IpccupzJIgkoamlxJEp7w + UCgGyItCE8IaYB8ngs666eZZ17hIUjAxgQtskFlrYG18cqa99j6a7QTVQaN7rH9qIV4sMmOkLX2R + STLaLXwkb/mECd/3YURrHp/9MUTbUlRtEWV3jnGj4YnBQTIlZ1qVpS7cwHPdMM3zgel7k2GRFbnK + PccvyqzLJaGhAOI0cZ1QkiRBFrXPZtgCIKK1tbXZ2VmODeUkSb72ta+ti1oA1euuu27uG48URSGA + EDFJU5LC3g+XLgfJEru11siolHrVq151YNeBBOKf+ZlXo0uLG6fRE77Q2oHG5ETX9NMs16QqfoVd + irJ0++TkM37g2e1qXSnFEmToLqwuiKpXqzX6UddxhCs9AUyjLI2ZgQRevP30UgmbAAkmZAFMdsNB + FJq0FamDM3uQ2ZotWYUG4jPYh7HzGG155/PFeKk/fPiwpRjZ/M+mj3v27Gk2m3Nzc5aVMRgMYFQg + 1ev1fr8/Pz/f7/crlQoSjfFFjUZjYmICAPr9vuUtF6pAOWzASSFd15VCNpvNpaWVE/Ondu3aZWG6 + WuuKH7LSOPKhHG0oQ4hdvV63RBEAsJgou2dZQFSSJOO1KI4HiqGUwnXdVqs1VI5RatwKtOpEY9Hw + XrdbqVaZcGVtNayFQSXMlpbCMFxaXcrKwiD89L/6GSCK4n69XifCIAgQeTzosBC78Re2z/zwD//w + 6173ulv+x5988bYvfeT3PnL7V+6YmZj6kR/6IQK8/e+/CACElOe5VTkLwxAZLBPGzrUuynWFiGyM + 53q5VkopIV37zJZjexav+zsd5/RHYmbrh2urFPuaMTjoooTlhtkJj53vpWlq+UjfUX8k2LLrjcGi + AJc2xfKfIKFzURZ0AwDSEYZVtRoWReYFbpxF69018sS/+/f/945t2x8+8nBZlkZiL40KlftBwAS9 + NJrdt/vhuWP3PfTg+z/8wenp6bXeJlhIq9a9ft/1vDhJPv7xj7daLa11r9e75+67Xdf90Ic+dODA + gc9//vNElJXFpz/zmb179/7bX/0lO1Lv9/tra2vdXk86TpwkjWbTMJMQ/X5foBdW/dMrJ9e+vHDH + nV9MM50D+K0g5P7ORkZ0ujolEdFzZKXa/8zn/0xI9XNvecPy2rLnuz9UvFCb0gt8S2sDQCmcJE4J + sVarFUXBBEleHDt2zJJqPM9LkgRGhdBj75nhMxd4zphh+8y2l73sZY7jGKXiNJ3dtu306dO2T9bp + dFZXVyuViuM4eZoFlbDI8lIrVzpREodhmCRJq9UCgDEweuzt6zhOVhSajRv46yur7XY7DMPDhw/v + 2bWbeYjjsshX1qbf7+/atWt1dcUKSTNQtVpbWV4LgiBNItd1pOAk6k9NTcZZahik6ygDgzhx/bDU + ReC7usgroZenqSOkI9yyMESyvzGoNpqVSuXU/PzU1FRv0P/0//rckaNHpJRj8X6r2WotjK1Pl7XZ + sTJB5/JHckb+SDvC0D916pQQwvfdPM8ByJVD03T75rZqsoMmeMIUTo8TBMZ1pM60TnW9Ml1uFn5Z + mQo7G8kqZLrh1EuTLXV1WPqh75tIgXJKrTqd6fnVU5DLA9MHTzxworKj2uy0NrN1lqQ0M2uSUuvS + AVkRoUgxWd784Mc+Xi+9Pft2f/aez//sr74lw6LAHAwys0BislKg+onF4NmC00BEkCOkDQwdLg2A + MXorbvucJ/l7hb1kBACFDkjX1cCxilmiMag4IkegpBJyEMagZlKGDXkEyqAmZEPEGsEQ5ggGuCrF + 3j07akUlrPqQweZG72++9PcHdl6WLMRLS0tFUeR5XvUqUrpJVmxt045QAuMN8ol4F5wzLMw7DMKi + KO699152udap3nnnnT/y4z/q1B2oUATRSrl6av2kaFriOmlTChJBLYjKzKnKvo4JkY1RaeoErgKt + C+N53ohag1aMgBAYkREZ9KWjwn0RwjqnOMIdbAxqfpMLRiYGUW/X1/vrYb0yyKOsTJvVZponrnSL + PBe+dIRTsOr3+1Pt7Rbl0Wo01k/1QuHWqrXTSwv1RpWkzMty7CK49UPtM0ZrAKjXa3meW0e7wPMB + oCzL5zznORaP1G63mdkqHLiuG4Zhr9ez7hQj2zeZFgURlWWxc3b2KU95iuM41kBJKZNlWcGqXmky + OnmeE4HjONOT03Nzc3GcttvtXq+n2Qjh2CYsaOOStHMnuxlJorIsX/rSl6ZpqpRqNpv2lUIIy35s + NBqLi4u9Xs9OijY3N5FkLfDTNHUcZ2Jiotls9vt92x90XXdzc7Msy927d8/NzZ2YX6jX60iUJIkX + elKSUirLcyZeWFpotdulViBA65IZgyAoytKw3ip0xluot0ppzwuiQWI0hEH1vnu//qpX/eT/+do3 + fOy/f+x3/9tHHBBvesMbnvWsZ/3D7XcYYwwbOyOzYyhJwuIGH4VP/jZDCJFlGQvaWg7ZDxr3Q79r + K7M9bnYUaUtZM5SH4qWlpYmJiU7nLH8ky8iyuDsrMWdreKMueMZsK2r7bkNNP/gu+SPBiLNw5t/P + Jd6Al5R13gXFRet4Wdnofr8fpYkr5CCKnve8573oRS9K+4lt5NsuTqPRMMbkZam1UUZ7npemqa2A + FxZPE9H//9efcRzHJbLGOw888MCRI0fsilOpVNIkieP4+PHji4uLWmuDIKXs9/vr6+vz8/N2KQzD + MM9z+30sFyhNU1tn+254av74u2/+1Yl2bX1zzfd96TpxHAMYJEMEBJKNg+ASSmBtQPu+n2VpqfID + B/aVujh27KgQwvf9six1WVpZY0KyM3Bm/o52z4lBkPjPH/5wv9+/86v/4DgOKJ3GSbvZYm0kkEuy + Wa0bY4oki6PIygZVw1CzadYbFmRsB8GdTqc3GBitw2qVtY7juCgKLwiQzaDbu+KKK+bm5jbW1i/b + f8DeThbetnPnziNHjjz58icFQXD//fd3Op3ADVBQFEWIFARBs1VH0LWqj6wDT/b7g1q1MYjztAQv + aFT8hnCCVtNfmD8+2ZlQZbq+unHZ/gOPHH54x46dWpkw8EPP3VjdmOpM61K/5jWvuefB+5ZWlsat + Ka21veHtgOhb8Ecazotw6I8UbN++fX19feyPZLs+Y+SDXdroe+SP9E/IrZChzPJABEHFFwzI8uSR + 4zsquzuVSTIYQJhjVqeqwsJnT4MuSx349bSbT1anAw5f8UM/XtbN6saaRKq1KqnJENkQGaMRJJFU + Wc4DUptm8aFTKxt8/N6jkR9hQW7VMVgCCWZAsD5LwE8whYbvg9A41Ea2gcZq4AOCBrSH2zB+892p + LMs61Ktu2Iam7/mtxsS73/u+d//KfwiC4MSJE5CqfbN7y6RI09xx3CAI+lEBPPwfwKXniG4hJdVq + lSQBwF//9V8/9fqnZln2kY/87k//5Kul72ZQIMiBSNzU12xCLyixNEYZY0pTAhiQrhSkjTZoNIKm + oXUvACATgTlLmRrgn6PIN1BRFIao6jUqGFYrjSIru/1eiRpyHOgkh0J4TmGKUisAQImInJtSAobV + mlaq1WgGrre0tPRzr3vL4Qe+8dCDD1YrlSAIMlUiolL6nBmwXZPLshwrd9kGme2sh2FozWHTNB0P + WyzHxrZL7IvLshTOsJhp1Krbtm2z8wHXdYuSsygLw5BM2e12NavJycnORIuIbN/ddV0kKsvSsBE0 + pOqNO6FjCxokbNQbnU7H0n4sss7iWZIk6XQ6Dz74YBzHjuNkWWbt+xzXT5JkcnLy8ssvn5qasr0b + m5drrScnJ21b0Aow2K3ZQqSklIVSRax833dCv9fvg4NCCEJUbIwxQHA+9BQAWN28Zq1er9ezLBsM + Bi9/+cs/+ed/fu+99xZFUa01oyjaNj1jM34YUnnPnIt/toGIjuNYEWMLibT+SHZIaJGQ44zi4lYR + 3wV/JDsQ21pUjy9y+w62JL5UroHzed48TlxwgWR9f0cfY2D075q51Hr7jm1e4EoSDx156HnPv4m1 + 2bZtNkvS7Ttmjx07Fsfxrl27jh6bs/L5io0jXSC0Q2prfzQ/fypJkna77bpupV5zHEdprY225m6O + 43QmJwkxiqIsTsiR1WrVDnDsm1g9RHtFKqXG7kO2RaqKtf/4wZsHg0HU71UblbIstVaAihwkoQCY + WBgtgD0EDw0ZAG0KIlCqMLoMw0BIXF5eDoLAXm32ekdEhJFy9AWmEhfMaDdmx7adb3vf2+7/+ten + pqbiOF5fWR2XB3YGYgcpWZY1Go00jRuNxsNHH5neNhPHcaVWVUrFaYqI1jXVcRxXOgBQFEWWZXZo + 67ru6urqkw4enJ+ft20bO9+3Ghu3RLcYY6wUe7+XMOH6+rrvu44jQt9bXprfu3vXytJio1ZPE1Wp + TuQFJRkq7bhBs1RYlsW2ba2lxSOTUwGrwfran+7fu3NlaZlIFoliIterOJ6XpvlLXv4yq29hu272 + zNo1uigKz/PO4Y+kDFmjejaAQzan1poIer1NIdCW6GN/JAQaUz9p6I9kxm2qi3C+vsOBiEVRNBoN + SP83eW8eb9lV1YmvtYcz3vnNr96relWpqlSoTAxJSDCGQCeASvzZ/iDMLYoigyCONLTyQ0Gk5dPd + 4oTSEFGRgIqizWADoeEnJCGzGSrJq+HV+Ob77nDms/de/ce+7+WlUoVUyFDgquR+knrv3nPuOfus + vYbv+n5pZXl1R3P7g6vdsO4vJcrEWJqyjEpKjc610jkRMia1gjhOQiegTDf8xgNz933pli9tu3jb + c174HCsOp9CAJJSCIRcCGIGKUkpU02mtdjuNxpDJTVmWBRYMwCADYEAAaM62iwPr92szXGezi9xM + bXIWnrwBplEAACOGBJKQrUvHbJw5ITfIrHi35QMzDDTbwD6Bo8BRIJA9tPBw+3jPRLrh1Bte9ade + 8eqJanNhaXl0dLTspWBFkJAKpQfYlfU/349DSRb90hpp9fKe7/uXXHLJ1NQUuPSmt7z5K7d8eanf + Fg2HKizlqWxxrU1ZFJppROLAkCEhKaMNaKvsaBAMW4esrE+aADwacPJ9eJW+R2MEHKUkzyWv7FIv + 7Y8PjXMmsihruE1woaPWhMuVKa0TDgJPGV2WJTPgOE57qU1EWZapjF7z6ld/9Utf/va3bgZORVHk + ZeE4AYpTwC42ugd2i/c8b8CXLWStVpuenm40GrZmb2vhG8UyALBhq/1LC6mVjDPGJiYmtm3b5kk3 + K3LhcFw3G+M6XFarVRtxrqysGCDH8YzWxhguuG0HASBjzChjtw8hRJHn9kPsJmuxT7bf5TiO7Xod + O3as0+ls8H27rtvrdYUQzUZtYnyUcZnneRiG9vtmWVZvDRVFcXT//na3t7FtOa6IkkQ4stVqRWmS + q5xzTqCBOG2M2iIhfKdA1o4z9NY6J06caDabCwsLSqlrr702TdO0yFPh2rqwxfvZZght4q7YuDtP + V7P9dPakenWzzoJjB3h833dd16bZdu3ZhMRs0kdiT5CbwHWpEjo79JFOd9/Pql316dRBchzHdd1u + t2uRtWEY5mk6s30mS/IdO89J03RsfPzIkSPHFxcUGeJMeK4AKLRGhLTIrVjBicUFm9VYMg17j21G + G7ieXYVFUXDGfN+vBiFwVirFjen3+1YqxxiTJAkR2XKRncisVCqW+kMIMXvwodHRUTdwSqOSJPZC + T0hZFKkhBWiAOBkLrgLBPUQEDcjR9/yoVyhTZnE5NjYm5CAR5+vzFmQQBhjZJxFigQBoWBxFqM1/ + +70POULW63XJuYWEWVxBGIaWXnx1dXViYuLoiaOtVmthcXHP3mccPX7si//8pc9//vM1KTUZ25bJ + 89ySSdhig0SmtXa5qIeVw4fmtm7dury8zOVgqHRsbKzdbmutLcDg+PxiGFYZl8MjI0PDzZXlhdXO + 8vZztr3nv/zGM87ds7bSbzYms5QDNCtVEcVgEJSCQkGawsxW6Meq1cCl+YMTE832ypKUcuv4TG6K + 4/OLv/Qrv3ro4OGo1wdtNrIXu6VZ72yHFL9rfSRj/dS6PlId1/WRHOHaNuNJ+ki0iSrnO7uAp9c0 + GeE6eVlibjgXnufdc/fdk+4UEpOO62qPI2eMCyE4Z4SMoUiKMqxUGIOxsbH77r2n9ItD+/cXbnr5 + NZe5jlQmN6CJgCMUKmfc9StuN48ZIBpRDerGE9VqfcGcUKZkiAByMDlA/x5jxCfdiBMwIGCGCcOQ + gA+G+gbVSFpnVSDgBgGAEZ6iTskBkyQZGRsd2TFc9sq5fftf/eIvhR4jAAAgAElEQVSXa2POGdl9 + 45bPHOrOFkXhoAwCH7LCTrcPIquzctn/m8YYs2Ws1dXV9lL7uuuuGx0dTSi59PLLemW3MtlKISl9 + 8kUYmY7DZaEyZMAYMk6IDA0YZqcgCazcj20S2Q9/jKDCI8c9vbLCD6KhBOmC15TNyYnJT/3PGz10 + sywDF8+5aMczLnlG2c2LMnNdqYTUuhQg+mnEUQBwIYThJgyCaqXygquvHWuOXXPNNTd87GPdqGOh + RFwSPqZBsblcLYRABhMTE1ZUQ3LRaDR27twphEiSZIOoDTZBCSwft+M4ExMTrutK1zGMI+KWifEw + DLMoSZIkYL7NizqdjhE4NjkRVHwiWl5ebrfbnpCazHrRjdkPXM9DBjAwi4jL0hQRXdfd2GftLmOn + Isuy/Na3vrW0tGTfJaWs1Wo2aDn//PO3bNniuq42YAv/Nhyy6kNzc3P333+/nfkZVPEBClUG9equ + c3cvLi098NADJJjv+yUZTdoQIGdiQ/XoNPwBjuMcOXKkWauPjIzcdttt11xzTb/fB8RqtTocjJZJ + /pY3veVVr3qlPe4ALfYYyoR/j2ZOoY/keV63291MKGeN6AmrNtlw6KnXRzKn0Uf6QbUnjrcejQGd + q1KRSbK4UqmsdVaLIjv//L2dTufw4UOt4SHP89IiD0PfcYWVOdroA1ial9XV1UajYQv5WZYVWuE6 + G2+itV2FURRp2830vDxJ+lFUazaoxCTPdBJvYHyl5woiDRSlieu6hVZMitHmQJ0aCBzh+GGFS7He + agBEQoZMCiSmNJQ6N0CuK4siR+COL3zPXVtLOOdRFBmltdYI3OATTFryb11o8h23WW+cu2v3q1/5 + ymq1+oqXXx/H8Ze+8EUrGd5oNKIkth2kl7/85Wvd9pdv+qrjOO21tfPO3/vsyy7hnButrQgvk8L2 + hbMsm5me+aErrrjqeVd222vdbvfcc8/93P/6pxtvvLFer1922XOvu+664eHh61/5Cs75zI4dhw4d + CsOwLl2vUs3zcq3XXV5bBFOOjw0xDg8++OCH/9sfnDi+Nja8a26ud+RQds7OSwUfWVjKGo0trusA + W4ujOTLzQrZ1ucRYMjpST9L+e37z/3vpj1ynlLrjjtu2bdteq1XMurrCRmPQXm1LW3kKfSR4REAQ + iZEBYI9IAWRZtrq6yjlWKoExjX4/ztPCFRIZJ6XR0GAwXRs2uNiPvJ6dnkAZ43humqQ+d0fHR6I4 + ufuee7a3dm+t7PCllNwhzjQjzUxB2hCRKTNTEkLSj7vR6t/9/d9c/R9fIDyWlHEv6RSmVFJzgZoZ + RQrAZJi6nlhLu07gduf7ru8fX1nMTEEOkWuAOJBBy+ULRKTZWXmZHttHeuxPz0pDSw6GxJAACbkB + QMbIGLQky7aDZ6VmGCfQzCABt0PxCASgGCAD35F7d+wOIfDAFTU5EjR+/4Y/eu4zL4/nk/n5+TzP + u91us9JwXVRKOZ47OMI6ScP3nSmluBDLy8u7du164MC+L3/5yyv9ldpY5ZOf/eSbfvnNGZTE2KJe + 7padKGJkTOB4BpUmZbQyRlnlTBJMU3nSJzNim8mpAeCsYiV5Kg2JMSUkiaST1Lc2vvEPX2t5LURe + YCYMXnXJlUfzQ1Gc1CaqJcNSE4KWUjrCZYnO89wTgZ0OffE1137py194wQ9f/Yw9e+65755MZQMG + OUOP7eRvzCDZtGdmZqbVahVFUWQ5EdlZXJtXwDr8DAAsts3+hxBi27ZtW7ZsQc6IC8YYkkmSpFx/ + F2PMAvYs3JRz3ul0oihCxCAIClUas449JVJ6wCFGRLagYIt06xUGsi0mW4P3PM/zvCRJFhcX5+bm + Go2GRUOEYZimaVmWY6PDO7Zvq1TrAOBIHvguMm4pHKSUR0/Mz87Orqy2wzBExrMs5VJEUVStVmdm + ZqamJqMsLlQpjUGxub1pAJBwHal7KphRWSohpOXzv/vue26//Q7ORJTESqlOv+cyednll9WrNVuE + 9aT3ZCyn78YYAa3/czZsNZurqCfpI9kciZ2kj0RnsN18h1LLRtHWLranUh+JrbN6wKYE6fsFZXem + 9oQlSLZAYqFxSb83NDTkeV6tVvvgBz+4dWq6aCevftNPuY4/d/SIlDLNMymlHwSWl0Nrc+LECd/3 + 0RC4Dq7LXVuyAVuVsXBbIUSj0dBKDdqaxlhory0XbYDBNlhiNkomZVla9g/rTTiwJEnCMLSkDrVa + zabIiBZYZzQRAhqEtNBal1RgEHicIYDRuiQiIbjkwhASIFqa1yd/hTACDgiGumtrW8bGBeM/cu2L + f+Z1P33bbbd+9tN/o/KiyLJUxGkUZSINPf+V179CuuKmm25673vf++3bbrvnvnunpqbW1tZKrUfG + Rj3PK422xbA8zxcWFu6//36TFpzgx3/8xxtDrc/89ae2z8x89KMfzVX58Y9/fOfuXV/96levu+66 + O+64Y/fu3cePHx8eHbPkpCMjQ4C6vbLQ6awZFdSbDSG8d73zva36zpu/OfePf3+7EFNAQ42ANYOZ + Y8fnGs1KzfPuv+/gH33kA1/4/A1/9tHfPXxo34f/4EPLy6sI4AgxNjJaZOnGvmJLHbZoZy+FrdKZ + x+ojpTmdrI80AMvCqfSRltOVR0DV60+7RSqe/eUxQiCGhVHSkVSy5bV2VdWuuOrK0cnx3omuASyM + TorUcCIyCrQBcjzZ9Gq9tCdRgAvk6Aues1dOi4fmH4rKOKPMcR3pONoobTR3RGHKgqldz9z9wgte + cEHjQnScD//jnzTGhpay4wpLq7KLJBmhZSj8wXSTT5MxIgADBHwQDTDNAMAorgzSeqdIA3Ec7LuP + VGrskjVWvhKhyIvcpA1WG4YhxXWE/QNzh3748qur47VDhw65hj/zGRdHa/12u+14gZRS5aVFDm+Q + NDzF3/17t1qtlhbp8ePHlVL33HPPc696brfb/fbtt/4Ce5sHvAP9Oq+XvHSKbm4SUETMIBFDDowR + gCYC0DQgp2awTrz2mOzoEfu+vEzfgyGBQ46jnDIq6lDxUtcnF4Eh6RbWp2HLseBwstbD3DAyjEDp + 3HEEB45o8iwPeTVP0tDzp6en//B3/3hiZHzPnj133nNnURRMCkCU8pGJ/JMPbStgRNVq1SLWJBd2 + l5dSBkFgkxzr8PM8t3uBBSTbNg5jTBmtkXHO0zjSWnuu6zJEDoVWVlbRrQbEsN1uR1FkjPE8L8sy + A4TIkSERKaWAGOfcUr0zxJOgfVEU2VxrYwKkKIqFhYUHHnjA6gv1+33bkJmfn5+amtq7d6/tgNn6 + vRAC2UAuyRhz5MgRCxKRUtqY2K+GZZnPzMzs3r2bMczzXEopHd6PIxCWcggH2ugMGcfTBd1lWW7d + uvXQ/gM2VXvb2972f2762tyRw2mapEVecYMPfuAD7/7P77JhkgattaZNlAw/qPHxdzZGQOwU+kh2 + 7kPrU+gjoTqzYsrpxmaeLn0kPI0+0g+qPWEJkuu6jLH5+eOcY61WO378eL1WLYr8p173WqUKf6TK + Oa9UgySJHMczQEEQcGS9bq/f74+PTzSqNUvEEUV9RDQ4SM03GtPWa6QElUrFkdJmxlJKiwzeKLFY + B7QxrC+EsJBQ2+mO47jVGupHkSs9LwyiNJaS15o1YwyT0mK5GAIyzZA4MuQcgQOJqNvjHNOi3CAL + tocGA4bM98J9eKahNyIyAFWUvV4vS9JdO85hAFIIrZTh3JGyLAogSqN4eX7Bd1x7hAv2XFAq9ZWv + 3RRFUavVUsasrq76lVBrnWVZ4HpDQ0NlXjxw3/3HD8zt3Lb9wvMv+NVf/zXfcXvd7tSWqVe97jUP + PPDARScufsWrXnn99dd/4Utf6vV6tUbdNvc5ULffUTpHDmEQcIaBHy4trl7ynB8aG6o1wt3txamv + f/1A3A98d6q7HE6NXtHtz5pCB952DkOvesXPHplbfsZ5Fz3zmZcMt0Y0EIDxA/fQoUNGl0JwWH+S + N/MB2k0FHquPRP2y1FqrjRqeodPpI5lT6yMBwOmf/Cc1VTodbuc7GHGhjZKSl4WJ+t1KpfGT17+8 + c6j/p3/+ZyL1AvDzXt7vtQEVgQIwKi25FAUr0eeduDtT3eG2wt1T5wX9KquBQWAOGaYYANdG69xI + 7Jdp4IT3Pbxvbu1oYfDAicPN40PFcFFgSaQFICPDkCFqADJkzqpR9cEk8RP6m0+doeED4XIGyDRH + QtJoNDOKK0ICMEiMG44kpHVaAyGawRdhBJyAEzDGHpx9+N72PhNrqaQvvDe8/qfH6+OdY72pqSlI + lX06+Lo2i41J1+s+Z0e19kwMEdfW1sIw7K/1Pc+77LLLtm/f3lGdd/zKO778L19tR22oiHC4Wooy + bAXATVkWKDTjwJEZxgwREGhjgCMgsAH7iG3WIT7SdSRYb+LhWbbynwJjxLhhrICA/DLOz53c1T6y + ksWxV5OB9pbTeU+Lmlsp8owLBgyNUkWpAHSVOZxzz/HGx8d/7Md+bMfk9q1bty4tLV111VWf+1+f + S4rEIoGYkBvH2hzVbVS+bcms2+0qpRwhbVXL7hSba6MWpWZr7ZahwQaLhSqJCwAwWvuu63BZamWZ + ZqSU9XrdCFztrNkwF8gYY8os41Iwhpo2OE4RcfC82S0pyzKb0tgHylZv7aE3oprFxcUwDLMss3+Z + punw8PDu3btnZmayLCvVI6NTjHPHcZK8KMtyfn5eKRVWqptH/3ft2rVjxw7P8/pxTyklJR+kZzaI + 2nS/vkOlz3XdQ4cOzczMHDly5FWvetUrfuIV/+Vd76416kWRo+BQGgD4mZ/5mY/+8UdsoKz1gNF8 + M4rsLKwkPqknZD0kw0eYDyw61Eae/770kU5z68+qBcEI9Bme0ONMkGxei2Tx7gzAaE2CyWZzKI7T + 0POFcDgXURQHTvijP/rSXq/XbrcPHJyrhTXLqVLkuet5lTAEgEoQriwtl3lRrVaTOJaOg4jA0aZG + Nva13W1dlEmSFHlucb1ZmoaVih1SGmTJQkjGEZED2mUKBKsrK5VKJQiCslQErFZrxHEfNeecI1GW + JAgcBYeBR2MEoMos1wUZdBzfqnRXQh+kaAaB1gSISilltG1S2VicIyfUZADQALHB6xNsaIP44eHh + NE1tlStKolarJZAxAkUmSZJarVatVuMoEsi7WW/b9Nb9Bx92pNyxbUYVg0bZ8PCwBiqKwvM833Gz + LONSNKu10VrzhhtuOHz0yN69e2+++WZF5sZP3/ihD33o4Ycf3nXu7hPHjv/5n/95rVaTUgohuBTK + aC/wgZTWQgrUZZrnaRC6k9MTDzx0f7zlstYwO2fHnpv+9xHJW43a1PyxZHk+rjeHhMzTSF/7gsm/ + /fvZl/7YeUeOHkOkRrNS6oxzVvGDVnPYcTxEZmswdoezrnlj5zuFPhJhv99P08H+sTFTBI/SR6ol + SRTH6cTExMjYsNVHIoZgoNBKMg6ckSGDwAGJoZ0rsAiFp2zQBjdm8b/j8UgbV/hZlnkot2yfNn1S + pPctPDC3MgsdG79xIAM4UHJ1KpB3AZtACqAJeTU7Fh8lBqIqIt1nPkuylNCEYeg4TkkqNnmZK+03 + 59VC0xuSrrd9bJtsSia5EIJrZAYANRkDZBiQIEY44HHZzK5mFSQ3vop1tFZQcvBT+7AMuiIGwA7F + s0d/f/re4XDrvut7/ZynwJAYJ9uXYAYNIWhmNBrDDCEZtFfVDh7ZdxhCRrgxM7OuwknAEBuNhhv4 + jpaiFN2VzvT0lgZvbG+ec8455xx6YHZ1dZUbVgkquTaWAtQQMXgU68xZtdt9Z7M4RDszvba2dvnl + l7darRpUlvpLDT40Vo6RC8ozKcv7EEvfAwIChaSVsckRcgCOLmkCAATgBhAMo8ErAAMSSEgIGkkz + pbl5zPTXDzzlt0EweZne/6/3fvavP3PP7J3nbNnhj4husnbrg/9SfiUd2jUqh4TSxFzJHJYUqeO4 + KjfccUxiVnrtXJU7du1sp6tXXHnF2tLaxRc8qzU8FGdpqopSKzISEAE5MbRA3sHaBgLAoiiUKWu1 + ms2XXDkQPx0w1HEOADZk3OBqsmHrBgAPET3Xs10X3/WyLIuS2A1cx3dl6vT7/bW4H2eJdB0pZZ4b + Muh4rhCi1GS0EkIIzrUirTUHhgA2EiiKoigKWOehtlGsPTFL2MA5r1ardl+rVCqWaPeSSy6ZmZmJ + k4wx5rrSJjlKqTzJHMcJwzBJEtuMAjJlWUguiXOt9d69e2u1WqfXNQi+6zIm0jip1KuFLpXWhogh + AmcAYLRB21B+jHHAahAuLSx6jtuo1W++/Vs7d+w4evx4tVYBgKQf3fKtm593+RVJHHuewxk3xrBH + tyMe9xI6ZVkBiQEYIEs/s3HKdlO0JelHz/M8OtYy62OxT/Z+fUp9JDDUaa8NDw+HfqBLZZTWoIkI + DG2cmMF/+3XT1RjsWRuvHBl3RJ5mpVbVsMIEz5LUwJOuj2RHMTmgjY60jXQR8DTn//0+mHxmCRKz + OzAA2BCGwAwiGGa0ZsR8GcbdNHQqjvDjbg6hWDKrk5OTreGRb918c6VSq9Xqx48ft3QZgnOVF2EQ + FFkaeK4Q3PPdUnlKqSAMu71eEAQOF0qpJI08z1NUBL4fae25HhgSyKTjWtAbGWO0LouiXqstLCzU + ajXXcZaXl3fu3HnixIlateq6LhA1qrUiy7kUSRwNNesq1YYx36l6ntNPusYoL6wg8Ha7Uwl8T/r9 + fr9eqSdJEgQVwR2ji04vchyPGHLJiIHWxnHlIJ6wCTonpQrOJRkdBJWyLFdWVoIgqFbqGwt0PWof + zLmc2S1gAphI0hyR+2HQjfph4B8r0tKUnuNRTkONZhynOeWTY5PakMsEKFULw7JTVMJganx8y8RE + VuTCdZTWwnWs2gDnfGRk5O7b7zhn28wv/fqvFEXx/g/8zsOH9j/44IMvuOaFvV7vyJHDyPHcc8+9 + 6KIL0zQdGhqK4zjXqj4ElVqlvbpar4dZGnkuoC6DkDdHfL9KQRUFQhSvej5TKYs6HYej9Jkpo1L3 + gZTnwg//0OVRDNKB4ZFqL15xOBBo13VHR8ddJyzzIvB8pVRRFMKRts4thCiVIgCbBVm8Qa/XA4B6 + o8Y4Kl0qpaTjaK3LrDCn1kdy1/WRJoMgOHLsqGDc9Z0iy5GYI12tlQEkAqW1JYgoikIyRmeij3Sm + 3uEkjjVLJbwB3aFHfyI3zGFIhXGZI7nolzHn2GO9mSu3vfvZv3Hbt27rt3sud7IkJaUBgHNkkuUq + F64waAoqt+2eif1erVlL8owLbkg7jkdocqVtC8FHl0sRqf7Ec8eEcgzCMK8mvIeGeCkGCQtpe1ao + mU+clGaeTEwe64y7DjFUeeG7ATNkdZMQERiSjfhRSS5BIyljlJaS50VcqVRKMoaYNsxoBsCQgHHD + gYzRZ5Qjbf7djTeaU80dnY0ZE3EqHY5Cg0JhtMkEB8FZlhUVL4j6yUhtrB/3OQpXyDTLiaNwuUKw + agMWmkQEjBgpmhyZ2MK2uOAiwEF58CMf/chFe57VgNZ999/vGV6pVDqLbSqMV6m6QdDtF8CQAGhD + ytOcOUfnk2+nI0VARMf3uv2eARoeHfmrv/7ki6IXpSb7h3/6h9//0IdTiDWUCUQPxwd7WRSVmWYF + MsUNaa1FUAGFWFAjrK+142ZtKOn0HCIhjNE56SIIgjzRRonQbXWzTFa42+JH+gecCoNSceAAg9IM + EAN8hMlq3TbivJMDu+8vM8wULHbrzvSlkxe+8PyXvvXFeZoEoSBGnbgXVCssdHOiQnEyhjSBcJXR + ge93V/uT4aQkJ9Pmiudfef0rXpWuZkILTfr1P/2G3/6d90ft1dbwEBg0BoR0C6WFcIgz6Xta6ySK + wjB0mBSGWwpszrkymrRijCmtXN+Losh1XWW0HwaM80KVTPBClYYMEzadAUEcS+0AE5wVRaGMDioV + EhAlsSKYX1yQrhsEYZbnhjRjgkuHykIpQwCccyI0ar18TkBE2pTGqMFYSFnam54kied5lgpog+zb + XkApB8HDrl27JicnbSG4KHWWF0RUqVS6vajVaiVJ4gCRKgVCmqXV0ZE47vf60czM1p07d+tCp2le + r9ezsnClc+lzLrnq6h/+yEf/DAmyONm2YzvnfO/55691Vu+8++5uPwqCYKCE47hlWZLSjuNopThj + jusIIb759a+//rWvnT9+3JeSacqyrBZUXnLNNX/3N3/rCmG0AjDS4arQ68gxQaSNAfsfZ7SECDfJ + Bw+eBWb/1UQKiAGz2EUAg4iMG6X0+eefr5TK0wwAFhYWVldX682WMabUemN/MQY0kDzDCdMz8m8I + IBkHgDLLAcCTDgCANkYbIlqaXxgaGhodepQ+UmkMl0KVShvjCIkMjTZMcKP0RmFx43VwFBpwR5/0 + qkvlcCGEMKVSSglkBp8ifSSb6wEAExwJwEa1m8+cIREgImjz5F3/p8AeP8TOrjtGaBAAUDKhtSlL + NTU1bZQu8vLyy69gjMX9/vj4uO/7L7qmbnFxW6embfnEzk1awmgrYLrW7Xzta19rDg+VZel7Xq/X + q1arvu8PDQ299rWvfd/73hf4fqPRiLq9vXv37tixIwiCm2++eTEvXCHf/tZfsCMrvu+vrKw89NBD + t956a3t5xZQqCAdCTMJxd+85b8vWLUWahn7o8trqSt+VtThLhYsAxgBDRozAcxmwvMyjKEn9YEAk + asoCOLv/X+8lol4cpWnsOB4imlJxzj3plEZrUpzzZrO+uLjYbq8opaanp40x/V5srxtuMoAzFl60 + PdxWq1WofHV1dXxyrBP3iMj1vTzPEfnKWpuhAIBelERRVK0FRVHEccwZm52d3b3n3IWFBULQQNqY + tMiNMWVZuq578ODBoUbzlm/fitqMjY3deffdw6OjxX33jbXG3vBzP7tv376LLrroxr++Mc/zgwcP + 7tu3LwgC6bkkRHYkz9Kk1WroIuacOOjF5ZW5uTnGQLjm85+78x+/8PVCNYmVWbzUaIwqlSm9pGix + Xqf//ZVjDz389df+p5dUG/6RY0cvmbwYABCpG/Vq1aZVY+t3ukG1YsknLBZuA9IN68NFAFAUhUWK + f3f6SANRcxzoI4WTk+Orq2sb+kjKaK21ZULnQhCRNoBPoT7Sv+nXbSWJaTRAQKiYAVKaEXmkuQlb + 3hX/z6UVr6JylURxGFYdLrIyk65I8lgIYVBHcYyCywqPVFTo1BAyZMgYIEcAQE3EuOGMQPFS8RKc + bPMJcLPhPQa9NUJUilSpgZESBIIbjgCgkDQZGLTF0BCQMQZBM2PAgC5NDg7zSGvpiyQpsyIptDEo + UASMSyQkMKBVSaWDJzOWPhnX9iwyEhpQMyDUxEEzg5Z9VUNFVlAD0xwQUHIhRKGVMYaYbYEwRIMA + AhkiOo5ApOVsuS5raT8fHh6dnT3wsutecfCeI92onxWA0zg+MlqWup9mJQwQPkSPVAAZY4QA5oyF + Dp8CeyxS3xhTpGle5o3RxsLCgnVxvh8cmptbzdpJ2kUPCplKh0liGHpJUTrSKaKEASdNKtc6ITLm + guE9dajtX3uoPX9CYSlYmel+wblgYZHxK174/JjU0ejY4upxL5BEhTIawDAUiByR2Yqx1hoH6/YH + is6BkEgYDYpq1HdiLhBqOvO1UkUmUyORAyhiIBgwADKAwACVVoyxQpW6QC7FP/zj5/ppVGSFIPeG + v/hE0o9XV1fHxib6cS9LcgAoikI6jut7cZaudTuCcdspMsYC3G0Nm+wasORJgvFKpZJE8draWtyP + Gq0mGNJaM0BNBgwRJwZIRCovLPoJmOV500AIjBljPDcodFFkGhFdxzNARVFwZOxMbqJVQAIAC4qz + BBIb+HA7ST86Ojo9PR0EgTGmHyWWJk5K2e/3q9WqRWdZpJadbImiCLSZmprcvXv3zNbtjuPMHTlU + 1XXXd97+9neMT4714/jVL3v1T77mZbMHsv/6gd8NqpV+v7/SXn7Pe97zwmteFEWR7/uwzldhCOwI + QxYnlSAssrzTXvubT3/mjttu/9SnPrVv375nPOMZr3vta+Ko/8UvfjEdCM2TKx0wORGVZclRCCEQ + uNKWk+C7vz6P4AU2an+2HEMMGZPMlADAHbdSqWiBShWCwZYtE1mW3HHHHaosL7vssunp6TzPtS4H + QToMut7IGBKZMyFFeBx2uoDeTmHE64xibF0fiUnBGENHUllqMowGC29zo2zzK1+/luvx9nd6BQCk + p0IfyYKnkPPBeRIgtxIhZEXBBvNpRE/Abv202pklSOYRwtOTzbIp9DrdtdW277rXvPCF73j7L956 + 663nbN9er9fvuusuy7idZZnrujZmZYxVq9W5ublzzjnn6NGjnuddeumln9i584Ybbqi3mp1OZ3Ji + otPpZMb88R/90aFDh4aHhhZPzNfr9Vqt9rGPfexf777n0ksuvfnbt7zrXe8aHR1FxLGxsSRJgiB4 + zf/7mte/6fWe50VRZBsOzWbT0i++89d+dXV1Nc/L0G20l81F51+1f/9KpTLa78UouDHK8agSQrd3 + tFrJ+vFirVbpdFeGh4d3nbu7VqkgZ1f+0BV5ktYr1dDziaExKle5wxwNmkj3+91arba8vAwAvu+P + jIwsLa0UReFID86k83C66++6ggk+v7QoXXfb9pkoin7/wx/eP/vwytqqQOb7gSZVrdU8z9v38Ozb + fvntjWatIF2t1+YOHwaGI2NjSZYmecY4B87KshwaGrI0cbOzsz/8vB+66ejxalixQkm+73d63YW1 + xZ07dy4vL9+/7wEEZrUmkjybn5+vNBornT7jfHRkQpui20kQqeK5DCrbps/TSnS73dW1I0l5IFFs + ZaWM21nQG0uz2HO165aikn77ri+31w4p+g/SqX7uH7/YHGpdfSWv1WorqwtFmZQ6Gh4ZsjuHhWtv + HpPYPHRosyZLBHRqfaR1YN4GZJaItFYWws45r9frxsCGPiWPVZgAACAASURBVJKFfxs9wLsTkTFK + CIGb5pS+Gzuje/w4ZhiINCAQoeV8QmKMAXdElueCCcOM4cRcbkBnWhvQigA4oYDADVzPK3RhgLQx + wBnbiInXlyg/w4KOZpRKUgxIEDjSIEPGGKDjCmOQITLBLXbLAJFVYjeGAZIG13GytPRZkDJXcodI + GcaNASCFAAiGnwaM8QNtxogCGJasUFiCNAY0GcME02XmcT8uEnRYURRlURJnwAgBuEbbcgMARoBE + gCrJom89/M2Q1ba0ppPVfLQ+9mu/+M7J4enhZ4/v3bt36cDRKIqAeVK668N+g1x282TNSSMNZ7Mx + xtCQpU6mwlxzzTUXXXQRufS6X3jd/3//17XO3arUTpl4RdfLtdWOQuloFviVqNCeCN3QhR7urk9O + wlC6cnjpzuUs7TsuGczA4bnpluhOvbBZouib1W7BIXAyrWBQ9bLlAutnnu5r8WSaZkS6dIKQlEEQ + xmBekNbGceoaSKkSGGfIEIiIjCbNyBjtcKGKEjSXUn7mLz+TppnjeKxkCwsLeVbYDozruo5wgyAg + Yxwu8iiZffCh9uIyEoFZZ98CNGDLJ8TAav2AAXKlU6gyjZP54yfiftTv9z3hCEdyZDZBQs5sgsQA + iEg4DnNEXpaZLhWowmiUwqYxhGTL58gZPS7qNBsaaa1t+4iIRkZG7NR7URRWu6ZSqdh5YLuXeZ5n + ecZ938+yzO56ruvu3LkTAIgoy7KRkZGxsTEb705MTCy3V73A/da/fPNTn/7rlc6adEVjqNVoNG68 + 8cbPfu4ffN/fvn3bX3ziL5/3vMtvv/MOWxksipxIgjGu65Z5Llzh+s7q2srwSOtP/uyPb77lmy95 + yUve+PyfN8b89vt/+5+/8MXQrwhkc3NzDFiv1xNCSCklF2CIjGGcI50ZdPlRcAkYbJYDaJYxjAnJ + OAkMw3B4eFh4Tq5yBsZ13Xvvvbd7ZAmqUiklOOOcF8o25XCzj7Ix+hndrCfwYTVEZVEorT3fd1zX + DwJALM2p9ZGeqPEt+5WtnQ36SGfaE3pSneVToYNkx38feyBbIBkaGqpXq71er9fpfvvb3/7Qf/29 + T3z8BirVx/70o2VZuK5XFDkRFEXuut5b3/qWXRde/MH3/06z2er1ugcPHvrg733wwOz+4eHhEydO + NIeHiOjZz372G9/4xgv2XLChM1uW5ete97qjR4++5S1v2bNnz1/9xScthf+f/Mmf2CT4ec973oUX + XnjrrbcSkaVtaLfb1jHleV4Lwt957/tr1eH2ihofufizn3zo8GGTpcF5ey7O8jLLeqVuM1x5eP/X + X/SS8+fmbn/RS676u89+2vG8t7z1Tddf/7JOZw0NazYa/V7PdjPcwB8aGrJ9GK1LK5tNRLZ32W63 + LfsNZ3IzAcAAgAFnTItPRIjEBAaht9Zb+/wXPx+EweVXXv7Wt/3Cm9/85rWo67n+gwcecjwfGFtc + Xb7y6iuXlha//LWbbvo/X4vTtN1Z6/R7tXq90Mo29Pv9frvdbjabu3fvfvvb3/62N7/loX0PvvDq + F6x1O29/xy+WZXnXXXf94i+946q7nv/c511x13133f/gvqIolpeXJycnu91uWZYO89M0XlxaCF1n + 69ZtTGOvk88dXPjlX/r1qemdlz7nyudcNjP78OHqSBn1y1531kesVXyCMgxkpWUyxT7xV5/41I1/ + Uam6Y6OTBDwri05vzfFEnseNRm1ycvLE4kK73Q4qYRiGVixio5lju0n2/tp96Ez0kYAxMI/oIzVw + XR9JStdxHFXqk/SRDAKDR5wIPN2TqYNhXAZEYMAwBDs0FdbCPE37SSSEcAJHK2UMSJfHWUSgi7JM + 84QQbaLCJXMdVwMZozWsw5TPHPujEcjhxIUyBgCUBiqULzwJvEwLslPDgAh2LAYQGSMhkGFJnufH + WaxzEuRyIwRyZXVKSQMBR+IMEJkyT8Zo31lqhITCaDCGU65LiaJQhhXGl4HQKArGDLaaQ2211s9i + HjBiDDYIvmDAtaDJcM0D1z9313me8bO1olVvHDt25OLnv8QFWWlVq9Vqz/MAIE1TY4BzLh0nK1J7 + DohIhsA+At8/KSpjzBGcMYyjuNKsWvHQAopzz9u9Gq8gahnyFJNC96Q0BgutdAlMMuG7QaffqdSC + mlNpzy4oJ6s1/WYcDsUVKJljKNecGGnhoFsNM5F73Cl5K6gfy1fSPK3UqtqA1hZfZ5c7/gCnSWio + LFUjrKVZ6qGMI2VAA+dhzc90XpoSUVlidEOagIjMoF69Lthw7PDhhjti40U39JqN1uLSwvLKivRk + 4PhFlhNxV8i1fnRgdv+855V5URQFacM2bQGDk0G0wkR2uMJ67DRNlVK3tW+zA0IW6bTxxgGXMUPk + rCRjENzQdcOAuw5z7aAtL8pSlaVAeYbtEYD1CNIexW5DFjIzPDxsNR5tddKSUUkpq0GQZdnY2JhV + RgKA1dVV+yMimpiYCMNQCGFR8QBgY5uin+3cvmN+aT4t8gsuuGC126nWK4ePHb3vgfuWl5d3zMzc + cfddeZ6iHdxSygrsuq67cRFsc+DgwYO7du2am5vbvn37TTfddPudd6y2O/V6fdfOHVlZ5PkaGLLv + MkBElsMXYUBjbc50K7RJ0WBk49Fv5ZyTHSHLdNTtESfimJUZ6XJ8fHRkZMR5jhP4fhiG3U4/yzIm + ONq90H6aMQTAnr692eYnJ+kjOb7X7Xbz8hT6SKc705OGkf5NQ84kPg36SCdVjTe+zinR7N9H9ngg + drantmED9iciRLTtY89xA8+vVaoVPzh/93kIQIVBTcDIZZIxobPCZc5Ya2R6dKoeVP/jS3/iWc+6 + +L//99+vemGv011cXJyYmFBKLS8splH80Y/86ecnJ6+88sosTkytcXD/gaFm687b7/Acd3Z29uGD + D//w1c+/8cYbg2rl0KFDUspXvubV//OGj1fqtTRNpeNs9JEQUSldccNoNXnPO39tasszf+8Df3Ni + NjVJY+85zz/w4CqCDENkYo2LYyw/9CNXv+aL/5z/+Et+8lUvv/7n3vRz3AgfwggjXah+J7KNrE63 + nXUz13VLrbTWjUajVqsNDw/fe++9VrA1y7IkyVqtlipPhqasNzTOzOEWZdmN+pV6bXFp6Sdf9pPD + rSbnHBnddtftb/qFN2utO50eIBsbG5PSvePuu3KtDEcQ/OLnPHv3nnP9Sji9dWs36qtcEQIRWTZ2 + RNy/f/9v/dZvDdUbu3bt+vX//M5777/PC/zDDx35xF/+xY9e99I8zz91442fvvHGTrfbaDR27tqV + pqlwpSBVqH612tq6dTKPk9nZ2UbQGh+feu9731ettKI4B2KImGaxQTM83MrLIooijqwahMePHx8d + GebIjCquf9VPdLudZz3zggLMw7MHztt7wcrKkgHqdruMsUajoZQyQBaTydYHgWAdYjcgNEW0lY9T + 6CM92jb3kRgTWVasrq5xLm3fud/vZ1khpeSCDThSBwmVwU0UYYMKyXf0wU+ud0CDjAadHzsvRUQI + Bk0nWfOEh8gUaQRGHIhBAdoLPSGZKk2pC+CMIWitNRBDBFofXAFCtB98ZhU4QiiRCFFpw1FII7A0 + AfouiaJgEoSNURRqA2QIEQlIOowXRlegllKOKfNZJYtSzYk4MEaMITJEAGaUBo0gvp/97RmbBqOA + DEOlwANPKpQKJ/yRoOS9xTUsqK5ZkfBK2OSuv5L2lGSaKUBNpAAAGNMGDXCVwPT4zAi0/KbngXNk + 7NgH//gDV11xtZdUbrnlFienmYu3YarzvCyNZloOHisAWG8c0Wa83VlvWmtjII0Tv+JLKf/2b/+W + Bzxj+fv/xwduvOGTCnIOZg3aB4tjpVrmjpdgjIKneeYrQhKQ6DKPqJdWZFVCoFKEXAZYdw1hCZqU + YlwrFAXXnpAGGaFgroOOHdQ91Rn9QIHrrCEBM9o1GGqW94qx0Qnj1YkwN4XJNSedgdKoELUBYMCI + MaNLhw80GCTnRZZX6nUda+EJ5vK1tbU+6yNnnuPkKs9MliUJ456U0vM8pVS327Wpkee4iCg2gQIA + ABHTPAfb/V//0Ybsx2YQ0UbNiwmuyRhjlDGaSmM0IXJHer6DUmRFjoIjs0Wxx3kH7aNkD2f5G2wW + Z9MeS01u6XaJqN1uJ0ly/vnnc8737Nlz/Phx13UPHz5s6Rls2G11b5RSFh3DGGvUWw8++GCn33np + j1/3ghc8XyMJV3z9m/9CSHEcA8C1L/wPr3/9f8qK9PiJo+PjowN0utacc8l4URRIkKbpFc97blEU + Q8MXbNu27eKLL3S9gBif3b9/eKhZq9dRY3dtbXhkpJf1h4eHkyjO87wsS88RAhlaeaLH6yAeAYkB + AIBWigC0UUZQURSm29OMNCkh2PLy8vT0dLPZRIAoitrttlLKlWLzbbUfRetiLY/jNL5H29yKOUkf + qdM7lT7S6e2Mzn9jeT/1+kgbXxw2V42Jnpbr/0TZGSdIg9BwE1rUGufclU6v0ymyXHIRRVHFD3bt + 3EkEWmkHOfPdtZVV4KziB2Aw7UWOcCWw7lrvyIFDL772Rc++8OI0ziZGx8dGRvOimJubO++884QQ + cRynaco5b7VaaZpOT09v3779n/7pn4qiQM7uueeeMAyJSCk1MjIyNTV1/jnnv+zGlzWbTetEbMnE + 0nwHnpfE/cnx0cnRsUDCm37uZb/0ts+PNHcf2p96crsmAA1RlPtewE31ysvPW1687ILzznVc2Lt7 + 745tOwjM3MHDrcbQ9q0zq0ttK5UtOJeuwzQiOq1WY/v2c9797nf/5m/+5sMPPxzH8ZYtW6Io6fV6 + FmL3vfYckCrVAMB4nvM//uD3t0xNzM6txr3+rj3nRr3eidmFSqXWaDTaa2vHFucZl0oXy53V5eXl + qMiSJDm2OH/HnXfefc/dUzPbLBuPfX5c161UKmVZ3nrrrZMTE9/4xjeGh4c7/Z4p1QUXXzR78MB/ + +Y3f0FpbSdnxLZPLC4uKzOG5ualtW8NKxbJmI2ItCMfHJjvLnY997GOd1V6/l4bVGgAwBkPD9SiN + k7Tn+o4Qot+NKpVKnuS1aqPMy7lDB4eGhoRgjIF0eJ6n+/fPGlKIstvt93q91siw5VHo9XpBELiu + m+U5rFe/aF3wyvqaU+sjZRmdrI8EcHp9pIWFpY0O+EZdSmstODvJFzyNZtswBgwAQ0ZoiMDYWJZL + LjxptDYaDdnxSqaUSopMlNwShTjc5VISQ1WWeZHBOr0+26yTcIYOSynFQLKS1f3QY07ajYNMVHhY + JEwg48iAoUIiJMOQGJEWiAiplo6sQU3HemhseKm7aCSUqDQaQ5rIIBjbEvn3ZhZXz0FgyX0vVFpU + lbMdpzzS9+w7pKPyuFyNebn38mdV+HBWzEZUammQIQIzOOiUIg7qkKtF2wcvjzM7tus7PiZojNHa + lGWJpeacu5LD+nPBBpsdwvqfM4WsPF2mtS6NrtVqiU7iOM6yzPO8TGXM5YvlkqGCOxCp7lq3Xcis + YFoK5ghZqLjM8nqlCjnEcVxvNWXooxBaMoWYKVOWypAwnEsvyI2WgcdAIOernTVqEnFe5Moyu27U + szeaz0/3JXnijRE4wFzG3ELxTr5z+2QtrDOQa6o7tzInRCFYmZpCM20YInMYss3hqsWYVSqVfj+2 + zplzjoBSSum5KtOSyaGRESxJAzHGHEdmWWYDzbgfISLHkyNL4Uhb/87LQghhyITVSlEUWZHbX9hc + IyMiToJwMATCpUDgyBkAuL6nNzZrBM65trxtZ7j+bcvIMtdZ9VsrZL+Bs7LzP/V6HQBOnDjxwL6H + hoeH6/V6HMeNRsPOx27Euxthq20oMcSwGmZZ1ul0vvGNb1xy6bODIEiS5NmXXfLNW76Z9KOhZssy + GL3jHe8Qgv3sz/6sECIIQzv+LTgHAMEFY0wwbowZHh6+5ZZb3vjGN1577bUPPrBvcmpLnBc/M7X1 + +NHDE2PjC0fnf+d970+LPPCCARCDIWOMAxbr++/j8A6Prrev/+V6mM4Fc6XDpGCMBHLfd9vtlaNH + jyqlOGNlWWo1aGrByfGVRbue+Qk9EYZ4Cn2koFqp1KrKnEIf6dEkLo/fni59pJNc3CMx0hPyrZ4+ + ezwdpA0XN3BOBAhgn/wgCIaGhnSp4l6/2+mcM7OdtAENjnAB0XeDsFrhyMKw6gjpCtcYmhid2DIx + ZUqjCp1EsZSy1WqtrKy89Ed/bHWtPTs7K6W8+OKLi6JoNpuudOI43jIxOTYyOjY2xqV47nOf+/FP + /Pn27dv7/f727dvf8IY3fOWbXxkaGhoZGZmYmBBCpGlq71ySJCzUYPLR4SqZnHEIQpjZPn3fvZlw + G1Hc59xhSI6AwJdkyjCAZ110Yb9TxOmKIJ5FqQD2jHP3AEC/39+2bdv09LQmVehCac0Fk65bqVTj + ON47uQPq7rUvfnGv15ufny9LPTQ0lKUFPHoJDuxM4EKMoNvvJVkKDP/lW9/Mi7QosrGR0YXVxYUT + 88jZ3NwRx/VLQ/V63XP9drtNYMIwTLMsTdOgWgmrlamZbdVabXFx0ff9PMu01p7jZlnmcGGQdbtd + JvgDDz04Nj7uOE4/itIsGx8fD4JgaXXFcZy8LDr9XnN0eNeec7UyvV4aBBVHYhAEeRxJCZ7nzc7u + k1wQYZoXSZIQUbfv9pM4jtJGa0gKp9NdcxwHjNblYcdxHIcHFXd29iHP84qiEMIZHpnUWjtOBYHF + cewGfhAEdheBdfkL+xBucHnbLcecTh8JwHJ4PKKPZE6njwSn1kdaj3Ye38PyXf3yGX00ANiSDxGs + Jw+WGpHAIMMkS/I8dxyXc65ICeEY0p4fMDBGgyKjwagyBwBgMBgTtY7SosDP3LchoVQoSaCGmvL6 + R7sP3XovxHrEb5ZxKQgRkRgZBoahQq0RNCngrEiVH1YBINfpZVc/N47L1vQQY2lGhQZDpAENZ4DA + B3QQ/14MOQkExkjKsgxMoBPWyoOtrXE3ye68vy8KTPJEBzB+QaU5NjTXPsAaLCGmOep1KjVOBFiC + z+88fpsH1ZHaeNErKKH3vfv3TE+7U96FF164fPBYHMeY6mq1zjnXG2nVelPR/v+pY5mz0hhjkrNK + pbIyv0JE11xzze7du9eo8873/dr9S/chkhvwRMfLxSrUXGIFVxp0WZNM6BKES440VU9z94HVA2zM + 6Xu5GpKQaywJmadAFRITQ4faC+5ooDxwwFVBGUiKkhgHZC4DZrPv/yDhtIYEjoagBKcsKqnZCaMh + hBz8vqikernPdRtzZfrKKBBcIxCCrWp76FjWBMdxoiiS0smyLMvyalB1pdvpdbKyMKAdRy4szQ/X + WkkW99N+rVJVqImMyrXwH5FI2hiVJKK4SMIwDCqhFYFYXl52uddNevX6gEUWNiYoiBCgUKVBKMsS + OfO9wHEcdJh0RZIkTAghuOW+45wbrYXjkD5jJ22L7ohYluVGr8DmPDa4tOW55eXlAwcOHDt2bHR0 + FADyPD9x4kS327Vhrt3gbCZgcypjTJamRVYKIb70pS+NjIxwzo8ePTq1bWrfvn31ej1N0zvvvDOo + hH/4h38oGP/5n39ju9vxa0F6/AgAOI7jCKmUyvOcc46GiOj4iaMTExPv+a33fObvPsMBF5dXS4Kg + Ul04cRyJRpsj/5e8N4+37KrrRH9r2vM++4x3rFtVmSEJAUISQcKMdNNRUVQQFFtbGl+DmGZ6qK3P + Ftqh+6PQzvr0ifBoPnx8KAJRkyhCBjKACQmEQBU1153vPcOehzW9P9a9py5JBagMEOjf53zu51bd + W6fO2XudtX7DdwClmW0BoFE8oRoZGpJZ59/QkeKbWU7TsG1bA9SiFlpKKbEioFUj6qoqDNwrjmME + EIah73s7trVTevBOxo/O9bCGR0VTeYTQBGMgGBCSoLkUQgiNEWH/m/kjneOaePyu/+MT51YgPVLO + pHczfsdx1tfXfcuZuexyzvl4PHaoA0RXVWW0U1zbWV1dBQDGmGPZALCxts45Fw1HCF144YV33fe5 + 8Xi8tLR0+PBharHLLrtsdXV1fn7eFOJpnMzMzHz0ox993vOed8stt2gES/NLR48eNQIvWZa9+Pkv + /pn/+DOzs7NGqQMAkiRpt9tSyrquZ3vdMPCKIlOaawmtCEaT0xV3NKLt/pwUUovctktm11m5ncTw + 1Kde0lSpa3tXXXVVXddaQxzH7bBtvDXieOyHAWOMS64RaZrm1KmTG6ub3mKvSFNzNTjnxujA8PCm + sGmEdmQQz9V7nVK6tLS0trYqZBOG4b59l8zM9NfX1y+9/LKjx48RRqNulBdNVdej8aTf76dpUjdN + Lfjc4sLy6gq1WFGWXAjbtm3b1kqZ0V+e53VRLiwsbG5sUEovuPDCtbU103twHGecxJM0CYJgOB4F + QTAzN2usvsui8rwW5zxPiyiKkooDQBB6SRJLQi3LWt/YNAOf4WgjaLX7B5dW17YcW7muq7UklEjF + gaggCu69/3ODwSAMWvF44nlBkiTtqJvntRFaWVlZ6fV6hsy6vLyc53kQhgbwZuAK5ggxl+js/kgA + aZqas+qR/ZGCoijyfHV+fn5mZsb4I5nfMW0/jLFWOz2qvbXuOd3BxzeUUgA7/RuEEEJKIQxIS6mZ + xSxsIYS5FBppREFIhUQNAJRSikldc86Fbduu4xi1WblbuZteID7H3Q1rsAm1NcUCqZE49rlD//hX + H0+Xm4V2pCtlhlESK41BYlBISdASAyKkLBvKGLUYJzqwOxWtgrAtbA0WEIsqCjvyEloR+E7XxTm3 + QJRgjRgQLIByjEugnLja8oDyWPSivkPcnDQB8mmtmrigkYOQANAIIaXPYHvqpp4ZzKOalnkReq14 + HFdVMdOaL+KcMWb6CBbBWus0SbDNmE211libxaW10ho99hToWxqu625tbZmDo9frlWXpBx4SOLIi + LiqGScmrwA1KJJFCEnRe5XNht6nV5mTDD7uu665vrH34//6ri3vnf+bGW0/ev8kAHAaWBRWHUoDd + hltP3nf+lZf0Lu51L2knWWp1rGn/RWukd7mOJqX4dl+PJyCMehwX0GAfsxa4dVMzy2qB08Kepk2G + EShQSmggGqlGaYSRqDmiOwMc3/ez4XCud56Hglo1Qoim4VrrIAiLKpdS9mYGL3jeC5nrGEk3LaUZ + iewsS63x7kxI73oSzs7PXXzxxUbL+Oabb3YcZzwemxxATmWgd/ftKRJJgZZaD8ejk6unkizlUmqt + NAYt5ZTUDudOzDT+E0anoa5rg4hjjBl1cgOTM8LKa2tro9EIIeR5Xp7nWuskSaSUcRyXZVmWpZm2 + mS6e67pBEDR1rSWcOnXKcZyVlZWoG1188cVpkZ44caI306OUtlqt9/7e/zzvvPPe/va3X3HFFVuj + rdPrq1khTWZSFaVt2+aicc5d1yUYD4fDgwcPnjhxglLa7vQooOWVlYsvuiCNkzwtBu1umqYIEKUU + a9Bac84RxYwxjGnTVMZP8puMr3NwFkVBKNVIU0bb7XZ/fkAdVotagbRtduLEiW63azFmFHoBwHYd + AJDyzFPuYu2+PTvWWf2RtNbj8bjf75sqZbogH8cUwqAuzYQnCAJCSFmWWj/h/kiwp8oyH8adBOnJ + 1FB7FD3fRy/z/ZCY3hjP84okc13Xdd00Tb/w4APJeNJqRydOnVxaWtoablOLFUWhQG9ub33qlk9b + jt1qRwp0VuSnlk/HWRqnSX60MMP0brf7mp/8iYWlfQ6znvOc50wmk3/5l3/JsuyHf+SVP/3TP52X + RcaLQ4cPm/Tu9a9//fs/9IEvfPGLnudlWQYAURRVdX16ebmqqn6/f+To8Szjmxtj3w9aXXjXr//t + 2uYxZi82Da/lNlBCCC/4qBivzsy6d951/403feD3/uA3hGz+6Z/+6Udf/aMEAUJoY2MjSRKEUJqm + SZYKLQgjjRC2bc/MzFx0/sVm1zN7nNGMnuavXwu3eDQfXaFUUZUIoSiKzH5a1/Wn/uGfaeS89KUv + dV23KArHCdI0tT03r8qdUqGpR6OR0Q+EOHZddzKZ1HXdabeFEHmeM0yQbQ+3tz3fBwBDK3QcZzQa + AcEYY9u2G8HNfqq1tm27KEvCiFS1ZVME1vb2uutarmuncWJZVEkJSA0GvSzLpOSUkrLKut22EJW2 + ieCSWaSsS8u2bMcaTSZhFHlBkBY5YTQvCy/wzfzHzIizslhfXweMDNdwOBymWRYEgVaqaRpzepm+ + 2pQU+1B/pCgytEKD/Da2fers/khs1x9pn+c5p0+fJoQ4jlXXNQC2qG0aMFrraQfFDJrg21E4YUCA + kN75T43AswYAhEHIRhtJFQIIEJcNpkhpwABCSVASEaCESpBFXUyP/jMD90f3ehTGGtmSOtL6f3/v + Q15ND7Rmm+3K1o5nOxqppEydwK15Qy2aloXj2BohS9gMnCTOrdB633s+ICH96V9900VXX2DZ9rDc + diIrKwvHcZqqtjHFZ7uw35UQJoU0ACeYiqbs+F6VTELi9KNuLhpwrCpyTtTjWtRz581vFhN3IpYu + OO+L9Ykac0RBAVdIUUQRpaAsG/mL1oEFa9YJGQN8jJx409vf8O9f+zOzbPGOO+6YcaP9s0vpeOw4 + nm3blu8WlbEl0GQXYvdkOum+cWADv5HSJKN/9md/9vo3vX6YjX7/j//k0x/7dAYjBCKFyTF+8gvj + r6LQlhRxSyZEVFBJVwtdIKV4NVzZ+sqpo18sOb/ipYvQgGwktlglJEeaI333ic+fYhv/9qn/ZqY1 + i4CkReZY9s4ur9Uefq46S6bwXaE1IpDOeO1gi3nOWr25ZB9oQAHgpC4mKktwzi2BHSYIqpVQgInW + ruuKUljIMeMjr9MRQhS8oIiB3tHFNnpuCuDTd9x+62fumM4Wph9yAqiqqna7XWS5cahDCPm+H6dJ + FEXxh2KjiG0kjw3jwrIsc3KZYsMkrGhnygcagcbGXKstYwAAIABJREFUy0UrAMqI3u38UoqFaAhB + Somvk/4blAHa1YEwyquikTfeeOMVV1xhckrHcQCgaZodqQOlDCekqqrxeGyoVg888ICxTirLEmOc + ZZkxhYddyQdTZUkpedNIroqikFpYlrW+vv7nf/7nju/kdamQElJed911+/fvj+P4l/7LL9d1GUTB + 7//JH51aPjkcDm3bNj5I5kDcubxqJ1nv9fsAIAHVQs7OLWRpvG/fPoZokWaD2VkOMgjD7fUNAEAI + BUGQ5/lwPF5cnB+Px8ymrusOh8MoipIksSzLjAoxxkVRGFxWHMdhGFZVgTFGBCzLKopCCOV53ng4 + MUpXVV23Oq2kTJumabWjBw496Iee1nJpaXFxcbHdbm9ubLiue+zoCUqpEZ7eIfbs8iXNFPfbEiYf + MGWwUX5TO6hEvb6+3uv1+v2v8UdSShnKg5komlVECFHinIHlZkWZZzO5qPnLb4E/EuzhhO98fzbx + BvPuHusl/pbEuRVIj9RCNKLIRu/LcZx+tzccDk31mWTxZ+66c3Zh/ocuuvC+++47evjw+eefH7Yj + zvn/8aY3Pu1pT9t3YH+7122k2Bxun48vNEBks53Nzc0tHTwQhqFhKF566aXvfe97jebYb/3Wb73q + Va+64KILr7rqqiAMXdddW1u7+eabzR014xpjgVqWZRiGjuOYI7Pijdfy7vrsHTNzF7U6+sprFje3 + 4N57/zUreZ7nILnvIKTjSy+Z2Rofe/qzLpXAEUX9mRmt9SiJpZTMYWmacikaLhVSQnECTAgulNwe + jbTYmYDXdV1VFeccYwp7hBEfYxiThIWFxXvvvdcgSu+77wtW5DZ1bWQZpUbD7W3HdREgLVXZ1HVd + 9ztdCXprayuOY9dxEELtdttsjskkRkpHMzN0l9I3iWPLspIkMdwkaltCiLKuLMuybducRqYZprSQ + qmpKbjFmERBNWdWKUgyAo1Y0Ho8xlQhRABwEXiPFcLQeRcx2cZYVmLCGl8zCRZG7rtc0YjyOd+tJ + YVkUB3YYugYkaVkWIcTsJkb0U0hZFIXrOEEQGJ0is8ujx+qPtDMvQjv+SO7CwsJwOJz6I5muj1ld + U+k8/C30RzproF3HcTiLdaYyxY/Rw9EIzs257RxDgdRaEuT4xAssl5WUT9R4OcNCa6251BJgcb8l + tG6gyeJcyhxj1DTa9T03CvOiIj6RAm748A1vveKtAbPjEmmlKKUMW5JJgyX8riyHzhaqUTWlVEth + Ybfb76BE3/b52/7gjvvv/uTdgR0uzM2vr6/Lf630R/XCgdkX/PD39Z6xjxACWO9YgmhtBoMIoUPH + Dx2OvxwijyHcarUuvvjibrebrqZRFEEDUspWq0UIS8sqz3NEHo1I7pMn6rqmtuV5nmKq3++PRqPF + xcUZPff0S59+y7238DrzO7Zwmk096i32RzTJeEUtkhYJ5zyM+kggkPDUZz3lDf/nGzp2S6cqdCIL + 20ojYjsNQAMKWTQts4xnrMO4JZSCphJMUrPRG5CPeTHf3iHzExcSgWbE7rZAOCdOni7uudVVQV0o + 6lvHxsd7F3acJY8yXaqylkJjm1GmRPP1n/MhllYagURnfjQNIaUkqFGSI60xIJsxSn/6Da+/4YYb + Tp4+BRa1Au+nfuqnKKXD4dD3/Q9+8IOGhpHz2rIsO/Q1xrxuMEJG6VsbNAdCGkw74GxdmK97Gx/O + 5TCJZhiGeZ6jPfoBhh9CKTXUaCPmpLWu6xphKaU8dOiQ4XgYcxTY7cobuIQRdaCUSqXSLJNSIqyV + 1pMkro4dxRTVvNEEmGMfPn7k81+832iXScn3Hdi/PtwgbKd1mNaNgZeb/jIAoF18hHn9CmFM6PZ4 + dOnFF3310GGkUOQHQohJMqmqamZ+DjDWCJZXV3zfb0WRAl01dVIkA9w3snK264DSXIqW09oeDW1m + WY6thHQ8l3M+mJ3Z3NxUjXBdnzHWbodCiE63WzcNRogxtrK22upF26PhrbfeOre0IISI43GnEyml + Dh06NNzefslLXqIVWl9fr/IaABTsuMSeeRdPso8eQogxZgxIDKnb+CNNR4umb2syise3ivgW+COZ + gdhe9fCpVop5BoM1/Xbthw+3y/uG8WgmSHtNqWD3EDD3lRAyHA7rsgqCwA+CUyvLb3vH213XtW1b + SrmysQIUkiIRQhRF0Ypak2wySkZvfsubDYvxe573nKIqESVKSiHE+tbmyqfWb//MZzDG4/G4HUVm + JcVpcuPNN91x152m6g1brTzPwzBcWVkxNymKIiNiCACmHM+yTEoZtkOvE6wPV3/3D36LsjDLkMX6 + eU7AUjblrb5tWVQ1NcgKPPmhv/0jwdMPf+TPLZtuD4fXvui5QSsaFzG2mUDaqGRKkEhiTClCqBE8 + z3NZ7UA/DUzzzL15hAT6XFU7yrIEgNOnT5uWTFmWnajdbXcOHjzYCL6+umUzViLsOZ5SIETjt9t5 + nqdJUjeNeVWEkMl4bAb0lue3223FhRQij5OKN4SQXrc7Mzu7ublpGlcbKyuDwcBmFm84l8LIkgoh + fN8XQiImRJUS5iMgdV0rDa7l51kT85KgIPBDAJ3lI8Yo1mJ1/cT8Qnd7eLrV6qbJuNubkQJ4o7VG + zHI4l5RaAKqqM5LJpmniZBvhM1esqirz+k2NNBqNpBDmIpv1Y8Rh9h5U39gfSSiMduUE0Y6Ei5QS + Y4jjMSHIgNen/kgIdhwM9Bl/JGUqpbN+7M/p/p57DY13MZp4L3HImG3DtIO9+5ew549w5rw/+3/7 + qPRktCIgtGo0l1oDUASWVlQqojQBAIW47bBWZ65uynES1ykQDEgjIjXBaH7f7MnNZScMMp9s33ny + 0Oe/eIl7oe1gAEBCVKikmCD1nYTyeoyhkWK2JiCkVZdNvRGnLvbPf+6FFzz3she+/ocQZhhZACoK + 3CKPq2zSn+3EVcYE5VpxzBBgAECgAXFOChbZg4XZyVrSJa2sVL/45l/poi6/QN3w1L9fPXS8aRpR + qSCwwjAcZwmlOxPR77DJ0W44jqMxapqaCz4ej9/7Z+85/+D5iUp/4o0/0ehGcJLgQtBKWiIRuWJc + NomLGQLNKCOAtvLJRtZkUb+zv2NRl2e84NJuuaNxXMoCOZZmpBEJGzCHtdJ6UtcVdpEXBqKsKUyh + 1N/lIg0KQ66VZMxhLj3QV7TTKKupFPiWXy3yts5RkfMaKKLM1hrLhhtVBf3NwV00MvvYbpq4559g + ijXBgiiOJSCktBKc/+CPvOLuez97bOVEXCT3fPbeI8tHPvKRj1xxxRXPfOYzJVYf+MAHjA4etglg + lJcFaO0QtgNyMsMfrU3BBDt0iKm99TeOKXJvF+2MMEJFUczMzBhfI6WUwbYZ/JI5NYqimEwmeZ6b + g1VpZHpzlmUNBgOTrU6ZHmoXtGksbpIk4bWglFLGNEY1r+I4lkhqhJhNJeg0S6vjx8MwrHiTpnHy + lZwrjncdL2CPpMH08Nq7UJXWUkNRNfFwO/SD7Y1t0R8cO3G81+r3Bv3V5ZWt4XaSJIuLS0eOHu10 + esePH11YWPBb/vDwoXEct4IAMCYIbWxt2YzNzM2Nh8OiqixKqWXVZWl7NmOsqoqFhX1f+tKXXMdv + t9taIyFEnqSzC/Nr2+tBO+h0OhkvyqpKi5QRZFjlhw4d0sNKvEBQSuM4ZrYFAMjQLs3i2eHAnFs8 + oSpqaqdk04bAYxJjA8MzcxhTkEzVoYQQ54pyf6SY1uf6yeGP9Ej74bfg+p9TnHOBZGi6D98yXNd1 + fY/Zdhi16rIKw/D5L3rh4v6lfqc7mJsdb4/XNtdmejN+y8/iTGjhMCdsh2vLa0EUKK6oTUHCOJt8 + 6X98qShL3/MwIZRS0dRlWQqtoiiijCVpSjifnZ3d3tgEAMt1yqpaXl62LCsIAnNr0zTN89zUDwgh + Sinn3NxChO0Tyyt/e8MNgNTG2mYrmA39vlQkLfKoE2Z5Upallmr/4r7h9iZGwrGoFBohMh4PL7rw + oq1k+4sPfrHmVSMaBVIamicAABDCiAItdS1qs9Rgd5phloJ6PIpmpBHDDAFphe3Z2bmjR48gDZde + eimluEiL06srZV4EfgsDziYxozbn3LedwPWKoui025Ztbw63LcpCP2jqxtjtaSHrquJNQxHuRm0v + DI4dO7a+vt4fDAAgCIKmaYzavVHNr5p6Wv4hpCkhjNlKKcGFmf5hTG2bMOwKoYbbsec5UpA0qfyW + uzB/wHdwXXCH+sJhWiBGnaosmlrkeen7vhDCtdjczAyhKE1jRrHW0nGcsqmrqrIcGyFkNFgGMzO2 + ba+trhruoOd5Bnc7BYvrx+SPtKP3sOuPFKFdfySL7owoH+KPNP0K32p/JLw7PlIAGGkFgDVSeHdy + pL720/rEGtkghQlgLQhSSgsppZKAEaPEqeoGYawUqkqe5IWUXCuEKFaNQhgTAM0V5VhmQtrci6xK + wb233X3RFQudjlfopNSiyWsatmCXCLD3UsN36UwJAXDZVKImCnWDNtIQj5NJXGIWgOdKUJgi12bL + 2XYjcteHzXxkUTY9CAFhhDQChDFWUgat0KYOs8tedzA8ubW2sr5RbVZxrbX2fb8sS9qA72uD/1Fm + VPck679+89E0DVeS2dQ0OGzbPnLsSEWapIg7c23SqHCmH1cjoXXVxGApizItpE2oQjivMsdx/KBr + I5bkZV1zWcomb1DgjUSmGHVCZ5hNsjLxwfaJU0EldE20hXfk8h/6Yr4rFycAKMClqCZFkVsc+cT2 + SNGIktZuC6mQ1LiuQGhKEQEplJaSUvxNtsRNi0cjpR+Bc4gJ0UIIrSRohhEAqsracZw4TbiSvZnB + W37lbffccw8AfOB/ffBZz3rWX/7pX3704x+L41hjJLTSQtaCO8xCBE+1UI0sNChtxDzP9WrsHRxN + 5eaiqH3ttdca9IFBLpgCyXDVNjY20jQ1WFBjIysVmOzz4MGDl19++RT8Zn5q2qOWZS0vL8dxrLXG + lDDb0lgDRtS2OCjAmFqsbMosLpnN8qosm7pumm6vXXMe2k5V12YqRXcPvmmzb/pedjcQYjHb98Ik + Hl9xxRWT4YQhLISIq3jp4IGVlZVTK8tb6xta3zU/O1eWdV2XX/jSA0HLV0Iy29ra2GS2FYWtdrez + vrrWCI40tNqRRRmXQnKhkZqMx17gMnq/EAIhYjMrSbIsyy677LI7Pnc3snDQDrHNqGU5jiNBWhSb + udzi4uK2tSWlzPNcSmntwMKNvPLuufAklEhRZ/FHchwnjuO9gnIm9B7T9scYJjf71vsjPSTv/Y7b + CR/NBAmdzU46z/OiKAxhgzB612fvfvl1/25zc3Nh3yKi2KbW9nhYZoXl2iB1LZrA9cfJBGvUnx1g + jZbXVnzHC6JQgPZbIUgVp4llWY7nakJEXRV1lQ23FxYW0km8srqqlfJboZEBmV2Yz/O8bGoAqOva + 8zzOeRiGza4pGmOs0+9RStfXN97zB3+ytbXVFKnveq4VVnm9ubnVbkdZOR7M9DQieV522oP11eXB + oFPVBWhMCOOcAyhMYG5uNq1yN3BrwY24jVBAhKaU2oxokFqcUQuYOtPB12Yaj2WVWJalpaKEGEdd + yZt7P3fvxRdfePrkctM0LTfkNW/7oRDKdf0kmWRJGoZBU1Si4ZgSUdea0KjdHg6HGBNRN2VRMMZa + fmBaWcPh8MCBA1mWBb4/Ho+bpjF46Pn5+bXNDQCgmDBCNVFSSkRxkQkhCMHYtr1O1M6yYnV1rdMe + NFXiey2tJefScztpmhYZda1BOix8dv7m8nh2ft+pleXBICTYRYi0W1YQesunjhUIBv3u5saWqT7M + NUS7Oqdm+AsAdpIEQdDtds3pYlaCQZmjc/JHgjMNP6TxTn2xqzFaVdVwOCQEBYGnVDtN87psbMoQ + JlpIpDQym7JUpnNyZlv+1m3MU905bLCuu1/3fLcn1DdRIz2GLo7CShBQBDEKEmuhJW/quiwrAEQt + hgiVouFSSCmklFooAGC2hQFVVVNkuW87opYirUFDNRz3XIcoUTWFCzJwLSk5V3SKfvluRS7tDaoY + tZjiTVKXiiuFle+FFrOLnNsYF/EECPF9r9XuUKwIJUmRSJAKS4WFNOLeCBOgWNpzdD4E75L58xHo + aB/9nd/5nZde+7KL5y+7++67W8h+zpXP5nFZ13UlpOW736GDo2m4rmsjKOvi6NGjWus//MM//Pm3 + /fyDxw/95Yfe/4m//pgGUUIaunZZllhiqTBzA17nilKEcJOVoeO1iScLUWea+UGv7cc6ocAoYW7U + KrRwAztszyKQlcyACItaGiFeNS5hWAFomOY2CH9NJQ/wXcI+MhEGUSU5RlaD5QjSWnNBm4CJokwx + RkAQITZGWkmOtWSY1EqoXWWrR9qLplqJD+nD7v0TbxophMYEa8CAKGVuy9ra2vJ9XwuJNXzqnz9p + eD5I6dMnTla8qPLCIpQiXOWF67quZQshFGX6zCvZ69lyxhQZ7ZUXfeR7hxDSaufMmh5bVVXZtm3O + rKZpjGEJY8z3/aqq0jQdj8cAYHJWpZSZILXb7QMHDrTbbSNPb4BYRkxFSrmysnLkyJGtra2madrt + LhCcZwkimHmWBpBaIdCIYAwkiFo6y6qqElpZjlM1TZ6Vtm1bjm10KSglJuuddvdMxqKVwhgZt1ZK + 6QUXXLCysoIUGm5snjx+4pZP/svaytrrXve6K59x9Tve9vYgaGFCfuM3f/O222+55557VjdWr7n6 + qte+7ic/+pG/uePuu8qi/A8/9qMXHDzvXb/x37bWN5YOHvipn/jJu//1cx//6N/Von7r2952yWWX + /Jdf/lVVw9zcws+9/j/meflHf/RHx0+eePn3X/dDP/JD/+uvP/SFB79UiwZRUvOmKBtCEAAcPHhw + ZjBIkmQ8Hhu+DQBoRAD2uBMgBEo/qax19nZRH+KPZGqkh/oj6XM4jr/OhGR6c7/1/kh4V1kQ4BGB + VE/aOOcC6WEMh90norTdbrfbbWbbVVUZkj0i+NDRr15w8UW+75SbTVzlkU01aK7VKE8c38MYr21t + hmHoRy2l1KnVFc/zwJDsKSGMGjaI7/sY4zBq1VXdSNHv9ZRShNKt1dVOvxfHMQC4rqt3bdQMScao + ahqOoClwlUZf+erxwWBGoLJRup6MRCPPO7gUttzhSKfZuKp5q9NVuvIid5gMMQEpgDHW6XSyLEuy + ND2eS8WBEsKoEaYgWutdvj4CAkjtRRtrrXcwxLALWXmYqOI5RZZlWiPB1W/+5m8/42lXFEWmlQrD + AGNcZrlSejQaDQazSqm64r2Z3uraMrOZ4zgaIQX6jrvufM973oMx1kohjBGA4ziuZXPO8zx3HOf8 + 88+fTCZm106SZNZ15+fmLNteW1trtVp1XW9sbxlduKIohJQ2DV2HiIZXRbmZj+u6XlxceOc7f3H/ + viWtCEKuFLTfPVDkcjypfCfkNfddN8tHbsAQ5eN00w/pKF6PWp5lYaQFxni0tf3ud797vD0ESQhh + ZnbkOE6aZ0aSAWO8vr4eRdHszIzruqdOnYrj2KhKVFUF5+SPVNb6of5IO2BZOJs/0la5PZ2AT2+i + ufvfhmmGRmcObKRAYzDWN7s+ZYY0OIXePtF7EgKlm1wLimpMeMiUYgA2phaljDlO4NuBIzXvdMKy + yCjoBAFxqN8JLUJhFGMCg16/kMVWmUIDIaO4KvKtMXYaiqXr2AVXjRYKdjwB97Zsv1M23HMKrKjS + gBUAUGoRYlMskYsdxpnLhSVI6C1UVVVOmkY3magqXfqDUKCpGr2SgEAprbVF2KmVk3y79rVjadLv + 9g4eXDp4wcHh6jCKohCsuq6R1rbtZFXiEqKkmSB9W9//Y4iqqhAllNLFxcVDJw7PzMy02+0rn/WM + lXj97+/8RyWKzqDFaTlGKRswzKCRglILFDDmhA6Tld5a36Q1eurSUycbEy0rVsomT1RR9vuLa9lm + Uifc1gIqrYVlWQQjqYlFLbJjq3sGdv+d1jM9t0izGCECBGrOG8KxDciSlY6tgGKMZa141RDKXMtV + vGnqCtjXUzlDe77ZmYHvrkC1KzK/U1xpbREKAEiDUkoJ4UdRkWVR2Nq3b99XHvwyYZQg3AiuhHz5 + y18eMF9rvb665vpe6Ac1byQXjFK1QyTfmRqhKRjpHB19tNaAwBw6Zl5kNiijBFvXtVFLMlxW13WT + JFleXj5x4sT29rbruo7jmO4+JiwMw8XFxbm5OSPzYHp8pkwKw3A8Hh85cmR1ddXzvDAMudKgVM2b + 0I+6vW6cpUWVa4SyIieMFWXJlewO+oY6Ox6PPc8z2g8YY6GkoZoYnMXOld+FexmaE2PW4sLCcGsz + jWOkseSc2k6n11taXOrPzmxubc3Ozx3Yf16v261444WBG/g/+W9eV5fV1nD79OrKi17yYtHwCy++ + 6HN3fzbqtF/2spdRizHHPrV8+pU/9qNlmSNKjJDDddddt7Kyxhz70P1fWDp44MJLLo7abYzxkePH + MCUuddc21lutoCxEVVVra2thGPKmmUwmZVFblmUOOIO/fiwQuyc0sAaNz+KPFASB0RR5uD8SEudG + Q3okms23yx8JPYI/0ndKnFuBhAGMCtbemAIr0zRFBFdN3QhRVdVMv+8REs30ZuZmt7e34zTp9ntG + GM3zPbOV1LxpdzsGvLR//34v8E2Ca3YEKeUkjpVShqrIObctyw+DJM/SNI2iqNVpW5aV53kURab2 + NbSz6XZjZoVFUaRp6rpuqx0xvzMcjTzbwgRXqo6CsMqLeDxEWDiW3e704iwfT1bdwENMtqKoqZWU + upE8K/OwHZr2j+06CsxuiBAiph1uJCYJAox3TsddOeldHSgAeFj2fM4YWUwNU/OFL3zh6177E1WZ + //I7f/Hwlyc33XRTU9WUWkIISq26rsej+Jd++Z1Hjh36u098bDAY3PeF+6959rN//LWvmZmZKeqd + Ia+Z+Od5nqbpoNd/6Utf+mOvflWe5wsLC+vr6+973/v+4aYbu93uu9/5zlardcedd956662MsTRN + K1xE7ej08ornMc01QaQdzfi2FSfD7eH6eLL+iY//fw9+6ciFFzxzbblGcobCfDxhS/MXVlklZOGH + aHXzUG+OcjQcTo4evKDHZTwcrf38f3rjD1z3A+rgeWlSaGXxBnizQ1GdOgaYUoRzniSJ73lhGJoe + BgCYklifkz+STjmXUooz/kj6kfyR1Nn9kWBn/Z/1fp3T/X00NnsAWO/i+gHvzWgVVgapsktJ0oAA + a/QIO66Gx4wAJloRxQOCI0ZChWwpLQUt20FRJAALIVRTKS2qRMumbtmWHrRL0MpCCmkntAHLIsux + Q5GUoMFSwkUaKADDNYYqSxw7aIDoh6Wc33Hb7jcZSJMAh1JBDQ1IWtalzKqOH54fzO3v9W/48N+m + uaxq7s/3r37J891W577tB1KZKQwCgdaYIKS0whq0lgUvQitYuGAh30ht8Ibj8c/+1BsCaFkH/Kc8 + 5SnDE6t1XUMlbNs1R/XemlM/CXONbxS2bQPBk2RsU3syHr/hDW9YWloqcPWSV7xoM15ruK5IJXCt + mKwVR4qA4BQjKAR2wKM+cqgOxKwfXWafd8d9nzx14jhgTGxi9VtPe9pCJ6SblA3rYQOYeZ4muGi4 + Bo2pZaYND0ErffsuwxMbREPLchEiCKjjUkwRwVCLlPOmzmvXDWzkUeQghWStlJZT606NHprGTXce + vMcODu/ZEk3WoXYcj4ESSjEpy5IR4lhWPJ5wxw39IE/T40ePzfT7zLa1lAihV/7gK65/8y/8+//w + uvXVtSgMa87TOPaCgLluUVXTkxkhBKANl/PR3TC9yxEy+j3T5HLKLTEHkOnYbmxsfOUrX8myzKhb + TQWuHNc///zz9+3bZ5p9Rp3IGFc0TbO6unrixIm1tTXOuZFrklJhQizX2be0tG//4vHlU8trtdK6 + 2+1qhMq6rOs6SRKhpGXRoBVGYdtk4YQwwiwjz2BEXGG364eQMEmU53mmYX38+PF2q1VkpcMsK7Su + v/76rbUNSunxr5689LLLfu3Xfv32W2+bm5u/6Z9ufM1rX/sDr/j+22+7bTyZIIJ/4frrjx45Ytn2 + 1nD7Va9+9fdfd92NN93UakeTOP7x177mwQcfGAwGd911xzOufObb3vH2v/u7j88tzG8Ph29/xzsu + vfTSf7jxH+MsrapKYxREUc6rsq46nQ5SMsuyOI4F5yYfo5TuKtdhU6lO29Pn6sPzhG5yRhQRozPK + B1MDGKPYgf738Ud6hL7RE3r9sT6j+PJNxqOE2D28SEUImQ/zaDRanF/Y2NiQUk7GY7cdbGytY4y7 + /U5VlWVVIYyV1lVdt1qtzdOnCaXtTkcptba+PjMYFEXhux6vGyP84rkupbThHAGEQaCUyvM88Pz5 + +fk0TRFCINVMr290mVudNgCYGzZdbQbda1OmtZZaTSZDz/eQFJzzMOhgicoqJ5g4LkuL2Hadus5n + 5wZlXYOiRVXyRguhqMUIw47njkYjABiNRlIrqU1rl4JZ95gAaCUas9UgpIx+HUIKY6zOWa3xLIEB + HNdrqlo0TZ7koPRrX/3aH/y3P3Ds1LE//oM/1Fo71HEcpygqz/PS8eglL3rx9734Rbfe8um3v+Wt + d33us/fe9/nRaLS1tRVELYSQkLLhnGActiPGGGGUc25R9ol//iQA3HnnnSsrK5de8pSrrrrq5S97 + +fs/+P5fePObu53OX73//WbTHA6HrusSShEiSsgkHudIOjb2A+vA/vmPbW9cfvnTfukdv/XhD95y + 4ye+7HjnQ22tn+rxQlKmRVXaWB158P43/edXf/KWD73nt9+1vPbl//4/3uXYFtJacL2ysnZw34Uz + M3NlWdq2XTdNnue26zDGzDDX930p5fr6etM0g8HAcZy1tbUsywyrFb55fySN0jQtyx3pGFNToYf6 + I7WKIsvzcn5+fjDbN/5IGiNQ0EjBMAGCtdIl0HvmAAAgAElEQVQKAQGkMTJTVqMIdM4gaE1BU6yQ + 1opowKAASY2kxBJAgcZEYSopUYRJTXa7fgAAmmGNFQKNQCGlEWikJNIIKUAKgwIwu8MTCMtGWocW + nnfcQdjyEjcgWvCaEG5R0u/10rIiLlNKIC25LF1qkVakHZZVNVEQdLsWdk6trs0tzAaen8cNleqS + fXM1sYdqAzA5srnBbJcgpvB3MAdJGb3EnaUBZuKnDKtq731BGmnAoMqqUkAlJRZYFgbESIiCtgpa + 3NInkogGKqs5n+itSlllvDq0lhzMDLoSKQQYCAZMNbEoa/ttjwWR3Y5o6+ThE+vrm+sn7xuEC5zX + TdNILjzP15jUdSm1shy2myhijTRobEaRT7LAAIA1VjtVvwIAjRTSuJENUkgjZVmMOuy2z9x+Dbmm + IMUNt//9K159ndScWriC/OTkFPLtmKcEIWOtIxrRZLlrh1RTVYh+r9vigVe7SonJMHEstwvh6fiU + bhoC4HkOYJQWmdSaup7G+qxGoo8FMvBkD6U4F1pxbGEhldZcQ8MY9qJIcC0bjhHF1BJCAmBmOY3m + 3+D5AGBviYK06emYrOOM0oxUgElTVU6rFYZhGiec8/m5+SRJfNftdDpHjx6Nouiaq6/+r7/6X69/ + 6/WHDx92bVspxQgBSnldG8kEoaRJWgF2Z39Ka9AYQKM9MjZmPr8n9mZB01s7bYxijLEGQDtOR+bY + gl354yRJNjY2VlZWOp1Ov983ClJGfKjd6c3Pz4dhaNr5Bjsz1Wg9cuTIoUOHPM/rdrtGQklKjily + HGdufmZ+fn51Y7UsSy4by7HLukYEdbtdKWWTZ4aJN0liRqhpjAJGjDHTbTwDM9+dfJraw/W8+7/w + hd5gRtU8iiLZ8Kqo5gazKydPR0F0z72fcx2fEFQ19fZo69BXD//Yq1918803mxrsq0eOLCws3H77 + 7Zxz1/OyLLNsu65rqdTq6qofBJTZZV2dPL1sKpxTp0612+2V1dO2w/7hH29wPW9jtF2UpcSKxyoM + w6LMRqNtihElzBQZjuMIrrIssxwbABTsJt8IIwCEMZZPrj3rrP5IoPRkNO73+77rSS6UkBKk1vpc + BWcfDu+a7tgEYcpoVe71R6q01oHtZWmWI+pSa9DuOoStr63pvf5IUqndDgJCSHDRbkVxHKdx0u90 + hRDD9U1V89nZWeICEiqVaSOEAE4IRTsFiSaATHYkDcoFAdI7zY69X+EJzU4eVTxuFapptBdFYQxn + DLN/dm6myNIoDCRvQEnHYlqJMPDSZBIGwWQ8Xtq3r66qpmp4zXud3nB71HJ9kEoL6VuOx2xeN3VZ + gdaMUIrJeDjyHDdwvc219cD18iRFAKKqbctqR1E6iR1mdTodMz7inHu2gzUoLgjGSkpGieK5hSVR + uCmhqREXBLRlMVfUSguJQPoeHY03vMDNMs5YqBWejCazg1mK2dbG9qA3U5dNu9XRUrnTJweENWCt + tZDGTAEBQYhohcyDN2fswKY7kWkonOt1lnXlWYwh6LejPE6e9tTLCODRxtC3vLneLGidJonFiGMz + 3lQ2wghUr9NtR9ErX/FDpvp3fA8xWjS1BF02tUSQFwVz7CTPLnva5SePn/j7j338ns/968baOgaU + TOIff9Wr3/3uX3/fX/w/119//Wte85q6qgyi2miY1KJWSGhcd/supTVCuZYZ53k8it/1K7892x68 + 4Dk/fO01r9TNnKrnkTzoOlcKdUlTHVDN/Mqx7JLzr3r+NS9lyrriwiuuvvxZliAUSJnnYehzVQ/H + m2YYaPaRKXHQdFwsy+Kcb2xsGOO8fr/farWMHJBZgWZOPa18YA9wzvgjZVkWtVtRu8UsqkExixKK + TVuFsR1/JELYrj+Sl2XZiVMnB7P9wdygrAuhhe1aQgupBWZUI1AIKQ2NlEoDENIYB/Qp1AYhQDsd + ykd4EFA2UjZRjCpMlSJaaNxwWnEmG6YaULLRjnCq45k+XAyGTnebLJbefOotZOFS2V8s+s4Kocuo + PF7i2Bq4s6pQSCHHcbI8oRgxSilCWGukFNaaAOx+/zgIyBCtGK9CqFpQ+qTyEfgUU4KUrLeG6yXP + 07LenmRF1bTCznic6EZV48TVoGrIM5nV2vIjoDbnAmvouY4Pta0nbVxaEM+2GMgClEHI72ykZz5N + SMEjzcaeNKEQ0kA1UFMRAVIACkBppARGAuOG0JrQhmCBkcDQUFk7TYYSjZRHXYI1CA5SAQfcMMId + xDHFDDDVWruO41iWMs+JFGhJFViAmQTEFRW4z/pt6PZY30Hu5Zdcfv1bf749aA8n21984L7R9rAb + dQlh48mk5LXf9jQIjDTGWCOkAAsDO3qSVUhYA9Iw1W9UCBTSEmmFFBBpe1RjlVaJpvrmT91U6YoQ + 8vEPfuQAWzpoHQwhakGvG81irpkApLEGLDHGhHTa7SpJ0vE48FsCUIlQibAOAhKGwyLnAAqIAIws + pwKcSCEdijwicK2gUQ9fhBojIKDxmcd3S2gAAQgYQRbWSANCiFBMHKWspgGlCTCsiBaqBqwRwQZk + gXZvHCA9HRc9HLevdn+m0M5tRnDmoUHVTeWFPrFonCV+6Jd1iQB9+fBXuBKN5MSiz33+te/9/f/5 + lne+9VO3fhpRLLTkSgBBEhS1mQQlJFdKaq2w1lhrpDTSQAARhLHGSGP8kMfDhvxoN59DCGmpLMoo + IVmSmtwXAzLmPwDg+74REGqaZnNz8/Tp0wb4YEDjxni90+lcdtllhgRv/HCm9npN01RVdfLkyU6n + Y3BZRtMIIV1VxdVXPnNxfq6qC0AKpLAoU0q5nmPY/3me9rsdXjcGXEcYpRYDjEwP0XBRTP6Gdum7 + nU5nbm4OE/Klw4cs36uESIpCKu04LgAusnJjdaPMi363Z1v0M3fc5rq23/JrURdF0TTCdX3bdsMw + iuM0TfPZ2fkHHniQEHbrrbfPzs5vbGwpBZzL1Y11RFi7P+BSf+jDf33VNc+K00mWJUWROZ7NXHL8 + 1FGn5UT9DpdNnMfMYb1eN4rCOJlc8fSnLR1YqpumaupOr8u5lFJjjSmiFFEMGBToRk7v0Tf5eBQf + gW/+AQAME4YJr+qmrBxmebYDUikuFBeba+tNWc30+p1WZBHKMMEatFIEY62UFAIjRDBGAJQQBGAg + /loprRQCY1eJCaGSSwxYckkxdS2nzPKZXp8hPD+YecGznyuLWuQVlfDi733B0y+5/Huf9eznPuvZ + z33GNRaH4am1ay57xkuf87yXv+DFVz7lqZhzVdSB5USOl48mL37eC4ErBsTG7LyFpRc+59qrn37l + s6+8+sXf+/wrLr50+cgJW5OF/uz3XvU9z7nyap/aTV4TwJRSTIkELZSUoKnFdjMl2PsVMNIYAcGP + 4pI+ofFoJkhnxTiazNWAETfXNxYXF7Mk4Zx7jiV4LYXIs8yyLN/1LMoIwskkDny/qep2KxJCnj59 + utVqLS4uToZDgrHFGAAopSjCGiEtlZBSCDE/P59lWZIkxhl9YX5eC8mlkEK5gV9V1fb2NrUtM16Y + zgEQQNM0IBVFuBeFy8une+25KAqzccmlboWuFCVhQCTKilQjZTYsz/M5lzZzLjzvwtMnTgvOsUbr + 6+sLs3NSStE0omkwonqPkIAZoxkUKWi0K7YMezG+jw1xoSllvK53hmxphhBSoHzPI4QUaaaF7EZt + Y7smG24Ol/H20GZWHMfGoClstRAlXuBrrYuicF1XlLXBnu7fv/953/Ocl3/fy6RWf/M3f/NPn/zk + l7/85SsvvfL6668PwxApXdf15ZdffvirXzUtsYMHD46SVGlBLYsxzEXZiVrgUorwRRdctHxyrd+Z + ObCfnLf/krtuu0PWtsR0a5K12+HqxvEDB1vUDi57it1UF2OJhhvbnh0wYtnIdix7MBgkSSa1MnLq + QispZZlWpi7SWhu0q/FlGo/HQgjP86IoMlW68/j7IxH4Gn8kf2FhbjgcT/2RhJJSSgWaEEIo1VpL + Bejc/ZEUwhoogMQaYw0INNIKkJYgADDDlCjmQ3jtFdccgO7RE1+66eaPB65Hsa0VrSsJiHrd6AUv + /77Abb/vk39FNPT7vXE10o0KvRbnHLCCPcDirz/vPufVCbgWeHkrHlV1q262EsClkoQXdZNWWSEk + c0KFwJvp11zkuVhd26QMKGFlrf0g6vVtJWTTNEIoVcLm5uTEybXcWivtLEc8V66yXc0IQmSaV+2Z + ID0u7+AJD1MaYaRAA9KmksHTs3maOyMAogG0YjZxmSNrGI03yippMxd7LOP8viOHl9ORKPOteNg6 + MNtZPjKwqlo1FnOAEq2kUlJriYFisCmxiKb3fuk+xu1kI0aNVg3/z299i+U4rq8WFuea1TqNM4t5 + vd4gbeKyLDXnSAMBCpgCYgRrUBrpJ9RD63GIadffjJd7s4NKV7IRz37uczjnvu+96efefOPN/5jK + grUw6TIIQPrK9p0G1UJxxGjRVHUput0eDtCRY0du+et/uekjN66tbM/MB2WdBTOtqq32X3aeO9/i + WAsMAiONsEIKg9IA5PHzXv+OiN2P33Syg3dEJqe/saek+IYNDL2r0LBTZyK1M9x5WLiuG8dxt9tN + 0/T0iRMXXnLJ9W99iwIw+gebm5vXXnvt7/733/3Zn/tZI1hqDB4wxsY33EDUqqqiuzJxOy9Wab37 + kr+mYbQDY94BAOKHvZeHdJemr9lwXwGgrmsDzJ6WJWbWVJaleXnnnXfewYMHTR1VVZU5tgxHaMp6 + lVJWVWVmSobTP7c494xnXtHv94ESVevlk6cW5ufXt7dc266aKs7SfUtLSZIYPv3m5mYQtAznxyD3 + jPWFAdqZCyKlnJ2d7Xa7RVEMRyNKLI0QQWBZDgYiaomkohgHnr9/35LkQipJMVk8MFdzLiWP47Hv + h0uL+46dOK6EvP/++wPPn52dNTUbKD07P7e+umYcfqMgxJRMJpMwDGdnZx3HaQWh1rIosjxPO/39 + vu8hpeu6bJqGWEw2fDueOBa95JKLXNdmjF1zzTWf/OSnpJS93kAI0dSCc641YIwpYcRGUnyDieW3 + OB7pmEIIMcbyLJNChGGIERqNRvzr+iPt/R7t6nSDVEatGwBcy47juBWGUoh/+MQNYRgGJGygeeYz + r0RA3v7Wt83NzW2tbQ56fUrxj7/qVb7tvOv/+rXJZCylnJ0b3HLbbT/3n97YarW2t7bf+MY3/vAP + v/LvP/GJVqsVj8e//d9+4+qrrz598pTvuPOz8wTw81/8/PmZ2T/94z/djkdR1B2Ohj/6qh8bJ+NS + 1My2GGNEI6mU2OXUmUuhd6UjtRHK0/rrMRS/HXFuu7nabdSdNaSUTVVblIWuF/r+qWPHLYv5fkA1 + 6bW6ZVLUda20rFXlUFtrrbngNZcVb7fbz37W1cePHx9tbBlypMFHaq1NXWwy16Zptjc2u92usfu1 + LWs0GhVptn/f0snTp3oYLS0tHTt+3PxDs5ik2EFDSikpwrxpKsH3L+1TQmbJxuz8nGz4eLShFQ8s + mzioEZww2yJOmhaW49ZlgRXybYdi0puZLYoizTPbstJJbMo8raVWSmEjCUD32l3vlbCDR+aRn2vC + obVGhIzjCZei0+v2+/1acNtxyqpqR5Eb+HmeT9IEIRS2o7wuPNuzXMf1vY3xsNvv7z94oNNuT7K0 + 1+tNxzIWIu12O0vTU6dO/fkDD37+nnswxn/xp3+xPRqtr69LkM973vPu+8L9aZrOteaidvu8886z + bfv06kqv1/NaUVmWnsNCn3k2mR/0xqNt3ijb8quqcj3s2cBl4ngclEyz1f5gwfErjvT2+ES7y6SC + 8y86z285uPR937csRwHkeUEIMy20nY893lFQmMJbuRBT4IoB3Rm+mfFHEo/dH0lKvAfKtbsBCYyx + 8RCIokgpmPojgfFHkme4Z0oJSinaw1P6hqGQ1kgpJBRSgJRCimiENSaa2EDrWjrgzgQzaFOrACmK + t49uO/H/z957h9tWlffC72izz9X32u10DqcgVQUsiAXEm8SKJYlJDCpRc6PGJKJGU9Trc5OYfPk+ + kxgT9ZoowYiFGCuoEYIFUUAkwOl9t7X26mv20b4/xtrbI8V4EBTv4/sHZz/r7LOYc66xxnjLr9jQ + l0rlFIOniQYQo2irMxNDni2NDo/7Oy88A4MGpgEj23aTLEVraPj1cdyDLc5TDY7syKrnADGxpTWT + lOpM+yqirVHbsQEp0HwMgD13FjR1KvXuKBaCIyW1Bl6kLlMuBay4Vgg8KOzqkFT7KMuImzOUI5+4 + ZVE8HFjVn2asgcGQQhMBBkAaCPq+NSXSgAGIBgQg0hhjC6QKHKdenQHQ95zY/7nbjhy86V7oZ9s2 + zMc2WYoWb//0R+qzUzsu2L1ly+kCtMIgAWmttFYMA9FIYsCUzkzNb2xu0pk4dujIuY99fBmHwVy4 + e8fOQ6PDSimQqiiKohC2xwDMZAtrM/lcVy55WGHxj0BMDidGrLmZeeaw1eUOAFz85Kds2b7VZk5n + R8fy7UQkGUnHEGlHZjhP0ljaSiMduI6kKunHucwd6hSMZ0H+gte8QPCcUqyRIh490V187GkXdosh + R1Ig87XBWFME6lGll/V/TTzgQx2Nx5VqdXll5fzzz//bv/u7ZrM5Nzf3P9/wO6urq4SQ5z//+X/x + zr+4+dabX/rSl45Go0aj0el03v3ud5tjwlQCcRy7rqsfYZKYOU0M1cQcDUop02jDGNu2bVRYq9Vq + vV6nlCZJYlIdo7lsGnCO45h3M3JtruuaDLhcLjdqtTAoD/oj27XCSvlJT7qo0Wzu2Xev7bm3fOfW + eq0xNzd32WWXLS0tVSqV2lTjnz78z57nWZZlSi90kgOPOQvCMKxUKsbiIooiarmKC4qJzSyKsNIC + A/Ic9+zdj7nw8ed//F8/NhwO69XaEy94Qq/fa9bqpTDcsWPHmWc8Zu++ey1G5udmful/PCsrcosR + RnFzqv6EC8//9rdudR1LK/HUiy/edtppt3zj62kcb5ydeey557XbbduyyqXS7Mz06bt2tjvt2ekZ + ZGGule06nOegheNatuV+/J8/CgB//f73dfqDI0eOrLTbhBBKLcooxkRrLZXkXNFHWefshyw1pTUv + CiGl47qWbbueBwhJKaWpizBWSqE1fyQDB9VaG/q7YQQZ/SqlJFLKIkQrSQkWQlxxxRWt1c7zL3+h + WVR+GGBGn/3c5xRF0ag0Hnfeee97z3uPHDs2Gg8sx3nTH75Fa12pVP7qr/+fiy666JZbb/3jP/7j + Zz7rslqpvnnrViOO9arXvBqUnp2djUbjN7zu9aurq7bjPPvZz772E9d+8t+um5puvulNb9p9xs67 + 773XkoWCiVA4WnNDecB8Y+2VU2QknMov/yR8kBR6YJigSbZGo9Hs9LSUcmlhcX5+nhAy7AyQgHK5 + HDoBEsCzopCZ57mO4xRFEZS8fr9/9OChbGZGc1Gt1zKeZ3luKhyFAK89R4yx67ozU829e/fOzc1h + jJM43rZ5S3ulZVCVxv2qKIqS7xlGI6WUCyGEcB3HgLLyLAfQqsiTeCiliKOO4IApwZhyCZgFSEml + MKM+Ag0KQtezsTPuj4qiQEIRRomG1ZVW2Q8cZpndCiGEAQHGGE04cOv6ZicTH/XD4ZOjECRZRgip + NRpBEBw7cRwRnPMiyTM38MdRZN7T833zbYnSxLG9oiiGoxHG+PDhw0eOHDGSFZxzI6Tuuu64N2CM + JXE87PWPHz7quy7n/K69dydJsrSyvNJdaXdWB4NBfzSMdHzbbbcxxvI81xjlBReAiqJgBHgeyzyN + hpHIM9DWYDBqNKdKZdi/F+646z8HUQd0kzhhwrNhLwv8uLW0T6nuPftXovGhsLwjCCimrFSucKVc + L6jVaq7jh2FoXCMkaDMU4pybT3aiDpRlAGBmPr1er1wu1+t127aXHil/JMAYTvJHqqA1fyTGbMuy + BJf38UdSCDCcwueukdBYGAbRmhYdxoCkQA5y+ZBLJgIoTdOZKoQoZWVVYkJLrhVHDFsKk+EwLgnP + Za6v7O/ec9cFT7swiqKMCytkhRLopNX40BbhD12fWFohsz2J6DhyUuzZQd0mlFirOR8zC2ybjSOO + lEy5BMQAE8DghV42igjSGLTDECFIaw0SJPWUW071KCNUenYubSkoBsBaAdz/+n82klNTXqD7v6gA + 1tBieA2GhAEcahFs58CyIu/wHqa0srHx5A1bLn7KM6wcUS17g24wVVMUZ0XqN8utoq0IQpgiQFqB + kABSIS2J1mfsfIwNLspQxQlP33r6tR+79hlPflrcik8cXzTKWkXCQUmlJVKUUaaU0gKkBg0SNCBQ + GP+oPjY/pcBIK6wBacxznkapADk1NQUK/vPGm93Q5yT/3Gc//4dveWuHdxDDBRTHxbFW3AJMhcqp + TTLNEUZu2Y/SJBVp0Ayf8JwnoEIryW3blpLbvi3vxdwVmRQFUWoN3ocAE9MMRT+vkh62+CFPklK6 + sLAwNzfX6XQ+8YlPbN68+b3vfe+OHTumpqYAYM+ePe/5h/f4vm+477fffvu55567Tks2eDazw3Mh + HtFbMJwTox7kuq6xjlhXWDWYt0ajsWXLltnZWcdx8kIYB0+DJzfSDpTSKIrMZRt0gyEsVavVc845 + h1LKCInTNM/5y379NzSCpzzlKWc/7rzLX/SCwWh4xcuuwBhXapUkSc8++6w777zz9u/eEUVRqVQy + 9hjrmntCCMOJMiDANE0txrTWWGmEgGGshASpECAtleM4UgitFC+KchAKzgnCWZIShAe9vk1ZrVK1 + CO2udgigPM0UF1oqi1CbWLLg7ZXWsNefmWkywDIvBr0+BuRaNkV4PBhmcVKvVGzL4nlRZLlDbFBS + SyWFUIoTisKgDBpAwaFDh9I0ZYy5rmvalHrNhfJni/hnQDGn4I8EYLyGjNSWYZQAAMKaWVRygRAx + /G0AePGLX/zCy19kHFxaq20tAYqsUqvmed4fDF77hte99qrXZ5JXGvUDRw9zrVZWVtq97jiOHc/z + w+AZl17ywQ9+8GVXvPyuu+46/fTTx+NxlCSbNmxYWFoM/eDXfvk3Lv0fz9i3b1+1Wu30e9PT06fv + 3DE9Pc0YGw6HXjngRW5IbqY7cLKM+Hqs35263zD2pxsPFWJ30j2YOzP0d0LIaDQa9Pq7d+669tpr + F4+fmJqa8j1vNBqtO9KkSe56tlGrM19+z/OiKMIYlSrVX3zhc5eWl40BHCV0Xc3D9F3aSXrGGWcc + OnjQcRys4Y477ti2ZWun0zn73HP2Hzo4Ho/n5+cRJa1Wy2i8mIVlJkiIWZZlnfWYs2Zmp0pVx3Xt + dqvPBa1U5oSArCgwpggsnnHP85RMFURhwPrtYej7GqE0ixFCnU77pptvEgWXQigukNYEECNIKE0I + wmhiTQsA62oeD2OHHgCYZQklW6vtbr+/eevWoBS+/4MfuOGL12NKNEbMspIkAYKjPO12u3/x7nfH + cWzy7Jm52W3bthkXBcSogduZAwMh5Pu+6ziWZVVqVVHwku8bE7Hp6emVlZXLL7/861//+m9c8ZvH + jx9fWFiYnp421gqOKxQgwFhymSdFs1HP0yQZZYhYyyutJIlWWv1//+wXb//e15cWckKmKuUNSws9 + ZJHNm4JyvTi+b+++A3d8786vnvO41/nI/9SnPhWG4ePOvbCVdo4dPbFx48YkSXzfr1Qq/dEwTdOg + FJrWlxDCsu2TRfeFEHmeR1HEGHt4/JF+ME6eI2FMs6zodvuEMKMJMR6Ps6xgjBGKpRLrv2xaPmrN + OnLSIXnwTVsjo4spFVqzDwAMgLECCygBS0uluJaJ0oAlQDrIqXCp0LbEWmOqiFA6E9ySJNJRYAdI + YaVAE4qYFgxHUebaDlL6ZEacPskJ7scPriQBqSUQoQoukrxAuZC8AIBqNbRtN83aGGMhiiLnoDVo + HfqepXSRJWkWKyWpmbsq0FpLjZRGCpDUIBQorR7l84sfHliDmkB0NAKl0WS+jCfUjAlCbJ23CoBz + zrHOJCA/dBkl/XywmnQsGWHOfGrZBBVTNK9joSCORcZHq8O+toFaGDONMWBCzDgqTbgAKIFfd8oE + cDtaueP2Ox/7mPN8yzty5Aj01ObHb/TLYZSnXHJKaZYkBCHQRAM2uo4IAAjAo2+Adx/IN9IYaWxR + Wq82hvEojVPf8fbv2f+4JzyO+HTPnn058CqrjyBKYIypRW2rSIc0sICqwXigJUxXpi2Lxr1YQOqX + 2Wg44IXAEmmtfQimds/09ThnhcLIaEMTDUQhrDAAqEcbRuT/0tBa79ixo9/vnzhxot1uVyqV2dnZ + hYUFg0QqOP/3z3ym2+2avH96evqf/vmfjcclANiOY06QKI7NK49cmATGzJEMUAoAyuXy6aefbiS/ + GGNGKNmyrPXOnenwGhAErJ1ZCKEtW7asD3zK5XKpVEIaJ1EalkqjKMmT/LZv3/6xT3z84JHDpUo5 + rISD7vCtb34r53zzts0f/ejH3vLWN3/rW9/yfZ8RmkSxbdtKqySKgyAAgLAUVMoVLdWg149GY0KI + 49pSAFCMASGleVEwwFLKKIqKPD906BBF2LKsaqVycN9+xlhvtYO1Gg/6R48cQkoSDGk0vvO7txNK + eZEhJaUojh45mGcJAR34bnt5pdfr9XudMPBqldLRY4cN81kUvN1uu4HfXl4ZDQZpxvqjoeU6Gimu + OCLIdvynP/+XhBBfvenGzmrPtm3Hc42SsBBCqwmiHiME6tHd0lmLk7vnP4o/0vqpbTAykxElSAzg + 2lZc5CZ7KIpsw8bNGmBxeWl6dmb/wUONZpMiGmfp0nIrCALLt7dvPf3bt9/WmGmA0sy2nv3c52RZ + du6559quc+DQwSiJn/nMyzZs2vTbv/PaZrOpEdiuMxsG/eEwDMNXXPHy62+8fjAebd269QMf+MA/ + fOD9z3nOc8JSCQM+uP+AEiJNUwXaKADuMFQAACAASURBVA2aL+M6M3z9xuHkRqfWpzTneaSrqVMu + kCap3qS3/f3X4zgWBfcch2IyPzvXbDbv/t5dv/97v/fa334t0vjqq68eDvsYU8exTNkwHA4vu+zS + bdu2ffzjH4/jeHl5eeOmDW966x9O1eqtdluCXi9sTGprGvNRHL/xjW9sTk1hjM0M56av3vj+97// + 1ltvPeOsM1/5ylfeeNNNN33tZsdxzL9d33TMtuK73osuf2F3dWWcDhBmGzdsYbTJi3IcQzXwtEaO + 7abJ2LOBi5bt9kfjpe1bThuNRqeddtrFF19Uq1VW2ssvf/lRx7K1VNo4wJou0WSGjgCR9UUMAOtF + 88Plk4OwVgj8UuiHQSH4X/zlXxJCzr/wgiNHjuztrPLRgHPeaDQ0AkzJnn172+3Wc57znGs+9q/f + vu07CqMnP/Upvu9bti1BZ0Wepqlj2YSQEydOzM3OPvWpT33Vlb/1petvuOCCC4SS//vP/8x13Q9+ + 6P/8wR/8wSf/7bpyufwX7373rl274ji2bRszmvNiNI5c11VSE0IpcRKZImJJgZjj/u3f/+3rX/dm + AcOnX3beEy985he+8I2vfe222W3lvBgja9TvHjr7oh1PvOis/vDu//jqDZ/9908eOXaMWlYBXAgV + x/GRY0c556VSaWpqSoJut9sGFLEOzuacu45jCGPm8DPEg3K53Pzx/ZGyTN/XHwngwf2RVlba30cG + r00IpJSU4FP63DEoQMoUc8pMETQGDQRZSijPdm3hGIUkCna5Vl06eMSR2AFm2ZaWWkkRBIHWumSV + LMsql8uj8dgtuxFO8zzHlGKMQav1AddDXocPvDhBUVEgkdnCqVihTzgqRjKniCKkgVg2ogwoybhA + WinJmUMsikq+nSFIkFYa5VJbiGBKAAEBTmUaMEFwkQuuQDMnyHN5Mp/2Z42DpIk2oDoAmOgdG6kf + BADms0YACCQCQAprTKmNENUFTpKsoKlS3HKcgPpu6GZRXoAgjj1Qca87wEDqfnVqZlqC0CAliEIX + WmizOQWOv2//nqIrRsuDshNaQH771a+eqU4NV6KZmRnsgFKqP+xbvhv6ASAphECEEEwxwgBIgTQn + 8sOo6/Pjh0LrBbNCGmNQhu1rUKkH9h+a2zBbyCL0S7t3nrF1yzZO+ZVXXvmZz35GEGFVbOkpd8rN + ndzxHCA6k9zQRRKeMqDUJVLxA+1DlVrJLbsZzzCQdtp1w9I4GhDH0oAxoJOAkfdnpvw8ftxQ6IFz + IITQ0tKSsXMplUpGwGD79u2c8zzPy+Xy4uJio9Ew45pWq1UulznnBsBmkgEppZHqesA3f7gamqYF + vI69N5C56enpRqOBEMqyzKDajAeO1tpx/TzPwzDMsqwoijAMza85jkMpPfvsszHGE6t022aUWpTZ + zNJaW4T6rnfDDV8+dOCw0kJy0W13CaKg9KYNG//t2n/rDXuf/+zntp62bTAeIoSMrLPpHpo828yO + FhcXOefGVVJKqeWkp48BYw2O6yCpKKWNuTmEkBv43UHfDwJAqFwu255LCDn33HMZY51ORwNccMEF + pmQFhGzbnmo2EUK243ieN79hg9ICMzo9PR3HsblTajHP8+r1eq1RM19GgiaigAZpb9t2VuRHjx6d + nZ0NgmBlZaVSrgHAYDDAGFNqEUIQmajRSqXwg6yfR1sgdGr+SLDGbM/z3CS6tVqtUi35Nk3T9Hie + YkylRpzzOIo88ACg3W7PzM11u90sK7Zt29YDIBS940/f8anP/1un3xFCbNiwIaiUmeecd8Hj9+7d + +8rXvOqsMx6T8BwBBoA0TS3LWl5eLteqPOWO5xZp9uznPueNb/h9znmq9Itf/OKvfOUrV1111WPO + OvNd73rXM5/5zH0H9n/nru/mfOJKuq59b5L5+8/E4FEmYQcPbYK0XuFNDicNCMDzPIOUHQwGlVLZ + Ydag359tzr7iN16hpb7hc9frQkipkdQ2czGGcHr2Fb/x8rPPPPOrX/ryr//Kr/zCL/zCF77wBYaJ + bdsKAYIfLCsBMMZBEFTC0v965zufeMGF/X5/985dr3rVq26++WYhxK5du5773Oe+8JdeeOu3v53n + +fz8fL/f11qvKzUbNktRFGfu2vW6v/lbgXStfvpo2B302khs56JKMEaIhK4ueA/QwmD43adc0rzt + O9c/79nPueGL1+/fv/8D/+f9lz3rUse2l5eWlBAME4Kw1AIBABCEkMUYIgRTVuTCVEQGg7c+8P3h + D/NHCaxBIxCKK5Dtbvsd73pHnqTVarU5NXX77bc/7/Lnbd68eXFxUUoJCBFCXNdVUnDO4zQ5+7Hn + bdt+2mq3gxA6ceJEbaqBELIoI4QwZtm23W63r7vuutVWe3p6+uavf23fvn07d+68487vfvWrX11a + Wrr0WZdxzj//+c97njccDs1E23Id33Mt28nTTCu1sLDoWHazPk0t69Wvec2BA0e+eetN1To957xd + 0fjomedVf/H5v3302AoX+aYNU73+cd9Td9/7zVrD90LreZc/D2G9ZcsWpVWn096wca69umpU3Yui + KJfLSqnheGQc9xBCWZ6bZ2JOu5P9oUejkffj+yMBmBnU9/2R1IP5I8ED+yNNAH2nsD2vyTVh9ANd + cQSAiqIgmihQCY/LlXIBvKXbMU0WR4tly7XBxhnJkgwwqs01DywcbJw+c/jEYa6zsOTHNMvjyK35 + SAgt5MlIv4dXpIHqwkfjEOsSFRUYVVVPq7BWnq5Z8xnPiGNhyy1PaYkgLLm+RaZLdp4OPSyxxUi5 + SpmbRZmjMaUUUnBVXCeRQ+OURmPNKbcVFFI7ArH//lIelbFW2GkNap3MqREAYKQwIAyagEFswYT3 + zwl1scu5AlFgjCwgVAilk8FwxDBTSCmZcUTL1ZpN7DSN8yhSRCkiEdWIAEGUUmoji+TaZtbchll7 + eptL7OVjJ845/SwMsKnhbt68uZ2umAPYdLi9wGbMIoAwGN1FhACBBqUURo+iAumHhGVZG2bnXM9d + OrEkhLjg8efPTc1lUMzMLFWmy4pBrJORGlmuJaDIc4kJaFCu7WLAaZpykYdWYDsOC+r9uJurgjEm + NXCqbKSwu+Y9phSa/KSxRg8B4/7z+G/jAZ+qkNILgyiKLNfpDvq9Xu/xF15wzz33eLZTr9dbrZbW + 2rZtsxWbBmsURSbdNLzT+7u+rMfDy0oyJ8gkZZfSIOgMtMHkuGmaIoQcx2GMacB5nk9PT2utoygq + l8udTmc8HpujyvO8dRaHuZEkSpVS4yhqNKeCoLRz++nz8/Mao7TIe4PuvgMHuBRKwZ++8x27du34 + 5je/+fRLnkFtZiZU5toIIWEYmkxpMBgkSWL6/eb9tQasJSCMLEshhSnSGBGblOplJWUm81E6Toqk + MlXFGGOKoiyqT9eFEF7J45pThwoQruUCBYVVfboOAJnI4jxOiqRULjebTWrR9rF2WmSNRoM51jge + c5D1mWZeFApBmmcWQYzZErSQXBZKSD0YjqUCxphUIJSmlLpeMDnWtdZaIoQAAUYYtHpEv5WnWn09 + +MVogjEQDAhJ0FwKIYTGCDPKHNvDKEkSmedaKaEVRRghRCjlnKdFjhAKPdf2XAPaDAO/2WyurnYp + IprSdrvdGq2cf/75d91196DXO/vMMw8dOjQa9BhB/X7/2Zc++1df/ssK9Gmnb2+328utlWs++q+M + sfe97+/nN2w4vrA4Mz177NixWq0GSnHO5+Y2IEIOHzy4efPmp15yMS/kysqKaT2cvmvnhz70Icuy + er1uu91Kkvjw4UPz8/OjaDwcDo2ytCl6Tc/uvvc/Mds7tU/rkd5yT61AekD9OlibokRRpLUul8tI + akMX27lzJwEMGFaWlgEg9IMsy5AGLYGroshzLoo8zXzXo5ikcUIwXofYrm9t65ncYDDIonjr1q3X + X3/9hRde+JY3vOUlL3vJwsICxviv/uqvdu7cvTJYiaLI87x+v29I9gihKIooIZ7nibwY9gfj/sBz + vN/53TdOTZ310Wu+97lPH0Zybqp+bqs1IghrR2vNCjHsDch5Z17UWtj70pf82pVXXPnil7yQUcqI + xbPcpsy1HQCYkEQwYox5nlcqBbbrY0zbq93hcGjyaTMBO3k7Xt+RH+IujDGXIgzD17/hd2dnZ0M/ + QAilabp10+b9hw6W/GCcxKVSaYI0KAqkleEsLSwtMttabrcKzrdv397qrBZF4Xke5zzNY9/3A9/v + 9/tf/o+viIJzzgmjRVFs27ZNCLFv374TS4tRFM3Pz6+srGzcuFFr3ev1KKU5z9IsA62nmzM9tRr6 + pdZq++qrrz7zzDPTJNdajsbp8m0nGvXp1e54sfW9IKxSm37nzlsb9bDdGRR50pyqHjx4wrZtxthH + /uXDM1+Z+ca3bl1YOG67TqkcLC0vrqysbNi8acOGDfzY0fF4bDzOJlzbNBVCGLMIc+Q8bP5IAOPx + 2Mg/PLg/UpAkSRwvzc7ONptN449kfsesYYyx0f36kT93jDQmCmNtXDawQhgZU0WsAZRmAiO22F+8 + 9pp/uePGb42XOvkQXAIyASyBIiAEuIY//9Tf4JodbqzMnrFhyEfKAswwoRhJZDhy6/oTP4Q0+VDW + JkhLZLOlYBrKTmZ7SGXFWEHAc+UFwSjPHcdy/HIWD2ykkZIW0ZqgIo25smwn1NTKhMgKYSzR6yVn + c7OcoDgGPYK8RVUvjwARjenPLgfp5JhspxojjYiiSBOsJhuyQkpjBaC0RoAZE8CoTSyWCywKoXXu + Mpdhi0MOmGJJicBMQBrxarnMCZeYSywVUlprZNjKBdm9dXcZKgF4Htg+odd95uNTlSng9MCBA7LH + q3bF8wLiWKN0FMeCMaaFVFIppRUmiJi2pdKPvhnJyWck0th8ibIk0whGw2h2eubmb33tG7d8sx2v + 5jj/j6//xzvf/vYU8hTSAsQqrKb9yCaUy4JQXBS50sJitus6ikOSRqmIGuVmLNPF5Vaz2Qy98uqw + E5QrBmiOEAKkkNLGrvnn9dFPLBzHGQwGtVpNKWW0Ve+8887p6WmHWa1Wy8yOjh8/Xq/X14+5Wq1m + cGu2bed5bnDX62+4/vPD2zNar4sMksUUHsYTyRgxmeNbrynapVmRJMm6IqvRS+j3+6bZyjlP05RS + aiAMlBDLsgybIM/z73zr1mf90i9Oz08jSnLB//0znzl05IgQotvtfubT//4lz77sFy574hOf+F/3 + 3p2mqeu6ZvhghJqCIDh48GCe5yZ5MJMuAKCUKqUAkEYol3ycJUrIURLXJacIcyUr9ZrGiINqLS8n + PHd8bzAeFUXh+J6p0zAlQiuuZMYLCVopxZUs16oKQVZkq4NudzgolctTM9NCCCAYLJoLnhS5As1c + R2FUCA4EE4Q1wZgiwqipHoUQMzMzo1FUFAXB7D6PHQAeVAPx0RcP6I+kte73+41Gw4z7zO+st3e1 + 1kIIy7IajUatVut0Oncd3J+2Os/4pWfMzEwfOnTI90OtkG3b/9//+57//a4/e/vb314UxRVXXHH1 + 1Vd/+9vfVlq99n/+9meuv+7uu++2mbVw/IQfBvV6vd3tRFF0zTXX/O7v/u4b33iVUPKiiy5SQhBC + Nm/ceHxpOU3TrVu3JlH8q7/6q9dc/S9LS0vNZlMpdeedd1566aWrq6tc8gsvvPDTn/70YDCYq5Zd + 113vvmmtzbqCk0hi6CRS9CN6jD9Y/fJD4mHTJNVa+75v1KLHvYFBlLmuK5WiGBudlnVCSFEUXOSj + 0ciiltGTMRT8JEkajcbMzMy6c9k6YBEhVBRFNSxRSufm5v7xff/Igb/3ve+9/PLLZ2Zm/uRP/oQr + +c53vtOyrJmZmcFgUC6XAUDkxfbt24s8F0L4jjtVbdiWVw4bZ+46R6H6r/3qpXd++z9bCzXFPaSI + Zdk8i10XhsPDNmm89MWX8LzdnJobDzuXPv0ZkgsAcF3XXKcZZYhC2JadpvHc3GmO43z7tjscx9t9 + xplCCDMVNWNTOGnDvR/g6tRWhNKSOdZya3m1u9of9hmhhJC5ubnrv3xDVuRaKr1mI216TutJMKYE + ADJeKK17vZ7hjJr3NDjscRQxxqSUGgGzLTMBG4xHAGC5jhDCUAZd142iyPwrznOlhNLCZk6aJb7v + Z0XqeN49e+69d+8ec2taawCkAGuNAEBPHGAE0sowMcCY7mmNETUCCUXBq9VKkqVC8DAMi6IwZ56B + JURRVBSF47ppmmqlgiAwmuNm0Gxqp/F43Gq1KKWlUqnRaAyHw/F4bGokA/s2NdI6+MFUQev+SABg + 7LwMtNc8FlNz2vbEH0lrveaPxIxP8YYNGzzPOXHiBCHEcaw8zwGwRSe1sT5Jgs8MmuB+hRPWQBQi + ChGNpMagsXH9kEhJrBFFcTQGLIOp0q4n76ShysZjYqMtW7ZAjktuSWWaUpbzAvv2fx2+t58Pqtvn + 2jBymZNI3u8tl8tlRhlSaH1hwMPdLgWAKIqIREEKUoLpdMRxPEpTSWlvnEkp56YqBEMWp1rzIk8R + QorAYLxam54Pw1CDFEIQCt1u99ixYzLoynI+0jxOCGLVtSf2M3Lu/WBoAA2KUjpO06DkizxXSpVc + T6VaJ6rEQpkKz3bM6BIICK0UJnkqZqvzcdJXKvNsR1kwHIzKJRsAU22nSeFTz9U+TpSjy+NRpO3C + 9lgquEaCEIKEsglGoFZ7K7VaICGLeEKQvvHGL//ea39/6dhqd9AvgW/G7FGSUEalKrA2mBqjVgNK + GSbdo7wKNb6xAEapEmNNUZJFftnfs2fPk575JOSju9733RhioaVCIpZDzhOGMLbssSyIBowwIIqU + FkWBNLYoo7SU55wCnW3MgwJeiJJX0d/vfkqsJ/ZmGq2TBn8ej3gYXwcDnDa63vV6XQgRCeH4XpQm + ABBWyoUUzLG5koAgzlIAIBYTWmFGhVbwQBOAh1YaITSRoVwHEa1Tj4w1BQAYFr7pnRmhVBNFURg9 + ieXl5b37DszPz1er1TiOL7nkknvvvdccPabGM4LgCCGjQUcptaid53mWZd/55u1TU1PlcjnP8yc8 + /kl3fO9Ow5Kv1+udXnedhau1zpPUsSwtpOKi0WhMT0+PRqND+w8QjGvlisltKKVaSGYxBRobGVgg + miDqWgyTL934lePHjyshV1ZWsiz7s7/+S8dxFhYWBNYf/tg1n/vy9Zs2bfriF78oKXrLn/5Rs9mM + 43ix0/rcl68/3loCgNtuu41j/fqrfn/37t3tdjvN4uFw+OtX/ubmzZvvuusuQfWHPvrhwWBQqVUP + HDzslgIuRFrkjDHzWYlC2rbtWMB8JgrpOX6v12NMe55nXBAJnpDAbdvGCEy95/u+7/utVss4565P + MB52nPlDC5MPGPCkWS3r7LWVlZV6vd5oNEajkamdTGlkJKwQQuPxuFareZ6XpikoGA6HSkGjVk/z + gnPuefanr7tu947d73rHO3u93je+8Y1vff1rnueJgj/zqU/78NUfsTCWUrmuG48jADD/iy/ccMPT + nvb0MAxB6Te8/vWe5yENH/6nf753/4GrrnpznhbjwXjTpk3XXXdds9kc9QfVavVfPvyRK1/9W3/+ + 539WrdQ++E8fvP2O7wyHfdp2G80p13Udx2m1JoJq0Zqc2H1Ca32qE6RHOk6tQEIPKGC39prBsKVp + ypU0OmlRFGGMs6IAjAajPmO25bAoiTGGSrU61WxGWex4bq1Rj9MkydIdMzPG98ag9cz+AgCmnsYY + j/oDz3WLNLvo4osuefozrrzyyhe84AW33HKLRpDHUbVa9TwPAGZnZ01xkpOUEFIKQ9u2k3HE0yII + SqWwygstBMw2oVQqL0m+tLQS+tNxnGKdlQOrUqndu6+3vAQXnP/khYWlUsBmZmYajQYClERxtVqt + 1Wr1en16blYpYTl2lIx93x8Oh93jiwCwc9cZQRDEcWxZlpk2GIjXfR7aJDE9xfVg27aRnDJK1kop + DKjZbGZFPlE1oQSMJrVWUsn1a0jyzHEc3/eNbs8D+gNoAEQwNrsGwaA11j8wPHnA67GxhTTOsiRP + C6SAEYowEEATw0rTDtdr5DWC19eMAsAaGYwK0lhq7vv+BLStuJBFliWmPjEl2dR002APzCO1LItg + XBRFkiS2bXueZ46Kn6w/0mRehCb+SO7cBOY78UcyRyBCyNzXyfSnH1gGa0EMxE4B1lgjBBprAI2U + UBwzih2QSChLNXY2wuY5GINb96RW6agIaMhT4bmlPOfEJtauCnLALjuY6FQnLnUdTZHShSgIfN+2 + a71GeljOCQUkATqUSGZIChor0NqSXI2itJBcAYBlgVK6Uc4KMYri8SByGCgFUqeFgrBcwUoQghFC + UoBALENOIggvSEZJQS2OHfiZxdeZQBgjhnlUpAW1bVsmIuqMSto7Y+oMF9jRhQOD9gJPM4wxIqAw + EZadFXLXJReRcOstx74qhCIhszx/mKY8LbBSs43ZOW/rFEx5ZSuD5L/69/R0X3HtWiziBaOM2jSP + cl+TJBoeTQ8nnajqlzGgq/7wjc3atO+W5zfO6a7UGHEpAABTogTSxqFC4/WiSGv9kHpwP/nAWAPG + OI7jsB7yQhQif+qlF2/etkm76p1/9o57997NoSjVSylkYznSVA57fVZmoEBigEnSLEFr0BjDZL1N + zjikJxNdMCKrE97Rut6GuYCf7P3+PH76oScNJ70uAqSFNDnuysqK2fyNu5HxYjKnjzmGTKey2+2u + rq6aTl+WZePxeO/evZ1OBwAMz9YUV+sg8zRNkzjGQLTWe/ftGw6HO8/Y7bjWwvLS3r17x+MxIHXx + xRdf9eY3felLX1rtdh7zmN3Hjx/fu3ev8b1QStXr9XK5PBwOB4MBpTTP88FgEARBvV4nhMRxnBWF + xohYzHac5eXl7du3J1E8Pzd72113riwvLyws+I47Nze39+B+xlgcx2G5dODIodV+d3m11equYowP + Hj3cHw8JIcRm7V7nG7fe0mw2geJ4lI6SiGu+sLDgeZ7vefsPHTxy/JiBYBxbOFEUxeGF45xLpXVQ + LpV9t91um6EKxlhywTnneZHzwnP8IAhAac55vVqTWo0GI9txyqWSgXU1Gg2jAWhSMq21SRjMB3cy + 1PxRGIYeZsZlhqbV6/WMZoPp7QJAlmWj0SgMw7POOmumUSEYjIRdmokwLHMuLMr+8X3/8A9//748 + y0LPna1Pdbud1ZXW26564559B8JyiWGKFYSu96xnXgYYMUIO7N33ohe9yLWdeDx+2cteZlEW+oEQ + qtPtx9E48HzPcV/ywhc5tp2Mk2azaXrQf/y2twWlUrffcxwHkArDMI5jOmAGbuN5nqGLK6XM+r9P + ixYh9Ii24JA+5QPsoUyQ1psu6KQMH63p+tu2bTPL8b3G1JQEyaEAArMbZgeD3upqNwz9xnSj1+vF + Wco1L6QgFjt64sTjL7yw2qgvtVaWlpaOHzlKLGZk6wyB3lAqGWPxaBwEgWPbXhh89vOf27Rls23b + e/furdSq/dGw1WotLi4uLy9v2LDhyJEjjDGGCcZYCmHbNs9yzVVntbd/38FudzA/3+j3Ic+6U9Mb + Vlci1/OlSpDKhczKZYKpLAoI/Mr8vJcXo+V2a251VWllvnKlUqkoijzPEdJQIM5lziVz7NrGOdv1 + zCjcQLbQmkTEAybEWp8yLiOKovF4TNZm6wghnhfVanVxeUnqCShRKaXXXH+EVlirXHDTFgKETJeC + EGLIYwCgT1qVCCGF0YTzjhDCE48n/CAJtFJKKymFVgoYI5blOMxCCBV5PjFDR0aUWQNSAEooAQBI + owklHTDWCAATRIUQQvNut2P4ZibFmdhYFXI4HFqObUrT8Xg8GA6J+buTyEWT63lE/ZHEhIahtQI0 + 6clJKTGG4bBPCDJ0qXV/JGT8kZTS3/dHmkDP778pIwCkMVHmRwwGPoRAAVCbItCCFlkaR0VMNKYB + MAu1RUcpFdaqGquIRwK0YkCJXW6WBOKDeJDkY8ZwWHJt4qYqLbRe/7hPnl89LCGQBVajxyEBi6Pa + 2GpQx1cxyXiPEAAFoUXGUeEyC6ija/YgPhGJjFKwKPOULvsWRlyCLoACgoKVYlbp6iSXqWA0pi4i + vlIawcR95uGq635ioRBIzZVG2GYKNCjwbBelsgbhJqjVwIv6h7vfWxFRxhBIrcGyCkw4IfMXlyzm + /sdK7G0Lc6XBd5FA5UoN5floEH/mQ39/z03fCxOnPlc/+3mP3fbEHVxxwRXFE+KlbblYI6QwEIVt + lOs8Go/nt80qLKfnZhzPTvsptRixmOS5nsz9JhUCAEYIP6zE9UcqkP6+blAURfWpOnFx1B0ros48 + 78xKWI5g7JZsWRQY2DDug4OVEgCqHJZSnU5wrCctKKwxUhRrrBDotV4PmvyMyaQowgAGbK3MyPfR + Pmb7WYtTonn8FGlgaE0RFAAIIRIhg4675ZZbwjA0PVPTaIuiKMsygy4x7U7D/xkOhxrwzp07jaxc + p9Pp9/sLCwv33HNPu902Og0Gp2TOLyWl5IIxduDQQcd1KcVJnmV5fvz4Uct1bNu+8cYbN23ZeOaZ + Z87OzywuLr7n794zHA49zzUzq0qlghAyqS1CqNls+r6vlDLnnVLKch0gmEupsZ5qNjXAlm1bjx07 + BkoXaXba9u2rq6tHF05sP+20oFy643t3VioVSrEbuIUspuemx+Px4sriOBnPzs5uOW1Lt9tdWVnx + S35YCUfxKBkliyvL1LYynjFBMp4BAS/0xuP4xMqS0WcHKTjn7XabWsygJW1mJUkCSldK1cD1esOB + LLgREB9GY5ULhWDieBnFjDGE4ND+AxjjqampIAhGo1EaxVpIbK3NjtYOw5/M7vYQFCOQ0pwXWkjf + cV3LDlwvBQQAIi8IIEZZkiSdVlsL6fu+ZVkHDu6bakxv2rJ5w/yWfn94/OjxuW07Rv0BxQRpyYu8 + Vik3S/7jdu9aWVl5+hOfyGz3WFi22QAAIABJREFU+MIJz/c1IZ1hfxSNecErper8/LzNiORiNBgy + wNP1BsHMtdxCKEJQnufxeLxpdn40GuV5PjM97bju8RPHkiQJS34QBEmaJnlmJKMMdsaA60xetJ62 + /ZiP/ZHeHE65QDL7P7rfZWmthZJS63Ec8bzodru94eDePXs+8elP3vCFL951751nnXVWZ9ApVNEd + 9gfjPmPsqre8+WlPexpXElHi+F4hRaNaMePFk9/WPFBD49m1a9c555zz8WuvnajS+T4GZFwCTMUS + hiHG+O677w7DUAjhB67Wutft+r7vMAsYBoxqzYYXeojBDV+5fXHldkb7CtvD9Lht2xjx5e5xNxlb + bvKNW26/6Wsff8fbXx+EZDgc9ocDhkijObXcbh08fOjsx52z0m5JyW3XKYSQWldr5a2nbQuCUhKn + /X4fIWRGOic78Nz3YZ56emfbtu/7YRgadWmllBJGQu/7VnQSNJo0OZXWmgtBCClXKqZUM02s9fbD + fWIi8qY1+kGE6INdDy8KDYAQsixGMeOc96NYKeV6NtKwXiCt/aAQOfmWMQACTQFAa0SJBWhCIiqX + y0WR1Wo1xphSygCmFxYWhBDNZpNSmmZZlmVSCDO9zbLMKBSZ2iP7SfsjTfQe1vyRymjNH8mitun8 + 3ccfaf2/6wtjbTFMOtHmDz1xDZVa6xwKrCRmSGuFMMEIJFJII5BIQJFwTW1mWUxLyHkhEo4ssJnl + +BWpijROODMa9AzWpoLri9Bc/KkuxfuHBiyZLSWWQGxwOQ0Q9RVWoLEUQDD4jj0epsl4pLCNwNJC + A8LEtghAkma9bifPU+b7GGugoDAtsJ0hO9OQa5KBTZBFkUL6+0v3Z65G0lrnvPA8X2sYDsbTbqPu + hX5EbUB1CMqR5fV0TfmB4xZFoRSOEBKWVRNeznTST5vuhna2rDETOc8It3NVdcoVtxqQkp2D6PGl + I0s7nrA757nSGjtEKsUVd51AKVWulGfIdKkZEsBHe0ff++H37dy6myXeoeNH5qwZCTIrMsYYtelw + 2HWZhdeW5GTaCAgh+ijkIN0/FIJytXJ8cYG4sGHrRnmPet8//t2FlzyR2/zLN3/xj//X2ypQjiCR + IFt6dWH1uJIKscltnrzTaa3RxJUKkCl+AJBWSGNASgMmMCmWAJSGn5OQHv74mZAgM2G+I+s1EkJI + K2Xbdr/fL4pCa226qyef1OscJHOUB0HgB6UDBw588IMfNA3QPM97vZ5BiBVFYfyRDMO5VCpJhDqd + DsaYUOqXwrvu/i+BpEJQSMGlOLF0Iij5n/jUJ6/5149qrKvVulKCEAwA5XJ5bm4uSZJut5vnuSH0 + Li0tAUAYhmZTDYJAgi4ERwBScIVQtVo1SPXl5WWk4djCiSAIKl5ttdOp1mqnbd9eFEWv39EI+v2+ + UgpTYrtOmmfHF040m03Hcyu1as4Lwuj07EyjOTUa9Ne9Dacsq1arSa2E0gDg+35WFOVqVSnV7w8J + o+PxOEmSkusjAKXUqNfvy465lyAITpw4Ua/XBZcGP0IpTVBCCMl5ftZZZ3HODxw4YIpSKWWj0Yjj + GB41+LofEvpB/JHG43EURabqMOuk1Wo5rtXvofEopmTwuMed/7rXvmHQGyCNq6VyOo6m6rWS5+Vx + dO89/1UJfEZIFMXMDRTCOefnPe6xxxYXp+ZmXvnqVx05dvTv3vM3lmXlWRL6wbDXn52ZwRr27Nl3 + wROe1OsNer2OZVnzM7P79u2b3zDr+/7qagsIllq+6jWv4UXe6/WU1rng41aLMGoQpIYBYSCjRjXt + p/10//t4KBMkpB/gIBBCGM1KA5Cr1muI4P5o+IlPfeLpT396tVlfWlriIKPxoFKuMs8WQuw9tD8T + fNeuXQsryy//rStbrdYf/tHbcsGllDrXZiBgSGnGUhpjvGfPnle84hV/9LY/2rN3z9YtWypO6cW/ + 8iKl1Jve9ObHnv/4HRt2BGH4ylf91kc+8pGvfe1r6xLbvu8HQUAAIY1yKdrd9s1f/+oFT3jq8eVv + 7Thbd9v7+1Fv4dAJbAeM0DwZ1Kf8p16yMxU9P3RqjeqJhYOD4dDzfQV6GI0Xl5bEGr1SgRZKYYzH + cZRkaZIkrdZq4JeMvalZEAZz/ICr4SF0ZTnnigszqcSALMsGC7TWoDQGhCihlCoEpsgBKSmlueDM + sgghpjNkSoL7Q/5MyLVEeV1Q8ofOGTCjNiJghmZANcJAbYox1oBNdQVAkFYaJGgCoGVhsL+mNDJz + JA2Atcxt2xZclErlQb87HA5Ho1EURYaPYdsWAIzjqNfrmQVmVOMG/X6WZaYqzrJsMm56RP2RQK/j + 05DGegITNP5IOMuybrdLCAoCT6nKeBznaWFThjDRQhpVDwQI5ESeeG0SArDeuUZaYYkQ0Vgp9H2w + DtaYS21hajFLAVZKIQRYkzyRBJjKFSgIaYALggGDAsGl1JLahBCaIa1pLkAjwAxNxi/oJGbkw7hV + aSGRAqSwVkIUOSkoKAoI+54d+Faz2RxHieu6hSJaMgAcNuozU7XAcgad1WqlPBz2FcVRJkCDEEIL + QW3EKC5AaSkAy5NtEh79x9t9AmtghHIpMBAAJAqRqSwDy02pD4ELnlVYNLV8yw+ROy7GRS4tAMTA + 40S7uohzRAhgohkJXD8fJ7LgDZ8x6soceKaCqjs/vQkDVRIzz411zLEmjhXJ1EO2JHA8WYy6UZFl + nuctxitP2HhRmTfiLF3urTS2TnmBE8exLJQxVdMaEGCEyGQoojEG9eizQXrgyPNs++nbekm33V32 + SzaHYtdZpw/lMLopPrR8WGlNLZJBIaAAhjTWGoE2lmUaw5o0sEaAQEmEFYBBC58s5L1WS01crNYs + rX6Or/spx4+Oorl/n/fHCYwx1mi9C2ZekVLW63Xf913XNZ0yy7J8319XG5JSjkajdRPYLO+WSqVj + x451u11CyNTUlOm1l8tlc3BTSpvNpkGMj8djTJFSslQOHMc6cPRwP+oFpXAwGhVaZkUalktZmpXK + JWazpaUlAG1blus4lUrFtqzFhYVBv++6rm1ZUoj5ubkoitrt9tTUlG3b4/GYC4EocX3PcZz5+fks + y5YWFrXWnuNahA6Hw0pYchxnNBymSaKVWm23Z2aa5TDIkrjdbkspa7UaACRJEo9HjUajUau2Wq3V + 1srmzZs91yXVqhKi3mwYyB8A5lJu2bSRUmrb7nA8MoYinYIbUY2KH4auH0WRxWwndACAc54maRan + lbCMFORp6rpuGseMMYqx4Ny2rH379pVKpenpadOmnBCtT8KWP5rPEfQg/khKKZNvG/y/yT3MqvB8 + P+cSAdmzZ89f/9Vfb5zZcOzQYVWI3/y1l/7Oq1+dCn7k7nsD3+m1W47nS2DEduY3bqoFpXEwvufO + u8a9UXelQxF+65vfIqU8fdtp/dX2C573/DN27/7OLbe++8//slZttFutMAzH46FlWRdeeP5LfuWX + P/nJj99083++9x/+fhwNK5WK7Tqr3XatUdeIFIJblmW0SUxCdR9Q1XoYDtKjqidyygXSmoPhfcOU + tp7nJVkmisJy7DPOOONP3v4nW7ZuchzrydlFhqehJPR6PcdxPM+bm5vbv/9AEASu6xrO0tyG+Ws+ + +TGjwY8xthHiajKPY4xlWTY3N/eOd7zjU5/61NatW6UQX/jCF2zKpJTXX3/97Xd+17btcRSFlfLn + Pvc5z/Mopb3VjtFnGw6HRZpN1af8kj+zceaTn77267d+Y+FEe8uWXYNBZ9NWtPsxW/Oca4UEt+J4 + 7Jbjj177fs9HV7zyCoplmsbMsVOZW7a9feeOsFzKipxQajNsgG2S84wXaVZgjTFKzATcXLlJ00+m + ncBDZoICuLZjWZYB0SoxIbcYlYs8z4WSQgjjImX8A8yHlfFC5arIc9d1jfyAkSJ4wKEWOolmul5K + PWAOjTUAJggB5znnOQsC13UFV1JKrZHWGmsKAKA1QmZMJBk1E0IMYESNDdAOELFsixk9U0Ztx3Fc + x280mkbhx1B6jDaRcTqqNxpBEIDW7XYbAAx1zYj5cM6dR84fKc31ff2RNDy4P9Jq2lHr/khrH7qZ + OP8A9HYSyjijalO3rGlAY40RIAaUANIS8jzP85xgcJAVkpApVnJDFQuas2ycYkTLnks9uhp10zwd + DVPFVFANNFJZlhVC3IeDtN7vfAgL8n7rQVGZ+tjyHS9MpasSKsBFQeg5RTHMCRmNk4KrtOBaKSUV + IhANuq0iGTBnNBxyzgvJ/XJICIECqEw9yBEVhVVYILDOldIaLA0/w2acWGkLkzxJPC+oV+okRUUi + MbEAKACjlm875ZzLYcTjTGmMXM+XsrCpZ8axaZxghrXSeZ6FYeg5WIy1AuSGJZYgBWRxpbWV77IC + L9GJQEgTEARrKaTQC+OWGOQusayq41XDp/ziM3KmFhaXuCyCUsNyWJ6nWZa51CWEYYywhv+fvTeP + s+yq60V/vzXs+czn1Dz0mO7MgSSEQEJAUENIgoIoj4ThXdEEY+Dpu4AKXKLCFQEVuKLgExTkKugT + kDxBEBATQkgggZCk0+n0UF3VNZ/57Hmv4f6xqjoNBKUxYHjv/f6ork9X1alTe6+91m/4DritPKC1 + 3rLvxSf0xdcIoJVGokAfO37UqdiUUwGyPWgLFJKpX/rVV6JLijQBy9FSx0UGHAslAFGi1hrVlp8R + IUCAoICTDZ1tZ0MNuF0VKXOBtsuq/z8e3/hhX9HHFw+ptQbALcMJAABARN8PLr300iAITg6OtNYm + QTS0n83NzeFwaDDzSikhdRzHSZI4jrN///69e/dSSg3k27DwEbHZbPZ6vfvvv18IobSQpoOcJ5VK + iVk8zXOphe97XtnLigIIHDt+rNFoTEyMCyE4Y0Yu6+jRo2EYmta+aSkuLCwEQTA/P9/v9/v9frlc + ppTmRYFCOZQTqR9+4IBt2xqAAiZJ4nvexvp6t9vdvXu3w600ij3bSqLR0vHjzWYTte52u9FolCQJ + pRS1bm9sTExM7N29e3FxceXEieFweMYZZ6ycWNpct7XWvu93u32pVbPZzLJsZXlt/9lnRaOwUqmM + j41tqUMNhrIQxvep2+7Ytl2r1eIwAgACKAtBACkSi3HQAEozxgohWq3W+vr69PT0yZTASFycctee + uIHfwx/JyBsaML8R3LIsy7IZgLJsd2Ntc3VjMwyji5584c2/cvMfvu3tRx9+pN/uWEDavQEURXel + 229vzszt4Iy3Nzv1Uq2IEo5k2O21anU8Y5/DrUpQete73qWEvPGVv3jtNdfUy9V3vu2dVb+UhtH8 + zOxoNApsd3xyYtf8jqddfCln5NZ/vDUIvCAIKrXq9MxMZ9BttzeAWAp0vV6n22GGqCar/G6M0hOq + OoLTLZC2kQTfFiYFj6IoDMNhOGIWZ4w99PDDb/29/765uWE7dHJyfGVlbWVlxXEcizuGkjgaRUYY + TWsdhlG9Xu90Orv27Dl+/LgUAra9a4qioBY/SamP0sQvl+6555777ruvXC5PTEw8cvBh13YOHjz4 + 8OFHwjAExGqjTggxT36epI1GgxKSpqnDLdu1VzeWfv21N3fagzCMd8zvLpfqR44cq9XqWVoIoSyL + McYAVb1eW1tbm54aG3bbcRIKkVdq1YcePnjn3XfGcay1jpMkyTPGWFYUhBAFYFlWve5ToGEYWpZl + pNUMHe27uxSPJsenecOiaJRnyaDfDXw3DMMkiYIgSNLIdrjSQuVSSqm02rLqARBKMYsTQM45r9WM + knWe5/V6/VSU18kwMyittSpASXnqEOMxoygEkYQAurZHKB0Mh0mSWJbj2t4WkUYTDdrwnRC4FHJr + KWkC2/AVAE0pFRoYY1KqyfGJxcXj5XLFDJEJIVlRAIDrewYhHUURtyyjviDlliKIESQ9qU7zw/JH + 0qOikFKKR/2R9PfyR1KP7Y/07QvgO8IUSICot1TkgWhATUReEKBaKA1gE9fxPEbQVaymK/kgnWDV + hw8+NFgbUEWEktRmV117tQoz5WLMspGIQJIkT6RUFmU/vLyD66yiBhOO27C5i+m4HkghKpZjl70C + LMWYIl5QH/f8CkpBCqjvms1lrLW03XK5XHVcv9PvSKBCFKCgzsWsLzMvS3k40ImdQVzkIakVxDV4 + r3/7Sj4BAwGI0oSQrNCokRLOLOagL2IyArVZxAMNueeKpEgIUY5lWSwXosjEeq8jOHU813XdiiVj + FGmcdttrivt11vCDYJimWT+Zrk0qQhUgEpIJqX2aY6FUbju247oyQ+VS6riDMBx2o9JUjcR0bLpp + ObZQChklSKVSEjTjXIvipEauVgBIQD8WcuAJGsqy2fz4zgzSoxtHs5FojjVykcU6YiXajnuISLKc + WRZy1AwpY0LLrQkSmmG8kSRT2+wjYipFooEqAlsCM7DlfaTN9Tk5ZfqxWZA/FvH9L7r/xOuutZZK + abLVzBXbNZIxezU9fgNuN4eOyQq63e7y8rLBb9u2TQhRGi3LGhsbM+28Uqnk+3673TacHCMUHIbh + yspKu90Ow7BULckiJZSO4shybI1qFA1zJUUc5SIHShrNZqVSWdtcU31lMTa5Y3eWZYPBYHNz0/d9 + x9nSzOScv+ENb9i1a9f4+Lg5sMxXZZ6Zk/G1r32tkkWt2vr4xz9+4MCBVqu1ubY+NjZmchuCaLjf + L3/5SznnL3/py2ZnZ415xnA4NGxeU93lef7Rj370S1/60rlnn/PBP//AxtpKHEdFIar1ehzHQknO + 7SRJpqZmrnre8yilN934qv1nnJllmWEQ2dxWCsrlQGu9vLz86U9/+pOf/OSZZ5759re/PQzDWq1m + zGYsy1JKZkXueK5fLr3iFa8wWhTlcrler6dpetKdUmsN/wFh99Ndcqf9O7QmhFDTXdXasCkIoue6 + jm27rjscDIQQWilRFEg0YyxMYsuxW60WpbReb05PziBilqacUuZYaTgSaeIxy200VZJ0w4FC5jBq + uz4fDGtBeW1puR+NUMN4a2x9dW1qYsJgeerlaqVc7nX6g17fsexoFE6Ot1ZOLIPWeZ5OTk66jpPn + +dlnnx0l4fHFYxdddNFdX7u7VGmEcWS8VU4ysc3jcMqf+IOLNJzW9SQa5I9ApOExtSCMQsNWOpul + R48eXTh6dGZmugT2iRNLRVEILfqjfhQmlFLH86Mosi1XD/q+74/CsDCD5gceGI4GnueZ3JRQCgC2 + 6xrfAJPpGi0y0/tfWlraPb8jTdMwDJFRblma4NraWrlc7vV6rusaPb3RaBT4fr3ROHbs2G+88Y1R + FAVBgEiJJsvLq9MTM5ZlbWyulUq+Uca0HDuOw7Hx5sLCQrPWCrySVMWRo0f379srlEyyJE1TQ6MU + QlDCuWOnaZplBSGs12ubCZiRrDEWWoZXA48HIw0p9Rx359yul1//jPPOO69cLjPG0yjeom8SpMZG + GgEAhJIAgIya+iEMw3/67Gc/+clPLi4uAoAmiOo7349FKKcMDDVQaSPXYF7vu9+6QqCMKS0Jo47N + TXmxc8eOSy689NJLL0NlgeaguQa2NSx6dAkpQElAAQhAjSAApFSF69qUImX4hje8Idn2ODJTMsO5 + 4pz7vq+1Xl9fd113dmZmbm5ucXGx1+t5nmcgc47jJD88fySNo9EoSYp/0x+pHMdhFCWTk5Ot8abx + R9IEQUEuBScUKNFKKwQKqAmaqSwqbVTPFSqCWm1xt7buu805gpZZLqXkFmeUiSILRznPeBMrT3Gf + PML1jaOHXe6IQirPrkFp9cBK6uXVnQ07YIUSWVrYnksZ0xq03tL53qKWIKpvX5knH3DUp9fUQRAe + xwpYjkNtCpkWHNF1HItSoalAXnI5SBKHiUrTsWZVST1KUyCuVJjkRRhlJUoY48RKyyW/Xg1iHHKI + BNKQFHGeIz4K8voOKtePRxCClFqWhUp3uv2qXeWes77W+bPb/iJbDm+/9UsLB5ZRgm0bur/iGoDC + veEjzlzluDpRvrCVekWmi4pXoo5Pcy2y7Kd/5qdueuFNLnghjL7Vv69He92kR30CBDORMU6lFJGI + HLCBS+2BzIXtuuvDzQlnvNPdVKIgRMdxZBG/VCp5vjvod22kqE3BoAkaUQIAAK1PAZkB+ba1gad8 + 5ftHmuG3s5pOF6KGJwc7hGiyTZIFXej11dVMZzM7ZuBBkKroxz1/OujTvtNwiyxTShWQAUXLsuIi + 0QgAbFuSjiCiVoZoJMHgXjVBDUQT3HooEEAr3MLmSTzpR6mfaE3QH16oLUE/QL0FjEENWxIq27J+ + Zga+9ZkBK36fgVvN2O+4nN9XhvOYMLvHQtRJAtulL37Ht5zyGlqdgrqEU3GW26EQtJQKiMn/cBt2 + Yds2IoZhaLIjc5AZpwchxIkTJ5aXlxljJwcCFmfhKJ6cnHzSk55Uq9UMR8gILPV6PQMmP3jw4JEj + RxBpuVwWRZ4kie/7UZaCzJFRYnGX2kAAJPF8f2FpcXZ22pQoZ+zZMxpGK6uriFgqly3LipNESunY + thDizDPPvPWT/3DXXXcFnl+pVHqdntZq5/w8Unr11VdXy7VdszsbjcYjBw696x1/FIeRZVmyKEaj + 0bnnniulXF1dfds73tbr9JlFiyz/l8998cCBAxRJv9/fYl4pWalUfuq5V+7euaez2R0Oh8vLy7e8 + 8Q2MsSzODC+AMSYK5QX+r//X11VK1aIojh9bxELfcccdRZZHw1GW5ZTSLMuQkSuueOb+M/bu2bkr + CALXst/41t8ybU2CaKCMeVHkWrzzD/+gs7Hped7c3FxRFO12Oy+Keq2mtAal4Luysh/48X1MaNX3 + txt8z8dCCylE4XuBJno4DJUSnhcokMsrK+VKUKvXg8ArCkkpRpEQIvdLgRSFGU4O+wPGSBwOsySl + oBljIOTY2BgCzfKCM04I5zapNFthkibRiFpca71///7OsG8xlmWZ5ziVUtlz3TAMlVZa6yxJLjjv + nHvuuWdubi5JEqmKIAgcywrD0dzcHCFk1O/dducdRRK/731/8lv/7U2HDi8kSWTyOs7pyZTpVCfG + H/R6/yji9Auk79plzAogjColS4GvpHAsDkq2Wi3Hscabzd99y5tf8b//Yr1aWl5d93wniuMojRzP + S5JECFVrNlQYdnrdSqVSFIVJasGUQ1ICgCE4Gmth13U1AhBERjmxK5WKUXxmjGmCnPNcCkTMRVGu + VrIs47ZFLU440wBhFNmOmxTAHF+QPI5jzyrVmrVRGtu6sEuYQ5gXhHlEguIlu5duVCacVCQU2Cga + Te2Y6gy6jmUrLUBL1MQmFmE0K4QqJKVMKsjzwvcDgG+bQpi67j9+q0xQYA53NlbXLzr/ouuvv371 + xOrv/M5bRoPh3/7t31JKkzgWInddm3J2/PixW2655cBDD37un/+ZWrzT6z7tssuuf8XL3vve91bq + tTiOHc8dhUPP8xxumS5FkWbpKLrznnuvvPLKdmfdoNqG4ejGG2/8xVf84r/c8a+vfOUrm81muVJZ + W1vzSkGaxNyyBEhGIBcpA7Qp6a91rv7J5/3TZ/51fXUYeBObG0mjOTcc5UpzKajnl13HWj7xcL1h + cZJE8cb4WNDrrqMuXM+66dU37dqzczDoccoGeWbbtlH63x7IPmruZM6Ybrdbq9VqtZpSKo7joihM + p0r98PyRqmVCUchCCMEtS0pZpPn38Eeyt/2RpjzPWzyxxAi1XStPM9TE4raUQgFqDUJKQimlNBdK + oBagLQCKKieoCaJWCEJJBQDAKKWoQRRCAKDtuFR5ItQUwI10KYaxih+jbnfSJkyxNAjKWKSKegKJ + 9jwvV8pwJLTxo9KgQSFSDaC30wGT9Gg0OYFiinz/J4ZEGqJ/rJe1i3Y5hXYGnhMUxF5f38wKidSi + lj8ajfbumbfRTbRcPrGeqhgp1ZANw2isNVENfCFFXggl4fBy+xuHV4qgk7tRxnSOPno1JbZkl5/4 + e+t3h0QQqEELZtlpLmxuc87DLExZLhv6K3fdTfZZF5x3vkxVtVzdXG+XK67ri0SEi/bC4rENrDrP + YDLwy5BFcRzblJW5V8TZP37hEw/cfV/STf263zx74rzLLvCrflwkJJcOICk0IipUCnPk0I03iUVz + krmBl4ZJzSnrQlALZVHQEheZ6G90S7ZNhBJCZVp5QZkxstlec1xWrvrDYVgUBee26/hraxvjrbE8 + z13XjaJIyDxwPTBuHpqAAm5biCCEsCy7yHJj2WlkKlzXGcVREATcooZYSDRQSvOsYIxnogiCYDAY + VKvVcBSbaa1lWSLPGWOjwdBo8DCLRHnkl0ujYeRbpd76aGxsQjMtRFqkse/4WrN+ZwAZRHG/VPfX + k3beUBnNiQ1EaSIRNYg040gIgtBaEwBCFSiljeqfhhwooGe7DFmW5BRR5EWaZ0El0KgUlUILCVoR + pQlSoFrgadd4P7ahEQRBBWB2CbqlIqgApUSlUUkEbWZrQEETQIWPYgfIFgf13/4VjIhCEkQDIcmy + DKQyZYYxUTAqcAZcjbjdH9RoKgrTFzNWqki0NH0h1JRSQCUUAIBSmgMhlOdxUi2VNzc7rVYrDEPu + 2EghFwKJTqKoXAr6/X6rURMiz8UWIU0jIFBKiNKK2ZYqQGn0SwFIlcdbXV2D1jYNuCRJTMlECPn6 + 17/ebrfNezMHkEHZze+Y3bN3V6nsx0kIqIweQ54LxizH8e69996HHnrYdV3OLaUkReJQnqZps9ls + Tow9vHDULflJlg1GQ6DErZTmdswvLCycsWdvs1k3KkdpkZoqLhMFMkoIagBGOSfs4AMPvfk33tio + VH3Xk4XyXTst8re94+39dneqNfHAtx7YtWPXsD+s+uU//x9/unJi2bYsz/OElN1u9y3//a2LR5dK + lTLjnFJ+5x1ffdtb3moxK41iSnha5K7v/etXbkvDTAMuLi2ff/75rutHYfa3f/3BpeMnXNuOwmRu + bm5tc+OXX3WjlGo0Cil3z1paAAAgAElEQVSl463x2//19pe86BdatbpI05mJKUS0fffY4jFF6B13 + 3SGKfLzVPPzIoWaj/p4/evehgw+PtyaGw7DRaHz5K7f/2V/+eZHk5aAshIhHkdTacT1iiUJIoRVD + AoBKKkIIp0wopUDHWWqmTKZzavgLnPNOp7Nr167hcBhFkRnlnTQzNEj+Xr9vure+73e73WazubS0 + 1Go2QSrbtjub7VI5KIRAYmRviSF6NRqNLEtkISzL6na7U1NT/X6fEDIc9cebran5uaIofL8ktbj3 + m9+inARlvzfsKYBhNOSdjeZYYzQIR6NB4DpCq6IoSqVSZ3PTZhSU8l2HUdAyRwKuZ8dxpAh1a/Vo + NPIq5fXNtnbchECp5BPX2dxYswOP2DROoygaBZ4zGvTJ/JwZzTFk/WHPL/sLSwvjU+P9Ud/zPEpR + qEKCTpIkCLwsTxgnRRKDhOWVRSmyLI9sm+d5qrXUWgKoLEs9zxPi1G7aqZXlE+tMf9yMYg33wzAL + DYFPa91q1u+8845982cBh2teeG1/GA5HoSZYFAWSvFKrJkm2eGKJALEc27BNKEGzX5hDUSmllaKU + GniVMetM46Tf79u27XArShOGxA18KeVgMDCcQq31cIvNwhRoy7IKJYs40kilAqEKRTJKQapUI0NK + kzxkXAIo2DJGNNByCSA1klwXhBPC0Ct5DAlnpCgKox1HNSilKGUEESgiapCPWy30mKG17vV6ru1x + zpMw+Z1bfvfl/9t1t9/x1b/767/L04worAVVRC2FnGpOXPeiX7C5/fKVtdf95m8cfOTQpz7zj2sr + q67rcs6ZxcGA1rTu9/tKqVq1GkfR9T//4k9/6tajhw+fccYZwzBEDT9z7fOvvfqaP3rPHz396U9/ + 3/ve95rXvEZIORqNxiYn0jwTWlHCKQGTwXNC8jztdTcPHXigVtt586/8+qc+dceHP/RPWlbHxvek + CUtiJeSwHDS7a90kWX7Nq697xzt/6+8+9gFKihf9/AuecdnTz9t31lq0XKSZzS0jTlgUhTKQKoIn + PYUAkRDS7/eLopicnJycnFxfXzeiDkby5T/bH4nCt/kj+VNTE51O76Q/klBSSqlAU0opY1prqQAZ + MdoWp7bVERSCJmCsOokCTU0WAlQSkJyUxsdGkPHAK9dLm50NapWnZ2ZyQE1tCbnnu+iLqOjlmlqu + q3NlXlRrvdUvNw1fMKwnk6+ok5340wqFJAcrITYoTrWTMU7RCjMxzBKKmCQhJJEGneQhglKg+2Ek + VI4Ag0EMQKYmJgmiFgXnHGTCvTLx6wUXMSUFBwGuILZ8YnNg/t3QWgutCCpKKTBUUEiOpKpbZ429 + YNcLy17ZJlwkslKqFqmIk5EiEbVRcuLX6yu9tnRIe9QVSpZ8XxVimAwpgd3nzO/aP+dQm3lW4Urt + kE6/A6iCUonJopD5NttCG+imQiSoNIJCgpZSXD5y4tDho0czQUAil+ATTgtF0KpMTp5YWc6SdHZu + KstHaxttv2TV681Ou6s1thpjRxaPLi+dcF3XdW1E1LJIkoRz8zm4ntcfDWu1Wq/dq5YraRTbnquU + ipLY8R1m8SSJhBC2xQgheZJWKpU8F1phWuRm/M4YE2KrISKEUIUolUpKSK01Kq250pbKZZ6M0kZl + vMzr93zj3k7a3bFzZvPECcIwZ8hbDAT4VbcgeUHyRBU5KSgQBoQBMCQIiASlafMBNb1NBRKUokAs + 24rDOEojx3JRIQBxbN/1gkSkioJUCEgQgQAF0ATo48v7f4IHakCQBAjRigA5OW1WAEQriQpwu6Gq + CeptLOLpoFzyolBKo+kimR2WM0DklDLG8jw3oxgAcxBTizjMsqLRyPO8RrWR5JlruVLpOAwtx7Ed + rrSWSgghCAVEqhEpRSIJIQQVFpnw3SBPiyRKC6mZRSXIIAg0AOUMUSdJorXUSAmjBFFqpaRSSlEw + FFNtXIzSNC2U5IQCAKXUCLUZwwlE7HQ6R44cMVh3Uz4ZYDZjrNaoTk1NGAC8weczyozottb6gQce + OH78uG07lmXHcZzGcaXsVatVwmiv13/aMy7TFrvjrjucwK/Ua5SxjY2NJElmZ2er1Wpnc1NrHcYx + IiIlSAkAkVJKITRI1KilAqlGvf5Mc/KcM84yj4YA9Xu/+3tHFxf+5Uu3FWmRZQXRhFPrw3/5V//n + r/061WAxS4LKd4jLnnb5rl27ojABEjfqLc758WPHUemffOZPBeUyAvaiYalS/uYD93/lrq9wbqV5 + 1mn3LjjvvL/4wF++8bVvIACbm5ut1tj0xMwt/+2W8ea46/imsCz7AQP8zD/c+uRzzr38KZdCloHn + eLaVgzp8+BCnSEC7rnvmGfv+6kMfvvmmV6MAnEGLWzt/fv6CJz9plIQiL4Ag57xIU04ISDDIWDPz + QUqM/KDWWoDyg6DT6ZTK5eFwODk1RSm1HQe0PmPfvsOPPKIB9u/bt7q2luf59PT0wsKCMW/Mssz3 + /db42Ob6xsra6s75HYcOHZqYmKhWq4cfPlTyA+M9MzbeQtRmrWqDyxSSU4YauEX37t6pEHqdojk+ + OTs9CQDlcnnp+KJlWbVGfc+eXceOL3T6HakUInJqD0f9LE8qQYnSaq/TKYqccisMw5IfMMYYJ9Eo + 8wK32qguHFP/+OlPf+Yznzn00MFep1splYosF6D9Wi1M03379n3gf364UPLlr3jFkWNHT6yuTExP + HXzkEBAkjFZrtSNHjwLA5Mz0V27/MqW0XC4rkFILytmhI4e/deB+1/cefOhAnufNZvP5L/iZOI0+ + 9rG/aXc2LItLrQEVoPFf+TFTnf1BCqTHPAKMUXGWZUmSVFpj5XK52+6MtRqc262Z5u69e9Ikz7J8 + NIompqeyXCilVtc2bG57rl+rVk3ez5GYAgmMWgAhWmshpWHXAYDIiyAIgqafJAljzLXscDRiSIbD + oeM4rVYrLfKsyM0EwCS4xmpASglSBZVACCqksi2XUhj1h45Dfdcb9IecOgCggYJmCgGAaKoANBCu + hCaERWFCCcmTtF4pGw2GkzBKraU2Vuqnzx8/rfNUIVAAM2d3Xdd13VqtluaiWq1YFrcsrpSinMZx + mOf5YNCzuB1lMbOtvXvOWFpfZZbVHGtppbrdblAujUYjYyNbangAQAkZ9vov+Lmf+/znPnfRRRfN + zs5+/otfVErdcMMN73//+z/1/9z61a9+9U//7P2G/GOEIghSShgQhqBkLihRtmshCAURYdFvvfHm + mQlWrzcvfcpzjx9Rx4/mrj3juY0CIkh71Fo9cvCgLqqveuWv1aotwOHM7JgfcADBOEFESq16tc6o + udSI5jRSygziTf1sJIB83zdzJCM+sW2P9KP1R9peb3ob0Ky1llIQQgaDAaW0UqkoBSf9kYzokZJb + 42attVLCdBlxC9V4Mr7nepAIHRkeuv8rv/+Ztxy8874WJyJWUQzDDP7rB95tjZeu/S/PO3f/mUB0 + Nw6ROMg5U8rAYAjRW9pc2vhxmZWrqAFWASgEsk29+L4XM0HuAqEiRYE2sUq6sJKiyHQCSjllwi2I + 0ox4BWd2mEpNNCpoNatJIQfDUGqRFSlQoJSCAERHgh0WdESIoCQDqvVppldPsCAaUKEWmtiaMVRM + pLqQJIMSaF84lp2IMNNU+2okwvJkNQ2LJKOlcnllc70qUqj5YZ64QaDzRHOtUQoiuW15VZ8BFZmK + 82Q0jGzlWJaFRAshClEAAUQk2yjZk+IcAKipTllujdv91RhIAQzAgoKwFIEVGjV0O0eVjZWx2sLm + MULBqhPFYbG/AhqCsr+Rbibd2B8vIyGdsGueRyewMhFqhdzhgyS0AnstXg+a/upwzXEsyVQcx8Rj + BQqbOiMYaY6JVjaxcpYN+4nrW8gwzjIqiBt43f4AObqeC5QSAJGqjugYHSrPc0bJUCXglVi5VN5Y + Xh+5iVNxrSpfShadBha6yKjOpIZZCKb8gRwoWwMlhLCtY5pstQkUgCJbwPEtqJhEUAigRnk4UZ3I + oYjC1A+CLC1Geex4bobmGWKoFTfoOyAAWmGm/z9TJBFQthQAQJUxjDJuUECAaE2oAtTKbCmoFFWg + EXJ2ejoftmWBRq2UEMK4vpjdkgBSQjVloLRRQgIAQkiSZISQfncwPTVLSySMolq1GkYRiAIIyryQ + oAE0IQgKtJYSgFKaJbmiNEtSjnTfvjOjKBobG6OcJXlyfHlxMBgUIgu0bwS4lAKpt7IUvXU+oGkw + mUcgSRLDcrGokSbSRs7OFDxKqcFgsLS0ZI6SkzJOjuNMTk5OzUyOj48b6IQ5WcwrUIqIePjw4V6v + Rwg1PyuLolwuH3rk4K69e17+8pd3R4NwMJyfnXv4yOEaoypJGKHnnHX23Nzc4rGF1ZX1RqMxHA4n + JyezIh8Oh4xZBuFiM66E4px7ntftdo1fLUPCGKOca603Nzdt256ZmXFdN8/zsbGxL3zhC6/+1ZsZ + txQoBOSUVyoVAMjzXGqhte73+0eOHHG45fs+Ana6naBW2eHvOLp4fDgcbmxsWI6FiHv37LvrK3e2 + ux1O6FhrTIFeXlllzArDYRQOsVzq9/thGCZJ/A//8Mne6spEuaK1tEv+KEvqE2O2w2u1WqPRMKrC + n/3sZ2++6dW2ZQNAe6OLFhmNRhIVYyzJUsuxAcC0UIWUsI3QJoSgBtOXZ5QwSi3KlJTzM7Mr62vR + cOSVAlWIdrsduF6pWlk8tkA4a1Rrx48eGx8bA4B2u90Ya8Vx/M17vzE7M9OsNzY3Nmamp7XWy4tL + s9MzRZatr65W/ECmOSIa1nStVmtWa5VSuVQqlUolIHrh6LGgXLr0kqetb24wQk0Wfc9td1kN/4In + P8n1fZMQmNxDgS6yTAjhO67tOl4Q6ChCykSWua7b7XZ7g/6xhWNLy8t7ztiLiJ31jcVjC3Gejc9M + lcvl7ma72qif++QLq61GqVR6+uWXvfZ1r3NKXrVRS2X+rQe+RRip1Kv90WDHrh3v/7/ef3xh4cSJ + E41a3XHdQon19kYQlM6/4ALX8+5/8IG5nfOW51q2/c9f+ML8rvnJ6Ym77/769PxcnG0YAvnJeHwL + pNPNn083Tq9A+jcAxFEUpWk6NzfnOM7CwkK5XDa89vPOfVKaxjNzswuLxxm1bNvt90LKGaV0x9wO + IcTx48eTOC6Xy0mS2Iy7jm2y25PaX1pIkxMDAKfspEazECJPUpUXpXo9z/Ner5cXhdBKCMEsXqlU + DLxKSskIpZQCIAXoDDZKpaDb7di25XmOknJzc93ibpoUAESDBlAKDTdGACqCiEqXSqWC5gxJHiWg + iTFrIoRQyoTQiCiVQWX80LM3s/X4gZvn+WAwsF3LttjGxoZCEDIXWa6p7ft+rdnI81SCJoRsbGws + r6/Mz88byJnv+x4tMcbMhpjnuc5Fnudxnu/du3d+fv4jH/nINddc85pf+7U77rzTLwVjjbFjxxfq + 9frC0mI1qJ1//vlLS0uWZUnQjFuA3DCYGTCLqpLHCwv8wBIq6rRPePbUTz377KQXHfzGfUw2sJiO + ExdIoBn6TSoS9+mX7v3W/Rkh1Hacyy6/1HG5BiHzvN/rVarN0TAyfD6FRkRhS/ZdSkkRDSCzKIql + paUkScbHxw301gyLnhj+SEAInOKPVMVtfyTObcuyRCG/wx8JTmcHUURjQGefvNsr28+86or5Rstn + lu9VgbkL7X6EmTfpFHZcQO76nmWVKBCZxWgY5VobfACg1oCoDRNdAyDZspI96ch6GoGIiFQpZVQr + AEBqAVQBhTRVqQqBwEpnqVKqa4a5LDyXbbT7CoDbrtJIuR2U/eObi2ADtW1NGSKjjEtKCVjIbZGL + H1+OBwIwoGhoKloplEIXEgpkmhAY6SwpYt/2uWX3egMpAG2eFyxVjLmlMBeWZaWyEJlwbJaqREPB + KM1JIeVI5FIXSAghNpUgEVEpKWVBKSUMT0J8t0sjtWVDTGXM4/Of86RDlUOjblrkwJFRBVRqjNWF + 51/0whdd99GPfuzBAwef95LnXfykc//6bz6y3mlfdfXzzjnr3P/xnveurq6/6R2/7Xnee9/7XiGa + N9z4S77jvuc971JKvfa1rz106PAHP/iXF1xwwfXXX/9//93H773nnp+59vkXXnzRJz7xiRPLy5c/ + 84r9Z+374Ac/GEWjl730pUqJv/6rj9gWf+lLXxpF0Uc/+jHHdV/5ylc+8sgjX/jCF4IguO666772 + ta997Wv3nHXWWVdd+dxPfepTBw4c+Inzrrj62qvf8973FGnxy//HDePN2Q/9zw+tR2vcJ9loKLXy + XDtn2Y6zmlNnTqUsJZ4FqBEYQQBUmoBUGlAoACBUK6UBQAIqVIWmGinystvYXF1F5F5QhgKSJGcW + 11vkGyQaCTAikSpClFaoCk40/rhoof9HA7VClEQr1JyAAqAKiWFkaWAKhd6eIFGtqAYNQP4dIy3c + /mhEL6AoCrLtnWeoLKZFUqgteBwQwinViKbJ5dmuazkTrbH/8rKXj42NeZ43Go0OHDjwkY98uFBS + CKVAEkIM7VNr5VoOpVRwLDlemftTExMve8l1aZa1JsbjNHno8MFPfuoTnU5HSIRtnqqhkpvGlQZA + JKf8z5YA7JZLOKJ5zwYgaoAG5gcNdNy2bQAwogj1en1ycnLHjh1GstkIJwCAmSwZGxUDnMmy3ECz + zGly+eWXX/iUixeXlrxKyWL82JGj+/buXe+0HdsebzY92zn88KHhcIgAw8GgVql0u13CaKVSKQoJ + AK7tJGFkMSuO4zAMP//5z3907aMVPxj2+kqpXIpqs/FLr7ohy7LV1dW9+/cJIRYWFjqdzvXXXz/o + dAeDAaHUKwX94eDJT72oWq2OoqFSamxsbG1t7bZ/+dLHP/aJNM9rtdrS2gp3+TU/+zMzMzPGqogx + duuttxKhX/HSl7Xb7Uq53Ol2LddDzt7+7ndalkWRBJ4rinxleSkcDY4dOXzHv34JNVDXDkU2s3dX + Nxx2NtvD4bDb7d5++5cPP3Lk2uddu7G2yTn3bK9Sr4ANr3vD6zmhGWCR5d+BCtlqoVKqlJJSAYAS + Uqa5xbjKxdHDR2ZnZ33LOakxYNt2FEZKyMDzo1E41myJNBuMRo16XeaFzfiuuflOp7Nv376FhQWL + soWjx84+++z777vv2quvueSSS5SQnFDGKSOs2+9Wq9UgCLrdLqJGRrMsu/I5V/Z6Hc455zzLsk6n + M3HFxFfvvotyNjYxAQRtzyWUxmmClBjbXEppp9+rBKVyuWw59ka7m2UZlEqVeq3eaHz84x//pRt+ + ubPZrlUqWZQwQqbmZ826cisl5jkrm+vaZoWSN9z0K17gh3F0bGlRSjm/exdy9rrf+s1erxeNRpVK + ZRSO/Eq5MdYywhuNsVaW5d1+7/DxY3/+ob8YmxiL05RatusHeSHu/vq9QogLWuObnX5WFPrbRdUf + F9PFH038hyB2pxZkRkOs2+0mSWKE/1eXVx64/8BZZ+7Lc7W6urm22tYCPccnlPVHw3q9fuDBB4Mg + mJ6ayuJYFUXJ86SUJxv5UkpAPKl3UWS5od0bFHK1WjWYula9buQQxsvjgKgQlFKjKDTCZVtizVKZ + CVJepHnWxxKpVQJEmmWZY/vlsqckKkm2iZoKUAAAIAEAKYAyQoDkSYa27XmeEAKBZnkiZE4IQQIA + GtGwrykUP+TTkWCj1VpeWdnstMcmJ1qtlgQ5NtFCCloCsy3KWRjHRdjPpdAAnNtTM9Oe5w07kZRy + bGys2Wz6lbIQQoE2kjiN1nij0VhfW3vG0y87fPTIJZdeunP37i9/+csXXnjh2sZ6WqTNZnN5eXnP + nj25zoMgmJ2d7XQ6rYnxXq/vuCUlweYOqBxVzpnMMnu81ZiZmRwM2zvmMcpg+cSqxb1Wcybqu0pb + BCzOSiIPbavk+9BsjiECEj0zM1WIBECUyn6j0ahUG7JQ5j5K0IwxpOQk6teALc3yMPuj0Y43qnG9 + H70/0rfHqXMkQlia5p1Oj1Ju+E6j0ShNc845ZUQqcfKb5WluHAqV5mIgBt7OSpVP5EmaptlAd3XB + oW6hgp7o51EENC1UxojtMFeDprhVDyGiBqW1RqNXZjjo2zUSAChEQU+jRkINOkuoFkwCFZnOE50T + pqjNUKB+6mXnVBqtBx58WBQyCCo9EQGBWIhrX3gNSv7Vr34tz3S3H4YFML+ahWFUFGmeKJYwFEIU + SgLV1o9vdWSCSG0h1VpLWQAq5FozIUBGUejaDne4UEpmhW+XRK485la8ilasVK+c2FgWRJTdUm+4 + joQLmTKiNWUSEDWhnHLXsZnjAERRpJQibIsPK6U6OU2F7fJba4lAJAFWIs9+8U/uOX//8sKqiJXF + bIqaSnQEv/i8pzzjssu/uXD/+FkzVz33uZeeecGRjUcSWfzSr95ACb/nyLcQ6Ite+uJQhF99+K5y + ObjuJdc/svHQZccun5mZeeY1z6o92NwoNs895/xnPPeK3BH7L9l/ycUXX/Tki0Iyuu/++5/781ee + vfvshd7CKBo+62d/wrHYRrzGLfbsn31mlmVr2Wqz2bzyBT89e3g2cZKpqannvvC5rMX4GL/44ouf + efkVq+lKc2/jp3/6py8+9+L7Fu7r9fsvftUvjNlT961/Y0jm3YolsyQTBfM97ehd+yYm9zT7EOWy + yDXXkgBoBZqCUQ1QW+IooLQiDAkItBWzkHvEcSUv2QG1+CiKJEKNlW3H00z1wj6iJgAUgGhFtdF2 + +LE5+B+X0AiaCK23BtGgiQYmESXRW0hOkIhKa7WtfqEUAj3pKIX60abr93AvQg2IwAm1GTfYOIQt + i1UhBGqgnIHShRSgFEXMklTkUuTFzMSUlHJ6fHKTsPFGSxaKU+I6HlCtlBJKAWokJElSRWmW5EyC + zMTQcct+ELjenp27esPB2sZqnmZaa892OKFS6yiKCAHCLEIJIirQWoGUEpEqpRmyU+094N+jSpqG + mhCiXq/v3bt3enqac9uYKBJCGLWEEEoVWmvz90opS6WSbdvdbhdA27bdqJf3nXHm2sp6o9YUKK++ + 6qpn/+RzbvvKHZ1OB5S2uT07PfvUSy7Zu3fvl77wxTvvvivNk2q1WkiRZRmlHBGNAoTWmnM+NTWV + x0kYhii32nzVanV1dbVarWqtzeHleZ4RpnvooYdmJ6dqtZrtOEIrEo5Osn/DMGy325vLa4yxKIq8 + IFhdXZ3fMT+IBtVqVaHa2NhwPMdxnPXV9fmpueNHjzmWrSVQZNVSuRMOLctyXXc4HDiOg6g/+9nP + ZFmSJamFWBS5LtBzLIdRWYhut5vGMQB0u925ubnRaOT7fuAGBEin0+PBFhTTcZw0zyhnzOLDKLRs + mxAiTwHGm0GfY1l///d/P94aXzyx+MADD3zuc5+79957NzY2Zmdnd+/efckll/zxH/+xzbljWaDU + y66//prnXd1sNt/85jd/4V++GIbhM575zP37999www133XXXjTfeuHN+ftTrz8/OvfuP3vUnf/In + 3c12YDlra2tXXfk8lRVHDhyq1WphHBGCQEkYhlEzqlRKw94wiqJdu3bV9zY/9rGPPfWpT2Oc57ko + pKjXmp1eN8kyz/NyqRQgKhC5GGHCuW1ZTrM5NhgMNtbbR44cu+aqa973p38GSjuWFQ5HeZ6DVK7j + mNtNCAHEdq89OT115MiRV9z4i3GaPOnsJ3368/901113DUb933zDbxiRKs6YWU7G7NW27WPHjs3P + zxM0dkak3++PTYwPw2F/FNp+0OkNkjSbmppaXd+AUxBhW0/0Nn7hcdqEfrhx2gXS93rWHccxel+W + ZVFK2+12vV7vbLYfuv/gjh07Ohu99lrHD8qqULVWuchE1A93zO4o+UG/35VCmGR3rNUypmnmNY0P + j6k4TWViwHJKCzOwJohRFIm8sF3HwHylUgrBduwoisy823XdOIy01pwxDXjRRRcdO3YkHCWc20WO + WioKwLktikcn5qY0Mi6nAGBZPIuzJIoJYL1eXzlxQm1pgG0FAuD2Pz/APTitQETjPLDvjL33339f + lCaD0dD17OGoDwCu62otkQJBOjUzPUxGvusunTjR7nXjOF7f3IjjeHV1NTpyxPbcXBSEkMDzH1nf + vO+++wLfP/fGV52/7/x3/cG7ClUAwbGJ8de85jVZkadpOoqjwbGRUuqOO+5QStVqtY1OG5Hk2ZIQ + yrVs0FLkCaNa6+TwI8eOHFkYH5tK08T1AsZTQvvtQRqF3sTYHq0yxxt2B0eQhfd+c0RpV4I3DAca + VaVSKaBwfX9qamoUxvVGdQvVIIWUkiCc7OUY+YQoijjnzWYzSZLNzc1SqdRqtYIg0D96f6Q01d/p + j7S1huGx/JHW1jZOzkhxe7M4balDFIBFlIeC2lIladQbKze0lhqwF42Iy20XKVqMEQAnT0Snu1at + lMi2qKnWUm/9OglA0SQumpAtpxcCAKjV9w8ZIiBsyEsEfd8JkFgqI4J5rCy9MnP0g994mDlLcS59 + 3491yinzSmXXwq/cdhuntpLo1moB1JjnrY/WoACm0pIOOYk1S4e6kHmmAIEFP8Cg/IkTW4BM0Eop + ZMA41yA16KpfocAssEEQSMlEdWZtZZMqinkhs4RVnbp241Hc8pvEz4DLUCbUoqh1XuSEMMJ4KsVw + 0EYBlFLfd7XWUghKqYJva9kgojbdbq2BgLBFpVadsqYn902XnLIslNayZAU8JzuaOzZg7exLzmpN + zxKtUkifddVPhEVqESeH4qoXPnc0jArIbWY9++qfqFTKKcQpS591zbOmpqZyyGuztWc//9k75ndF + kFx4xYWTu8cb1YYEtef8vcFUubWzlUBy8bMu1lqyMnHQuezKy7IkRp/6vv/UZz2l3moCQHO+8ZRn + XTw1PY2Aey7YU5osNZvNBJL9F+2f3je985ydCWSXX/nMOA192x/C4BnXXs6n2HJ7sVYJcikUtSUp + vEBnGKZhmmtkxNPMyKQAACAASURBVAbNEJSpkQCV1hIANEoFQJAQQIrUIjzgQd2q1qH8+7//tsFw + aAeuHThPecYlT770ona46eSomNSgkAISUKAJEkXUd4ry/b89FBACgEBAU1Q2AKNAQWtJBEEKWGiU + CpREBXSrRvreL0a2P6qtIRIA5wyV1tp0crSWshCSAOpCbrnJSZVlmQLNOaeEc9uiiIUClGrtxHLg + uMPhUBcChBSFzjFD46Bg/OsIMsocbjFJXG4JgVRDkeVSyhNLS3GShMPRqD8opLAcbn6dUoqaDpOp + fIihApiR/KOuo6em3aYfd9Ll04AgzJliem2WZe3cufPMM890XXd9c8OyLMdxYLvjbvDbhj2rtTb4 + BfOC0zOT55x1tpZyrNlaa29w1xJCVErlt77lLUjhzb99y/Hjx2+++eZBr3vbbbddc80173rHe3af + u0sBUG786zkiJmniWjYCGpBOv983wyvb9bTWwygslUrD4XA0GpVKpU6nk+f5xsZGGkYTExO1Wm19 + fb3X7zPbchzHdV1EzPOMMdZqtQ588/5qqWwGLxMTE6PRKBc5pbTT6ViWValU8rwAIEuLi5OTkxSJ + 6zhpluV5bjNepBkqHQ6H4XBQCryv3/7leBQO+11KkHGukQpAiqRS8j3X9jzPcRzf99fXNndMz8Zh + RgjJksx33NZkE6TCbaqFBhB5wTknaAR6Hz1tDUzJc9zp1tQLfu5nzz73nJded305KB06cLCoVImC + P33vn3z5tttB6Watfnxp8UN/8ZdBEPzub//OxMTE29/6+9e94vojR46cf+65V1xxxW++/vVvetOb + 3v0Hf/j617++Uip3e93lxaW/+PMP7Jqbv/5FL7n/nvt+8lk/sba+/k+3fibO4zzPCyGiKGIWsyzr + rz/20Vqt9uIX//wD33rwppt/ZfHEUpplmmBQLg3DsDnWmp6dWVxc1AQty0qTlBASOJ4BtpRKpUqt + gYhEw+ra2pt/57e1kOura2PNlkG4DHo9w84wOEOktBDZzNxstVq97fbb5+fnl1aWXdett5ovvu4l + BsjXaDSSJJF5MT8/3+12kei9e/c++MBDnHMAMhqNSn6JMGoAMJ1OpzXeotwKKtUozSpa4Sk4xpNL + +oe4Hz3e8YNMkB4zQRkOh4PBYGpqql6pPvLwoQsvvPCvPvThYW8wMTZZZFmSZYjoBaXNzU0ppe26 + WmvL4Y5tP/jg/Xv27PY8r93ZUKB/9ebXLCwtagDKmNqezQFAnqSI2B+OqtUqd9xOp2N8afIktW3b + sqxOv8c5bzQawygEDUVRVKvVXqerXY9ooJRZnFNKn3/1i1qtlu+VV1c2PbcSBNVed8iZfcptO6mr + owgISgsNeRzHlbIxtB289a1vAQAzIwZUWklEggS0UlIqepospNPK9kxqm2X5IBzd9+D9O3fvOO+8 + c9797nd/6YtfHJ8ci6IoSZL+MHYcJ5d5r9d5w397E0MsVSt+KSjXa2NjY0bSnjKWJAnnPI0T1MAp + bbVavW73lltuuXHlhkql8pznPOemm2564QtfWKqUFxYWnvrUp955912vfvWrDx8+DAATExPdbncw + GNRqNZsT1IIypaRA1JxzTm3Pb1isVq1MhGH0ib//7Ac+9PeuPQe6Mb3rzGNH7s6LpNkkUbKQqUec + YPTOd7z1b/72/T4r3X331+fm5giwXm9jfX2tPxhmWVKulMrlcpRuobpNWw62RRSKPNdal0olx3HC + MDRqqv85/kgAZgb1qD+S+l7+SPDY/kjGVGm74/joNOp791qI1kUWVTxGGUHQUOGOb/X7Pa0hqJc1 + wwLyuNdXMqtWSi7lTrmiUSuQAERpfdLuEgA0KA1Eg6IElCL0u0Rvv5+gWvlQNGzW8m2X8xIVQmVl + izOnohFspy6QK51N1iejKCx0Pj8xwYpRlkSub4WZ0JBLyLSiFpGFBbvL9Ek1IhyZc9mG4ijIEXUG + wlLont7beiKFoogUFZgeGtVSg6YWUMgAc0IFr9stljtnw3n9Y18ZrfVkLihoXbN/9srn3zv8xsr6 + WlYMSBUd1wLQQuVKCcIYI5QSiwcMhCaAWusoiQlBx3WFzM16PvketiCdCEB0rvLl8EQudKVUkXYx + 7A0lagFF2g8th0+VZkrN4Ojq0cnxsaO9I6mMR2n4zRPfnBifSlXWTwYr2epoNPpf5L13uGVlfS/+ + fetqu+999unnTGdmQGZABSGoQbBAbPFqNGKwRGM3WFKM5edN4kXNTa5XEzW/oLEEW+woSsCKA1Kk + CMMww7Qzc/rZfe1V33b/eM8c0KtJSMyNPPn+dZ7nzJ691t7rvN/2KcTHyDX7jt60c8uOld7yobmD + aquMZZqZ/OTqSTyNDt5/v5SS+TTpxzmk1WZlfuWkBmWIkkZ1ht2VfEkzE/iF5e4Sxph6bJhF+1v3 + up7nV/y13mo/HiCCkUsG2XAxXgpqheXuyk8O3rP7tDMyJBKUHU4P57k0JbMQzuuyWkoXEWGZwtwl + vUEkUIx84lBuJAVEMAAANZAbA+qU9LTBBBmEDSEaU0Vd7pRRZRKm4AjWQ+hDlwa48bjiFhgXsiOV + EVgpqiRSimgF2gBCiGhD/uuo2AFgrBkymCiHaAcpD2sGQBUCRYTCuSJUYQEoNwASrftKAWhA/5e8 + +88LZEDlQksjpaQIu9wpekUCyBhj9x52Fo4YYozZRYFIUkQoAaKFHq01QRiZiH6r16zW0zRN8gQh + hClCCCkwxhhlNLXcwFxghDzHzbNMCFGSCozxXa9YLEqjlRJCCe4wjDFCRhkklDTGIMAYYYwxqAfP + SusJ++BdnGqZLIrBGBMEwdjYmBWdMsZUKpVGo2GMCcNQKzAaEcaUUkIKKze3kcvGxpqDwaBYLDgO + C4Li9PR0vVItFP0jx47VazXE6E/uuufb373h7HMee+X73itSKXPxhte9rtfpGmM+++nPzn569lWv + etU/fvGLQslGo7GysgYABT9wGXeY4/t+FEUWi2H9miws0A98KWW1Wo2iqFwu29RZqVQQQnNzc67r + Tk9PD6Kh1AohNBwOMUUYYysqGwRBpVnNhFheXi7WKqVSyWLgi8WiBSISwuLBQAgVpbESGhlsNZks + DGxqagoAyuVyqVxIw4HrOsQAITRVMgnjwWCgCQghkiRpt9utViuOE0opxsJqL3HNwzBUSlJK0jjl + PMi1FEo6gS+lRBgBBm20RkaBBgyEYkppnEbdVvsrX/ryj27c970bfvDm1SvOf/wFH/nfH7r5jlvW + llfKxVIaxTNT0xThzlrrnrvubo2vhmH/9F27b7/1tt97+Sve+973fuOarydR/N4r3zNSbwy6vWa9 + 4XveSKPxtx/+yJEDDxw9eKRSrIS9cGFuHlFkNaXqpZrGWkjZbXUmauMv+u0X/fCH+1ZXW/Pz8+VK + RWjlBb7tYDnnQal4SrNxvdiQUioLmGKuQ5n1jGq325ViaWS0iQAlWeq6LvNcY4xAJjMqTWPOuevy + k0uLwujJmekHjh6pjzS01gtLi/VGvVwuCyWjJC4Wi71O9+jccYzx5OS4NDIoBYuLy8Ygx3GU0WEY + K2W8wMWUpCJvdXoTU+NZlpVLVbvt2BgWPIzh778u/qOnpb80FTvHcVzXlVm+uro6NTU1Njb2rW99 + 66//9wdf+dJXTE/O/M+/+svV1dVKudYPB5VSWWqV5/mFF1+4e/fOT37q48aYQ4cOzsxMve0db69U + Kmj+5AYJZKNYxBh5nlcoFF7+8pf/t+c8p9/va60pwt+69psf/OAHwzB85rOf9bwXPL9Wr3/xi1/8 + +jevHQ6HnuctZ5ktke0qGSOy94yzPvjBv+l2htp4cUgpLlNc1oooJQDsqa0ASQwCcIJQQlmSp/1y + ufzil734vHPOjdLIGBgMBtb0zRiDtMEUYF1F4GEbXT3cQAjZnn58fFwp9Yd//AflUukplzzlU5+8 + 2oCihOcy44grrYnDjxw9OozCpz/96W9929sQwYNhSB1OKPU8L5PCqhS4rttttaMoqlYq3UF/bGIc + tCGELK+uVOs16x93zZev2Xn67l27dv3xW98KAJayWavVKMNpGhvQGFGDDCAL5iF5hoSkl7/kFX/9 + gY/6BfPs3zr/4oue8aEP//0tP7pqcnxLmeJeb35qtmKc6Pa7vvXUpz/hhm9/s14v3/jDmy+59OkK + ECFMKaGNImRdi0IanWWZ3TSiU0pxxhi7BbJfRxAEUkrrjzT1/94fCSAM1zEGv9gfqRDHcRQtjo+P + N5tN64+0ccBZFN/DPUECjgCrVq9NKXUpG+p+d9hqjo2nOur3h67rFoouMx7FJI5TypnS2qD1XSdC + SCMNiMD6SFUjg40BakBZh9+HOQ63anuMQoAcz+cIwEiFOWGYIMIZUOpXQHdNRtIwpQRhZgVSmee5 + /biv81TlmUHIZTgLgWEIHJoxQgA4GKyyPA+BFx7WJf1KhQFAlBiMtTYGiDFaCA1KO5hzwwvcxxku + ZAXTxxPNsSAMVo/MZYNBqcTm7lm+7GnPdRNUQl5pfGYpWUnTRHFFKKZuoKQJkwib3KGcE5KnGWHU + cRyEIMuyLE9837fLyZ9zRcxgQEHgKSm7cSdHealaQcrwinPTj/d9+Mr///LfedkFF138qc9+8sZv + XPuWK964adv2qz979Q033PCu/+9Pt27d/tKXv5RzeuV73r24tPjWP/mDHTu2XXHFFUmePOPZzzj/ + /PNf85rX3Xrr7S9/+e+++PLLn/SkJ33q05+8/vrrX/ySlz76sY/+2FUfu/OeO//4rW8tFP0/f/e7 + MIY/essfRHH+J3/yx41G82Uve1ky6F/xxjdu27H9JS95yWAweOvb3/ac5zz30ksvve6b137pS196 + 7Wtfu2vHaV+55muvePVr/ur9f+mX3Ne+6XVpmr7lXW/J/cw4yi372qCwn1KHi0xphDzmx1JKIQA0 + sWreyBhsMMIGGWMAGYMRBgWgtBISqCEE+7jYOt71Sl4hKKy2FmVPuMDFMGMMGwQaKYOFwEohrcBg + QzG4+L9Mg4QMJtqhilLpMOUx4RHNkKYGaUlYTomkOKegiNbYnLLcfXjhMBew1pQ5jDdHRibHxotB + AbS2JJ8N1wfmOHmer6ysLJ1cZIS2Wp27fnyHzPIkSarVan8Y7jnjUcZavhEwxggtAMCqQCmlVhaW + V5aW0zDJ4mTh+IkwGh48dCgWWbvfWVlarjXq62woAkIIQhAibH0ijtZRBhQRe3DbYZyUEgEAJhuM + C0s3spCHWq123nnnIYSstHcQBFrrNE2zLAsKJQv/tuQlS0exqYEx9oQnPAEALNwuTXPfc7Is6/U7 + xWJRCIERTI5PzM7OPv7XLpisjd99111ay0ZzJAxDKyy0eXbz9f/07V6v5xeCMAw9z6OUZknaqNY8 + x7MOGVmWNWvNPM2iQVir1awqQxAEjLEHHnhgZvMmO9eT2hT8wL6vEKLX67m+Z1dPyqzj1ur1erfb + xYpESTI6OtqPh3Ee2xuxMMJqtZokydTUjMiSQqGQJSljrFwvHzp6RCm1srq8e/fuLE8s0TdJYrs6 + U1JrhkulUrlcDkVq5ZHq9Xomxe7dOzu9nsmBACqVSogghBCl1AptCyEQxY7jZFm20Vo8NAghxaAw + 6PYYY2fv2XvZZZfdfNu+kZGRe+/+yfMve/4ll1wyOTm5NL8wPj4+7A/+9E//9LpvXlev1SYnJ6WU + //jZz42Pjxulv/a1r+3YsePYkaO+7xc8f215hTPmum6z3qCYlQvlsD/AgECbQqFQKpXavXaWpsaY + XMlSuTAxNi5Bdru9fr9fq9RmZzYThheWl+xDNT8/Pz45MT09feTIEVvJEITD/sAoZe03l5aWJiYm + giAgGCOAJMtcxnvhwA7HMcYIYwXGDfwsy5I8QxTNzMwYBCfmT27eusXSEyYmJqyvrmXyW78cznmt + Vhsba9511x0Y06BYoJgxxrI0z/O8Wm+EYVht1Lvdbq1RB0Q6ve5au4MRfSjvYGPL+p/i0PELYLz/ + XDzsBmnDG24j7JjZ3rZ97KSUDuMOY2ft3fvbz39ByS2++11/3iw3tIbp5mQSx8hoQtjlL3jh7Oz0 + Zz75iZe+9GVnnbX3BS98fpakgecTQpTWVhAZrF8cGMC43W7XqtUvfuEL+77/g2KxeM455zzvOc/9 + wAc+kKbpnj17/uzP/uyLX/nyrZ/+9I033tgfhvV6PR5G1k5YZrk9STljyEA0SAl4H/rAJz/2ke98 + 65p7ZD7KaSWKEtdjIhswJ683ydHjt777fW953//8o7//6F8pM3z+85/PKaWYxOFQ5YIRYlcHeZ4C + 0pQ6uRRa68D30zRHQOx8a51JdQo69TMElX9bGARhNKyPNKIk/pN3vK1cLrdWV2u12s5duxCGOEoI + xUEQDOPY7tDSNFVGb9mxHTCamZm5/c47ms3m6uqqwciW8lmWFYtFY0yaZZzzLMsYY7feftvtd/yY + cx7GkemhZ/3WbzabzbvvvjvNMs/zNlRH81xyzpUSaRYTQhhjaSbiYQjIedazn7ey3P77T1zFudsY + d267+x/3Ps559K+dj4ABAIZRQAqZmUEyjxPT6c1jjJ926TO3bNtlDEUIZ0JSipUW1suCcJZlmZ38 + 2is0pxR+0Cmi2sYm9z/HH6lctkrENqsppSxL6uf5I7FT/khTvu+ePHmSEOK6PMsyreWpdKilkVpr + QqzYg/65yk8INGiptCwWAgDQmRiE/UI5yHSKueMxhyIqM8mA9XqDar02iCPuOrmUSmlCCCWUEiy0 + kHodR4dAYbSuybtun/jPKbP8Xw8noESZI/OLxwaLo3g2VeD4xTDLlxc6uVISCICnQDvudKlQDnut + 42utRMbGQMGPsySfniqXmJtrHYkEMMx1+jcdX+nJk4kzkC7PcVl7BTDUkqLhpx24HyHUJCQNgASN + iEFIA2IYIwREI46ZTs1IqaHaAhLiguMjnvbjMTeI+10XMRdcmUhEdGe5z0uUOn5qUpErTIAgRgkG + jcGy2CmxCCL78TjcU9L8tOGMsRM9AEBWWkBkyBBKgVOa5GGuiIPo5i3Tr37tK8abs47DH/PYR58x + O1kouIyR3aedtueMRxWDQGTJs5/5dN/3o8GgWiu/4Q1voBSnWQxIv/ktb/R9f2lpYWZy6h3vfBsy + EMfDs8/eu2XLpq3bd2ACZ+4547zHnzcyMpJm8XOf+1y732KMvehFl3Pmem7QHwxf9rKX1+t1hIjW + cMXrr5icmO63+81a89WvePW2TdvA4F07T5/evKUyUu/1V5926VObzWYuM+YxwSHKY2UIdnluFOMu + BpznGRjCMNMI4Z/SuLdww/VHnlJKKUISWcVMAZIFbpxnKc6mtsxKoocQj06N71/cL42QoCUyCoFE + yABCmMJ/rMvDr1YQRXzlsIzf+6P9v/uM333T69/UXezJRHKXdaL2ddddd2hw/0qywuqFxd6SRtIt + uUMxUGR9QW1jo2ySUjJMGaWIQHfQT9Nk06ZN2TAz2pQLxc5aK3X90dMbk+MTrVbLYTzLsnK1MhgM + siwbmxhfXFw81O7oTGSgCp7/ja9dM+j1LZVl7969M1PTucyMMUAAIZRLYYyyc4S5ubnVxeWw2ysV + yvEwuvqTnyKcJTKXRmc6t0lEGsk5RwgopQBaa/3Qvf5Dc7odO8oks+gGxpg1CjPGbOxkEEKO42xA + 9IUQcEqmXCnFmEMpNsZ0Op2N+mHDLgkArCEKxiCEcLnjGp9yHiWxyLLHnPPYV7/2NdxxFCilVK1W + 73X6Vnz5L/7iL7781S/bZbId73a7fc/zRkdHi8ViEiVRFPX7/XK53O/3i55vVWFFlqZyff9sE1y1 + Wo3juFmrG2P6vV6z2RwOhyMjI1ESr1sDGWNNqLTWExMTc4dPNJpNWxFZR525+Tl7GVJKQulau7V9 + 86Z+t2dTfLfb3bJlSxRFIyMjCwsLIyMjh+69r1QqDXx/OBwy7lAHD7IkjOJNvr+y1LHIdjsh7fV6 + jHDMied5FNOTCycnixNW8S+Nh4AxQsgahNivzKpf2Foiz0WSJJmfzY7PfvSjH902s+344vErr7zS + 6uLed999F1xwgUXsD4fDrVu3XnLJJbf9+LZPX331zMzMG37/988999x/+vYNHvWklL1eT2Q5ADSb + zRMnTth+zFLIgiDwvMAYGA5jx3FWVlYIY+VSNROpkSZLhTUk7PV6mzZt6vf7g8FAGc25G0epRkAI + G/SHUujR+qhSamV5udvtbpqZlVmeJkkmc98LlheXZ2dnkYaiX+CEIQMFvzAcRlIqhLTneZQQIQQg + 7Liu1EooGQ+jRq0+6PWFEKVCcdDrY4Rc7mBAnLJBr28VRJRS9993IEvyWq0UhqFX8MMwJJgWCiX7 + JEspS6XSytpKjZCRxijnPBG5rdA2FhVCSMdxftX8jn5R/NI2SEopC/TUWishsyzzfX+kVi+6gcgz + hkkpKLTb3U60ZjsHilAyGLrE0UIibRxG/uCNbyr4bqvVyvPc9bxT2sfaPr6MMQuympubu3//fc97 + 3vOe8+zffNazn+UwXq/WXvziF3/1y1+59utfP7EwL6XkhFpvH9uz2fmBxUmlSZQm0cc/9jlkwHfH + t8yUlk4GrWUz0hhH2MRmDURvbf7E/FzistLFFz6pUiwxp7Bj67Y0jDhyKSFW5rLVag2HQ8AGIZQk + iR1N9ft9rSHwi7aCt1WyZa1Y8Yl/fxBCKKVLS0tvetMba5WqEKLZbI6NN+eOzmmkkzizh7IGgxCi + nGmprBPUIBpatszq6iohxLomr5+5D2lxU5HbKh8zqozGGCdJsri4aCWt7TFtTxyEkBBKyhwhAxoZ + hDh3ESeUeMfnTuaprNYr09OTBkshU6EzTBQh6+M0bKzdJ0EaI4MBMEU0SZJ9N936jW9eu7S0ECUp + p8gg6Pf7CwsLxUp5ZGRkMAytLTelFJ/SlNvolGzYo/9X2B9pfV+E1v2RvImJiXa7bccBhtL1l0ht + jxWEkNEGY2zg54t/KGOEFJpSx3Ec6iNtpMqHeZpCHovMwY5nHMfzSYkR7CmUJJnkzMXI5Gmapdrx + OKLUgDIIGaRt2SwMkHWds4c3dFEI58wrVHzGIAv9vgRXY8egocodx5FZjhDGGGOqpRJpmqRpDpwY + Y3KFKPN8l2sRYSO0ETEF7BZMaVIho73YMAzawbykxDr12b7jg7OGRwqwed1FYD00aCuLkaoE5WY5 + EoEKZmc2H47u7+Srq9nq3GKHUFOeqB9MjuHApUTpeECAp9HAMMMINdIW5gSQ7YvsY/kw0g82WANg + s+4VbJAGhAhB1Ubt3Geel4Da/8ADW7fOnnnuE1OIb7799t94xiVVqN988Jb+sH/58y6nQL5393dK + tPjMpzxjqAcH7r+vPlJ/3N7HKVDLnZVue/DEPU9stVdbrdbjzj+3gAsnWifW2q2n/cbTirx0eOFw + HueXPPlpErIHDh7EgC69+FID6MjJo9Vq9cLHXaxB3jd3qNZgT3jck1b6K0mSnH3WY3wIFocL/f7g + SRdfVCKVfQf3NZqNl+18SQLJkfjIwf4Ducgdj2sE1n3BElqwRsSQ9Q//1CoDG6yRBkBGa049kJCm + aYkVXeIeP3b8xntvnL9jdeT00aDon1idFxX0wwO3HpEnt+7dwitcclAUaYw11hgADEYa/1umlI/Y + QAarFGgMcSsuQZGEMMbqyJhMJZSUahBkrSjNInAQA2owJoQhSR7aTtiz0WLPMFDbJoksr9VqCEGS + JK2l1UqxtHDipFF65uypcrHU7/aIBqQ0AaTSnGKCHXfQ6a0sLEVhrKXB2DiEhp1euVSKouiMs3cH + rmek0tIwRgChNI2Zw7njxkmycHJ+/z33Im18z8uSxPO8XIhcSR54Ik0YYwq0UgpzYoyW8qegqv+a + sMWxVTUAAAuo3sgIdtpoD/8gCBBCcSKMMeVyOcuyHTt2uK4bx/Hi4qJ9FV1v39F67tM6juMsy6jM + g0KBe/zw4cP7fnRzoVw657xznnHpb/zDZz7daDS2bNnyzne+kxDy0Y9+7OjRo43RRhzHxpharRYE + gee4YRhGYSSlrFQqxw8fKfkljLEUkhDium612LCoCgtcb7fbG1TbcrmstR4Oh47vJUkyMjKS5zmm + yGbAYdo/duzYti3b43XxWKfhNiwyf2xszCLSXdfNh3Gn0+GUTc/OLC0tSaU68ycbo02pjUFIacjy + PMmyMIrPu+CCnWeczhCOjYy0mN68aW5tdaQ5lkt5cmHeGLO8urJl01aT6+W1VZFme87eI3GWZZkC + QwihnKcyT7OU+54Qws5bN/jMVlcDETzfXvyjP/qjN77lzffcdfdNt/xo2B8UyiXGGHOdJEnqzRGV + i9vvvOOqq656//vf/4Mbb0zTdMvWrVt3bP91JQ3Azp07jx8/TjnzuX/PffvtVi3LMml0sVza970f + Sq2k0n4Q+L4fp6njOHGaKCUYY1IrRLBUcnJy8vrrr9++a2exWBSwTnnPlZRaSSEsQVoKMTMz47te + a2WVMzYzOfWCF7zg6qs/Hcfx4UOHRkea1Wo1y7LDhx4olUqcMYqJLc5Vnq//6RlEKQdtkEYUYUw4 + NsAxpxSBwQoEVsihjJWqpaCANMqT1EjDMOOEaqGTYUQAB26QilwJ6XmezPJMCrsaVUoxNhHaYvLU + pvdB3sB/RoOEzMM+nn9pDZItTO1U3mWOLVuDIEjzFClNKBpGA6MlZ6RULBNCoji0+nQE4RPH5576 + tIvX1taK9fLWrVtXum3rJGAfWbtfTuJ429at/U63FBQS13/j718B2nzsqo9edtllURTt2bPHdd3f + eMbTMaXf+qfrPvzhD0dpYowBK92olOM4Is0QQpVqsVDk3f6yyzY/61m7brz++zKvjjW2zp9se55H + 8VipVhNG10oTjz17dGr6Nx3OlYjOfcxjMUIGlKUDlkole6i5vuN5Xn/YHxkZaTQa7VZ3dvOWE3Pz + c3NzFohlOh8GbQAAIABJREFUT7SHIpJ/Jh6uKpcQolKrtrttALjtx7dThtH9aPPm2dZqO5dZHK9/ + aAaAEJYrCUrnStoq3zxksGw/DYwxPWUtY08Ku77TUiCtCCGM8zzP4ySJk4RSyjH+GXQ1Z759bRzH + WuVJkmgNn//SZ4f9gcHISJWKFGPgLgek8zxlHAMA0gQAgyHYUDAUGaSEDIIgHA6KxSDP01qjHvb7 + dj8bRREQ3Gg0KpWKHc9kWcZOKa3bK9nY0f3K+SNJvS50aDQgg9b9kRTG0O93CUE2x1h/pA29F2PW + 8SDGGMtiUj+vQTKAcwDEHIe7IM1wkBCEeOAwlw5NxIPAgwKksj/I8ySlFSM08ZgrM8Upq5fLUul+ + 2Mt0Rj2CHQIWcWeQQcYobRT9l43ufzokpgp7GLuecZiuJG4ZlG8QVy6J5QAcIBxklsWqwABTB3ue + E8UR4yhPU6N0mvR01vOYVjpDKcjUDEXQZc0YZYJoYYBqZgCIMT+zQXrkBAZAWNuiHAPSBhmFtEaa + YeCcEmS0EnPpkS9/8i+7SwMBanr3Fmlgf2fhpf/9TSNTjV+/9Ikjkw3qwLAz5MjBmEitjUYIY2Nt + tTFs5J5/6WwxAIAMBgACGMxPqbpbOD4AHsY9r+DVG5U2tDrttZltM5EcMsonZsdbrU4MMQc6s3V6 + bW2FAPax5xd95jIEKIW03ets3raFAa/Wa1Ea9cOeU3bdoscSjjkBMF7JBVbOINUgmc8IojnkcZZq + YkYmmjEkg7SHKSoXShgIcWl/tRcUfRZwwCYTGSO8DZ2prVP7f3LnRK1BAZ88ebIwUdCBidPIYLsp + s+gugm2/dEriHIEGgwFpbLA24FCOEVFGZ3lKBHILzradWzfNbknOz8AQoeWFBddw08/CHIuUq9wn + Eowx2BhFJMEGE42NUQirR8pw9N8fBgF1fKwYJhwBTfPchFInMtepZkqAIIwy4mqCCHMJMbmRgDHG + 1KJxH8Qhm3WKPBjgnCdh3Ol0tFYqFxec/2sO4Xfc/uO5o8d6nT5BlCCkCEbacMx0rlzmKFAn5k/O + z81nceJgjgFpaRjhaZzt3nl6ozZSLpeFzEBp5nJljFbKoYwT3hp2Tp44sby0NFYfJQYymXuOm8Z9 + Tp3Nmzffd/B+AVqDUWA4xrlS+uGchxuYIqsvZWEFGw5+GynDpiE74+v3+yfnlwuFws6dOwFgdna2 + 3+8fPXrY5lw7DZRSInzKEAIjvxAUy6Uoig4fPkw4u+jJF0/NzoxOjKciF0LNzMz0+/13//mVxpjX + vPb1SZ7UarVCoZB1c0uFCoJA5mI4HHbaHasYUSwWX/PK15x95p44HBpjKvXacmsNc7rvlh/leW51 + YmdnZ+eOHP3rz3yWY8I5T9LUYPSlr3y53W5LKT3HjaJoenraxeyiX7/w6U99BqZ0MBj45eIgHswv + L83Nz6VpSjntdrv9QXdydPydb3/H2EhTZLlQcmbL5h/cvG84HGZZRgjO8zwoFnbs3BW2+5dc+vQn + XHgxaA0EaVCI8y9c+81+OPAC3yonu8z90Ic+5GCaJXm73R4db9565y2lciHPU+aymU0zCONeOIiy + tNvtAgYMCDQgo5AxBK8r3A7j6ODhB9595f/48N986N6DB+6/78CRY0c3zcxy11leW81EDsaMT070 + wsFjzj3na9/4er05svtRZ3zpmq8euP/Ad2767u+8+PJ3vOMdL3nJS+4/enBxeWlyfIJSSijljuMF + /vbTdkxv3vSlr37pxPzJE4sLQqsiMYaCMoAprpSLH/34Ry+88ELCGWa0OT7W7fc0AjtXVGCUsWB4 + 0ErNTs+cOHa8XCoFTd5ea139iU+ViqXtm7e9/vff8OtPeOKLXngZQujaa689/sCR8eao5Vdb/KfV + BLZNSy5FuVyK/UQJgRDWUjDCtFbG2OEdQRgwZb7vAaCIuy51Oee+71cKFUJoq9URUqZhQhg2GDGH + lkqlQqnAOO8PupZivVF3/UcwkR5W/fwf7oP0zwQC0FozxlzugIE0TdfW1hYW5hlnFAhzWafdq5RK + YHAYDZMkKZUKaZoSxorF4uzmTWtra36hUC6XNZhisdhsNu05Yk8EjDFnrN1uj4+Pp2k6OT5xxRVX + jDVH3/SmN73yla+84YYbKCaf//znf3jTPr9YeO9733vbbbcdOHRwo0EyWvu+L9IMtAlcb3pikgDC + AM0R4A6O46GEoe8VGeNKRGkc5TqVmTQG2qtrBVdiEI/afXqtVhsM+r7vjjQaURRt375906ZNiIDr + umbVlMtlx3FarRZgwplr99S2Y7Sd0i9rgwQY93q9rVu3fv7zn9+751EAulAoCCFKlaIQbp7nBgFl + LBW51gphbPX+siwjlMKprsAObzZq/Y1yHwAs7VWdkm5DCFkzWVvxb7g3nKrysZQSIUIpo5S7fqAB + lQrFlbVF13UAgHq4XCgCgJQKAIoFT+nM3gYYggyFdaVccH3PGJNJwZUYJmG5UcyEyKXwfd9xnMFg + oJRqjo3W6/U8z6MosrNHRqm9BotSsBf/SPBHWtd7OOWPVLYLpUQIALCv0EZrbdFAv1D4RSOkkQMA + VFEmMTXMxYwA1zoGkyJNjEGu8XzsQ1DKsQSaIUE85GKN8lAThGpeVWGZQZ7rTGENCBQYYoxBoNHD + 7t7BYGFwPxcyRS5xqOurhEhjgAFQqNSKhJD2cprrxCuUaUqiOKIUT01OK43bnTVjjAHlu45MM0IA + EUdhN0dehonEoIhGGIPKsTEADwpGwSMJYqeRMQAag9EPYhe1wVIgg4hppcNskE7Up86+dO/YyJRL + S1kM2hDX98MkVEgm2fDI8omgwBzHJdggA3a3aCxM7N8S1gsYGVh3B7aRpql2FAUmsQzjroGRAnhR + xTl0+OjW4mk5pCfbc51Ob3pqKof02PIxxlgfBhpEpKM8ySJoGjCGm/aw4xeDUA1aUYv4uATJIOv3 + km4TRjOgnaibyWwE6ghgKEJCqAANDu4mPeThGtQFVaEKMcU5pJLIjAjjIQl5atIw70cQOlCI1XJp + pMjBxWDKleJAh3mujDHaGEB4vUcyEox1KNUAgIw+VeliDUAAZKYBckqccrWiE73SWcUCGHNKzbJC + stfrUu5Qz0EligCSNCMEGUu6VARpgjWmmgDSCiX/dXyQNIIcKUNxSuRNx29LqESeAmIMEM3MDw// + qAchaXgJkaHIJEI6zxilFua00UXaERUlxKGs3xmQABeLxVwJxsjYyNhVV1115X9/963ZzQhA5iIa + hAXXK3r+cDhcN4cF6A8Gi/MLltphPcXzXFgtuC1bttj8JWRGMAaNwCiHOmDMoN9fXVpeXVou+gEo + LbLcc1yjNOd8bHLi9NNPv+/wIZFnmGNEsDRaasUY1Ur/oh5pIy9s/AwAlNJ1dIAxVknV1ovWStGO + 2GyaiOO43e4uLCzMzMxMTk4KIaanpy1+DE5N6Cy4XSu1MTsOw1Bp3Wq1Dh87WqqU/WKBczo9PT03 + N2fhhR/+yEd279z9ias/+bznPW9pdenmW24+efJkoVRsNpuccyFEp92OBmEQBL7vM8Ye+9jH7t69 + +8wzzrS3mWtZrFa++o1rqtWqUmo4HOZ5fuzYsac95Sln7zl7GPaLxSICPEiGe/bsIYR4nocQWHzg + +eef77ruWXvPElpprTFl/bSPOfvO978ThuHO3TsLpcKZZ52JFXrShRchADBagRlG0fjkxDCKvMBX + SmZCuX7hzNPPOHnk2OLq2j133imlzLUcynxi80ylUZNgbGqmnA4Gg8nJcUaoRz0DJkmjs/FZnUEX + UzI7OwsIrXXatUadpHwwDLXWVmBj4ytDyGQir9ZrxWp5tbV21cc/9nuveuWzn/3s177+daeddtqj + H/1ojPHm7Vv379///ve///de86prrrlm5+5dQRC02+2FpcU4Tf727/7uE3//iZHR0bPOOOvNf/zm + +kjD9b35+flU5GEcff1b3yxwf++5Z99570/23XzTIIlqtVqmhK0Mwn7nhZdf1mq1xsbHr3zPexqN + xmAwcF3XYISsyJPRSikNBrRRQp44dnx6asqh7PjRYy537r93/wXnXbC2vOIzZ9e2HXf9+I4HDh36 + 7Gc/WymWKoXiWGPEPkj2kcOM2tG5NsZxnDTNEWhOuJYCYwqgjQJMkTFIaoEQQRQZjarFkuM4lJIs + Trdt2pKl4tpD12ZCMs5rteowjpIskVIOk8j1HUKI47A0eXBrtPFH8QiabD58me+HyBA8tGrL89zO + OYbDIaesXq3WKlUgWIGaX5nPdK6JIQ5PksRg4wZunKVuIejHw/agt7S2ehYlwijMWZZl/X6/0+lY + CgfGGAFYsgfGeNgfcMqGvQEh5NgDh788Ozs2Nnb77bdLKZeWlpaXl6PjyeHDhweDwfLCoq1K4acb + pNWV9sm55fv2P3DJ0zbdfw+stU9wbxuD0PXKSonEdHLVBtoPiphgGPT6u5+8dzCIvnXdtfVm3ff9 + OA2tOudwOFxdXc1EWiwWB4Oey3in0zl4zz3Hyycfd+75hULB1r5222iZjr+ErwtACEEY6/f7k5OT + yysr9UZ15+5dH//4x84888xytTQY9nuDISJMKInAcM+lAECJyMVG82CvyhJDbWywd9bXRw+p4+1G + xRKurEvVhv6b/TeZFEYrjxDAFFMOOC2WC2u9tUq9Mgh7cRoTLAjhIlfGEEoxxhwA7CQOr+caBQBC + 5I7DpjdP5nk6iKA3GBBGrVOG7c2iKFpdXa3X6yMjI47jDKPItiVwytrInDLg079S/kjwkAPCYKPB + wjJt5kvTtN1uE4IKBV/rik7lUA3srzTYDx9jjLVWP1cc0QBGmEqpVQo0Q37qeMxVGjDgIAhEpiBW + JGdMY+4wISRFxGc+Q1RmKoljpY3jM8cniFItU8OMAVsn26JZw8PchCPQ1EhHI24oUzlkqckBlIPA + GICZ2enxsYkHHnhACR0lSZZnQDArFILGiEs9YxACE0WGUQgFCACJMRCgzHAiERikMTEGEEagEfop + 3P8jJZABdIqkggGM0Rppy/LKVCYhdz3HAOnhsDo7MjTx2rA/iHLGPC/3YpEHQVAp13xwe922y6kU + uTYSIWS9Jmzmk0ZuoAhsB4bXTX8BfvrLtA2w/SUxoBAoDAoBMaAReJ6XK9mFHnMdXuRryTLxyppI + UsWCiCHEwlPlSbv80U7N0VqnkGYQa88wnyeQSZDBaHG5tVwqVvomImXmVL0EcuFp3vAjSAXozBGa + 6xhiBEgFQCkZQN8BT3qiZ/o1iBSVypPKk33oDWFAyghhM4SBdHNeowKEgOGx1aOz4+M9aBFAlUp5 + pbtMXMduYA2AMcgKeQPSxloX2Q/GWLtejJBNasgA0lpnWjBGC/UiRwQT6CSrzOVOAyVZFIkoT4Qm + yHFdpQQBRDAmGlNDsMHUUIO1Nv+FjGI11ilNNEZswrt17se7Ljqz6lUoohpUL+ncsnCvO+o3/bGh + iQcqdX2PEK5kYjQFY61g11fUxhhtlAVcpGnKHC6U6PU6K0tLRw4f/sY3vpFlWa1WC1wPGQBtsiQF + bQAZSmkcxXPHjq+trGAAxrnIBMUMI0Qw3rtnj1aqWqkMBgOMCWZYCAHY+L4fJcnc3Nz8/HwYhuVy + ORpEFkcxGAzGJiZ37txp8yNCCAjWWoE1EcboFyCdfzY2mqV+v/+9733PmhdZJxIrTGcTwsakUmsd + huFwGGe5rNXq/f5gbW2Nc97r9dbW1g4ePJimKUII0ENTtkGIEMy0hpWVlSTPtu3YHgTBiRMnqOMC + gNZ6y+Zt+/cf2L9///j4+NjY2DnnnbO4vJjJrFguFYvFTqc3HA5FlnPOOeN2jFgul9/1rncl4bBe + qZbL5aXVlTCJ/+oD77/nwH3j4+M7d+5ECF122WWH7z/4nOc9pxwU2u12FMe1kcbi8tL7P/SBbdu2 + SS3Gx8enpqbSND24tHzxky8OisU8z4nLmcf+2/N/a+vWrSfmT05OTmZZtuv0XT/87g9e8dpXHD34 + gOd5lDMvKPbi4fv+11/MbJqN47hcrQwGQ9fxKXM+9Hd/iyTkUhQq5cyo08/as/NRpyPCMqEo53v2 + 7LnrjjvfcMXrV5dXwv4wCIKg4CGK//Ctf7h58+bBcHhyYX7ugUPTu3bObNq0buZLqB0tbTSiaZ4/ + 7dJLl5eXlVLXf/vbg+Gw0Wx+5Wtfu+iiiz519dVnn332gQMHOp3O1MzM3NzcxU95ynnnnquM2bdv + X6FU5L73w5v2veglv1Or1W644QaMcTEohEk8MTWZS7H37LOuu+F6bLDv+9lwUKyXp7fOcs47nQ5C + qFKveJ6370c3V6uVN/3Bmx3f3b5zRxiFel2RXiOENBiltNZagcIGOv0B1hB4/rbZLZ12+3+97y8/ + Wrnq8NHjURjnqbjvvruPHj6sM2Vck0WZi/i6HK4BI4wSAhugGGNKjDTMEEIoRQwRjIEA0lppgolW + gLRGiGihjQEXcyxRniZxP2pUGoP2Ur/dm5mdLVbKhw4frNRrLucGoVqtxhwaRaEUmdXw2IDYwYMK + +I+M3P1L2yDZMtpauTmEWvOZ++6773Nf/nyr1QKCCpXCWq8VhtFIvUEIIxT/2Xv+x9TUBOEsqJT8 + SunL1369OTsxTOIwDG1NzDm3DUYcxxYMlmmDCoViUDhw4MCObdue+cxnHjx4sFQoaK2TJBFZnifp + 3kft/bPjf/7Qmb1Wyp5NBBGjwEhz8ZMu2n/PytWf+k5nsH/QPlEsbGmtJoQw3wHuJDKZX1q+63Of + ve6W276+bXNjerJ50w/3TUyMMUKjcBiHwzzPsyxLkiSXGSGEc14qlcq1KqMOdz2tIIoiS+jHGPu+ + v+Hs9O8Pznm3252Zmbn//vuUgpmZmbvuuiNa7h8rHt2xa6cCk8uMKqa1VsaYLLNAr0wK6nCGcJ7n + dilnJ1g2Nk7zjZYJn/K5s8xCyyu1HB67pbX/rdaacyalBKSVEnE87A36jJM4TeYXF1yXB4UCMlgI + O/HiVnEbANaVcMmpvxOkCcatzkqcuVpr12PcYXbtZiHaxUoZY7zWbmmtp6amOOeAUJIkWZrae7He + RBsX/yvkj5Rk5mf9kQz8An8k5YtWuCqlpBo9OO/BRErx80UaDFaKcHALmFbdoOmWHcADFWFgIIeG + 4pLjNQv1QHoatAxPJBghoZnDRiojfs3NRNTutYa9EFxNHdDKgDYaP2hH8vBlvqVr0maxWHId2peO + CJkhRTfAQWCAdZbanbXh0uJyvV4PfD9olIvlqgaVJ7EEAdrQwGN+RXGukYFkSE3eoJHLhwmNY6RC + hXPghnKDsEWvwKlZlDEGPSKUw5ABELDermBiAGkwCGsEDnGFEg4NmOevdVuZb8JBUgqK9fGiMQZj + Lale6y2moo8QitOoXClILZQ0FrhgjAFQCOBfb1oF6/sihAFAY0BaYqSRAQNUm3486Ax6d6ztr1Wb + o83agaP37esteQGf2LT1hruvN5qMj46VSrUv3PolQsj2LVszmXz7wGGMcaNWHw6j/XMHAaBaqadp + unDgu67rFnz/R/ffkmXZaHPMK/jf/8n3ESWNRoMQdN2d3+YUNxsjWNJ77jwQBIHDPZ3Je47dgwhU + KrW1rHXz2o/KxZJS6ujyA3kmmqMjRsOxQ8djLcbGm6v9lTseuHm03PQrvuO71HFEqqRt80EbBAZp + tL4kA7AyJBvwDEM0AkIJYKqMFkKkeYqMwRoMKLfAlpbnqtU6owxL4A7ljpdJkecpIYRhhoxBFpZ6 + ygv1l/nA/KqHxkwjLDfvmoIM7XnMHplImSvmsQzEcm+xNFoWXERhxDkuUK5AJlprpDGs6+vAqYLJ + GBOGIWPUKGOMLhaDkWY1GSaMscWT82AMI9TlDqdUS+V4nsxz0Bq0bq+15k+cTKLY8zwLrhNIBEEw + PT1tD2HLtwEARrgQEQZMKY2Hw4WFhU67TQiRuZBSVkplo4Bytm3bttnZ2cXWqpSSOkzbowYBZlQp + 9S8eMw+lVwFAoVBYWFgolUq1Ws0Kn1owoZ08WuCDzURWyLReL1sAP0LIKolFURTHcRzHCCF0ysMO + Y2wF86JhTwFK8kxpbVUWHNdNkmRpdYkQcueddx44eH+cRpjRJEk6vXZjdKRcLiKErFBemqaMUGOM + Ba6Xy+U3vOENHHOsTdjrc84zKf76Ix9eWVmx4zxLqcqy7H3ve9+g1x+tNzDGlDGD0VMveVq1Wl1e + XtawDgV80Yte5FBWcIoaoFwu50Z9/B8+DgBra2udTqdar+Z5nqbpF77wj/1Or+D5QRCsra0hxn/r + RS+M02S11XIcp1KrVWv1vWc/+qInPKmzuoYJFVqNTYzfdOst9x0+FBSLGkySpQihycnJ33v5Kwig + WqXm+z5C6N7993z8Hz6hjI7ShDlcKgUIFQqFDUsr+0lqKZEBZIyUMs0ziUxQLMxu2Xzy+NxnvvD5 + RrUmo/C66/8pjKO7fnK30Appo8AUPH+t3frBTfvCMEQItfrdPM83b9/2k/33er5fKJesrWoaxZ1e + 73s/+P62HdvHR8fAYM7dNI0ZY77v9/v9LMscl0kppVbFIChXK5yyhaVFkeVHjx8TSiGEkEWmYowt + s8AAaDN12mnDQbi0sFikDjWoUi7f8eM7CHd+81nPeubTn/66V706DMPbb73tnW97u0jSwHE3WPFS + CGMMopRgqoWRWmEDyJD14Z2WAGCU0sooowkgTBEYIrUGDaVikCNCfKCG1IrV17/2db992QsHYfiZ + z3/mG9+8ttVZ27R9S7vbCodZuVwMgsACqR6h6yP4JTZIVkgEIVSpVLIoXlxcTJKkN+he862v+4Wg + OTOucjVMjmtsUpEP2i3G2OETR93C44Ny6frvfufzX/3HQTRMRG4PAiu+vAGd8jzPald1250PfOAD + Z+w+vb22dtrm05Y7y+94xzsGg8Fb3/rWD/7NX991113nX/Brt/741uPHjhkApRQ+JfIoAEkpMbWr + bf3kp1z4la98q1QPH3UO+d2Xvvxtb383FHORSwqgZOwweVrTjZKlXTu3lwvBvffee+DAAc/zKKIA + 0O12rSiNfeC63S4hCAAacey6nuv7x4+dGA6HrutGUWRhu/8MSurhoiKNMdVq9eTiwsLy0vYtW1ut + 1uMf//h77vkJZjTPcymF3fZolBGDlZKACaaEUup5nlKKE4wN9Pt9ewRbbCicAoZqrYFgTChCSNnV + ByVYgu2R7PeLTkGrMcZKC4S0QRIwIxwxhxZKAcK0OTpGKZVSRHEiRWZPCmOSOFOMPrhBehDRbbRU + Mii5vu9ThgfdQbff0QoopxswNvtDHMedTsf3/VKpRAgxWls64IZGNjolZGd+RfyRTCiEUko+6I9k + fpE/kqYFat9LKcURX0c5WlDKz5+4YKwY1Y4r2VRh9HS6BYM50jueRVmINWGkzoKdhdmmU1Mg8yzq + yuFKp1cbqzVx3QcvYz6v4G5Mw7yHNUFa58Ssg48NtvCth/WAUiMqOpzCuOYw7GWjRBodV1CFc484 + VY2ZIW6z7FaKhSSJh1lMjHQcNFhpU8zSTDI2KpmbEC+RMXJg3NWPCiLldVIYtEHME9aDUlcHGfYe + KfOnnwmDNKAcgQGDEGAASgy2wwKCKZUiTBMA7EG17ox4xTxLQ2yiPA2R61f9klMNim410RmjKFM5 + IpgABetphAHAaK0Aa43gX6c0rQ3CYECjdW1Su0TCCgxAoVikRcevNBAiiurxTeOTTiOVST8Jt5y5 + RUmUxony5MzuaUp4Z9AeGxsLTUgp5TU3iiLHuIVCoVSsdPpdJIjruEprXnUc5Cpuci5qM/Vc5ThA + BkxtpoFB04JjlB7ZXMcYu64fp1G9XOMOxYhmWVZ1y4HV65cqTTPX48ZAFmZcGeVIznB9rOZRxyu4 + CaTtXssp+MggCQoBEKwxGAPSYNAIg/U2NevYQgCMDShpMpUARtxzfa+AQCktkNKQ51ubs5TybquD + lHYcj8qca5UbMFoiYp0QEWCQgAxG/w+8wn91AoHK0xiTOCiw4kgFYzEYdhUyFHFe5CW/4DtsIHpY + poHvU5nnacQ52SCO/kwvkWVZtVSVuZRattttTEAL3W21y+UyRmh5canVanHOXcqzJGGEAoCWqt/t + WrA0AGyc6o1GY9euXVYHeXV11cpDwymEuQVpR1EkhCiVCpYLBACe522d2jYxMWFrD3uFGgwiWGlJ + KBVS8H8dC2lj5hiGYbVatdQjmyM2yBj2He2NW9K1lDqKUymVbaIYozabJEm2jvgwEgBsKsnzVAih + wAzCYRAEhJAjR4587nOf83xfaqWRPjZ3vN/v51J4gbu4snzGGWckWVwqlUZGGu1uZ2VlBQBvyNPZ + EaHjOHfffXc5KI81RrqtNud8647trVYrDEOlVBRFBw8ePHvP3nU18GZz7ugxxpgfBIsry/1+3xKH + pBadTgdjfOONNz714ie3222DUJIkwMixY8eAEqWU53lhGGZZdtMtNxFO2t12ksRRFDHXWW23Op0W + GNzrDbIsO3bsWHfQ/+73vv+sp15aaza542VaDvPs4LEjiyvLtdER7jqe52kjV1aWms1GmqYn5k8Q + QprNZq/fP3HihG0vHc/dtGnT6MR4sVyKkoQgLM2DwsL2yxJCCoOkUo7vLSwuMtep8wbGuOA4iyvL + hWIRCOaMUkIY59FwOD41eeLEiVqtRghJW61Nmzd3el0DoIzWShFKF5eWyqUSYfSqj30sjmPf91dW + 1kqlks3sUuYAYBWhkiTBBDXrDeY6/U673euKNJucmbXSUBYso0/pQiljCMKO47zzPW/vtTutxeUX + X355GsUjtZGzHnfOq1/5qnq97jOv7Be+1+vLNHMZF1lujNFKgTEl13dd18J/UiEBELc1htIIIWzF + PAkBAIoAY2xx15QCQiYJIymlyOXSyUXG+TmPOXfb/yHvzaM1Pcp60eepqnf+5mHPPezuTGQCgkQJ + Y+C2R4dtAAAgAElEQVSo6PGQoOsIioByDyG5BIleiByOQkS5agIHxQuSqEgiCBiCYUzCZBIEgmQg + Y6e700O697y/+Xvnt6qe+0d9e6cTBgkLXXCs1Wuv7t17v987VdUz/IYdi+M0uuh//I93XPGO1d7a + vQ/ed/MXb/7y7V9ZX18/7bRTTyQgbb/2WusflarSkzoKI1D/3iIN+PiYCbfEgKVWUsooiW3bDkdj + 5PylF/xya2a61izbriNzlSRJnhRCWIEbmAJ/qVQ6evzojl07x9FYa5kW+a5duz7+8RvyPNdKEYBp + lE8yJamMxOQb3/jGZr2xsLBQq9W++tWvAuL8jh1f//rXX/e61y3s3HHN3/z1Zq+LiOVSybiwGZnv + rZK/ZhY874Xn9Qfh+//6fxNjp5xevvkr73/uz7YZWgKFxUUch5zAsqycho7L3nvN+6bbjfN/7r+c + eubp3bDbmJou1ardfh+ZQMYc25MkA8+Lkmx46IjtumGcVMo1s+QFQUBESZIYA5wne6u/6zCTpBKU + PdvL0jTPsrvvvOc55z0nTXOpizTKODIGHCVYjCsCxoSFXALKNOv1+7Zt1+v1x1TsiHALULctWmBZ + E8C0IZWZ5Ri31AVMn8TosBGaQiDXBhCmAYnFYVQqlUa9EefcEsLxbCIyhpiWcIAsw41GAgBioAGM + /Ty6jtvb3AiCQMui1aiPRqElGAC4rjvh6tRrpt9SrVZnZmcBgLQ2/caJwOiPoT8S4Xg8TpIJXtTk + VPhEf6RKHIdRlDR21mZ2Tbu2LXXKADUBKUUCkMOW3PYWxZw400JoiynPlx7r5f2l7qMcZJguhZux + KxsLLdBIfdrsrG70j0mSa/GKO13b0zo5GWfd4Wbu+nmWdUadzElL7fKIpCCpARWAkc34XgSkE8Fa + 25QVtrUOELBMKeLcdXzbhljmqU5UAVrlBSqwUBVaK4bEHeE4tlekQ8+1W82p0SjSnCaCIcRIgtaa + IyBoBKkgK3JVkK2ZTxyI6a3MArlGBsgUaSRCUKhNusBNzwC3XvFJT4zhFgRNIymmjV4CI+CaGbES + QgDi2kRCqBkRoN7irjCaREiTlPUJ6+32PXvCnZn8JGlzPlsPUQOxiX0UoMVdmWGlVmPA+/2RbbuB + FzCdKwa+bRVFEg7HABRnWblcHo9C2xEWY1prBG0mryKFW720HyRQRwJCIkJCrRFwq/+kESKZUp7a + bkmhjOPI9hhK3R13683pscySUVjyy4qycTRs1Jq1Zn2ls1JpVgutNsY9x3GsqhsWSRZLsECSFAFP + 0xSR+74/jsK8KOyyrXJdsFxKaZUsWWQpZJIkWZDnCTGWYi48oW0qijSBpNmsDwYDTMHzPG3pTjh2 + Xb/crlAa5pSFo3B2tiUkD4uEHLSYxVAgAJ/YdxpsByNNwJnhHRlk3fZdQkTf8RVQFmVJHjLGXMv2 + 0amoar4ayyKfD2YYoEo1CsogK1guQUnUBdPISeO28/JPRCvzRzYsCx2bp/28gGxzs1su1ar1+jgL + x4NRwYtR1LFsVikHnEEyGhuZbIacGIACIgJNoAmIbORgMSXlaDSamm4Li+V57gVupVIBoizNqqWy + 53mCcSllFEWNWl1rned5kiSMMcdzDRYgCFytYG5urlKtIsDyysrM9HQYRWb7N1lKlmVZlgExRLQs + R2sQgo1Go9pC/fTTnmLZ9vr6eqVWETaPZc445xyLXAtNTLPvE5EhfhcXRMPqMcTUMAwZY57nmXMw + heAwDB9++OHhcMg5Z0wErptl6Z13fouILMvau3dxbm6h1WqYM9dbFkNpmna7m1k2SJLEVPTGyRgZ + 2//Ifkl6o7PZaDe6vV5rphWGIQruBe5DD+/buXOhXCoNh8NoHI6HI98vBZ4/HA6Ji8ALoijySsE/ + ffpT++574JSTT0aFS0tLmSyEa2sAcxxE3Lt3719ffc0bf+eyPM1M98nzvE6v+5SzzhwOhxooywqj + VPzFL33p1ltvpYKWlpamZuf8knfw0COnn32W7/srKyve0FtYWCiXyxf+8ktn21P1WvO+e+896+yz + 9z/yyPTcLDHturYh/e7evftzN990x9e+nmVZWkgN4HiuAnreC56rgcIwJKJ2a3rfvn2v/q3XFFlu + 0Ea+78dZ2pqaDirlXq8ntdp76ilT87Nra2sbGxtEZFgP3BhVMa4RiBCVcrgwHlD9fr9UKgHBqNev + lStxllYqlW632263l1dWWq1Wt9erBCWSamVltd1uZ2mqClkKAsdx+v2+4rxertiWnadZZ2PDsqyc + sZLnoyYlpeu6zLWTJJFbsrqcs35/cMopJ2+urZdKFbflrq+vl8tlpTVoINIAzKiUI5DM82c+46f2 + 7t1rnXTyA/fet7S0dMrek3KQru3ceOONi7t2x3Gs8+Kaa64Z9gfNeqPIcwBgBIHvz8zMtFqtPM83 + Or2VtVXQgBwMkZoDKiKTnwOAYS7ILFdAwrY4R1OMnm5PKdKjUXjrV7509913pqp43SUX5SqtVit7 + 9uyZmpoqeX5k2UmSqkKqQmrGSWkwiqIEjDGlvsv+tEUpfnwTntj3EVfYBpDTD/AVJqJEE2rHE/2K + Jj8w+WrO70knSE8wj9ze/okoTbJKrT4cjy3Pvfvb3/5vv3JhnMYzc9P1en0wGIxGozzLGE6ay3rL + 8ZMQDMXaoOCiKHFtlwFoACQCAkQOBMS4bfM0zwGxM+h3Bn1zTUgwGI2a7fbho0cPHz1qTsbmVp5k + YPSULXBdL45jRFaqBO/6yz+bmpqq1Zqy0FKS4G5QFlJKBELKNYDrm2PkGci80E4j2EiGvOxe8+G/ + y/P8vvvuy1ETZ3GactuRWVZInRUql8rzgkJJ1/GNc475dJM9G0W+7Rt14v18UvVGNAmApDTK2vVW + b63TbDSWD6/Mz8/Fo6TT65StitY660WOENVSsLa21m63srSwcq0pb5armZbr6+s7F3dvdDYty7It + azQY2lxUKpXhYFCpVDqdjluvGwlRBJhqt1dWVnzfHwyHQohms2kgs+VyuTvoO44LYGnNk0hXyvV4 + HHq2p1Q2V5/a9dRzEHGz02tNTY+HKQpHE5QqrTDUgltAUsuUUW5bYAldZLHnO1kWuZ6zublOjL75 + rTtarZZgAKRMumJQlKbWlef5sWPHFhYWjGaDgfxtl1h+vPyRahXGUapCSmnZtlKqSHP93f2RnGgc + rx7YxEK5KKQsmCWAYUoFQ80FABBjPMsUaMzHxUKtRaF126e/Wc6DBVEr1ediy9pY7zy8/ugmxc58 + HTnsLrfdsqX70YEjh45FPVVygrnGTGuqrVzCtNPtfu3+rzuLwYte/gJGwziOLd+u+Y3VQU8Iu+TZ + 4Xjo+66UUk3muWYAFrOLokDGDR1RIzAgDcBJF2gNRDWJ2VKc1vJ8VdqWXc5i2FjbKKhgHJmwuOUw + Lm3bzdP0yOElYBoQjix1OMHexR28CAWXVVdEKWwU1l0d6qHKS0I5tZD7BAGnwCZWoC5QSgYcBFeC + K2YrICZToRTXBQck5ismFFO8INTENACABk5CSIsRU0znQuVCSqYAUGjmFEJoBiiBmAJHgVGiV4AZ + A4lYADEEDiAIOACbeMLRY2ugRg0IhKBPWHw1ACM2seYFRmBtC6kZITtzKEUaAGyPJ/kYiVkuECSF + 1ggcvUoskRDK1RoB+I6j8tx3bdP3RUQArif7BEd6HPXo+6wz7EQ6DgIjsJU5MwYAihN4kGAEAFCG + DKRGcqv1cSFd2wPMOCAWqiIsHUYguAUiywrLc20PMiWLIrNsriAXwnK4iPKQkEjQOB+ijRp0mheE + IDUAA0WSCaZIaYESSCIrBHDfTuJEo3S4YzGmpSz5vtH9tz0XbY4Oj4pIa4mITtUfqBgBwAIFxISl + C40IFhQAwMGkjYzQgHsN2I4UM7p9ihBIQ5IklaAOigT4Ljo8g7u+/M3/9d8vf9lv/arFLCCSSmU6 + /adPffKWu2+q7WxELIp0Qkhy4jLL6XuXFf6PHAoBhciAWaLEUrtJU21oJ2tRSXgEaWbJSq0Wqygr + YkTMSFUr1ayIhS2GSdxqtrNIRlHkcOGRRVI7wh0OhqVSWSkVjsZlPwiEF4eRUipNU4HMrOEM0PX9 + QkmttdRKESnShAAMpVaa6NyfObdcKsVpxBC9wC1UnuZJ4PtxGk2UddMUiNncZijiKEXEURzu3rn7 + ec95blEUMokcz86KFAUKYEywzV633W4bBKAw2EwiQCCa6O8BABEgsixL0jQ2m06app7t+GV/z549 + Jo2p1+umiAYAeZ5Xq9WNjY1jx451Oh2z6TCGcTR2XZchCUucc87TFxd3RVFiWWUDxjZlSlOte+iB + Bzc6m5VaI5cFMmq2G48cPih5PaiVyq3yMB1ZJbsf9l3XTbKIMWy3m9VqBQD6nX5RFJWgopQq0sx3 + XCDKi+zOu++86OKLiizniFmS7t69ePjw4WqtRgwNdRyRlpePVyql3/uflzfq9SiKSqVSFMeIqBF8 + 3//n226VUoVhFMbxr7/qVZyAA5peUxQlo9FgdmE+jKLP/fHnGGOVSjVN08svv9wR3Pd9RN4d9KvV + 6jiMCeHo8UeVloxBt9t9yX/9pZ955rnTU1NhGLqe55WCtbU1YFgqlT7ykY/Ywu51enNz829+8++V + SxUAsG07zzIASLLE9f3P3/KF1vTMoaNHHn74gOO6YRgGQUAMfb9UpJlCCPxyFEVBEERRr1GttZut + xcVFzvmD9z9gyPCtSiUr8lN3Lyqg3saGzTlpdfIpJ3FkzUq9yDIiOn78eLfbxUI97/nPGg6HtafX + vvGNb0RRqCXlcfzVr/xzuVx+9NFHd+7cnSQJEhjkiLESKZfLg8HAdq20kI5jcUvEacIYu+2rX/2D + t/+B5Vqu5VqCk0YCRQoIyPPc48ePffvb94SjUbVc/dj1/9jr9E866aQX/+J/Pe200y0uEPl9D9+z + trpRqzXW1zf37N6b53me57brT83MLSwsdPu97nBkIERaSwAwHsoAAIDSkO2MOLBAjkikpATkTKoi + zZM4jmu1xjgacYHlwPvQhz5YgHrRz/6XjV53bXltPI5s23eEI4izgurNGuUaFPiOL7ORKqTxlNh2 + CjVJPjIsB/7c3Izv+4cPH3Y8NwzDlbWNRquZZZnjOIXUo9HIDXzXddM0sxifxGmDoXDsaqm82es2 + qrVCqzxJbc8t0oxZggEOw3GzVmcM0zQV3DZMe+HYUitzZEQkpVEDR+DIiEiBQs5+mA7Sdy2ROY5T + qVXH43F/OJydnuacD0bDLMv4piiKot/vj0YjkyecqJ9mftf8U2u9XY7XJyhTbSOVtz/rxAxt+9vf + mWSyre6TafNJKeM43XfgwAP79xVZIQtgTHDOSaosy1zbefwlmj/geY6UOfBJM7rf75fLge26fqk0 + HIyYYJZwkAlLQF6ox5/mj34QABUaNJVc7xMfvb5Rq0kpDfxaKZXLQgjBTQVZ07DXL1cCrXWhpV8K + cq00wwcefuh3L3/zwYMH681GnCQURbVK1RHWaDj0bEflRdkPsjhpNBqm7ba2vBJGoWVZszMzWZ73 + +32zvq+uru495eSllWWLoR+4gllFnDMkpouZmek3XfbGYX8kC5ie2ZWkkKW2V2onEQzGOeO+53kC + c8TIcyTJ8erqwd27pqOwT1A889xz5qfnxnL8/POfJwtJW8o/KAwyAbabNsjY2tpao16fnZ0dDAbd + bnebtKZ/Iv2RJowvbjEkxkABMBO6aQJAoCLTRJIxxqyyWym0igbJ8XsP3fzRzzlju5awJguq4Iyj + aDUfD7DgrapUebnACghfYVbI0MLM5SNKuKJgpH2yStXKo+PVpJmuZes/+2vPqVZrElUUh6zgjDgy + CphjgdColNLM4gAAW75ktOUkC6CNDhgAKAYZs5VmeY46UVEBZcu2HZe4CDwehqkqcuA6KPmkWaa0 + 4/oZJKBUs92iIvf9QAgRRnGv1wGEoYYNZg+tILcsxahA2xU+JQhIxAkYagZApABtDUKjAgZQaAaS + aaYBwGITjr6pt0zSEk6ABCajIKMQAoRbXR1GpvmztQIQbOURGsFcKJv0kbYrRf8W7WRSNiMAYGpy + cPOpW7P6sXbP43gsBDBZi77LqvI9I/EnFaOf+MNcA9/qfZmU2OgNbF8eIWhQiiRaRJyAyHKEliSE + 8DhTyLIkVaj8kpcXYFlMS5ln2TaOBdljMl/f62I0kNRKkWbGc9NyBaFiPE0zDVoIUa5VmSXCJB5l + ESIKxhmABtJbyu+IiMAJyFzB1geYfuC2cL2eiDSiueEgtbYsS0vJNSvZPsuBFVDlVRuckqrY6OR5 + 7nKWR5sOuDplMgMFoG3UjCNnCoEITT3vP0+OxACKOLU1BdLfGcw2/fqCv5BBBiD70Ns/fHhcRIQs + 1cAcQTZLkoQJ0FIBQJyljnADz/Ndb/PQ2nR55pKLL/6NV7xKav2KV/2GQJbEYXdzs1ap+L4vk4yI + LM65JUBqAzwGAGDMcmxTgpRaC9tutVq+79cbDQBIkqRarZpV3bJtpTUAFEWRpqlBlwAwxgQAtNvt + 6elp2orVgGNSxFmWWYFju061WvV9X+UFACmpJtq4DAEYbC37qIExxi3LdV3XdZWb51lmCcsADbY5 + veZzTcCzvr5+5MgRI8bgum6WZVLKcjkYjUaVSmX37t2VSgkAgsAz5J+iKAxE/NChQ0ePHk2SxHNc + R1hpmmaqiLvR7Py8HTgHjzxie269WculhAIKXRBhc6q9Z9fuXq938MABRPR9X2sNRIJzIjIb0wev + /dD999//mc98ZmFu/tyfeubtt99eqpQHg2GhpOe45XIZAJRSL37xi01AXyqVciWNumwYhqNwXKlU + sizbs2fPH/3RH01NTa2trNYr1cD3u92u67qO6x49erQ9M+0FfqPRWF9fv+iiiyrlYDzoB5Xy7Pxc + GMYbm5u94WBmZubIkUeDIKiWyh/96D/c8PHrK5XK7NT0kWOPxnE8GA0XFxf7/f7i4uKhQ4eKLD9+ + /PjPvvBFO3fuXF5edl3XhA3zc3NSqZXNtaBc6o+GlmVVazWTlxr3DlPfNJhM06+rVCo75heu+9C1 + +/ftA4CT95502WWXHT9+fLPbqdbrl7/pzd1u9y/e//+trKy0Wq3X/OZvTU1NFWHCAGdnZ++4444r + r7zyhc97/h+/44+IyHe9v/iLv/jIdX9f9oPAcRnii174wna7rXK1urzyP3/vLaurq5/85CcrlYqp + 25YqZQ3Ubrdfc9FrV1ZWPnjth3YvLl74Kxe02+1MZlmWyUxanAshDONUFtmdd/3rg/feVyqVhv0h + EWVx5nmea3tf/vKXd8wvaK1R6lqttnT00UajYcq1JjqK02Q4HsVpIvUTJUf+TZsgzrFcro1GIyLq + DbqPHDk8Pz+bk/rmt75JnN16+2290XAchRphYee8lFpLaby8qtWq57hLK8uMMd91FSFs2YIZ23rO + uUCcajVIFUWW7F7cub62ORqNSmVfCLaxMfQCnzNrem7WsqxROM7zXPh+kqaC86BSDsMwLXLP84bh + 2HEcy3WiKGKMlR2nKIpKpTIYjzigJXicZYyxVBaYpaVK2Q8CU4JBAkGMIeMCOSICEukfGQfJIHq1 + 1tPT05ZlhWGYpxP/4EyqTKpcaQUIyDQSARZ5sZ1M4Ja3MQBMIpcT8qLv3FB/QBqsKeRsJ0gAkMR5 + qVqTRY5UuA7nHAGJ2TIoWXryrhh1HQuImfZakkRS5hOtM9SCgVkpxuMxAJTLlTRNwzAKgoBI/hD8 + syclzc4IthkyiPjc5z43T7M3vuG3G43Gu9/97qBcmrBipHItu9/vX3LxRV7gv/d9f6mBMiWfctaZ + //3XXua5rpG3LopiemZ2MBikUezYdp5mlUrlc5/5LBEZx+tnP/c5rusevHP/ysaauYGdTuc973nP + kaNHOeerS8vVmt8ZrCuwLGbrQpfLlTxOjhw9YFn8wx/+hyOH1hu1veHYDUe+7ewoeQudXlpvNgbD + DQbj8ehoq40L826ULM3Pl/bvv0eq6Mqr/qTZrlnCCsex43ujwdhxPMuyFBARqS1FBETURFEUCc49 + z6vVanmej0Yjk+3AT4Q/klLb7C/Yes+llFrL7ReciBAZI4MJtolIIcvSIseCaQ4akyhRhVQ5JQkM + iiJVHLlwXb/CiVBI1FjkWSERuG27viWY0b0Dmm6Xk94YFNTKtaS3fP/d97/klT+XFVGSJqWgttCe + 7w66ahC3GvVBMnZtS5JCRACURAwkR0YECICgT+QpMQKhpSds1+UlxRxGNhJnGkFG48K2IagE/W6U + 5zlDLqWUMgdQwCGMoiwufC9Ok9xyrFJrOk1XtIfa19oh7WaFkgo0oM+4TcAYIBIwMKgDpZErBEJN + CI+Jt6HWwJAYEnEt9ATVafYAg6NDJMGJAWr+ePFerieNeWKAE60tMUkITbEaAEgASEIlJ0dmk4MT + gxOwW4y2Tuk7Z/p3YQpNikJPYlH49x/bZ6lBM1QSQrBkxhJF3HKtJMx8bmkFiKTzDIhs38mTzGJ2 + QdtZ5Q/2QQSesJkkW6EqSCcKGc8LiWBrDcgoU+S5diolCCFzZTSdjTqTWfp+iKszMQFjzHWdPC60 + gpznMsurXqm90NaAq9GGIxzLsogwdzWBFWNu8yJnVLAJg0sjEAES/pg9un/fYSlooWfH2ovzusyn + Bcz5XIMrAFchWos0WIrbqAuJaNncTmQOAGaVNquxQXFPz8689tde+/SnP/3Nb35ze3r6T//0T1/1 + it/odDqtarMoCqNtDduenlurpQlqZ2ZmAMC0khzHmZ2aNWp44/E4DMNyuTwejw3rYxtZYNt2s9kk + ouZU24jLTbUaC3PzzJAxOAOkklOK4xhkKvtKAZkGDmMohCBAqc1Sg7B1JhwYEQnOrRMGZ2aVm6z5 + Jk3atqA5evTosWPHxuOx4zimamYiqCAIFhYWTjrpJOOuse2VVC6XtdbLy8sPPfRQr9dzHMdxnM3N + zUa7RahXN1aRM0VyZmq6UDKKIsdxjOrZ3OyC1vrYo4/SlimT2d3MaZhHoLWu1WpXXXXVX733rz76 + j/9w3XXX7d69e3l1pVQqVeu10WC4vLw8PzsXRdHOnTvNJTcajSvf/a69e/dqoKuvvvpTn/m04zhR + FJkt9ZJLLvnA+//qyJEj//frLv6lX/qlqakpALjuuuuu/fDf57IwT7/X622srzZrVUQ89dRTL7nk + 9TfdfPPHP3G9bdv9/lAptbm5OT8/313vnHLKKa96xW+ccfZZN9xww9Ofcc7i4uJ4PH7Pe97T7XZd + 2+l2u7v37jHgjrm5ube//e2zs7OMses/ecPfXft3YRwJIYw2Q7fbrVar5sKzLEujuFQqmedi3rS1 + tTXP9l75ylfOzMycdtppf/hH73jZy16WJMnlb3nLec887/Zv3H7gwIFSqZSm6Tve8Y7xeGwT813v + 05/+9Fe/+tVms3nFFVdcddVVN998s+d5119//T333HP//fePB0Oldbvd/vsPXXv80aXffNWrf/MV + r+r0Ojd+4gaV5ah1u94ggFEUhoPRs555ruu6G2vraxvrC3PzK8vLTuAahhgppZRigIwxjlCtVuul + 2tTU1P59+5/3vOfVyrUkSb78xa+8//3v37Vjp+M4DopPffpTl158ickQjBjveDw2b12hZBiGT3bK + bxtR1mo1y7Ffdu4zf/3XXz5Kopu/cPO+gwe+fd+9C7t3RUl86OgRx3HyPA/ThFuiN+jXmw2pldS6 + HAQawFBOtqA3KLZMaIbDYa+zeXTfoZOf9pRGo+U5LjCURdFqtWzbLgqVhNFSt+v4Xq1cMacxHo0M + h2U4HBqrTLNWmLk2Ho9Ho9Hu3buVUgKZ77mGTh+miSH+FVKaFQM0MT3ZTRDRiBv+yBKkUqmUZdni + 4uJb3/pW33XjOLaFVSgZBH6lXhsMBnEcm6lo4k7actXdZmVMavDfDZsIj687Pg7j9z3Ox8AojQib + bdsmr1CS0tyArxgXKGUqVSaYtm2rkBkAbFECBAAHYgDMtsV2W1zpQkrJhJiamjKON0Zez6yeUspS + qZRl6Q9+034Y4yqLObadJWmr0VjctfuXL3zpa179mkeOPMIY48ikkgwxTdLAcUtB8OpXv9pzvVu/ + etsbf+cy2/eu/tu/GY1G4/G4EpRsYZGte72eLiSaYlup/IIXvGBjY+PSSy/dvXv3XXfd5bvezt27 + LrjwAkS0LOsPrnj7/v37b731ViHE7NxcGIZFkXGhLVtz0IJzZNL22GgYK52Ox8P3/uX76rXTbv/K + 4bvuWD98IFfZFEVxgkHVW+BscNYp537upr++5LVv+sIXrvvDt/2e4+Qv/ZUXp2na7w87vUGjPp1l + hSVcwS3OudZqWyYSTCFEKbMcLy8vt9vtRqOhtTY50ja47ifRH0nDJF8ysTKZGauRcQYckUSi8izO + HfLKblCr1G3GbcYD3wmkhSlpYDa3FFNRmHIkF23XYqAgTfMszgtOaHFZZFaznjE+Gka6RFDAcKOf + xplfKskCos2w0ZRTWPdLDde2V48vl+aqgjhpUAyBoSYC0nhCv2U7FwAAkKlAbSNzOVlMgcx0zkEW + JZedctrJMzvmb7/9jkrgp2nBGFNS2iX2Sy/5b3kMD973sO9VknwDHX8UbRgPPyFTTiOhI42aaWA6 + F9yR9JisCJIiROSogTRq03tBAECtUSsGnIBpToAMTaeBaVSAaKYe15NekMGbbbOVEDQnvZWCMUCx + 1dUx3CEAYkhAjClW0FbqBTTJy9ljhbgt1PWTnug/joMRINeaNAqSJKXU6FhpLgOL81wFtlcWdlFk + PAYWF1qjRuV4npo8K9p+z7/PR3ANNgkuOeZg59x3nSTWQRCQ4MRplIwpgXEYlupl20JhMSzkDyXY + weDxfF0UXCPkMnNsV0GRqqTMSujCA90Hi5JGrsC1lJIa2D2D+2Kel12uBCpOEkmTRuKTXgL9J+Gn + OOoAACAASURBVFKx4xowzr1CVJDXhF227ACEpFQjBWDVfF8zJVGDJlATTp9SCoH7vo8apZTcso4e + OTLlTp955pk33njj7bffvvfkk9/8psullGeddRZKGI1GWZYBTCBJeZ5bOPFmICLOWLvdrtVqyFku + Jee8UW2MRiOzlrqua6Ilox4OW1gVy7KazWalUsmVdBwnSZJKyS8HpXAw5IxprdMi8+slz/OCejmM + I0na7O+OYxsnDBM/bYNcwNDogThMVF7N6Zm13WxbhlNgEEFpmvb7/SNHjhgLEEMuMP+bZdkZZ5wx + NzfneR5jzEjwmS6HEGL//v2HDh0aj8cm2VNKlUuleByiwLm5uVPPeMp6Z70z6D16/JhfDbIss7hw + Su7c7KxS6r777huNRvPz82mabjtbbPOKiajVapWc0u9c/ju//vJf++AHP3jbP9967d9fJ7Xev3// + 7PRMu902zbfLL7/8jjvu+NjHPnbFFVdEUXTJJZfksrjq3e+q1KpXXXUVIhZFkSTJyy98+Z/9yZ++ + +MUvftOb3vSe97zn9ttv/5lnn3fppZd+6vOf7Xa7RhCi1Wg4tuh2N9///vcDwzCKfvqnf/rcZ/3M + N7/5zQ984Bqtte+4x44dO+uMs9773ve+68+uvPOeu193ycXvete7Pv/5z1922WW///u///M///Od + jU3btv/4j//4z//8zw/se/htb3vbkSNHrrjiipmZmd/+ncts137/B/4qLfI8z5M0Nfff8zwF5Hne + GND3fSO2nqYpArTb7XE8TpKk2+16nmfQ8q1W6+yzz/7SbV9KkqRWq7Xb7SiOB4OBuYFnnHHGysrK + Zz/72cXFxXK5fMMNNyCikWgybLe9e/eaRS/Pc5XmWRQDkGfZAhlqQqltz7YsizNGAK6wa3717DPP + HP3LYNTtn3LKKXqrJT1ZYjQppRwufvEXf/EVv/rrcRzf8fU7XvjCFzaqjTzPv/zFr8zMzPR6PSJq + lKubm5uWZY3H436/v10CNtJ5Uqs4jh3LhiczHMcxbO08zzc6m3M7FuI47g/6L3nJS36Fu6v9tYL0 + pz/7mdGN/2TZIk3TTBYCwfLcarMxOr5cKpUMg84cwRSmBXJClFmeMzm1a6FRqaytrFpcVMuV8Xg8 + GI3SPKvWm+FoTISO59ZrNSGEbVlAFI7HWZb1+30TTTHGTOnf9EWMVKCZ4+Px2BHWeDQ0z0IhNBoN + bonNTieO41azqaWCQpPakiMHpB+hil0YhnfddddNN900Ho9tIRhjnuPmsuj1WHk82k6QDMV/u9C+ + nSCZbyKiQL4dB5/4l+2ewJMa5gjbkSgCd11fa13oQmsJSAAaUBJNWM7bryIYOhcxKZVhjAghNJDJ + wo8ePpzmuanEcM4ty1FKIVJRFD9EzvNvtjVP+FEgpTmQJrm2tqJVcebpp2V5FI2H5cDTqiAlq7Ua + aMk5JuG45Pq5LsIwPOmkk0ZxdOzo0bOf9tSyHxSkZZZzwKKQruO4rouasiQ952lP31zfOPXkUxhj + rVbr4YcfNpvT059xzhve8IZ+p3vDP17faDQAIM+yVrNZqNSw4NMsdW2329ucajbKFWcQdlvTNdez + bNt+6tmnby417vvWg4HXnmpUBHeipBNn8pgcUhE8+1lnPOOcK2pVj4v0ZS97he+V55o7GQRpBIXk + nlVPkizPc2Kmx8hM5Wm7/cIYS5Kk0+m0Wi2j/2a6PT8B/kiPH5M5yVBrqUFrMHIOyHCC3VG5KrSy + bMuzvUD4mFuQYZEWlGsqGBJybmmmlVRSaobokhCc2RPBLmKMW9wCRmiJUaLC3ti2Hbvij2AMDKYa + bU948TBixHe3dp/ln1EC2wIdweiLRzdLzYpli1xrRRI4kgZNik/4jsAmfkmTMJWBhEJBgYJypjUV + GSfbcwSA7HU3LdcZj2OtdRRFUhYMqIjo0Yce7ney40vrU1M7VSG47Qrt5D1wo6wV5Q5khZ9Jh0eg + SOdSSQ2IDBhpBG064RIVQ9BIJ84+hcRRmxqQsXVCYIDaKPUqBEKGhEIxAG54Q9usfUYaQGmDdiOm + cNJBQtjSQHts8mrFFBIaMJwmwQAfy6NOzB9Bb4H3vmvv6PHzHOA/vo/0nWvXE5UejGQFKQ0MgUsF + HGyHvIZoynFoxYxL1IAqVTsr85FKRjICF9UPLOyGhDJXUBBT5JLb9GozwdTR5cOu5FJq4lDiXrlc + jYpIFEwjcs5OrKd9V7jBE8YWhPJx120eUpIlBchq2eMSWYYSi2Ex+so9/3zeS57TrDcRudZ6rb/+ + tQf/1W7YqSgyLjVHQqLvvFH/OQYhWK5nWZbI3JSrsY5DSArMFegN3VeuiAs1yJPCZmAzjVoKRoAW + 41LKMA4bbvO88877wsJNZV02Ktj1en00GhHQ85///C9/6QslJzAWDlCo7RhgK3wB0wdgjPm+zwTn + ea61NuWqOI6NAWuSJI7jTDSyT0Bfm2wEBTfQa61VGIZKKam17TpJkkiuTX0ty7JKvQYAupAAkOc5 + gVJEwBBgooNmboYpdBlfiizLlFKMbdmfEG1nTVmWGWujwWAQBIER0DP4umq1Wq1Wd+3a5XlelmUG + +20CJFNoe/DBB+M4tm3bbIJFUbRaUxsbG+3WVGuqedKevePxcGVpyXGcsh+MorBZq7emp448cmgw + GNi2PdVsra2tmVJgURTapF5EWZ7bltXr9R5deXT//v2XX375U57ylBe+4PwvfvaL113/4TvvvvsL + N9/cbrbWVlZNTfCcc87527/925NOOunnfuHFiJhk6S233DIYDIJyuVmvLy0tnXn2WRvhJhN8x66d + X/36165897tOP/30W2655S1vegsAOI4zGA3n5uYuuOCCjfXVW2656aKLLqo3G6+/9Lc/8YlP3PXt + e7TW43FERM1a3bS8BLf+6dOfarfbl73+dz78Dx9ZWFh4+x9eceGFFyJitV5jjK2srJx//vm33HLL + KU857a1vfWsYhseOHdu/f78QIs9zZGgsHLfBJpks5ubmfMet1WqGjayU8lx3586d3W73Q9ddF8fx + M5/xjG984xtTMzODweANb3jDhb/80uc+97nz8/O9Xk9pPTs7Gw5HJde/+OKL7/72PTt27ewPB8jY + K175GzfeeOPUzLRgVqVWDYJgeno6TdN6o0FE/V5vz67dvU5ndXkl8PxSqRSFIWnSUvmW0+33wsHQ + s51wMPIsxxKiVCrlujB9yIkuOaIQIhyHRjMwiiLXdR955BFHOK7rViqVD3zgAy943vPH4/G3vn7H + 1VdfzQls256amjJJoJkgaZoywR3H2UZs/YDDGDSbxL7RaDzwwAP79j14374HL3jpBeec+8zZ+TnL + tgxXPE3T48vLlmOHcVKtVqWmzW5HME4KOBeMQBdSA3LOkQMpraViDIedwcx0+6efcS7jvLvZ63X6 + QRA0a81xHHmOYwlHI/iuNxgMxsOR4zigqFmrx3Hsu24G2bDXr1QqrmUbFM9wOCw4D4IgSZJauWLb + NsNJ0DiKoziOvcA3rZ08z0ET04BaG3A2Ak4AuD+SYdv25ubmRz/60eXlZSRyHEfmBXI2MzNdrlX7 + /b7he5hY88To0+xq24gm9iTShe83TCRtulInRsy4BVWXNOHTE5FSxWMJ0qRUvF0VtpXSJtNVSgGb + HDBKEs55UC4VRVEUuRDCcZwkiYRjPynlhSd7uVmW5Vm2Y26+5AfrK6tE5NtevVozIGbTPXQsm4hk + URhFacuyhsNhlKU7d+486aSTPM+r+V4YhrbjeK6b5zkoXS6Xl48vzc7OPusZP/O0pz2NMba5uXnl + u67a2NiQUrabrYWFhZNPPrnT6Xz2s5/NlTRR/nR9qqVaTGAaZ5VSeTTozU9P9XsdpRQxitJoxzwL + bDjtKTO3fmFpc31Q8WvDMGm2pkGF7UYFwC95sLw8nJ6xKjW0LCtN0ziPNzc3A9fLJWwb6pHpGJzw + cJGxKIqqlYrv+4PBAABmZ2fb7Xav19uSTP3x9kdKU3qiP9LkzXzca0wMiQAY55ZShMA4Cq2BSWVs + i0AqkFjkeUyIkitFAGjZltDICZTMkzQDYK7rW8RkLlletLx6nCapkl5gZ0kBfUiHSR4V4KFref2V + wU2HP4edbMovF1Y66vZYsZdxBhxIA7MZgEJt8gGtgQEhOyG2thivBm6r1KrkTd8VBSODS0SmSECa + pq4nTHXNbTbnppueR6P+IBC1PXO7gkpzpdcdjyNiGgRM+eU9tanClrkXDqBYjvWwKAqZKQFEmiEK + ZERaQYGAiomtc2B80gbShNxoXjMjXWAaREwSagKmQTCyTGXbJE0GeoekAdVWwmNmKBIIjRrAXDVw + gG1y0gl6DIwBaDpRRRrYJH/U29i/Jzfhf2yG4VChAkQhNdiWT6pwVOAJf6czvzF+dP3wkopzbqO0 + 9HN/7gXrWZdl3c1sKIV+DCDwfX0waOL3wSmjwPZ3lGeaUH3w0LdS3S+KQguY2TN3yuyO1AuTIi20 + 0qARSMNjJgrbXdzvfyETaOjjhwLFLa5QJlmqULplq72rvQGdZz7l3Gq5lmcFt8SZjI5vHK+0y2Md + E1MEBAw5IREwYyVK+BOqQf9DDI3Qz+Ik56vD4eFoE2OcaxySUoLLYivFOg+tPGGgbEsjFaSEJUBN + 5LM5YwA4Nzv3vBc8P1oKFxcXiahUKad54YBTqlbOOeccprBcLtu2nUfJtv6NsdA2pWKptZKSiEBP + MigTug0Gg/n5eRPJmQ2CtgzTtjv2sJVi2badpzEBeZ7XHw7ndyyQxZ2Kd8YZZwzi0fLqiuU624U2 + Mp5IbBKnbAOkGWdEyuBzTDi7nZJt/6LJykxZrdvtBkGAiAYLZwreMzMzJ598sgEsmE6UQYybwMnI + FBkoBACYJKrX6dartbPPPHN6bhoITOFvamZms7fRrNWTJMnixLbtNE5qlarv+8KxtztIsIUkNyHj + /Py8lHJpacnojL3vfe/733/+nt++7LLXvva13/rmNwsl95y095677r7hhhve/va3v+1tb+O29cpX + vvLGT3/Ko+C88877+PXXZ1l24MABy7IOHjzYLrVbrdahQ4de85u/tXfv3k6nYzn25rBz9tln/+ud + 35qenl5ZWbn3/vsu+r9ec/HFFz16/Nhdd93Vbrcr9Vq323Ucp1armYDeAKUkyfPPP98vBTnk55xz + zvHjx8877zwO3PO8fr9fq9U+/OEPf+Taj6RpOhqNzj333I997GOe583Nzd1y7S2WZWkEIrJs2xCD + iajWbDDGDh8+XC6Xq9Xq7OzseDxmjHmeNzs7e/DgwfPOO++222679rrrHMcxbbddu3b1Bn0i2rN3 + b7lcXtvc0IV80fkvOvv0p/72ZW+cn5/3ff/qa65+xSte8ebffTOAMXPAM88+a21l1QBBp6am7lNq + 37599XodNJmq62g0AkTPdS3bllJWKhXf9Y3IUxiG+/btszzbVGC1lERkIHaB4952220HHzpQLpez + JEvTlCRVq9VTTz313nvvXV1eSZJkfWkly7KZVrsoitXVVSMt6LoucjYROFFKsB8U/2xGuVw2yBrf + 93uD/iOPPLJ37+KOHTvuueeeb3zrX9M8K9Wq+w8e2Oh2/JKX57lXDjgpx/d6vd709LQqZBKlSRgR + F5wxiwkA4Ig2F47HBWNFlsfj0HfcXEmBrNVo5nne7XSQMRQ8jTPTUA0ct1mtFUVBgMbEZRuI67ru + 0tKSKT0kSeJ5XpqmJqIDTWkcCccWQrRarTAMu91utVYLgmA8GllccHxMhZ+AlFI/sgTJdMCNLHKt + Umk0Gp2NTQ207TRqijEmbzGr2/aqcSLogr5DkmHyfdqC+T++XPe9EownVOhNhgOgiDJuMc5sm4TU + jDQjzZEcE+Jp0ACSgQKURvGYIzDgSimjYw4AluMwxgy7Lo5T05RQSikiy3V+OBz8Dz7MJjEYDIx4 + msVFoYqVlZUoigxk2dQVJjAzZAWpRqORZdnKykocx6qQZvkz/ZNhr1+v143oZ7vZWj6+9Lsf/92H + Hnpoz549r3/96wXjeZoRwsMPP/xbr/7NU0899Q/e/rYPfvCDSZLs3r374MGDtuNmignbAs3jSBeZ + GPSTPMVypVkqNSzb4wJWN+Fbdx4AljZaVUZZkspwnCjMVtc2Zqanwwjm52eEgMGo12jV21MNzxa7 + ds8s7p2Rmo3GXcvijDEy9bmtjMIk1YbJY7BqpgtUqVSMP5L+8fdHAjBVq8f8kbRGRAW01ZLZeueJ + EaElBAhizMpynRaZVYhABJ7jlNxSWbsWQ0yRcdswcliBgQiAlOKi8BxAzoBbErhGmSa2cMHyhmk6 + HkVeyYMS2Nyem5lbj9Y94Tda1Uf3P7J8+HgmN7WvsQYWiEJrBkQMNREBcSRAjZoxU0gwORIwAEaE + luV6ULLAmcgeWEI44LgcBCrShjCqNcosk3lcpFrmam6m2u/F0XBAMvN8j6HIBDjIfeZoO8gAYkgA + ciBkjOntaBg0GYkDxowKHBBjJ1gsaCREA1qcUPMJNTGlUZMhGNFEzA0nWvMTLQfNJCMNtK3cwArU + BIyY1gBME2jg7AmLDwNiW+kUQ80YbOH9AODEleoHMimC/8g4+3v1vU/MIhAAiHGyEVHnYHNfFpkV + WxUd7IC5wcax+MEe5Jo52INw/ucWRlTYkDAdAeRP4lS4sLiNaeFo1sBSHbz44IYoAADAwmp7xxxU + jitL5wnZliJMdALsMTDCD30HiJFl2UWWp0VaqAw55VBUWxWv7GWp6uSbSZIJZbVmpqtWVXhiFI6R + mYoeMGJAZNLyH1F97ydjSAZQ8wqyscQZOXKo+p6IogyFHqoocEvKs4g8IpmpgghNtKG19lzHs4Jk + PVlaXjr11FM//OWPfO2Ob/T7/TiOpaaRHN1zzz3Dbqe70TPBg4l+zFKMNEGdmFRhYiunNJj0STAh + xEMPPfTsZz8bAMrlMiL2+/0HH3zwxGBg0o/ibLuSZbo06+vr5z3n2UG9OrVjZm5x15HlR8dRGKVJ + mqam7GuEpgiREIhwO9cyr972drO94zyBg2RKfuarqWmavSMIgna7vbi42G63oyiCLRsJ2FJGBYBK + pWL6Ieb4Jpq3hfOsZz2rPd0ahUOvXHr5r75semF2OB79yZV/0qjXFxcXTz755D2LJ8Vh5Lru2sb6 + 5794y5GjR02HDQC2z82yrCzPg0rZKwUqLzq9LrdEHoXvfve7O73eyXv3Li8vjwbDp53z9M98/nP7 + Duy/4oorbNt+/cWvP/9FL9yxa6fW+gMf+ECtVtuzZw8irq6ufu3ur33uU59HwALyn/+FF3/yk5+8 + 8sorv/jFL955912dTicIAtu277zzzv0PPTgzM3X6mWdccsklU+3ZpaUly7JaU+3hYFwURZamZ51+ + Rnez+773ve/SSy+dmZv9l6/9y9/8zd+Mx+N6tX71B69xfc9NkjAMHzl86MUv+YWr/uxK27bf+r9+ + /5JLX+/ZTnfQv/POO7MsMw/ahAQmSZibm3McZ3hsaahhuHOuWq12Oh0l5aDbi5L4d9/0/7zzne/c + vXv3Qw/v830/juNms3n8+PHZ+bm1tbUHHnxwZmYmk4XvuE99+tOv+dA1eZ4fW1qSUv7dtdfedMst + aZpeeOGF55577r333xeHUbVcQcHvv//+9c7mjsUd7Zk242x1fUXqQpFVrpZcz+t2OsIRc3MzYTgq + VO4Hbqe7US6XTQfJlFNtITjnpHSWZUWS9nq97lpHCBGNI2NabVlWr9N/wxve0Kw3yuWyjXzPnj1f + +PxNWutqtWqSYSJSUiqlNPwwsKyiKKIoEkKYXH1xcfFFL3pRIvOr//rqURx1+71qs5HmWZ7njrJd + 1zXxKmoSQrzlTW9ZevRYu9ViBEVW+K7nCGs0GiVJZlkWaALUnmVpLX3f55ZYXl52PO/BfQ//06c+ + Zdv2zt27Dh48KGwLAJRU4XCUZZnteuFo/Mzzf+qOO+7wfd9zXNDk2o7vekKISqnsOM7q6upZZ521 + f//+ghdBEDBLHD9+vNKot1otkyWaS0NEhszYQBFNVKh+ZAmSSewcy3YcJ4mifr+f53m5XDYFAOMP + Q1u8wG0M8fZSAo+V/Qi+WwnwxO/8IAkSbK0s5hcNmV6pXGumpVKUAgoC2xwCQWyhczTgRIzIfFCh + CwSOiI6wLC4KJRmgoYoCgMUFFyLLMsb+g7SLzP2M49hkxr1ez+KW63t5ngeVspVlROT6HmgqHCfX + khiOwrFUqtlsGgGcjY2Nhm4uLy+XqhWbiyiK4jCanZ09fPjwO9/5Ttd1AeD222+/4IILpqamHnjg + gZW11enp6eFwuLy8/P/+6Z9MTU0dPHjwjjvuWFhY6HcHUQ4EjKFWMvccHkdRnoYA7PDhwzMz7SSj + e+67/wtf+biAec/dhdiwfWFZgvGhEyQPHbjzm986sG//rW/9XxcxbR84cKBUdrJznkqg7r//vh27 + dzdb1TSO8jx/goqdSZaq1epoOByNRo1GQ0q5sbFRFMX09LR59D/u/kgA4/HYAAK391RATURbKgAE + xJAYADHNikIqRcwCRORCWMxixPI8H3R7DrQwF1gI17IEt/M8p1xHcahlxmzulHwJmMSpKljJtoOg + OhgO/Ha95rqdfs8VNjDodbrjwRg5FmnRDXvJMJmutp1UHR8e85u2w+0YUgTOGNOklJRCcMvgx4id + SObQCLnU/TAusnUvyvrj3KVSoXE0jtN+xAQWpFvNGa3Atu00jgultCp0IQ89ejTLVKs94yATlh6P + RzqClc7GvuUlGXRkJY8EhdphXmBpF4Fr1FpLUhqQkDEEUFozLRghUybcN7nl5KwYPYatMlQljQBa + M5rkMCdsFMbIQCtkSBpIIHFjlKTMb4EGrgGAKYYEnBhozbTQaKrKDE7w1/0/I1revgokYMQZcq0L + RkznpJSyiPvgQkgQ6XpQZjZb2+z6EORRkeS5XbW10NslA/i+HCSNUMjCsmzSoNT/T96bR8tVlXnD + ezxjzeMdk9yMhBCmABqVURxQ21ZoW7tBbcQJRcG3tWnb/mh7adNqg9qiogKK4NSIUbRREZBBZFJJ + QhJCEkhyc4eqW/OpM5+zh++PfXONb4Nf51uu9bav+4/krqxK3apTdfZ+fs/zGyQFmAKEQmkinWIi + oMhhQwOQeTGkIJu3El0Gng/+i6L1+X/L827SnHOMIJdCIpnJ53iStIdtnrBsJs+wMEzTtA2A0YLX + CNIoo9lYwxJyBCAUQgqBBcQSIQxSZTn9p7E4RAkQoUwQxgxrIiuBLiIINZsSkfFQGgehRAIRTAEh + mEIpIaE84XEcI0ExpqZpnfuKl//7xz736KOPnn/BBbNzjdNeuLk/GLiuO3RdxU1aymxVHXei+KsY + M8aCKIIYaZqWsFQAwDmPgzhJkm3btl188cUY48nJyV6vJ4RYYtktCW8gVFsaStM0Dn0gJIvi/fv3 + 67pObbNQKBj57Fy7MRwOmRSapiEJOOdJkmACESEAQSEOj48QEimHh0HRkbXKkS52QgjVFFOoSdEZ + lAYpl8utW7euVCopJoJiMai3rLjfqisXBAGlVFnADYfDYr5wykmnZEwriWJN02zb7vV6cRr/2fmv + O/fcc97413+1e/fuJEm6rd7U1NQpp5xSXqjcdMvNCh2p8ZpqzymYlCTJq1/9aiFEKV9Qp6eVsf0w + WrZs2czMzPj4eLfdmZ2dLeYL+/fvv/jii0dHRw3LFEKEceS47tjYGABgbm5OYb8rrrgiTdNyuWzb + 9uzsrGEYH7/6XyilrVarXC4rHy/btvq9TsLiMI4ue//7Ui6feeaZcrWinB4KhUKxUNj19G4owJYt + Wx647/4du3aOT06oIQYAoNFopGmqU019mv1+/y1vecvy5cuVDjmfyf566xNUp0SjuXze933P97PZ + LOc8CIK5ubmNGzfW164mhJRKpXw+Pz8/n6bp2NRKx3FGR0evueaa66677oorrrjxxhvTNF2zZs3a + Y9aNToxv2rSpPxg0Go2h71m6cc7Z57zu9a/jUg77fSmlbhqr164ZDofvfMe7PvC/rmBCQIyGnttu + t0dHRzOZzI4nthVrlcv+9vJHHvolpbTV7Sw6KEBALSNi6bWf++yy5cvPOufsnz9wf8KSMPQhJWqA + qZoFGCJKKeRi48aNrz3vz7rdbq/TO+mkk8bqYwCAv/vgla997WuXTy4DAOiQDAaDH9z+PaWzWpq7 + wkUwACmlPGVHdcsrIXc2m52bmytXK6efesrLX/5yqhsvesmL5lsLu3Y/FXO2e8/Td91zt/rednpd + CYGB6UildtYLzvjb269IgghKqVN9rD6SyWQWGs3BYKhpGgJQSJamiRDslFNOyxdzd/3kZ6eceupI + rZ7GMRDim7d+gwKagLS50Lzzzju//e1vN/2mRsh55513xRVXvOUtb0mSZDAYlEqld73rXe9/1/tD + Ed51113f+ta3fN//3ne/BxRrDsgEsH/8x3/8z5/+JEkSNVlSgaUKFHHOOURSSgQQxH84gCSE2LBh + w9Uf/5dsNsvTNEkSUzcQQnGaWJal7DKUO6RhGL1eb3x8vN1uq0Gq4ziKPdlqtexsVtd1JX1BBKtb + l1IqGA+CIJfJAAAQgKEf1Gq1hYUFolFEsHpMFEXKvVFZjSl7Qcuy4GHhIKUEo0XrDC4A1Y0wTHP5 + crvVsawcY8zQaJyEGArDIEkceK4zUh3xPA9CqJtmt9vVTcOybMcd3nfffZ/93Oc0TUvjRE3DmBDo + 6LuYiqy5hOKU/6bilQohFI9LsQWazeZYtc7DeDh0isWCH3hmxtr84s2f/fxnH3744frYSLffE0CE + SSSEoAjnyoUL33xhoVruDZ1UcIiR2p3z+bzruoVCQdO04XAYhmGtUvU8T0JgZzMbNxz34IMPbty4 + sVKp7D94wPW944477sknn1w+taJUKiGEut0uJLg6UncDP4oEork4Tk2DEAwYCymBqUi5oGA8qAAA + IABJREFUTHQb3nHnt1/xsvPNQvuFZxW8ob//wAOHDjlOhyOoURoLODz93LVSa0yszDHgR4m/Z+/e + M846XYeZvu9xSWZnmmnKV65ctWvP027ga5qG8KIpkDpvwjBUJDplKKfwzB9NPlI+v9RfVGkAizrg + vKGaCEuNA7CoR5IIIS5FypllWTKSURAZtqHpJHKinFXTqDbo+MWizZIwYxopS5CmCSoCFgmEiUkp + Rmmapjw2c1Z30BWEEJ0kLAExQARSgzLMnLY3qlfz+Xx3bpZyqlEjhgkhBEiQpinAgBLKpXjeQlMi + rBnDYWzggpUtSUIiDnUp+l6YspBzACiI4vl6ZUJA4fu+M+wDwAQQCOFSqcT1hEVOOGCGBT0L+Bbp + ljJhNvWIEwLOhaZBypNUQiEpQJgQKASUUkomGZIEQ2hp2W6zY1lWwuJs0R66LkAMASyYtHSDAJIK + xjlHFEAiJRS6rgVeYmqm4IJiLYoTw9CiKGAgpZRSonMmdGRCTAdDh2YowCJmsWC8aJSCYUQEKBRy + Ekgv8AkmAJEoSgiBlGqSLRIRf/da/dHw65A8YrwvFttYBCJNwwDiaDg0dDuTzyYuq4xUhsCJeOgn + vhQx5jRiQQRCqCFDN510yCFfat4fSTd67l9MMCCIQwkI1oExPXeI2vrM/tlarSYB1LM2AChXKi70 + D+mCRAnjQhw5gwVLBevh1v5/9/0iJIQgGuaSBWmAJSAaphQnIAEEBNxTwjRJgEFpwiMAAJQqWhcR + CbFC4FwsBtH/KS2BICIwEjHWcCA8qKOYhRJyxKWBCIAcMgAAREwIKDgVRNdEBJRWftu2bSeedcKm + TZvuvvvuc8542Te+/o25VvOOO+6I4jgMwz7vL812stnszp07u93usrGJfr9fyOWEEFGSCCAppRKC + hDEhhEENpUdXtILZ2VkhhOM4jz32mGKbL9o1qdE9wbquDwaDfNZO46Q137CzWXjY7NRNIkWQDj1X + 2e5FUWSaptIgSSkh/G22IUIILoZtLqaY8MNihqUdHkKoztw4jk3TVPgHQqg865ZoBQqzLd0sympC + GfyMj4/Pzc31ej3TNC3LmhyfGBkZ8X2/VqvtO7BPQNAd9n7x8EO79j79gQ9cjhDq9Xq/mH1QCnja + aae9+rzzPvaVG5rNpm4Y6pmJrqVpqqJHoQBzc3Nvv+SSu+66q9Vorl+/Hgg59FwpZcoZQEjTNIUc + Xvqyc0dHR2+66SY/DKZnDhmGQXWt0+uZpqniRhSs/cQnPvHt//iPxx57jC8sZDKZJI55EKRpaliW + 7/uWZeVyuRe84LTjNqz/t3/7pErR2Lp9h6JHSilVkqkiItqG1e31CoVCrVZzHGfoeQihWq02OTl5 + 4MCBTC574MABwzAghClnh2ZnxkfHpqamfN/P5/NhHKqgeWVCq9Cpep179+5dtWqValwePHgQY6zZ + tuM40zOHUs76zuCzn/v3f/iHf7j2M5+eWDb54Y/8A0KoXKtec80139uy5aabbjI1fePGjT/56U/2 + 7NkzOjoKEEQQlaqVt73j7ZlM5qZbv7Zj104FfvrdXpwm8wvNi9/2ttNecOq6jcfu3LM7EmmScqwh + CVE/GFqW5Sfh1NQUwPCOH//ouq988c///M8d38OUyCWO1RENIATh2NjY6aefnqbpow8/euKJJ07U + J5hgnPN77rlntD6CMe40Fnbs2AEhdF13yc38tzoRINM0/X3Jx8+11GbbbrdrtZrrew899NBg0EM6 + PeGkE47fcPzo+BiHoLHQjOOY6iSN43y2ACF0OoPq8Sc3GjM//9k9n/m3a6ul8nvffenjjzyqEf3U + U09VKCBjWt1Bv1DINVvN9777so0nHn9oem5mZmb9cRvCMBwbG4MAvvt9756dnU2S5Lvf/e43brm1 + Uqlk8/nrP/2Fjtvpd7u1Wo0lydlnnnn2mWe+4a8vmJ+fv+WWW9asWrX/mWcuuOD1tVptenr66qv/ + RUDw6KOPKosvTdMY51EU6bqOFI/ksKW2Yi39wQASxnjdunWO43zwgx8cDgblcjnwfM/zpqam7Gwm + 9IPZ+TmNUIBgxrIdd2gZJiK41VwolIq2ac3Oz1FMytVKY2FBOdlLKRXyASrRKGUY41wmM+j1oyAs + FouB6xFdyxcLVNdarZbruvV6vVAoqB6G4u8ql8w4jpUvGRDSMuxOp1MsFyQE3W6XaBpAWKMW55IS + LfB9TaMaQZ43LGStjGXOTB8aHx9PksT1vVKp5LiuZdkbTzh+9do15HAhKw/78nEufk9/9DkXS1LG + 2Akbj59rzHfbHQBAEsUAQY3QKIm9oTs6Ouo6Q0xJLrPI/sSUBHFENa036P/VRReOj49vfsmLb/nG + rX4QqH4VS1Mppea5Xhy2PeeEk078+q23bN+xI5fLjU9OBEHgBb6macpgdHx8XAgxNzdXLpZe+tKX + XnzxxR+/+l8wRE/v3dNoNNauXfvVr35VI/qO3TuOX3/89Tdev3/64LJly7Zv314ul3XDZjLJ5W2M + 5NB1dA0aliGB1Wy3KNFvuPHL9933C1PPPbNvmmALUKzlgrVjY1EUQBBFiROBZ3/52A+f3PGrhx75 + 4dz8IYSA67pO5EZJkstl5uZn0jR2A29kZIT0e51ORynqFG55vipEStlsNot/nPlIEiVBHHPOCdQQ + 4gBwIYQECCOkaVrKGSaIS9Yf9nWpaVoeEZiItJwvt1rtol5KZNrvdw3DCOKgUMowmIYwYoKlUnDJ + IUUEQoxEb9heuX7ddKNBKA0xAxjUx0a6/a60ZbVeka6UBrSrOS0UGZ59ZrDX8YcoQwxT80EURiHg + zDQMAQWWSMLfYWdJCBhHdibHQtQf+jEHJtWTFEZhAuCi27MASDcsJBb7FBIIbFCk0ZBF0O0AyYo5 + qzlcABBATfcJWIhCT4uJZWjAFkKjJpVcpDARi9dGQIwgRkRoacgoxiO5uo7JIBmkTqphamYtDehx + EidRioDQMcVAT1kqIZYAMM4YS4JEGIQSgCxgMi+tFio+8J3A0XTLd3wAcC5jFfVCwpM4DiGBVKcQ + AI0QEMqkF/OUSSChgYilEZOkgvtRqCGIAERQqExACaEASBxRVP0PX0s+KFAChDEGME3TiAVhxBEl + pWqOC5GwkFrkxw//ePcvtjp7Glmp1Ytl4Yl9zQNX3XBVkkG1Y8bq68ZU+3Npn/z9dDhMoBf6pVxu + fr75z7f9qLN33maEB+m+fXNIx/de96vcsvKJ55xaXzfhgShMQ6JRhA5n0RwBwJ7/nS3ehvC3TITf + RlotcRPkYW8NCRkAAEC0mAP/u0oyKIGCRkguehXyPzF8JBfd7QVHDAJFu+VqV8BSAKXaAwAo0RcC + fhQBzGKXl6pVt+0uLCxc+qFLd/16Zw7l/vVf/1UinKSs5/SdXr9WKulYX6Ioe56HhFQ7cBAEhqYx + xiDGmq5xzhXDVkqp4jcopSr6U+EfZZumXG1VA0sZz6ZpqvZ2xpgywlIOzimUKCZxEqttXB0EPGWL + X7CjOeGX3HrU+EhxEFatWqVEKYpWraJCldf289UPpmlCCI8//viNGzcqYZKUkmKi+m6cc8MwCCEr + VqywsvbU2tVK5AMhjON4w7Ebv/jFL+7Zvfu0F77gqWf3BmGoMkMBRktuq1KI00477d3vfvc73/nO + 3zz+qzvuuKPb7szMzCyfWjn0XELIswcOTCybtE3r5JNPPuaYY9761rfecMMNd95551xj3o8jXde5 + FIjgbDbb7XaFEKecdtqZLzp736F9d911141fvSmJYsoYQkjXdYqx0gBfcMH5559//hvecMEt37h1 + 3759C+1us7Wg67pt2/NzzbGxsSgMTdOcm5274447vKF78SVvy2QyEGMhxIc//OFquXzRRRe5rnvy + ySf/1Rvf9NWvflVKeckll7z94kta7VY2m6W6du7Lz202m1Y2o3qUaqOIoghgJKXkSSqP8M9gEC50 + 2h/+8IfVHO/ee+9tNpvqHb35zW/mnCecMcbA4R7r448/vmvHrpGREbUzGLa1b9++yy67TEHcwWAg + OfeCoD46ouv6DTfcEETh+PhYGIa+6wkhTF3HGPOUKQUaxphoNE3Tv774rZiSJEn2Hng2m82Gcbzo + BUUIIQRKIIRAEHa73U6no+t6rVZ7+OGHCSRRFJ100knr168vF0u+788emL7tttv44UoGALDI2xIS + qhEShEdr0qBep67rrVZr+dSKMIl37drlRoFhGQdnZxDBxWoll8tlMpnZ+ZlMJqMZlm2Yhq4vzDcM + Td90womnnbTpmX37cqZtU4MzwcIYZUQYhDFAkAnX9aemVuq6nqbstNNOu+lrXx0Oh6P1kdnZWSDF + U089NRgMKpWKitvqDfo/3PKjH971wzPPPFMRVsfGxpTpguM4tm0HQTA1NaUkf08//fTtt98+NbXi + pS9/mW3biZNomlatVvfs3Ts6Ohof1pD/jrUphH8wgMQ5Hxsb8zxv69atOqWO4wjGwzC0zEzGC6UQ + 8zMNKQShlGCcpGkUhvWRkW6ns9BsG7pONW2YJI35Bd3SgiCAGC2d0AAAIQRBOE3T6QMHRusjSoqH + JDjwzLNG1nZ9r1KpqGHLtm3bMpkMxtiyrOFwqIbIqmFDCPEcX6dWHMf9wdCPhiMj1TCK5puN8cnl + QsCh40GBJReWoUEIOwvzGibZTOaJJ7bqug4g7HZ6/aFTr9fXHrOuUCgQQriUhCCAEISQQMhFerTX + TYXEPbl1W7aQt3QjCKN6pQoJbjWaKgm4Xq/LhBFdi4PQdV2KkGFZEoIwib/9ne+YhsE5HxkdffHZ + Z4ZxRAiREPI0FUJILgghAkFlfv+miy7M5XI7du1MWKrrejablQjGcdxstyiluWIhSuI7f/LjRx9/ + bN26dYHn73xqV6/X2/nUrndd+m6ia9lsdv/+/Xv37p1ctqzd6Vi2Xa5UAEZhHPWcgxSjTNYSjO3b + v69eqVerE1/80tecgZ/PVQjRFHIgEAyHw2w2H0WRruMo9DNZE0PQbrcphr7v5nK5aqXMRLLnmR3l + uh0kVsrdMPSVu1EQBHGSqGMGAEC157anlFL6vo//SPORKF56F4ff0CL7lDGepJFGNaITwAGBVHDh + JkPO2Kw3l9fzTjq0MzmZyJ7bxRTOHjogDcF1wbAAABGJDUYwh1ACLvivdv4GEc3OZBf8BYBBs9+s + jFcOdaYNaVqGuems01bjCQKYC4b+PV/LFLOt2PGCBOrQMkyRxEnCCCBSWb8BqGASkgJKACAkmKQp + j+NUo7pp2kAgFZlk2IZEMB6GjDEkACVEpxpDABMUJ3ESsZEVY0kQ6BhmdN0NYx1iE2oGogIbCGpQ + YgBglDAEgEQQIkyB5IBxKSUHKeNpwMIg0lIkCSprxU7Uw7amuL48kOVcOWvkfbcPES7ms17iJ0BQ + A9UKFcABjgH3EpjyfLF84MD+/LJ8EMWEJpZh50U+K62BO0QYWDkdYn1h2IpEXLNGcpkM8SWlGNma + y8L+0AE6BBRiDAWSQAogBVocI8EjaF//PR0/XFRVHe2WclTr+dRHi9lxUqZJCoXUCNUI1ShOZOjH + AeMyFZJzmDHt+gn1qfWvrtKi1+yKMC5WCoEM53pNo5qjBcMDR0XhkFBwxlJG0sJ46cSXvcA8xxjJ + VYGAaZpig/TCgSM9q56NdOmECcDSQFglVoAjru9Rj/Elkr/rtwGUFu233FHxu2b2AEqEJIDK6nrR + dPBPyOB7aUF5OEhKqfgggGARLgIApLJxhEAAxBAQEGi6QYiG5aI3gG3bv7z//rI5Yujm9PR0zDhE + WDN1SmnCWeiGS1IcKaXy1CmVSoQQinGapphSTIkQAiAYp6nStSuTt0cffVSN6BVIUESSJaYGAIBz + ThEuFotJkgTeMAgCHZMkSXbt2tV2+sgkoWBO6HqeJ6RQj38+9CLl/0cA1pIMNYoiCKHyj4aHpdGq + r688lpaad0d+hxX/TQhRLBYppYpfo2ma5CKKIsdx3MCtj9dTKSaXLbvwLRcZGfvg9H4pJUCwVCnH + cXz++ee/8pWv/PPXv+7r3/pGEEeUUoroUsaUrusCienZmflG473vfe+bL7roXz/5yUGv98ADD1z1 + 0X/OFfIsScvlMoTw2QP7McYPPvjgli1b3vOe99z6zW/s3r37tttv3759e5wmzWazUijqul4bGTGI + ccqLT7noLW/evHnzX7/1zd+//XvXXnttvVLv9XqdzrBer2+YnMxms/fcc8/113/hFee98qqrrvrx + T3/2wC8efPjhhw8eOrRixcpOpzM+MbHn6aePWbNuYmLiNa96tWVZnPMkjicmJj71qU9dc801pm03 + 5uY8z6vUqqe+4LSf3Pnjd138rksuveQ3v/nNsccee/nll59//vnf+c53sEY9z5OHndY1TYvShHPu + J74a1qnzWghBKXUDHyEkIFi9bu307IxhGIiS/tBRNCXLspQSLI5jKaWyfIAYqdifYqn07P79KnNJ + ozSbzfqut3///iv+1wcE40EURmksAdAoNaiGIQIACMaTJFH1PabEcRyqa/lSMQzDdqfTH/YXjRwB + kJwnSYIAVDXtQw899OtHfuU4TqVUoZSmURpF0Wh97MEHH2RJihAiEi40m2tWrrJtu9PpKKhPKVUm + DVxlvh9lw05BR/U8zWbzl48+Uq9XkU4/+tGPMiAlBBwCzdATzqrVarFYnJttSMZt2yYISybymRxn + zOs7ROI0TjVdhwAgiUqFsvKy0jPW/mcP+l5oanaj0XBdd8WKqUwm6/mBAPCMM84IguDUF5zmBf6h + 2RmE0ImnnLh58+YXb35R4PmSC55l9/zs7ne+/R133flTDHAK0is/9Hcrli2fnZ2dWr5CcuE4zi23 + 3HLJJZc4jqOAVjabVYmaz/l+/5AUOwBAq9UaHR2lGDebzTWrVvf7/cD3pRA6oRnbJhAxKZIwMg3D + 1o1up6MTWqpW+p1uGAT5TFYIYRjG0PMAgksad9V9UafgsonJX/3qVytXTIWe3+t2V69Zc3Buplar + Ka/nXC6nkmq63a5SOkopFSdKFcGMsfXrVoaeX6qW/NBLWBok6SmnHhMGydxsO2cvWzYx0WoupHFQ + rRQ4i7vtRrFQrpbKhmH4USiEqNfr07MzCCGKiXIFhRCqrUwusqqOzhUEcIEgymazUEhFI16aS1BK + ARedhVYYhra0GWMZ24ZCSgjiNH3f5e/3Xa9QKKg7qlSpDD2Xy8XynaBFIoEQAhG86P+D0MzMDEKo + Pja6e/du5VWgWgLFYlEZPMzNzTWbTcMw3MCv1Wq5YuHJXTtTxjhjURxXq9XuoO/7/rKpFXEQLjQW + NBMXihYCcDDoZWx7zZrVgRv/0z991HUCy8xFUYwQymYzURQkkWfZOkQ8SSLLslTOcegH1Wo9jZlp + 2IrvZ1p64A4JhaVSQdHnGo1GqVqZmppqthaGw6EKyAuj586bUtv9H2k+EtKkigVUVksQYoQQEJJJ + IQXnQKaCIwKJRjHAkAGjYK14wcqD9+93FgYAg47bIprGogRQAEwAKAAUAAIAF4wLD6QAHK7MOQBR + 7MUuMADIgYSnsYjNgokM2F7o7Pj1zu6zTZoIu2zs7O0lq7PZsVIGEy/1k5gRCTHGgAOhCqEjh0hQ + YCHiICAxpbhAMUrTGKUQUiqleO1rXzsyPvatb36HEOJ0e0kYQQgR4Jdc/PZM1rrl5pt0avSGC05/ + QCwIOSARM4OkpCODoDBMUh4i5e8EEYIQAQQhAUBKybmUBFM7kxvFVeRLGaQIIRSAUr3EQz5aHJOp + 4IGUnszDkq6jNEowQa6IhoOhDyOdayWSt1hGMpZ0krJVNbDtGjElZuykBjW9Oa+cKYYgbAzmYRFV + c+U4SDFDNjLD1jCVguQRwEAnGtVpjFkQRRrBQAoppBBSCqhkEwhAsaRP+p+9EEIQAMEFkqrOFZJz + gAHGOJ8rumlAKTE1IxVJiqKIoPZgYdXKFcFQzMedYqVIDRNYgGk8DWMA8ZGDo6VR0nP8UgmE4JZB + /NQXiOeXV3goDgwaLBW6ZSZprBVNzcgtBL0gCEmG6oRIJqX4re4I/o6c9fesw8MjiQQASqeGgBAS + ASiARAAIpH4G6mcgoDiMaxFUI6P/TQ17GAz8SS20GD0mBRAQAI4AkCozF6nLIyCUUHKkjPWB4EwI + oOt2zxnkcE4iWKhWMyAzaA9MPVso5zw/sHOZwPXSNIEQqLJVjVmmpqaOOeaYWqkSRRFBCACQMMal + 0HVdQjDfbEZRpPZ5BZCUo+mqVasqlcrJJ5+sBjjgcIQaQghRkiTJk08+qWAVFLLf7z/yyCPPHDpI + bC0UTMsYvu+bGVvtyZQSKeVRuacsKmaFULbjRxK/j+ydAQCWwNJz3hrqOiikFIah6rVhjAUEy1dO + BZHf6XQ4BM/+fP+1n7kWauTfP//vH/7IP1x66aWrV6+emZkxDGPLli2vO//1a9audbdvC8NQHYWK + 4Q8ASNO0UCjk8/l2u/35z3/++9///lmnn7H+uA1PbNt6//33X/mhv5NSDgYDy7JUU6/b7X7sYx+r + 1KrnnHPOVVdd1el1r7nmmj179oR+kMlkdu/eHaZhoVS86eavfemGr2zatOkv/uIv7r3/vnt/dvd1 + 112HIZJS7t69u9M5vVjIYUq2bNnyzP796zccd9VVVyWMXXnllc88s1+5pRumiSkt2sUVK1Y8vXdP + vljs9XqtVqtQKKxasWpkZCTwvEwmowaD+Xx+666tjuNIKZ966qlDhw4pi2cZRwAARXFfdLeiVM1S + 8OEAX3Wdh8NhrVbrdDqapvX7fSml4zi1Wm2JCZIkieu6S0+SsbNzjflKpYIo6bValVq1NlLP5XJO + fwAAEBCUqxXbtqenp+dn52ojdYFAwpmGCQCAxwkAAEOkOClRFBXLJUXgH7hDxpgfBIVSQRWuUkqk + kLbKQdJ10zQhAxMTE51W54wzzqCIEkIef/RXH/3oR1//mtcJIADjP/rRj975tkuCIKgds36p8FDF + D8RIWT4c1f2ey+WUAF7TtDCOLrzwwsvf976Ax1d/4upGuzXfbHSdQbvbcQPfypiMMYBRGIaFfF7p + rqWU7sBRGFXTdalI0RgHQcAEZ0JGjlMbHWFSMMCpbiybWrGwsPDII4+sPXa9AY03velN69Yds3vP + 7ssuu0zl1WazOWXQPzk5GYZhr9d77Wtf67rulVde2W63r7322s2bN99www2jo6NCiEsvvXRkbOT6 + r3x5bGzMyNjdbnd+fr5cqcRxDBa5i79DbfhDTpDUFC8MwziOB76/atWqf/qnf2o1FzYeu1HTtOZ8 + I0pi27S4FFEQmrYFhEQEAyETlpq6MfRcyzBXr1934VsvdH1fqhYmJZIxhBAFkKdMSUFe9KIXzR6a + SRm3bbvX7ZZKJSY4AGDt2rWdTodz3mg0dF1XfN+ljr5SldRHqldc/i6W8r7jM44gNO1cPU6I78uM + XYYSpVGoaQCCoNU6eNxxK9N46PQajCcIoZM2bRofH52eOfSGN71xyTZUbTGLDloIYICP1qtBShEG + ASGk3enUarWYc3c4XL58+WAwMHQ9m8n4vl+tVKSUeDE2jqc8EZA3Wo0kSVx/iCiZqJcOzBwYuMOE + pYpCpiRMki/acKmkPOWJadt2s9mcnJwMo4hqGibE9/2Z2Vm1iRfyec45k0LT9Wa7lclmkzSt1GuD + bi9fLnHOZ2fnRusjQRRKKWojdUzEcDjQdb1arYZh3Gy1dGowIXIFK01DgGOiaVHSjtLAsnTNSL3Q + i1lEhAAkRYQmw0CidDDs+WFAiZ7JFgghlpkVQgwc1/NYmvJ2u82BrNfrKlwsjuMgCODzGLCgP+Z8 + JI/3FzEtwEulnpQSYUAJJqYZCxExjgWLeUxobtm6ib98+xvnN89VaeVDF30wCzJMJDfcfNPdj9zD + SzAx0pQmnCeQCyyBJnQiNRGhS/767WeetFmHdDAM/vnTHzsQHaofX2k5TWlLz/Xr46MIaoxwGSYR + D07eeEq2kgvTKGEMEUQxRkLylGGIFQ1JQCChhIvddAFlSiQs5gplkZEsDl1mSmzoGsLotm/dtua4 + tYPBoJCp5PN5o1I98OwewPmXP3fd6lUrB41eNVu0M2Ura7R7DSLSAgcjscgKFiLuQDaQkcQxNCwG + kORc8EQCBrBAGCJEJUOQIUvLTpbq5VLOBU6339OY5nbdyI11Ya4dW1fLF/MgL0A86x5quM1SwQQC + Q0TqhdExVF8BJiSQPnB/9OSdqY44wIKQ8crYcrBsIl+XQHKQPjJM5ocNu5KNolhyXq1WNxzzYgF4 + B/QPDA8d8uaHAxdYAhsoFhFS9g4QQQiFJFhCCZdmR4vZKc+9KfwfmkWo4l4V/ZxzKQQCUNM0ionk + PI2TNGJY1ySXMMUi4b50EEK2lcUAAose9OcBACSDBl6DWATiFLBUQnRUkIFAdX9JL3WdaIgRNcuW + odtMCiCpk3gsSZGNM9hOBWOM6ZAcnd3f0mjjsIcHksqfHXKoUsIRkhACBACEi/HBYPG/wCX7jyOu + FwCqbwqAfL5x3P+tSxmfICCVPyRDAkog5JLH/WIDhUPIEeDqcjMZh6GeySAEFREOYzzsD3WkJ0ni + +36/P+BAYAB1XUcEKvqxaZoAgFwuV6vV8nbWsiwghKZpYRxLCEzTbHXa/X5/OByqDpSqblWs5/j4 + uPJ/UxsyAEBFdWua5gb+cLgY0mgZJoWo7zjT09Ou51bzdbfb1wGzbZto2pLTz9Fq29QGrmAbQkj5 + eikJwBLrW00wFDpSpfBzPo/iJKswH/VIlac3GAza3VaunC8VCs3uQn/omLa1bdu2Tq+7ceNGACGC + pN1uDz3XzmZ831+yBRJAIoQhRgJI0zTVZwEA8DzPNM2HH374i1/+0vjE5IvPON0Pg0KhoNS2jDHX + dRWEsDL2N7/5zV/88pev/rPXjI2NPf7441AC13UppTmaW1hY8MKgWq1u2759dm5Yth5zAAAgAElE + QVTO/MpXjtuwgVLqOkPHcerV6uTkZC6f37t3b7Va5Zx/+ctf3rJly8tf+cqpqamtW7fX6/VGozE2 + NhYEwT0P/fytF//N9ddfv3X79kqtOlofufLKK3945w97vV4Yx0wI3/c3btzYarWO33DC8ccfv7Cw + EIbhxo0bt/xgSyaT8aMQHAar6nNUsBVKuahKOHzZLdt2PQ9AWCgWm81mtVoNwzA+7GbBD9s+2bat + KJGtTntsbIxz3uv3i8WicpTeP32wXCgShOfm5jKmlbHshPPJyUmIUcxTJMWSlIgQYmi6arnmcjlv + 6EZJHEWRZhqVSiWXz7uBu/Sy1cARwsUG0Nlnn/2ut72zUql8/3vfv+CCC8p2OWThS150+sTEBAAg + iqOsbhUKBfX96Xa7CpYoXCeE4FIwxo52guQ4jjL5SJJEQnD88cerf/zoRz7KgOwHg2Hg33zL13/w + ox+6/nB2fr5YrgAJLTvT6fUM25IIjoxNOAO3sdAEAIRRki+UJIZeFBQsI2HMzmakhLXaiOd5jUYj + idnAcV/4ktOjKGCAX/Ppa5etWH7ZZZc1WwsAQdXXXj65LPSDwPNV72D9umPuu/fnTz+1OwzDJ379 + m+OO3XDaKafu27cvDiMmeMKSRx55ZP369b/a+oTv++VyeX5+fnJy0ve85xwC/yE1SHEcl4sl1exZ + tmxZo9H47Kc/c+k7L0UA3nzzzf1+X9f1pQ5ikiSvec1r6vX6F77whVWrVkkpn3jiiVu/+Y1yuXxg + elpZEC7NxAUERKMsZY1B8+abb7Y1AwAwXhtxfPdbt9/2ta/fXCwWP/ShD23YsEFKefXVV995552V + SkVxjtVgVE2oAZC1euE9737vQtM/7YWv2LWjSbXRZkPoemW0tno4HLabM6UyGRsztm7/+cbjl/n+ + 3AtPPfaxxx4IovDjH/94tVYulUoG1Sql8uKWBxWZE0kpFTNVsqNzBZFS6rouGd+wYcPMwWms0ZXL + Vwzc4ZqVq6ZWr2rOzR84ND3sD2KWVoolLauFoS8RTNI0SmJN04I4jlxnxaqVDEpAMKVYEbJjySEA + hBIAUByEcZKovtrIyAjGeH5+XgKwNGFXrvAQwiAIlD/E0HUrlYpqUHmDQLbbuVyu0+vatr1mzZo0 + TZvNZrlcjvzQ8weEYEJ0z409L8hkMvlsrtfr+dzRNJLJailLfNdDCCCs+34YxYxzmCZASEiIRnRN + AIAIopqGEGac91oDxYdEUC/kyxk7WygUoijqdDrFcmlsbKzVajmO83wUO4SQ7/u5P858pKQXOklb + CIAQAhgAJphgFGBMCJMxgiDhXCIJsJawxE98SsiyY5fZprW2uu7Hv7ozE+s5K7dv/un3X3X5/nAm + thKuMwRTKqAGMIYa5jpmGe7jHft2oBg4Q3fjyRtfsuEszxrgGmckfmb6ICAYmUb5mNGinYliD+iS + YxmlMdQwQZClTApJEJaHDeKOOMwFAsLEslrMjcKKxTIGBYjCom4ZkiDC8wWrmM8egjJNIigg1OjU + 1BSCvNfrFey8U6jEMegPwwRhqBkyTiuF/MqRmk9EpIUDkB4M424ackI51AEAEGIMiABACCEAEKkA + Kdi7Y+9PH/uhHMZh4kUWO/uCl1ZzNTtvc082Dszfdse3pcuK2bwT9F/2l+cKDDgTRJMLncaWO75r + 9QyUgk7aPeuNL+UR5BBCg8wfXHjiF78CC6kucEgD4xizsqEGY5TV8tBBj9//2A8fvR2l0CNB+Zj6 + ilPXFLMFD7kB9+M0xAQZkEAEEcBAQMmhkH9ENg0AIYQAlFxwkWKILN2AkMQMun2/WK5iDP1oSHQa + xVFrMJBSFssl1YjhGBSMUsOZQwjZRhZI9N/XIAHJIRDEIBbROZASwFQkXugGUWhlbImkkIwAjXPO + kwRJgHUNIHDkVOr3TKj+64JyMVgCAAClKhYglAgt5uBBAABUSEpNlhaxK4KH0dFhULTIXv+TUiBB + AMhhc3OOhASCI8mBYtsSIMGi7hAAcBiOUl2XcJFdFoaxZVlhFFnYrBaqw35gGEa5XE54mgiuUT1N + UyaEZVkaxMqMDqgkwCRhSaJpGkCIaNRxnH379j377LNJklSKFWX2FYZhNps97rjj1qxZo7xzVDdz + qcBNkqTZbO7YsUM5d6dpqumG4uOtWrVqYtUyb/vWBDDDMBLOlopUKeVRqYwVd0OV4OCwTE6xGNCS + eanaxIRYotj917tjSVOtHsMYC8MQY0yxJoCcWrVytjGLCTn77LOXr57SLPOCC/7i5ee94oQTT/zE + Jz7x83vuU8E1Usrt27czKbLZLGMsSmJ42NEk5UJKORwOEUKqqv71r39tZTNJktx2220jIyNREnc6 + HY0Qx3Gmp6fHx8eHnjscDqmu73v2mc997nOGbUEINUqBEKVSKQAhAGBkZIQLkaapiqZpNhrqHwEA + 87OzO3fu3LBh/erVqx3XnZ+fV4Kxr3/968qvQrkizc3NZe3sJz/5yQ9cfvm3vvMdAACFWqM9v2/f + vo9//ONCCMVRP3DgwObNm9/xjnc89sRjr3rVq/7zP//zrLPOiqLo7rvvdl0XUUIpFVJqmqY6mAIC + IQSSi5+IOp0Vig7DkFLaaDQUUy6O416vV6lUhBCUEGV+qzgphBA7kw3DkAMJIDRNM/VciaCmaRhj + xvn4xATBGEnQabVrlWqn100lZ1IQiPBhQZTybVdlWBzH1UrFsqyFdssdOEyKOI2orquOv+ScMaZy + kJSzl4JktVptbm4uyAUQwpGRkbvvvvuZvfuKxeLjv3zkq1/9aj6fV7WNEGIxdIcJCKEiFgnGj+qW + VxFVSZLkcrkoie+8884f//g/u8PBG974hmUrp0qVsnKEM00TYtDqdKimF4tFSzcOPrs/TpP9Bw70 + XafnDEYnxm/8+tcwoqkUaRiY2QxHABtalCYs5v/x3dtO3rTpnHPP3bZzx/iyyaf37ClXiguD1sFD + 04473L59+2WXXXbjjTcahjH97MFDhw4p6fjatWvn5+fvvvvuj3zkIz/60Y/6/f6aNWva7fbTTz99 + +eWXf//73w+CIJPLvOqVr7rnnnt27txZLBZrtdp8o7GwsGBblgRASoCOzBxSMfN/kKXmXNlsNggC + jRBVCE5OTp7/utdDKbfcfnsSRUoZuWgfQch5r3jF5lM233fvveecc86555576aWXzszMKEcaBTnk + 4fqVcw4RVqZhH/rQh9ZOrXIc5+zTzzjznLO/9a1vMcY+85nPOI5zzTXXrF69+vLLLx8Ohw899JBy + xFYiH9VxaTRnwqBfKGT/n3+8ZmL8hZ//7J2PPdocLazW8OTerXPV6vrl1RekfD4cdEuZE17ygrOe + eurn73nP+y+++K/uve/n1WoVQdLtLniepwpfIQQHQgiBMJZSMiYwxvhoCDSKpEERxjp9du++9euO + +fqttxTs/E/vvevPXvqa5nCh1VwACP793125Z99eb+hiSjL5DGOsXKtquq46PSXbFhDEaZpyBjES + GHImWZoALiilSZCo9ozneXGSxEkCANANQ9M00zQ10/B9v9/vO56rXpJyA88W8qq7Zprm5ORkEIWz + 83MrVqxot9uu5xWLxXKlkslk5uaGteqEFAAhgnQCRCi5DEJGNROAJEqTMAowxtlsTgrMEmnqBRU/ + jpCMw0GEkBDE9UKsabqlJwmDEFkZ0zCsOI4xRr2BozzlVFtFQlAulwuFAsbY9bznvKTyjzwfyWXG + YVE7AIo1BKCUkolUJJIJgQ0NQog1JJK0F/SdeGgUDJCRX/7ml+e27qcAD5Pg9AvP9s0osiKpMQhS + nYOYSyEg4IYmZOdQ5xPXf73xzCwl5otedcZfvPhNvaQ76MybFa02ViFU5wxzDfRBIEBqGoRBjikB + CEopoZQIYCkX6UUSIBWfquogLDmR3IIcAC8NsAYZJgQJhpHIZEw/5K1Ws1wuWZbFIxZFkWARRtKy + zIinxdpIzIWeq+gZM/AjhkBCeUyTCAcpCFOQMB4LridSEwhjBBCCGGAIpABCCGEZlib0Z/fv/9kd + P7E40U1tZOOEDnUEpNtxuQftxNz9mz3dAwtjpbqg8qK3jng4CGWSyxUggE/95uls28ybuUgLbWlr + mZxll6WA5RL9zb7HBzubfncoM+kr1523or58Ie7pxMhlCgudhV/f/+s8zfawu9EmK09eE4dJqqW6 + rUc8kEhKJKUEAqgJm8JGcskn4DnmSP9jdCwIIYIxT1mSJBRjqhtSyMhPIl/LWyNmTKPQBREAGrN0 + QzOqpXxlIe56bgQwjhPWj0Ndy5mmyZOjCUGCQkKJCAwCj3NH13WJoFInW3lbCAERxJouhAAcZKgO + IZSSL6nml8rK34OOFr+ui8quxdAqJIFE4AjD+kUUuyiwkSqXTOUGI3gExl0ME160jweLxLw/mQUl + MBiAEjIsUiAYlopDyiGCQiCAsFBcO0CEUFxcKRnnMpVp5DMWKIK6NAyj3++LFBGSYox5EjHGOFWM + ZqKQgxKySylVyp+iIhNNS1g6Nze3sLAAIczlckvtV4RQsVhUZx88wrRJ+RlEUTQ7O3vgwAGVyaPr + ehLFSZJomkY0umLFivr4KNqxPQoiO5tRv1GdFIv5Df9tkLREzVoy1FYITU26FM9FPVIV6Evf4SNB + vpqJqUcqDoU6RHRd16nRG/St1KzWagud9sFD08tXrgii6MI3X6iqoA988G+PW3+cCs3cvHmzlcty + IBOWLg21AABqktDtdv/mb/5G9ewmJiZOOmXTzp07leOcYpiP1OtRFG35wfefeOIJVSfkcrlOr5ew + dGRkxPHcOI4tw7RNc+dTT533qvNs23ZdN5PNMsHHJ8Y7rXaxUGg2m72F9uTkZKVS2bdv309+cqfn + D5dPTem6rtJ1kiQhhChnavWBekPPcZxPf/rTH7/66jVr1iCC9+7dOxwOTd0YDocQwkKh8KUvfemO + O+7Yv3//hg0bkiienp7+xS9+8cADD9RH62EYMimiKGKcL0mRJZBI/bXEtzycEGrbdqlUarVaYRgO + BoNisTg2NqZYkUpFRghRqENKybgYDoeaaZTL5V6vFyUxNfSJiYmZmRmepKVCEQLQ7fYs01SUMDuX + gRgAsWgKKoVgSapSSaPQl4JJwBdaDdd1S6USgLBYLkSHP3GKsaLYKUrerl27br75Zill6Ic/+MEP + 0ihduXLlqaeemiRJp9MBACgXh1qpLIRwHEe9R4wxJVRKKaRUcOto73pCiGLWEI22O+3R0boicEqM + JpZN6ra1bdu2fr/PJbNtWzONFIj+0OFABlFo2NZDDz+cxvEJm07+/Be+MPQDAIBpW1TywHGy2Wzg + u5deeukzzzwzOjr693//96vXrtm3b9/q1asXWo1aoVav1/c++8z1X/nypz71qVu+ecvc7NzWrVtt + w5yfn9/+xNY0Td/whje4A2f7E1u/cv2XFAno7/72g+tWrxmrj1z/+S8knK1cvfI3T257+OGHJycn + Xdd9+umnjzvuuEOHDi0VXOAIqjb4/zFBOizIXvxzaSkcory8iqOjyhfhhOM26oQiAFvzTSY4xYQl + 3DIIELCYLyGAh75rGWYcRuOjY6965XmVUpkxlgpmUC3hHEmACKKUpGkasyRnZ5xub2Gh+fRTT206 + 6aQL33TRJe99e6u5YOezYyOjn/jEJ57ctr1YLr3lojfv3r17fHQsSmIgZJwmgedLCHKZLJIpREDI + ZPny5YW89r73vn7vUz/tNPIxzy4bOSNNU7fbzZeM1nyr0XH/8vzz5l98TDFfyudpvzvYt/fZkzed + ZpqmYVt+GCCEKCWI80RySpCUEjKBMRRHB8iBrusKcRVy+auvvvqB++6/5ZZbrrvuugcff/Ctb33r + pk2bbr31Vinl5PgEY2yh3SK6RintdruDwaBQKCgysdrBhRAQAkiwYnZJxtUh0el0EELKhdNxHJXA + zYEcDh3kuSoHwDRNNaBU+Qy1Ws113dWrVzcajYV2q1AojI6ONhqNQqGgdnnGWKfdHq2PdruObWXj + iEvJECKGjuPY5yIhiBEkiabzlLFUAICSkKdxTPUMgliwSAqsaRqEmZRFlFIvHBJE4ogjSDGGcRjl + coVCLo8xVhohAECv1wMAVKtVXdefDyAxxvL5vPNHmo9UyBZBkeo64yFLBYYQYgq4YIxRTU9BQjEW + AAS+pyHNtG1IEYPS1uynpp/6+Gc/NgoqCEAP+D/5zb32qiLSZYISIVKAJKUEQIR0iRKw5vhV39jy + zTzIAEC3N5+cSxYyJTOOjMBz7Wym129reo5qhgSgmClPN57J5XLYoGmaSsl1SgkgURQhvJj6CI4Y + igiI/Cjtcr/dD4xgOIhEEgSDYCb1uTE0MEUD189l8932XiigRjBniU6Radr7Ds4WS3U/TmIeJygP + TQwiMO862w/MdNP9zI4Cwn1OaX5UMywuqQScixiAFEgBMdAQTWOmJQABbOjWaLbih0MKiAZJyhJb + t+KA8YilAZusLR8tVPce2J0GAhEYR8kQDDMyG3lRERVhSnw31KHZ7w0h1j0vkIktIw4SUTQy0mCE + S7c3BJrAiDjd4bA7tKlpEa0TJgTRYq7YwQPOJABI1w3Fp5NCCgGglBBBjBADyf+2c/6fXVixxqCi + hwkBBYAAScQlgBzIFGjQKJlFkxhz07PP7Jze++RCKVsKo+FZ575480kv2t/fOwh62WJmeu6QWcgZ + 2BQIZovZMAwRwmmaCsbgEevIUdJzvh5FOs1kMgr8CCEAwFJKnsSEkDSOY8Z0zUQQJkkMAMBEk787 + lfv9s6Mjr7xYxDoq3mrRsg8ovwEAAAASCgDQEbIiBKVQTyKherBCR4tX72gu/P9VC0qEJEJSSIGE + mroduStIBKByRQcCITdwM8UCtfSsWTwUHKKUci4543m7GASRkMC0Tc55ylMpMYTQcZzA8zljumli + jLlgWcsWhwPo2+32vn37+v2+aduEEH/oqyZUvV5fs2ZNtVodDAZKgq8YJSp6qNXtzMzM9Pt9K2ML + LjAyNE3yJDVNM1fI5/NZ27aFYJxzRX7DGC9ahKepXJKuqZ7Rby8CAIdpOktOFYpfp9p2qjpXswLV + VltqlimynNIpPOdwVZ256nmWjhIhhBe4hqV3+h3NMq2Medc9d8825wulouMOOJBSyoceeuiJJ7bF + cZxG8djkxHxrARGslLoAABXhqBTLGdNqNBq2ZRWLxTSKW63WyMjIoZnZWq3WGwwrlcqg1wMAbHti + 6/LJZY1GI5PLKs/AFcuWj02Mx3E8NzfXbXc8z1u2bJmyyEIE97rdZROTjfn5fD7vOcOx+og3dJMk + kZzv2bOHUpzLFhgTQRBRTFquu+nkU8885+wbb7wxDENOaDabUzSNuUbDtu3du3dLCFqt1rp16w4d + nFZswzAMi8Viu90+9thj2+124Pknnnhio9HYtGlTlEQz83Oqj6lYJwoZCikwxlBIRZhX8FUIgREK + w/DA/v0jIyOqH8rihBq6ZBxwjgHUNB1jLFIWJamUMo6TE44/fuvWrQQiCEDWzkAI/1/23jzcrqq+ + G/+utfa895mHO+bem/EmYQqDFUFFEIeK4NAqakEErdQ6K05twQEnHKLVWhFEauWlVq31rTIKqAFE + gQQTMpCQhCR3vmc+Z89rev9Y514CSH+lj7+3+rx+/8iT5+benXv2Xnut7/AZXMNyDasysuLggQPF + XL5SKH7g/Zd5jsulSFmqlOuEEKZuICk55xomff0JRnVdn1tYGBoeEBy++4Pv/vKBXyu2maZpqphD + KpcANDM1/W//9m9pnFDKXMtmTOz4zXbbdsvl8kmbTpyfn0cE//mf//ltt9ySpqnrumpKKdGSWNt/ + 7wzC6PDUkdHRUaUAKSizLItyduTgkd179rj5bMqYH4dOxtOJVq5WzUxmYWFhfmZuoFzRDOO8V75i + tjZXyZcnj9lw/EmbpqenS5UBAOj0ukqoXXJBBVu5ds2W++4+789eVSgUGOc33XoLE/zE55wsQWBC + 9uzZ8773v7/V6ni57Gtfd75lmGEYZjKZer1+eHoqSpMrP/2pVatWdbtdwzCmZmeo4J/9/OdOOumk + erOxe/cur5DjnIdhiDGuVCqPPPLI2NhYo15/QmEEAP+9CZJAsq9ehZ5wIBCEpBRRHFYHKs1mI+Oe + 4He7URhqAkAKTOVQZSAMQ41jHlKHWIgBYlB0c91GB0kch0nWy9AkypcK1cEKCMkE1zRNIkjjJJPz + +roILM3kPN0gn/vi5yikV1758YsufnO72xkaGKiWy6VCYeXKlc16/eQTT5w6fMQDN42TKIkdy2aC + x2Gkpu2lUglj2W4lRDcHBrNhj/htSikPfFrIFRiNi8Xy4ZkoX4RGFzDGNIaR4RWDg8M9PwDAq1ev + dV3Xcexs1iuW8lHg53K5RrPuOI7nZrphEobx/Pw8pVQNtY/OieEp53ecUsCE6Ea33R4YGLz66m88 + duCxO26/Y2xszLGcqanp/fsPFMvl3bt3e57nZLw4jnXdpDG1DDuJUsFkxs36HT/nZsNeaFp2GEfq + 0bquG/R8jnk+kw2TOI7jrt+bmJiYmppSE3bHcTDGvV6vUizNzswQQjLZbBiGnucp3bnp6WnP82Qo + JRdhGLq2gwExygxd55QZmh70Qsv0wogRhDNZ1+91aMIkixxb55QKxgyDUAxxFGW8AjdAQxiLlFJq + 2EjXqZCMidB0NYQYcBkFQak42G0GcRjlMvnYjyXvK7+pWsI0zV6vl6ZpPp9ft27d4cOHA99XzrbL + ZoLkD9kfydR1Z8CTCAkArBGRxAQERrqUWIAE0JCUmMqM5mCJ4zjGgmjIZIzaRXNv59EkFzNgU7Up + bYDEwpeUYSwQQRxJDkwiIAAGBJEQ+4KDA26VAW/Z7SDoiIBapq7jjIhlwfA4IMkpSNzm7Xw+L5EU + lBFAgDTOpACKNaJ8phEAWSJySMAM6dgu1SOeyeY0w8uuLh2ZbYBkgCH2I7VftBodAAACMVfrH9q9 + GAA1WwuAJBDotgJIAQxoMamXV6HE6Iq6njdzrtWNheRYSCkwRYQRzBFIIgniCCOTgJ5SbntuGAcS + SYxQEsWmZ7a6nZHSisemD1UqFdpIDh8+XCwWdUy6UVQoFrphoFkGMjSQWqfre1kPI+SYVshZIZtj + c7GGMY3CvOv5Io4j3zQw1w0ppWm6GGth2MUktVzd1LUkiqUtESKSI+AaIgIAIcCAEQASkgsQirLV + x2kt96we35Ix/F+EaSGJNIEBgGMBiFPEBOEAICSykCViSSjJkHw0wzdOTGbs8tf+8Z9GvFXzbCaU + vXRd7J3oRd1Id8wkifO5DAcJCLgULI40IiWSAiQQ3Gf9PP0e+HhITIguJVC63GdCSz+BOQdMTIOY + EkBI0HQTACQ8A46TohtBf7kCILnscSyfWOpDn2HUF7eDxyur5W95giIslk/66f8nQiKINYklCIQl + 0kACAkHksvQiXrpLfaU/jiDlzPZcv9sbzY91HuuoUhNrBJuk6/c8J0OwdmTq8MTKlaaV2ffIo1gj + SnROoTcJIRomSqVAqSzOzs7W63XdNJIkCX0/l8nPzc2tWrXq2GOPLZVKvW5X+XzolpkwinWNUppy + tlivHZmZppy5TiZNojRNGaVY8MHBwWOO22jnMu1eT8HSgsDXNEJpClLQNCWAQBIBCAMIAWhpliQZ + pyl1vSxwgQXHUgBIxJnfbn31K1/ugz//UwcwlbI+VeTjaCGQJ/2jBOCSgU6A4CAMLcdasWrCcux2 + t4N0fRndGCcJAOiWWW80ECZcSISQkFK5R0jOMAhTJ1HoFwu5yA+6rXTtxKrF+YXEDzcde9wNN954 + 7jnn+L7PUlqpVOZn55r1hmPZfrtbKBQ0HC/MzF7ypjfNzc3964GDbsajlEoEGtGSKE6iuFgsijh1 + NcPTzZ/f89NLLrlknx/0er1cLhf5iXK/tQ1bAkoS6jmZkaGRYzccw1NmGxbGOAxj03a6fmDajkrx + s7kMwWhhfq5cKcVxjAQkUWRZVqFQmJ2ecV3Xtu1utyuEuPCiN+56ZPe+gweUTbBjGOroF0JgANsw + lU6SajFTSm3Lwhx2b31YgMCADx05dM0119y75e7Z+fmh6sD5bzh/fHz8k5/8pGWYnU6nUCjcdttt + pmHPNxe2bt16+UevcIjOuZycXHf99defd9553WY7Y1iaAJ7QZ590yrvf9S5CSKPZzGQyxx93XLlQ + 3LLlHiU1rMaklFLK04mJiYHhoe98+9tnPP8Fzzr5Tx5+ZDflvG9PomZcfQaTPPnkk9etXpf1MvMz + c0MDg5s2neT7/mc+c9W3rr8+l826hoMBrrvum/fefbfjuVES46UAALX/qfPpmQVGA8NDtWYj52VK + pdKxxx77ljdfwkH+9Bd3powemZ3phsG9v77voR3bV69dZbvu7PzcwtxspVwJw+ATV368XCgamu55 + Xq1WUzJdtW7bMAwuRBzHQ0ND8/PztmNKgYiBg5mAMRanacpTrGmUM8uymBQpZfO1mmFa7XYbJCII + tdtt13Vd180W86BhjRg7H9mthCuHVowo4Ojd993rOI5uGqo74MeR53mdTkdBNHVdl1yo17nPDAT0 + 3xdp6OOtjyL1yiXWIGNMeRsDQK/X1TCmScoYW5yfB4mdjGcYVhAEGIs0TcM41jTNtWzf72az2crg + gOvatm32J9oaAYDUMgghgnHXcaKgl8lndIP89TvetmJk9G1ve9vGjRv37H1ky5Ytn/70p++795fV + wYHBgcHx8fGZqWlCsGWYFbtqaLpEEPR8ANCRE3RYEvFq1fQ7IGUURL0kEXmvgDPID2qW6xOUWKZV + LsFiPY8EYowdPHho/cYNBS/XbDdmZmefd8bphVIxm/NajWaaxoauVUpF4OL+X/9qYGgsXyorPzjb + tlWeffTo/Am3EQARrGFdSkl0PYyjU089dWhg8MQTT4yi6JWvfhWlNF8sDDYwdfMAACAASURBVA0N + 3XvffWEcqxaU3+muGBk9sPdRJXE2Oz1zyp88a8fOhzljpqaD3nf7EQm1dEOkNEpTpevNGNu7d69i + earRE5JIMu73epZlOaYVJwljLOWMJenA8BCBvjXewsLCxIqxZrNJJVBKadz3uZNIC8JE0w3OaBj6 + GFLD1KOUGcROmeZ5mU7XR0jTiNnrxkS3pUBENyzLbveatuOGQYdLoDwlhBAgCMx2q8epdDN2GlNV + RagCSeLHa3vOeRzHqNsdGBhoEFKv123bViIwCkfx21fsH4I/EvUTagVxmmKENEJ0ghASIKQQAksC + IDFwEJhAP42WSGgaBoyiMJmdmd1zZA9jTNNxvpjLmBkECBCmkkuEOBIIS6yLlKWJpI89dqjebmmm + VhmqZHO2AEW5QEtMGcQRAMIS9eufJy3a5XjSJIQjLRIcIWxadhSmr730jdeH327sbIIAIAAcQOW9 + GEAD0AEQcApAAaQEAkAANAADwABwoMXDg/WmUcjbjuuDH4cRl8TEBCMssAQsBTAEAoREQkNMUkq9 + nOcnPgLNMDAF6nhOl0WGpbe6bWySiIW9qJP1bGlAgmKpyZQlmq3X200r66QtamY0kkFh2nNzlWZU + Q4QYBrE9U3Nxw69DTmALkIGoSEEgDXteIcMI5xrjiMY0UAL6NnEpSmMeCwGaEvmTGgIJGMl+Yr48 + f/gfDiWxCgCyLyck1DgFA05Y4uqeCTZO9JyV9aAQQDqSXUFnYwLEK1jD+aEc5Ar5UiOtMcY005CI + axIjkAKklJJLKUEQeIaqnv81iNp/++YdvWKfeJGnu+TvwXP6fQ25hCgBAAAMEn4rwlAetdZ1XcdI + C7thbX7BwZlcLmdZFg2YqZmmqYc9f2hoeP3adXv3P+pmnA0bNnDOlR7xciG8vHOqJhcAmKZp2hYT + IhaCcz5YHahWq5lMRk1plATCMtuHc57QNE3TlFEFlzANQ3JGCKmUS9VqVR3WSuNNIvn480dieTKG + AfiSRoUK0zQFwkqGYfmsZ4xh3QDlzdW/Sf178SSxh+U7xJ9y/45esU/aNCQSpmP34oBRvmJ8hZvN + 1NstL5uJ0sTRtSdJhvRRPwir58Y554yBkARJgRCSYNv2Gc993rOe9ayD+x9bs2ZNbWERMMrkcgbS + 2s2WaZogpGPZr3j5uevXr1dUpZ17dg8NDUkEJxx3PGNMmTRqpjE/M/uRD324VChIKSUXBw4c2LBh + w8GDBzGgVqOZcV3VNHze85537MaNQohisVgoFHbu3r1u3TrFllF8dQBMlCY150IIiaTgrNvt5nI5 + 1ans9XpYouHh4Ze+9KWlUkknmq7ri4uLqmWptHkBQOE7kiRRk8AkSUzT7HQ6pVJJsY8wxplMRte0 + wULlyOFD559/PgC8973v/YvzX3fPz39RyuVPOfnkd7z97TfddJNlmn6vNzgwcM0112z/zW/+6m1v + y+fzP79zy50/veNX9//acZzPffaznNLh6kBjYTHvZdW4qVIu79y+45prrvG87Acv+8BbL/5LQ9Pv + uv3nSRi5rltrLhJCNNOwbfOjl3+sOlB59/sbj+zZ89Yz/6rT6mKDqGykj+pHfSrdypUrX//615u6 + sXP7w+vXTa4YGaOUXnnlpx577LFsJsNSmnW9RqNhmmatVlu3Zq2qDBljgJFKqwjG8AxFR5Ik6fZ6 + Q4ODvV7PX1zMZDIPbn2QCv7sU56lO5YEePTQQdCwk/Earfrhw4dnZ2eHRoaDXi+Joj179mAJgnGM + cS6TW1hY0HU9ZdTvhQovmjKqGyRKEsFYuVrVMG51OrZtzy8urByf8MMAIZQmqSLLARcrV67cvXPX + 8PCw7bphHCNCAONOr5fP54vlspRycXHRptTLZOr1+sTExIEDB1zbitJEsS2WYUSc8z7UEuQSwq5P + Z/1dijSoZFFdPYoiBYvy09Ak2uTGyWaz2e34WEMJjSUSXLLBkeGUMyp4yplpW4eOHOaGnJqZVohA + jFXndWkrEdLQddey9+3bJxirlit3H7z7lFNOOX7TCVt+ec8//OPXXvzSl0yuXTczN3v88cd/93v/ + iiQggiUXiOB2s2VYZsb1CCLAPc8ckSzDIrj37oWHt/8miUr5vCfFEdMy3SxPklZKO+Vi8dabenfc + +S+Xf+zNhVKx1WrVarVEMqxpjUZjsV7bt2+f8vQlBDWa9bVrV5cKxfnDc5qd8fIFx3WdKBJSpknC + ONcNQ/AnYO+W91AhuMQIEVwul+v1+vqNGyYmJrxsJmX0wgsv7Ha7i4uLSpxHCdMVCgXHcWZmZuI4 + 1glxLKsdx7ZuOIbFUhr1fM65Khg6rbbneY1Ws1gs+r4fsO7oihWKhOo57uL8gq7rwwODlm7UFhcJ + IeVCEUIwTTOTzdYWF+dnZkeGh3u+jyWsW73m0KFDlUolSRL1rirv3YQFjmsTHbrtkAnsWoZpmlFX + K+QHDx+cymcGDOJQynK5YhBEYRJrxKSpGYU88MnI4Kp4bqpYzgrBoygQVBRcV3IZsACDvrAwO1ip + pmmsKJVSoqXlC0KIOI7jJBkZGVGV3vI4SNOedj3LPwR/pDiKol6PMWbhflq5/KI+3edCBLhguqmV + qkV1Ec4pYLQsn4CQIqIjAMlBxDqznEyhMIgCN6WUuXpogcRAKZdESCT6k2EEABxJAPZbaqSnvckA + WCdUMGFCaomxY1c997zn/+8DP4ICQAzAMRJSKuIGBiCggDeSKtMZAO2oHqkB5fGy4RnIQgJkkqRC + k7qug5QSOEIIkOBSYiEAJEKAEMuVK8567errrx7yiouN2Xl/UTqYcsqxKOTcXrP37r999+krT21F + tdnadE/rkgyJaaLr5uD44Ke+/MkxY0xLUS9p/mbqN+2wTqXPo7Rs5l95wStXXTpSyWQayeLudO+R + 9pzMGEQCgmDjiRve/B//YnKyv3G4gXo0BwtRI9ZCwFLXMIDQJEIAy3nW4ySZ34+sWy5xcvq5lFQ5 + LgAAQoRywaLQ74RD3kgLGnPtWbfq1Bfahm7YWYMCP5wcpjxNOBMGJJxJJPp65oCVzoFEQv6efNQ/ + xu9HJElCEM9ncxnIaj2zWW/UFhZRQAa9QQNZGzZs2L59RzabGR0djZKw02yp1tXRKYtaTwqZpoBn + qmXGpWSMUUzXrVmrlOuWsRuGYah2NTZ0RUtW3StKqaFbjNIgDPK53Lp160ZWrOCSxXGsWRohhCOA + J/oRPbXhLtXwR0omRBhHTIqIplxwjBEX0kBIIpBScpDLKFMAQE+0A8FLmjdPrS/RU75tOQRCYRwx + xo474fh9Bw9gU3c81/FcCoI/JfFV7zhCiGAsBeecg+BL1CoMQmaz2bPOOuvsM87evXcP53xiYmL/ + /v2lSkWAULgS13V37NjxvNNOf93rXuc4zo033njWWWcJITq9bhAE9Xrdsqxmu5XP508+8aQzzjhj + w5rJxdrCT2+7/cVnv2j//v1nveBMlQc3Ws1mszk2MZHNZi+44IK8m7vljltXrFjhed7MzExpcnLv + 3r3KFUriJVgHkkhDGBOMUUqpvYRyLxQKQddX7lKvf/3rDU3/0Y9+tH79ejWjWLFihfIXUjmkEELh + uJQ8laZpq1evbjabCCElgNxsNErZAgfpZLz5+flrv3Xd7bfc6riuYZp/87d/+5vt2+MkCaOIcd7t + 9X59//2FQsHzvGq1GtBgamqKUnr7zbf/6Ob//fKXvbzb7QZBoGHi+76gzDQtw7ZOPvnkrQ9sm5+d + W7dyba22QOMEAwp6vhqqhHGkIex3e6MDQ294zfnf/e53kyhyHIfBEtQFIWUUK5ckDYUQig31i1/8 + IpfJj42NnX322ffcfferXvHKkaHhG79zw1e/+lVT1x3LNhTvCPpyFFwK8cx9kCQC13UJIZLxXrsz + MDBg2/add965/7GDpz/v9Ew+99wznp/L5dI4mZmZmZ6ditO0Wh3stLoZx7V0S9WKhGgnnrCJJSzs + ha7rqoZCwqjrZBZr8wNDVS5F6AdREiMJg9VqLper1+sEIZZSmqSlcgmE7AW+adoPbd12zDHHHDly + ZHJycteuXUpLec+ePWjJ2KpYLAIA51xdZHBwkNM0iCPDMEAjKmNUIiKGrqsB71J99LsukCilQRCo + CZJOiGqxP7xr5w033rB3z94Ht29du2YySMOQJo7ntoNOmrDNX/6iYZpBEhCTaAZ5bPrQ+hOPUXhf + tbVx1tdTllIKzhlCvu9f/KY33XXHHY/s3jO+YuyEE0/68t9/tdlsep735S9/WQjxwx/+cNtvHlId + CIxxKlOl0azy0cAPk4Q3m+2Hf7N900lnPvbYwyvGLc8p79mz89BjC4KDbeE0bVpGNDae37H9vm6v + CUS2Ok0umemYBBHAkgMDgFwuo0xyHMdqNnm5XH32s5/dbHfypcFWu6tGuipLVgCqJw3Wj94oOWO9 + Xm9ubu59l11Gk4RSKhhXPTPGmON5cRybtmU5dhiGrXqTpbSYL+7a9vB8bV4yZlk2MTTKOBdMIgwg + CWDK0ukj0yeddNL84ny+WJydn2OC67q+c8/uD3zwg4cOHBwYHKCUNptNRVotFovqdyiVSq16o1gs + FkZyMzMzHKRt2+VyeWRkRMlSL0vAqd0+SUNg0vFILperz9Xm5+cnRsbf+Y73bZzcFIWsWByKY6oR + x3Oh0wMEIDhkMtBtg+tB4Esu4ijuVCtFyiJB0xVjFQCYmZ294orL6/XFOAnVXeojFREsa6FSxubn + 54uFwooVK1qtlqInKRrub12f4g/BH4kuiTdgjIV4PDPAT6NpLpEM01iT2DB1Q3MASSmlEBrnPE4j + IABYIowUc0JKyaQAxHq0RTQjV84gwJFIIhpynhINAAmB+sbaAkAiqQGGo3uo/18hkMCazhntpEEq + qOV457zx3NUb105OrIMEEyBYNa8QCJAcuJScaEgIhoTEGANBQoiExjGP/NRnmFGdhXFoZEzdNBDS + bNMOA4oIlkvYKIkAIUAICcYXW/OD2dLdD95dsry52sxMe+HUFz3Hh56bz04tTK89dnLPg7t+tfVe + S9fafuOk55+UJjSCJEzSZq0nfbm/u1cmnELUwd01x0+mgvGU6wS3Gp279u2nUYhcxkaAVDTN1ZHA + NE3qcXzTlltNRBppR2SRM5pnnkRYSC6JhrEEhBRfRSDAUnIk///2fX3G8cR5IJYS9y1SBeGcF3Il + J2vLUPz4rh/v3Ppwg9aHNo64pj3TmbrlnpseWCicfOaJucFcl/p+EkgALAlCBAPCEiuFA47/WCP9 + MR4Px7J5ynVN7yy2zdBWgrdJg+b0XNqjX//aP37845/42c/uwmlarBRb9Zb6KXyUZTwAAin7UsWc + q3l+HMeAsWVZtmmPjo4qIR+1mSsYswILYE1TVFvf93u9XhzHUiDTMAr5/OS6dRMTE4Bx0PU5QSbW + EULKY+vpcEjLTSgJwEEKkFwKSXC+WAh7Pk8pCwVDki/ZSyzjIJ40PnoSL+5JRdjRb8+TCyQsAGBk + xejlV1zxt1dcHqYJCLF3/6Mjo6OU/hZxlGU7W3WmKJsprd/pk0EQbN68eXFx8XmnP//CCy9sNptS + yo3HHnvKKaeUy+Ver2cZ5po1a26//fbt27d/8pOf3LNnz5Wf/hRjLJvP/fU73j45OdntdgerA61O + e3p6+o0XXPCWS958wQUXXH311XEcKwDFPffc4zgOCDk6Oup3u1t+/vMDe/ddc801D23ddtVVV61Z + s6bb7T6wdesrX/lK1Q7mSwl9//eXUl9S5VYHveM4SEC73b799tt//etfX3/dt+68884dO3YsLCwM + Dg5SzhTLV/Vz1ZBAdUWVm1Ov12s0Gscdd1wfgUJptVotVcrPfe5zNU177Wtfu237bxbqtXw+f9FF + F73hwgs2HXc8xnh4eNj3/W3btn32M589++yz4ziu1WrtdjuXy535ojNzhfyLX/xi27YnJiYkF47j + 2IbZarfWr1+v+v6WZcVx2Gq1CMICCYKQl8kEUeTaTrvV8mwHALZt3dpsNrvtjmmawFNFY1O5u5p1 + YEzu/NldP/z+D3WiDVYG0jjhVMzMzOQKRSHEz+/62cyRKU4ZTRLPstM09X0fY4xIPxMmCKu6/Zla + JEjGhRC+71cqlV6vd+211wZBL6bp/Pzcnv373GzGyWWOzM4ARsVK0UhiCaAeQb1We2DLr3bv3SWY + qBRLvY4vOfc878iRI4VSKY5jABzHMccCQBSLRVX+BVHYbrfbmzdPTU297OXnTE5ORlG0e/fun2/Z + YuX00dHRdrNp2/bU4cPj4+P1xcWZmZnR4eEV4+Ov+bM/6/r+zT/5ydzCQhyGQRTls9kvfO5z73nP + u1XvW9f1OI41rKvXk1iW5AKU5zh6HAT+OyuQVBGibIZZnKqmThRFv7j3no2T6//05S9bXKy19nSS + JMnSvGEahVz2pz+7Y936yVXr1t593z03//Tmhx566IwXvyAIAimR6tSoP5HEICVL04ybDX3/wgsv + ettfvu3BrQ/mstlj1h5Tq9UqgwN+FP7g3384MTFhIOPsPz07TGIqOMY4CAImhapcAYAQbDuQycHf + f+1jY+PfnZnutLrcMPKt0F+xtoAQigI/m7N52mNkYdvDPcuR5736TyVOGo3G8198hgBGNFQoFII4 + Egi6vm9zHiYhZWznrl1qK6VLft7Lk30AUCUfPBF8358eYIwwtjzLcZx2t2NougCoNxtjY2Oaps3P + z0dR5DhOlMRRFEVRZOuGEDLyo5SnrzrvVTrB55//+lptYcs99wIISrmuExBISt6st95/2Xu7Qe+O + n93lh4EAGBgY+MtL31opFJuddhrFmqZxjAuFgqZpi4uLjNKLLrroE5d/nElmIGPP/j0f/vCHE5p2 + Op3jjzvu+OOP/8xnPqPputLBdF23G/iapmFdpiz1/TAIfJGwkZEh1/UWF+vfvPqDczOtDRueVVsI + tz6wt1oet51it00RyrRareHhYhLXV68qp0lT02POOlwEzfbCRz7ywfNe8TIMsHXbrwGEbmqWbRqG + waTgnC+/zao863Q6GKFqtZrL5ZYVJv6T9Qm/9/5I6tUlhEgpllun8J9OkAThDAATnnKaRDFCyDRN + oiPOOEgJagjbh7djAIEJE0wmURwELYQxMXRNQwQAQEoBuI+bFRIBCECKYP1Mwk8iy7YpE9TgzOV7 + Zx4dPXms0WsL4BgIAXJ0sScQk5gKJJCQatdGCAnJuBBY4nK+JDXU6/pBGhqmiRBnTICQgAQiUm1k + oFgAkiMDlbPF2f2zlZUVv94qjpcmnr0mJkmxVGz7Xatobz+wfWCsOjBRaXdq6ytrOrxDicjkcikT + YOIIhSmkcafn5u3R6lAo/VZ30Ta9ul8TES2Nl9JAT81Y5il4KIFE15DuaCgLKCYpBwPZZtX1hnLC + EAEEURpylgoQWIIEAko7tM9DeHK90B/0HfX3/2shkVQ5llSlWx/4h7HECJBl2n7Ym63NjZaGjj/t + +GNPOdbkRrgYW4ZJcZwbzRxYeLSD273F0MgYyNIAsJQAUkhOQAokUN9P6I/xx1iKMAx5wmzLdhyn + nKlOk5mF+fm0wYZWD37hK18s5AuGYWSzWT8M1MEHS5CXZYyciiAIlLSd2pN7ga8ZRj6bLRcrlYGq + wj9LAE3XFWhieWNX+0zG9YYGBjnnXIBpGEPVytjYGCEkWPJgVXgkufTfLjeVny4kANY1JKDWqGND + p4IDRrprZzI5leotO5fwoz5IX0vxaNjnM+OECErp6MR4Ilg3DDRDz+ZzLb8bxzGQoyy8nvB7CqVQ + jxDCqI99EEJwyjjnaRTfe++9CJGU0Wp1QJlE/ev3vy8RKFsLKaVBtJmZmfe9732q/YcQSmi6f//+ + n/70p57n9Xq9fDbXrNcNw7j//vtzmSxwYelGs1YfWzlx8803z8zMqImNIo3s27fvbZf+1cGDB71s + Zt8je8vVytzc3K233qomPIBACMGV4isGLrhg3CBalCTFYjHw/fn5ec/N6KY5OzsbhuFrzn/tcccd + p+qfWq22d+/eqdlpJU6o+tTKSrhUKrmu6zjOz266CWK+fv16JQwzNjZGOcs7hde+7vyNkxu/fs3X + d+3a9SenPtv3/W67Y1jm7ML8msl1ClH8l3916e59j3zxc58fHh6+4uMfe85znnPPL+9dvXr17Pyc + rumO51LOOGVJFI8ODQshMpmM7/uu7eS8jGs5ruPohDApkaYBQBQECmNSLpcjGnHOx8fHi8Vip9NB + OlbQGCkEpRRJUMlGs9msFMuC8enpaYQQBjI2MY6BnHnmma8897zh4eEoCG+56aZrrv6GaZrLAiGS + C4H6FTJGWD4TiJ1EkCRJLpNJopgmqWWYb7nkzX/2mlcfmjryhS98fmBgYGZuVjONrJdZbNbjOOaC + d/1eJpMFQNlM7tDUobe/7e3dRudlL33pI7v3XHrppaZufeADHyiVSliJ/nu2wNIPe+eee+74+Pje + vXsPHj60fv36JIpXTaz89Cc/dffdd9dqtb/+yldbnfaLz35RNp/zu73/+NGPquXKsccfN1gdiJI4 + jZMf/PP3tvzqF6US/fxVn/vGtdds+fkvGGOv/fPX+L7farXcbMb3fd22ZL/U7GeGao4KS0YR8Ls1 + ilVNdFXcE4wHBwc3bdr0oY98ZHiwqut6rxfoun7p2//aNG2BQBX0cRy7ntds1fP5HOOpruvFUunr + 11+nSgsAMAhWmEshRMb1OOftdvtlLz9nzarVA+WK3+v98r77opSWK5WBgYHNX/qSFOKX991XLpUs + 2854HiiqPaUmQoxz27JKldxsbeaDH3lPrdGynUyuMKDpTrcXtTp+pVhJ0zRNY8vUsWAI8ySKRkYH + du7elSvmCoXC0MhgrbO4Y+cOYmiKvlkolDQNR3EwPj5+8ODBu3/5y3K5vPORRzNebrlIVb5smUym + 30N6IkdTIGBpyjnHSoyfc81xkATdNMvl8vPOOOPWW29dqC2GcRTEUblcxhhn7YxjuZZh1uZrSRS/ + 6/2XvenCN+3fv2/bgw8JIVpBC2FNA+Q42eyQ8xevfYPnuocee+ziSy6RGH3j2mtajWbQ84ELYuI0 + TSmlMzMzSlXTNAzLsrbv+M3HP/7xOAyZEAcPHszmc0EQvPlNF//kJz8plUqUUj8IlMtEmqZEx5au + aZrmFouGYdZm55vNZhokhoFNi7zzne9cOXHStgcOdRZ/HnRNQ4wZIHPZ0YJHdT3Ims2f3Xbzt//5 + C//63X/4xGc/Vq8f/OcbvuFYyDKE6xBDR2xJkv7o9YqWfCQwQoSQbrfLGKtUKsr9utPpPB0HCf8h + +CNx1KdjqliW1nm6AkkgoRsG55whiXVs6aZaXSmj2CQAUoAUUoIQcrlrKLhj68S1KGWUUgGgIYSI + QTkFJAAIlwoL2jf9wADPhH2OEUIGGIu9Wi6TjSBkLutqHeZwzSVICiZYH6aiqC+YcUwBsf4NB6Rp + mob6La7FuM4C5mUzjIowiVOWFPMl0JhAQkhY+i0lAg7ApYhn6tOlgWJrvl4dKzlZu9apGZ4x31zI + FvLtWnd09Wh9rhZ2O8VKts5qXBexYCLV6vVWMVM284ZXcXotJDAlWRSwjmlhz7ZBx7ykEctwyrrj + yLpYjCAkWAOexnHimu7w5BCSmBEWI9qJW74fgiY0HemEgFwqhxBSYxkpn8Kz/p8OsZSdCaS0yPoF + NXAAArZtG0OmJDDTnKZJWilW9CHii7DlN2sd3EHtQqmQAdyLfUKwFJKARBLrSAqE0TNyPPhj/L8R + hqZhzQQOIGQYBfV6nVJ6+unP/fxHP99d7LZbrUd273EsGwCazYZjuappsrwlqosghBSlFgCy+dzE + xESUxFjTPM8TtI8U4Jxbtq3SYgWpAgCTYISQ67rj4+OFQsEwDIQ1RqlBMMG40+kgQhzHSUHQJXge + Qo/XLwj1Z0ZHJ5WqCEkZxYA81/PyuQ/8zYfz2RyWEPi+RgyFa4Kl80vRHfoaqgrO+mRm0X/9dgpM + 0MjIyJ69e/PFQr3ZCGuL5UolCAJMnnbTXkJ/IKnI6Etq457nVUvlubm5zZs393q9xE4QwV3f37x5 + s22anufV6/XxFWN+p1uv103TDJO41WphjYyvnPjJT37ChFCagcpKUUq56+Gd+/c9Wq/XV65cmSTJ + kccOfeUrX/G7vWq1GkWRZZiP7N6zamLl1NSUpmmu7cRpsm/fvhe84AVbtmxRMxOJkVApE5JC9ice + Usq++J6mLWNzTNOcn5/Xdf2OO+7otNpr164lhNxwww1u1lOqSEmSLJ+zAKC+CFJCMWMYxtTUVK/X + cxzH0M251tw73/2uzZs3r51c941rr5FcWJZVrVYBgHK299F9K1eurDcbtVrtxz/+8UM7tv9syy/+ + 6u1/7WY8hNADWx8sFosYcBAE+/fvr5TKylRXIQYHBwd3Pbx7oV6LaRLFcS8IDMOwTDNKEt00Pc9r + tRtKkc/1vMMPPhhEYS6XE7ifNC6X0wghJOSG9esve+8HQt/fvXP3xX9xUUqprdvHHHfsRRddNLFi + rNfrjVQHN27ceOjQIdUEh6VUSi1IlWlo+BlwRJEE27RUY0Lha1zXLZfLhXLpH/7xa07G27P3ET+O + tu/aecON/6vdbWU8L58rHTlyxCrpnuPkszmWpA9t3Xrfvb986IGtL3/Jn2KsfYhLTSICmEqkA05Y + auj6i84869mnPOfOu+/kd945MjCIJdTmF2xife3LX5mZn/tf37nhP/7jxyNDw/Vm461vfatgXNO0 + wepAu91etWrVCSeccHju0Ac/+EFd19/3vved+ifP/vb1/7Rx48aBgYEDj+7P5XKr1q7ZvXs3AKhk + Dx/lPLb8gvc/7++wQFJZpuoxpCm97777po9MpWlqmNrgYHVxsb4wX8sXC4xxZTKjfE4ppWmaDI2O + HD78WLVaxRqam5tLkkQzdKWwyTlnCEkp0zgJgmBwcDCO40cffXTbg+9TjgAAIABJREFUtm2lfIFS + OrFy5ezCfO9Ab/+hxxzTWqzXRkdH236v0+0KBKamIykc1/WjsNPtttvNz2/+fKfVFAiwRpqtdqvT + K5erumHpxIyiyLZNv9vxXBMjUVuY0U17dGR1q92jlDLBXNfVLbPdbruuHadJlMRJN/J9v1wZ0HQz + iqIoTj3P00wjpqmUgoNEGpFMJozKJY7pk1Jdy7K4FJZhNptNRmlM06zrDY0Mn/nCF65bt+7GG29M + kiSbzSKt7w0X96Jmox3Hqa6ZqyZWn3DsCVEQthptv9XLZrMWMW3Niv2gG3X8bi9j2gDQqTfXrV7T + 6rTjXsDiJON6JI5iShljQ0NDvu8rkkyxWNy0aZNlWWtXr64v1g5PHRkaGACMdV0fHx//zne+89Jz + Xnbo0KFDhw4laapk3LhkgkPKaKfZ0jTN0u1CIQeMOh6pNw8/5/QTy4WqiasLh8r33HUk7pXy5hCP + 7Dhpa27UaAbFzGpNOG98wxtWDBdWr9ywbduITnpRuthqzSPMTMPUDF1VcQIBIUTA48fkcqnp+75S + KleKn6rweGrIPwR/pCclAcoBSYj/zPUy5QkAcIkFFZJxKSVGSEG9pZQgxNEYegyCU9oNugSIbbuu + YUshaMK5pBoBACLVcLnvxiPUCf6Mxhqu4QAApwxj3AyamXxmpjlTKhQ5ECklcAlSIqF4wQiQEISp + JibnHAEQzDSEpZQ0pYgQIJBK1g16uVwOpTgMQ1MzAUAgVTRKqUjPUkrE3UImDsOVayfm6rONdlN3 + 9Ll2LV/N93zfzXvzrYXiYC6HnWZn3sybaZxyENjQB4aGDGQ1ajUKkVnU8lam7i+kJCUaiVJfF5Zd + zAIhjU6bpxRnTQfhWrtm63apWDKFdaQ2A4B1R5MapIgaFsE6kUr6nwNwJfQNEi3X+X0VtSevz2dy + k3+HIZacTwFAeaQCYCwBYcSo6AUtQgg3TbNk6kyLNL8DIRUxJdTxPJ1qPg84SAYSCQEADCRBnCGs + EbR0tT/i6/4Yj4dhGEBlFIaSQsmpnP6c0x687cF9jzzywcsuq882//37/37qqafecsvNYRyVSqVC + rqhm+LCUwahpjpSy2+1KKTnnumkYhoE1ogxcdV0PwhATotqjSrVsWVYbuKBxEmOiigRN05KUWZZl + GzpnjCdxv6lMMOeplBIhvDT6haWR9W8JgQDrGgF0aHrqio999OD+AyBExvVUhqN+9kllTz8hO6pA + Olryof89T53/POUrBCHLsmbmZm3bzhbyvu8LIVLOLP23p3ZKPAUDxghzdQMJMU3TtZ1cLleqDrzw + hS/cOLnhgQceuPLKK9Wk5Vvf+tZb3/KWer2+atWqer3ebrb+/u//fnR0dGRk5IUvfGGpVLr//vs/ + 9rGPfePaa5W6YKfTOWbDhrGxsfNe9vLJycn77rl38+bN2Wy2UChcccUVb3vH2+v1+urVq2dnZ9eu + XXvWC858zzvftf+xg5dddlmj1Vy7du0pp5x8xlkv+OZ110VRFKUJASmlTFkihEASDM3sP+UgkFIW + ikUkEaXUyeU+d/nlrm1v3Ljx0ksvbbVavV7vHe94x3duvCG3RENSNRUABEGgaRql9ITTTsvn8xjj + MAzb7bYQIp/NB1EUp+m73/veH//4x6eedtqv77vPcuxH9u2dW5g/+eST293O1oe2lcvlYrn0whed + fdttt51xxhmlUmnXrl3NZrNare7Zs6cb+a1Wi3M+PT09Pj4+NzPLQTaazUce3VcoFQeGBm/4lxvT + NPXDwJZCM3Q/DDRNm1mcHxoa+Ninrnzxi89evXZNo9sulEqrV6+OWaJWkaKuAIDkQlC2YcOGVatW + zc3MIISafrvgFcI0HBkZ2bZtW21+odlsbrv/gS1btliWNTI03Ov1FESlj1VBWKB+svFfb38KAGVQ + qzwbFSftyisPGrb1ope8aNXk2rXr1h2enlI961whazp2pxuce+65Bx7d71gWAH7e885oNpuEkCRM + LN0GAFPXXctNkiTnZcI4lERaplEqFCXw+ZnZ+bm5FUPDnXYbYxwnYRxFxVzeMS3G6Mjg0K5duzZM + rv/y5i+9+93vNjRdMP7o3n0E4bGhFRdd+MYgCF59zqs+/flPrxyfGBoYfN1rzz/7xS/atGnTiaec + PDU1FSSxpmlBFFpL9AophKIALL+e8DuE2Cnio2EYrutSFNfr9bmZ2XWTa1JOZhdFHCZtv11rNZIk + sW1HN81Wq1UsFqM4FFLWdtYxBjqXNhoNx8taloU1osTfYNm2SchsNquAg2oQRinNl4qHDh0ybAvr + mqZpTIpVq1cfmZmuVCqNRgMBslwnaDR6YcA5dzKejsljR2YAiVwu0+i0B0YGM8UclzKOQ0k4sXCU + 9pDOLVer1ebG14wHQVhv1gzTsxyr1+sxKWgYep7jeZ7Ky/P5AV3XldZZoVAAwFwi3/cBQDmdKcCr + UmM7+nb1t3sEjDE/DKQjDMMYHhpqNpu9Xk/t6cpGwHGchFFN06I4lhgVsvlysUgIMXR9enra9/2s + 67m27dq2hjGnlDhO1ssQQpJeQDCRIAkhfqfbarUGqtXBwUHXtg3LxBqhguuGYRjGcccdRyltNBq5 + YmHVxMRHL78ik8m0Wq2/uPACwPiss87a+sCDIyMjrzrvFfdvfVBKOTs35ziO8ptDCNmOk8YpQsog + nXdbi5msNTxSaXcWOS0MDRljK1aBqPfaxCwWu73Iy1T87uFKebSx+NDGjd6hQ5imPuNN3RCC+xnD + MEyk6zjldLnXRQjWNI3LvhU0AEiAJEkynocQajQaCKGhoSFd148cOfJb1+cfhD/SEyxjEAKpAHj4 + aHm9JwUiiqCVYqK8d5BgTAjBBAUAhAhCSKhKS0oGghjYMl0NaZijNEkkkyAQAqyBxrEQIDAgkFgg + gTDqV0f/5RQXSxCJ4DIpZvNJFOXdXMtv5gpeJAL1KAkiGBGMCZb9GoEDRwQDQUTX1OCIC8EYJyYB + iTVNI1ijwImuIc5owizdwFIAAJIgsDIXQAIJ09DSNESAD7UPpyLNFbOggaVZURjruimE0C294beQ + xsHGsYyxQyyw4yTmKTIIMxwDNJHI2PdbUoqcnfNTXzKesBRQJHUSosSyzV7UASKy2bwhtU636xpC + yxuUc2khJiin1NQNTIAmlEuBhKJmA0JIIoQREr9n5YJAQqL+zQQg0K+OlDkQYIINW7ddK03jlMdc + MM5TzQYhmUAQpzFQzDm3nAyRpg4GByEwl1JK4EJKkEoMGf/e8a7+GP9zkUSxZDLj5DyZDVv+8PDo + 6aefvnCgRlt07Zo1SZIIyiYnJwEjLmi346vaZjlrkVJKkFII27YVdq7POwcppEySJI1SdRar2Xsc + x7quW5aluKbLSDnlSmSappAoTdMkDAxdz2QyXMqExgnjkixhFgAU7wMA5DLpCPUJSEdXLLpl6qYx + NTermwZCCOlawhnl/RHHk158pCZTqI9qRnLZpLgfR1/5qQOr5aCcthu9NZPr5ubnO52Obhgdv+d5 + 3tOhzdUtRBgplYvl2VE+mxNCfPjDH/7Rj35075Z7LrvsMkop0fULXn/B9f98fbvddhynVqsVCoVX + v/JV55xzzuWXX75q1aoHt219/vOff8899xBd/9rXv64Y19Vq9dFHH73mmmtmpqavuuqqf/zqP5im + 2W63L7744h/84AcK7bZr165KpfKSl7zkbz74kU995lMrVqy488673vyXb37P+95bHRr4xCc+4Xme + gsMBlupRAoBhGJJLCVAsFpMkSZIkk8lEQeS67llnnfXyc15+1Wc/c+TIke9973vnnnvujTfe2Gi1 + vvXt67kQURQpUrqCzVNK2+324uLiyMhIGIY7duxQJ7Lnee12O5vNtlot0zS/9KUvfeQjH7nggguO + TE8feORRABAgDu46+NAjD11yySWXvOXN11133a9+9Ssp5U233Lx///6JVSu/9y/fA8AU6C3/cUu9 + U3/5y86ZmppCElzXbbfbX/jiF0884aTzXv2qG75748LCgqbrUsNTszOu68YJ9bKZV/zZq3K53OjK + 8c9edRUQfMyJxz+8cyfSUD8BWMKSqIPy4Z07r73umytGRsMo+uxnP5vxcocOHtx00om1Wo2n1Pf9 + XhA8/PDDGOPFxcXVq1eLo0LRuTHGkj8zFTtFG8EYdzqdZrPZ9XscC+l3b/3p7e1/+/66jRuIrm3b + tm16ejqI/Jim+VzptgO3VQrFxSgOwxADklJ2232kD2cUIUTTNArDoaGhxdr80MRoq9MMgkAomx/G + CSEZx+Ugs2Zm8+bN7Xb7Baefec/992zfvn1ixdh73vOe5z73uWqLKBaLUsr5+fmYxqeddtrCwsLB + qYOKQD48PHzrrbcSQlaOT6xbt05xK1SSZjtOv3sipHYU/l95CP/OCiRVA6hsNWM5pmmuXrkKaVAd + rD68++FCtlAZHOh2fd7pUMFYImzXbnfbcRwPjY70ep0kjXQws4U8SEwpB5BIYpowNSJXrl6O4/Q6 + XYQIY6Jcri4sLGSzWTUmsyxLPW81QFD6ywgh3/dzudzc3Nzg4CBjLOW8WB3udrtUGLZXnp3vZLPZ + KIowdimVAICRjXWz0+OmU2p2UwQaaIQYWrvbVSxD13V7vY563xhjnU5P04yEcsvxKJdSsuV0ViFK + 1Tp46gSg/xXUn3ebppmm6eHDh/P5/ODgoBphq5FCmqaGbanOAUKo1+sdZQuLlrN5xpjyFqCUItwf + p3JKsakp9ouCiinLMMtzG60mMXQvk1H/XRAEk5OTd9555713/OzuX2zZtGnTBz7wgRNOOOHRAwfe + 9KY3/fimn5x88smmaZ5++ul33HHHyMhIkiSGbdWbjWKhxCUEvcVsNqsjKYEODg4ahmaYhLLQtEBw + SFkXa3EmlzXsVEtiiWLDSqOknrLWwBD4QYaLmLMwX/CIhgQwAMjn84vNrud5AGBZVsJokiRE7/sU + GYZBGVPVCyHEsqxOp0MpzeVyyh/J/8P0R1qWoMASIYQ445qmkSW9GomW6INHY9ZVgaAhCZLyFBQ+ + CsOSnjQs/4kQkQglRHTCwNEsW3Mcw6YhM7DZ7fq25cRRmCsVm35TM1FEQ9e0e72uY7loCZh79Or9 + rag/JJHGFfYdaRjxJHYNiwtGEBCijDglAJNCSInVsIKADgJkX0tHUCQBJEJEKemlnDEmvKwbJhEg + MAyNqc+IAfXNhfogGMZTgrDUADTQLcMXEaQCMCaAQCAhQWLQDCKwkFgKBCAAC4kBa5qhLsiBAxaa + oQFAlEaa1AAboOtCooBGRsaiwDXDxKps5ci2LCEFI0wS4JICUgrgFAuBEFJUT4yPthkVCAN6GgCN + ah7/jxjIKu2s5TY2kqBYa1xQRCBMQokkECY1gRGmacoimtHzDvaibuRZeSO2272ulbMjFnKNERsi + FiAD0ZRqmobEH6ujP8bjoes6E0xtYqrC2bBhw/13PZg0EgvNq41lx44dbsZrtRvVymAQBN1uV32n + OuI5457jxnFsGAYhhDMKS6J2hJBsIa/Eh0488cQDBw6Uy2U1nFdOrGmaKhQ0Y0wy3uv1uACCsW3b + aZKYpllrNNyMoxGUIrZixYpuEtQbjZQzdWrk8/k0YhhjAZILzoVQrHdVd0RRlMlkQAIoexJGAQAR + JH7bG88FD3v+5OTkwQMHTNO0dIMQMj8/PzQ0pC7V8Xu5XE7TNN/3vUxGwckYY0wKdQwtw7Ad111Y + XASCdWwIBJZl/SdcXJ1ojDEhmW2aaRzms1kAQEipqKOx6tg3v/lNx3avve66yz/80V37dr3mda+Z + nZ9zMxnJuZSy2WyeetpzrvnmtXf+7K7bfnr7xRdfcv+992/+2pe+ce21Y2Nj07MzIKTneRMTE6VS + 6T3vevfD23dcddVVf/eRvzt4+OCHPvShn9xyczabNU2zUCgo7u7Wh7becsstcRyf9tzTr7766n/9 + /ve+euk/mKYpgFerVc3UGq1mEAS5XEaAZCnHiFDONcGjNFH3VTeNKIo2bdr0d5f/3datW6WUF7/p + 4jtuv+Nb//Stb1x7Lef8/7D3pmGWVfW98H8Nex7OVOfUXNVFd9PNLAFFHEO4QYwCeb1JDGZwxCGR + GG6cMPdN1MRrjIkmEjAmoiQyiIHEKFFREBHRMEgzNj1Ud3V1DaeqzjzseQ3vh3VOdTWD0Ty+ueF5 + XB92nTrjPmfvvdZ/+A1U09QqDEN4oUqTNE2r1+sAoKIj9VNzLl598UX5YmFjY+Puu+++5ZZbCCG7 + du26+H/+snoHQsgTjz02MTERBMEVV1yhY/rkk09WxkYRQo899tilv3lpnKYq+K7X65Jzz/MMTe92 + u294wxsopWGSjpRLv/Lrr02SRCO6StSXlpamp6eDICiUR/r9/sOPP/aS817u+p6T97di7NURV0E8 + 42zPnj33/eD+8dGxer1h6YYQIBinQLZt29brdGcmp5CEubm5w/OHvJyvOkgDkQaMYCiRT/GPL1IL + CEDIQcSiZPfW5tcM2xAg//VrX3U975Yv/0u+WOBStjptiYRm6FmWua6rQibT1DOWdDodx3Esy8h4 + olO91+uVSyPj46Orq8sTExPNVivJEkKIhrWVlRUVAaZpiihJZHL77bdfcskld95z5+WXX676gSr0 + NU1T0Q7z+fyFF164d+/eN7/5zYSQt7zlLRdccMG+fft+67d+a2pqanR8bMeO7YKgiy+++Iu3/JMQ + wvf9er1eLBY5Y4AkgkFwhTHGgAXwn6bMt+pUFotFHZGFhQVTN6a3Tf3gBz9YObiw43mnjo9PqlDS + GX7nmZmZII7W1tak5J7vqNiUZRkhmmI0qYq+mgWklLVabW5mttFomMbAOjcIw1yxEMex4oooNl4u + l1OanmpmFELk83llTASAwiC2HLfV65dKJcNE3V7k+34cx5gOijpyEFgOEFAEIzW3AoDKuFTErJEB + 5woANmmXUsqfyHhL8ROmpqb2P7mvUqls37794MGDzEnz+byCzPKMKSWAtdqGbdumbliOqRmGlNL1 + vF6vp+t6rdnw83miaSXXJYQ0223fdizdKo1WMsEpkHK5bHtus9d58Ic/PPHkk6rr66uPVEenJjjn + +w8cSJJETcS1Wu2JRx7lSZbzvK997Wu/8D/OHxkZ+cpttz3x5N5f+bVf5Zybpn105WiWZQceeQRj + nHLGpWjUm1wKwWSWJTxJhEyJ5MvLqxsbG57nFIr6v/3r4zffeluzafvurtX6UTdfjtKeafQoretu + /xvf3Ley8sBvb39VPl8SnObyYxlQBBoAOfmkUynV1MHFGlUAOdUmTtNUbhYUh3mLqhd2u93R0VHy + 3PRH4pAdk//+iS3cYACNe/aCvUAgEaGm42he3OonYeRqnmnohPq8DzqY7Y2upIgjhCQRgImuKWzI + U9KhZ8yOYOAbi7EEjgEJ4ErRW2CBOAwLpQCAh34iSAJIChLDoJWBEJIS4WHNdAvSDwkkQSK8JTkc + wP+QBDwQpxYSgwDgWH2WwBKQ1LA8rgSr1AiwwCCPNTe2PkG1U5CgAEQClkgKEBxLiQSWGIEggmAJ + ILFEQiLO8aAJg+VQD2N4FFQ29JPV6P7LxzAr23reDBqHAgmJpEACQEgsiMCuLHiWJwNAkbajcNLG + ciMM48mx2SSITcMMeNDrtDVToxhlMtY0jSX/V77Tz8Z/05FxZlsWj3i9Ud+WOwFiOP/88z/47g+P + e+NEo71eb622USwWa416vlBYXFz0fd/zPJ5mpmmqJYASoqwdFNqHUIIQUlq9UkrGBGOsWq2+6EUv + Ou2006amptrtdrvdnp+fBwBFRlLFXBVdYU3nnFOCkzheXFxsd7sXXPiL/kjRG8mdcNKuQ8tHbrzp + prjTppRqjpNlWRjHmqYhShDGFA+kwIQUm9ozapaTcAwv94wlEUrp1OzMAw888PznP7+6uhom8Xhl + tFKpFIvFffv2nXbaaUEcxXG8sbFRUEx9OvCWwIgIIZjgGGNMyKacN3oaQk/d+ZRPVxFRzvc2NjYo + wpqmlcvlMAyPHj2ay+X6rK8aDvl8/oeP/vAjH/nIo48/ijHGgHzf1wzd1A1Vqu90Op7nMcHe84fv + feyJJ2ZmZhYWjziOU6lUVpaWi8WiBtrDjz4yt21bp9/bd/jAW9/8lrXaxvj4eK1Rx5QIkIZlbt+5 + 44d7HgrjyHGcg4fmv3TLP331q1/d2NgYHx+P06jT6VBdn5wYq9fr7U5HFRwRIiCQEELTNCRkkiSM + ccdx5ubm9u3bV61WlbDH5e+6/P777w+iyHZt5UipwgO1sm+qZ6mh1lwVS3DOFZN5bGxsY2PDcZw0 + TdfW1pRJvXIytW272WwmSeK67kqzVRkbXVxczBcLMzMzjzz2mGEYExMTi4uLlmXput7r97tcXHvt + tQRQrlhotbsH5+cL+XyWZQY1giBQGJOFxSPtbrfeaUiAhMWqfXffDx8AgsWWM5YQopxMCcIzJ8xV + SpVepyslnH/++a7lmqb5Tzd+6Y/+6I/OOuMsBIBA3nD99X9wxRWdTsc71RebdlJSAh5IdHAuNs+T + rVsQ8in3qC0hqFKpbGxsJFlaLpcve/vbzn3xC/Ol4r/e9pUnD+x/+NFHJEKNVjNmKcaQcsYEE0J0 + g2hm26ymaWmWnXzi7tr6xsbGhhCi2qjOzs4igg/MHyyVShy4BD46PialjFi8e/fuH9x/3/NNUyiP + e2TcfffdX//GNz772c/+/Pm/8NADD66ur6nDrQQJlSYeANTrdVXsHhsb63a76+vrn/jEJ6anp6mu + nXLaKTt373rwwQfTNDUMQwLk83nOleq9xMp5ZAg+/GlykBQcrl6vN5vNqdHxXC4XhqGu66VSqdvt + jo6Oq3MUYyyYSNM04+yJJ/aajkUptW0XYameL+VARnBT9WGrRJiUUrHhpZSWZcVx7HLleMY8z1Oh + rWmarVZLkTg3e0oK75TP5yUSKUvyRS9OAyGQ7/v9oGsYmgQmQQCWAKrCjFWyw7lQOnhxHBNAggvL + skQmRCYkh00Y0qYG409UAxYIpJSHDs6Pj43pur7vib1TU1Mg5OH5Q3nfV6Fzo9WcmJhQrY9et5eg + uNNtVSoj6xtVTNHuU3Z/8pOfvP1rX5+Ynnr88ccVxjRIw1a/3diovfFtlxENxzxt97rE0JFOiaEr + rZsgCISUyhdPaQxghEql0q7tO++6666x0YrjeVxKRPB73/vefhikaXr13376gQceOLq0VKlUkiQx + HbvVaTOeZYz5npekcadZF4IV/VzOHymXpv793x9Mnmdv1A+G2X6nVKq3jqyvNz0+mqSRZQrJ25zW + Hnj02zxrCETrzfD6G//tDW8un3tusVw2u+2E6F2WcN/LqeNOKWUZV+1dIQQMQReb/FfOeRiGyXPZ + H0lRTtWQz96oOX7gzVPu2TKq4dINUmIQKAliYssRI28TPW3FdoZ04gmEhU42gqYAqXtaLwWUCUNS + RYH6Mc7lYwNJIMrXSCAAjCTmx9KQIcVFYiQBSwQSgyQASCAMSCAklEzApniAUtWDzThjc1+Oj+fx + oK4hJAKBlTOtyn8wkhiwGOQpEhNJiPpcdS/azF+UeptAEoOkAAQkBcCAhMSAQAwacZIOoYcCVPKA + 2HBnjttuyYuOBTHD/d3yGHrq7f+yPtJmJropDwlb25PHqGiDZ1Gu2z1vW27naGH8D/7fd3erwWh5 + Ik6TU84+7e2/87bvHvmO5uh5u9BLmgJxnehBNzAM57/oy/xsPBeGrutBFLnYU7Wk/Y8ePOkXT/0f + F1xw3V9cxxjL0dxVV12FEJz+vDNardbk5GSr1Wq322GvTyl95JFHoiDI+7mg17csSzE5iUallExw + MdChoUmSLC0tvfZ1l+bz+YnpKSnl0aNHH374YSGEAr0jALVQSoA4Y0IIxzQoIfW1apJlr7rol4QQ + tm1v27YtlpmywUjTlCAJAJqmKYE4te4o2wINDSXy1BSx5ftK9DRwHQAAqGB9dnb26quv/rVf/VVT + 02u12kknnfRXn/jkeHEihviLN3/xr//mKvW2pmlGaYLQlvYzQhLgOPWe4UNPYTQ9PUfyXfvkXbtL + +UKhUFB6Qr1er91uT09P94PgH79wveRAKZ2YmLrsssvOev7PHT58+M//7GNPPvkkQShAwfz8/EUX + XfTlL3+52Wzq2Ljwwgtfft55hULhm3d86+abb+50OkEU1puNkMe33Xab0tiYmZ65+m8/jSlpt9sf + +9jHqtVqu932PM9xnAtf8Utnnf0CTODsU87etm3bL77iFYDknj17PnfdtUIIniWO5Xu2E8chpZbn + 55vNthjibiQGOQSJzB8+9Dvv/N0LLrjAMAwC2itf9aq3vv3thmHc8s+33H777aqlQyk1DEOVnjeT + IrTFsV0IoRHqWDbnPOwHvV5PeZwcOXKkmM/3u90kivK+H8dxPp/v9XoqZEUIjYyM9IJ+GIaFQqHZ + bB48ND89ORXHcdjva5qWL+X+9atfYWlmGIZh2hlnlmFmWWbqVrPZ1Cl1HIcJEcfx2HilXKmsrC23 + Wi3NMI4uH7U91zA0x3HQ0L4JSYkxTrN027Zt73/P+zVN+953v/eyl73MMmwC6OabvjQ6NhZm0eKR + IxNj467vVcbGwjjKOBuQnEEijASAHPggDbnx6Lgt1egzJkicZ2sb67lcLqht9KLwyPLSzzu/kAl+ + 2vPOOP/CCyzNWWuvX3vttV+//RtB0Ov1e7bruK5LCcmydG2tum//k4/ve4xSfPLpJ//W63/Tdd1u + 0GWMIYoSlmR95udzhZHSx//yL84+5wVnn322aVn9KHQ9b3V1NYGs1W5ngt/z/Xs/+OEPve7XLw2z + 5CUvecmrX/3qdrfzq6/9tQcffFAz9Cee3HvBha+48g8/kKbpC1907uc+9znDMu974P4n9++bmpri + ktuee+DAARXF9cMgl88rOo/kggDZZF3/lCF2Kh7dtWtXLpcNGsI2AAAgAElEQVRbX1+3bZsgDBzN + TM06juNadq1eFxmzLEuFub7rjY6OSimXqysiY47vgMQYUcvSKaXq3TYRwypwLJVK1WpVnc1K2Vl1 + lhSqVU1/SZJEUaQQd4pDEoahekjTNE0jQRSxLMNACMICZBLHFGuSg5ASQKEEh9LGAkkAXdM4Z5Zp + MsZMTVcGRyolk4wjigAjJAYUTIQQ/McR7bGBJQBCtm0XCoWlI4snnHACz9jK2srpp556yy23FAqF + nOeVy2WEEAFkajrxfZ6kEokjRxcd38kXC6/7zUt13bzoNRff+MWbiUFc1+/1OgzrmmMUx0cPLBwU + SJ71guf/3h9cwYTYvn07YLRRr3GQVNMU6qzf76sEenxs7KUvfekbfvv1f/yhD454I4/se+Sfv/wv + XAo/nwvSeKRQ6ff77U5H8XD6/b6Xz0kpqU4wgSxLOp2O59qVyphIeG2jXdtoX/+FG++44/uV8uSJ + JxeajU4nWS3OCM/PGGMEC8vEBX9C0s7+A09+6CN/ct99/56xBFMnZhBGotnqBWHaarUqlYrv+/0o + VM2cTSxypnKJ4UK12fBR9Z7Cc9Yfaeu+/RjZ0UCXGWAznP1RgwgAjiyho1BM5ytlKHzjrq+iGGFB + UwGnn/Nzz99xxrf3fEdDecvSJeJREhCNymfCiD7bGFjNDp+OJXBEiVANImUQu2WfB7fF4H+JQaqu + BRCJJMKAlADfZpAhBAxyVomG/R+52SwSEg+yI9VrQpudJSQkEurCRpIOOm0Iw9D3CYHAEhBgNEjh + iAAqgQz6J4jDIAIhW2T91G4zISWWQimqYwUd3CrljeTWTGRw338TMpLE+FiKOEhqAQ1vDTlTqjMm + JFBOPMht13cSoN3FngN+72ivFwelc/McmIUtTESfRZZhCo0zhkyTyJ9B7H42towky8IwzOcKVt7R + hN7pdK54zxX3fv3eSxuX9poBlaRaXXNdx/U90zFr63Uv5xcKBSxB07R+v1+v1y3DVPYJKipIWabI + urppIoSUiprCkiwtLSGEVJ9E6Z3CkFakylKMc0Q1pVaqlnhN0/L5fC+JVldXA5aura212+3CSKnT + 6SCKNU1T048YUpL+0zqNnufVarWzz/y5ydEJDZMoipIk+dJ1N3/mHz7z1a9+ddeuXT//C+d9/M8+ + 9scf/lC73caE2IaZKXdXAOVhxEEKwQcixXLgV7t1bJrPbuZISAqC5MjIiMoKFE360KFDSZIoqMW7 + 3/2eYrG444Sd/X6/UCit19a+9/17FxcXHnrooZGREUPTGGPf+c53lC1sPp//zN//3SmnnJJxLkDq + uh7E0bgzOTkz3W403/O+987Nbjs8P799+/aFQ4dLpVIcx0eOHDm0cDiXy83OzfV6vc9dd93efQf6 + /X6n0/rm6B3NZtP3fQni0UcfrlarnucIITjPKpWK69pSStvzOZdBEEkx0GGzLIunLAiCu++++8CB + A/1ur1AofPaznz311FMffvhhhNDB+YO1Wk1ZdKhypMLDbxbcAUCpNKlAwjCMKIoAQBklMcaWl5dN + 0+x2u4rDrE48AFAhZbvTFkIIkJVKpdXpCCFK5RHFcDZNs1AocM5rtdqJJ56YxollWUEYu65bXV3F + CBVyubFKJUtSjHGj1cz7vu/7xUKh1+9EUTQ+OWlaBiAUxIHKY1U4gQE21aTUNo7jhx9+uFyqlEol + L+d/5d9u27FjR6U08rd/95n/86cfcS17enpaqTJiAJURIgRSCJAACMNxSPzBlg1B+k/ZIoSoofej + cMfOnYcXj3T7vfd/4Mr7f/jgRz76kW4YjI6PYUqorgVxFKWJ47lcyo163bXtIA4q42XTtt/3gSt3 + 7zwRMNTbraMry/VOwzCM8fHxfK4YxkGt3QyyxDCM62+44TN/93fnvOjcbhhEaVIsj9xw842S4rDb + v+rqv+mGfS/n67b50pe+tNVpd7vd7Tt3vPzlL3/XFb//0EMPXX/jDZdcdHG727npppuu/fznTtq1 + O+Ns394n+2Fw4u4TFxYWdF1HGpVSoiiM41gIQQ0DYaxMnTevoJ9mgqTq+u12O47jYrFoGMZ6de2J + J544+bSTPMdnKU/CBAQQwFwIneiu5R46fMjzvMmx8V63iwE8x4miKEu5ZdmmZgxOWS4RVqZWQBHh + AKZlKfAS1jTDtlv1hqZplmX1ej0lSFKr1VzXTaM4iWPbMG3D5Jzrmo6E7LRaQRyMlCoAJI5SQgyM + KEaQZZlODQABUmwKHEuJAAkhRJKkPONJkqSGAUKCgPHRcY1ohFCMySDWEgNF5J90xpRSlorF5cWj + pmlmSRqHoWNZrUazWW+srKxwzmsrK/l8XlUmCCGuaZVKxbkdc51e5xN//QmdEMMwBZIv+YWflyA0 + w+x2O5IJTJDv+v2wPzIy4njeY088vu2EuUql8vVv3p5J4bquYVuNRqPg5yqViuDcNM2w1//iF7+4 + Z8+eer3earVUuWJqdmZpdYVQKgE+ddVV7XY7TdPx8fHR0dEkSRKWRUmICFiWoeulsBscPHColCtM + T829973vz/nFlerqaGU8l8tt1NawRl3X7fdijClLYkppr9MdHSunyWuQxJe98x31jY0XveiFmMLh + haM7d+5eXV0qFUY4577vS4yUdoU6zZQK/GaCpO5XNSEhRKfTQc9Zf6SBjpI8Jm7+H1x3zwCoE0+7 + vZmNIB1owc+LRmAzMkb95t7lUaeMBBGZSBZbMzsqS4/O+97pGEAi4RAjkfzHl52VSGaE8UF5AQBA + AgIJEhGQCqa4RfQBAQdAmD2lYXKcmpPCxg/uEepVQirqPxqmWwJLQgQHwCrPUYwmJBQBSEgEKjsC + ECAxEQMiEMMgseSIAxJEYCSBCKx0BYbZEQgEEnMAdgxAM/wxBR40usgWsT80IG4fRz1SP8OmYtUg + WHn2HOm/uo+0iTMcJLFcDsGasCWb1QQmgsb9qF/qGZkluRCQCQGEcsOlLhhSz/ppN9EirKEoiITk + lmXx/+4Aw5+N/9JBKc3l8xnnkGW1Zo3q+gMPPjg9t+2xJx4v2MUsTCuVSr/fC4KAA/c8T7n/qao/ + kaBkABTqARTPdsgX5ZwHQZBxJhF4OV83DQWLIoA2xX4MXdc0TfWRKCaGYXCEAWCjuiqFyKJwpFIJ + gqAXBZX8GCNIDhXwKKW6ThljKWMwRLWggTnHYAGC4eq/eeWK4ZW+dah5IOz1K6WRbqeDAR8+fHjn + 9h2XXHRxLKKrr/ob27b3Pv7Etm3b3nbZ24JuL03TfKGgKnRbxyZyWKKh3uiWh54yvWxOO0KIfM57 + 6KEHj+zdPzq3Tbm7uq5LKarVamnC9+3b9+CDD1Gid7vdJI00TRNIFEdKrut2Wi3bth9//PGVlZUk + SRzHqTXqjz76qOv7SyvLSp6h3W4TSgWC++677/bbb/ddb3FpiaXpI489qqgKjuet12q6aWia9p3v + 3n10eYVzzliq63q73SaEAEhAYnpyCmNoNpthEICUURj0+/0Z2y4VikGwwsRAuRshhDWapuk999yj + eGKUUt/17rvvvtXV1fHxcS/nKVuOIAgAwLZtVfFEQ5HDzSq8OohZlv0/l1wyOzu7vr4+PT398Y9/ + fKRYTJJEcjExNn7pFf/r6quvbrfbRw4v7NixgxDyV5/45G233fZvX/9avV43bfuEE06Y2Tb7ghe8 + YM+ePXv37t2390nXtj3P+8qXvvLAI/cTQrKMCyHmZmbDMGzUmoZhpFFsGIamaZqmraytlMtlqpNa + s+H63tHlo7/7e5cblq6bJqVU1X/V2YikPLRw+Pf/4H9xzj3b1XUdCQxSFkqlu+7+zre/cxfFZOXo + UpLEExMTjVbz3++/j3POM8alAAAgAyQ/Y09dfwen7rP4IwmeKfJ2Pwjy5RLag3efsvvEXbvuuue7 + 9WajWq1artNoNAAh07bSNC2MFKJIR0L2Ot1uv/NXV30yS9KdJ2zft/fJuZm5QTRl6PV6HWMyOTnZ + i0LGmLqcu93u9pkdn7nuM/0w0HX9CzdcX2vUK5WK53l/9ud/PjUxsVKtLi//GdG0OAwVtkcAGJa5 + /+DBy9/1e5jScqlkWNbhIwuaYUxMTRJC7rnnnuxuHkVR0me+75fL5TCKFPFbcgFAKCCp4gpAhJCf + poodACiHmTRNq9XqWGW03++vrq5SSjmTGBBCKA4jBIRodHl5uZQv+b7fareiOBR9YVlGebTS64YK + 8qRmH1WAV5NRp9MpFovKOUGJjViWZWqaYh+p0FNJsyt5TfVaRc1UQghCiLNOP/vIwmKSRLpmY9AE + w4ZmIsmJ0ECJYAKTkEhgAAxASIE8z8OADE3TqWaa5v4n962tVifHJ0BICQKGmGPy49TwnzawhEaj + QSm1DLO2vu77/kixNDs7e9555wVB0Gw273/wgfn5eV3XsyQlptmPgjCO5g/P/+prX+tYlqJ1mqZp + u+4TT+7FlJRKJQWz1git1Wq6aaRp6nke0bVevy8QWK5j2JaC1apfUgphmiYS0rKs+YXDjutmgudK + RcH50aUlXdfz+Xy1Wq1UKowx13UxxktLS7quU0PXNE0i2Nio67rumo7v5WurtWuuuSZLmK7rTPAw + 7EdRQDWsW4ZhGN1WR4Et8/l8q9mxXEcKcuTI0bHyqG25f/qxHsZYsOzwwiFTo1EUtVotXdeVHEKc + JursSpJkE2K3+UuqKU/lIc9df6QuAMBPkB2hIQBOHmtrYPkjzkSJBQfJMJXUBoMm0rJ0mWFLN0Qg + NKAsYrbpZDQLsp7QBhiSH+tUBpAAHCuDooFstPrE4550bN8kIIkh23RNHMoDDJ847Oxvvt1Tv72S + dpAYSYGkxCCyzRcCxlLhFIVAUiDBseoyARHa4J1BqPsBCSTxADunCE0IOIBEWKAMAwPEYFCipSCF + BCyQACQwCCIlyCF2TqpdwvI4fB3AMaUCob7mT2gH+f/jOIb6G+ySGKAsho8ODqSgqh1n+PrhtQMe + yjErPrqyMTY2Jgl7fPmRF8JZAW6DzUzHiNKAIGJrNmPsv0uv7Gfjv8dIWUax1u12t5XmkKRVsqEC + NdM0oyQWjNebDc5ZwrK8XWiuNwBAkUYopbMTU6effnq5NNId6TiOs8mmUGtQrdGoVqu1RlMhI77/ + /e9TTOI4ZkmqadoJJ5wghLBMkxCiQBOOZWuGHjPebrdb9VqaJI7juK67f//+Zr+799C+DMNyrarC + bjUhh3Fs2d7mzLw5Sz/bDKlymGe82DVN63W7c9u2CWAvfME5i4uLDz34QwMb73znO2+66aazzjrr + lJNO/va37+QZc0yLEtLrdgmlhBLAaBNJoawv1BsKBGQIrlNDXXpb+0hqV1WzCHSCELJtW6FvGBNR + FFmmW6vVCDbGxsYwxq7ja6aWsQQJWW82CMK+79c3alEUTU9PLy4drVQqQRQxwYvFYi8MXNddW18H + AEqpZhq252q6/vDDD6ulDWPsum6n19VNw3Id13WZFFzKlDHP9xS8yHWdMOrHcdxqNQAAYUkIkYwt + Lx5dP7yaptncjt2qEWRZVoIxY0wJI4W9vuM4SlIYAwrDcHR0VNf1+fn5kZERwzAUp11h7VS5Ex0f + Qqhos+DlrrzyynvvvXdycvL8nz+fUnrVVVdtbGxURsrvec97Dh48GEURIWT37t3lcvnzn//8t7/9 + 7fPPP/9dV/z+RRdd1O33b7jhhiAK77nnnssvv7zb7b7r8t+r1WrtdrvP+q973euKxeILznz+1772 + tauvuqpWq93whRvjOBaMj4yMLC8v27bt5dzfeefvpmn8N5++pjQy8uu/cen09HSSxVGSqK6RSpOS + JCEILS8vN2rNycnJk3edVKvV6uuN+fn5ibFJjPHc7OzCwgJLs5m5ueXVlY2NDdey1emqaB2biKdN + aOgzAuqesgUADQ/4BaVKmXMupHhozx4vnzt49LCXzzUajSRN1U+kGzRKkmxjgxCiYeL63hve9KZ+ + t6tTLUtYwc+trKzYtksImZ6eNizr4MGDlNJGq0V1jWdsdHys3+2FcWTbtpvPra2u9qJQ07T1Rr3Z + bmNKoiQ58cQTq9WqjhDRtE2lLiGEXF3FlEopV9fWlPiwruspY51Gw7WtbtDftm3bWr0WRZFm6Ao/ + RQkZdpCOXaQ/zQ6SqsqctHv3+Pj4/sf3nnTSSV/4h39stVozs1MWsRaWF6WUlmUzLgGwAhQBloZh + HFk8vHv3LkJIo1EzHfs9773y0Ucf3XQPUOSfTTMEGHrIvOIVr7jzzjuVnKUUQs01rVar3+tZllWt + VsfHx3VNy9IUISQ4T+JY1zTXdt9x2e+dcfqZSEIYRr6f73YiDTuW4SSb9jlIIhIiEmKaSMwx0ZkA + lqTlchkDWjxy5K1vfgsSiABCEpRgogRAGCGECMBPFA9IACViaJvW0tLSC1/wgv1P7jN149NXX3Pr + rbcSQl784he/8c1vesc73rHnkUcmJiYqlcraetU0zXw+3263DcPwcrmUsUqxsO/Afq+YT7Os3m6p + Sz1Jul4xL4XQLDPjvNFsEEJyhXy328WUlEcrURRxkK7rcsaklITglLOMsVa343pes9Mu+LmR0Uqz + 2Wy0W1NTU0rAQNO0Xq+nEgAg2LQtCcASkfPzQacrJRimtnj0kGC8Xq9PTE0KDkEQeV6u1e5iCSBk + bPAw7lWr1V7Y100tVygQK+tEG4vVg5ZhZ3FGEC6VcoamGaYWx3Gn07FcJ5/Ph3EUhqEyLNINQ81x + arLbXDNguFw9R/2REN3UaPixgmgkBxwkgbeKSB+H6RqydwRHJOUii+IRx2mF8f5oIUiypeW1qBsh + y9ldLCy0lqnjZDrGnhXHvZDFjmnCjwf2AwCBBCOMY8ASg8RIYiUM85Q21zHaD2IcMeWfiiRgSVX+ + gORmy0v9GUC9thw2BGiQeg3THgHouOBA0ZwE4hIJjpnEQghFSQIiMQcBIAVmnHAsQWIBQBXagCOQ + gCXCHAlAQiCBEQcQSGIJDAANgHlIghRIARcBQKq8SOUUQ9yCEtGGY3v1bGOIgflxfuaf2lC/EgAM + MurhcRm2sAY/OBaDbFMg0RK1A6t7NxY2znzl6WP+aLPZ7Gd95oV/9U8fP+u8n6MmbQcdjRqGZqj6 + LvoZwu5nY8vAGGNKdBM3m00jtDu9ruXYcZqwhLmaN1IptVptSmkul7Nte42va5qm6zpxURzHlUpl + dnbW1I2c56t5lXOOKdE0rdPr9nq9ZrOpQuEwDG+99VbJRRzHc3Nz5557bqVSEUIYug4ASu/B1I2U + ZWm312w2gyDACAVREh09euONN3bjMMM8RRIMAggpzSc1/5ummXGWZZmQYjPlwBhLIeAZjV8RIHlc + tURdELqmsQyyOAr63YPz+0uFYqO2fsdd37jsDW986xveJEFmwN/4pjf2g24+nzcowRgQlghJOVAX + lxKpifFHLRNPL0+oQvO22dm5bds0TQv6XV3XwzA0Tdv3fc753NycRq3l5WWMqePQMAyDsDc1PoEQ + 6rTajVZrdHzMdV0lh4AxtixLodPL5XJ1bc3P56SUvu932x1F5d12wpwqWVq2LREEQaDK9kEQJGlq + mHYYR4CVDyCP0kQIYVmWZehRFBkaSeMkCkPXceoeMShVxHIFb6G6Bhip+q/neYcPHy6Xy0pHYXJ6 + am21KoSYnZ1NkqTX6yGElKjs1jhhM0PYjCVUHfOyN79lYmLiH6/7h0996lN/+uE/+Y1LX/fqV7/6 + nLPPObBvv+Qi5/mH5w/9w+ev+/jHP3799dcLkPfee+/FF1/8pVtuefXFF3U6HQCoVCo33HBDsVhs + tVqJlP1+f2pq6q/+8hM61h5/+JGLXvmq+fn5L9/8zxrgsB/EvaDk5wEgDaIzTjnthNlt3XZn34H9 + rm0vLx4lJtUMQ0UjcujqKxhDCM3MzMzMzCwuLtbrdYOavu/HaWLb9plnnXXqqafe+k+3pGk6NzeX + z+dXl1cUQk/FS0Nx/KFU77D+t7mVA2z7cfcDAGLMtm3LsvbNHzz1jNMQIaChTq8LGKihG4bh53Ib + GxvtXle3cmPjlfX16sy2aYrJSKFY29hIIqJRsra2FkWBZmpjk2NEoxmwwwf2hmFomHaukE8Zs217 + aWXFMoyRSqXX68VhsH3nzkarOTU19eCeh6YnJie3zawuLR9aOKxT1diQAKB2TOn1qUxSAXkU6cZ1 + Xdu2KSVK0cG27W6322q1DNNUmCDJBZEY8aEryk+Xg6SOXKPROPDkvrGxsV07T7z//vs/9tGPvv71 + r7dt+6Ybbjq6tDQzNRslcbPZVonNeee9fG7H9uuv/0fLsg4ePFCpVK78ww/oGo3jWJ0EKh3knCtM + neu6vXZnrFwpl8uf/Iu/fPGLX0wEdLs97Lrbt81de+21rusuLCzs3bv3Yx/72Gal3/f9XC6nKCJS + 4NmZuUtf+xs61ShxGrXYtSb6HSoym3GKQMNEEBJTI9SNLjXamMYSo9W1Fd/13ve+973qla8s5Qv1 + ej2OQ8MwNI1yKQTIAR1dHqPj/5hD6YMxxlpRPD4+fu+99+7aeeIll1xy7733XnPNNUIIzdCvueaa + 008/vdVqBVG0srISJ1Gz00QEG7be6XUd16qur536vFOB4CgJBWBq0CCIdEsHhhElnXZPoWZHKuUw + DAkhXAiqaaqpEoahpmm+48ZRxBl3fK/X71NDxxpFCHWDPgBMTEzU6/X1eo1SaplmlmVBECjhu1ar + 1Q9CzTDTlBmGVYvrmmZ4uVyv1zM0OrNtptFoEEJ9P9fvB4hoUoCmaWGUZIxbrjFRHK+36huNaqFQ + 2Giu2ZZru2YjClw33+/2AJwkSQCgWq3mioXJyUlMSZIkqruosho0rILw4VDa9u5z1h8JO3jY8//R + 1xvaug5vUUzCw/UYD1klx59ylHAhqaXveeTx4Egt9CHv+xrPIWrs78zv/deFJvQzXTCR9ZLIyzlS + CiTkU+Qink094jhc2aCxRQb/buHtqAfUn00JNYmQAIYHTZjNHAkrXBwMIXYCM6XrgCRWlk1IChjq + QHAsOBESYSIokRoRAEhwDBiAAwjCGQARGPjgo7EAggCU9RMW2bHMUgAgiaTAAiMhkSBCSIQFgETK + 4UcQqUIipL64QAqgS4gAgQTHwIdZBxEgABMQIDEGIYbpk1BM6+FxxAAqD1HEJ4EAS4wAkETD3pRQ + PTFQshTDng8aYuG2Nn/+EwNLrHLXraCLzWRVIuCYIYe6M87srrN0YdZWa7tGTnTyzuG1wyiHDV/f + iGq2ayOJ0iiloBnUYoILJAA4IKGYWiApABKqzzn8AfBmqnacaoXAAFgQiaQE4FgMpC+QwBKIPO4l + 8lgkimFL4Xzz/s0rYXgKbj5tEEaK4/uuKj8c7oxKx8Vx15TEx3CkA8TolutNqtP4Ga9kvEV3BA+P + /1MrGlt+B4m3mJTKZwp8nysDIdRqNCdL05wJP59TfGOL2rbvoxRXN9YJpm7O63S71WpVOR9apimo + 1mt31MuzLLMMU4FKGGMSDWbpVqsVBAHGNKMk7+eUCoJt2/l83rKsqB/IobSxwuRzztvt9kp17cji + YpampWIxRoAJRFGUL/oZkp005Bhs31tfX8/lcqZuMNZOs4RxDgIIxggPpM9+dP1IInxcyiQBAOI4 + dRyv3e4W3ZGRUqXVaI6Ojr7ivFd+6KMfuummm3aceOI73/nOt1z2toUjRyWCxcWlUqXMRJZxNXMN + ZL454whTpWqDpQSpLCCQgjVvCvbDsSsaC85WlquVSgUAGvXmSnV1ZKSCUKLrOstErVbTdd11fcF5 + IZ8XQhAJnmn3Ot0gCMbHx4Ner91uqzjHcZxer0cImZmYTBmrV9dzvsfSLE6SJIqVEoZr2YsLR8bH + x3nGlPtN3s9JKbM48fI5zrlgvJDLIyz7/b6l60hCxkEjKE0ZY8KyrLDdJVQ76+znT8/MWq7T7kWm + aXbbnSiKLMM0NL3DOlmWdVvt2dnZIAgUGnN9fT2fz2dZpvD2tm0PGgVDFvGmBPHWQRWlJ4wmJyc7 + nc7s9EyapifvPunLX/6yZZiPPfLorl27Nk0+rrvuuje94Y1nnflzK9XVvJv73nfvIQh12x3OGOcc + A/J0d+HQIRBifHw8CsJ8Pj+3bdsPvnNvY21DB1L0c0kQ6rpOMZacO44jpeyFPd92pJRJHNfX6lmS + jY1VUsk550wIDDCAckqpukmU0iAIqtWqrusCpJ/PJUkSxsH84UMf+tAfv/Xtb0+iwHP8LEtYwghF + BGuABFMLKaYYA+fyGPt0uJVIYEyffj+SAgN0Ws3ZuRN+8MN/B0okAcM0uv2e7TlxHAsp/VyuXC77 + hbxlaL0g2L59p+vae/fum98//+JzX5TzC61G4+RTT6GY9IPIcuwgDNvtdhhFldHRer0pMUqTDExT + sQ+CIKg16jt37lxYPJLL5fbu3TszMxMH4cLCgm2YpmlWRspBEGRZ5nne0aNHZ2ZmOOfKj0fFioyx + sbGxMAzjOE6zpFAcBYDHHn/8zDPPJIQ02y3bsjqdDudccqHiFQ6DhQT9J1Tsng7fUfM1QljXDZbx + mZnZTqMFXFLArmFf9ttvMYh17d9cW8lXwnZ/pFL2TTcIAkTpG173m7t3777jq7edf/75v/K5//nL + v/zLVICBqaFrnHPBGcZICE4NLRMMYySlEII3G/XXXHzxPXfdBWmGNObbLk+yD37gfx94fO+t//Iv + hULhfVe+/9q//2yj1WRSWpaVpmk/CEzTFFJapp6xJMuy//ORPx8p7Lz209+68/ZDBeeMdp84pt/r + 9aZmJ1er+6lo7dnzrauu+fDn//Fjt9762W534/J3XV6w8xYyOgI5juP7fpTGWEMUk26/Z9umopBq + usmYSFOm67plWUEQqLBYiTqgp0W+CCFMSJqmBGMlGK8wbKZpvuxlL6vVarbrjI6OFgqFM844Y3Fp + aWn5qG2bGEMmMs2gIDETWb6YqzVrjmPFaVRvtv2cK36erVIAACAASURBVJEQwDFFGU81Q1f2Z81W + K5fL9fp9Smmn03GHnUfVD9F0HRuo2+0ijJUftroSS6XSSnXVdV0BEjCiukZ1jUvRC/qmafq5fK8X + EEQty9nYqHs53zDMVqtFCBUYd8PQcJw4jMIkjLMQZzA1s23xSJVo1LDsKEu6tX5xJN/pdAzdIjg0 + TbvfDxzP74UBNc1+HALBWZZtiiiUyiOlUgkAVFXAsizOmOLjKmS52ufnrj+SCFk1bHLONUwzxgQA + YJwl2aBICVKqYsmWqEsiMXAYREN6DxquhsMey2YwhQFAMkxwM254O4qlueIJLz+JCIwklgg4AkYE + wyIiPZ5Jz7EE5/iZFv9nCwiQxJRTIo79q8JXANga/5FNUJ2gCCiSIBE71sQ49v0wkgghOmgwAeKY + CcoBpM6wTIBKbJo251nGw4QgSUWiJYAhYlGBFlEkNGFIQIAAU9LLepqptVnb1/IUqM6Q5ODaVsIS + zhBCKBKpYRhZnEEmDQ1TiiIRM8E0HShoXKQgkUAcESolExmjKvjFeiYyzdTbvVbJK/GIa1ymgnMb + GJUYUySxYJhIARKQ5FmaGZaZSJ5JwNhigicyMUyqAcappJxyliJD6obGOGMpc5HJE9B1N04ZIcjQ + SJr0JQJq2EGaGpbe73dcW++06pOVsVqzodsOVxiKLdj6ZyvcbAZQEgb66cerSWBF/gYEfBjiccmw + S1uyj1GIprQGdOpJCxUpx6KfRCaxIQWQWCMES8wETxgQgyBIACWUUhAySxEmDiACGBjEgISGAJiQ + iZASYdNQ7nBCcIIEwcQQWsazEKWMSEkoKBn5LLO4pgFKUg6UxJgDlqahKasYA1OdGv0g0HwrSCKD + YBYleS8XJZHSye1Hoev6FFGWMku3OM8EkgiyTtQmhFimk8YZEdQwTJZlWKOACGeppiGCUBonGFPG + pUSEcS4wQdgAEBoBECkXSNO0brefc3PAMWeCc2maZhiGAODZHqW0UWuUR8vdoC0RJDErlyq9XgcD + JgTpul6vb/ilAgMkpBDAVRUTIyBMSuACkwGfDT3tUB4//i86a/2IIblQCmA29TMp4iy1bZsHTAje + abYnJ6YTxqq1Dc/zcra3vLAk2EDVVmGkGWMUkzAMTV0HACGE7TrLy8sPPvhgLwh830/TNE1TgUDy + zLG87du3b9++XSMokEzTKeeMc+44DtHwem3t8MLC/JFFTdOINOM4NgyjF3QBS8d3Z3du/9bddxmu + HYahZdlJylkWu64nGEdIIIRBKqUGUNeYEFJN8mmaJlFaKBS63W4+n6+16lwKUzeU1WEURYauU0ox + IM4EYIKBdno9JsRLXvayartx483/bHmF6kbzX77ytb/8xF9mEsVRqFkWJlQILlURVgrFyUSA0zjV + dV2nOmdpFCZ53yWIJlGAANuO1el0bNeNokg1FoQQhm5mmTy6VFVzgpcrxSmjuhnGKRKg63oxX6jV + ar7jiiTp9XqGqTmOs7a2NjY2lne9Zr2uGkc61cJ+4DrOaLnS7/cnSpVVDp1ez3Yd07SDIKC6Xi6X + l5eXHctCXFiaztLMM6yMs/W19XK57Nlu2OvrFCdJcsYZZzSbzUMH523bJogokVpCtDBMHb+4cHRt + vd6dmJ565PEDKjEu+DkOUmQsyljOdUWW2bYVRSHGKI4j27YAIIxDANAoBQDOGAAQjKUQRGGRpBSc + E2WfhTEQwrIMSygVikjCp6++BiF02u5T3vKOtx4+OD9SLP3D5z7/rne9y7OdNIrHxsayLDt8cH6i + PPaYeLiSL0a9XtDuipRhQBrGE5Njf/S///fNt9yUJallmL1O17OdLE6QkK5poUwiAURgikmr0fR9 + 3zRNRZ8rjZSzlGNES8VyGMampvd7PaRh0zSzKEnSzHJsyYFzXiiUisVimqZLS0uIYoFkJjImmSBS + M/SHn3z0kl/5Zc4lklxwEJLpxEizOIkzIdnkxPRqdblYGEFYVlfXR8rFoB9RDTu2x0XWbnVHJyq9 + biCBh0Hs51zOZD/oTkzNNOsbSALGKh5ByCQAMk5jolOVlui6HvT7ytQEAeS9vARuUGvtwCFIYHV2 + rVQqIaIJwEIizbSarU6YxEnGdMtudXvU0BFChqlLEBlLAQEXbKRUbDUbvudKwX3PTcIQI7B0HaTQ + NdrutNRF2O118oVct9chFFvUFJJrOgUA0zKCsA8ACINpWa1eF0DMzE41mjUAcAw9Cfo6JRKG4JWB + 5hdCgJD4yTtIqtr3dMV9FfMZmtZutgTnShrilJNONYklhHAtN5fLbWys1VbXVFiJkDxy8NDpJ52U + htHMxGRro/G2N71Fx6S2vpGEkW6ZZKjxr9yMlIuwRmkhn3/ZS1565513jlVGBWPVemPnzp07t+/4 + tV9/rWVZt+7Z8+53v7tcLre7HSklxogQQobyjkKIXq81OVUplQqebb/+ty85On//wj4dxChkvqmx + 6lJM6c7ZSbr3iT0vOPt5nnuFSKGYK526+5RWo5kJoUgpcZYwwZvNpgA+NlZZX1/HGE1OTi4sHCmO + jNm22+/3gyBQwXEYhkpB5RlWCykH6v4SPM/LkqTebNxwww3f/Po3d+/e7ft+lMRxHL/mNa8xDOOx + J5648gPvp5SapmnbZsY5AE9i4dq2ruucZ1mWlUsFZT0GAHEcE2IDsEajkc/nGWNJHDPGCvm8WkUU + Jhgh1Gt3oihybNu2bcd1661mt9stl8uKYGObFgBQShuNBue8HwS6rs/NzbXb7dXVamVkNE0ZQhIh + GQQ9zjPNoBhTXTfCMNSQpLpGKC6N5OOwf2TxoJ8fEYAJQUhQqhnr6+uWafa7gWU5/U4PIYIQAUQc + x0k1jRq6YnNKzvr9vgA5MjJSKpWCIGi2WkmSEIwV1UeJzsFQs2EzKHyO+SNpuS5zQcnUGEQggdCz + FouRRBJJ+TRU1rH/n0G/QWJggDDHwDGkFJ5SmYZh0V2NnzS6wgoI9+x79JSBJCICg+oUABtC1wRI + oFQHwMAx51xIJqSUICTicRbpRMcYm0QXAiMmpBASYYkkE8BBJiySKZeYU0ywVLZKSHIEDDMQXIgU + Ep4xQizJBUtSyQXiBBMFYcG6bmICOtaBgglaJCTnQgpuciolAoI4cC4FxVKjGuFIcIIAhyzmUnSj + wEa6RnQOAiEhkJAZw5IARxgThIEQrFNNgNQ1HUssMqKccrlkWHAksQaUUpRAHMb9LMtwhn2v1AsC + HjLHsJQ5skAx5zwLsrzlJd0IuplO9RE7z5PU0g2xJRPazGN/REfyaccKP8NJs/U2kgIBAAfVhnvK + a5+CpQQguk4JYjJAgnPORIZ5YgnCOCCOBaMcUCYk0yXWkS45YikXAFwKIViGM4SpzjWNaBqVErGM + g+QCqCSE6EgzpA5SCIoZZoIABkwQCMjUWqdrmoQBSYNSSgCIAMZSrGumpmOEBRNplCKGASBlEdYQ + QVjXtYFMolRtR8RTySXngkmW6EQoYRcD6xJhzKkEJJAuEJMyAykRSMYYAQQAGqUGxiKRlFPPq2Rx + piM9izNDUh5nRGAAXPSK3fUuBTQyUup0G0jwvJtDiKY8kyABYYSEElZCSn7kKaZez7WBAZCQEmGM + sMgGl7WUUnIxPT0d9KM4yzRDLxQKa6vLpUJRde9FxoQQBCGMMUFYglTggoyxjY2NtbU1GEqVZklS + HhlRJvLT09NTU1OU0mazuWkZoiBG6+vrhw4dOrK01O/3bdu2LQOD6Pf6KYsnJifn5uZGx0dtx4wZ + ywRHSi0MUc6VhT08RfwGlPw3QKfTMU1TQRIQQs1mk2q6QQjGOE0ZkthzfBAiTVIMKJHZeS8778HH + H4qj9OTdJxOsraxUvVw+6Ee2o41Uxqrr64yJIIhK5ZFabd1xHNe2McZcZBnnEjgCYhlamjAQ0qBG + LGOWcsYzQjSEEGcyyzLl90J1Tdf1Xq+XpUhRUBBCAinjcYTQwAvIQaTb7Zq6/tef+uTpJ59qGMZ3 + 7/rOlVdeqVPtpS9+yUWXXPzud797aWX5nHPOObBv/znnnHPdpz/f6DfKbokDWzyy8MBDP/zjD34w + E3xsYnxtbW1tddXQtKv++lPnnntubXXt4MGDZ511lmFbAHDpb/3Gnj17BICpG0kcv/N3fndmZubb + d9xxxx137NmzByQ4rtdsNl3fE0IQXbZ7PbS2rhtmGoYYY4kxQQh+vA7eM47NKVHFYKqwkqZplqSj + I+UP/dEff/SjH/3M33/mrjvutCxr3PX46KgCZSl9+U6n8/73v//KP7zyjm/cns/np8YnLr7ooi9c + f71XKOQK+SuvvDIOoz/50Ifz+bwiYlBKHdtOotiyrNHRMsY4igIppevamqYlSZQkiQDe6XRyBb/d + aUdRVKlUMsENwwjTKAxDyzQNXzWIYl3XR0dHO52Oqv8O+qKUIIIZy0AKITIppcI0SSSFlCAz3bYM + xwaAWru+fdeJC4cOIYQmZybSNH3RS8/93ve+V18+MjIy4pdyh48cOfPMM6mu7d+/XxJsmJqksNZY + dyxLSqFKbkwRmhACjAjCqoisSNpBEKgbij2oU2Nyx65cLlcuj7ZarXq9uWmFAkN1dRgiHv/jA7dl + +58Yqg4r5DFv9M1oZ8AjRjDovwIAwE8BYqfeKI7jfr9fLhQxxuXREUVYHx0fC8M+kliwrNdpg5AE + YdsyVL9lYnxccE4xObBv/y9d+Mo7v/Uty7JOOeWUVthTHTFMiPH/cffeYZqeZd3wedW7Pn16250t + yW6STQgkJAQ0EBJflC4ICNIkeEiRlxdEkNCCIBC/TzACKq8SEPIKCqKABPhQCSFsSGGzyW6yvc3M + Tnt6uctV3z+umcmGEJROvvOYY445nn32rlc5y+/8/cLAgdAcWSdGKIqiCy644NOf/vTFF1/83N/8 + zXe87e2rq6sWweMf//hvfvObj3nMY9rt9uTk5OLykjHGIKCUamOca4vAFEo8l01tu1Knm2cDpTNr + WRyVWg3BvaBWLc3NL5yaa9eGw81b4cScEjopBeXR0VGlFMfY8eI7jubh4eGJqfF2u7l9+3YpZaVS + 9jx/dHx6td7sDwb6AVEu0C4lgh5wZh/oETTGsak0Go1CHOd5fvr06ac89Slzc3Na61KlvLKyMjk9 + pZSinNfr9a2bN1ll68v1Xru3devWbrebp6Kx0vCZP+gOuMcazZbD1CkpNRc+97RUFJMkG3ieZ6mV + uWg2Gg6T3Wt3XMhUKZedZnm73Y4LBct42h/0+/2oWPB9v91uc863bt2KECrlebfbPXzokO/7M9NT + ySDVOg+jgBCSpgqQppj1el3N/CzLcBT5vp9nA4Q0Y1jpFBOdDQZCZnEcWq0xQpxQa63PPZMbz/Os + RWnSoWCllP1+F6G1Oo9SqtPpBEFQrVbjOFZat1otVzR34YpTf9uAFJtHoD4Sj3m1WCWEGKMwxk6x + 7EcCbf73bKPEA+ahyl0/X/6Ah16AAQwIS60IWivSICAUI0zAWkYBIUuIoQhToUWuBGGYYmw1MOR7 + iFFFLAUGDAAGeeKFxGDAQBhwBDgkBa4DQjCjXGChQQEmxDKMaACMGCLS3Fro674lSHNEEEKaMeRF + QA1YTUhutdI5ppQiji0ggQmhRulSUJFSUsYtQiKXhHDPUowYtRxjx1eeSCM1ECEE5R4CZBNJKKGY + ZFpaMFpbaTQhBAAji30eFP24DMH2yU0WvHqve//JAwgKkqg4jgcrSc0PyoUhXtm83Dmds7yZ9cBf + iwfQuu4hPBhq/wswbSxa06TCBojFHuYIc2WZwkpjY8AYbQgiFDGEEDPYIoIp0VhJyI1SUhtkHGwe + mLYGADOEEQgp8jzH1LfGWmwwQoA0UoYaRCjkItUYJM5lrnyMmSWQWCwgCCMN2mitstzDnk99ZilF + GBlNARFswVqjtFWaYs4QQ0CsAcAEUaYtgHXaEkhLjYzD2CFwgQsCjSwnlGBGfGyEGuQZtZRKanI5 + PTWZ2owBbmbNchgjQNyLkIBRWp4ZGkIENKh+Uk90l0SeH5K+yBC2jooGARhrFQZkz2Q4+S/sl612 + 5MwlmBhhyKKNxdNJYS4uLhbiEiEEIzwYDLTWtZGaC5Ac2N4tsxiwMcbzfWstYezU/Nzhw4czkTPP + cxtBnucY47Gxsc2bN5fXs4Ge51lrHQkYAPR6PUe0MzY2lmVZnudWS4zQ9PT0lq1by7UaQsQa5GAC + FpAxCiHA5GHvy+XatJA0CJP+AAPyPb/b74Wep43N8wwAQt+nlKaDhCDsUXbxxRe/84/e8fyXPL8Q + xfXV1S998Ytv/+N3vOC5z9tz9z0XPvqCc889F2v02le9+pZbbj558qQqFA1AmiRKKYQspgQApBDW + 5hhRBYoEwWMuvOiqK55sjCoWi0mS3Hbbbd+69dtKmTD0e4M+QppSDk7TFrskx4NCC4RQkiRJkvzu + y142MzPzwhe+ECH0t3/zsde85jV/94kbGGPHjh0zxkxNTf3nN76x6/wLfud3fufPrv+zG264YfP0 + zJvf/OY777iDMbZ79+6nPuPpvV6vWq1mIt+1a9cTH/fE9/4/733Kk6+6/PLL3/CGNzQ77auuuupN + b3rTG9/4xjTPHdyx3+/feOONxTh+z3veY6391Kc+9cG/+AsHVh8aGuKB7/RaXBQHD1nTfsgu+cMn + gXUIB2ude6as6Q36uZK333XnP37+c1dedeWn/s+NvV5v/4H7q9UqEJxJwXyv0+8BwV4YzMzMCK3a + ve7UpplTc3NjkxP9ZPDWt751kCYve9nLJiYmGo0GQbhWqQLB2hjCaLffWVicz2UG2CbZIAi8dreF + GR4aHxYqz1LRGXTGhie9yGt2m91ej3teN+tzSnIpllaWC4XC1PRMEARplq3W62maep5HHFwQI9ca + p7QmrqXLrf/GAkAmcovAaqO1vuCCC1796le/613vum/fvh07drzxf71h586drVar1Wn/3u/9nsiy + qampd7/73R/84AfTQWKUJowWCoV+v68oY4xaa43W5ozeLTd6AMBYK6R0rdcPBEidTrVWc41n9UZD + SOlgOxt+kavWuIP8TPeph9Z1/kv7adJ8g0vUEZIkSbfbdSGT7/sMU8ZYq9UaHh42xgySXrfb9Twv + iiIAsNa6moAjN1teXTHGDA8PY0KEVm49BQCGiRBC5PljH/vY5dWViYmJWqW6dfv22a1bRsZG77vv + vle84hW7Lrjgoosump3csry8PDExoZTSYDHGLkCK4xgjFIWFqcnNo6Pjq4tZSweejxmHNGtHcZH7 + dP70MS+AKKaD+Q4AbN42W61WT84fQwRv3jKbGqmt0WBLpVKxWJyYmFhaWpyenu5222EYTk1Nf/xj + H3vac5/veZ5DhTnQ1wbRMzy4eWMDBiOE4JS5PUBKWSwW5+bmRkdHm80mQmj79u0r9VVCSLPdnhif + 7PcTQsjY2MTM9HR9pdFsrG7etKVaKh87ekILKTIZMO7xwHKNoJhkKRgZ+0Ha68s0owiLLOMxGakN + iXStfuJqa1mWYYzL5bLv+3Nzc5s3bz50+PD5u3bNLyycnpuf3rwJtGms1hdPnRrfvKkYF5RSPveS + JCGUEI2lzNNUIIQY8yjBcRwF3I+iaNBPpdRKWq1lMQrLcY0i7nkAAIW40mzVh0q1JMmssa1+uxBG + oIFRMlIb8nyWDhKfM7c9Uo9HUZTmWafTcQ08hUJBa52ucza4be9MZJF5BOoj+Zj7ZZ9SqpF2eQHG + GMZEa/1zCFzWGyoA/ovW35/Jedc4n9bajUBrvR6GIoooQRi5FmVgYJA1GGGwyAgjOGYe5joHbFBA + fKQQMEAKGWOEFYR61lqkgWoPC0zAQwrRdZSARIABMeBYMQwWWRxiirBNUapA+YQRwoglATAitDTa + UGJQLkBiIFYDSEwyhAhjRPKi19MJIKKtkUZ6NgANXHgMuEVYIWGQVaADzgl4FFOmOFhMDNFaUsIH + IgHA0hoEGBCmQAPuF1EY594IFMswWi107h/sw9JiTrTW9YXFKycetxlGm7C8tHi0OBUtDFaIH2qz + NgV+kUHRumEwxlgwQDBCQLG2VGNqObGetFhqpKgxSGlEkUUUEFiMJcWYgiWWUyBWImQAE4sCEvjG + EE3ANQtho7EWRDHGEKEUAbLAtAVjLMGUYqFyQ8FiiFgUEe4p7CnMAFtDUmMwEC008ylDlijCiQdG + EYMBjEZaW0MspkCpJVgjZAhgShjkNpdgCOZKGY9ykIghAgZbyw2BHFvEmVLKGMBA8jwlCA+VShVc + 7S8OduKzdEEZUHfrvT3V6XR6hUIhslEh59PBJAPUhx4dkUuDetdmWZYgqy0AsQghZMFqsAasqyc9 + os1aC4AIIUYYpZRbSIXMqeVD1RrCdKXRqFYrp0+fHq6UXbvLhtzC2qg21q2NxhhY11oI48i1M3me + 12w2t2zZ4nrT3drrGjwAwHVvW2t7vd7Kykqn16vWRtcOC1AoFKanNo2Pj5PI6+WpUgooJoRYWHM9 + fwjjiOuJDcPQ87yVpfmJiQkAqJYrzWaTc14MoyAIVpeW6/X6BbvOf+lLX/qS5714fnX+2ve8Y//e + e1z61Q/jN7/pD41FkedfeN75jLHT8ws7tu04dujI/nvuVbnmHvV5YJmRWgEYjHEYBQgopTxN06TX + r8TF88/b1Ww2t2ybPXjw4PDwcK1WazabSZameU6ciJO1rm609jLWcyjuXfT7/enp6dHR0b1797Za + LSHE6173uve9733lWvU73/mOY6Lr9fu/+qQnLczNj4+Pf2l+3j3k+++/P4qir33968967nMe9/jL + vva1ry0uLs5u3TI9Pf3du7974403EgOe5912222NdosQcvHjLul0OpRzR1ZUrVb37Nlz9/e+d9NN + N11yySWXXnrpqf/5xk/9w6c+84+f7Xa73UHfXajneZjRtfbCM3ioz6xI/CjjENaxYsjhbtzwWFxe + opTe8MlP7Nq16y1v/eNr3/muSy973FVPvvKix16cJekVVz751ImTR48f++hHP/qiF70oTdM4jseG + xzv9HmH0b/73x8rl8t9/+tNXX3313Nzcvn37CCFLpxcdIVZULGgET3n6U3/7JS9cWloKimGWZb2s + X+TF3XfetmnTpi1bt77z3dees+u8qamp0cmxQZYMspQx5vSU8jx39FEAcOL4USfEdCbjvDQarbdk + P3CPAADgSPwCz8/z/JxzzimVSqdPny6Xy2976zWnTp269tprCSEvetGLNm3adODAgQrnW2dnDxw4 + sGPHjoWFBVdl9X3fcVY5/2q9Gcq6d+Em0UYES9YNAFxbwfz8vKtnulG04RvjMyALv/AN66H20wyQ + giBwN9xoNCxGY+Pjd9x+l8VotVnHlCACmKI8FQjjIAyVFsrITOStTrtSqyZZighGCKVpurKysrK6 + qpTKpAAAN3allNhC4PtXXHFFEASvfd0f5Glmrf21X/u1a665Zmlp6ar/8T/Gx8dvueWWyrOqy8vL + zXbLVZAQQkprFwZQzBcXugcPLHVauhjXvnNz4+Spo1JPACEGDRQw6rfGJoJMLqVp+tWbTh46evPv + /u6zN8/OHj5y5OJLLm632+12e3V1dX5+njB67PhxP+C33nrrubt2+b538Mhh4P6ePXu2zG5jjOV5 + HgSBy8q4Zv2He3RhGDJCnYtcrVZB23/5l38pFotxHJ9eWpRS/v6rX+XYJgghyDKrYd89+xjmhagQ + MO4zT2ayVqpEnt9ud3yP5/0UU+xxKtMcS1UaGmolWa1YLlcryhoXtQ76fa11sVDQWrfqDc/z/DDU + Qh46fmLXrl3Hjx/njK0sLiGAWrUqs/zE8eMTk5PnnX+BQbB8epEHvs94LxkIEFILDNbzWaVUGgwG + y4tzlcpwp5WVSjWrQaQ2CKppmmYJJajSbUvGQqRpu5EpQRVCIY+MMT5DhSg+deoUQmhoqLqytEwQ + UkK6ZkRX7XGQxWazqbUulcuVSoVR2mq13LR0DA0bKY1Hoj6S6gvUl+5GlFlLmrpI7Kc1T82ZKq3u + k+/7Bjrzyz/jPDSyDz7/Ay0wmFIMjgSPgEbGWGwMaOThAFucSmEDoAG1MlHWcstIjphinvYJ0CQb + gGeNtSyiEkvQQBX3TQApJoRkSQIMSaY1NtpqZDExjCqPWqRSQRgjAdJcI2spolQSPCBUUW49oxIg + iHLKCEUIq9x42uPKQxIjZvK2wBznRlhkKaXYIC6pl3BimMSAGMI+4j5LleKUQ4ZwbmITgVJdKZAn + CcaEU2SJRsgYkFITlfcTc+zw0u37b/ZssTQxdGLp6Nm188LRAjZ6rFD78uc+yxZEzP19q4cvef6T + 4vGy8qjo9zbGPDqDo+ln+RZ/mHFKETIEM9AGCYkEZpZghMBaBcpqaajGgKwFoy1TmAsGCimkcm5y + T2piQ8ooZqAklSjIPWxBKCmItgRxjwEiRlsCjBnMhAFtFLVGWYqptMYqzTFjknqKkIEhiHZlbpmJ + oiDPc2ysGAibm3IhNikjANIi5GHCKSYGW7BCk5RQSywQS40CayiAxzDWVmKS2wBxIok2TCqVImOM + NgCEIEIoo4YSm/T6jZPL3/z8zftG9prcsArJvPScy3eOlMtWQfPE0vzhI7f3rbBpNBxWNlXxkB9U + vVT1MDUGXNBorTUKrMSAEJAzcQhn2C9nvegH2saaRo1hjDnvnHs0SRI/iAgh7XZ706ZNDEF9cXWj + mX5jPFsLDpQjpWSe53lesVgslIqAscONj4yMbNmyxfEQuMSf6//ZYPECACc7s+FcKmmDIBgfH6/V + apxzwr2QIEKIUAoBYJfqBmwfCitdN7fdcMasNrVyRWZ5v9+nmMRhODY62m63RT/ZND65c+t2rfXX + v3LT7ptvOXr0qJZyamy8WCyeOHGCMbZ8evHee/dfcsnjus3W5OTkzMzM/Pz8SLU2VKoM0oQQRCml + jLjtzABgTHwWLswvEkwCFmRJ3qy3ms3Wtm3bntTdQQAAIABJREFUZme3futb315dbTi27iQTUuo4 + DnudFsEIWewC7/UHC8aYOI7qS0tSiV6vu2XLLEKgtbp33z3v/8D7Xvf6109OTgBGYRg0283jx48p + pe7df+9vv+i3//M//4MUCr/yq0/4p8/+47HjR8MwXFpawhhvmt189OjRyy67bNu2bdu2bTvvvPNG + RkbK5bLF6IUvfOGJEyc450EUOdBat9t1acdDhw4tLS199rOfLZRKv//7v+86WzDGxWLx9OIixpgS + rB8CHkboYStFP2Re2HX1KgffcE81l+I/b/6mUFJkyfuv+8DTn/mMqBCPjo8Vy6UDhw4ShK/8tav2 + 3PW97951x7e/c+vI2OjM1tlmvfGUZ/7G3ffsPXfXeUsrKydOnXr8rzxhZWl5xznn3LVnT0hIoVQk + jO67b/9TnvbUyx//+O/t27tt27bySK3ZbNLQ031o9Npbtm+llO47eF8QhfuPHDx27NjlT3ric85/ + 3mAwmJgad0y8s7OzSqmTJ+eEEFmWnanpZIwxxjrGdrtRN3PzBa+FLtZaZTRgJJRstJq9Xm/Xuefu + 3Lnzmj9+69zJU+Vq5frrr0/TlGLyxCc+8etf/3qn1Xrda1/7lx/5iNaaIOwxbowRSqD1BvWNx3hm + 0v/M7oYNJpU8z/M8dxPQARo3yIe11hsHPLOW8EtiP3KA9HCpZSe0lKYp5axQKhaLxUyKb9/6rb+7 + 4W9FlmvQPPCPnTzR7/eHh4cJIYyTd1z7rvHx8XJtrXb5j5//3B+++Y/CMAQAR0ARRREhxFE6YowZ + Y51u95WvfKUW0vf9x1z46Fe96lUfuv76IIosQl/4whe01u9+z5/cd999J+dORVFkMXI1PKfsRiml + xAvjSrUy2usOUCz27rvFj9uex/fee6e1SGkdBt7cSlOJ+rYd1YXlE/vv39fqPknawZ177rryKU++ + rPq4qByGoY8pGR0fAYCFhYXp6em5ubmJiYlHXXj+oYNHarWa089xNX0XKDuKRniwp7Kx7iulkAXX + keL7vhHqxhtvPHnyJEKoOlR7//vef+GFF37961+nnHdaLY9xTvmjzr/guve8f6WxQtyQ0kZkuaOc + npycbLfbCKE0z2q1mrJaKNntdsvVaibym2+++e3vfAfnvFQo5Eq6gszY2JgxxoVnl1566ZEjR0aG + hienp2655ZaZmRnP807Oz1100UWtVuvY0aObNm8ulUquuCGljItRSAItRS7SRqORZoPxibE3/eEf + bZreJnKLrI+ADw9NDXo6G+Tl0lC92fF8hrDqdepDw4Usa3MPpEiNUb7vE4owxiuLp9/zvve2Wi2E + kO/7vu/30yRNUz8MOOdOOhAQWsParctju0GyNkofmfpIuU47jUae5wGwjVUGfl4O7vdxoP0Y9egf + +YwbfyH4PsI9Y5WxQBGhGBONkAEf+YHnl2kZAOYby7nIUGQs1k7DyAd/JByJaWipPdY8Yrnp6wEJ + aG772LIAR2W/Zg1gjFfUkiVWGY0Y0Rozy4q0ELESMqTf7/aStkagmJJGWmkDxMu0GuOIA23Iek8k + mCjmMa21yo1HgrFojFikQnX/4oForNAVHYMMxpgZGtHyUGEYa68nk5ZpGhgYZFORYsq4JiGKxryK + UsqIvCu1F2GgVAhjNDIYIYswYCRN1hiQBKfNDrV0y8RUrVxZ6rWw0eN+pBULUrBdXbaFql/p2Y4G + Y/EDr+1nAM78kc0YDWAwRsQSom1AojIrcxIrC109aGqBDCLcs0pbgwIcjEYj2JDUiqbpCTAGaeUo + 4IX1rT9MKz7lHdtriHaKpOYYIWuFDTGPSBAhjqkZQNYTiSFGUy2UCHiEBCrSos+xRQZIv6171JJc + WkYpWMssq0W1Ao6A2cXeQj9NPMYQxkYiAjhAXiUcQogJlFkle7ZnEDIW21wzQUp+xKlvDR2grKe6 + ShnMibYglTbGMJ8XWDAxPXK4cHCcj4CF1CQYGWSsUQoELrD4xOGjY9EwAQueHioMqRh1kJBaEI9S + ZNZkyO0aU6EGiwAeHuf1yDDnGBljCCACyFFyjY2NycyWy9Wrzj3v3776lV67kyb94fIQW49h0AOM + IwAAGw6W87FarZYBMMbwKLrgggvckuuCIudCbJzUke44gIDneUqLNE0JwuPj4zt2nlUoFtM86bXb + NPTXzrLmfRqMCSFE64dFHISe36w3As8bqo3kaTY0XV1cXPQQueziS9y5OKVZlrndpBgXLnrUhStL + y3meV6vVR513/j333HPi6DGGyVC56lG2urScJ2mr1RobGn7iEy6vDlX6/X6S9AHA931KSa5knouk + m62eXlHWlMuVkHvYQjGKG6vNenMVIVSr1bq9XpJnlFLAyNHYYrQGiDpzfSCErKysbNq0SQjxmc98 + 5hnPeMZFF120e/fueGjo1t27O73eh67/i+Xl5bmF+dnZ2bm5uVKp9OlPf/otf/TmO++4Syqx+9Zb + P/OZz2hrrrzyylKl3O33Wp329PT07t27/2Xz7Of+6XP11dXdu3d/7StfG8i02W798TVvbXe7lHOn + +GKtHRoacn0QzWbTsRl95CMfcY6ck4J12vRS5BsVjI0h4TKJP9IgPDN5tEEZL6VcWln51I03WgSE + sUGafOj660uFwre+/e19+/ZlQogsa3e7Ms+573Pf+7sbPu6OMDo6Ojk9pa19xSuvHh0dnZubGx8f + d2GMEKJSqRw+fuxz//z5PM91Lp7zW7/Z6fQQsjMzmweDnpR6ZWWpWh0aZIPxsUmh8+PHTg6PDlUq + tVtvu7VYLtRqNcaYq9E5fog1WmprndyIa6nQ2vget+uTZOOBuLnjHlG/3y+VSkmSjIyMTE1NDQ8P + HzlypN1uY4yT/qA2PGSt7ff7v/msZ//LF/91dHT08Y9//D/8wz8MBgM3ctYQpw9Oum0AFs6c3We+ + l42rda34LkHsPtloNDgT+/NLZT9OBWnDc3qQeDOhbvWRUnLfa7Sa9WaD+t5N3/hqrVwZnx6XUmYq + U6Awx612izG2tLoCBBfKpb+94eOunttL00arqbQ+s5znCqAuUJ6cnNy3b9/OHTu63a7UKgzDU/Nz + 1Wp1/vTCl7/85ZmJmdVO/cUvfvHWrVsXFhaMWaOJc/9XSmktljIf5N3fe/VLhofGx0a2jG/x5k4e + MeGh8847Rxm1vLgcWTM8VPW9+r6D/x/1kg//1Qe/+93vdHodL+C5lUqpxcXFxcVFRyg5Pjm92mh6 + HhNCHDt64tGPfrRF+PjJuSTPojiSUmZSBEFgMXJ72wMjYD0PSAC63W4hiuM49hhbXl4ertQ++clP + Tk5OLi8vV4dqJ0+d7Pf7cRx7QTBUrXYanaSXDLqDbq930aMv3jQ9/dIXv8T3/U/e8AkAULmIosjJ + QfT7/df/4esNmM//8z8z3+v1eqPjY897wQs2Tc802y2tNQFEEfbCIArCo0ePKqUuf+avvvdd7+0k + HY/xxeWl17/+9YcPH46i6P+97s+e87TnCBDPf8Hz77p7z+joaDIY5FkWej7GVCphtA38KPAZ7+N2 + s5Glycf+6qOnTi5v33Lh0cMrWpRCb6zdVpXyhEgUoTaI7GCwTPggLpiDB+/YsXNTlve6vebrX/+6 + p/3GU2enJzutltXaKL0R+bjx4MqJbhpbawtxXCqV0jR1kY9LBdlHrD6SW/I2Vhx3ZKM1/gGd8z+R + PYjh6gctSj+H6MiZPYMI2ZHpAZi1tj1QBDAgAIOoJoUoHvVHR2AYAHdJb0UkDlagNTCMAwi2VLaW + oKhBzaencIy6qkMxaKGoYWVe2uzNWMAKZE93pM6RzwwGITBHXs2rjeIJAmxZLSqZSiQpJ0pTqmjF + r26j22pQE5AZaRKVG60xYGWVlroQFGf8KQ+4Bbu3eU91OurlPSCIWUsFHipUtsNWBsFcvpL2BgNp + jG+YH2BDS35pszc+C5MAKpT0RLrQxzIHEMpwTjGmFtPQC0shO50sDReHRZ5321kwU9XS5DgrBlG5 + VDq+0qrCSByHKcIEPEJolvUppQ50voEh+cXiFqSRyFiMDdGALS+wwng8HkLRAF6FxqDds0Z6lEst + MNCCV9ocTHvgdyFjcrmvVG770oJRuuYXxll1O91UhGgRVmzvRGqaiAMhxFgb0eJEODYGJQyoDo2F + /lJi+8izwtCABaHmm+PZaiGUII7KuUEvtxqUkDhEPvViWxin46hgJYh+0h2kKTIEgdJaI4SLrDgb + z2DgbehlIuunqVbIahxQXsDhZGmqDGULtAXdQTfrsUFODMEWtEKUpHmSd/sR9U2qtdU4x3mWx6U4 + 8gPrW61xoRR36p0txRlDcJqlKlf9vuzZzGIAAmAMGOuITzRBCK1xCn5fjhKtE5rDI6SOZI2xyGJM + HOxHCBH6wezs7Lvf+adBEBHCLr70se99959oJRz130PNrbQA4DidoyjKpTAAQRDMTE05QXP3BVcm + EkJs5LAAwEF93CcO/Dw9ObXz7O2VSkVpbS2SUorEGGMJIxYjqZQxxmJtnegcWitzb7wIZNeaUWu1 + 2p9ce20hKDDG7t+3/2/+6q/77V41Lgsh+s2utZZzPlysEULyPD955MT4+Hg4Gu7fv395eXlxfrHd + bI6NjGf9HkeEe1xmeaVYSvs9nYnG8iql1Ke+BQ3Gylz2u91ms728WDfCSClIhBkmeZZFcby0tCSU + lFJv+KZOL4ggiAIP7BqRkevvhXWIVBiGKysr5XIZAK677rpv/cc3fd93LLJLS0vOrSoWi8eOHfOj + 0BizuLh4zTXXZFk2VK3d8q1vnX3WWeVyud5sNRqN0dHRwWDQ7ff7S0t//bG/+dKXvrS4cDrLsk98 + 6u+VUsdOHK/UqsPDw1IrkeWEkC9/+cv33HPP8vJyoVBwxFHO5ymXy8Visd5qNpvNcqWSpqnv8TNL + Fv+lPdxGhhAYtPbbWIvdH8YYsMdPnhgdHkmyNBMil6LRahXLpaPHjzOP1yrVQqnICPUCf3F52Vrr + Qt8Tp05RzoTRcanY6femNs1gjBElUbHACW2126945dUe45gglWetduP8XY9aOD3XbnbOPXfn+Pjk + ffftq9aGm606WFwdrqZpbkCHYby4uDA8NooZTfJs7sAh4KQ6PFQsl5MkkToRWrn3axAAWQPRIOR4 + YOGB6NGpwjrSwnIpiCLG2PLycm/Q19ZWq1XHPocQGvT67WbLC/yzzz4bjH3MhY+uVquXXHKJa0ng + nGNKkVM3PKNSBM6z2shfACC8rr5gLQIQSoZhiME6ZUQgGAjGjBpjQK8LLq1zQf7UXZ2f0H5qEDu3 + +riqAudcW/OkJ1/xpCc9CdZFVJzzxxgLw7DVajkmsRMnTkxPT/u+32w2C4WCF/h//fd/56oTAGsS + 2i5FFIZhp9MBbbZt29btdrXW9Xr91a99zfDwsFJqdnb2/dd9IMuyo0ePSilXGvVarWaM0esgpQ1M + pFLq2mvfSXAmVU4JH6SyVB4mnC0szBmwM5Ozq6sNhmnksU5nMQyYNeQlL3+RUmrr9i0MkWOn52a3 + zo6PjztIYa/XcxiAwWDQarUGaUaY5+oVbuw6b/jhImOEkJKqWq1qqaSUIsscCfUXvvAFpVS1WtXW + bJvZtmfPHkppvV6PgoAxViwW506estbuOOust/zRm3/jql+/c8+d/weTPM8LcWyM8TgvFgoI4Hdf + /HKNze233/62t72t1+9f/5EP52kqc9HrdP0wAAAHWpubmwvDcHJyslgsfu0bX7322msBYDAYEEZ9 + 39+5c+eznvbMt7zzLQcPHty7d+/WzbPNTptz7oThDMaAMYDNklxlucdZGIYTYyOnF09NTMy+7g/+ + 8B//4d///aYDUbyTFgrFYKKT9LXICJMFf+jk3B1XvOBKkSTvfPubk6z+3j99B0XGggAwjdX69PRM + rTbU7Xb7/X5YiCml/WTgxgZCSEnZaDQQwOjoqEO+KaUcJeAGYN0+0vSRFFFe7HHOMV7za7XWVsOZ + dHk/U9sgsvt52pmUxWuqO8gQzowBhAhWYHItM0MoKfiFCGIGLICAIQzYIGyssMiCr/gYDEdQyCAR + TeEXuTWGEQpKe0CLKBqBEQu2B32baClzEoBVAJmmmMR+NIxrFGjO+nO5kJ5wOnomUxjZgPo+eCFE + HlrUudRUAnBrLbG45BVqUPOBKxDN0/XKWaNKmsBnIWOqoaLAG6IVDP6KbtsUAFmCkFIqSQxm5YIX + xRAi0L5habsPw0wja61lzENYZXmmhFaKYEqXV1bKuFqIi6k1QuXF4aIVot3pIEoso/PL9X5FSQva + Qp7nxUIMeo1lcWP3+gXGSIwxpYS1yBhsFcKE+xAUILaAu9CxmcqRoAEzBpABjwZFKAUQaOiDWtVC + a2wZxZRTk2tsSUSjAhSa0LGZlWDBx8JqLZU11g+DAlQoQMf0ZCJynCuChZJYStZDXhyUoZxCCpIy + 4JzTPu4qaXSiAhWGECJABjTHAQPGCdcWtE4t2ID6FaggICkIm2MhDPEpxlgLjQBHEJWhBIBTlelE + 91HKa6E0ykgdegwjkIPEj0Lf94mllFCCiO/7mRRZP8M5H45GeBgtNhqFslccrZLQ10T5Ubgy6IWY + IQsYDDbIIsDwS5lf/dENA5JGW2w5XtuOlVKeV5yZmXn2M565sLR88WMv+fjH/+5L5//r3rvulKk8 + MyG9EfNvZLINwObNm7ds2YIpyaUkhFRKpSzLoihyWGgnArGBpKKUep7n8HWTk5PaWub5Uso4DDil + 9XqdcR4XC0hzAYYQkrk2ZkIYYwhASsHID6LLRxBwTwlptR4bGX3Zi17qc08JMXfy1FCpBlKbXBb8 + 0AG2hc0xxlbrqYnJZr1+6sTJuZOnjh07FkXRaG1IC/m9O+7cc8edmJAsyyYmJs45d8c55513/MRR + jK2LLrlHLdK9VvvYwcMnj89v2jTb7nTqK6v1+sodd9zBfK8z6BdKxVRlTtUQtHV+hchSrakLkADA + ga/WbsFaIUQcx0mSeJTdcsstDhw+Ojq6bdu2qBDv3r37O7ftRgiNT012u10nAz2wkCTJ2Mjo297+ + 9l6ns9poLO3enYm87FQKCRkeHk76/eMnT/iUb9u2jTE2PjkRFwt37b07CIIkS6MglFLeddddc3Nz + QRCMjY1prTdv3jy7detnP/tZi8AVPbrdLue82WyWigW3oW/wpJ2JJf7v24Z/7/xDR9ckAQhn5VrV + gCWcNVrNII7KheLS6kpteMggWKmvYkbjIGz2OpEfKGsIpZ2kHxVigyAsxKbfBwDG2OrqahAE1tql + paU4jqvDQ91We9BPh0dq2CNHTx1jjE1tnr7v0MFUirGpSWPMlrO2d9sdqdXo+Eiz07bYnn3Ozn6/ + L4To9XpA0eYdO7Zv337XnXvq9XqlUnOvABzWlDHPY1meb7gKG+v/2vQxxuVzjxw58pvPfFYQBC5M + staOj487yoBOp1OtVjdvmd27d++FF15YKBQ457t27Tpw4ADnvNPpSKUwxeaBJP/aXxuEEGeC6zYu + w4UGG4UvN+s3KlE/EPXzM7Ifo7P6p8Fit5aHRgBAOTcAypi99977xCuuMMZMTk04OeF2u+2Ac85b + JZhupHM2WtgBIM3TIAik0dZaF2bAesbIsTg4eBWhdGFpEdZzZguLp+eWTm9cktNDcBDMjbDNrapv + e8fbMQZCM0yU882MRQYogNP7o8hibA2BHKEUAJCNrcGUUgO21+km+cBaI5R0JamN4ZjnEjAOg1iv + 44ZcicB9QT9MEWDjHldXV3fu3Nlpter1+szE1PXXX+9Kk5Vadffu3XmeM8YcZg+M0dKMj4z7zMdA + pqZmciUDHshcWQ3YYiUVtlikggBxijpG6dAPHA+M7/urq6u1Wq2fDNxIrVar9XrdgG21Wo95zGOi + IHzmM59ZrVb/8i//shDFSZK85CUv+dcv/avH+K5zz1taWkrTlADy/WDQ7RFEtLac+sbqQqnU77at + Aau1yPJOq33D/74u5CM7tl10ara673tdgorLmjI6hSHvrK4o1Dp0uFMtbXnG055nBOw86+ydZ2/X + KvOBdrO27/u97qDfTwpx0fFi53nu+Z6jPXDCTZRSFyoPDQ1VKhWEkOssCoJAPTL1kZjPFGNrA4Zj + MIAQ4h7XWhujLbKOlvUnE4k8o+fnwZi6h7GfbUbHIIMBwOKNShJeU9xEGGyaJB4vEYs54q3TrfNK + uwpQkCAai6tDO6rHB8eDyKeE6qb0c88DP4ZI2hwJJAb5yNRQV3awRCZXlaAUANdgDfhEI8pQyL1G + vxnhCA1sEPiUoiLENlc+5znJLbZZlk1VNtumZSELUSjB9DrdwlChZ3oWrJQy9ortlXY0GhQg7EO/ + 7JeMtL4fIG2MtlSyyAQxBAAcMgvKEkT6/UEcVyIedo63K3HVB1/Y1McclFYKKTCeFxijOMZxGJlB + Pkj1xPZZwQY880Y2Tdy8cHvJH13pr1SKhRyZmXPOKq6G3vDwavtoRiyhtOQXs8HgTMzDL7Z8BAAI + WUqpNdIAoiToNge85HPwLQDV1GpDPIYRlUoqoQb9jFY8Bl4JSN7PWcDAAmYUKZRluR+FHAIOfhFK + VhFMMPG8TCaFQsF2rQehDwGA9nBgFaIFbpnJM+GzMPJiDh4HHwExORBL+/2BF/jaGsa5TgEB84AI + ECYDgjghRCRCW0MZlakKSj4GFkKQJaJYqg6gn+d5zEomMQHEGAgFD0siUhkOxcIYwqhRQoHN097E + yFivkQyPj22rnCV6ohSV1XAukSrUKqpj7zl4//jZm8cLo4WyZyLVzHu6gFPQPAyk1RSsY123ANhY + pC355cqu/jhmrS1GRZGaJEkCHE1OTo6Ojuq6+upXbgqCYNOmTfV6PcbxoUOHCCFD40MbjuyGDhLn + vpTSY4wQoq31wXLOASMipds0XVLVYSiiKMqyzEVE7lAO0OUWdouQtoZzSjBBCAV+ZMAOBmlcKw3a + TSWNQSYqxEprrTWjxFpjrQbnNzw4XDXGgLGMUqO0yPJ2vaGEjL0AWQBjrNbKWt/3CUIuVnOf9zrd + e+7Zu7y87Pt+4HlJkjgWZSkk971tW7du3769XC4uzM35zHc3lcms3+udOHHi4MGDWtnZmU29dpci + FJXKqUyFVsQYrXW/382N8jxvkA3K1UqapgC2XClmgwFnxG1bCAgAct6t42vtNJqFQuGySy4tFApf + v+mrj3/C4z705x+6/c7bq0O1d7zzbf/rDW/Yt29fvb7COY9L8Vve8pY//ZP3aCP/4voPDgaDQ/cf + 2Hnurre94x3PeNYzm81msVyWUm7ZsuVJl19+3XXXnfuYi2+44YbTp08P0mRkZORt73rnbbfdViwW + MSAp5fOf//yvfOUrJ48ff8ELXnDZZZcBQKlSedWrXvWU3/h1B1RzvrXv+w6jcSZDw4+9xLmOF7dU + bpAtKaUsgNDKAvDAtwCdfs8PglxJCxAWYgugrOGep6yxALmShFKX4+v1egBACOn3+0EQwHqaFQDa + nQ7CyAuDbr8PyFCPW4Buv1coFevNRr3ZgPWOX4Ng7vTCxi0hAIzx2NjYb730pQihgwcPDgYDp4K6 + UcbZwNesOczogfLRxkZAGXNuRqFQSNPU9/1erzc9ObW4uHj11Vf/+Z//ebFY/ONr3vqJT3xibm7u + Fa94RVwsrKys3H333TfccMO+++4rlUpynStYaOUScBsoRzgjznlgOqyb6/93NY8NaruH9hr9/wdi + 9wNNn2Fmo/nSaGlNbnVutQCTW00BGWQRGC2yDXCkNlqLLLcarDVGY0dDfsYeb38QjaMblA+b7V7P + K7j57/INAKmSK9ZqgMSCWNekpwaINcgiDJYgMBg0AolRCoCtDrThDBNCUZ7nWiu8LqX6/We02Fr7 + o5KNGWOyLBsfHz9+/Hi5WBwZGVlYWJBSNptNIUQuxUYjKSHEar1RXltaWup0Ou12W2sdx3EURYPB + IJeyXKkoISxCiwsLjDGhcqt0p9PpJ4NKscQ5Hxsby6WoVCouaSSEiKKIUqqE5Jw/7rGPO//880M/ + fPKTn3z11VfHcXzRRReVo/K55547NTX11Kc/7bWvfW2lUun3+wih8cmJRqdvLGLUo5RLqYfKFQCf + MXbeeecdP368Vowec+H2tLX92P5bVT5C8PCgo7gf1huLY1PDVkbPedbZ/3ZTd2JiatBbHRmqeR63 + oLvd7tjwCKZBnkl3yy4L6FShXL7H4dwwxkmSNBqNSqXiuBBbrZajeX0k6iN1dXvt7IA3uo/W9oNH + vm/0AwwZQGZDrwkbbPAakV2/3+Xcp4QSRMuFgi/82I9CCKyEGhsu+HGv1yMF0EpTwyMc+uBz4FKJ + Mi35vk8j1BO9QdKfGp5WS1K2hT/ELaDOoBkHflzzTiwfMxgmRsbLQW04rJWgaEHLJGMcAVZSK4yx + GijfFDxNOfUUpFJKqVLkWQ3GavBx4AHHgDDAAAYjQ6N+VMy1VDLnwkbIpzkgbgMIOqvd6dkZKTuJ + 6TfrdURrZQhyLUMSF1C8p7GnVh1eph3OqTVEZbKb5BRQhXvV0VK1Wjn7gi0TML6kV+82R7zIL7DQ + WnNsfu5lT3zxVticgZxcvS+p5PedPkyrzG3MG+vemXn3n//rNchaLZTWYC1HYRgUfcVDKBDACiw2 + KA4LmoIxEEUFzgPdAwxrsqgBDUMvbWVdo4TMUUg9j/kMvMwqjRBFNAiC1W4zyXqGG0+EDHgAoQFF + LUMWsizJkeGcc8YD40ujMCYUcDEorvYb6/lOzXkcBREHzsGzQGKv0BO9LBOE4WJY9KSH+wgDxkA8 + CCjylMhyyBnCRmow7Ojc8UunL6bgVYPaWG30uDgtkMyzHIONw6iX6OVmfROZOuvCc64699cBoAGr + +9v77m7sqS+1xysz2x917sRFMxVWZmBaUJ/LFhbz5V6eCqoowhYRg6xFGFnAFoh1FPiPYMMAytqF + pYVNY1u1gjIrzVw4/W/0i+NbN+EEpz1CWQ+1AAAgAElEQVQxFBVe/do/ODh38MJHPerksaMe8Rwv + XIYGjuzbeQWud58QotbdrI0ctsPOuSxVHMcuA+VIwx96PQbAtSlnWUYQCrlvwCqjpdSuTUJZyPNc + KpWLLPQDxpjL42g3rc4g03fZJpcsdhIrxADCwBAmCDNCrbUyF0ZpL+DOhThx7Pj+/ftbjaYj73Eo + 8UJUFFkeBMHExMTmmU3FuAAAGBAGJJVSRPXavePHj6+uLgMAQahVb3heEEbR6dVFhez41ORyfVUp + ERcryIggCjXo5eXlKAoY8xqNhkcpwUAYJYQYABchMMZcHOLcjEsvvXTHjh3//E+f+63f+q03vumN + 37t7T5Ikr7j66mc/+9l333tPqVSq1+vdbvcJT3jCtm3bOOfc917+8pfnSYoo+ca/f/PZz372xz/x + CTIYIIRmZmauuuqqj370o099+tM++clPXn/99X4YfPijH/nABz5w+ZOeuLCwMDk+Ya0955xzvvWt + b2mtX/6il7/qf77qu9/97uj4+DXXXPOoRz3qtttuE6mI47her8MZhHU/o9SP/Ql+P9wK+8B3HvyN + h/qu30cki+xai1Sj0XBZ2m63m2U5ADDmofXWnQcexcM/EwcrLRQKvV7vnnvuOeuss8bGxhYXF9/+ + 9re/5jWvuemmm6RWBw4cWFhYaLfbxXKp1WpNTEzceeedFqHx8XFHtCCU5IbDGX1Hv4QhzQ8xZH/k + ItJPGiBtMOowQug626Ay2lpLMFHWAMGYOvHP9R/AGGNCqbWWELoBnXQ+q1HSIoTRAx14Z57u+4bU + D4dcr7VwrNdhAUDpHBNtkbJaWdBWE7AEEHNXCQCAAFmEABDSCCgAGEQAW4MMxoT5nCMPALzQMwgs + Rmfm19fV/H60eUscAMCCA1lprX3GN23adOONN87Pz2ci//CHP3zPvnsBQAhBMQ6CsNttAzKezxCy + vs8pxc1Oc5D2S5VSq9VqthsYIaX10uoSApxlWZqmY2Njx0+djEvFarXqBT5iZGJiQoN1wnZa61Kp + pIXcu3fv9R/6Cwefe93rXvcrl//q/fffX4kqb377mw8cOlir1T720Y+de+65mNFTp05VKpUgjIpV + 2R+kgRfGURAH4dhwudlYlLmgmKSDhFcZIVCvN13Y0K53w6hKiT777J25OsKI12rC0NBIrUJPzWfF + QgGMHeQDhFAURZmwDi8npUSUuCK4a9dxQ8WVgxxPqOd51Wo1iiL9iNZHMg+wk9v1060tgj9Z2WjD + 8A9Qj33Id34qZ/pvm0GGGuwka7HBrjO9WChRIFrapNtHqe00e8Qnh5YOiVV1ZP7IIpmb/pVpG1sj + FDYEEhvj4MC9960sLH3vyPfysXRieEIxyRHninuWm6656/j3mMc+dMOfP+2Vv1GgMfOY53lZIz98 + 4khOdWhOnF49tZCfmrhsGHOgnBfBl8uZydWxlRNHsxPfve/OFmtOXDpkh7TSJqC8SArQhmMHj3qG + 3H7q9rbXCno0p4ppxEjogb9wbCEZZMXy8Bf/7YuXPPfSQZDUxmqhJ8uoXBLxoX1HTvfnFWRfPfC1 + S59/aSaEQMCBMkopQdgYaXQz6QxE9m9fuck0dHW42vUSVbdJnDLGhjaNvvcTf1aSVYTI6WTpyc+7 + sjZcy1nuMotwxh6G11kcf75vdc0IwWsAc42TQd5a7BzsHjQDm9mkBW0zav2q10wHGCsMfDBIDxw9 + otoy4eLw4BhsJSxCnscxAMrtN77x7+lw5lmWhMkAZ6xIy0EcFznLefd0e199/1zvKONYDanc5pXR + cgcPALDo5Y2Vxt0Hum26opC8Xxwj0ywuxwM9QBp1Gt1T++dRg4qujKthP+yTAgeQLru3sLS49+a7 + /Qt9j8YDnq4mK2rUeDGNgsBLOJP8ru/uqaLq/KFFHanGUFcGolar5CAHSWeQDRjz4ri0erq+7/b9 + t//HXTJRqILLZ5cqOyqV0UKvmxw5vmfl0H/oRBNqyiNxeabChzxcIB4PlBbWgkaIwNqaQn74ZvdI + 6D4CAEJIHIQESC/prYrVcrW8ffv2fbfcm7VEpTD0kpe87Jxzznnq05+qpKQIynF5o1DvRrLneYEf + SCmRtc6z5wQTQpTRxmHyCXEFAYfhabVa4+PjjgLn+1w6h9BDBJxQO7I2DMMkS5E1aZoKqTDGoEEI + wT0PkKWUAqz1jlo3pMHajcz3evyFLSD3A0AMIAtaGmSxo1MiiGJM8zxfWlrZv39/u9MhlEuhMbaI + MI5omqaB509OTm7dunVkZMRam+aZ1pZSipBZXWnMz8+fPDGXi5QxZsF6nocxGKsIQUEUck6FykuV + Yi6zftqXWkRxRCiilCCEebWCwchcrHXeru9WZl1jilLKOb/11lvPP//8s88+OwiCIAo7nc7S0pIQ + YseOHY1GIwiC4dGROIx6vd5zn/vc3bt3U0p7g36n1Z6amur1ekePHp2cnBwMBpnIldGA8fDwcBRF + 99133+j42OLi4uc///lnPvvZQojJyUkt1cTERJqmV1xxRbvZbPQaDtC1urrqCMfdlu15npCy1+uF + gf/Q0OgHJtA3Xsd/334MbPmZfWgPPeNDD/ggB90CPHxYtRZsY4yMzZM06fVd0YZgCuvqRg+c3PWS + oQddydq/wdpxhBCRH+RJesst/5e89w6T7KruRdfa4cTKXZ1nerLCSBpJgwBJBoEAga9tkkHG15dg + vWdjwJjra4w/fDEPDFzM+z6MwbYuGGxENNdCBNtCgEQWGaEHSiNN0Gime6ZTdVc8ee+93h+7uqZn + RLYMkr1aOl9PddWpc84+Z+8Vfr/furXdbvc6XWPMclG8+S1/8Za3vGWltep5nuM4u8/a0+n1HM87 + ubT0hje9aXWtZXGwtVrN1VprhQB8BD7ZUBL/BabhfnL7efdB2jwSNjTiFq2LmGtFDA0YbQpbkrNb + Y7v5kWEEGsghAM6MUoqMQAYAXHDbCWVz7nOkAneG/WgZ4s0uwpAXRLmhDFAxJgEEMgeIGxrWvQFs + ShsJEEHaYhByhsDIkLYHYIxS+Qj9eYYR0U97hyilOp3O1MSkEGJ6evrEiRO//oxnvf5PX/9nb/yz + 48ePP+6Kx7/nPe95/BOuKIqiXC6319bKTlipVCydxoo8WoaVcB3gTAMZrcIwlIzt2LM70UmlVBau + E6dJFEVHjx5dXF6OktjxvW9+59t+EJRLpTiOEbFer993z4EjR444Qq631kql0j33HkDEhYWFHPKl + leVer9dqtTToB+aPF0URBMHq6uo9B249+4IL4ijlKFSRqTTpd1bzpEeGddY6W2e2btnq3nsXHDly + h8EBsDW37APTa/0VFvBO57Cmzv0PqNbaUhxvC4NylhZbt86V3UrRQINQq1U83xGOY2F1ll9kT9lO + mkop13E8z8uyrN1um039keJHZn8k7nPXdUfHfBrG+qe7rR4ZZoYbBpZ9RAwQkAwA9KKeIyRX0tGi + ElRCDK+YfbwAGULlFa/9A3kWYwIZY6FbquSlqlc/Z2JvlaruBY/5wH9/35Of+JTyRGkgBhRB52R3 + Z7Dzwun9eorA1cJnQd3v510ZOCanRmX8gv1zZ7vnlCD8/B03H7rnQHuAhQcJyIqolUXp0q2XjcN0 + CupY/4TnOH6ZFwHPTJr0Y+bB7q275+LJLEpXbl8Oz6loRCYcRgZyKMnSJRdeNAkz61mkUDOBnMsk + jrvrAzJq98T2sybOnoHpxeTE11u3RUVRMMsPV75wpOMqlSf9QX/QLTI9fdE2OeB5mm3dsaM8XZnP + 5rMi5xVv/5MvgwFzhLsFdoST4QC0RD1KBGxOCvyigHaMIIoi5ExwqQxJ6deb9X1bHlWFkgH1reVv + PmCOF0oBACJ3pFetVs5p7PXA02DcXrgSLp5IFynLqQAG3mMfc9n+ymMccFqw0jrWjtJeRlFCgxLV + apXxi6b37eI7EPRRuL+zuL7SOtHnsVOuSPDHGo3JcOyS6YsQcRJ2fG3hq91u161KIvQ8r7F9/FHn + PKYKlRSy+6K7j/QPd7td5ZtSuVwJS6W54JJ9jy1BaQXWo0He9jrdvNVvdwSVk4EeJMn2Lbt3T55F + Er8efSNJkl53XQsDpIXgiA4wLE1Uz73s/Ekxo1OdO3lRKmInXu13KGe84m67aAfl2pEsrPluVaZc + FSbWhSJEQ2CQKQZWdsYuUUT0c6YIPrSmlKpX66vt1VCWy26ZiP7gD/7gWTc88+y5c//Hy1/55Kc8 + df8lj65Uy/1+3+SZSpUVQ7I4eQDI8zxnQmvNbNUIEQ0rikIZXWiNiCAlAKRpagXZhBAWK2HhT3g6 + K88AmELbhYABDAaDKImnZqa1YFXB9u27qB137zt8nxACkBiDPC/ACnMRAbOJ1OGCb3BYOBr6owRo + ABkYAyrLiaH1izQZpVSr1Tp48ODK6moYho7vJYNIkeGEXApHyrmtc7t37x62vinyUyJDjB09enRh + YYGIXMfXptBaN+q1lZWVJIv37t3bmBw/cuwIIhlSg6jnBH6WZYVRY2NjKytL3W5/bstMlqSWPo2I + xSayLmwQAQaDwaFDhzjnb3zjG8MwfOlLX5okSbVW279//3vf/z4hBCG02+211dZLXvKSf/zgh6am + poIgePWrX33H975/3gXn+6F/5913LywsTExMlEqlr3zlK0+76ql//973To9PnHfeeaVSaeHkiVe8 + 4hWHjt4fBMEgiVWScc7/9E//9Ftf+dYTr7hCCHHNNdd87nOfe/ZznlMqlb7//e9XKhVFptVqNcbG + LM34wVXxh8ovZxtykT+D2YLPD9wh/gRtnTcbbipJMQK2kWO1TwHnUmutCvUDazg/7LvsKFvZ3mq1 + +sADDyAB59x13VarFQRBtV5P43gQx4M4BoZG6VqjfnJpkRh6jsOksDiXzfWGzcsKbRKj+2nO9eFu + P3WAZG+gzdfATtwjp1BKCWx4pYQQjpCu4I7gruDABeMckIAhaWAAnFmflRgAt3LYWv2EKDUbi/+I + vKjB4X+Ip47YIAAwBAkkEBwgNqKmA6rhPU4IJIFcADBMISdttDaan1ZS33QkG7Mi/PA+CT/MLIQg + GkT1ev3+++8XQkxMTHzipk/ccsstSZIcPHzoUY961NVXX/3xj3/80JEjZ+/Zk3YH3V57fHys3++u + tVte4P7Ljf/8wQ9+0PaGK3QuhFhZX7Ftp1/4ohdtmZ3Zv3+/UirJs9mtW5Isvee+e2dmZ8uVigbq + 9nq+77fb7V6/f/bec/dfeNHaamtxcfGyC/c97WlPu+GGG6r12l333D0xMfGd73xn38UXDdJ4586d + 3/zmN7u9Xrlcrjcbnc46EYHBIssnxxppHCVJ4clgbbXbaq1pVfvMzd+65+B3jy8lRjempnYtrnRK + ZXel13X9SNOxKD3xL//6T+ddMLZ1rv7hD10/Pjl17t59qjBHjhw5++xzer1OrV4dGxtbWWslSVJ2 + pA0tAIBvaOrbYk4URWtra0opW6IRj8z+SOiRrTgRmc1lpV+Ug/vzso3eR8QMAAADoFJYYoCAjHOm + MxWv99ksMmAa9JbtW+Yu32LqakC9brsnc3cu2FWF+iAemFA7Dac+W1uKlrtFb7Ix6WWy7k/4EMrA + /f7J2y970uUneyfRwe6gP9vYajJGhnHXzUH3st6WXbPNXaUW62ZJZhKTdwox7XAQEnhcJOM7x8VY + cTJbJKOqXsVHdwxrY+EYCyGshZWpsXZgGOdYFEUKeZE74DJAw83WHbPMF34QxCrfOj7Ju6LkliU4 + EeTHTi4FteogT52GJ7h2c0GFSorcGMUk88dCjzOm+bhoxp1BSnHc7XOffN9FEMurazNTWz3fT6Ok + 1V+ebx2fnB5HdmpKx01Str+odateqwKAJqSYZ2khUqGBELCAnDRI4ZBEyUyRFkkeT3uTAnwXvAji + fqe71l9JsFOplBxwa9Xy8vHVrGJCCAX4lUptoTfv+Oj7JciZSrNskFLVuOD44AWBV3MrgISOQ4lG + ZTzuuugrKKKoPz42zplZ6i4x4CVV5VnhgS/BZTDsNFN2yxGLDWkpAz90KhByEBp0nqQac4dzLoSj + 0ffd3bvO8qFMooigv3h8IZh2NBW5thQXEWfF6uqqZ6RT9lSojSJiDCTjXDAhuCdKZQlGMgIEo3XW + TZKcFcxzAuknKkUEjQwYGDKMAI3FNjxiikU/0GwxHAkqlYrqqDsP3Pn0S391YmLi91/y0ic84QnP + fe5z6/V6EPpBEIAq1pfXHMfhnKMQxpiVlZWDBw+W/bAoCsm5Bc0T2lrQMAcGxliS6v79+0fTLOf8 + 7rvvhhFhfbQWAGhSgEZyhwPmcQ4ML95/iV+vhPVqc2bq+PLC/Mn5Xr9fqDz0PWOMKyUR4dD9QDtN + IwBueBiMToVJ9nzNxt8MDOOQQRS11tbcwDcIURKHpTDJ0kEUTU1NnbNrz9TkZL1eV0oNogERua4r + HcciDFutVpylQRAwzkljlvUHgwGBnpqcPufcs6pjjbXuqr/mE5nJyYkoS4PAX1xZFAx2bp+L47TV + WvEctyiKQuc20nYcBwDTLHUcZxANds1tb7Va6+3Wc5777Cc+7opnPOMZl15+2fOf/1uazOHDh9// + /uvGxsYIoVwOlVL3Hbr36t+8+v++5prmWH3r1tm5LbODOHnSk56klD733HPXO+21tTUA+KM/+qMX + vOAFF553/r59+170ohfdd+jgd77znde98Q1FUWzbsb290hoMBhMTE1f+8pVXP+c5T3nKU3bs2PHK + V74SOb/++usfeOABAHB8zy6gw3XcDMswo4v80M5vP/mORppGZzySIy9y8z43vYXZN4wAmZvNnH4M + ShfWJbABtvVnfiwc4DT/3H4XYskPkih2XbfZGIuiCBHzPI+SpNZoMMYIATmXgkspS9XK6tKyBqrU + a3lRAJEvvU67LT33jKDo1Dc+SDj+P4Y9ZBwkO4qIaICM0kopLoQ2yigN2pAxoA0YQk3GGDQouCQi + jsxONwKZsMXxDbjdMHG+kdv4GS79KI06JIcobZAcIYnQaE6GaaMB9BBBx4GAgGzjCaYJueGExhjD + OWqyLHzpcK5RDfUSHmQbB/lTrGC9Xg8AAt9fX1+fnp7Osqzb7V511VXnn39+v98vtLpw74Wvf8Of + DwaD7du3I6IVWuj3+0EQ1Gq11772tXNbtu7du/emm25qdzvlcjknrcm4YVCpVG795tcvueiio8ce + +NRnPm0QJmdnrqzV5ubmcqOTPLN8u6zfm5mZiaJoaWlJPMb5h3e+N4dsYWFhfX39+3feoZT66le/ + +urX/M//9ca/yCm/7rrrvvKVrwgpA8+zpac0i1zXNaQZKi6AjELgWoEjg3de+66XveyPO93jZ59f + ++3f+80b/+WL/9/3vkQhH5DRahCpwdy54XLrwOzWWmttqSi6aao4cyT6rpsXRbHWXk2zyPf9arWa + a9Vut62Qt5VAsSi4LE1tQ16roBBFEWPskdsfKTVxURRaa6RT8DywEIhHeveTH2oGgDGCIcRuA6Bi + uyVwLcuuzwvGUQiQLjgOeNt37zBCr3ZaWGcI0pelNC66fn8ibA6g//inXdFXPR5yvwhBc8o5FpJA + 5KAyk289e9t6eTULskq5ngzyXi/yp8sATICsjNXW0sVutN7W7QL9kldulhsBlGyCZfvuHS2x0lpZ + Spyo1phwUy9ejniZJToCjpqZVGe9OFcuK6ErOKsHTQW6rdpMOLvPPys1eZIVmgjAYKaLOOehw8Ar + j43PBWctsuMa9FBy1pAxhUHDBQNHJCwxinwRkq/iQSw0GE1RkvqhM7lrKksKTblxlOPjnp27elnX + EglGNXPYKKT/YmJsNP1emwmHMelS4LqeV5QkSAIMIBDI4jhOWMpDl7lu3s3agzbNgQFEQN/1xisN + n6OUPBuo40cfuGzPExFEBoUGMMaUfU9j1o26JVYNfa9cKjkgFegc8qIocpYYlhsCrt08S9ySI4Ar + UJI7KycW01pcKoUMWMWUg6wGwDLICShNcp1rGQhmIE8zoz2jeQqJA+iDK7mjC8VQc4YBl7IQ1alG + CplDHIDyNKu6ZSKVEiVJPOhlYSWcnpgWIIsia8cdJhkhGUaGAZEpdEqUqcIwRAbIgbjkkvNC5UmW + Or5nAAnBqv4Y0pwICYDhT6at8jA1x3H6g34QVKIoog4kSXLXHXdeffXVl1xyieM4f/fOdzUnJlbW + Vp/xq7+WZ4nHraQnK7SOomh+fh4AaqUKIrpSjgIkYwwTnFmGvda26OQ4ju/79Xrd5m1PnDixuawK + w9DFAKIh5QgXDQ06Ay/wfd8PgiAIgpmZmVjlVhVgSElSyvqmNLz+Zw6CdUbtbKYBGIHF1FjeQZ7n + aB0bwZkUXAqttcpUb9A3CJMz03vPOXf77FbcYFJJKQnAKvCmaRqlSWF0qVRK01STqVQqWeZoU+ze + vfuCffu4K/qDbqVSnpqavPjRj7ryKU9+w5v+fGJiYnrrZK/dec1rXtNqtf76r/96y8xsHMeaDBFp + IBt/WkJXkiSh40kppycn77///lar9alPfeov/+ptYRimedZsNi+66CLOOZei3+8zxnbu3Lm22vrg + hz/8129/x9atW0tBeOjI/bOzs4M4SbL03HPPtaHpXXfcecMNN3ziYx/jyIqiWO+06/W6FaY7efKk + oCHWXUp57bXXXnfddWNjYwsLC9J1548cufiyS1dWVqIoslLjtVoNlLLK92fSb37RhhtDP6ojjeKf + UyVfQgBmqe8/zKynMnqHZRPgRkdErbXNBJzBAhimU+lHyJoPIZQW8GKVHi3uNErilZWVZrM5NjbW + 7nW7g35hdFgpzy8sNJtN60SFYei4rpBS5zmcDsn5jxcUbbaHMkCy0CA7H3meF5ZKSRoblZsiB23Q + EJIewXNJaQAwhowxoA3jHLQplGKcEZ5CNJ7xDDyYYnVamH76w2I2ulDBBjJBCBfJBftkMUKmAAwx + DRsK7sM6KWkAsghjTVbsBRBROhwAilTFaQJnAuos/wqQfpoUBABjrFKp9Dpdz/Nsw9Cvfe1rRPQP + //sfMshOLJ687kPXHT582AK3wHEqlQpjrB9HxxbmP/vZzzLGTp48uWvXrt/+v64p16pSyjhJtNbA + med5vXZnvF7PsyzOs+bEeDca3Hb7d6M4LoxuNBrAMMlS6TiZKpI8I6Kbb775tm99WwjxwAMPhGEo + pRRCvPPdf/fh//ORWq3GOD9w4IDt87u6uur43syW6bX2iuOKLFFam+Pz94dOqdkcR+Qv+b2XLyws + Hjp0YG57c+8FtaQ4cv4l/Fn/9eqTy0uMwfTk+PKJ441qedCdv/Dis0+cPH7sgezFv/vSC/c9Ojfm + /vuPbtu2FTmE5WAw6OV5Xq1WOeftbse2QrKd0XCDt2b1WyxhyfZHKj0y+yNZXUFjjL2dforb6Ce2 + TW2HfihhcfNzRD8/cB8DGuVhwXEcxgCUcV2XRyx0AivLkkOeozJYoETH9fPUlGW95o650u9TdPfy + 3UEz6POB4cYoAI2S+UgSQaQmDuvlwWqsfZpvn/Tc0ricVgo8t+RAmMGgn/f9mteHHjByuKtzI43g + gAwkQBElkVsTk2OTi5HqtNvlourHMoDA56EG3ZgYSyWSYBoRgAGJItcuuI6QayYapIMkVegxX3iO + YoJxphFBKmDCD4oUpe9FRQ+1dgrXBVc4bgEqpyLO4x70S37p2MoDAYk909sA9KHVQ+C6ILAdd1GD + w5BBHqUGJTLGwtCDTbkh2kS6+3mN42nmeR4RFdrkeZ4XyijNgEuQGjLBZK1cQZ/FpkCDvhNUvDIC + MmAImOd5b73d1ivlSiDImZ6a7HTW8zEtgHJQxhgGqIpcCkNFnqepzjQPpAMSAPIizTElp9CGhaJk + skJWOAPGgRdJ2qjVeyVciVcY4y5lut9XYBpQVZAKZLb3GnHNORPImvVGCD6AYIAqLzRmjkMcYNDr + lxR3HKcA7TDpglspl6HQusiEA81yNSeliVZbKwbIDwMljJRSoDDGVomFIg0AzLUZAaJCa60ZMEcI + z5GpBkQgBANk0EhAY4iBAeAbsrGnXecHP6UPz85I1t+qBo5KKQjCo60H/p93fNi0zSc/9Ml+N8kz + 1Wg2DRIAbN26dWl+McuykbKonXtd1wWAYQt4xqwiv3AkIdoyi6WtElEcx7YEMRLRgk05MhsgIWOA + JkvyuD/I05wJaT3IWOWpyQeDQZqmvu8b0ohIsAG0h9NmaHyQsqjV1bDGOS+0ImM457jBOBVCFEoh + ovTcLMsajbHzL7hgdmaGDBTZsPun47q2XJDkmT3hotCu5wEWWZLxmqw16nNTE7t27xhrNlrddpJn + cZYWRfGiF71gYnpK62J+/lgYhkR01lm7v/D5WxzJDx8+rJQKyyXXdftxNNIKIiIhhMmKIAiOHTtG + RK1W6+jRo9V6jUvRX2udc845z7vqqje/5S8Q0fM8znm327XbycnJOI4Xjs9L1/vjP/7ja9/5rt6g + f/LkyW63u3///rPPPvvOO+8krYMgmGiOF1qNj48/++rnvv3tb4/SZLzW2LJly/z8fFEU2+fm2u22 + pTfnSu2/9NIgDBHRdV2LFmGMmdMH8cfaTzXrPVTPyhlBzmZDsJKtAKc/m2cc5zChi4YzPvRjN4pO + CGdS9GnY6Wi4oI92tXn/ulB5mtmxAwAhhC4KlLI36FfqtWlnNs/zdq9bFEWj0eBCnDhxYmZmxvf9 + 1bVWc2I8TdOiyNM0FZzbNlpWXm8kZDdMHJwOsHpYRbAAwAj0z1OkYTPs0jp2pDUVSrpuo9ZoNMcG + g36tWh5vjFX8bj2sZlkmhNDqtHqozQPZLEuUJL1BT9FQDQw28YiG/3zQjbU5Yt78uo1eGA1HURMA + Z67jl8MmY4IzskUFQiBCY2mXG/x1BGCgORkApUFLdygV7QduURSWp2iACGGkwWXQcOCI+MNj+B90 + ATcqEsKRRpusKHzXnT+x8K+fuv6Jm/MAACAASURBVPF973tfqVIWQiRZGgSBAej1ehwxTeI4SWa3 + bPnta65J4rheryHi5ORkt9/rdDpRkjQajThN8rwAhrVKddDpBEGw0mrVxxpM8JW1VnN83PG9paUl + 5KxWqSJiv9/XWkvO+4OBECKKonpzbG1tTQgRhqFDxnHdow88UK1Wbd9rxli5XB4kcWtlVRmVZxlp + PTk+3lppVSrl1bXWBz78oX0XXNJp9113XRvTOdjesn12rX8sP3TcC700Sk8sRZ7jZ0WIxE4cvN+V + DhE1xxt/866/boxV7j14z/HF+VLZJzRra2vr6+uTM9ONRmMQR1pr3/cZY+1OhzEWBoG9gABgF06t + ta3sPyL7I/lDiRjGmIFTYGvOufnp0ZsPf2MAQFYKBQANsuEUzw2KQgojVFd5ju8lQZ3XPQgCCHIw + LvgBq+qBCkIfO3wwiLHBglKgsJiqTx5bPzrOgqXFJY+8erkuUsd3fRccl7m91UEFqmpd7a2dn6fK + jUM2kAF5GgsNpuo2Qs/Rg1S6JdDlooWUEJsVkvMc8qnyxPzacShMFetl4A3ecIwMIMxMkZnUUS4m + bMINCwVe5shBUWuMaUBlsMRqTbeeMzPorjvSdXOSiXQdP4SAQDhG5Evp1LnTJs2MUNIIA2S0MYyA + M86Fxz0EqI1XPS0PnThUq1fqjWYO0O51KpVaGiXCkZ4MWuurnvANMLPhTdorPEo9/mIWKmJGGxe9 + kDzHeKWsHGaBY6RgUgDXfSOYLKsKK1LOnJDKoSwLEASaAa+xCokpV3EnEaAYK2S5UgvAC8FXUDLr + ph7UE82rQU3qwKWapx0irZE88uqm4SjIWVZoXmUNSEkqaQAkOHVZf2DhfjfzZvxZCcIpAiBRh7L1 + cMtQalA9TmWAPnDGe7y/EqlztAuuD15VlYCNu5nq9zolVi7xRglKPnjMIGfehJxcWTlRLVfiIs4L + naPyan4YlgvKHF+oPDPSpFmmC+MKRwhBQJqUMYqIOGOuFExyUlQoZQpNXAAww4YdxYkN1Ro0/WC2 + wyPFGGPlWjXNMoluULLKq3nol6Ju0mg0BlFCiHmexUkc9PtWktjOn4jYbIxt3bp1vD5WFIUuCgBg + QlhWj3CklSFOoggAbH5KCNHpdCYnJ6WUY2Njm3PeQ2QKka3MLy0upmkuGLMVlawoUEsCQjbUMk7i + RHFmNLiuAEte2nikiMgQMbBuwPA0CYe/a12M9IQc17XY7CzLbIQ/iKIgCLZt2zY3NzfebMb9gSsd + sVH+teR4RcYGCbYzBAGEQWA1zRq1+oUXXiwlP3FisVQvT9Zrd91z9+GDB3fN7P7a7V+d27Ltrrvu + 6nX6j3nMJZWg+vGPfvzFL3nJbbfddt+hg8vLq47vMBREpFVm3Zssy0pBcOzYvO+4tVptpdUqBWFn + vbu8vBqGoe+Fnhe0293x8fHV1TVXOlEUedKRQqxkq4PBwHdcgHzb1rlS4H/zW98Iw9DzvIP33jc2 + NnbeuedGUdRqtZIkQYItW7acv/c8xthkczzqD4qiaNRqaZp2Oh0hRLVcsWXwN73pTe9///vX19c7 + nY4xZsuWLZ1O5yHL6P8gw40izE++BRqqp+Dp5SOwtSMbKgOMYJajIOxHZy6GkDyyKCdC5HaLp1ge + NIqO4DSEG21O0Y+OhzPmu65SKksSY0y5XI4Hg9BxwjBMkphz4XDOuSjSNMuywVprvNnMVb62sOb6 + ruu67fZ6tVpLkxi4GGrlbfQXZYwxgsIUAGBV8DfXlx7p9jPcb3QaoHLjd9La5aJI0rIfgDFbmuNv + e9vbji+cQORhGEa9vu96VmqwUav1+33Ouec5a532xMTE8fn5enPMdR2vFLzuDX/+3dtv54wRgFZ6 + 1MtIK1WtVNrttu+4+y+6eOf2Hf1+33GcOw/cfWJpcXl5edeuXUmSPOpRj7rnnnvuv//+qampJEm0 + UtxxjNbSclcK+ss3/+VgMBifLHX7vTST01M75xc6lWoTgAaDQbMx0e/0OYLrKKVWHKk4M2maco67 + 9uwMw/Lx5WO/+oynI2KWJQZNHMfVSp1zGaeJdOTKyuqW6Zk8z22JHwBsxYMxZn3xMwtiRIooz/Ig + CApVVCuVLEkuu/yyq6666k1veGOaZ2Pl0onlpbm5uX40CEphrhQBSwtFDBWZoFLyyn6325aBCJgf + F1EOqt1vVxv1pFNIKXtpjL4TkWrOTnW63Ypb8YPA87xOuxN6vkHIkxQRJeOScSLyPC/LcyFlFMdC + SgBI0pQx1un3hOtYVN7ogSz5gdZacJdzjkK313tCykEa8UDecd+d9xw+bDQQISEgavimAdAE2uAQ + xMiIAWwSH0DKkzgIvTxPer3e9h1z3W6XSS5dJ03T1dXVWq3WaDQ6nY7VWA+CwOp0299H7dJt1esR + 2h8pixJ3zB2FcPazRhmtNRfMljT/jZ7uT1IR+hn6qf1shsSQOAAZBCUKQoPEODGhZCkv1aj2mY9/ + 5s7lu9ITyYTb/KfkeofLgckSyHLMjNQogIhE7nxBf+7vincSkWaq4HnBFCEhIhJ3yfGZJ0gWRRGb + RPHCSE3SIHCmmSycz9GnXeYapALSFCLNM8MMguTG8bT7cXUDACM0GRaK5wpz4ETABAjPuP9ibhQk + iXTGVY55whQBSHAk4ReM5ARo0CDkTGegDWZExuXCZx6kXyk7FSr4erROVaVrWVJOn/YbT5nePX3f + yfsqk/VB2tHauK5vcsMAiUwBKhhr5GBMYQghdD2dpVIwrVWslR+WAE5RIDcvTr/AHB4SCuaPOePH + v328e+DYsW8dk7H8UuNzWZalFGcmyaAgZrU/EVA6xD9Y/AMnptForhVmhLa8jxwlJynNBwBAQ1FQ + riAtICNmGEmfgn+FG63EaAZJBqnCXHOFwAVJaZyb9GeEdghNjpniKmc5cUICjo5n/M+Ym0CRQaNQ + 5ZgqVJqUJsMVL0HpC+/7iuRCo44xVywzpiAiZlAyl5EjwRGAucoSSpSX8yY+6qmP3n3puXeduFuU + S8CwiHPfd0GDMYQgfIchMdCGyGbtBCAwAGUIyQACcmSAANqgHnakB0AiA2AAAYd6wT92UB9utSMA + GCLiiAChINPqtYNqOSlyoXPJZZxnwFmhlef72hgCKLRmjAVBkPQGaZrOzc3t27fPsSw7Y4qiCEql + 3qCPiI3m2H2HDh05csSKMUyOj3/5y1+eHJ+I4/jeew5wzndu3yGldByn1+vZhoppmgalkDnyO9/5 + TprkAKCUKpWCT3/mU/WpCSNYavID9x+q1+vr7TYCcxxPa631hordpi6rG5J2ZLnUhqMio4xmnHuc + IQdQhgjyLJFSklE7t89tnZ0e8QgsdgAAjCMRhgKtVlIsSZJardbr9VSWqyx/+q/8FxTcFZIYMsYk + 44UyURKH5WrgB0mSddu9V7z0FR/5yD8mWfwn//1VL37x7660O7919X/73Gc/z0he9aSnLSwsfee7 + tyPwLC2EQNf30jQFQAYsCEpxlFTK9YsvvPDpT396nmbLi0uh6xJRptXe888jwTiKOEo9xzeFessb + /8IR0pVSMJ6mab/Tnd4yO9ucKNJoptlMkiSLBuOzjd//vd9rtVqci+PHj8/NzS0uLu7Zszvw3LLv + D/p9JJCcv+C/PX9qamptbS2O41IQOp47GAx2bt9er9ftIlsuly0KY1g9PUOZ4KEkINEpsPdPsD39 + s8PQxJy5zx/wMP7YI964t/hwB2S7+zJ7rptTYBsfICICwTljKi98zxu0u+Uw/NX/8iulIPzcZ2++ + 8sorK/Xa/Pz89+74/kpr1XXdfjRAwYRgzGKflCp5rkUvqywDNOVKCcB02uueK7M0QURimGldr9fj + KFpcWj57z1lJFH3sYx+vVCrXXnvtO97xjkc9+pK77rqrWq0mWep5HrCNwPAnW4YebkHVvzUgH520 + 4zhFUZSCwCg16PUlsM99+rPXXfe+l7zsFUI47/zbawe9vusIrbXDRZZlYeg/+tLHzsxOvfe66654 + 4hNu//73Or3O69/wBoEMAWhDd3LkUJIxq6urzXqj1+n+0R/+j6mJiQN337O2tnbBhfte9+ev3zoz + u7hw4pprrnnVK1518aUXN6q1Is3QkGQcDWmlABkjIK3yLHvPO9/1/btvm9myg+FUa4WtLGOjsUUp + xYCb3ISB01k7edH+rWlx2HGjii/uO3gPALz8D1/2rOc+O/BC33GRwKpjT01NzS8sNBpNRGx327t3 + 715fbY1gYFmW2SbHFr68+bqNbuswCCrV6sry8mRz/Mihw3v37g3D8HGPe9yjH/uYO++885577tl/ + ySWHDh2SrmNTMuDS+NTksfnjzOFRHIfV8IlPuvLI0cM7dm1Hh6mTy91+r5/EYaWslDZEWZFxzpMi + 10DAWWF0FEXGGMdxGJEVjx7du0SkaKNUahcAxqzI9DAlsDHep/IWhmWZFcYJUWCaxWkaGw2+TwBI + NPwIIiJpAIJhJ3LUp0QuCcAwDizghpuwVnJ8Z73TyXOllLYhUL/fJ6KJqUnP8xYXF5MkQWPsWXDO + bULO3i2P6P5IWZZYvT6XDT8IG0XUf9NT+rA1QmaYQQBWaKaIGSRgyuOGi1QUPX3i+yfViuI9Bg6Y + VHEp0eM5FWgIOOOWpEF5geBwYRDQECC6JEcZPmOKRBKHNNfKFIoQJHJiwqpoapMlvCgwMQigjSZg + 5AAaDtygyoFSNIyYweEPM8KgEcCJUQ5FxhQj1EBoSAMJbYghg1wxJCjsMdi/EgIzZBDbUTdyUpbx + SERUQKpT3sdosX8sOea78nHPfoIsuZpMpjJwhAPogstoSEMHAFsTsgumvYIb/z88G+SwIjfdbnTb + Ld/tH+j4bc/JnRNrC7kpuI/KaFCAyDghIRJTCSsKNEiGEdNAjNAgQ0MGAYE0KxRk9qoqMqCBkzNM + n6FKeJxjaoBIGwOEhnPkDJAQFBQFFkjpkNCPxAw3SBwYMcohzRgI5AYJDSBwx3ANEg0QUWoyJbRg + XJMBQwYIjE1VoJZEkCvMGaAmQ4pI0uLKypeLW0sz465fYsgLNYRCSO4YQCQkQjRESBw5w9MQKZsB + EPAgp4p+yO+PLCu0LnQmiYWe65vA9T0hBAIHxqIoGmtOaG1a7TVGkEqeDpKJiYlKpWKywoLBHMdB + TbbjhXU5ENGqQh87dszmTK2Ywde//vWoP4jj+JxzzrnooosCz7cZK3vBrYxblmXH7j96/PhCkaVG + a1OoVqu13mlH3837WeSWw4LpQRKnaeq6LucCALQ2D27lYXP2Q9Uoi2slMjgUkLCr0gjjJ4RgxnDc + 1GQTGRt6hlgo5bouY8zCBW0KT0rped5IlOgUoAZRCIGIjMN6q12uVpqN8V/7lafffMstn/zXTz71 + qb/sO57vB/vO3/fP//zPl196edSPZmdnq5V6kmdZliFnaZoiMM65VooIlDLkYK87ePzjntBaWf3G + 4OsuQJ7nxDEMS4vLS7V64+TJk81m0xj63ve+/7r/+WeHDh68/iP/x3Vd0uauu+7atn22ViktLsxf + /vjHtdvder22bdu2K6644hOf+OQFF1xw6623nn/++UVRqLwwSpM2Y2PNTqfT7XZf+rsvNaDf/e53 + NxqNgwcPTs/OIGLo+0WWM8barbXCaCmlFwSW2bt51X5ob1H8Kbc/+T5/VmObtj/KDIIiQ0pXyqWV + xaWKHz7315/z68969n33HPiTP3xlu9u+/qMfff7zn//qLa9+9GWP9Txvenp6cWXJGGOYQgIgw5Ax + MhoAwQAAkhXEsBGfAWB5Xiij2+12GAQzMzPLy8sO47Njs2/727+87LGXvuj2F/3jP/6j67pHjhxp + NpsAsN5Zhw0gw2gLp3eSfTjbQ1axVEpZXrXneXmaTc3OlMtlRHzerz8XgL3n2mtdRzhcGGQIxnOl + I+Wzn/6MX7rssm9/45t7zz7nFS//g5e9/GVj9bqdOIYT2aZOr4xz25+n1+nefffd77/uuptu/NT5 + +y44cuwB3/ellC9/+ctf8IIXHD151Mo9W0rJKL6yfjMyciWst1de+2evP2/v4/7+XZ//6vzirukL + QY0tLC5NT812khXpYLO88pXPf/n//cvfufHGd//Jq16VFb0vfelLzbEJJBb1B+lGw5wwDI8dO7Zj + x45+LyqytFGrz8/Pl4MwCIIoivr9vu/7FgE8Amg++Lr1er0kSUp+MBgMLr744qNHj96OWC1X3v62 + v/JZkEOeqOyZz3zm8uqK67oLCwv1aqPd69bHGnCUjU9OzGydPXTk8De//e0vfuHzVz71qUxwx/eA + sB8NbL+pUqkEhiziSyATyJIkgU1NojZTFBCRtEFEix9kiNw2/iYCQLYh1bMZ/SiEtJN4lqm0n3OB + lXLNcUUcDxARkQMJMgJIMOKIWJh8E0nRABgGBgCtpGG/280cx3X8LDWBW2LoGD0UvLaXtFwu12o1 + IUQUx0opKcSIvPgfoD9SEWddtXrGbv+DxkY/xhDRjmDDa4wFDVc7hcgYY4nO+DBiRAYIREggGMvT + jBDA0LC/3qktw8KGP0NQjGZAttUjABABGjskQGRAGwJCMmQISZMmJAaM8NSPAWPIAANN2n6LVRcz + RMP9IwKiGrlNMHxgGCKhqVarrnDQ5Qy4zkzg+FiGqN9fWlq6+27x2F+7vFquDHTCGJPSoaEfcNqV + eVihun+sCRSkzaH7DsIJeuzcJSKXaZ5J7iQ6QUS0yDG04BTGiIxWjMiQJiBDSDiM/XKdDREkABvj + bJ1dZiHSxIwyNAqMcdPvdssBh30Y0SAxA9oQA0aaGKFRwO3rhAZsqGSGC7lRpKjYvDcNxAGNARsY + c0DgDA1lpvAq3oEDB36FqFwua5MxxiwLyy5nMBy+jezwwwyj/3MwznlRaALigmutbQ7RVl+CIIjj + WGtTr9dNoRzJA+kfPXq02+0KIYIgsDAwTsg5N1a3CdEWhY4cvf/kyZNaaykl5zxLkn6/L7mYmZmZ + nJy0KACttdw0Fed5vrrWOn78eJ7nnDHH84jrOI7TPGO+6zjO8vKyU/Ysm9fzPKVUmiYOHy4BFsN/ + BuMCNpaS0RJph96m5EZpMjv/20+N3H3cAMvYBJ+l5ls8tsVsj3zK0VcgYpamyJjnO/V6vbW+1m63 + 9561d3pm5mW//zIAnJycbIw3EXHfRRc+7orHb9+5Y8dZuz57y2c6vYFFOvi+n2eFXTGNMZOTk62V + 1cOHD1/15Ke8/33vSwbR6/7if1Wr1VK5/Jj7DlzxpCuH7Uba7bFq7cYbb7zvrns+8P7333LLLXbt + k57zh6/67/Wxsb0XnC+lnJqaOr4w/5rXvObFL35xozl27bXXOo5z402feuITn/C85z0PGDaaY63V + luu6119//R133PHhD3zo5ptvvuuuu8rlMpfirHPOjqKoWq2utdcnJiY6/V6appbivrl+gqceqx9g + /7meLgDr4XQ6nenpaZVkv/Vbv/UP7/n7j3/0hqdeddWb3/zmL37pS3/zv6+99tprX/jCF370ox+1 + KWNEBoREhFYpE/FHXDbSpl6tLS8vVysVRiCllIwnlHzxi1+84YYbpmamf+d3fudXfu1Xv/e97335 + 1ls/9alPTUxN0CYbRbY/LKz9dx2vn3cfpNG3MgIhhOO5UZJY0bB2u10qlR796EeTMS7jSW/QbDbj + OCYa0nbTOEFDRZ4tnjiZxcme7buf9IQnHj9y9DRlhU2eq9bacd3FxUVE/OVf/uXnPPvZj7v8l8Yn + J17y8t+P06Tb7e7evfvqq6/+p3/6J8dx4jiGoeLHqZEwxmhShHEQ8ssvfWylMv78//qbayduu+t2 + lSXh9umntVZWmrVt/e5R6WkGlUZ16gXPv2bPnt0PHDu8uLSyfddOIUQYlppjE4xA5TpP0pnJqZWV + FSncIAiMUTt27Fhfbdlua/aJPSM0evCK6Lru1q1bW8srtuJRq1RCP7jiiissPbRcLtsieJakXepM + Tk4mUZplWbVeH/SjPM/HJsa+fdvtxhgwIKWbZVmR6yDwlSEyoLVOopiISGmrjbP5wEbhweYaxebs + 1Ob5HTagpfZto7MoigwAhHA4k8AEEWWZiuNYmwwRGBsFSC4zDiKCMIAayGq5GABDYABIa+AMGDqc + uUVB1UpToPDc0HM8IrJ9hI4fPz42NjYxMREEwYmTJ4nIUnhtZnEEabOvPBL7I435Y+1oedT1CzcS + BP9hK0g/3Gz06Pv+OdvOmZDjZqCZAgBgDjcwFLrcXPd78J258copAcMz7vMz3j96ceReoyFiuNm9 + 3ux8P9gFt69wQGJ4xl+Jod1DnEYAwAohiGdxwX1OnsoWE2/B4cjq1SpxiKPYDV0hnCgZMEMCh7m3 + 0QH/e+RN/52Mcx6GZUSsVitnnXUOiyHNMyd0SZABzQ1jwICEAVKAgMblwE81hreuD8Imv9YaItq8 + 5nBUCQiBtCEEBghs+IoNpMDQ6BVEO46nqoL2B/SQRzraMmIAZjgf2hogIHKGBAZotM9CKyQQjmSA + feqfKBaO3HG0Vqt1qBdFkVN2ELBQFhzNOXKGDAEB0RCR+c/mvwHn3BhgxJRSRaryNAMAV0od60IX + tVrj+PzCuO+GYbh4csFBecEFF1QqlYWjx+zU7bqurSAhUZ7nXhBorY4ePXrw4EFgrFQqDQaDSqVC + jpMkyfhY87zzzpudnWWMxYMIN9QdAaDb7R4/fnx1rXXy5EnOOSEQosNEniZWn+2cfXtv+tzNick2 + GPWQpunGc3cKcPHgE9wcHcFGInLU9cHWuzZP76O3Df/JhJWHtTlNq19n14vNmBr7XXY6C4JgZWWp + Wq+NjzVf+MIX3vqNW//2Xe88dOTg1b/5vD9/0xt/4zef98xnP2t1rVWtVv/qr952/cduaLVaaa7y + PEfOkiTxvWAUvK0ur9RqNZeJoihe97rXHTl4aNu2bVrrOEmiKPr0pz9dGG0Qzt577pF7DzYbjVu/ + /rW/evvbO/2eJx2bHHzyU6/avnPb6urqVz7/Beb7T3rSkxbmF97ylrdoIgAIgmDPnj233Xbb17/+ + 9X6/PzY2Zhfl2dnZ+fn5v/nbv/E8b8+ePcePHx+vVo8cOtxqtaxo++LiYr055jhO1OuNJDp/4Bz+ + n9ysoEW/04VavdfrFVqtr68HQbC+vq6NqVarg6XFx1526bvf+/eMsV6v50ppEJg5lbYhohGC98HZ + WcdxOGP1Wq3X7nBkoe+ncWIdm263m2Tpm970pkqt+pznPOetb33r/fffv7i8uPnjj7iResgqSERk + k+X9KCqHYZTEa52253n9TpuCslGqyBKVpxwZaWOMSeKBFMxz3PHGmO96x44frZUrU1NT9Xq92Wza + LIshYps62GRZJpFNT06tra197d57V9daXin82Cc+/id/+mql1Nve8XbH96I0OXvvuceOHatUKlbK + RkppqSlxHHPUlRpP81auulk+s2ULdDo9391S9XY8cKg1MT6xdHJxanoi1atJEj3jmbOf+8JSmqu5 + uW3lctlxHAnuoDvI02xubm5iYmLb1u1B4C2vrmitK5VKuVzWmiphaTAYWDVqa1Yl5gyg1KnCRaG+ + +81v/dIvPa7Vas0fOzY5OXnvvffObdmqC1Wv1z3PO74w77purVaz4gS93iAohSutVS/wA99jjF1+ + +eWIWK/X508sGAOWZuP7fjSIpZSeLcEjGiI71doDsKUMeJCnuNnvHJWYbGZrdPCjwAkRDWqtNSgQ + whEoClUgck/6gALRfoqREUAOkETEggpAAwSAhllMLQzjE0bYqI4JIU6eWPJEuNhaXVpqWWSCPYA8 + zzudThiGVqROKbW+tpYkiZ0U7HCLR3J/JF7FWq0mpdQ6G11zm1B8aJ7SR45prfv9CACq1SpLWaHz + arkGAFEaITDbxH4oNIlABIYeXLIfJgJGOZfNa+rm235zoAUwdKwN0OZKlD7d7bYusgHiyKzrPHLH + CRAYWmfdvt+67ARIaIIgMEoL7jjckawABwqRElGW5TYSTpO4yPJSJVRg8jwPXW/UOHt0Yo+U6AgA + wBAaYoRCCIGMIQtDxy8Ha/3W0NW05BpghAiEKs/1CMNPp7Alm095xFxExFGAZCFwm8OYHziOaNkj + G5WiYWAEmqMgNAAIjDQZYBbyTwBkjLGjyZEBM5v3zACV0UZpY4ABpjqTHresiTiOM5a51VAVOk1T + Ln06baa12alHTKD7UBlpPYSMGiO4tLktwQV3eJGSVR92HKfdbodhWAurd9xxx9LSEiL6vk9EURQ5 + TEgpSWsASNN0vdNeXl6OoqhUqbiu2+/34zjmiGEYTkxMTExMSCkHg4HNUll2qE2xHz16tB8NRsky + rXWhqVQqNZpj09PTlUpFKWXAKG0KpaxDEoYlleU/6uw25RxhIyljezzYNcXOMJubT2yemogoL1Jj + TLPZ3KwvN8paEpGNZIbsVsYYYJ5m9XodOSuK4qyzzvrMzZ89ePCgF7hf+tKXnvsbz6nUqgsLCxNT + U1mWjU2Mp1kWxzETolarRFEihIijAedcCImILhNaF8ura+Pj4ysrK1bfqNfvc0fedc/dSZETwmAw + +MbXvnbWrj1RFF155ZUf/OAHt8zOLi8vC9fp9nvdfg8YHjpyGD3HxMlIb/bI4cNTU1OVSiWOYyIq + lUpxlhJRuVwWQqysrEgpr7/++na7zQGFI5eWlt761rf2Bv2wXLZ0LNv2lzvDdkB2FhjO3T/8OXoY + MvH+fU2bOI/DMFxcXGzWG7fccssrX/nKhYUFpfUrX/nKj3zkI83JieXl5cOHD9fr9XsPH5qcnNhc + tiEiYj/4Sm5MutRaXpmcnFwbxNyVNvj3pIecTU5P3XvvvVu3bm21Wm9729te85rXzG3f/oiLiM6w + hyZAMghFniFipVLJ89xtNKanp+3jPdYY4wATE821tTVHSMd1LJm+OTGulNJker2eEKJSqVipGcuk + HHIWNyaFkfeplfIC/zWvljcovQAAIABJREFU/bNuuyOlzPP8hk9+/PLLL//GN74RRdGePXscx7nj + jjt27txpXU/rg9pR5JwLhkBsZmpWFXrp5NLOLVONWnjv946NNxq7dzdXVjoz09VcLRiKpycbt92W + Tk5OHj58eGKiAYCu6xuAarU6Pj7RXuts26as2vXclq3r6+uu542Pj3/oAx84/4L9U1NTg8Gg3W7X + ajWLCiiXy5sv1+YEsOd5+y666MCBA5VKZd++feutNd/1Op3OTTfdVC6Xc1UopZ77G1fbRaLX63Eu + jdJgKB5EzVrt5PzJyclxKWWepFGnx5EFjiuFVGnOCXzpRIO+TVkh4qDfL5XLRVFYUSA4nX1kbZSs + gk3luxG3Z3ManogADefkuDJPil4vcmToeR4RktFKW5IPIRKRAgIgDUjA1bB2RDTS+2AEpI0GyOJ8 + oFMAVi5XpXQnxprDIx8MpJTj4+NFUaysrCRJUqvXLXLaohdsLGqV/gEgfWT2R3K0EFvE6Hg2O/H4 + b4QxPwItz3PrGURRpNJCeSrPlOM4itTQadiY2nHTtTojDcEYM4Cb4x/YVCal4U1oex0BEgDjQAQI + jGgIKcWN/Q/p8taLJkLiAIiWrIcAQBurMQIOq344JNUO94RYZLnWmgxy4EhEmhQoXShSYB3xEZpI + ZZnNN6OhEX1i5Es9UjDcWusizwGAEaq8cMghbdI4Bm1ADOMfJMYRDTJAEEIwGoF+rdLoEEN7aqeE + uOlS23GxMSoO6Y52vAjsGzeNIyDfGEcGCIw4IXGwSN1h/IzWRUAA4EgGAA0iG90/dkw5wIbWf045 + A2SMMWIj2UzXdZlbYoi2yu0F0gCSJjJkDCEjxP+Ez7R9JLkpDBiyPMwkSfrUxwjHq5NRmvm+r/NC + KVWrVfM0azQanudFaQ4AQggpJRpQSjlCSClb6+v/P3tvHiZXVeaPn+3u99bWtfWS7k6nk5AESNgZ + dL6MgiAu/BzEAQUlqIPIMvN1H3VU3EDchnEcBxccHNdxQUdQIoqig+wwEAhk63R3Or1U177c/Szf + P051pcPiEH+gRnifPPfprtzqunXOvee8y+f9fPbt2xfHsWmacRwHQSBxevlsds2aNeNjqxKJRLvd + DoIglUgKIeIlyQcJgQ7jqK+vj1KqYOS7ru/6A0ODG4/aZKQSjWYzCAJkEM66RDsCQqnH+KQe3/LK + knxIOYSc8zAMd+7caZomhFCyjUuwg1zcwLLtRm4KYUSLxeLg4KBt28Visd1udzqdycnJ5TtUbxdG + EKpEWZxfyBaykzPT2Xz+hS86eWRkZPPmzU7Svu32/37ZK14eUVocGIhorBn6+a9/ved5mb7U3MKC + aZqGYUlOWgCApmnNWn2wf6BcKpmmuX79+ne/610pJ3HTjf917Re/aNjWmWe9qn/F0Ic+8uFCsTg6 + Ohq43uCKFe96z7sPP2yD53Y+fMUVN9100/rD13/7n7513b9/BUJsGMbiQtnzvFe96lVnnXXWmlWH + vf09b7/nnnuy2ex73vMe27b//u1v6/geD2m73T7yyCMvuuiiozZuajab3/32d2655ZZVoys3v+mN + t/7qlw9ve6TWaPi+jxQit3iwzDMBy6Auz+Zte8gY51xQJrhIJBKqqt56660IQF3V/unTnznppJNG + V43l8/nzzjsvCsIoisZGV3a8jswNI9EbyieBovXoozljmqLWKtWhgYG5uTkFY8Mwrv3ytc1mMwzD + 8fHxubm5TLav62sxphAiltUD9m+7h4g9YxUkRVE4EBwIRHCj1YooZYLv2zcTRN787Fxfvq/RbhCC + BQGMcSZYJ/CxpgqIvDhUNDWksek427Y9WqlUSqWSeMJoIoQURfFct6qocRxzylRVtSxrcnJy27Zt + W7duHR0d/e///m9CSKFQkET+8sJktVpmIBREKotBeSFWcCY7UPz2dx6tNiZXjOTczu5qc69qKh6N + KSvrlrd3x/Z22/3hj254+zveLEC0sLBQrVYRAELAZrPtum55cXHr/zw4ONT/29/+Np/PFwqFxYUS + aIdzc3NSqkhGaJJLuvddepfU+1nq7UjRoZ/88Cef/OzV//nt7wghZmZmbrjhhq1bt/7ghzesW7eu + 1WqVS6WVK1cGQUAQcCxr05FHtptNTpTQDftH+2u1Wn+uv9FqAgBs22l300LEM03LsqIospMJ6S8+ + tn27EAIS3M29LMuyQAgFP+DpgEsKV1Kihy5DLQoEBWSchQAiBrhuoIRjccrbrRAApOsJyasCQDdx + KwAHgDOAZcdRDxGKug4uJoTEISUIrxgcmtoz4TiO53Vk/kluHjIP12632+02URRd1+VQN5tNmV6S + OUJ4yOojRZ0AtuIlwQcm50sgCf97zjlTmqZJRg2FEDNhyHKcZWcgw113GS7hzcB+QoveQAkhAIC9 + nubePtr7YXmeYpl1g6XeeD8uWO2dtfRE8/3F4WU9CRDuD8Z6bxJCAAgURUEx4ksMhwRhjLFqENlv + rSiKBpWIxQAAQwpSHwgaPIR2FygABhgJpCkqQZgQRUdmROM4pgRhhJACMOAQcCQQJhAKAQGP5ZoE + AFxCeEAIIca9Iur+TgwAAEQHQIIP+PQDf1067cBi7IFtJKAbWS2bRyQ/HS8f/N5flg87X1JyxABj + 0BXq0XVd4JjxCEIoX3mS8XnuOXYQQgS7IAVJRRD5PjSShULh4jdectoZL1M1/fLLL/vlL39Z6MvM + L85LhlJZ+ZE7mhSMkm+XSVKZ8vOCQJLHWpY1MjIyODgoJR96WDXJeSBXabmbcCBkNMviCELY19dX + KBSSySRQFSVWFEUBGCkQKBACAChjQRDoitqNvbuP8+PvMbhEf0zjWCbvduzYIQEgMhqRvkEPiQeW + 0Acyc8c4kBLwpmkeeeSRO3bs2LVr1+zsbI9GFS4zBKFgPPIDpCBOmUpIdbGcTCY3rT6m47Uf2PqQ + bdtMCD8MSpVyoVAIwiCXy3XcViqRUBSFA44Q8P3QsqzQ8+I4kmyxp7/ktPe++x8++uEPq6r6/ve+ + b6FU+quXnMIR/OAVH+rv75+bn69WKgSif/nnz9Xr9fd/4P2mpp93/vkvfOELjz3+2Ftvv/Xu++41 + NVMWAw877LD3v+v913zhms888tkvfeFL77vi/S996UvXH7Hhe9/7nqZptm23qo1cLvexj3yUMfbR + Kz68cePGl51xhmma73znO6/5l89NTU3t2LEjkUpZjh3SWCxD+/fcQvA7n6Pfo+3kkDYZgbebLcuy + 9u2dCTruXXfcuXbt2unJqY7vlb5VajQauUJeVdVGqxlEkaarQAjIhYT+8idrAuqJekEAVIQ1x6zX + 65yyKAgJwpyyLVu2SCp213U3bNiw7bFH0+m067oRpUTBcml+miQNf2rz9YwFSNJ9qVarmUwm8Pwo + ioiqzpUWfnv3XQ/d/0DHcwVBdb/DOgxCSBlDCH38U1frur5q3VrF0DkEX//WNy++7FIpjM2X3Jde + 0hch1Ol0FEKo4L/4xS9uvvnmycnJdevWrV61VkKhisXi5s2bO53Oxo0bZ2Zmtm7dKolf5AooHWUV + aZbTp+nOz3728zPOOGd638NBvFtxXBDxvXPz6XRfaWFfLm+XmqXDj1qxY/fD+xb2RTSOqQsR0gwj + EtTzPM/z6tX6QP9Qf7FYrzXTyaTkhTv++ONvu+22v/3bv7377rubzaamaZ7nEULS6bQs8T9pjIQx + cl1XVzXO+Z3337l7927ZynLxxRd3Op2+vj5ZjEomkxDCRqNBo1hFxG22v3bdv9/+m1+PjY1hiCTx + dK1WsywLYhSHMYCQMaZbpu97hmVWq9VkOsUY27F717Vf/GKpVNKIIS9ALKFPuxX/ZeEBEgBBRBDG + itL1AxjrNoYt3ccYY0pjAYSi677fqFaaq8YOO/PlrzrvdW/gjHAGOSBSKb5bokIYAI4AA5BCwJCg + EDAIKOccExgGsWFqnued+9rXxjS0bEMADgCwLEu29BBCJEmrVKPqLxYzmYzneXKPlMESQsg8NPWR + XNiuNxpxHBtY4YBJxwsieED6/Dljsl+u0+lkYNq2bR7QKIrEMuIWeZp46vhBntmbX7As27I8Rlpe + qZM9L91yhABcwqmAgMv7WERX0lb2vQAkYXZwOaCrB/c68BUucBc2HEcRj4VCFA44YBxDBLmIoogH + 3Is8zjnWVYRJ6Ad4vxpc95qfIrT7U7Reu0AYhiyKEQaCcUyQABACBDjkHAjGBBMMQiaYCgUAS+3v + y0rZYFlTmRBL0t7LTljuKvXa9g6cWfkW/qTz2JvxAzqXlqTMe3+nt69L31cSNPf8Ni66MN0wDIMg + bPM2MoWqqlhBXhALiKQGLkIIQC4OqVj3mTLOOVGQQBBDrDCMAdQMY7B/YN2KdWeeeeZf/uXJb730 + sg984AOTe/YszM2Ojo7KCjxcolmK4xhxuelQAICs2Luuqxm6pmmSzjSXyw0PD9u23Wm1dV2X6g7S + dNMAAMhclaqqAgLX8xRFiYKgv1A4+shNfblsEARR6CMFcc5pRAWCAMIgCBCEuq6Bp9E21sNpC84R + Qo1Gw3Ec27ZlC2uXMImQ3rMs03nyLqKUh2Eo7zdFUST2wTTNXpr1wHheQIRtw3z00UcNyxwdW4kx + zmazY2Nj99x3t/RAKBBu4K9atcrzfT8M2p0mAIAx1mw2LceR9WrOOQYwn8uxiO6dmh4fW3Xzlpt/ + /OMfK4py2kte8o/v/serPvfJH974Yz8Mmp227ThQ1xWEC4XC2z728W0PP/KqV565aeMmGscf/vCH + b7/3dkVX/I5fLBYJUjqdzvY922+++eZWo7XlF1uuuuLKL17/pb/7u8v78rn169dPTEzIHRAA8JnP + fGbPrt2NRuONF164atWqSy+55JFt25qd9ooVKxAhrU4bYIQxlmW65cvCstTY8waiIIzjOJ1Kua12 + OpkiqlooFObn54888shzzjnn2GOPHV0x+o8f/sCNN95YKBSazSaBCEr0N4QIwKUbEkpB1V7haGnl + BUCI2mJldHR0+/bto6OjXuALId70pjd97GMfE0Jceumlbzz/jR73gyC466673vGudxmG8bgK0h9x + //o9CK8OOkBC4skVguM41nXdTjiNVtM2LSa4gGDv3Oy/funfHNPyaEiRaAWuruuqqvCIB2E0U5pn + jA2sGPry1/79yn/6NCEkBt1lAkAoG0ikNylXQ8uyqtVqNpt9yyVvvfTSSzccecSePXvOff2523fs + 2Lhp05ve/GbHceYXFs597Wt37do1sWcPxrharfb19XEhIELtVkslESKw3q784L++dfMtP/E8BDQj + iKcD7GWGGQRowAEQAkdFqq3ecNP1ConedPGb9k5POI5z+ivOQBCbptlqtSQPhFxk44jppu667q9/ + /esXvvCF99133/z8PABAYr0kUc8T2c+WL3MyuaWq6p133nnOa8/Vdf2WW25JpVKqquaLhaydfeSR + RwAAqq6HYThc7AeUiiAKmu0Pvuf9hJA3XnhhKpW69tpr5fftHxho1OuqpgVB8LdvuSjm9Lvf/15f + LhdE4dDwir865cWLi4uJRKLZacs8VhiGKSdhmuZiqZRIJP7iL0665lPXvPj0F1erVVM3KKVREPY5 + zk9/+tP/76y/DoKg3elQSoWUBgbMtFSICEIxZREBMNPn1GtzYysHL3j966tVr1GLLbtoaJmpyYVc + bqhRd007raqK51YQ8vv61IWFiZGRPrdTATAOI/dLX7r2mOOPYSxiNASQURr2FkGJSYMQ9uBGPSLv + bDZbq9VkbefQ1kdCsNen1EsrqkhTFIVxKqSMNnwaGiiHvsnCL4RQVVUiSLPZNImeyWR83xewW3Ps + nrmstvb4gYGQAwjx/qcPLp0mt1mJz1qetwCACwi4OIC6VyJBpYSUfKV3hJh0W1XE0iYtD/sZ3Ze9 + AjmElMdUgRogEGEsQJeeXn5ZRVE6YVvVVK7yII6RQBjjXoH3yWtZf+ImBBQi9MI+IwMBCMOQKCTk + EZQJFw6gQBgiBpGAXeZj2GsnOxDOJHoT1SssgS4MD/bmVAAAIEC422Tce/P+qeFPOo/7ZxwACIGA + 3TK3AAjinhwR6P0MMdKIQjkXlEFMBOdYUVWgco8DAFRVDVGsKRrHMWMhExQhIiCCAvYwgc9NI4Qg + CJGiwBgCANrtdhRFlUrllZe88uqrrw7D8Ec/+tGF518IIUylUp1Ox7IsQoiu63xJLAFCRAjBEEZR + FFEKITQMAxFMOccYj4yMrF+/3rHtVquVsB2ZWwQASPQdXYJky6DX932sqBjjQqGwadMmx3Icx5lb + mMemThlTVdX1mplctt3pAACSyWS9XnNMi3EmhIAIQYh6mVwhBMEYsi5GXUIGNKIAAM444wzXdRlj + EmjXGwpJOCZ7pEulkuQBgohkMplOp4MQuv3229PptGRh1TSt1WrJHoRKpSI1P0oLC/VqrdauaJom + IPDDkGhqGEU/+/ktxcFix3NVXbc0tVyrhmHoeV4ikYijUNdVIUAy6UCsyMbdOI5V3Wq1WpZuWJbp + um5fKq2pKqXUNM33f/j9N/70JyGLddvSdV1wLgDww0h2zw4PD09NTRGAN2/enMlm5Iod4cj3fU69 + pJOAAjiWnTQdFtOPf+JjP7n5pxjjWrnS6XRWrlxZnis1m01KqWVZpmk2Gw1T008//fRms6lqWiKR + YFBMTk4OrhiCBHueh57QIfMcLMP+DsMIaZblui6L4mK+8JWvfOVTV33i3nvvvfZLX7z55ps/ftWV + RxxxxBUfuuKxxx6bnZ/7+Mc/3pfOSM1f27YB41hVOp6rm4aA8I1vfpP0iC6/9LJjjjrK67iB52tE + kcgX3/dzudw999939dVXn/myV1533XVxHL/h/Ave9YF333fffatWrbr66quLxWKr3XzcFR5ak/WM + VZAIIVEUyTaMdrO1b9++o4466oYf/VBT1FQi4fo+hDBmtJueJxhCJIkTJL2Ybdvz8/OpTObL11+H + MeZLWCa51sjGD865bdsIoYcffvhDH/qQBLBVqlWE0H333fe+971P4qYMw+jr6/M8T1VVuSTJ5zyd + ThuaMT8/8+XrvpBKOrV6M53OcYHmZheSySRjDGGAhCIEhEASGwguYsZCiADntFgshjwsVUq5XJ/j + OJ1OJ44YwohLic8wbDQaiqIJgFzXleneXpaIUvpUDNGyxuUknGa98fNbb12zZs173/veiy++uN1u + v+CYF+xd3PuWt13c19e3sLioI9Tf379r+06/465dvSYOo2QicfXVV590wolbbr4FcWRouqPbOiIp + y4kZJbrxxgs2K1h98MEH3/aOt7c6nX/+l8+lE0nLNGVpCyEk1Zw8z5PoXtd1Tz311J/+/KelUslx + HCCAZVmWZX3rW9/CAAnKpqamdMNIJBJUcM3Q4zhmlHEOBQcICA4FhgIihAnVDHHy/3nBu97+sc9+ + +pvbHikPn3DaA/dPDOfGBDD9oH3YqF2rTzRKOz/2wS985bpPbrn5e/PzE+9699+1mnUNEAR4GPkI + gSiKJElDF8SylEHHGAvGCCHtdptzXiwWi8WibNWVodShq4/0OD4P0aNix0/655+3Z9b44/zm//0I + Du74HDcIABKSKfuA/mm4JDz/LNtBz+/T0FXebwL+Ab7CoW00ikMWAapgL7J1+2Uve9l9W+61Yiub + zVYrFV3Xp6enZ0p7TzzxxPvuunN+34IsuURhCADAGKuqCiiXKyfnXNW0fD6PMbYcW0AYx3Eul7Ms + S+oOydqLoiiyBsU5Z5xBCC3LGhoa0nU9ojFUVAihppBkMtlptIiqKIpiWFY7dGWdR9ZzpCbE4+Cy + j8tUyLVaAv+EpkVhSBA2TTOfz3e7pyBkjEnkgqw9WpZVr9d37twpJSgghApBtVpNfpFyudxut23b + Xr16tXy77K0dGhqyLGvfvn0LQnQ6HcooItgwTU3T4jjGqqIoSqvVSiQSYRjWm43BwcFKpaKo6ujw + SKNW0TRFatkrmmFZFoQwCgIFq51O57BVq7c/+pjX7hxxxBHf//73FUUp5ouJNyXe8e53hSy+8eaf + Xnf9vwMATE0PPD8Kgp/9dMvkxMT6Vev2Le77zW9+I6AAGjj3vHP7Un3VcgVD1Ko3UonEv3/5K2EY + Zfr6Tjvl1M2bN7c6rVQmffnll++dnNqwboPrumvXrn3b3/29bVkrh1ZiAL/65a/kcrmm2/nrs1/t + Bf5RGzfOlxeri4v5/qLnucuLw3AZVeAf8C7+0zUJfiEQIUURQti2TVQln88nE8krr7wSYzw/P3/q + qaeapun7/vHHHnfZJZcCxjPp9Pz8fBzHnu/rlskE//hVV9qmVS6XL7nkklQi8dpzzl0zvrrTbHHG + FISLxeKe6SnG2Fsuvti2bQBAGIaFQmH77u033HCDfFhmZmYGBgYqD5d7zU09A3+kMKkLEDgYewYC + JPlFkUKcVFK6d7pp/M9DD57z2nMxxhgB0zRr9XoYhgAjCGEQxgwIRVEURfE8X3bIyPVu5cqVEzt3 + AgBkel7XdQjhcnyaoiiMMUVVK9WqHGtN09LpdKlUajabGGPbtuv1ulwfOedyyYiiyPM83/ertPKx + q65otVqddjuRTLdbnqTkRgriIgaAQ445F4IrEBAoZLIwUhSMEKI0UhRF15Tdu3efcsopPeobzjlE + WAgYxjSMqeBdLk5ZW5ADstwDfpwFcSSpM+2EMzk5+bErrxwfGysUCsVi8ROfvLpWq01MTBQHBpxk + otlssjgeHBxMOM7OHTs814UM8ogToKwcGctmcl6nZekG5JBFMRSiUi4bWIsAXZidGxtd2eq0OeeN + RsP3fdOyIkb9MFB1TVVVJICqqslEgnN+9NFHf/5z/3Li8Se89KUv/cLn/3Vqcmrvnr3Xf+trr3/d + 6x3HyWaztuMsLCyohs7iCAIEkQaBgBBjyAnmCFAgIoRCCIOLL96MEDjzFefw4JGf//Sx/vRpjjJc + q8eOBvds20a0/tLcdH9u46te+QavxUeHxo496mgkBBUhQsAwNN8PNVWVgaWMc8BSPV2G0HLP63Q6 + rVYrmUym02kZ/8hwFB2C+kjLo6DlMdLzq3/PBDzYNe73cFkP5i0HfzEcAg677ONP/C69V/68ppw/ + YVQ5WIqLDhwDLiA/QBXxiQNxwBue3fn9XTcbhAJy0T3Ky37e/hfTNC2KaNLOCAWFrfCw/sNSqVRr + ujkwMFAsFk3THM4XdF1vtVr1en3N+LjsqaB+KJtLMcaMcrGkJqQoSiaTcRzHSSYo52EYSrYGuWhL + BlEZYjmWDQCgEZNLveTKQwRzhBljBEFdVYOOJ4SQQVEYhlIw0Pd9vAQGkU7F475Rz+HjQsoAQ0VR + gMoURcEASiogGd5IBIHEMkhcSbPZ3LVr1+TkpATgYIw7rr9t27bp6WnP8zjno6OjIyMjhUJBvrFH + LDQxMfHYY4/VajXOGVYIQqDtur+5/b8ffOyRKI47oa8aasvtOCnH9T1CyODgYDqdpjQ2TR1CoesJ + SRfkeR7jQNM0Tlku17d169Y146unpidv+MH3A9erVqsIYVVVVdPYV5oPGV2/9rCFhQUAwGD/wFVX + XdVptGzDNHTdNsxKpeKFfghCRVEc0/E67sJcaWJi4h/f934kgKbphUIBErx3ZiadzTy49aFqvdZq + tWZmZtrt9qtf/epNRxwZBoHnec1afXx8fH5+PplJ0zAaGBjYs2ePblsyClq+1fa2Rf7UShjPNRY7 + jIlgXNf1KIpmZ2cli30ynSrXKqquDRT7d+7c6TiOpmkIQBrFXtP9h3e/W8LtUqlUoVBo+96b33JR + 6EWFbCH0wsp8GUT85JP+z9lnvTqVSHAaU0odx/nVr2/75a9v633uySefvGr1uOM46XRati2sXbt2 + enoaof3sD/LM3+3MPKvz9cfRQZImgxwI4eLiYi6Xk6OTSqU2bFinaZpmmbOzs812i6gq0pQ4DAGC + XXRvGEpSF0rp5NSUqqrSu5V/EC2Z/BQZL/VYEFzXlQX6XkSEELJtW6b/5dsppbZtG4YBAIBITExN + rBge5MIIaYgJVHSFccZoJEBXgExwiAETSCFAF0hgjDpeW2ZZTNP0A9A/ONCXyyKEBASCC4CRqigQ + L8GIGZBXInFWYplM7RMHjUOAEE5aVrvTIRj3Dw40avXb77jDMgzHcWQO6chNmyanpxJKghDSdt1U + Lh+EYSKZHBkdDeIIYORHUbvdbjaboe/bth0EAYQ4nU7UajUABAF4cGAgcL1du3aNj61Kp9NjY2MB + jTHGfhgkEgnOOaScUsoZW716dSGXv+OOOy666KLDDz9c1/Uzzjjj3PPPLZfLb3jdG2zbRgIMDAwQ + QpxUsu12FKLGFAGAMGAEcQQpYB6lXjqTNDQchz5yQDFPNqzddOevmixMlRuwXBZ9OWd06FjK9sxM + P7hqBDj2yUlbD8PmihUrwjA0oNJudpBAhmHITiGx1CiynMpZRixyO5yZmfE8r1AoKIqysLAgDll9 + JKH0lNT246nkxiD+zBzmP137A7m5Yj/rFeAQCPgn15/6jBtc6vQFXfiiAKIbC6Eno056duz5MOaP + ZkiyGsZxhCMF6FEQQgAufMMFP/nGTX7HrdVqub6sqiiO4+T7suvWraN+LAOkiJA4juM4DsOQRxQh + RFQVANALPyRMI45j3/fjOAaaBgCQsYqMlyQ3g/z0nj8tIGBcUEplwkJSJVHOIkplNKKaKhUcItRj + PZVLMVzimF7+7SCE0oOQOw7nHCMssQxCiCAIgiCQHBJyVW80Grt37969ezdjTO5KEsgg6z+dTmfN + mjWHH364bdtyh+oJWuzatevRRx+VGBkgO7oBMAyDQuF5HlIVy7KCODAMI2HbcRy36o2RsZWapk1N + TSpYMBYDgBzHCcOw2WwahmVZFotpq94wTfOhhx56+H8euu22XxVz+VQqtTC3QFQFKgSrih+Hw6Oj + p512WhiGf7X5Ly9VbsSWAAAgAElEQVR96yW7Ht2e7eurLpa9jmuapp2wK14tmU78+le/ueH7P1hx + 9NC3v/6tvdN7m40GjRlCaMXI8L75uVandcEFF2CFfOhDHwqC8Kyzzjr9xafGcVytVDLJlGPZu3bt + WrV6fGZu9pJLL907P3v237zm+PG/0HW9Uq/ZttXLXcphfz57+DiTVUpKaSaTOXzd4dd86ppyo5xI + JM4555zvfuc/R0ZGOOdTU1NdPdKOW8zmW/Xm8cce6/s+5Wy4sOJ97/mHY4891lC1XKbPNIxiLv+C + Y4+/4/bfXn7pZVAwgJGqqCtWrHjZy162ddsjtmHe88C9xxxzTP/gwHBh+KKLLvrIRz7yH//xHzt3 + 7vR9vxcgPa4NCR0iyiXPWIBEGavWaoODg6m+TKPdYkDolrl69eqFhQUBWCKVymYzXuD7QWA5tmHp + 7ZZLBdeIghGOwrgvl03YTrVaxULIphGwlFaXeKSYMwCArqgcAip4s1aX1aR8Pt9sNiU1WbNeb3uu + VB8TCAKAqOB+FKqqGjEKMMqkkxCKVttFACuqrhDMOGecAgggJABwhCDEEAjBOAuZy5nAHBCVGIYR + aQohJPR8QshiZTFXyHHOFUXjEDDOYxp3Z511N+AefdbvSG8AALzAFxD4vs8Zo5RqRCkO9GuKuri4 + WG82McbTM3sVRWFAZAt5v+POzM9FIuYYtAOv6bZ10yCqaiQSEWWmbau6XqkuCiFAG3lhAACI4qDT + aruuiyHqFfdZGMjSWXe3WMrJnX766ZTSCy+8cHR4JJ1M/c3ZZ19//fWmaWaz2VjExWJxbm7OdV0J + p9Q0DSJMGQNAACF3DggBAQJDhkzdmpmZSScPt20YRn4YdQBtq5qzdl1/x+1M7d0xOMgI4YoKUknd + 7QSmAw1d1xQNAKQoWiqVAQgZuhWGUoiWLO/tk6GvjDFkl5HUzTBNU+ojVQ9NfSS2v150QIs5fApu + 2eegPfsD8Swu3E9ajnjii/ygq2SHioluKemAYkuvXiR6ZaWl0PEpgpkD/vdg5+sZm18IABQH/Hvu + MU0etDHGFISjKAIxRgDv2LXjuOOO+6cPfvYjH/nI+9/7oS/867UjK1fOz89v2bKlVi2nrKQEg/UW + WLiM5l4GRWKJ5ECGQwghwzCgEBhjBROZRVVVtSfzKrFtsKvKiiAmEELBaBzHhqqFUQQQxAQTTQXd + TRyLZfKAnAv4ZHcQhBACKLePKIqiIIiiCCldWfZe3UPGP77ve563Y8eOffv2AQB6TH2MMVXDlUol + l8udeOKJK1eutCzL87xOpyO7AFzXXVxc3LlzZ6vVkgpC7XYbYMS5EDQmqhJ6MRQCCMEYU3TtgXvv + 3Xjssel0mgMxv2+2VW84to4JdL12u90kRM1kMv39/ZTSndt3hZ6f7esbHhl66OGH/u///b99qfTq + 8XFOuQBAMXU/CH57z1233/FbTmkxn0/Yzoc+8MF777wr9PzVq8ZNuaXWq1OlvZvfvBkK1N/fPzc9 + OzGx65STTxkeHl6/foMQwrJtPwxm5vbNzO678hOfmJiYsCx73759P/jBD/7t3/7tmKOPzvdlA88P + wzBmdHZhfuXY2LnnvW7lypWlUqlarRYHB7x2GyzD18mmxefbkHrGYhqFoUqUhO3s3bv3Rae+SLKD + JGw7mUxGNJ4vLbz+9a+v1WoIIRpGhVz+89f888DAwAtP+AvVJgCgGLAN69ZbyFAxEZQlTRtxMTM5 + /d1vfvt1Z//N4GC/HG3HsO1BZ8fuXbVa7a1vfatpmgKCwcHBxx57bMOGDZdcckkmkwGySXsp17z8 + eKjYMxYgScq4MAxlL0ez2bRt23Gshx68b35+ftXq8cEVKxKJhLe4yDnXdUNRIk0hpmkSiIIgrJYr + 1XIFCJGwLEnzspxeLI5jDkGvoCQpvxDBcRx3Op0oiiQ2N51OS2bnWq2WTqdl1kcuo5IsoZeeN1TN + 90NV0eVzpalGHDMhmBBC7t8CMwgFQpBLbhk/ghzGLOKCKUKRmR5IMBWccyEdGgGAgAArhMW0B6v7 + X2Nlx3EgwQXLwhC12+1qva5i4jiOaVtBEBSLxWqjHoah22hUqtVCPpfN5zKFXK3VrDTqhf7+VDZX + a7XqjUYml63VanEQWMkUBkJV1VWrx5udlq5rfX19CcdJpVJ79+5ljO3Zs4cCoWhqj0BCx4qkJW1U + a9/97ncRQmvWrOGcz8zMyK1las8eKMD/3P8ARHDnzp2KojAEoigiiqJpJudcMI4FwEhgwSGL6jWv + stheNbrW77jNuj09/QiD5Ww2X6vvrezbM7ZqeLExq1pGzEtT03EQTh62Pp9KJKvlyupVY0EcpRMp + 0zSlflR3JBFazjUnhEAQyqYpydsTx3G5XHYcJ5VKyc2GH4L6SBRGyz+udw3Pr/6PswNYyJ7R45Kh + Jcf9fz3+/vacqWU83bu3S5cEl8gS5PGp5utPD4UIxbMZXv9ZmKqqgAFBkaaqiqlNPjR5wthxIyMj + pVLpoYce+twnPzdTnX3bO9/ebDYzmYyIeK8vVKqFKooCEVlia4BsiblHBkgyl4ox9jodhFDg+XIX + ln3REEJEsDwHACCEYILL4AoTwimV9IOKpgZBRCMuu1iDOFJUVeK0EcJxEPYWCbGM5htCiJaaZHtB + HcdE7jh4SR8cAOB5XqlUmp6enp6eln6O53mmacrGZskhNDo6OjAwwBibnp4mhGSzWQmx2b179969 + eyW6W0pECAS54DSOeBz25XOAYA4E5VxSJR62YcP4+LiMLprtluM4BAsBmHReNc3I5/MyeXf0xk1R + FP3PffevGh7VFDXlJP7zO98plUprxtdwzhVd27ln4m8vfksUhFKqkUZxwrLf9nd//8qXv6LTbnPO + 4yA0k/Z/3XLj3ffetWrl+PSeyWyqL9eXPerIjZ/85CeHhlaoqtpotxDGU3unLnzzmzasW6cSRYrb + Ls7OX3vttS844cQ4jiuL5cMPO3zro1ub7dYPf/xfrVbLMAwvChOJhMQo9QYcLJ+I5w0AAIBpmoZh + SLnk/v5+yUpFCCnNz0tmqTiOZYXTcRzf93lMWRTPTu095zWvARg5iUTIaTKdet3551cWy77rtRoN + AyuGquXSmSs+8EFVJe1220klQ04xIS9/1ZlDQ0MPb38UY9xst+r1ei6Xq1arUnYlkkXXJ1zkIdQz + 9owFSBKwxDhfKJVMw5DpHBm0NJt1wEXgeUIwoiD5bMtOsupiOfB923KkJpquqKqqSAClLIgDyXuD + EFG6hN2c8zCOsEIAAJTSMA4IITKL36Mjky6ypGGVGCqpw93pdEzTaXc6fhgDCAHhgnMAeUhDASAX + HDAOEYWIAswQBpgoCjGiKG632wk7CRjnCARxADBQdE2iirkQWFUh6K6AqqpES0Uk6Wr3BHaedNw6 + viedfiSAoen5QoHGcUwpAMCwrYcf3bZ27VohhO04QohGq2lrxmKtms71JTIpzTbbvnfX3ff+4uc/ + T2b69s3PhY3Adsx2uxnHcRB4F196ybo1a9KJZKvRnN07oymKqRthFBFNlXBEyX4OmAiCYLFUuv76 + 6+vVGuBicmLP2Wef/bOf/UyWmDzPU5EKIbQdp91uDw4OQpUsLi5CDBiMAKCMc8EghAgAhLkKmSWY + Wav4xx9vv++937hpy51hZPvl+Y5H8rnhex7+RaGgzFfbqr149/03/eTG/9jys+/NzkzdcccdGzdu + JESNovbc7DwmqMdiREVXbaZXR+oWkXiXBBxC6Lqu5AE/dPWRnphceRyc4DluS638/OmHLwggLgAC + 4GkelyoMT/94kGHO4xpunjqbxnscbYe29Sjpfsc9vL8WJMtHSHAOARJIYp+eMFOc91B5B/1kHOyI + PuX8Pl9B+j0sDEMkkI51wEAQBNu2bXvnze/csX17iqQ+85nPfOFfr+3L5ab37V2zZs2jD291dFt2 + 70jUeq1Wm52dJQAJIQDnEELKOUAQQkg5ExBKjABjDHA+Pj6OrG4/sKqqkxN7hBCIdPtR5cVQzjjC + URQlHTv0fQViJvjadYeZgPssPPHEE+drpcd27pAaEowxw7AiP3jiNAvR5RddvoDLXyXErlfikLFc + EASNRkNWk2QoJQEFiqLElB933HFjY2MyW5fL5SRiPI7jdrs9Ozsrq0kyRiKaykIfEpzPFYsD/avG + xx/buePR7Y91PG94dMXU1NQJJx0/PzsbxjEhpL9QNC1D0/B999+Txtg0TdPUfd994IEHoyg69eRT + KKVjY2MAgHq9euTGwz/72c9+5pOfRgDGcSwwOnzthsveekkhX1i/fn0QBJlMhsd0amrqG9/4xvnn + nWcpRhSHHIPTT33JipGhyYkpzlgUhF7HzefzE7t2O5azauUqwzD8KDzu6ONuuOGGVqtVKpXWrlvX + brclD/UXv/jFd7/z3flMLuLRhvUbEMBeGACCW62WG3ZJCGWic/m22BvYJ73fnmtPZI+/tycoUqlU + 5E2YzedrlcrAwMA3v/6NN7zhDbVabcXQ0I7t2xuZrKooHdfNFfKLi4sUCKyQpONwShWMaRS3G83f + PvQQp+zuO+8aXTnccV2z2eBAaJbpOI5YEnLMZPum9u6dW1go5vPJZPKmm25645vfPDU9uZ/t6mmg + Ip/V+UICsD88SYM0SqnneZl0GiMEAMhms6qqzs+XVq5clc/nbTtRrlQCLySQYIhYyOIoEpznMn0A + gHajFXp+HIQqJvv27XMcBwAghJDLolzOJEJXyhp4nhdEoa5qjmVLLzYOQtVUkQBRFJmabpom5AIA + oCCMECovlEzHTlh2u93RDVvTLCAQwiAOA8FiRSECcAEggghhBAkSAMaMhzwWUawQoSiqdJ2iKDJ1 + o+27nHPXdSmlmBAMAOW8J9GNYLebEy3TA5UX/6TjZmq6H4WOaVFKW62WoeuapkmXWggxOjpaLpd1 + XaeUBkFg6oZgVFfUhYUFw9CnZ6YuvuQtpm6tW7funnvuYYhHkAY8Um1Tg0INtW27tpfKJYTQLef+ + sh14Rx1zTLPdUgghCC+JtQoaxTymuqYNDg6Wa1VD0wTj995/3ykvOdVw7LBWv+/+B7jgEYhvvPGm + 6bmZCzZvrtVqiq5ls9kw9BEEAgGIIYQQCQQF5ABTADHRPvCRK+IQveIV5553wWkPPzzhJAo3b/ll + DMv9wzST0UulXa957V8xVDvvgld/4tNX/uLnWxbLC1ghHAgBYRRFiCLJoYcxjiIKgMz2USEAQohx + DgCQmkidTgcjYuhmHMdSH6l4iOojPeEeObTq0b+nQQ4E6kY0AgHIl7pVOAAAiOWDcsgXXZbyaRwA + LL8gFAgJJI9LZ3F55qEfHXWNP45ZW3ThdEvQuj+bL9q1buwEgJSlFwcyeyOxv+vsudZErigKi5gM + EnKZ4roN6z//9c/0p4YBR7VafXhleseuXRGNgiAwLFMhKgBdRaxOp7Nnzx4IoU5URVFYHCOEKOdY + IRjjMI7Akm6s67rZTObkk09eMTgkiRDm5ub2TE3KnCAAQBYiMMZM8IiLOI4LuXy1XApdvzjQf+pp + L0kVcgGnG487ZuuORxvt1mK5zDmPwtC2bYl/XmqIPSAc6v0gs6I9VDZYIvvpQbXlsi+EaLfbqqoW + CoV2u+37/tq1a4/ceJQE4AAAJNhBAsLldtbjVpXw7FarBSHUdXVwcHBgaNAwjFarpev6Ucccc9nl + l/zTNdfMz89XG5X/+tGNhUyOAyAA/fFPfjQ1vYfG3PO8dLrPsqygXgccCAQTiQRGKJfLDQwMHL7h + yB/98IcYEMZjCDDjQkFo3bp1E7t3Dw0Nzc7Oyqgvm83SKDYUgwkBIVYRyScKv5y7rVKqJJJpBamW + kxgcHPz+93/wijNeHvieYRhEswIRL84vOOl0JpNhjPm+v2LFivm5uYmJCQhAx21blgUBiHnEGDM0 + LdeXVb0241xCBznkCAABIYIAiCfJKv4h7WAf32f7WiGEYRjmcjnZIL1u7Vrpxggh4jgeH1vVarWm + pqbWrFnzq1/9qt3p2I5DOQvageXYAAAJENWJ1Ww2OQCNVosJPji84tvf/jYBcHh42O34tmPXqg2O + xYaRYRmun33SifV63bSt4044wbZtt92WrlSz2ezdrr2gCEK0DB/wp26/R4AEe2v6ATlBDhBAPGYa + UQ1Vj/ywUa13xZHsRLXWmJ2d55wTTRUx14gaxQGLImxA13UNTXfbnWQyGQSBoet4SQFGUtBopiHT + 87JnJooiCKFlmJALGkbS2UUQ5vL5VrOZSaYYpbZlVcpliJBmq/VGI5/LUcYE5/39/Y2mCxHJpFKl + hTlB4xVDA+1mXYhum1Cr3bJskwvkOLkgjIDgnEZuu20bFuZAJUqzXjdNU1eJZINxXdc0TUJIEIam + aVuWUSkvamQ/ZQ1a0g9enufYn0/iQlCqI0zDEABgWyYSgMcxBIBAyOOYx7GhqoBzLriqEMg4j2JB + WX8+VyktfPWr1ymKAhAMw/DNb71Q0ouDJY4BTrv1aE3Tao264zj9/f233XZbLpebnJzM5/OUUg0T + IICidvchhFDIKABgtly6/B1vAwBAFR/zghN6kywnXNM0IEDg+QAAzrnnBbm+Ps8NQhrTmI2Pj0/O + TV7y9rfSWNAYcIYhUNdv7AeAnPGKdRBCACWOkULAAIwgYPmRvuNfcLwfuBuOOiIWtO22TNPsdDzf + 9RFC+UK23mzWG1VMVISQ74cQCk3XZMQCIUQQMyYYYxBizvihq49EAYV6996QoBFZK8MYM/CUbU6H + sEGxrB0FCYCWNhAuQIwQCMNY04y4w3RFiaNQU9SICwEQX2pYeVpHqXLz9I7LYjD+tI8H840lZhIw + ADgQBHIIOUSMIKogTiCEAnV1yxEXmB/y2HoZBkj+awYBQwBAwJZelK8jAThESxzgAEDAARKgd5Sz + gwQEXPDuz0vze/AexzMTYwshMEJCAA4EQFAIQDkDGACBkIBQQMwREogvDQKDAAhARDdwQgJQtP/r + P4eMcVVVWcB0xXADv+V2zFyGMuCGoZGwS9UKUDBGCiEKZRQgJCv/8q2+79fr9b5kWtd1RerzxnHP + /2OUKoqSsO1WoyEphv0wKKQKkqw1ZpQQAhGilAoIFFXBGHNKBYulIDVGCiGMc6AqerlcXnP4+pnS + bDqdrpRrGCuapiGAG42GSggAfAk5v3/qOOcxZxrEct1eTrsq6x7Se2GMaZome2KjKHIcR6oXKoqy + YcOGsbGxnqytEEJuJXL7kH6OfJeM9ORek86mj9x4+NDQUMd1WRSmbOuRrQ/esuXmarVKg3Dnjh3F + /n7bdE474/RGo2WYiue5SAGEqG231Wi0NMNadeSmarUaMzo3N7cwM0/XMdf1b/7JzcNDwyeddBKL + OSI4YtRMmBzyb3zn2w88cD9CqNVqWrb5059tmZmavu7LX0UCKZgEUag66imnvaR/YKDtBjilMwjv + eeCB4f4VJ5/8IkPVgiAwHbvabDAivvbNbwVRxBg1DL1aWtyx/bEH7r3/hBNP0LEGAEAYxJwdd8Jx + J5/y4pUjI3umJyrVKtEIVICCCY3jMAoNXacxlXzIcnwwhHJ4gyCAAiCEKAey60ySfMiqnRzex92Y + 3dvsYNZbcfDEaD3R1WfJmOBEVeRDkUmlvvKlL1uWZRBDAC5VqkMeCiGuj+KE7TDGKAZRFOT7sp7n + sU7bNE1N1yvNuqrrqq4RQ0Oq4tFIqBhhpdZuppwEAIhSns8XAt+P/OCRbQ9f9emr8/k85ezBh7cm + k0kVk927d6eTqbFVKxfumMeEYNyFxcqnhnMK4XKA+lPlQ//49oxVkGzT1BRFdvBLVpYXv/jFi4uL + nVYbAZR0kqkNKclCI5982Vnked5AvuB5XqVSGR4YLFcrzQ6U+Xu0pFAuvUxN0yCE+/btW7t2rd9x + pd+JMbZMs1gsTkxMVMrl/v7+ZrO5uLjY19fX398voynBuRDC97x6EGBCjjjqqDCmjVrz8MMPpyEN + 3CiTTCBEFKTVGvVT/vIlOyd2UuZruhoFtZEV/ZHfNk3D67gdr51OpSIabn/0sTiOBWWKomCsCAAw + gJqqhoFH41BXVHnNEhsg3V8Jpnpc/V2GMU+z6by3EluGaahadbF8+eWX79y9K5lKmY6dTqcXFkue + 53VTVlz0PjEIY1mVxhirihLHcej5GlF4TBEABCLOOY8pAAASTAihjD3umX+q/Vu+jhFRscolSTUh + MWV798388rZfbX3kYc4AEAIIhAGGEEkiB4jEUv6gZwJATqOQsfjW234OAGi1WuVa1dRMIWC5XK5U + Kk7K0fTiYrkchqGqKjK8AQBA0VUNglAqUnIIIVEOVX0kohG5miOE2DLwwHOijgTAsq6eA71YAYFM + x8Bu1vag1Yqe9nHJnpXoCAAABQKCAQg4ABhyADAUCAoEu/K38iQOYBe19WfjPC+XjVr2K1qOeeMQ + SIVXsfycpzVffxLWuyRZ/ZOxEAeIA9Zb8p6nW1luvfgZoB5KEUqGW4EgQFCmwChjnPNUKjU0NGTr + JoTQd10AgG3bAoIoiuyEgxWl2WzOzMw0m03TNF3X9TxPCifqui7zmGCpwiNhArquW04CCETj0HXd + dqPJgYiiiGhqs9nkvCtQHkUh5xwjpGIVcS7Ze5gQT8eb7oLYAZBgdUkgLvsRNE2T+q2pVGrt2rXD + w8OyHUgu+GhJEE/uAvKCZTAggQzFYrF/oFAoFNatXbtneqpQLEZRVK1WjznqaF1VZU523WEbpqen + MURnn/WaIAimZ6buvOv2WqOayWVtO9Fst1VdHxtf1T84INn/svkchJAxPj8/vzhbMk3TcZKIYD/y + McZRSHlMBeMCwiAImvW6DEtUVTc1HVIghAgDFgYxAAgARJlo+8HcQglDYmi6qihxEBKIMuk0RUAm + kQkhlqmXKJ2YmABcZNJpBSqM0ma7oaoq4IKG0d6pPblsFiFQqlY4FpwKzrll2WEYxjSWWA8ZjtIo + 4pzruq7rOhQAQggRCYJAJjdlJCxD7icGSOJAnauDuoefpj3bz74AABLcaDTiONYUFSH06le/+n3/ + 8N7x8fELzn89QmhhYWFoaOjLX71uYGCg1WphVRlZNdaq1au1uqXqsnzUcd1sNkspHRwchAQPjQzP + LywAhLBCHN1kMUcIKIpSq9UEFpqmJRKJS996yevOP+/Ek/7ioje9WbdMr93p7+8/7LDDKqVF6V8x + JrO9WIIiESJCiD+Q9N3/P/t9AqQeMGC5lJ58gKMgDDyfEHLMUUe/8+3v2LFjx9joSilqJvWOJJmM + zKaYpinv14GBgXK5HIZhvlj4/Be/cOsvf1mpVmW3WRBHkedpmuZ5HoQwm84Iyubm5pLJpKoofZnM + 4uLi3Nyc4ziU0p07dw4MDOTz+VarJUFuslFEKgykUqlEyrnk4gtyhcLifLm/OIp4otMEKsnk+pJ7 + drYM2zIMHEY+FXXDYokMmp2dQExgAnVVWzGyIuOkH9n58OWXXgY4jMKQx5QKHtHY0C2MURhSSuNc + pq9Hayb9715HKTjwIey9clCDz6gIgsi2Ew88uFVTDYyVMIwNwxIccgYEFwAIIIRc3CGEUglKAsMk + 1Vsml4UQVioVeXkAdUXjZREDHGRGk1IGAKSUCQEURYWQ+L6/a9euXTt2QtgNIZYYD7oBEgdALqDL + PogjDCCEoe+rqtqsN2zbARiYtiH7dlRdN01T7nbynuEC9NpzQZeUW6pQdF88RPWRlgdFvRhMYtyf + t+fteXve/swMiSd3HOUaKP1dBhCEcNWqVSeccIKp6pRSwZgQgqgqE5xzrptGs93evXt3uVSybVtS + Y0usvlQb37Rpk/ShJW+47/thGKq6DhBeXFycnpyCEBqGYZqmpit60imVShR1qzfyIxSFUEbxwROK + yk4BmfaVdKy5XE6y8sjcnGVZUt82iqLQ9bv78rJknPwjpmmOjIwMDg4ahiGV9DJ9KUktaxiG3ONS + qdQLXvCCW2+9dWZ29j3vec9Zrzl7xYoVjma94YLzf3vHXZdefsmXv/rFr33ja57nCQgQITOz++rN + Buc8dL3QD3KpbK1SgVwkk8nqQqWQz7dbPlEVxhmkQDAmKbIwxulkcvujj87MzGiIWI4jhGCUGYbh + WLrcZGWCOJFI2LYdhmGjUink87KjOKKR5/mu6yqYyOQjY6xcLqftJEKo0+5ghAxN1wydc55Op+vV + WiKdyuVyseCd0PeDIAoj00CEqISokrpdklYDQoQQnFMAgGAcYyy9rV7HtUSdPJUu5R+mXP+sfoai + KNlsVsXE67gLCwuFXP7rX//6Nddcs2nTpt/85jfj4+O1Wq1Wqz3wwAMRjYMgmJ2dhYwLITRNk8RX + BuecMihEvV7fs2dPHMerV6/O9fU1avVW1CIA+74/MFAMGU1n00EQxGG0e/fu7373u6NjK1/+8pdv + 2bIlk8k0Go0dj21fXFzUdT2MIsYkmQpgjAnxFLqgXd3RZ9H+cDpITwRPy17zRCJhGIaqqpqmbd26 + 9ZOfuPrvL7scAnDdddfJbvhea0ccxy960YvGx8c/9alPbdiwgRDyyCOPXPP5fwlcD0KYSqXiOPaj + UDaZUEp1Xdc0zW21N27c+Ostv4YABsBvd9ovetGLHMc58cQTP/rRj1rYmi5N79q168orryyXy4Zh + SDxVEATSP242qwP53Ac/8I/TU3ODxcMgKLZq2tw0o7EzPnpkpVIFgAVxJZEM1h2RmZq9e2wsp6nk + 4Yfuj+P4bW/7+9f9zesK2WKr3lKJoimqQggNAk1RdU0J48hQNUiwJEaTj6IkT5PoT5lGWh4U/X7p + iojGMWO6aaq6lkglG40GVhVJhtPV0hFCLIGtZQAgL6ZHhjO/sCCEcGxbijVAuRxDyBmjT712POWd + sCQxwTlgTMjVEwAQBT5EECEBugJTDMAuumap4QQtk0UBjHUDD9M0vY5r21a1Wmu1mqpKIIS1Wo1S + Ku+uarUax09EyAkAACAASURBVDFRNYQQFJBzLrhYwnsLAcChq49U9l3Zj6QuQavhEq35M1frfd6e + t+fteftj2nIRsP0vii5D4fLme8MwEomEDzoyzunRwcm0ked5iOBEIuEF/tTU1MzMjNzp2u32XXfd + JdOmsvlT4qIlKY6EA8jFmQM2Nzc3MzMTer6p6WEY3n333VzBnSjgBDX8liw0yZU/iiKiqgAuXa1M + EMMDa6MHmvR25B4hE7Wc80wmk0gkpFcqodeu60oGYMuyegiFHspafpymaSMjI4bRTRrKnbfRaGCM + +3K5Wr0eRVE6nd68efMHPnzFXXfd9fJXviKXy2GML3vHZb+49dZkMp3Jpv/ty1/4+ne+gQgGCCGC + uc8557lcDvUJwXjCcCDjmqalUym30aGUGoaGCCYMYZ1wwDRV1RQlZsx13Xw2158vBJ6PEOCMc84D + LxDUBwAEQcA5RxD6vu/7PgHIcRzOOWc8YpRhqBJFVzVVVXVVI4TkcjlT0w3DYFEchaGqqomETTmT + wApKaa1WG1k5nEgkHJJqtjqSG1B6hoLxRCIhM++6pjEWB0EAoYAAxBQAqChEk3nMXrZRluCejRv7 + j26e5yUSidmZff39/dlMplQq7dq168wzz0QCSD9tZGTkqquu6nQ6e6YmHcfxPE8niqkbruv6nmcY + Rj6fX6iUZZscBnD37t3FE3JCCMuyTEUDVEAIZ+ZmIUJW0pK3tGNZu3bsfOfb3zE4OEgpLZfLqVTq + iiuuaLZbTioJlqFgIIQAHEq48YN2u/hSv6mMxnphEiFEgpEopSymrUYTcJG0nbPO/Gsaxd/95n92 + 6u3/x96bx1lW1feia9zzPvNQY1d3VfVAdwMtiIAGG2R6KkauGeRFY5xjjEluXhKjiUYxT80zNyIa + ozE3+gwEY7zxigMoEhyicEXmsemu7qqu8czn7LPnYa11/1hVh9aIEaM3YOr3R33qA111du299lq/ + 4TuoimIohuSBFIuFF172ggsvuPDeO++59NJLDxw48K53vUtBuNVqeZ5n5mwoeBxFcEu4TBY5pXwh + iqIv/fMX3/rWt0IudF23bTtJkqmpqX/6p3/6m7/5m2q1+pGPfERejPxBOU83DANCmISBAtXeev+a + 9/3l/Oy5H772pq986dhk5RACk+vHvXL5rDhysGiN5cXn/v7jH/rvv/+lmz7+B+/4fQGSb3zjG4Vc + GQBYLpQQQCLbZIlkaWyoZprGg0HfNG1T01vNjmVZEucKttLo0eDi1JXx460S0zRzhXzKMons8n2/ + YtVc1+WMccZO/c1yUWZZNroVskhLkoRqqqpqcZayJM0EF0wAjNCWNPmTYs8hShBCWcohplnKOMso + IUIwRaUQCiSZM1BCfjmEkG22CzkACAq4VSwBDBDnnCjY9YcQI0wJIsj1PQlUY0JEUaRoKiFU100I + ccoed8YYEVcAAOjp7I+UeFFK/dET3FzAcpT0ZBbJdmzHdmzHUzA4BP+6AwdPGSJt6ltsAZll70k2 + tmSrMWMJAMA2TalSq2hqGIaLS4tLS0uu6xKEJHr/61//ehRFlNKxsbHZ2dmpqSm54UsYi9T+GXS7 + x46fWF1dlfg3zjPPG958881db1idrLtxmGHgeZ6VM4UQCGNCCIQQbM32hRBgRCd+4hG/zAHkn5Mk + iRRWHbnHBkEg/9kIzjDS74WnsJdH/VbZtkvTVNd1QggEqOO6ot3LFwsIoYcee1TTtDe/+c3jlfFI + xLVazfP9u++5p1QqNZvNKPUnS1NRkuQKBT8MXNetj48V8wVVVdMwIghjgKenpjFEUh+CECI4TLMs + jmMCqRDCsqyJiQlK6cTYeOD5zWYTAWjVbS8YYoF0XQcUVsvlOIx837csC3KRZVkcxxPFCssyoqCU + MwAFRBAAkKbp0tJSo9FQsJIkSavVKuXylmURiOI4DuOoVC1rqnruuediTWl3Ww898MBLf/UVX//m + vwgB05SpqgoAyngqxCblG6rSFzir1iqaorqulzGIEZVdTokqkniQn+CSfkqBZoXg6+trz3jGoYWF + BW8woJTOz8/t3bu31Wg88sgjqqElLF1aWiqVSjt2TJ84cTyOgtrE5PTE5IUXPJelGQBA13Un8Kan + JxEClUpJUUi709R1teUMXvyCKwQDO6amFhYXxicmkIIoJqHnC4Le8tY35/P5NE2PvfsxYpjTk1MX + XnjhV756y8LiAqYEI8oYB0ACR4EQT3Vk3Sh+/L60BFWPUONSjaTVapmmaRkmpbRaKu8/7TSdaJBo + 4dBTCFURYWnGooSoShbGOcv2hk6r0Vw8unDR4QvPPeuZzfXm7OzckYVjURRpmibhtpLXmKapbEsc + Pnz4jDPO+OVf/mXbMD/+8Y8rQAuC4LrrrpMJbrfblZT60fBEpqryP6pU8wfRzsnZ+R17NWpf+aKX + rhz/5vEj2rCn75h8RqfjWOY4JWOD9tFaaf/81KHXvuKNe2b3dvsbkRumUep7/sAdAAAE4wpWCMKa + oiIAGWOGplfLpVwhjzEWHEqNuxH/ZHNjlffte4Wbn+wcqdvvua7b7XZHAqaWbjDGAOOc8019pFP6 + T3KEJSF2vV4PK5SoCmPM8VyZ3GOIxBZijEAENrf7H7VGGg1hDENjAjHGspTHSaBqBIAMigxADgCX + wASBIH+8ecigQJsTJIEwVmSTLAxjjLFcALZtS2Cb1G1vt9v5fD6Xy2ma1hv0OedAAIQQ2BrjAAAo + Jk9rf6SYuSNXXInBIBBijDlgT2qdbMd2bMd2PMXj1NIIQwgE5CNSKQCyhHBdN/L9EQQOZFzujWma + 6qbJBD969Ohjx456QSCE0FVVCGHbtuM4cRzPzc0dOHAgl8tJXTiZVMjDYjAYnDhxQirjlctlf+gC + lmFChq7LOLMsa63dzDCQWLgkSYCiUEqgAFveRwJCyB8/CH7wOS5HRnL2RQiRHWR5dostPowsReTZ + xMXjZrhboAgh4QZgqysnx19SwqHbae3bt+/o0aPNJC4Ucpdffvktt9zywb/68Or62mte/7r3/fmf + v+xlL3vf+973nj97r6prV/3KrxxZPkJVJUriwWBg5ezJycluu7OwsEAA1BQ1HAYqJsPhMAzDVBZz + cSYgwArWNG04jLqt1tKJxYyzkydP5nK5qYlJx3Fk7qFpOqXUi33f91VdZ0naaDRKpdL+/fvXl1fb + 3Y5KaClfCOIoFoxBwBjLWzbAUNf1olXYs2fP8tKyoigY4SSKFV1RVXWiPtbr9RqNRiLYo48+POj2 + fu3XXnVi6eTS0pLEy0hlWln8yBvOWFosFXbvnoMCNJvtjKE4Skd287Jl/LPK6eUQyFRnYWFBVVVE + xaWXXvpbv/mmxvrG2Wc+4/obrv+7669/6Utf+oIXvOB1v/76Xq83OztrWdaOHTuqpfJVV11laWbK + 0r4zKJeqkUiEEEsryz93+Lm6Ybzoyhf/z3/8H1e9/Krp2tSWFx2PeHLrbbfatv22d/zJ/J65f7n9 + 21deeWWr1frWt+/4wAc+cM899/zz124Tpyg3bqrqbLmW/UffrR8pnnSBJMDm7fm+kMBf0zSzJG0O + NnKm5TiOrutplihYSePMNuw0TgCHmqJDhDRFy1t528xBDhWiKljZuWMX1DAAoD4xLhv2AACZH8uX + oVAoLDx2dN/evbuqM8+/7PI987tf/vKXv/G33uT7fq1We/DBBy3Let3rXpckiaqq5XJZDgpkz0CW + STndooDyBGFAVAwmxwEUhmGUWJxrtoaGbp9cPLFvf9VxgO/w88+xvnm70Wp0UxYRROrVMdvMBUGw + d36vZVmFXL6Yy6tGzQt8RGB1rA4A8L3QsiyWCTk9kAppMs091SoO/Dvkm4vFop3PlasVfXUljmPp + qDBlWQqhKqEIQA7ECFYHIeSMp4xJsQEAAFEVhFCSpa7rEkIoRhBBCKDgHHAhx+JyKvgD8Zr/umri + nAEI5aYvkAAAUEziBFFEhAAccCEAhBgCyCEAAm75kHMgm4UAAAAQgEkcAwAAUTVFC+PI9X15okj9 + n0xCI1gmC12MsWmaURRlCducMXLOxSbYb+ttfPr5I6llKlubQrDR6fg0mkdvx3Zsx3b88BidLCP4 + CQIAQ4QhAghjAAF4HMksxZnkKCkMQ8/zQMazLDN1XSa7rU57ZWXF8zzNMBRFGQ4GEiMNIdy/f/+e + PXtqtZrczGWtIolAvV5vaWlpbW0tCAJKaRj6aRZnUaIItVDI7d81M7lrZqPb9rOQqEqcJLKeQQjx + NDuVXfCjEImlx5Gca41abCOYgzyGZH6CEFI141TNW7n/y3RIHkCyxpNtO3l8yIGAF4W2nT/nvPM+ + 97nPLi4upiy78cYbX/mqVxUKBQ7Z5278bJqmHME3v/UP5WeZtjU1NRXHseu6mqYhLiTmotfrSQav + 0x3s3bt3ZXUdQijVg+I49jwvyzJVpYVCrtFo9Ac9ShTTNKUzqeRB9LudqV0zCIFmc8MNXMkljrOM + UpwkURj6uUopSON2p9loNIqVUr/f9weeBEyWcnl5+FrYghhJ3Sld11UMkyQBIf/85z/f2GhmKTN0 + Uyp1McSyLKMUyztMKR0fH1cU5eTiUrfbr1QnRjhGeXyPsF4/8Kn9GDSVJxU/7d/vui7aciLhSfre + d773D9/25oWFhd1z87/zO79zyWWXOY7zf73g+QCATqdj2znTsmq1WuQHn/nMZ4r5QpZlEKMwTc58 + 5lmGYUjx3larZRpGr9f75Cc/uX/P/na7XavVwsgfuMNSpeS67uWXXPqil/y8xMJcccUVz3/+86+/ + 7pN/+7d/y4EwTRMgCUWVjXvZUvi+tsLjN4U/peZx/34Vu9Fe4XleEAQz0zvkSzs3Nwch7Pf7lFAC + sBACAej7vtT1j+O40+l02519u/c6/UG5XPaG7sbGxp7T98ltSGxx4mXbXu4IcRzvnJm54YYbrj7y + Dtu2N9bW/+Ka97/0F3/p+k/dsLS0dODAgYMHD1500UXvfe97fd+XDlayUCkWi0II3/ctM2cbpYJZ + ZQkcDETehlxk/X5bpTrCEGJvYibvDBu5vAE2QHMDlApVTdGLhp6mqesMMURAstkEkEP/mlXxw0Cl + ynit/ujRx+695/5SpVqrjtm2Laf2YkuyeURiOfXuPdFb+kQhAAjiyHEcqftnmuZYrY4Q0lWtGYRx + GBGE5XLkAAAuBAIYImnNhChBGPu+n7JM1/VyuSyFL+UdRggBIKR51KlP9oe/zxwColBCSBynI6k3 + uZkSQhgDgCOx6ViAhYCMA4iogFLeNoOAQ8DkB1GKIcBpmhFCIEeGoUKAAcL9voMxzjjnnBuGkSRJ + u90uFovy+fIskjdZVimyLASn+iNhLCmtTwt/JANoWo5IQN3WQwHbNdJ2bMd2/AzEvwbWoC23KIIQ + hZhDBCASgn+fhptMCWSRo2sGhDCNY8uy2t3uiRMn+v2+EEJOCaT7AiHEsqwzzzxT7rGu60qInTSd + I4S02+3l5WXX88bGxqTEjqIoBCABQaVSOfPMM/00jqIoTENLoRBCVVXlSchYKss3sKWfCuSx/gSg + IUmCkmOrOI5HAgbyE+URrCiKRIYDAKSlIPhejMkI1C0LvDRNpQuFruuCZ/LYWtvYOHLkyM233nJ8 + cUFRlHK+0h8MLrjgAqLQV7/61WMTE3EcD71hoVxACEGMZmdnIYT33Xcf4KJarfbbHcswJaIhV8hz + zgvF4m+88Y0IEUQRpdQL3K9942t79uyhFAdB4DhOt9stlkrnPPOZFz73woJVkKJkCU+6vd7J9dVM + 8HK1EkThI48+um9+9+t/+7/WSmVD0wdDJ1cq3nX/vXNzc4pKM5YQQljGq2P1Yr74f1911VR9cjgc + GoYWJfF9D96PMV5eXp7cueOii5+HVe3WW2+VzzqO43w+P2qJJkkCIOdpZtm6bdvr6+vfuf12EAPl + HCtnF3Rdd103SRKpZnHqCf4TXuL/0aGbhuu6xUo58APB+Xp3XWqgx3FcLpdvuOGGj370owAA27Z1 + 0zixdKJYLF5y+WUH9uxbPHFioj7W7XYBRh+49tpde+bbva5m6KZt6ZZZr9U/8tcfVQgFHO45bZ8f + uIZhvPFNb/zDt7xFQl7lHe73+9Vq9ZJLLonjOJfLOe6QUppkm0kmhJALBsSmdPD/+ZvzYxAVnrBA + krWj7FsgBqrV6trJZTufBxCGQTgxPt7r9UTGbNteObl84MCB5saGfJMxJVTThr6XZVmhUEAAeaFr + WPrQdTRDVTUFIOG4g2KxiAgUgJu2kWTxYNjPeMoEb7fbS0tLks4kW0QSaAcASNNUxeTo0aOlfOHo + 0aOGpne73Xa73Wq1VldXr7jiile/+tWvfOUrwzBcW1uTqDzLsmRKLb2fH3rokSgUjY1+EvMds/Cr + XwmOLtyj5/bG4RIHQADCeKQpWc9ZsXPk7rsbN9/y8f/2gT/KmLuwsHDmmWdkXAghHnrooQMH9vX7 + /UajEYa+F4eUYohRp9MJw3B1eXl8bFLOrEbknxEADHzv7OjHGDViRDiAum7s2bNXpYrTH+Tz+d1z + u/utbi6XNzU9TGIhhKprhqavbaxXSiVEcKvVqtSqfhxVKTm6cMw0zYHjKIrSardnZmbCIAAAKIqi + YOI7Q0XVXNfVDN00zWazKTfinjOwbVueKIPBQCqoEkqCJEhZAqHwfTdv5X3f7w+61VI5CnwhgOuG + +Vw5DIVtlbKIW5bdG/qapnhutzZWC7z+cNitlHJAMJ6xTa05FuzevXdp6QQHUAio63qaMAEgIUqS + ZAghjEkUJRkThUKJYq/X6xGCICRxHHMOVVV73B9pS7VGTnWfFv5IjaU25xxDOOIvPV2G0T/ZkI9M + KuHqNJf6iUkVxrKfoDPBf1RsZjxwk815agEstuI/nwX80yzk+pTfj4BPI90X8L1Cl/9hV/nUiyzL + hIAEaYIJydWEXBiqNtgYYot+51t3v+LXX3nixHEpTQZSIccmMpcdtUoZYwQhuRVLWo5t2xnnvu/b + pjkYDObn588++2xpH6QoSj6flyACCbKSz0XKrKVpLAu3IAgUjPbtO23f/tMghAIwxlNN09I0BQgI + ITjjnEOM8SjNOvXNhQByzrGiSCC3RMFlSTqMhzfffPOePXtkM65YLEqUHUKIECIZtpIgLQs8AVC5 + XH7Ri17kOM78/PzKysqxY8ceeeQRx3Gkh4/MJUawpTiKFEVZXFrOBC9Vii95yUvanc6OuV0brY3v + 3HnnvQ/cwyGv1uuDoWOaRn1ifKO5ni8W5ufnAQDLy8tCCF3TJLg9SZJyriRrj7Hx8UKueO6zz4+i + iKqKEIIi0u13e84gCIIR9P1Vr3pVt9M5fMGFrj/Mm8VMZBlLvvzVr2CKheBhFFOVFsrFscnx/Wce + nJ2cYWkCEESYZli02y0AgBz3dZqdCy644Lav3Hrx8y6mgGY8A5ATSBxvGKUJQHBhYWH/GftXV1dP + rq2blj0SRtJ1PYmjNE0h3DxVgyBYXl7O5+2znnU2guTc8w7f9d17JGUrDENJQJJrBvwk3k0MoJQQ + Q1sS7TJ54JzL/EECOOM4lp6ZDDy+1f87P/pfF3hCiCDwKMWMpZjALOEQimeec1axlK+WK83WRqO9 + 8cKff0G5XP7EJz4RxfHk1Hiz07JyVr6Y3zm7E0O0b6y2sLAQp7Gdtw1Db7QacRwJwR96+MGLDx/O + MpbGmW3bcRx++ctfppK3oqpDf/iGN7xeCGEX8opCfv/Nf2BZlmEY7/2zP+s5vTRNpG6kaZppygAU + YeSXSqUkSeIo5ZwTQkfiGQA/tXbLJ8w2kiQRQui6TilN/Kjf7+fz+Wq9vrS2UimXG42Grutpmum6 + Xq/X+/3+CEc7HA43Z8QY9fv9T3/2H11naObs6Z0zd955Z3dpcWpqCiu0O+j/wVv+sFqt5oqF8anJ + 6Z0zX7z5ply1oOvayMlLbmHypJHqnBpVyqXSGQcO3nTTTZPjE/V6/fjSYpZlb3nLW84///zf+73f + k80kuZVI7eYwDHO5nGzSZFmS8bgyVrzznm81+2d86zv3zsyTTmeFGPryyUUABE9SBFNLhdUJ3uwd + U02xvLpIVa7ruqKpBEFMCKU0E3wTZIU2XVmIqkxOThq6RRQVIyw9W099/UY9sH/nA+Oca4oSx/HV + 73in0x+4A0fTNErI5RdfIrN8AADbwlgbhqFqShzHhmUNPZdjSBXl7e98h+d5SZKYplmr1QghUrcA + Q9Rod0q5vMzXdVVbObls5eyDBw/2er1KvQYhXF5ZeeC++3bv3Ssdyg3b0jQtzlIVY1M3oUBpEtUr + 1auuuqqYLyiKUSrWoTCGQ57GOM0UhPVUCAAEhKGucdMUnLmcB4LHSRrFcVwpVqxcrtPp/PHb36YS + koSJ4EB8r8i1fJEEAP1+3zSMarXc6/XkREjWMPKfbUHZH0cpSHUj/tT2R9qO7diO7fhZDUyJYIIQ + koVZy2lhiHK5XOIkhw4d+uiHPhbzsJDLr66ulorFvGUHw2AEVB5xeADniqIgWYIyJuuNMAyZ9NrO + spmZmZmZGWXLC0jmxKOEWEIAJDhFFrSc8ySOC7ncnrnZyakpIcRIMY9ByIUQW8AKhBBgP7jzLbak + FCRyhDEmrTY0VZeSqqqq6rou/43MZ8IwlJg0CXIrFApRFDVbnR07dtTrdV3XJycnMcatVosQInOY + IAgYY5IZGwSBqqqSZ+v5fsaZYRj1er1YKu3evy+/ll/dWL/9O99Osmxqx3TKMsdxtCwdGxuzcnYY + hr1eTyJ6KCbyRCOEOo6jKapmGlRVamP117zutZZlRUnseUNF15aWFv/+WWdLrISUiu31el/7+tfv + /O5dGCJV1TnPFhcXz3rmWWYh1+33dsztGvre3O55wzL/7H3/X+KHGqF9x8mViw8+8vBffOCa+vh4 + q9scDJ0gipaWT5arlUsuu3T/vgOO49imjhW60dz4+Zf8F4wxB8ILAia4bdsAItmalE1PBIGiKIQg + jLE3HJTLlXK57LoORtS283fffXe73QEAyGuWVCXZfDx1RDnCND7Z9SyrdwnOhxDath2Gofxfg8HA + sqxCodBoNCiltVrNdd0w2pRxH/HSR+2VJ/W5o0zj1D8EQqgSVS5pDgBA8PjS4u49e+bm5gLPT5Lk + 2c9+tmmalNJHHn30rrvuOrawMLd7/pprrx10upALgvHY2Jht2xyCY8ePR0mcLxY4EOOTE3fddddr + f/31vu/LBLbZbO7ePT+7e74/7Cua9ta3vnXn7Mz09LRA8NoPfXD//oPLy8vr6+uu53ieZ9qWXOFB + EBBCCMGmaW5sbGiapmsmhDDLmLyBhJBM/BS51lA86SHSExZIiqJIFzMIoanohmGce/YzddNc21h3 + ev1KsZQkiRdGg97mdJtiHIZhyjIrZ1NKVV0L42h5fe0f/unTgPFGs+HHvsBCt3U/9hFCAIGu09Vt + PUiCt779rZKXP7FjOrr9G3jTU3czxJZRWrfbzVv21NTU7/w/v/unb3t3CuKHHnno+uuv13X9Fa94 + hYa0j3/44zGIoyQ699xz5TRgczgOoeu6uq4Xija1WLN/7G//7t6Zub0PPbReLM8utxqFYi0ES/m8 + rSkKixIAeILBl/55NfDb73jXg0kaP3j/A+f93LkCiCiNMpHFcUwUCjCSO3LKMmfgyiLKNO1moy01 + PTc3SiHky/MDHtiTfyGFEAiSUr68a2b28MsuqFWqr3zFK/r9/k1f/JLM7ymlURKrqur6/q+/4XUY + gM994fMHTj947Phxu5h/yS/9IudcN404SYbDIYIwTRIEIIaIIgwBOP/c8675i/cfPnx4eXn5wIED + jLE3vvGNtm2PTU54nnf8xIn3vOc9vu+naUpUBWI09D2EUJqlLMsEE2kax0lQq5ZXTq6unGwNBok3 + RPOz53SaSRSrueJExkAQuKbFPG/d1KN8AZxcfnhiPB+GHsLgd3/nv87tnm+0OgiRQqH0uP4PAAAA + BDEEUJ5tgLEoihSKJfnN84YAiCxjnJ/ij/S9ewd8Ovgjbcd2bMd2/KyGEEJRtThMECP5fL5WqwWe + ryXq2976R3fd+d0DZ5xJKZ2amkqTpNVq7p3bu7y8zBgzTVNONiCECGPGGNxCB1BKNU2DGGEIVVXN + 2/bc3Nz4+PgI0y67UaNUeMTn2TqXBcawVC7M7dy1b89eTdf90Et4iqCydb0c/GjH9AiwQAjhGMdp + SiBKkqRWq2mapiiKVOiWsHaZn0iCjVRbbrfbjuNEcSqEkPQPCb2WBYmUGpeCBBKqVygUsixL4sx1 + vVanKRCcntkh8Uub+n6KgjHmSZJlKQMcUWIYxtjYGOe80WgMen1VVTVFlddDAGQCaIYuIMgYq47V + 3/8X197z4D179uwJwzBfsFfX1t559Tt001B1jbEUYhDGwfk/d/6vvvLXFheXyoUiYwJC0W63r7vh + +rmJiWlpMApheaz22te8TkMki+K9M/P9Yd8JvJe+7FcwJd1+j1CKCZnbPX/FC15YLZZZkva7g327 + 951YOtZqtz/9mU9bOTuII8a5YZkn19ZTtoXkJ4RxJoQgmBJC0jTmnFuWValUAAC9Xk8wnqas0x2m + CZPwDandJf/e7xu/jDKxJ2UCCQAQAMjTXAgRBMGmbaOimKZZLpfb7fbq6qqmabqu93q9IAhqtZos + 6RljXJKZEZJL+sl97qaXtkAIQSDBCJCBTXHFOIlrtZptmtdcc01jbT0MQ8F5lmWSLYYwzrJs586d + URT92qteOTE23mk0Td0AjEMIdcv0Aj9fLvW94dB1jy2eOPy8i17/xjcYigY4FxANBoNdO2Y2Guum + aS4vL0VJ/O07vvXgww+Mj4/3hw4T/Nprry2UiuVyWTfNME0qlVK5XJRgkI2NDUK0LMvq9XqapmmS + cc4RwpRSABDn7Kcqvv6T9EGS+LqRHqXjODMzM9PT03fccYfneW9642/+9V//tTqmJEkSBMHExMTG + 2prEZIHnuQAAIABJREFUCyGEXNcdDJ29p+37k3e+Y352zjasdrvVc/oT9XHTtjqtNgNcwdTK2/7Q + W2usH9i3v9PvUkT6nnNydSXLMkw3tQ1GEGRFUer1eqVYuvfee1/2spdxzp1eH0LY7nUPHTr0spe9 + TBrRzMzMrK+vj+xZR8qYmxMGDJbWTvzlx65FFGZcKLToh5xSi0NAUEYVEgUR4kilGhApEwFPkyRi + pVLFcZzZ6Z1B5q9trBqGwYHACgYIZpwBBBnnfWfQd4Zpmhq657qu5LecKgwNfwQ2578ZEACeiSxJ + fc9LwmisVn/z7//+iy6/4tjxozd/7gs6URhjmNA4jFSqQAO8+ld/TaXqA/ff/6qXv6I7HHzmf342 + 8oPID1RVrZTLQ9eVEtKmaWKw+c1ll132zW9+MwiC3XPzayurYRxhiG666aZ/+Id/yAQ3LavZbEr4 + ItVURVF0CBRNjYIQZEzTaMGqAJ5xkd566y2aUr7mv/3tZ/7xtus/eWupuMcfpr3BI4ViLQw920pS + 1hG8+SsvvxTy5Jd/+YXFgnbllVdc/rxL9u/eX8zbcRjxTIzUreVhJnFHm7MaACCEw+FQCGHbpqKQ + fr+fpjGlqix1+PfKcMvi5Knvj7Qd27Ed2/EzHGma6poNM+w4zsTERKVSOfadxz76kY989ctfe/iR + I+1m0/c8hJBpmjK1oJQGgStbUZxznnGp8wkAkOZ+nHMBAcSYUpqzrEqlghCSSfAIUsW3Qop927Y9 + NTUVxrFmqGmajtXrOyanJNeUUsoACtOUMSZkZ1Nu7IJzzp/IJRAhRCACSSavHDGRJgmCqFquHT58 + eAQRlPnAqOCRDAIhxPHjx9vtthBibGwMQtjpdGQXEiE0NjZ23nnnyWNI4g6kTLkQYm1tbfH4kvzN + iq7l83mEUJryfr/f7Xb7/b5p25CS7qAvhJiYmDBNM42Tbr8nBbTkaSib95phBJ5nmlRA4EfhwtLi + F7/6Jdd1b/9fdwAMSqVSq9U8cWKh0+/Nzu7s9/tSZva6v7/+xS9+8aDnfPnosVKhPL1j8vOfv9G0 + Ldf3Bu4wiCPV0L99++3jtfqh/QfXT67c/d27giAoVSuYEi8IoiQmKuk5Awjx1X/6rrf94R/dd++9 + 5UL5y7d85bJLLv7Yf/8bxxsqmsYhsPK5hGUpSxRFkxYdMjtFCPGMhWHIWKrr+vj4RByn7XYzy3gc + RsPhWqFYBSKRi0f+YBiGm3Ym31v3/ngZmnyastCVRa9cpa7rNpvNSqUiVWolLtGyrF6vN1LmGKUl + UuPqSX3uqQXeCLoiEGQZQwTrum6aZqlUuvPOO2Mv0HW9VCxmWSY50lwIznl30P/N3/4tjCnkorG6 + vmtmhiDc7/epquycn1tcXR44Tqla+V/fvRNC2Gm3Ty6cKBQKjjM0bSuNY9u219dWbNvWNEVVzbH6 + WBBHTHBV15513rnNdrvb71NV0TRFUZTnPve5R44cWVtbcxzHNO21tbWJialRbr/1t4xmYk8hYtgT + Fkiyzy1ZQLqm2rYtJ7ytRmN6evqiw4f/9OqrdV2XRTNBiCgKQCiMYw4AB+Cb3/pWq9Pp9XqKSovF + PBJoYXGBIgoJTMKEqARyCDAQmSAqoYg2O01d0cenJjvdrhCCQJRyxqEYTQOCIAjDMIsTjPHi0tKu + XbuiNEnTtFAoPPzww4VCYTAY2LYt59GyUpfqzPLdKJfLhJBGs/nn77+mP+jqutpqtUqVsShKFKoO + vaFlqgDyfs/J5QqGaoZhoOmEZ4KnIAmTJEl0Xc2yrFyrrKytILrlhwOxqpsqAADCNGFJxnEcCyHk + Czx6J5/s6v8hYRiGVB3wPI8gNF4fi6PA1PQkjjVNA0LoVGGqhiEa9PoG1RlP4zDaOTOzk8x+6tOf + xhDlbHttba1crSCECMKuM1QJzYTI0rRSLB0648x3vfOdz7/88n3791977bW6aczOzt7/4AOvec1r + 2r3uF774RQjh2NiYqqpLK8uDwQCqCo9AHMcKgoyLOIkhywyd8Cz9xN9/AnGjVtn1vMNXfueO5aI1 + a+l2rxeZKoJZJ69bjx5Z3LPrGbHXLhcqtZp1xumnl4p5BuLQDzSFdLttgkZb2KbiHYRQMCCA4IBL + vtBwOMQYappm50zO+aim+r7tDz1N/JG2Yzu2Yzt+VgNCGIURUTWTahCh6cnJZ593HhmiB+67/7Q9 + e6MgHB8fX2usCyEsvd5ca46QcqMfl5kU3HJWqNfrhUJBytoQQsjWnjzCIEmwtOzQywm//KlSqZQy + pupKGIamYaiK6g8dJriBTUwx5OmpiZoQ4gdpTPyAwBirqsrV1EcIAhiGoWEYEtwBAJCpsGzgymqn + 0WgsLS2tr69LrYVOp1OtVkfEFZk9S/dwAIDruhhjwzA8zzt+/PjJkydDP0rTFABEqSrRDRwL5iDT + sqIokk5QCGCqKnbeypK01WpJYoxuaizNeLZ5GZRSSmmv3zcmJxkUzzrv3Lvvu9e0rHanaVlWq9PW + NPWFP/+ivjOABEOCy7Xq9M6ZBx98+As3fQkwICCM4zhOo2q99qzzznvoyCOqps2UiwyIK/7Lizv9 + /t3330c4ABnrdDr8GLzo4our9dqOXTtPLB0vlksvetGL77v7nr/664/ahkmxwjm/4R8+tXNu9uCh + M3KFfJQm/iCqZWOVSmUwGGBMk4RxziiVKPcYQlgqlfL5PMZ0dXV1OBwWCjmkoyiKRmQtmYNJAKSU + bv++B/dj4NwAAJQQOc1J4phlmRMEhUKBEOJ5nqHrqqKEYVitVDzPG/T7iqLUajXZNpXkOrlg/jWb + 6N+M0aWKLTsQCCFEGCFkWcaOqelup3PixAKEYmbndBAESRK5rjsY9iXIME3TfLHo+26hVHac4a7d + u5I4Xl1bLRaLfuQjAjFFggCAxPTMlB/56401JrIoiVXT0AwjisKh5+aLBU3TIBRWztZM7cu33AQg + /NwXv/CeP3tfxplpW5zz2dnZmZ073vsn77RqpY997GN/93d/t3xypV6vAsCfFjzbHwaxk3PAJEmI + QIVCQSZ5l158SbVaVanyvOc9jxDi+34URXfccYe6ha9lghfLJep5nV43CPySWYKUAAiRQuMsYzGj + Kk0F1w09jmPVVAEAPdfJl0uU0t7wcfDSJtiJ4BEHqVKprJ5cLpVKQohWq9Vutw8ePCgVyVqt1vT0 + NGNsZWXFNM2JiYnRDP1xfRgABACrjSYkOAsT3S5IBJQQKaU8iXxECVWQaalJHLmBI4iZJUxFGjWU + UrXkuA7LOIesNl7TTSNOE8Y55wwhLM3UgBRAE0Be/yakMsvkIOsnQkACAIRh6A6HKqE7d8y4ztB1 + hhghtz+AXGAAWcaRAARjJABPM6maSARcX11zPLfbbufz+bm5uTTLcrl8yjIEoBCilC9kacrT7Nnn + nT8xNnbfffe99rWvPfP006XjrWVZl158yer62mmnnXbRRRe9/5prjhw5Ikl49bHxtXabEEIQVjEE + IkU8UzSk6aRYtO/6zp37T7vw5684vb2y5g83IofVqxM64gqCnpcSwAMHnnXmjG1cuHN6vN3ZOG3v + nij0oeD9bjtn2QJuCsqdGo9jhQVgjGkq5Zy32+1yuZzL5RAkjuNyPpo4gdHRKDHlT31/pO3Yju3Y + jp/VwBgrihJFERHKeHEib+QPHz688eiGKcxBx5XKRpqmlctld9DfvXt3t9uVGa2sFjDGQEC2VQAB + ISRNnAnOpddqlo3Q1CPsdJIkcMtWSDawJDQg45woWNO0KAx939c0jQMRRVGWQaorhJAMAiG44JsA + gR/CQWKMIQTglmjtprYEgDIZiKJI6qdJMpWu6xIk1mw2H3vssV6vJ+krlFIu4MLCwvvf/36JWThw + 4MDu3bu3fj/K5XIQwl6vt7i4KCsBXTUURREQOI7zrW9964FHHvaTIAMiTiM9Z1FKwyzWNK1erysK + XV5e5kmqKYqiKHGayquS5JB+v28YBmWAKsr1N9xg6nq7111ZX5uYHIvTxPe9LEsZT9/73vfef/+9 + 5XL52LFjs7OzaZpmnOWtwtBzW91Oq9spFgsnlhYXFk84nqsD/qfvefdZZx7iGQMAOq7rdfuVSsWL + wyRJPvThvzy5vJwrFJrt9k033xwGQalShgIM+8OcZeuGsdFoHD169Mu33sKBOPuccxKeDIZOHMcI + pZwzQijfcubI5XLj4+Oc86WlpTTNisWi67oIwGKh7LgewcqoSJbtcnlen1pjjBDyPwYXSJZbmqaN + j48/9NBDsj8uZ5iSHc05l1mEZJRJrREJzgJbKcoohfgR4/sufhMtRbFm6LqhxXG80WiINNu1a5ep + amEY5ix7fX19MHRkhz2O4yCKMCV+GMZp6oWByFixXCoUCt1uNxNcN80cz7r9HvUoQkg3Tdu0XNfF + CK+ur+3ePf/Iww/v2TMfuN5w0J+YmBh6LsgAUMCVV774gosuTjlDCKUsGQwGeBUBDLxu74Mf/GCn + 0wmDyDRNCHGappxJTxq4hQ/CP2Ib4v9YPGGBFMexnERDCNvrTc/z7rnnnr179/7Gb/yG6wx91/uN + X3+DzCnve+D+1dXVvuMQQjAlURQRQiDBcbZJU8EUNRoNP/QKhYIUHnEcB2KdKNj1h3Lak2VZnLJe + b1CyiwRj2exHCEEuMr6p2eL7fpjEAkEMUJZlE5OTvX6/025PTk7mcrler+e67uTkpOu6g8FAKsiN + SJmu68pxgWJYzXYLYUVXtUGvMzE2kSUxAABwEUQhZNxzkySJrFw+YQkAkKoy52YcMDNnrDfW0jiJ + 00gAIBBkGYccCAHiLMUYQ4iyNJXDBwmxk2hgcMo6PvUN/DFeSJUqhmH4vu/0+xQTlVCVUN/1DE1X + CE1ElCUpS9I0TSkhCECKKUHI1HSqKrvn5lmahZ4/MTFRGx9zHAcJwBizLSuOYyTAs571LMbYn179 + Lqoq9Xr9l37pl2655ZYPf/jDDz300NrG+hlnnPGud/+/+087bXOmF0cY4+npGUVReJYimCIWE5Cq + CjYMtVwp1uqVtbXGafNjY/XJcnGH17f7HcZYnqUcgzLIEgQsBEC9NjnoxRjAQ4cOqaqCISqXi4VC + LkpShW5O3kbj79FBNbp1Eqjgui4mkFJqmmYUJXL9yBsuu0fsaeKPtB3bsR3b8bMaAkJEiU505rB2 + u51lyXmnn/Ouo+/ym76l5QEAGxsb7XZ7cXERA6ERbWJiAgAg3YqkTKjImKqqWZLI9IMISillnDHp + U5RlI89Q+c2I3SFFd9CWLyJCKOM8SpiEyRAoZ/5STun7sjT+vTpBTxgyzZX+hIwxQugITjKSNpbH + gYQbHT16dGNjwzAMydDgnFdrY3Ect9tty7Lq9frU1FShUJCgbnn9/X7/0UcfbTQaAAAJ6kEIm7ap + Az1KQs/zUpB5UWjaEtnlVSfqtVotjIJOz9+UI4JICAG2IEIszaAAm9cJRK/Xu/HGG8fHxzXNGAwG + hKAwDBGGImOmqTuOUx8fQwjdcutXLcuiVF1cWnL6wz379sZh3Gq1piYmDFvvDZ3xyTHNNm/+yk2t + dmNjebWz3pisj8VDHwCACEYqdXxP0dRdc7Mnlhbvu/u+wPdtzRwOHI1qiqI4Tl/RtSAKiK5OTE15 + gd/ptfv9frVSStNU1SgEWKrGFYpF6f/R6/WGw2Eul6NUzTIHAZgkmeAQku/RypIPYtRp/b629ZMd + ashMA0K4b9++X/iFX/jQhz60sbGRZZlt21dfffVznvOcnJH76te++r73vW99fX1sbAxszXzkVwgh + ghBL9ZEnFUJAuZYgFJxDhFRF0Q1NNY2MpysrK0mSUIg45/fcc08+n9/1nOfopvHAAw90u10OQD6f + lzz5JOOTk5OxHwRxQDBeWVlhnA89lwMBAFANXdO0fLHQ7XYjzyeEaJpqF3InTi5NTk0tLy87jnPm + 6QfWNtZnZqYPnf/MYrF4xjMOnVhcDI/6hCicZ1JAZc/pB3fs2LFz585ms/nwww8PBoMkyTRN0zVb + ijSkKUMQEooE4z+9wRISgP2kRBrkAEQ2P1RVrdVqd95558LCwnDgFAqFfr9v2/Zg6FQqlfX1dTuf + l3mhLAwywQEASZJQSqQCiYCgUCoCABx3aFgmxCiIwjAMc7lCbWx8eXnZNE2EUD6fZykThAghqOz9 + pCkQgmqqrLsmJiaEEGEUhWE4OTm5srIyNTXluq4soKenp+VmZNt2xhjFOAgCLkXtFEUIwQDoD4bl + 6vig26MYFPIVp+ewbFNkGQpYKBTjLAmiMF/MeX0vZxf67tC0DEVROp1OPVe1QT6OYwEhURVCSJIy + jDEkBGYYAowwAIzJ9HpUHcmB+JPtEDxRJGlEIDANLUkiO29BJCCCiEBEIAcsExmmSKd6yjKD6Qzw + TPAoSwFG3WZLAnCHwyGi5KH7H8AY5/N53/ebjQZCyFC14XB46223DT33mfueWapUhBCDoXP99ddD + CKv12u23364B5Y477kiSpFAsxkHY7nSxqgkBuUhUDEEWc5YUcsbK6sbQi+KUzc6Odbrgxs9/NolJ + bWxsabFRLptR4Fg5xFhGKOi0gGrQIAhLxVwWZ6qqIYAgBIyn+bwdb0nSjWJUIxFMCCFhsFmuJEnS + anZKpZJt20IMJY9IPA39kbbjP0+cKub9UyWnbsd2PEUiyzLOgUijolnWofGtL91+1sxZpWrllhtv + LVoVDJSP/dXHGODnnH/OWLWydOJko9EYKR7JphVESFJ3OOfZKWmlzH0B50IIWf/oui6H/JqmnWre + IPtrGGNESJTEaZqYhoEBHA4cRKBl5wnmfhozxgSUHCQMABcc/BAOEsYYQUQgBAAkSSJFgCV6BSEk + rZlkkSNRc67rHjlyJAzDer0uxSfkWTzodxVNLVmFQ4cOTU9PcwaGw6F0lRFCLCwsHDlyJIoiDoHI + GMaUqsoWXitjQFAMdEWN0yhJI9vUS+V8sZhPksjp9qIk8X1fLxQlLMIwjFzO8MMw9H1F03KWlWRZ + 6IXD4XBycrLb7SZJs1qtur4HADAN0/M8gFGcpa4fJklUyOU0Qx86XnWsbti57qDvOM7s7OzK0uKh + ybPcNF5ZWeEY7t2713VdAUG5WnF8j2BIKVU1rdntVGrV+T17VtZWl5aWapUqgHBtY61QKBCVRkms + mDrGyCDm1M4ZRVeOHV+I0yifz6cJC8PY0HXGk8D3q5V6vV7PsmxjY8NxnHK5nCRJq9Uql8sIwG63 + a1q5EclnRD0andQj5vDo+80idmtz/uFfAQCEECm2XKvV5ubmOs1Wt9XevXv3L/7iL1584UXvfve7 + //nrXysUCq7rSkxWGEUjaMkmJl8I8cR2L3AkuyYl2LZ8VDnnAELpxSWvnlCqaJphaEtLjXa7PT01 + hQTI5XL9ZrO/3vR9f9/+/ZzzXKEg511JknS73XJ9rNFqqkgRCCqqbphmwjillAMUBIFmWIyxLOUA + AErUXC7XaLdM05SlQbFUKZfLzXaXUvrggw/vP3gwiqLv3nXP+vq6ELBcqvb6nUajxbJscnySZ/zE + 8cWjR49KLne5bCRJFscxZ4AQqihECJhlCUZPLdzdExZIchnJDI+qquM4chSLVSXKUj+OitUKjdWl + 5eW5ubml5ZOWZRmW2W63NU3TVM1xHAJRLpdzXadUzhm6GkcBZ4AgDDiMwySXy2kKSOPMyYbTkzta + rZYQma5qAmRIACxdBTCW+aUq1C3Xgs16fURwlEz6yA8EEiJjAIAkSRjnmeAI41gwRdE5hlmSBUFA + qJIymsRM16wkTrCCNF1hKUyTyPMdRVUzgTAVxZLV67cLdr4/7GuqAQjt9ntEoYjQjAdhnFBVY4JD + jChAnHPEOSGYc8G5gBCOintD17Ms81xXtr5+4E1+Uk8LCUApjcIAIZjyDFNcqlc++Y/XLxw99pyL + D992223DJGAhIoR4vg8hfOkrX37WWWed89znpFC0nT4HAkI46PVVXVMxSTnrdjpCCPmCRXF87Uc+ + LGUbLrnkkl956VWf++LnG43Gpz71qeuuu+6xxx47/fTTBRCtVqtUKh07dsw0TQFB6DmapqVxjKgC + IQmDECNAtXJ7ENQnpokGPvDBj59cO67SyYWlk/N7nrG+8bWYBaZqCtYV1Dm2uPKJj//5J/7/DyAo + bvzcTb/1u2/ouT0OweraGlG1JEmKxWKxWOoPHSGEfKszliII5YRdvqhhGEvkhu/7jIlCISe90gkh + 3++PlMmeDWYZZ4BDiLjInjr+SHxrozx1434qURa3498bAgABBBQCCAABBAAgsPmskThFmx4AAYF4 + ahFWt+Pfjs0ciwsoAEJIjJjfI/McCIQAHAJJavmJkVOfJgExohjDDLEsS9LYzltXvORKk1kXPv8y + IhTAIYKY8YwQstJYzxVsRAilNPYCuU/2+32dqowxXVVlipKyjHOecZZk0mQcYoyzjGka7Xb68thF + kMgzIktjCBMJf2KMQYIxJWnKPDegGBu6NfScVthRbN2NQ8MwG71OvlSMk4QLgQCS7S+4acUuIX6P + DyIylmG4qdkj+8sSRydF5zZLMoQAACdPnrzvvvtkT1liWyilEgcIoZjdObNz585yuQw4y9JM1SiA + PBMZQuju++7FGGNFDX2/kM/LyqqYK6+snCyWC2efeabrD7vDfqE83Wg3OEsm61NhGDZX1i677LJ/ + +ea3p3aOd7tdCLFl5cIwhDAmiAiiQg5DPxIAKJQCAPzA03RV09UoiZBKkjT14hCptO96hUo58P2x + sbFuu9N33Pn5eQ6E63thHJSrpSAJka4yLHRTgxjkLbPTbs7O7PSHzjDydUMHQgRpEicMaWTX7tnH + jh0RnGsqhYIdPH1/lmW+77NMFAoFhFCaxQAh3/fXlzc45wRRlnKKCQIYCaRquqka+VyRp3zQGwy6 + A1VVkzgTAhq6FYWJEEJRdc4YlEwhsCn9xhkjP5ATLgQXAkDOpeXt937FEMqee38wKBeLVFGiINBU + AwIgOBgOHEPVkzAWTIzXx6MgetNr3/SG337DvffeG8dRM2oxxkzLDsIISjwRhKN0cHMBPUEqiIBE + bgoguIDyWuSqg0mWaZrKhcgEL5fLxXIhDMNjR48yxmZ27Oh3urJT/8znXtDr9XbN7PTDgChKEIWq + qsZpwoFQNIMLqOlm6IcIgjjNUg7DINJVI/D7FFKRMDtnUUT8gWebtmBCo6rIOGCCUmUwGACw2Z5G + RDu5vD4xMXHi2DGEUN4s+kM/i7ihqInHlgfre/bsuef++yrVqucPO71BpaIwxgSEkAAO5Y7IIBJP + tR3xScsNM8YEBJPTUx/80Ife9KY3ZZzFaWIYRrVa7Xa7Z599dhiGzWZzYmIiCILA9XRbTeNEMBCH + CSHE0EyCsHTk1VUDQtjv9+Mw1lRNURQCkWYSSWqXgF1KqeQ4ArwJIFYUpVQqNxoN13Vt2/Y8r1gs + Bq5nWZaca1cqFQYBTzLBOSGECyGZJ6ZpAkgKRmF1Za2Qz6kUu+5AIUghpFAqRlEEIPSCoDfo5/O2 + ZeYJUjWaCgE559NTM+12e21tzTTNqampVqs1M70jCAKM6BY0WXDBAQDiFPE9sFUCPVkNxx8SaRyq + KtU0RQjW6Xde87pXG4ZRq9UeeOABxpidszPBhkM3ZVmWZQ8+/ECj047j+C8/+pHhcHjWWWc993kX + FQqFdrcDIUSUUEohQpv6PhBACJMsjaKo0+36cXT/Qw8eOv2Mr33ta29/+9srdmWltfLaN7yWQOQ4 + jqoopVJpZWUlVyxACAEHcZxQSomiQ0Q9PyKU/vbvvuk9V19z2hljnj/8ufMv/uz/uOUbt314en6O + 5uB61wmDXn0SDtzFCy48+8FH7iIkfeCR+1fWVs8799y221UUBWKsaCqEUFXVXC7n+77U0ZcIWvlM + CYYSPscYk6dRmsYSTl2tlnu9wff7Iwn4eA4qoBAcPsX8kX5S62Q7nkYBt6ug/wTxY/i4/+wF5xwA + iMQm/jnlLBM8FRwggNip4BoOAOAQhGEot+5er3f33Xd3u91yvpimKZSAOgAgRhDCjLOUMYQQSza9 + GQ4cOJAkiSSL9vv9lZUVOUAYqTUIITgEKcsURUFACMYQBxyIXXOzme9ine7aOVefnlxZX0MIYYgx + QmmaMC6A2Lw2OffdbGY9wSsssdkAgDiOkyQZGeDI6k7iBqWTBKU0n7d3TE9PTIyZls54msQZY0yn + lqZpjuvKX6JpGlUwQiiK4yAIEISO05/bPT+3Z1ehULj8hZftP/0gIrDn9P74j/84TdMTC8du++pt + SZK844/+xAuCL9z8pWv/8kMSSS67z5xzjVLGngB8BKFAEGGMMSYKz7jIOG+0WmkcI4Q6va5pmtPT + 051e7+Tiop3PWzkzyVIpda1SxQ+DNE6kr1TGWegHEKPpel3VNdd1gyBIgnD//v2dTmdx8Xgul3M8 + V1ONk+vLEnSj67oEMck7iSGKg9gyLc/z1EzMz89DCE+cONHv98vl8kioUGzJoxFCxJOErvEn+MqE + wBjnCgWqqtLtk3OOqRoOfcuyZH8WCpBlGUH4RS++crWxctahZ1x66aWVWvWT111399139/v9+sT4 + YDAAW74jj9/hJ2CnQ+n6CAQCUGyOizbJBSlnmqaFccgYq9frxXLJD71+t4cxxgCKjMlLkhQp27YF + BIZhRLYVJbGs2CmlmCJnMCCY1mu1yA8Klr28vFwulw1Vb0YNmIleu1WwbArQzqkdJxeXgMXypgUx + juO42+5Uq1Xf97Mki4Iol8vVKjXAQc7KSdleDHEpV8ACNhvNgwcPxmFs6War0dJNfXx80nH6iGCC + NgUqOGcYCozJT9MG6ceJJ10gSSgRhmh25661ldVarSYYhwI01jcGvb5gnCBcLpYIwoALgrGpGCpS + qKX0ml0WMwTx/2bvzaMsK8t74Xfa8z5TnXPq1FzV1XPTTdMICsgoDgiiwQmDKN4kJvFKEjE3mi+N + zp5EAAAgAElEQVTmS5z1M94lDiHm6o0aiWM0JCqgQRkcmBrs0NDd0GPNVWfc5+x5v9P3x1t1aBG4 + F0MiK6ueP3r1ql5dZ9pnv8/z/CYaZ45hAwnSMNKInjMdCKGhGX7Pl1K2s1QZJkZpotBD1Zuqe0pC + s3a3F/tBuVxW+njARRYnGiaCcckFpVQThpSSIKyEYuo/6kTTEO4GQZaKarmoIej32pWBAkKo1Wrz + rjQNO8myXK6GcU4nBuAijhMsNYBR6PmQySzJRofHkiSZPTFz9gvOqlWqA8VSlmVpmmY0Uf0vQgQj + JBVsKiUUQkn1xa9kkPLLJSBgTJi2s7RSb3vd791yq9/tAQCGhoYUPUyZZGCMsaYppzv1JSGEDFWH + Gl7znnvuUcaDAwMDcZamaSqkVJoxIATn3HJsLsW+/Q9dd911w8PD9Vbz5ltv+dY/fdvzvLGxsUOH + Du3evXu5Ue/5frfbzecLWSoBgLrmYgwpTZMksQ3DtPDLX37RkSPHvvaPNxi6O7XZ+LdD/7Rtd/7i + y964sLzg5E2v0yRoXEOgE+23SuCW27/u2uTVV152xgtOC3hvYWnRMIylegMBGEURY9RxbIRgnMZc + MAPp4HFNFwIAIqS+Y4BzzrlQc7Xruq7LgiAAa7wO9MR8JKBmFvjcyUcy1vOR1mu91uu/bK1aK6yp + NNXtlHOOAQYAQAAQAP0eCUlQKBRs27aIDgAIgqDT6bimzTnXMJZSYkIkBJRSCYHyu8P6aqTMhRde + aBjGyMiIIrOtrKwAABRwpIYWCCEH0kAmAIBlqeu6ImOGZb7iFa8AhsaQSASbbyx+/gt/t2pMqmlp + muZtRwAupRQnMWSllPAplBMKOFLePwpZUlxB9WSUYQCE0HXd0dHRiYmxaqVi26aQUJ3mq+8DQo5l + KW4CAABAISRjDGmaVsjnp6enBodqxXK+UqlkWfae97yn0aq/8opXvu9973vta19/6qmn3fnjn37p + S1+an5191RVXvOGaqz91w187tp0kSZwkCCHdMJI0fdJoPgGBFAIDiCAUnAvGoQQDhYEoigxbhxDG + YZREsTs5OTUx0Vqp64QkcQyElEKkcYIBtCzLse00TgjGnHJD1wvFYj6Xi+L40SNHc7lctVThVGBI + Gq2mYDKMo4QkUZK4riuEiIJQSXwRQohAsLZhrFQquVwuTdNWqxVFkW3bYC2BV/WK6ggGvwoAj550 + kUHTDGNcrzezLMvlcrbtQgh1XadRGsex6ziUUt/3HcdhGd24cePk0OQLX/jCB37+oN4zbvjMDb/3 + tt975OCBer2u69rqlXLSoyiY+ckuHihVJqgEAKG1uCO12AVqvauMpoQQ3U4vCAJdwxAA1Q1KKZeW + ltS6Vj1twzCUdrpv1Zsz7aGh4Te8/kpOqUn0z3zyUxedc96ZZ72AMfbzf9t3zz33/ObrrhysVCGE + f/e5z09NTe3cfappmktLSzfddNOVV7ymUCikaXrfffcRQs4//3wV9/S5z33u4hddvH37dkLIg/fv + /ek9d1999dUZo0TX/uZv/3ZxedHJ54QASEAJJQBA7aolUL3ZfyDZ/NnMQXrKx2CMC1EqFLrdbqlQ + YIylcWw5ThrHU1NTzXodAGCbpu/755x1FgAAAVAqlXRdL+dXcz+FkJZlwlU/mdXcGMMwsiwrFAp3 + /vjOOEup4BIATdfVp6u28oQQZVZ24vhxxbZKwkjdAR3HabVa1WoVxjDo9orlgZhmCEI1l+hEQxII + IaCQu3dthVBWy0UpZdeL0kSedeamMM68Ti+I4g1Tm6SUWRpnia/rgrPYtA0AQBzHrmt73bbndYiE + 48MjCwsLrXoDaUQ53wMAKOdCMI0YCijoG/EpJP0ZfzJPUW4+l1JKKb3uuuuEEH1//SgI/TCI4xgr + kxYE1WiURLGKUEAER1GkGbqU0nKducUFwzRN00QYcc658vkhuNvtKrFNN/CrA+VGvb6cLkspq9Xq + wYMHd+/e/cihgwPlcrlcrtfruWKRcw6hMAwNAAGggBBkNJmZOV4q5jduGtex3utGmsOnN00jaCzX + FwfHqKbxfEEbHKx0Wm0hU1PXp7aM5GxrYWHhlttu1oixb99DXrdTLOYxgR2v3e12c7Bg27bi8ipe + gW3bq05BCsEDQAhBqYBQQijX8pFyuq53Oh1K6Wo+klx1MeqTgCUQ/LmUj/RsXSfrtV7rtV7PtVID + EjjJd6e/RXrSUkORSCljLGfZ6rADAGRJgjGGGGeMCiF00zAsi3Pue76CJlzXVXg+59yyLMXGV6NR + H8aRnDHBFQchiqJ2vakZumVZQsObNk5247Cb+K1Wy7SsPv6vLBz6zLr+S3gqeb9qAxTdum9kpyZD + sOZ3ZxjG0NDQxo0bK5UKkJxzzrhU/AUll1LDksJh1E5WCKFbej6fn5yYmJ6eIjr2/F4URbuft9sw + DM/z7rzzx6/7jddhjPfu3fvIwwdV7NL8/PzE2IQ6uVR/DE7idT/V54UgFEIIxiGEGECMcZam+Xxe + UEYgiqKosbwyOjpaLpYyztIwRUJaulFw3CRJDDcHuNAg0onGOS8UCrlcjmfUa7Z6HW/ThmlDM71W + W3IOuGBphiHilBmaBoSAUiqcytR1JoSaMC3TUi7tmqYdOnSo3W6Xy2XXdT3PO/m0XcMlniF8BJ8S + 5nUcxzAMxdt3XTdN0yAI+pBgsVicn593HEfTNATg4ODggaMHfud339put7ft2D63sHD55Zfvf+Th + 6kC5G/Se8Jv7V9GTPCqUCkQSEKJVSqfoX4oqdERNxfV6PY5jnRiWrkEoKaXKKVGtX6WU9Xo9iqJS + qVQoFEzTVFICBLhJjMnh0d+49BWCMsD4Zz/56eftPu2855+Vy+Xa9cbDunnZSy/RCJFSfuGz/2vj + 5NSrL7scEvzII49896Z/vvDc87Zt2xZF0fL8Auf8pS+6WNf1+fn5L3zu8y86/4IXvOAFEMLm8srD + Dz/8ogsuBBghgr/61a8uLS/2Op7ruuqbIaXEJ1lbPddQ9mc8IEEITV2XXCRRZBlmlMQEYSCEqRuG + pkVBqGHMMvqCM8/84Ps/cP/99+ctx3WcTqeTZZnSdURhYlp6q9VyHEcJ1yzL6vV6nLOzzn0h0cnN + P/h+GK1yjjmQxNBTRqGQaZq2ms2RkZGXvOhihZtLLtrttobJ0GCtmC/kcrlWp61FerfbxYQQQwdC + csoQQnESm4ZRLRXedNVrgOBEg+1WWBvc1PUQxuUsI06uFPiRYZhBr5N3dCm7w0OkXp9Rz1BIdvbZ + ZxdK+TD0X3nFKw898ugVr/2Ncrmc0IRSKgRDCGECNaQJyqDE8OSP/NnAjvrl+3692RifnFxcXp6Z + m8vn83mMia7HaQtibFgWlyJJU2WMASGEGAkhEMEAgHw+r/7SC4MNGzaEUaTwGUKIGpwYY4VCQdN1 + r9MxDKNer09MTHQ9L8uyRru1c/ep80uLKm5sqb4yPDY6MzNTqQwABCkNwjB0bbNaLnQ7vVu+d2uv + 17MsK+j2LMuJ44Rz7tg5z/Oqg+UsU/sq6Ps+IThKk3w+3+u0x8bGul47SRLJhWZoGhaGDjmnWZYp + 1mUul5NShmEo5VpA1to98SSghmOMs4z1egHGmmmauVxO9PORoOLx/oKtzXMnH4myFDzR2Hy91mu9 + 1uu/SGGIkIQIQAIRhghJAIXEECL55Ktj27YrlUrcC6SUO7Zs3blz50C+SCnFirsuJeUMIWQ5dsZY + q9WaSWeUe7XKmVX32HK5vGPHDrVjVeC/AiU4kBmjhmE06yuNlZU6Y7braJrmxeHS0lLMabfbVQY8 + fQURpXQVQYIAIfS4euQpRrw+6UstUlXiSKFQ2LRpU/8UU/E45XIZABDFMQBg9ZjACCDY/w0IodHR + UQCAYuW5rlssFsdHRnthAJBeKpUWF+eVVdXR40f27t1774P3n3fB+Q8++ODS/LJhGKZt//dr3/6t + f/rWyT0JWouzf9InjySACKvTCgOIMMYI+b0ekoBnlFPGKRRMEqS1mx3BZGN5ebBWoynDkOScfM/z + BZPtZscybEXlMCpmGmdJkvjdYGhwOGe6Rw8f03W953sQAAShgTUlneh6Xct1oAQYIkPTAc24lABC + daArTrtqVwAAvu8rqjxYw5HUGwieefDryZ/kyX0b53yV36hpcRwrM1vDMFzb5pyvrKzs2rWrVqst + LCxILpIk+da3vqU+iyNHjrzacYaHh5eWlsbGxvpsTPiER3mqp4kgkAIAKAAXAgjBIIQYYYRxPpcr + FYqSC6/dCXo+xtiwjCxLEEKMCSXa5zwjRCOESAmzjPl+SIiuaUY+X0QoSOOExqkGEOQCMK4hnPSC + kpNLwihnO6HXEykdqQx2PQ9hFLQ9g2iOaWVZBilnUZIzLB6nRIDOSmNgYKBgOb7vZ0EkkqycKzQW + lsqVCksZAlhKSLBWb6zEcVweqHT93snezgrIUF3cE/0jf931jAckBCAC0PO8Qi7f6/WU05eycGjW + GxMTE6ZuZFmmE+3uu+/+0Ac++MfXvgNK9I1vfMP3uwiROA770+2LX/yiWq120003qWAEhKFyd+FA + mqbJpIBr8g0AgLrNLS0uTk9Pf+lvvsABZ4JGUfSSl7wkSZI3vOENV73mqkQmQoiVRv1N17y53fUw + gEICDoBBNJFRyThnbPcpO37vrW81TcvQq6Z+9OH9rSAo9Hp4YmKTlLLX7WRpd2qi0Kw/fMYZtdn5 + /eeff863vv1NxtiNX7mxmDsFCBh1w7HhUd8LkjgGSCq4hgPOGRcSalgXbBU46tNh1Tf23/9pSQCK + A6XBwcE4TXqBX6lUNE3rdDrVatW07SiJMYIIAkQwXxUkSgBAGIau68ZpkjFq2zbSSLvToYxhXdN1 + XQeAy1XNDCEkimOYJBhjN5+DEB4+fHhkeDhJklwud+jQIV3XN2/ZsrC8pH5ttVahLMIAcsGIJjGG + aiUwP7+IEfF7SRyEgzVd0zQAZBT7+YIVhb0wiHXT6Ha7+WIp5ZxoumU7fhB6vbDl+cW8rWECBA/C + bhQFaooO41gIUa5WcrkcAEBR1IQQaA1zF2uZa4xxzrmCHB/PR0Ko2/X7xwxYg3EAABomz518pHrk + A+fff5ms13qt13o9FwtCCIFy1Eb/N6tDSqlt21kYKxecSqViaYbv+6oxpZxbxDYMQ0LQWlxcWFho + NBoqm1WRCLrdLmMsTVMV+6FGHeUua1kWwIgJvrS0dOzYsXazyRjL5/NpmgIAfN+XGhZClMtlLgSl + FKuUCLz6KtD/nd2WOjLUWaPkNISQWq1WqVQU0U7NSGrvpp4hAKtRikxRxgVQUiVd17dv326apq7r + alun2gzTNAO/52A0MTEBIX700cfm5hbiJD3n9HPe8+d/ceTI0aHBmmmaH/3oRxFCH//4x4WUjuOo + I0xx/J4eaSGE8IwKKdIkcUzL1PSLX3qJaZqC8X379jUajSsuf2XGWNDrfeVrX9uz+/RNm6ellA88 + 8ECv3fmNV1wOAIjj+Dvf+c7Q0NAFF1wghFhaWrrzzjsvefFLxsbGpsam7rvvvsFS9awXnqXeoltv + vfXss84yTdOyrCNHjhw9diyJEya4ZVmmbeXcAoSwXq+rVaZqHRVz0jCMvhajv5R8ttbTyplQAXHd + brdYLNqG6XnefKM9MDBgmuZll1123333VSqVocGa2izPnpgpDpQwxkkUGYaRc1xCCM7gE4heT79D + h1CuaZGAlLw/aRNCKpUKTdnCwoLqqBVRX10YfeWz0mYrKDVN0ziOAQCFQkHppjop50nG02xlfnFi + fNzRTQ0TyUWn3pwaHS84bt52wiCAQg4PDg7XhlzD6rU6pfKApRuOaSVh1Fyp12o1ApFBtJXFpXK5 + XKtUNYRpki75CxOjYxiiUqFw4tjxbTu2V8sVgnBjZSVjDEIAMFrj/vD+7vi5psZ9xgNSkiQE4cpA + 2TatykC51WrFYeRYtuu6tmmZutHtePl8niCcxolruW9+4zWSitt/8CORUsYEldi2LQilaZqvfPnl + 27Zv+fHtd1x++Ssuvvjin/3sZyNTE1/6x69Rziw3lwWBAmGUrgNJoNyZN0xM3vHT23//938/57gb + NmyIgrBWq1XL5f/3/e/50Y9+1Ol288XCwtJiqVJWdyUMIFESOiF7Hb/X8hHX/+D335XPbb75O0fv + u/OBsaGLeKG8uNi0LBPTsOpSnUext3D2GZcOVvJXvvoN73rnH7/29a9/4N69Z51+en1lKUuSnOsS + hKAElLGUpRIApGHDMHTTxEAPgogJASEWECjkQsBnJzUYSeD7fi/woyTOGDU0HWJkOTbRNa/X9X3f + sixD0Rc5V5FTgHKEUC6XMyyzF/jtdrswUBofH4cEZ4wqZzZ1E++/1ZRSzlgcxxjjoaEhNRhwzoeH + hxHGx44ds1ynVqsdnznhOIYAMQdAQunmHAyw7/uEGKZhWHou5xZ83+/5HiFSSsQ51TQj8jNDd23b + sd2Sppt+FPZ6PdHydTMf08y03HxxIA17aRYLwTKaYgwxgQiBLEt6PS+fzyuKhdq0AaEUs6vQOFxL + AwQn5yNhTdMMxxFJkjyejySEkM+5fKSERAl4IgS/Xuu1Xuv1X6x+gWL3i/vzJ3RJajl1crPbZ1Zj + ADAhnPN6s3Hs2LHFxcU0TtU26v7771d9JABAJTeoPZoaS5Tvdi8MlusrjzzyyOL8nIZxwclxzvfu + 3Uscy09DQdDxxRmMcRhFnHPTMBRxevWIgUBKKNaYC09zwKuuvd+wKvW8Oon6/ataq2GMTduhlCKM + uex7t6wmNVFK866taRrnHEPJgaQ0XfG6+VLRdd1Gs2lZhpruEMQjI6OhSGZmZjdu3BiG4fs/+AHK + 2V+8+10SAA6k5/c0TdMtUxkLqd/5pE8eSQ4lEpxjjLM4QQBqRLvyda+vVgehkJ///OcPHDhw1Ruu + yijljN11110XnXf+eeedhzGmUZaF6Vvf8jtqV/hve/edffbZ11z1ZiHEwYMH9z/40Gte+erx8XGM + yF/8xV9ohFz7tmuTJPF9/+6f3P2qS185PT3Npfznf/mn2dnZThwbtpXP59X02G63lf2GEEJFIem6 + Hsdx/81UxI3VIeFZso1WLE3HtBhjtmG6lh2FYa/b3bplW3Wg+vwzzjzz9Od/6IMf7HZ6LKa333b7 + /7z+E51O98s33jg4VPvta976/o9+MJ8vKsoMXluYPj4aPQW1TEAgpZRQIAAhBEJIhICu67ZtW4aZ + xonn9aIoMoimY8I5ZxlVYgF12av+RDUeyukEIaT4OAAAXdcLhUICQoTI/PzirlNO1SG2dCsO4q7h + Y6xBgKMwObj/4MT4uBAACthtd2dm5iYmpqBEkoNOy8OQuHbONp0oiB89+NjLX/5yKFGW0DhMsizL + u0UEIMvozPHjp59+OkFFKSDGeNf27UeOHcUSAKyGPwTWWiMBn1uBF89sQIISOJatQMwbb7zR8zwV + UGDb9szMDMZYUX4ZY0EQTE9Pn/2CsxDAEOGZ4yeiKCoUCo7lmqbueV7IAgRhwckvzi+wjGqYzBw/ + waDcsWPHoWNHwjjSDF1yAQBQdxMhJEIoZztbtmyZmJi45pprquXK17/+9YGBgVartWfPnp/85Cfn + nntunKZ33nlnqVRCEjApFJ4eRREAoGC7MqUs40PVkZHa1MaNG0208ed3O5433PXsAXdc07DQAwRb + Cyf+LexpF5x7oW2Fk+NTSeSfunPnpg3Tyqbcsdz60vLExDhCwEA6QoADQXTNsCzbdXVsUcrjOD4Z + GX9W4CNVmmnAtdRtsHZ4dDqdsbExlVCmwBDTsTnnknHTNBEAjZWVlNKJqckFwVvt9sj4WKvTVk9M + jUb9rl1KaVkWRmh2dnbLps2teqOQzyuedKPRMExzYGDAMIzZ2dnBSjXJQk2zJeBB5BPMLU1DiBiG + FYUpz4LFheVKpWIatgSUMcV8oxBiRZLWLXNxaWl8ajIMQ6JpEgBNM1LKlpdWCBR51zGIZltOliRK + nCrX3AhLpbLjOJiQMAzVcaiIE1JKICEhOiEoiiLZz0eq19fykVa9EORzNR9p0B6st+afrUtlvdZr + vdbrOVV9LF3pDZ4wIKG1QQOtjQcQwm636/u+aZqr4nsilaBI/Re1BTt+/Pjs7Gwcx5VSBQAQx/HN + N9+s5PsjIyMbN25U8dwKW7AsS7H6jx49euTYUd/3q9Wqqessyebm5r7xjW8wDKGBKZRe3DMdW63G + FFyTpRnEAGMsEOwLyhFC4CkwmJMX+X16tlrk9zkmys4nTVMlNFKrSQCApmmapikPN0V5UFLtMAwJ + IZbjaJrmmE673S4PlmuVqoCCEDJUGzntefItb3nLgQMHN2/e/Oijj373u9+t1Qavuuoqdd5BvOrF + qsYJXdfTNMVP6nwNAABATTj5fF4DCGPcajQLuZyp6YoUB6QsuLnlRr2YK7abbRPrUIKcabu2I1Iq + GI+6/sj4WNDp6kSzNaPT62IB2s1WtTiAAEQQViuVmZkZE+tO3hIprS8sYYgKuTxAUEM4jRP1EI7j + pGnaaTcVx94wDHUZqNGxWCwq9W9f3AUh1DSNimdgi/ZUChgoQRzHGsJpmgIpdU3zO14cx6ft2v3J + 6z89NFijlP7pu9999913u5atTvxvfu3rb3vb265927USgM9+7rM3f+e7cRybji0lF0A8nqckJeqD + RL/0J5KACQGhBAgqOykJoU40yzBzudyRI0fSlObzeZ5RhUyCNbNEx3EAAGrfra4cBT2Zpkkp9Twv + SZKhoaFKpQLzA0NDQ71eL0kSBqDlOhjjOI61tcqyLF8o9H+J53kEa2maKhoOREjTNIQxZyyKY0JI + t9cjhOTy+eWlJQCAY1pxGGGILGQstJc1Qt70xqtf/drXXHX11VwK5TOhNghCMiH+A1Nin+bzfZp6 + ygFJPem+3YoQwjUtnWhxGLE0A1x87CMfrdVqhJCVlRXXskv5go5Jq94o5vIY45zttBvNfD7PBdcg + RggNDg6qrpGxzHGcNIvjOBZg1TFZ13XXdavVqqZpW7duVa7HtmmJtXg4ApEQIg2jarU6OT65Z8+e + UqH4qle96oYbblAO1+/98/fe+dM7ByqVd7/73X/4znckSYJ1TUiZZVleCei7/uYN0/lcoVgcyDIW + BmBiAmiakaXCsQtpyuI4RigxdK4sbkolsGfP89rtNkRscnwCY0ww0HV9x44dlUqlUqkMD9ZKlfLc + 0pzpWKXygGFZnY43OjLJuVRAv1KC9r/GffD3V+DF9iuJUyEkTalgQteNZr05NDRUKpRmZmYY47pu + cC50TQ+6fqlUWl5cKo+PdzuebdswTRuNhpRSKcGUTHN6enpmZoZTNjw8nKZpnCaYkDiOgZTVarXX + 6+m6rhxIlYWGUs4UCoVKpRJFESJaFEamaeYci1IqECZET5JEJ9ggRLo6oyHGWEDORUYM3TSNyI/D + 0K8NVVpeWzdlvTFXKJba7Wa1MsQ570SRZeq25XAmOUUAEF0zGWNybTMUhmGWMSUTopSyjPU5DErJ + 6ri2EIIQnT+ej0TCMOScF4tFQtCT5yOtLZ/6f1cGGP/J+UhmRd+0aRPGmNIMA9Q/0RljmkYkkPJX + IlWv13OqFMsIrJHR+yzi/t+ffiG9Xs+RUp+UWlern/Q1h0/gzKx/Z/sFpdR1LfJi5BQJREBKKIEU + olQo8kS2V1rFfDFljFJeKBWjnq823+pWqQB5SqlahyGEaJb1An9ubm5paUnTNMuyul5XpSYoS4OR + kZHdu3cXCgV1Z1bbQMMwlpaWDh061PI6YRiq3IgsSWzd1HWdCe44Tm18eN+Bh/OFfJQmCGO180LK + +gg+cRiSUiKIIAQKHdI0LcNYilWGguoBpJSqz1E/Uf9EKdV1XWXIKndZzdA1wwBCqHgJCKEiR0kp + Fb8LQqiYDpLzhFIMkWnpcRwjgjPOIMB/+qd/1vCa999//5+95z2FUvG//fZvjU2MW5r1L//ynTCL + AYSvevUVSZKoHe4TQDn1pzqw4jh2XXdqYowQMnN8Nooi17RarRaEyLHddqs1MTrme37Ozh1+9Mj0 + po0s4xrSWEZNzYASJmEMBOh1uhNj41ICDDFLqWCiVhlcnJnP2W4SJY7jVioVmlCaUE5Z3s0tZWy4 + NtxabhRfmO+FgWo8XNcdHBzkQC4vLwP4ONtF9VRqcFWn88naKqAs3Z/hfRQChDAK/aBYLC4tLk5O + ToZhCKUEXDLGXNsNej3DMCDS0jhtrNT/4O3X1ldWKKW2YWIApRCSi+NHj82emPn+97/POa+3mmma + QoQMTZeMQyQFF6VCYW5hoZDLWY7jtdu6aWIIuZSGpgVRhABw83mWZXGWQCRN206SxDD0LEs1w9i6 + efPKysrRx45KITGABtF6USylxAjpmhbFsa6ZjAoIoa6ZavmAIFThXWlCpZSW6SCEvE4vCmITavVm + s1gsPvTQQ9OTU612O0oTGgYPP/KIH4UCAoDRvXvv37ppcy8MiKHPLyw8/OiBjudlnFm2ffjYUYxx + QjPbMHPFwu133OEW8piQXhg0ms3DRw57Xk9DWq069IMf/uvQ2LDXat95x48vefll/dBnuGYsDACE + AD/XbpRPOSCp+5G616sdOc1oGicE4Q0bNrz4xS9+85vfvLy83Ov17rjjDuWP3Ov1lDN9o9GAEEZR + 1G63MYKMMcpZq9O0bbdQykdRxATVDcOybQDgQKXs5NxGq5nSLAhD1UpKLnzfD/0ArOUMIAls28a6 + duONN376k58yTRNK8K53vWt6enrfvn0f/OAHVwmgIyN/e8P/klImNJM0AwAopYeUktKs0Wq3O363 + FwsJuz1uarhQIo89NkdpZNl5idJc0aRpWB3Kez0yWAMPHajv3nMqQMDrddM0FQAEQTA/P7tj59Ys + yyDEayOHo+v6Q/v2HTn0KMjkhZddrkwplNtJ/518wjv89NzTpyrHskqFoq7rd//0Z67h9pZMbF8A + ACAASURBVAKv1+sBABzHieMYYKSUi0KIOE0kF0gIAlFCM8MyU84eeGjf+97//pmZmS1btqw06svL + y4ODgz2vCyGcn5/ftm1bp+vpmoYx1jDxWm3LNKenpw8dOkQgQhrhnE+Mjy8uLlKPlUqlTtDL5QtU + cIKITnAYRFmabpiYvPLKK7dvOaVWG/b9ME0Y0SximFEYY2QQqHHOEeYA8vyAzXkWpb6ChqBEk2Pj + Qc+fOX7i4x/76PLyst+LJMJI5V0oY0sh1BUCEXIcx9CMIAjULHoyyqSqP+0o3mCn07Fts1qtttvt + J+YjnZSlrfoe+GvKR6J+KFa9SsGzTqRer/Var/X6NZa6LauO1mTQcZxCodCZ6/YWewNOeXBwUMMa + 1nAch8sLixumpiilSZKkSdLnO0AusywzLQshRDlvNpvHjh3zel3dNNVohBBSFkHbtm2bnJxUWTqG + YShRqDoUsixTqYnKhIkQYmgajdM0SyuD1bGNG6a3bTo6N+MnoQRSMauFEAg9XYpln66i9qFRFEnd + GK6NKLq1aqJWA2oh7NPAfN9XQ1o+n88YjeM4pdSxrCRJOp2O0kopwb06fVTyhHoVAAAogQDSxti2 + 7cf2P/ThD394Zn4uSGI1G3Q6na/8w9duu+22hYWFQiGvmUa709FMo1Ao+L6vPCdUJE7/7FNORcpY + r1AoqPd/dHR0cXGx3W67rpsGcavV8jveqVt2EoQLufzc3MLOnadmKDM00zTt2RMzZzzvjNHhEYwx + y2gURdVS1bIsIOTs7OyuXbtWVlY4ZZ7njY6Oqtlvy6bNhw49tmf3aZVyuVGvb9+27Z67777w3Iua + 9UYulxsaGdZ0/cCjh4QQjHN0Up18hv77L04oARecK62RENVq1fe6nHMoQW1wsOd5NE6iIDSw5rgu + i1OR0qjnIwlMTScIr3pWc56mqa7rzXpDCAGBtA2TCi6E0IgGIQx6TWo4pVw+iTMoQw3pGkRAIprF + XGLHMLOU+R0PI81x7CSJkYBhN6B6tm3bNs55fbnRaXuCc8M0FWNo1UWZUnBSG/Mkr+6k1byaMKmE + KidqZGz0y1/6+xec+fyzzjqrOjj4k7t/ZrnO0NAQl2JsYvwzn/o0uvzynbt2abpu59xbb711586d + AIBSpXzLD76/Y8eOUqnUbDbL5fJtt912/vnn54oFjLEfhT+84/Zdp+y8/977RmpDf/Kn737H/3jH + 9q3bDh557J3vuA79OiLQoXzGINJTDkjq27tKEIJA07Q4SRljA5XShz76EUrp3r17d522e2ho6Pjs + zL59+xZXljXToIJrplEsDyQ0QxphgkIAwiQcGh1qNFa6QVdApnpEyFm7104F1S1zfmmpOjSkmQbA + qN1oLs4vaAgzKdSqQFF+JeMLCwuGrs9IiSTwPG9kaLjr97gUy/UVSmnb8wYqlXvvvffY3DHGWLvd + poxxzomhB92eoesFNycostzqcj3YuHkHRvCvPvr9ex64Z2j0+WHIhGwYGDt558TxRxqdejes33GX + //VvfHvjlqqbx4ePHT7l1B0AAKJrQRAow8d2ux3EgcQwSrLxyTHBpWY6VKYEIsCFY1oszViaGY4j + pbJgUcxloJIgFAX7GX2xIQBZkkZB3G52Ij86Y88ZxXzhiiuuKBaLX/nKVxQGqkyoCSFJkrz2da9O + w3D//v0SAM/vjU5OvOHqq4qFQr3VbLVaOcednZ01dQNw4bXaX/j8/7722muL5QHDMBYXF//knX+c + z+dtywq6vfe+973QAWkUX3755c97/pmmaf7o9ttvuukmN59LkjjjDGi6TjTbtnVN63a7CKBbb/7+ + vfc8KIQJgT1YnV5eCaJAjo5Mx6HQCQ7jpm5SiEPNyBYXj2zZuiGMukuLC+95z3vOPfecoUpVAS+r + mXoAqzgrIIGUQoluGeeFQsFxbSE5ZRkXDGGICZYxkGuKpP4hpAwlk0RoGnZd13Xdp81Hgv01sPjP + zUdKojTqdtU1LyTvP7H1AWm91mu9/gsU51wCYBiGJjRCSbfbTZJkcHBw656tPBKmZpq6uXfv/aZt + TExMhGFYLBZzuRygj1OnlEIpSRIpZUppt9uN49hxHEQIpRQDrKaR8fHxzZs35/N5SmkYhrlcDq1Z + ZgMAPM9rNBphEhNdUxOC2rtVKpXt27fXJsaIritfaU3X1NOGq1S6J6dsSSkZZzpEfdqIZVmAi/n5 + +bvuugtjrOyF+s49URQpLEhKqTQhrutCjOIoDZO4VqupzV0ul8uSyPM813WllEIwKSUCq4pWtXEj + upZlzHDsRrtjOnaj0SKmgTEuVyqdrqdb0A9DiDEiGs0YIcS17MbyCkKIGAQRjUCUZikhhHOGELIN + UzJu6UYxl6dp9sCD9zPGXnLxi4eHaxpAo6OjreWGaZqkVBYAAICCINKwriM9E7TVaArG4zDJUppE + 6fLiyvzswqnbd3d6HQyJoZkYEh0bGyan0zgL/UjXTY0YumY2Gk0IYblUnpsNCm6u0+nYri2BrFar + IyMjb37LNZ+4/vo4DsM4qZQH+0NRf4P/NKycZ6T6FxBohAAB827B8zzLMKI4HBgY4BldmV+2LatY + KAQd39asnVtPQQjFcUyIviqg4AKuEa/UDKwof4ZpEkOP00TxKi3LGhysWJYjJcdYg1DW603GsiTJ + IJQAILWdFoIFQbRUX2rzNgbINWxCyJmnn2FZVqvVUr3E3ffe63U7nHOxiklCxrKTZ/j+e/LLb5Ea + kCSXEJAoSsZGJwqFEqX8wotf3On5b3vb2z/2sY+99vWvu/jil1iWc/bZLzxy5Ni5554fx/H5F134 + hS99kQl59ZuvAQi/5GWXfOFLf3/++ecHQTC5Ydq0nf2PHPjtt/6uYdnnXXDhV//hK5sv3bRpejrn + uL91zVsW55fOPP3M5frKnT/9ycTUJIBwbUo6yVX4PxJsfzZzkNSnrut6EARJtjq1266zYeP01NTU + JZdc4vt+Pp9/73vfe+WVVx48eHBgYEC1j57nKccVy7Lm5+e/+d1/5Bkt10rFSn7//v1hGmqagTBM + 0/Qzf3PDV77+tcnpDXvOeF691bzt9h+98jVXmKaJ5GpiNAIwpplazGMAXdcVnF933XWPHjj4wx/+ + cGx8fOfOnZ/73OcAAG9605t+8pOffPvb377q6jdOj2+sDtWOzc4ICJgUeduGEEIhozRpNrpNrxtl + 9Js3/ePGDTtHpqyXveKUE8dXjswcohz6Xs+w7VLBAlpwxrkbTywe3Hn6DtM1mt5KyhNikkRkXd/r + dNt+FIxpKM5S3TQYY+1ms1wun3bqnlNO2RUEkULzlb8KXAvMVupJsIYC/8otL4JEQ1htLKanNlz3 + R3908cUXN+uNb339G0jT1a9NkkRSZmDye//tt4vF4h/+4R+8453XBUn8pS//fbvZmp2ddS3bIBoA + YHh4OA7CarV67dvf/tILXjI1OdloNrM4ueySl7/spS/9wQ9+gCW44LzzP/OpT3/gAx+4+Lzzfu+t + v3vbj35omuYfXHut3+vds/f+XN7hQArK0ig2NM21zDRJcrncAw/8fGpyy/vf98kvffG7//tvb9qy + +Zzq8Oj8jGebVd0xLNyxtHh2/sFLL7towKn+9m+9vpDT/+rjHzIQGcyVvNDr9XqO4yhYpv++nTzw + ZJRKKXOuqxwRe72ekiT+Qj4S7ucjUWUl+ZT5SL9kw63uIP/J+UiUUqU6PQl3/hWRxvVar/Var+da + SSkRXl38K4aF126Pb5y8+uqrX3jGuYf2H9y0aXO73Xrt615NKTV0PYkixWFWuz/GmI6IrutQSkqp + sndzHMdy7JRS3/ellI7jTE9PK6J+HMfq1FA6ZLXMUhok0zQBRrbrZFkWBL7kfGxoZOepu4ZGhjMo + FfKvWRrEmDIGIdRUusNTvzTGWM7JKXUAZ8wwDJZmnArlt6ZmMMWUA32nBwD6yhDGGALYcp3pzZte + 9rKXSSlVH3zg4Yfuu+8+JRPC6kRjPMsydUzYtk10LU27nudt3LjxsldezoHUbSul2R133Xn3vfdy + QQGA+XxejWQAgG63q8jhQRAo8a16YurEMU3Ttm0FSqRpmtU7QIfKBtBybEII1jUIYZymy41lxScs + FosrjTqEcHh4NJcrKLpEGIbDw8O6rnd6nU6nE8exaZoHDhwYGRlJksQ0TcdxHtz7wO49pxNCPM9z + nFwSJ/Pz88XCQK/jDQwMLNYXc7lcpVI59dRTRyfGJQEnTpxQLYFaNco1f+OnGZCeUSEJpICc82az + iQCwC8W85XiexzI6PDS0ODcfer00jvMTU7VyRY3cSZIpi4s0TRGABllN/k2SxMnnOOdBFFq27Rby + rVbr6NGjB/5t/543vnFyckOhkLNtt9fzHnro4SgKECKmqUdRQmlqmrZp6t2uHwVhp97OMjpYqnHO + S255w/SkfopeGx5stVoHDx7seR0qhNrPYgyFEETT5OPGIb/wvev/2W94uOAJZcoB7/Wvf/2Pbvvh + 5ZdeZhhGEEfbt28HQv73339bGIZnnnnmrd+7+ZJLLllaWioUCrt3nepY9sUXvWhsZHR8dOzHd961 + Z/dppmlijC++6EX33nvvq3/jihMnToyPjx/ec3ro+5/8xPWPHTk8OTn5wztuf9NbrhFYZpwtLi1B + pRl4brc2/2eTBikl56u9o2IWMcENy5zcMLW4uHhidmZycrJUHjh27FiukAcQCiANy1yur4RxtNyo + f+aGz1iWpcJk2r4HIcQo1nQipXz02OGReHTfw/tv/NpXTzvttIWFBaIbcRwbuo5UygHnBCJIcL/v + Z4zdceedH/rgB//yz98X0vCzf33D4vJSkqV+GHzy+k9df/0n5xfnf+ftv/PAvp9zIHXDoJyFccQp + A1zoul6sliojpYGR3P/81IfzuaIUJoY2o1oiV2rDo7VxndIeISTwO9BKP/W5v4zj1j988xMIC8YY + NhFByCk6A7VKlISaaTDGTDvHubRMp9328vki5zyOUpVZpjAQ5XVmrSXNgV9yfH6mX2yMEEHYMkyv + 3dYJ2TA5pSPS7XgyY0jTIJCWZelg1atkqFSVQM4dO1EtV0pS9LxuIZcvF0tUCkqpzLIgCKrV6rvf + 9a6LL7wIAPHYwUPjU5OLi4tHDh9+/Wtea5omhujAw49cdMGFWZK+9tWvuf2HP7rhr/9a1/WtW7c+ + /3ln/Oyen0ZRRgXlGccQYSYTKQTnlYEB17Lf/76PAIF2bDnvFS8d2v9v3vIxzbLOrDeBriFMTF1v + Hnq49/4/vRRlbHp42+ioPj02lfohALzTaiAohWC26zwuylibkfpvXRiGnLFSqWTbtpSy1+tRSg3d + fBwCAugkoEa5RGS9Xg9jFafgiH4+0i+NrOjXkY+kXt3j/Gk1OMlnxyN+vdZrvdbr11uEEAIQpTSK + Q5CrjNSGIEKL8wv3/OzuD//lRyqFsoa1r3/z65deeum/3nab8svp22Gr7ZLq4zWMIYQYIQBAGIZB + FAoAOOfDg8MKOxoYGPB9nzGmhhO1rARru3OFFyVpEqcJQkgjZGJqaue2HaXyQJqmieSu6xqGwaBQ + +y91ew/DBBPtSV+XomT3zeg4AHEcAy4IIYp9YFmW0smorkDp4JV5dLFYVFrfTtfzeiu5fLFUHiCE + qCSV45aluDxCCASgrutSk2maSsEIwbqud/1emqZBHCkFlJsvFioDYRSp+dAPum7ecV039XuGYdQG + a41GQw0YhUIhSZIgCIrFohqB0jRVXZZa51UqlQ3PO7VQKFDOVmZXfM/vdjzBRLfbPfDIwciPet0g + ibNeN/jed2+ZmprSdf3Io4/5vp+EyeLcIgZ4oDDw5S9++cILL5RMAg6CbjB3Yu7en92bxRmB5Lu3 + 3swFCoJI18xut3vjjTeWy6XFxcVqtfq9733vtNNPe+yxx26//fb7H3wgztK23ymVSmEYqkO5v8dU + H+izdURySm3TxBhjiLrtzsc/9lfFQqHX8T75ietFRpMoZowhADVMIBNUITZCQgl0iCGEkgvGOITQ + 0PQ0iDDGJtbmZ2YfO3K40WhQxlw7R5BmaOZgpWbbroZJIVfMkpRzGfTCOE4ty9CwniU0CmKZARox + ShnJaTRlLKEa0B3Tce3c8uKKoekIoSxOiuWiWq0qlz8I0RO6yv6+dZXHBFWbAaEUEIBiLn/wwIH3 + /D9/1ut4n/nEJ3ft2jU1NQUhPPrY4X/+9j8dOXLklFNOUWqrSqUSBIFmrBKU1LAaRdH/9+GPKHQk + l8u1Wq1HHtoPIex0OgPFUhLEd/3orkanMb1pU73Z+MD73+8F/vDQ6OLSEgAAAAQllOAZGGn8J9dT + DkhKa6EE5UQnEEIJQJZlSuP+xS9+EQBgmqZpmlEUffvb337wwQfr9TqEUN0ISqXSzp07v/wPXy4U + c65lt7yOMqF27FwQrGrvfN/P5fLFYpFSeuTIEZWV9qGPfChJYsMwVAiAbhgqjgYAUKvVlpaW9u3b + d8455wAApqenfd8Puj3Xdb/whS989rOfTdN0957TDh1+DCKUJTHSiJDS63Y1QoCQhBDTMU8sHf8f + f/ZOmsSGroe9UCNmbXBUSthqtgFGcZpJyQv5HIQyiboD5bzXbmU0EUIUCoW79v5keXmZGCRKE4Wi + hmGYJEmhWOy2OwfjmHOp6zqAUEXRKcJV38fsCVDArwALqIC2IAiUEun40WPLi0u7t+3kaYYRMjCJ + 4zjlEmNsIKIBhAGIkmR0eJilmRf6KquXECI4QwiZpjk8POzY9pf+7gt/8o53Hth3YMumzQLILVu2 + YAA556ZuCMYve/mlLMsqAwPlUukw54JxYmKW0SxJ87kiRVwgyJLUtR2T4CwKpeASpEnam5s/PlLd + deYZpQMPbrrHf8jSJk1tUpgIQUmIg6REIPf8Paakz8ub+sr88thgtWgbOpCubQ0PDy8t1/1eCKS6 + CQIhBECrAxKEkKyZV3a73Xw+b9s2ACAIAqULgifnI0H5tPlIYX9EAWtmQQAATdP+8/ORlHQKQqgC + s/uR81JK+BzftKzXeq3Xev2fSnKR8cw0bANanU6nWCwODAyEy+Edd9yhCGlxGJ84caLZbKZp+tBD + Dw0ODuZyuW5K+4i6uqtDKTnnQMp8Pl+r1bgUWNN0XR8eHJ6entZ1vdlsAgBUywjWEJv+7knX9VKp + ZDOa0iyfz5cK+Q2Tk6Mjo3GahGEodWJpjsKs1H5WPejTWL1JKTFCCsLavHlz0OkePXKEUjo6MXb6 + 6acXCgVN0/ooVj+3Rx1VytbV933OuWU5SmIkhDBNU+l/9uzZo5h7WRIrfYvruoJT3/dbba/b7aoD + yHEcNcjlcrmMUtOwTdM0TE1pDYrFYrvdPnbsmFrYRVGkziyViaSOHrSWeMEYU+ZM1WrVsqylhYVe + rwck9Hpd13aL5QHXzffCYPPWLXvvu39ycvIHP/jBpk2b8rniyMjY8HBt//79r3nNa66//vqRkZFG + o/HYY4/t2bNncXHxrW996y233DI9PV2pVKrV6hlnnBGG4caNGxfm5neecuq/3nzrb/7mb05OTrpO + fuvWrctLK1u3bi+Xy4sry+WhwZqtSwAYzVA/W/UkCtmzdX0qAO3o4SMjtSFTN1rN5h+9/VrJRZYk + QMhivuB7Xcm4gYlGSJamhmYo2qeuGxhjgpBcyyOKw7DZbM4tLCzXV6jgtm3nXYMzaZtOFsbzswuV + 0oAfhUGn67W7Q9VBKjSLmAICr9FaXFlu1RutVtvRHUYEizmnvOgUc3ZOCkkTamqm5EDyVcZ+n+oP + ntoSpg+49X8CIXQs5zv/8i83f+e7UEidaJ1W68Jzz2t12owx3TQ1TTvt1N2P7N8/NTFRLg1QzprN + pvKpUnwcFank+z6l1B4ZFUJsnJxSPJ3BgXIxXyBIO3bs2EUXXDi7uFAsFpGhjU5OTExNPvjzvVJB + ds/tvubpECQIoRqQMFg1heScz8zMXHrppbOzs6Ojo4rs6/t+mqYjIyOXXHLJrl27TNNst9v33Xff + NddcwxjNl5xSqdDpdNViACOt1WrZtt3rBeVyOcuy2dnZ3btPa7fbag0QBIFhmbquA84ghAjC1TZU + itmFeYxxxtn4xES9Xu/2enNzc6fs2BGG4fLSskqtefjhhz2/t33Xztn5Oc55LpdzHKc8MBAEgdfu + tGaO/9mfvzuKojhMJkfHdGLMz8wShDXNIISYtoU0tLg8l8u5ceI7tskYA4wYhqGon5xlw8PDrXbL + sExEsGlbcZQauqW8rYEAuq6tCgeFsC2LUcooNXRdCKE8MZ/gbfQrfLFN03Rtu91scc5LhaJjWhCA + yA8Mopm6wTKqPPWFEK7jAAAIxvl8nqaZ1+6U8oVyqVSrDkKd2I6zvLwMVSIERBdffPFyc+n00/bs + P/hIeaC8srS8e9epGKKdO3dump7+6j98pZgvHDhw4Kqrrmq220tLS+ecfs7hw4cNzRgbm9Bsu9ts + Q8BEliDBBwfz5aq7dfs45Z0o9cZHikPDpYwmJpKMil4Ya5gR3LGs0NZlGIKpiaJjMAxkMU8IonHU + jaPI1EzbzQsAESIIYa6C0vjjKJwUQtM0zrI0jYMA5PN509QhzPm9AACkItVOvpLRk+QjYU3T1PHw + eD6SlEL82vKR1MNxzhGACCH+TCxK12u91mu9nuPFGMMAY4xt2+7We0pkEpMUZchyrLxbeN9fvq+Q + y8/MzIyOjm7auLHvytNngiGEMcaaagcRmpiYqNVqEgKsaQghmlC1olUEPCU6UpCR0hqph56amiqX + y5ppCCA552kcaRhHUYQIdhwn4jQIAkop0ABCSCiMAkJCCOBP7ucNIeSMG4ZRKBRs22ZxqjRInPPB + wUH1uIq3Jtbi4/tOQvV6/fDhw4uLixmjEmpKdhsGYbvdnp+f98NQN01LsRUMPQxD3SCmpfvdxPO8 + 5aUF3/eZkGmSKFyo0WhIjKMkUvYPubyzUq8bplarVW3bzrlOFEVpkji2LaWkWWYYhjoaGaUYIYwx + JEQIEQZBHMdUUCZ4lqSOZenEYGmmEc22bdd1G43G9u3bFxYWDMusDQ8VCoWzzjoLQlirDd9yy/df + +MLzXDdPiP7yl182MDAwNjZx3333Vau1Vqvz4he/9PTTz+h0utu3n/LwwUObN2+97557TdMcHBw0 + TfOcc85pNBqnnHLK92695YUXnlcbGqFQzi0tlqsDYRjmnCLg6qgUcs3fCD111u0zbbBomjGi5WxH + 13XJRbVabTQaOtEMTYvCUDAuGCMYZ3EChbR0g3ORpSmEEGLBKWUApmna7XZbrdbcwnyn0wEIGYbh + GroQIvIDwQGS0NTNLE57sEc5s017eHAo9AMmOE2zhaXFo4ePNNstwbhhGMViBULIGDOgKaj02h7l + NMuyJIv73oarYidDU8Fcvzwfnbyaf8I/p1E8Uq294tLL/vrTn15qd7Zs2eK1O45ppSAt5gvHjh2D + Ug5WqlmWhX6wsLCwY8eOMI7CMDRMc8Pk1NzcnKkbQc8vFouGpvu+v+wtjY6Ozs/Pb9iwYfbEjGRy + ZGRkYWHp8KOHx6fGKwPlx44eKVcrT/X+/4eOS0gC/myZNKhlST/OjDFmEk3TtKDnx3E8OT4RxlEc + RomeKD3iSqOeLxZM2+oFvhBieHj46NGjtm1BaHMgcjlbt7R2tx1HKUJkoFppdrxeGDiOU6qUj8/M + qC5TsFVWVRzHEkF1ZTDOdV03CI6iSN1iFleWh4aGFmbnhoaGut1ut9sdGxtL07TVatVGhg3bOvjw + I24hn6WpYRhepxNHkWDctu1isegFnm07jmXPLSwUHNdxLFM3er2eaborKwtOwTYtjAi1HUw0kDFm + 2GbPD6M0QhpynEIYhowx0zSzJM1SlqZpsVjq9XzDMCTEUnCv57muq0T8CpoPgiCfz/dzG+Aveok8 + w48YUkoBQlwKCYFpWwnN4iwZGh2KsxhCyQS1LIcg3O12OedhGNuOtbC0hDXy/7P33vG6VdW58Gyr + l7ftd/e9Tz+UQxNEUYMKAUURhXhjI+Hz3mtMxMQI1phEJWo+g18CRhNjV1CuorEAYosiEQFFQDqc + unt9++przXL/GHu/bAgQQUjw++3x1zn7nLfsteaac4zxPON5bNu2bXtqamrfvn2VWnW11XRc19D1 + NE3npmc0yj7+9x/74Q9/WKlVDx06hBWampo688wzzzvvvL//yEduuummJEm+/vWv7z948Pzzz8/z + fLm73O12hVB333mfbrtRr1uv+YaGuq2GUsk999w9NX3QcY2Buj89jX70k285HnaMbP++X27dvicv + ojhcpXqXWentdxwcGxXdSJR8mmY9y9lq2YbjmIZtjFqj64DKmn4dAk6akjABaZomqGZnWQaWF5Zl + IQWw3hrtjVKqkERA7WBs3R/Jz/N0ZaVRrVY9zwF3Ivk08EcCtp4QQkOMUsoVh63tSSFYb8ZmbMZm + /PcG0zWTGlE7IoiZprV78vCx0fEeCnGETWS85fw/Hx8fv+CCCxnVx8ZH2u12mISgtEQUIqDvjCTD + 6xh+njNds21b4bV/xRKDO8XAwADg+YZhKKUsy4IvADswtNtN04ySmDFmVyqS8zAMEcGGZdqGmYhC + N1iBlRAcYUQZwRhxzrVHSbCApDA4OOj7/oEDB9orDUqIZVlBEEBzDSCgfuuNMZbneRiGS0tL+/bt + 63Q6IMSKqWaZOi+KoNepD1R1XXdtW2cMvrahsWq1yjmfmZk+dGCq2WymaYoJQWQN/wGmk1QijmPd + 0oGXOFCraJrW63SFECBuQSmNkwQpZZgmLwqmaZQQaPZB7QEXLc9ziWWapSXPJ4okSaJT1ut0Zw7N + 3H77rQ/c+8BAtR4EwWVf/HytVvvOVVe32o1/X1y45ee/SNP0+9/9nms7l3/xsjvuuOOwww6bnZ21 + LOt9f/3eoaGhb33jm0iqr1/5tYktk7fefsfRxx7lOs5HLr446LSv/vZVc7Ozt5vmBXDEJgAAIABJ + REFUddddN7+yoJlG0O11W92RoaEginy/nCU5UQ8h2z9IwX8yQtNoEAS25woh2o0mEtLQdCwkFsrW + DFPTEy40Qg1NR1JlSWq7nlKKEQKHexonKysrU1NTvV5PKAnmXXGapmmqGTohRKPspht/BvNLlNJy + uYwxDoJgZmZmenoaUETDMIYG60VRUMKSJMIYK4URUfv2P3Bo5oBEwrCtJIvBLysrMqpTTAmXnBCm + pJIYE4UkfohAxcYCqV9MEoR1g1177bWmbnz2058eHBxcWVqGlWmaZq/THR8fT9M0yxLf9yXn27du + XVpasm1bFNz0jYW5+VqlqoT0HFejLApCnWmcaXmaVcuV1eWVcrkshMrznBH67Gc96+DUgZ/9+09P + OOlZQbcHX0Diddenp2s8FsWuD9iZpgXsINd2dm7f8dGPfjQOwihNLN3IBb/2e9/9xre+qZsmlzLN + c8JYzsMgCAYHB5mGS76TJN0tE1unZw55nqcRGkVJp9nSNE3X9VazTSmtDw3Mzs4ODNSiKHI9J8sy + pSQmBIhPpqYlSUIkgx0kTVMpZavT9sqlJE0d0/J9P8kzQonp2EBRrZTLCiHKdB6nvuUURTFQG2i3 + 26amE0myNPVd39A0QgjPiwxj2/W4RPX6UCfo6IZh6NrCYrM6MKAwTbIi48XQ0PjqykpUJNVyJVYJ + lsTSLYqp63p5xjVmCIWVQkJKx3YhqYX0F7pEACnAhf0NH2aBSasXOKVyUuQrrebo5Og1P7z27rvu + HN02MTM1zTTWTnpSSsMybMN7+1+/55hjjxqeGE85d3x/bnHxBKksy+50uhiRNE5FITgPBodH4jDk + SJWr1Wa7DbvPueeee84553z44ouvueaaUqmEGNs/NbXSan3hsstOOeWUSy/96L/9+MdpmmKFVJHb + hhH2Op0i1qjstHujY5PNVigFwRh95crLZ+cf4Kp07947tm07rh0vd3utkieX2lNBOiO05JJ//qeP + ffyDUZF8+V//9S/37G5GzVxmK42FodExhXJCcZomumUahtnpddE6niOV4pwrQjCmUsogiDiXtm3b + jlXwvOD5Bn8kKYRwHEc+xB+J6Lq+7o/kM8Ye2R+JS4wxwVRwKZDEmEjFnzp/JDhE17T7MIa9jBFt + rWGGFQLt76fxhrIZm7EZm/FoIaXMEVeIGIbFexIp8vKXn/2ON76jzMrvvODdJ5303JOf+7xc5ISR + ffsf2L59e1EUQhRSckYZFDy1SjWNE6WwUqjfiFQYSc77sgfQpSqKwnVdMO4DiQIpped5fbJAHMeY + qDSNNcdljDGNaLrOJVeIxEmYpkmmhFtyFUa9Xo9i4nmezAvocislEVJkXbWMc75lyxYm0erqKjTU + kjjWKXNtC20Ai4IggNNBStntdg8ePHjw4EGllOd5IKht2uyuO27/5c9/kRep7/vbt2/fvnVbpVJB + UulMk1JmWXHPPffs37+3KAScHVES12o1A6Gbbvjpr+68vR2E1GCma4dJqBtE02inkzCCNEyk4ggh + LoROqcSIYqKZhhBCEUwotR0njuMsy2zbJpTyooB/ciwXCZTzgiJCEKlX6//z/3m9QVkUhM9/3vN3 + 7txJEYq7XV3TquUSgzzHd3mebpkYy9P4iMN2ISS3TIytrwCOEBocqCKE0jA4atcukWTbxicRkmXH + wUptm9zG08y3HWtyax7Gpz//lM9f8SWZCduw4zDR1lXaHtZlfrJYdlxyqhGlRF5w33fTJNIJlYUk + UlFCizjXiZYluc6Moigsy4ReZxiGy8vLU1NTK0tLCCHGmG4aZN2eEebHJBcYY6zEr279pWmahmHs + 2L0rTeNer7dv375u0CuVSoRh07aLLJ+bm5mcnAzDkDJiOfb99+3dtmvbzb+4MU4jy7WWVpZtzyaM + KqwQJYXgCmOEiBACY6qwEkppul5kuVLKMIyiKBjGIAoN/XrHce67995nHHPcwsHpRrf5w2u/F2ex + rVmIYIUR07VCSEzZRicugtCaXL4UhmWGcWQ5dlbkCCFMSVbkmBKhpGGZaZ4hhAijheAYU0wIEjzs + dbdv3XbPPffkaTZQKhFCOEEKg0QVQut6g48bMXhS7vqjx6MWSBsrTmhvK86LogiC4K1/9pYdO3Ys + LS0de+yxx51w/OjoqGEYnV5PKDm/uIApAeIpFur4E461PfbLf7v5lBecmuf5zT+/1fdLStJyrdpq + dYQQY2Nji4uLS0tLIyMjnBcIoVa7DVA4TMkD8EIpBdcYaA755ZJcdzTLs1xJSQiBgXgoRaIoAoKv + Uso0DCVlHEV5lknH0SnLhWiurBJCTFPPCbJNc2pqqlatx0k6NDq8tLRQiHRocLgT9CzLEhx7ntfp + dDDGBtOyJPUcRwkR9MIoiijVFMGKUEqoIhRjpFTx1N0ziZBpGqVKudPr2Z5r2sab3vLmSqlcrVaX + GstBFhXdwnRsTdMXm6uCq5Tn0/PTRVH8yflvanbauw87zHEcwmjUTSYnJ/M8X11drVQqL3vZyyqV + Ckb43D/8g6GhoYsvvvgVr3jFm970psXFxYktk+//m4uuvfbaW2+9tVQq/elb/iyKole+8pV/9d6/ + 2rt3bzeIBupDUqSiyCxL971yGoe8yJeXWtXK8Ic/fPFb/vTduplvP8w54/Szr7nquh/+25Wa5Wqm + ligltc6Ww+y907/aceT2W+64vVw2O2E6t9g41RlUWtRod+aXG1mW1Wq14eFhmGEDaA5OPm2dXN5f + qAAlEUIcx4E96zH9kRDGgjGy7o9k1+u1VqvzcH+kNbU5KFcwGD8/pf5I61jWgyOna6TNp2xRbcZm + bMZm/NeEEMLR3VAmTNewjq+66pr/cdqra7X6R973kRc/58X/641vOP7EZxZFceDQPq/krq6uMkYx + RWmaSl03DAMgeimlZTmUUoRkITgc9Go9JdjYIwcVO6APoIe2zxECW1CyRkPgHIk1a1FQVqjX61GR + JXlSCG4YmmWaRZHJQmBKMMZMY5RqURwLIVzXHa4PCSHCbgAjpoUQQgiqGxuFJXRdB+p1mqbz8/N3 + 3313q9WCYwJEbimlRZYjhAxdHxmenJiYGB4ehnFWQJ9mZ2fb7fbc3FwUJWD6pBCpVeth2JMIRVGk + GHFdO8rSqamDhm15nrOwMFfyvJGh4QP79vvlkmJYN4y0yKFj2Gq1gAoBfC2dMtd1YfIer9d1GS/i + JDM03bLt1cXlHtGe9+yT9j2wNwpCgrBtWgSEc4XQKEXy8Z1UYA4K3rsKIYUQVggjqoQosoLSDDGi + YYIVRgpT9AhKDE/iAJJaU4JWVGGEEFGIKPg+iCiE4bsphDf8ipzzLEmmp6fX8B+lKKVZUSRZBskA + LD/IohFCFOOxsYndu3dbltXp9W677bYgCJIkMW0rjkOMMULSdW3GiKZRXWeNVlMxdPQz9hBGzzzr + pWeeddaXrrj8hptuvPf+ew3L1EwDE4KkkFJiSgilUiKQJCniWNM0ja1NKMVxaNt2GIYjIyNBELTb + 7a1bt7bbLd/3bdvEjAohBJP9BAnjh4/bPQETIYSQVErXtCzPKKVREI4MDUMhJKVUGEuC0NObIPOf + q9j11x/0XQzDWFpamp6ertfrn/zkJ9/5F+8+Ys+RRVGUSqVarQZbACHE932Z86Iovv71qxCWH774 + I2e8+KWMaUtLK7brT0/PVsrVKI6FbBaCU0qKIm922vV6PY1i+CDwK+jrfWkaA8YdpZQRGkQxIthz + XCyV4ByyUtAoGxgYgKK5D+PA5gjzmuBB5LoOZRhhESdBlvYsm9SHnIOHFnsBRbhgzFQKa5rBudQ1 + W6daq9F0LAsIviNDQ5TSaq1SLpcQwhzJnEuhQI++0NnjUt5/fIERarUaaZqCs/gVX/2Krmu2aTqO + 1Wq18jz3XY9LwbnUNC2JU6UUwRg8fDEhA4OD13z3O71ebw0OzjPTthzPbTQalmVdduWXgiiywnBh + acl23cu//OU8zw3Lane7W7dvv/vee13f/+SnP33WWWf9w6WX3vTznw8MDqZ8TneUkJLLPM14kZM4 + CMdGxn2v/oY/+pNDh6Zn5vcPjVpDIzvbyZ3PPLl6+stfv9RcLFe8PE0IQholSvLJ7TvvvPeesu// + 4Xnn/+7pv8eRdd89942N7A7C0HVqUijHcdIi73Q6coPkd594BngXiCUAulgqlWD3B/Y5VCnraniq + v6iklEIoIUSack3TXNd1Xf6Y/kgwNbb2w6fCHwmtEwY2bhlP5+1jMzZjMzbj1w+m692oZxhOFEVl + c4AQMjs7+4pzztlz9NHttP0Xf/EXg0MDy8vL57zyFbqpgbATVAKwnYIBa5qmjOlSyqLIsiInhFCN + wS4Jpxs4NHieBy1wUEf4j1wsjDHnkjEdK0QYMk09K9KCF0EnppbRbrejImMGcz03yzLBgddCpFKU + UoQxVDWe5/m+r+t6t9WOwhA283XRsDUNVWCKQ1cX9H727t2bJAm8EEjXQDcATbnx8fEtW7bU63Xg + O/U7xffee2+n09E0DYgJQohuN8AIRVFUqlTq9froxLhXLilG9h7cF+Xp6uryEUccUSmVlhYWTznl + lFKpNLM8f9vttzND73a7pmnqup4kCZw+nHN4Z7DQ1RhDCDHGcsF932eEYoTq9frpp55+0V+/97Wv + fk3YC7Isy7IM5qOwQo+3OkKPqFyF1+5UlmWIImabff0kOPcf9g790eJHfv/H+4UeZ2CMNU2DklJK + Cd4kRVEAuzJNU13X4SrV6/XDDjtscGDAdV3LsrIsS5IEFI8JIYam+zV/YWEhE7Lb7riu2+l05hcX + tmzf1mg1kyz95a23vvWCt9z0859//J8/Nre4sNpcLQTPeCGkpAxDiiu4QISidQ1eyzCxQkVRUEqH + hoaAMpNlmeC8XCrZtr26tEwLlSQJ9HMZYwoTQkiaprr+WJ7Iv37Abwd5Tq/X27JlC1rvF8DVU+v/ + 7YmhQY/r/j6ZPkgbs0/omhNCYRj9mmuumZ+fF0LU6/VtEzve+b535ZwrjJeXlycmJtI0jbo9yYWh + 6aZhn3P27914843j4xOdTldKNDmxNc+5ZbpQWIMpgePYQgiDaSIvIPXfqH0s1l23YMwRdhOllCxE + mqZASAWQGhQqobJCCEHVBExNgBHSOA3jZGioHkZdqVCeZkVRDI2MZ3nUaDRGR0eDKHFsX0iytNjc + smVy//79gwNairnnOARhnWmkijnn7Xa72Wy2222qaZgSiZCijDBCmC4lfworJISq1Wq57Gd58pKX + vNjz3F7QwRjv3r3z0KFpqAmllAhTXdchq+dJBi5MmNHqQC2IQtt1q7Y1Pz+PGR0aqOd5fu33vlv2 + S/3rbNv2Jz7xCcZYlmUg4NZut0dGRrrdLuf84x//OCwDXddrAxWFCy5jRJSu2zqx06ToBenVV32/ + Uq5pmjY9NU81zXXdJM40zWgEORdBOxBZVvheRXFcpKJUqnS7vSjLi6K4+B8+ipA8NLV/ZmZKYZmm + IQhqVyoVXddXGqtxHBuG4bpuvu4b3V+l0CmB7d59bH8k0vdHkhgrjNWj+iMpLB/mj4Sk+C/xR+o/ + hmvH7abQ92Zsxmb8lodSinNeL/nxShKLtBsGf/wnf1LTBr7yua9STurlwWZz1XXd6kCt0W6MjI52 + el1Q607T9IEHHiiyzLUdUXCECEKoKDKFkWVZmJKccymla7mU0iAIAJaJoqherxuGAYUT7OH9DVYg + xaVACCkhsVSmpnNZlCrldtzjBGFMqtVao93UC8E5Z4QihAzDyHlBCEnzPEmy2sDA8PCwEGJpaUnk + a+SRflcOThy0weYOzpE0TcEuElRYgTSeJInruqA5MT4+XiqVgPiAEAIsAiR/OOdAaYEsvFKpCCFc + 2/Ec57jjjz//z/+M6pruWEESvuZ1r62USrfeeut999yjMy1odfxKuRV1X3fuubngcE0sy+rrZTPG + JBdQTIJyQ8F5t9stpNA9LUkSJQRVuF6v25q9d+/eKAyhcF0zuiD0N+zlbWx69mmQZN0bQxLcP+jR + 06lvCGc30KyAeAISzZVKBSreer2+Z8+e0dFRQogoCpAig3QLXLwwxo1Go9FoEELK5TLowum6ftFF + Fw2Ojbz/oovO+5+v/+ZV3/7KlVeWq5Wp2ZkTTnzmVddebdiWbdsaIQXPIMmhlEqE8jyHZishBHTk + HcfJsgz6s1JKyIXuv//+3Tt2Gor6vm+aJtRUSqzJKj6JVxeWPdyvWq0WZAnUbIogrsSaU+zTdXDg + sSh2/XRt/Q8IgJ0/+pM/HhsewRivNBu1Wu1nN91oOjbUytCTcP0SAJGLi4uuZx9/3DNd17/++usZ + 1cMwCYLANGwY7XBtp91ut1stwzBq1fL07EytWgcVhL4aphBC0zSGCJLKMa04jqUQFb/EOU+ShDKm + GwYsR8aYbdvwhMOTn2UZgEgAJuR5ccRhh8/NzWAkGdEw0Yljzc13BgfrnW7bcqqdVtdzHdPwNCx6 + nXhkaIwiLAperlRmZ6YYY9smt6ysLtdqNa9Ucl0XESwI4kJwJYWQCCHylJIisYrioBd0EFGFKNI8 + 64XBwEDVL3vNdmNgYCBOE0ywRCgKO5btUkK4ElnGpZSq4IdmZ7gUuq4jjZqOzTQtiCMppcSo0+vC + uTI8PFyqlFdXV3XTQAQ3Wk3XdanGemEQhuGuXbvuu+++icGJMAzDMOwmaWXQKmSGKMWUKIwN0y0E + +elPb2FMRwiZpomxajQaXrlkmub0zIEjDtvaCzpIkZwjKZHgiDHd9/1GY6Xsu+1OY6Dudzurpod1 + jbqeSrMgjmPDtsCNodPpAFDzsL5R/wlECIGQxsP9kQzjYUsaPcQfifd6IaWaaZqe58m+PxJWmDyk + 80CeSn8kzB4mc/i03DM2YzM2YzOeUAglbc9tdloOcXSqK6Vq9YGiyXVNdyx7enp6bGxECNHtdiFN + hL0Uup/tdntB14cHhxihhDClFEKKwqRHniVZxjkPuyGgLrt374aiwrKsdrsNw8kbqyOllMQIYUoI + cWxbcdHtBlmRjoyNdtOQ83znzp2G5zRvaTOqSSYlF0JIRRUcAUKKSqVSrVallEEQBEGgEWpgCh/R + F03FG9zAEUKgGwSH0UbiAEyqjIyMHHXUUaZpQndyzWRlnf/SH3atVCpQ+yVJYuqIamzPnj1bt2/z + SqXpg4cu/8oVK63mc1/wvM9+9rNv+KP/NTI09PzfOblare6Y3PqZT3/ukkve3+l0BFKgrQUJPVR0 + mqbxPOecQ+6UZxkXwvd9gZQsRJZlI0NDaRgLIe4/cH+SJAihvi4fxaRP8Hm8S0Ip1QcPAEFCCAGg + Aep/Cqw4EUEES7xGytpwNIJJ4iO/+VParUYI2bad5jkgMHDrIeH0fR8hNDk5uWfPHhBYb7fbLtBU + ONcxBjwnCoIoCBhjURyPj48DD3/Hrp2Dw0PPeMYzTjzp2R/+h/+v2etYjm157uFH7+FCXHXN1eOT + E9u2bYuzNEkSURSUYVPXhVJCCExZURSOZUu+Jj0FREGQCSn5PrRrW63Wrl27kFRpmvZ6PRhGMJiR + pxnIRVL6yH5fjzcIIUmSWLoGsvVwlSCFE0rAfVxrW0glpXzy+JJPTvznBRJan4ugCsGoWVEU8zOz + 3W53bHLiwKGDnueFaQI4Y5ZlouBzjTmdaa97zWuRkPXBAd/3f3XnXX/w2vM8rzQ3N1ev13tBAG7N + y8vLfsVTSi4vLx9++O7BwcHPfP4LS8vLsDXAsoMWvq7rUOSAjDJUzEIISgg8lt1uFxotat04DCqr + PlyAECqVSmefffaJJ54QRm1C9JHBXVGAFubaw8NjlMk0DdM0Z0yvlGsI5/ftveWZJ+yZPTTN87RU + KhGChBCrq8t/+VfvWSu3eIEIRpQopaDBj9FTjunquo6QMk2jUi21Wq3JyfFKpbS8uvLCU19w1733 + uL6f5lkaJU7JF0JxpHTTgBnBJMssz3V8r9lsttrtwcHBNE2TPCeE1Ov1MAwrfgk4A3Nzc+VyOQxD + z/Ogz7R169Z9+/a5rnv//fcPDw83m03XdavVapSGeZ5iqhPJ4iTJ01indqVURhJRhPM8X1icGpuc + GBqrd7oBytXk5OTUoQWMZLVW7/WaA/XBTtBTmAZ5QE0aiSiTsWaWCUNKiTTNoiiA025paalcLg8N + DVmWtbCwEMexbhhKKbwBdYG1CpXSr+WPtC49JB7ZHynoY0cIY9n3R6KMPmX+SBtv9MZeGiFEPo3N + 1DZjMzZjM/7TUBgpjDAheZ4MV0ZRiKM4zvMcYcJ0rdcL/HIpyVLDMGYOzT7v+c9rYVIURZ7nPOVJ + kmDNYowZhkEQlnKNuqZA8xYjwzB0XaeIZlnmuu4ZZ5wxMjLi+/7q6uqdd94ZRZFat1Lob60Sk7zg + jDFGtYILQsj42ORrXv06qWNsaJ24d++Bvb+49Zd5nkupNE2nVIAcGefcdp3Jycm8KGZnZ9M0dS0H + CSkKAXSbLM2FELpu9A8maOoppdI0BTHVOI5d17VtO8uySqUyMTGxZcsW27Yhg4Semq7r8Nc0TUHI + Lssy6M0B+dC1vWOPPXZoZDBJkqDbLZfLtm3vvfmmTOZ/9MY3Aqqw2l550Wmnf+T//bsrv3HlHbfd + 7nleWuTtdts0TbgOpmkCCcpgGvwEeA0EY/g4rFCepp1W2zatibFxwzAGB+rtRjNNU8m5zrRHJL89 + 3tiYc2JMgIihIHmja/1Q/DTrGzabTaEUY2vqgoALUUprtdr27dtLpVIURSsrK1AaZVkGOnVYqTiO + xboEPMYYUzo3N3fYYYeddtppmqEPDQ0VRXHXPXe/413vfN15fzi/uHjE0UcVnK82G0mWnnjiie// + wEW6ruuGwTRNyALEjRljUIlTSmEQgzGGCS2Xy8CobLVaCCHHcQYHBz/3uc999l8+tTQzD8JRnHOi + 1uha5Ek1phdCEGIghIBt+OS++VMdjzWDtKHdLmH5K6W44JVK5e0XXTQ8PKxp2vU//fdLLrnEr1bA + awxmP3zff9YzTzz33HOv/ta3K26JIPKy019y3333ZWlx4nHPjKJoqDoYhmGtXmUI1+s13dC2jIx1 + Oq0X/e5p//ZvP26322mWwWRbf4AEdgcp5Y4dO0aGhyHZDYJgeno6TdPTTjtteXkZOkZjY2N33nkn + zOSAbOWDZatGX3Dy8z7ykY8cOLB3bHTnvgd6jrGlWtqVxrjbbes6qw1UV1YXCCpMJ9es5t8u7T18 + 53YpsoWFhQsvfOvZLzu7G7cBSGUaeChLiZQiGCGFMEKKoCfEdPw1gyiU5xnUAO12O0nTiS2ThqHd + fffdp556yr6D+7u9IC1yiRVhOM0zxvQkzZIsY4zlvEhFkRS5aZoCqZwXvTCoVCppmgZhGMexrusS + o1a3MzA0OD8/v2PHjoWFBcMwqK4dmpk2bEsRPDox3mq1XN+bnpmxbXv7zu0HZw/puqEUYoyavqaE + 7AYLCMkiy33fH99WDsNlpKhm6HGcKOVW/CFKqaYx00gRJbrJJMrjIsZUmZpm+VaaF1mBPNOnjGDh + YAwTtAUhxLBM0zRBkkg8iu8BMLnhIAHBFpDaDIIA/QcaOn5kfyRN0wzHkQ/xR5JSqv8Kf6SHkUCe + VofBZmzGZmzGbxKEkCRPLdvuU26ajcaO4d3RclQqlbIw932v0Wgcc+yxP7vhhrHRMdM0q9Vq1I0o + pTu37DjmqKOq5UqR5YQwKaWm0ZwXSZLYrmPadhzHi3OL0A6jlDabTUBjxsbGtm7durFAgj1WYkIo + I4TEYbQwNxfHsVhZ6fV6yKSuXi6VSmNjY6Zh50VBKdZ1vdFYtTQG+7ZXKSulOp0OVDuEEKSQVHzj + xFF/ghqv2/XAmcIYGx4eBh6gUqpcLu/YsWN8fBzakYCcgEtEf5wJFIAmJyc7nU6SJJqmjYyMDA8P + T4xNuq6bxnHBC6UU9OAAnbjnnnv27NnTaDTGRkbn5+fvuPOOF73oRYcfdcSfXfDWUqk0MDAAuAFM + IsD3tHRDCBFFkeM4vudJKdudDmOsVqnlo6O9TtcyTNu2fd9vNBppFIPIEJKqKApGqEaZfJwd4keY + QdowuEUIKaQUQhBNR5SCIMfjev+nLhmDcF3XNM1KqbR7507oigLqBZWtUspz1px/iyxjhAiMoe8M + xiQnnXQSNEmBqWi7rmEY9z1wP8a4kOKGn9/0P179OkRwkqWYksXlpWq1euqpp15z7bX1ej3P8yzP + McaEojW7WCkRIhQTQIF8xwVk8uijjz7zpS+NoijP80ql0mt32u321pFJzvny8nK32y3WBxageuGc + a9qTNoOkaRpo/SVJInNFrTV+jSQIk3VbS6WwArj18a2fp/r+PtYM0oOjFxQjhDRMlFJIqm9961uf + +MQnfvazn6Vpev6fvvmuu+666ZZfIISgCx5F0eToGEH41l/c8vWvfe1Ln798dbnx7ne/2/O8Xi8E + M5mFxcUjjzzy2OOOfuYzj7/73ruCIHjVq37/fe//64mxcY0yhNDGYcF+mQQbzUte8pKzX/GKOI7j + OJ6fn//yl7+8srLytre9rdVqAWf32cc9+5J/vuTKK6+EV8EuAKbUaZq2Ws25udnduw9/9zs+fMUX + brj6W3doxWBrVVrmZNCNsy6VyLDtNA3nL3z7Oz/woQve+pa3KpH/5V/+pSykRFwIkSaZwphSkC8U + GIP0skQKKyUwenIW1qMFKPlkeaJp2uRgbXp6GiF53913v++v7nrxWS9TCPcWF0zLiePYctxuu1N2 + yqLgiGCNGZZlNZpNr+SLKISC07btTqdDMQFko1wuF0WRJMnQ0ND8/DxMy4RhCFw1jPHS0hI4xO3a + tavdbh86OGW5PtO1JAgxwqZtFkUSR3Gl4kkbZVkHU1OoTNNtzguiU8NkaScTQut224an94KmX3VX + 223CsGUaaRbygvdCwqjBqIUVkYJiRJRSjuMopWZnZ2u1Wq1WMwxjtdHob6zub0Z8AAAgAElEQVRQ + LaP1IueR/ZEQiqIIXCDW/JGUQg/3R3LzPF9ZWalWq57nARQp/wv9kbJ1aQeMH3wGH1bUbcZmbMZm + /JaGlNLQDR3prU67qpgi2PW8drutKS2OYyFQq9XSLTOMop27dikhoRGekARjPDQ0tHXrVkPToyAk + hCGELMsoBI/j2PFcoVSz2Wy1WqurqzCnmqYpAPiWZZXLZbQOyD94cGDChRRCdVptaFExyDcwLZfL + B2anm82mpmkKoyAIGKWEEMOwuCyq1arluQsLi91ez3VdjHEYhrZh4nVRn4fpCsCpAT8slUqe58HQ + PBQnkJ/AgQWUB3gVDFRjjGF4QUp5xBFH1Go1xhgUWkDV7nQ6UnLbsXthACnTjh074iIZHx8fHh6+ + 4447fNczdeOCCy7Yc8zRH73k44ZhIELQ+ilGKQXYqigKSJMQQpOTk7t37UqS5I4774TR7rGxsYmx + 8V6zPT8/zwj1fZ9hojMmhEBybVKIc07YE8x/+jcFr08f9elLa/UnIbKQWKKH3cf/3sOxyNYsjPu3 + qT/506f9b6RT2rYdRRFIOtUqFc/zEEKABzLGkJTz8/NHH310o9HQNO2lZ575nR98R0p5w40/+99/ + 9Ibzzjvv5ptvfs6znveFyy4DRHS9pJEPqj0j3C/OTdMMw9CyrGOOOeYPX/UHN992M+hCyXE+NjbG + ER8ZGbnlZzdDTxmIo6BF/gR4ko8WQP7iWeo4TqfT0QxdI2RtAEGjwEiFtgVBDw6YPUXxBFT4HgtB + AopqFEWuYSOEIIE+bNduXTM+//nPDwwMrKys7Ni184wzzvjpTTdC3wIrVC6X5+bmjjpyT7Va3blt + 5+Hbdh+7a4/LrCJINUF8y+vm3W1jEzLNzzrjpSed9KxP/fM/nXXWWUfs2HX+G96Y8qKv5QVO2PDU + rXEWhdAY63Y6t//y1ne96131ej0IAokRpfRlL3sZPDCVSuW7V3/38ssvh80oiiJ4+EHfrFIpEYII + Vh94/4eSyK6Vd+wc9w8+YCQtwywPqzStl2uLK/foVnrLbbdQ5DzvOSfv2r4rS4NapQrXJMsyAAoA + MUvSyHVKzU7bckxeCE3TJOdgtgM1PWhLoEfRYMGPX+KQcw55OWOs02pPTk7qpjY4MuKWvEajEUVR + qVQSEgkhGo3G8OBQ2A6xQq7vdcM1BVKwrkMIlUolULhO44Qx1mm3h4aGer2e4zhpmgJQA8SwpaWl + 8fHxZrNZKpWASwrlgW5Yum5yqTRmRFGgayzLYsPQFBIFTxHmTFMWZq12w7Z8v1SJe23b9qIwqNW9 + XGVSYKmyJO2NjA2naSokd127SES1UkuDLA4zIRTG2DCMnPOiKHTTQAgBOFOr1RqNBqPUNE0Q3QY8 + By5RX4AOBnzBJLcoCpgOXPdHKsQj+CNRXWfr/khlxsgj+yOtI9H9P4OOzW/ojyRUEWRNKSV4GqxN + +qYc+hQKKfXffR5sxmY8sdiY0DzeNvBm/P8mMMZJmlClWZatIgV5uaYMhJCmaZZhIMGTPFNCMI2E + vaBarQIDGZJmoPEzxijVKKVhGFCNDQ4O9sLggX37ZmZmlheWod6ApvXMzIyUEuht/Q4gpI+UUsa0 + uNu78867lxbmPcdZXV09/oQTIOWYmpoyHQsGRMFPiXNeq9XiXjC5dQIhND09XRQCmP9k3a2Bra9w + IKvDoZ8kied5MLRDCAGdIcuy4AwCwIFzDhs7pTSO4/5kAbwtJNAg0NonY0Obr9lsep5XiBxeDq26 + qampgZF6tVr9zne+s7i4WKtUu4a5MDtXSCGRXFlZEUhBkgr0cgC1EEJISM75Mcccc+655x57zDE1 + t7bSWz311FPHR8dLpdLxxz3j45d8VP9dfXFxESa9Ie9nlPK8UBRTSh+3jw1+EENY+8n6W8C1xWxN + HklISSndOOT9VByFUB7IjPOiYGRtwkpKKbGklAF7E7geQA/RNE0i1ddYgnwArfdq4d1AXQxWb5Ik + lmMnUey67tqSQNjQdKyQputxHA8PD3e73TiOx7dMFkXxox/9yDTNhYWF733ve+9553uiLPrmNd/c + t28frBx4CWXA0aNKKSyVwbSg0/VsByFUFEUSxx/8wAcW5ufPPffct19wYZ7n5XK5Uqn8/d//PbwP + SE9LKU3d5KiA7/8kXk9YY+AARhhNkoTZpqZpnCCh1viuhBCsno65zWMVSDDAByw1su79vLS0NLsw + +/KXv/yrX/3qEUcccdJJJ113/U+QlEEQrDGIGPN9n2d5nmXjo2NYKVlIpJRj271umEeJQZiQPOoF + Vb+kIeLajs60Tqs9dfDQEUcfpYQ0DMNaV2hY4xwTkue553m8KMZHRgcHBz/zqU8vLCx84QtfMCxz + dmEeev9DQ0NvfvObr7jyCt/3wUMN4IIkSaB+0yljFFer1f37Dx6563lnnXnsNVf+AMvq0UfsObAv + ZpQ2l3IlBqLeUpHSk39nQtNeGgSBFPmxxx47UKtJgTRNcxwnz3NEsG4wn/qE0IrvaaZRm6xxLsul + 6gMP7AOcGoTUEFT2j5QTPIEFAUIUju0FQQDFpO96Rx11VJ5nzNC73V7Q6UpMHMepVaoEUawQpVQU + PI3i8S2TXIg4jpMiKZVKjLFGo1GtVgnCKysrI8PDCCEQEoASCCgBtm0PDg6CuXK3261Wq6BwPTo6 + GsVxHIe6rusGM8yyoWtK8DwtwiDFSOZ5wYuIMea7nuv57Xan0w5KY45hyU6wghkuELeIX/Z8iomp + GyqXSkpDo7NzUyY1S165VHaADI3XRRG63S5UO5ZlDQwMdNrtXq8HNTDwBPoM134t+jj9kdZI5Ov+ + SGa9Xm+1Wg/3R9rQxOq3u35zfyScq1a2JISQcsP0EVZSyke0gNiMzdiMzfgtCtjJscBYoTzPRV5o + mmZi06BGHuZpltm2GXZ7W3duiZIQNEVBQBmaUKAmByQiaNXpptHpdPYd2D81MwOnla7rjUbjqquu + gk4fQmhkZAQoT7CBQzIQBEGruzI9M9tuN+M4JghRSjudzo033thOepIRbNDlTpNz7rpukkRxHFer + ldLIEBCkYScv1oeFgEZCCF4vvVix3qQDn81+lgzCUdAggzQaMmnY7QH1gswBdBrgcAF1VtSfaF8P + 3TRyXrTbrUqtOjo+ngteq9VOeu5zznz5md/57rVCiDPPPPOss8762lev3LJly6te9aqf/uKnCCE4 + TOFeQJYFrUMkJVzAnTt3nnHGGRMTE2efc84Pf/CDF5/2ohf+zsmOZSOEdMY8z8NyndsgpFIPzg6p + p6cY2a8dUMasrdL1Qx6uOfTr+wwUyEygaYs3TE9tAHYeRAL7eYXCCGTGOOcY0j+MIcdGUnHOu90u + IphobGFpcWFx8fqbb2SmrjPtE5/4xNVXf7vZbApZiHUWItQemCjouvYnSoCuQggZHx/nReF53mWX + XXbkkUeOjIwcOnRodHS02+3ed999oJgPaKQQQjHVL7SefqXKkxBPwMrpPymQDMPQNE3mglJKKM3z + fH5x4UfX/fh9F73/gxd9KJPZ0tLS5ZdfDr0Nx7KTJAmCoOT5lmVpmmYZplKKMoyVZAS7joUpQhjF + YQrT9uPjoxRhz3YYIZ7t1Go14ByD3TVfn/eAdbC0tHTsMcdUKpWjjtzzkx9f59rOFV/68t9e/GHM + KNQtS0tLp/3Oae987zvHxsbWlASVQgilaQoIJkWq5PkVv5pn0nFQ0kGVcq2zQm+7/ZBjjtiWgRSw + 56jjukigLMm3T442Wx3HcRBCOtXBMMfzvOHh4cHBQcZYWqSGYeiGUavVZmfnKcZjwyMrKys8yynC + CBNecCwVRaDwv3ZtH0a9/XVvMEJKqJWl5V6nO1CtUUrnZma77dbw8PD07CHCqBSq6lcQAc6YXG0s + OaYtisJ0XYrJ6tJyNwxKpdJAtba0tFStViulcrfdUVLu3LGj1+60VhulUqlera2urg6Mj3c6nW6r + 7Vo2POGWZYm8wFIpLnTKRF7wIlcqU1hGcS4FwgrbpmOZZayQ71ph1Ot0OlbZwxh3O7HGzC2TpdXG + rO96WKSm5Yo4j4JQp2Ye8lazOTExsbq0iJQaH60buh50exLHCAshBNGYpmkiz+CwJISYluW6Li8K + EM4GcBauah/XJr+OP5JcGx1G6wgvrDchijSVmkZhvPIx/ZEeFMeTv6E/kua4movXHTP6J+LD9Bs2 + YzM2YzN+S4MgrKSklIp1Gr+SKgzDmlvVXH11dXlwcPDgwYO1etWzHcjgoTxYm0vBRAq5JtFEWRRF + Bw8e3Lt3b6fXMwzD1EwgHdxyyy0ghL1t2zZQfoNmNhwWSZLMzs4urqzOzM5BoaKUtCxrdXX1hhtu + 6OWRV6sstVYM3xGyUFwC/m/btslop9cNw5BLgTFV6zrUUkgslUKkj3RB/gpGTHAE9GW+gW6w5l0j + RH/eBlhYffI2FIS6rsMP4eptfH+EEEIyy/OhkeEsy+665+6jTjjuAx/84Gqv862rvvnFK77U6nT2 + 7t9PKb3wwgt913N9791//Z6iKHRmwmcBuQZGnrIsK3s+xvjkk09eWFjI83xmZuayL36RF8UPfvCD + j//jx0ql0szMjGVZvV6PECIfmkQThJ6GuPDjVbETSEkpGSaUMSLXy54NzVDgoUAdomkaImstUtRP + 5zZgYphgSghlhCPcn3+jlHqOm+e55JxiolEGdwEhZJpmIYSu647pwTLLkoRqzHPcmblZ2zSiOMqL + 1LZt4PKlWUYppQRTSrmUhRAa1RBCIObc7XTiKMrzfHBw0NT0f/mnf261WkcddVS72Wq32299y5/7 + rtcfWoMURSKxBpj9B6PYp2c8rvv7ZPogoYfK0qN1qFHX9Y997GMf+sAHq9VqpVIBSa5SqdRdnzK3 + bbvIckBg4zRijCgs0zxpdzumaRqGpRuGputMp9u2bfP80v5DB3tRSDUtiKODU4eiKFpeXl5eXgaR + QqiJYa+pVCo//cn1S7PzH7roA7Zt52n6yU9/+lnPetYNN90IH/fiF7/4y//65dtvv73b7cILoR+D + MQYlN4bR4uLy4tzC8ODw3Fwed3VqxbnoHffM5y7MRYaNlhcOlGq5biVRd7HVQCW/fPe9B4ZHao1G + oxcEYR5zIdIiz4u00Wgszi9oBst4YRhGpVJZXVm5/bY7CNOef/IL8zyHXQzQ8/94SdETrZGUUlu3 + bouiOIszwzBkITuN9sTYWK1c63a7aZaJghuWTaRyDBOZ3PdLvV4vDiND0zy/BJo5hq5XyuU0TS3T + REoNDw+H3d7S0tLWrVvjONY0Lc/z2dnZsbEx13VBnh+GkRBClFIoX5vN5tDocC9uYSQQ4tVKjedS + cdXrRDplILe6dfKwRqPR7nSq9UGM8epyW9fMvJBJzBEWjlXmhbB1u9frjQ5M0oJQqes6c23/wIF9 + BOEsy4AdC9sKkAFASiHNMlA4YIyBcDZ4MvTrFvQE/JFo3x+pwFjBf1OP6I8EVtAb/ZGUEr+xPxIr + E9/3NU1DmKv+R+BN7GgzNmMzfusDK6SEVAJxzplGCKW6pkkpoyA0lZmn2a7duyolv9lsjg4Np0W6 + 3FgFlgTQftb6+grJ9SZ9lmXTszP79u0LwxBOCqhJoKVlmubo6OiOHTv6LPe+xPbCwsLevXuDOFJK + YoxMy9B1nSjUDTqICqfsTk6OTy1OoYwURZFFUX1wwHNcSmmj0UjzrN9HY5oGM+h4jSaEN1YvGGPP + 8+BsgjNoLatGCKoytM6Uw+tCqTB0hBCCDBioeqALBWfN2pXsS7YizBhrtVq26w6ODF9yySX37n0g + TBPd1qM8rQ7UFpYWL3jb2zRKkyjWdd0uuVCh9ft68DVgPhY01u68887XveZ19Xp9eXk5DMPPf/7z + ruX87d/87Ve/9pWBWo1zXvZLa7cDk4epCj2BJv3TLdS6H7wSss/9A3YJ6P5NTU3deOONMDZsOfZD + +IHr17M/M78xzZNIFUpmvPBsJ4lighCSihFqmiYUzFRjKS8URpppREncaDbHR8e6Qc/U9Wq5YsGS + yFmSpZQSYuiUECGEVErTNAVmoWjNptZxHEPXgR26ffv2N/7vN7zmNa8588wzFxcXFxYWHMd5/etf + /69f+3pfQRcQpP+eK/40jscSaegr9BtEQ+ujHZ7nXX311WeddVaj0VhZWYHUGehklFIlhOd5zeVV + yEfn5uYKxIMo2bJza7PZjqIozhOkE46FwGh2ccEbKO84fDfWmaS42e0MqXHDMuGz0AZzG6huhRCt + VusAOlCvDRzcv39ibLzTaj3wwAMLCwu7d+8+dOjQ+977vvPffH4cx+D62i9RQF4wDEPXsg1m9Hqh + 7/vdTvcTn/rmzGI35OSOvYcMrdpuqdHJSsaXM7UytMX48v/5xo03X/PRf/jQ6urqwYMHT37B7wDS + PTU11el0er1et9tlOqWUht3eQKWaRrFlGBI9uIv1Az/+WaNHuSuYEbYwuzA2PHb5578Qx1FRZBTj + er2+d/9+gNGEEIZl53muJAI7BaBHUl0rBP/lbbe+893vPrhv/9jYGGOsubK6ZcsWzvnC3Pzu3bsZ + Y2EvWF1e2bl9x/79+1eXV6rVahontVqt1+nWarUgCDqtNhCmt23duu/AvlLdJRibhqFrtNdq81zs + 2r7zda957bHHPCPLCoxpXsjB+mir2c0yMTA0IFUmVS4FUkq5lh1HEUIojSNdN7vd9sjISJZlYRS8 + 973vTdJUCAcjhjGWwOilBHjJeZ7nRaGU0jUNbIs6nQ6g2Pihg5vkP/VH0s0HISD0oAnduj9S3uv1 + KMWmaXqeI/v+SBsofP0P+s39kUysE/dJWCabsRmbsRlPwyDQjV/jFmEwJyUCTU5Ofupjn9SxwXn+ + tre9bd/BB4hGtm3bBvI5IGwDmT28A+zYkBK0Wi3DMnXTLIpCcJEkia7rruuecMIJw8PDpml2Oh3o + i63RnJQKgqDT6XAlXd/jnCMksyzBUpVK3tjE+NDk6NDE2B333xUVmRDcdqxKpUQQDoJur9dTGGma + xjATougTBzTCCMJkw+kAx24Yhtdff321WsUYA/jQJ/v1B+uBaAfnl23bkItDnw4hBJw3YEz1m3Fo + nbxQcMkMvdfrUI2Zjr33wP4kSx3P5Vj4pt/udcfHx5cXFjXDqNVqBw8eND3bMIy0yOHAAm45IcR1 + XV3X0yiGpt43v/1NQL2AlH7FFVe0Gs1dO3Z6nrewsOC6rhBCbagDEWAm/wXr5yn+jDVuCEZoQw9U + KK4USpKEYkYIabfbnU6nVCoZhlEIvrFA6sfaeJKUIJsBqmMKI8woVWu+Nb7rRkGYRLFtmnjNxYjk + eZ4VOU6TOE2yLDMMgynM80Lmxcr8Ys6LUrVU8nxEUJJnuq5zIbjICyH6zECEUBLHUIpXKpU8SU8/ + 9XfDMDz99NOzJF2cX1BKOY5z3nnnfefqa4oke2ov6G95PFaB1KctbUw3Pc+bm5uDkX2kVL1eX1xe + CsNw2POAL5TGia7rtWrV0o2FhYXv/fD7QRAMjAwZrj11aCZIWqhgUsn26vIF77xw6/Zthx1+mOXa + jXZrubl6rGW2Ou0gCNZGRBACNBM2tfm5uYmJide++jUaZXvvv395cem5z33ut7/7Hdu2W63Wq171 + quWV5fvvv7/X65XLZdhYAdSGEhlKvnKpluf8zW960z9c+qnhEUMg9+zfe/Y3v/nD5uqhdrOL2jbV + 8qS1rFtpreo885nHX3fdj77/g2u+/8MfnP375zCNWpbl+36lUjFN03VdTJFhGEG35zluyfN37dhN + dGNltQmahn3Rs/6mtvFBegLwEUIoz3PfLxNEF+aX/vDc12oa27lz58jw4N133w3q0pzzcqXGOW+3 + O6ecckqSJHfec/fQ0JBUSjON17zutaPDw7Zt9zpd23WGh4enpqbKfmnr1q1f+tKXTjzxxMnJySRJ + LMv6x3/8x3K5TAg5dOjQpZdeOjg4eMEFF4yNjUFLTEpZqVTOeOkZWcwRUUryjLCSbyuu0qSXpsmn + P/3ZB+4/WPZHkohg5QSBKHKyc8fh+6YOIsR9zy7yMEs7tZqzujKzZXLEtbV2Z/Xt73r7Mccd2+l0 + pqdmHM9ljHG+httzzpVAMNKKMRZScs5brZbv+2AmsLy8DBtK/1KvA+OP0x8JK/pY/khRf99ECMm+ + P5Km0SfDH0n1sqIooJWz8TjcjM3YjM34bY81lU7GJBdYEJ0ypJTjOC867fQsSc555TkveOHJ/+eK + K857/R/ccdevluPE0PS+ptbaZq4QWtc/gDZTHzvinDPMGGPj4+MDAwMTExNQeyCE4GSEZACyC9u2 + 0yIH1QeCcCGKilcamxjdsm2rVy9zLJWSSRLbnj00MsQYa6ys9no9S9ezIpdSMo0phdU6uiWEQAhj + uUaZg32bc64z4+DBg71ez/M8ID4BVvAfOQ7w6zQaDchfhRCg4Nfr9WC2Ci5CH6FSSimMdNNmWAkl + wzBYbKxIKS3LitKEGUxKnBfF7Nwco7Td7SRJUh0YyItCCMEFtywLvieQGPM8j+NYIzTLskOHDr3n + Pe8ZHhpyXffgwYNbt27dv3ffjwn74mc+RwnBCgFlAz2E6fegQvdve6yRGJVC6zw6VXDFBVKIMtr3 + rgWiPtVY/yX9jE4ptQYYKAXjx9A2DeMo4/LYZxw3WKllSVryvE6rPTc1jRCilCJCCKNE1xDBzDJa + rVacJEkcM8aSIPRs5znPfbbtupiiXHDd1P/9hp8GccQ5ZxoT6+afoP8khUAIdbtdpVQaxaeffvrn + Pvc5jPF1P/nJ4Ycf3m63m80mY+zII4+854674CGCB1M8+Lv8N96Bp1E8llFsX9OdFxxwGCnl4vLy + ji27LvvUZRjhVtQ0DOPHP7nuX/7lX2771a+CIKhOTKRp6lg2zLIfddRRN/785rxIbc8J4nBwYrg+ + PoQU8X0/54UQIgiCIImv/dEPf3HH7XOry8TQarVapVKBhLKvNgO3fPv27Z1m66abbjrzJS/94Ac/ + 2Ot03/GOd3z/uh/ZnlsUxejo6IUXXtjtdgFSgPaS4ziU0jRNXdfVNI0oPD09e+EF75yZmb36O1+v + 1M3RbSOm2TzlpbVq5Yg8L6peKS+yJAwoxbZL0Qqq1+vPf/7zf+f5J59wwglSycXFxYGBgUarOZ4k + SZLkeWqaZp5m+x7YOzAwYJp2qVpbXFhIkgTsdZSUjFJGab5eKfUv7xO4WwQh1/GDbi/odAeqVc9x + /+YDF538nJNv+9Wt77jlbYxQ269kWVakeZ7nlVL14g9/RNPon1/w1je96U2GbV1y6aVZks7NzVmO + 4/t+t9s1a7VapaqU+sxnPjM+MDo5Pq6EcG37qCOPPHrPnksuuSTP87/7u79jhFx66aXf+sY3EEIw + b3r++efvvf9+x3KYriGK0zjsdDq2pWuUFpxUa+XV5dYRu0744zf8xTe+/u9f/8r1zzr+jPmZ4Paf + dkYnXh2lUVYkGLWS7v4zfu/l9993wyt//4XVKnvXe/5scW7fC597vGE5liu8EmWakGpNoR+vWS2v + FTMIYyFEkecIIV3XLcsCU7ZHE8iSj+aP1AsRIkqJh9Wu5BH8kdZG3R7ij7SOUj4p/kixysEfiRDK + lehTW5/YatmMzdiMzXh6hZQIYYqwUsrQddd1lVKmob/g5JO/9rWvEUKuv/56wNXHxsZmZ2b6Tqmw + 0xJCkFRSKULWCow10tq6t6nv+6Ojo3v27IGKAiR8SqUS7KtovaUF+20ueFFkSgnH9QzN3/5/2XvP + cMmu6kx47XTyqXirbu7c6lYrGUWCiLYQJgdjbGOMmc/GfgYz83w2wljjBAJhGYyt8YAENiYabM8I + gxkQiCCJIAmh1N1SS527b75VdSucOvmcvff3Y1dVX8VHLSQe8HfXj/t031Dp7LP3Wut91/tu2jI1 + M2k5tpAyigatq8nJSYlgba0ZJ2GaxfZQyxsJgTHmg4ENJLgACUiAUrSTRp6lqXI9mZ2dVYawpmkq + FEgZS6hOHwCoJEeRAxUt8MUvfvH4+LjyEN+7d+/+/fsVBQ7WnU0IIYkgSrIRWjU5Ofmq1712y47t + IU9XGss/uOP2kyePHz9+nBBSqhZAyG63K9GpeacRB0zpNEgpXcv2fb/Val1zzTUf/tCHkiTZsmWL + IqK/7nWv++Qn/qG9tjY9PT0zM/NIAsVPexk92Titg3N9eSeEwMPUAnKeyxRJUAqKW7ZsueSSS2xl + cCRP0fDWh6JQ4aH6eb/fV1VlmMZ79uzZMj0rcl4fG1trtu7RDWVAggiRCARGVGPASLPZlFKuNVsE + 4VxIjbELLrhgcnoaEcCMZjzbu3+fH4VRFLnMppTmgiMJURSZhqH0xNVlnZyc9H3/Oc95zj//8z/X + ajXlR+K6brlcVrRP1aXN81zQQXL1aA7Uz2yc1vXFEvjTJdKAEFJCcIyxOA6llJqmKw2Gcy88V2cs + TdOxSjVJkuZaq1wuT09PK2vnKIlN05ybn4+z9FWvf00/8AzbqlfH7t27f3Z21rbtEydOlstlRPCo + /lltrpbL5ZdaL4vjuOP1+r6voJaRIrOSHVtcXLRt++jxYx/5u7/98Ef+RnKBKVEgI2Psk//0T4Hv + G6ZpGMbyyoptWVmeG7oupAyCQNN1IcTC/OK3b7nVtQtJmpmmmfJG+8R9btFCCK125gzduuvAcqlQ + LrmllUan6xU1Sr55y02Oaxi28bF/uA5jOHTkcKPVyHIxVqsVimWv19N13XEKzWYTAAfRAmU6B6TG + XdRnOALTH/NDPl18QFGrlWB0EESu7WLAOmUylwQIAWQwXQ0RNVptW7MAxMri0tTEZJKlvV6vVqsp + znSSJAKkrutra2vf+ta3ms1mDrmUMk0TL+jfc8/dl7/8ZeVCUSC4/vrrnv+iF2KMvvfD7+/Yum2l + 2bB046ILL37jr74hzZJu0KeUmBYrFF3bos2V1cDvuraRJNF//+9/ON31jhcAACAASURBVFVzzj3z + +XPPKhw7FDdX9Hrtxa1lSgiStEs0d+74Q2PlMzSy97yzzzWd/NJLnzs+MebF7VwKRmSv2xI8U/eq + umkJDAoSxdHIskyxbBcXF8fGxtRba7fbpyi/T8YfSaIgCOJ4QHsjhEgQ8Eh/pEKaxo1Gq1KpuK6t + xDzFM+CPpGaoRgfYsFkIj71hof8M7bqN2IiN+P9PiJxLIbBgAGCaesFxgec8S2emJpMoNkwNY2N1 + dXl2dvb7P7h1z+4zu91eEqUIIYqwaturuZehVUOuKACMaYhzhJDrumeddZbrup7nlctlXdfjOHZd + V/Gd1ACSOgJUUI1pmlapVOpjY7t37jIsvdf30oRTRhFC4+PjExMT9x94YG2tOV6vx3EcRRHgEUEO + wxACoowhIZlUZGyXAc7SNI1ijWqXXHJJqVRSpkYjRVPFbVGEApVldbvdlZWVpaUlZZRUKpWiKHIc + p1KpVCqVmZmZAcEBAIaZgwAJmOaCHzp0KE3TyUJh0/SM5Fym+Z7dZ91///3fOXTUtHRCyMLyUr06 + Zjl2miaGYWSCD0TYNE3lYFgC50IZ+PzWW97y/Esv/adPfrLVaikX0bFy5cKLL2o3W1+64Ybl5eW5 + uTnVs0Zk0EYUw1d1ukaxAGI0szQgXkoAAIkwgIT1qt4AAPJJpsQCDch4T/YPHhUqMVPyFXmc8DTT + Na0f+0KI8fHxmZmZNE0VmVOAmoobzF+pr6ZpYkowoCAK50/OHT1+rNfpcgTUNJMo7fX6E/XxLZu3 + Ucwsp6AZVp6kQHAuOGI0F7wfBlIgSjRNM4AL1y0maep7Qc/pb9myaesZOx588AFCqGPa7XY7S7lm + EiVKrtkaSKlargq5cl33qquu+shHPvKhD33onnvuSdP0jB07f+PX3/zZz33mRz++09UtzdAZY4RS + dfm4FLngRKUc6y6NukynO2CGQKjrOPhU1Z8rN6uHi3oIBADymaZQnm48boGk4BeVwwXdfhRFkHOM + sc5YlmW1Ws33/fnFhZmZGcaYGvIBIZIsMywrydLDc8ev/Ms/xxRbtjY+ObnWbHZ6/WHffWBWo5r6 + uq7zfOC0hQjinDNDJ4AywaWUAgGiBCEQCHTbykHmPAMCQDAwzAGwRAKkzHOBwDBNgaDneYZtSQmZ + 4AZCgJFl21GaEECVseq3v3dLnoo8EwhLQgDhTGIOIHIxuHjq/sQSI8kAgMtc11mSxJxz09I1yoAR + zKgfJv0gpsxIOUp4ZrqlIMskobkEAKkQVVDIKQDAY+e4T4E9RSkmBGV5kiSJ53lZyhGgLMkcy1H9 + KgBsmQ4ConRReJ5ShHie9ftefbzW7rYnZ6Y63W7JLIVJDBguuuSiG770fz796U/fedsdCMHY+BjT + adD3CyVbAq+P159z6SVB5JmOfsbY9s5ae2KqdvllL/3y12/o+Z3NW2cWG0uIIJFn5bKbRVGp6MxM + T0sRVyvW/MlDJec5l77AXTh5yf37vucWtiwuJRMTs5mETmetXGaEsoue7RjmC+I0Z3m+efNWrxdY + RrG1tqZTR2OQJlzVDFxwKWUu+AjgjuNY0zQAUJQJtVvpuq78kciT90eyzSxPszwlp/yRxGP5I2FF + BOecl0oFNRf7GP5IuUAIYUR4LjgIhLCQ+Wn5I6kjXJVJmcgAQNM0dbNIJAEN3aYHi1WA/Llp9pxW + qBRE3T55njNCEEJ5lhNNE0MH3Q1gbSM24ucoMABIAAmMYh3rrcXGWbvOef2rX/XQnQctjWGRl0oF + ANg8syXP821btrqWW3JLmmZkSa5TprAO3dDSOAERAwDG+JxzzjnzzDMFSEQIpZRiLKUMI183WJrF + usEow91eW22taRanWco537pt8+Yts3GaU41RSjEgRpASHQXF70Bo165dq521++8/kGRZuVztewGj + OuQcECKEUEaFgCiOFXWfUJIkkcZ0dQY14tQwjDSKGWNbtmxRSnGmaUZRhE4pKUs1kqTr+tLS0uLi + orIHtSxL1/VWq/W85z3v0KFDhmGMj48r3yR1qKnjiXOeC+754a03fbtarbab7TPPOJOn3FvrvuZX + Xnvr97+nSVp1Sl7guVW3g3pRkmk6JRqL0gS4oBgzRqSQIAXFmOc5Rsjv9yml9erY/vv2NpZXqtVq + FAWWZbTbrRtv/PoFz/qF66/7WLVaLhQdQkg+dJSSCAtAArBUMruntysPSz4JSGIAwBIPvislGuVI + QgISUogsz13X9jzfdV0JSNWQKysr1XotTVPO1REvBUipOOri9PqIiuiIJMKEaBhHUdRqtUSaUYRV + KatqWqXAblhmlMQCQKOU6TrPslwInbEoSYIoPHbixOL8fBjHFGMupWboiLAoyxEQ23L7/eCBBx7s + tjuFYvnw4cPFQoFQSjA6efJklCZ3/vjHaZqOjdd1YvTDPtNpmkYas8ql2urqWpDkmqYjYL1uHySy + bUdi8LyOpjHDtAxdX15eVuuwUChIgIcOH3rLb7/1ta997SXPfU6lUrnjh7e98c1vWppfECABIYEA + KJYUC4y4lFxKwHi94BtGINfRJ5/89UUSkAQsBQYBSEgEEiGBhEACQGCQUoJAw9JruBp+pmqkxy2Q + FPlndXXVsqzZ6Wnf9/M4ufrqq6+55ppOp/P2t7/9BS94wVhhbKGx8Jd/+Zc//OEPFUQ7gEQIIYxJ + gnMpUsG5zAVGiABWk/CAAUA3HBhKuWc854ITQhimHAaa7midlvxInWz9RwkAaHh7qcu5/isHaRiG + QJAmCWbUte0sy+I4yUSGNWoZBkJS8EQZ0CFCGUFDuWeBJCAALEAgyDKRAhcEcSnCOE5IRhG1bJsQ + RgiTEknJxeATIwjBM0zDHeSFuq4bhlEtV3zfR4AY07trXaprjOmE0jAMcylM08wh1ak2NTGpERpH + kRBi9+7dtm0LKYuVsiJDt1qtI0eObJ6Z1YCdeeaute5alISFkrt7atfi4uLVV7+/Vqu9613vYjo1 + DG3nrh15nv/Gb/76Jz7xCcsx4yzetn27bmj9nqdrVMOy22rFfswYcyy7XqutLAZbNtu2zYIg2DRd + JtRY63majurjpYS3NENmOUzN1msTdHlpBWOglDJguq6X3ALRtFKhqJx2EQI1MzqolzhXFGoF42RZ + phgUhmEof6TO0++PBAhxSvHQH8mq1artdveR/kin5p6QlEjKAVH+yfojaXhEYT91vR9zRW3ARxux + ERvx8xaMUp0ZyycbpelqrVbrB95LL7/szm/9qNlq7Nq164477yqWy5GIjp88US6XKSYDzo8EKSUj + hFKKJKhJGwDgUhIpBuPvyl9uneLuyKlTsakBQM2EjIZUqSYkUACQIhccuOSYUJ0SxkiK8jQdaBiI + RCSJUP0aQgiXQrVvkiSjjClhUt/3a5Wqq5ucc8/zPM9TjhSKIqjee5ZlSlYXANS4bLFY9Dxv//79 + CwsLiuakNvw0TZeXlwFAeRKWy+VWq6WOP8XZJoQ0Go25ubm9+w4UyiXf9127gBBKwqhSLt91+4/D + Xj/2g6DXH6uNNdut2dnZjOcrK0vVSpkxhsggVRNCKD0+IcTk5OTBAw/u2LFj7969r3rVqwghSri1 + UqkwTC6+5MLIj6WUaZ7FSdIPA50yTdNywZEiXzAahiHVTkseWggEAIIIDEOUAQEo9pBEgGUOWCIg + CCEMiAAyLAMhxBjr9XqUDT7bcrmsmu8DxXYkMcJSci5zCuwprFIpn2wVYBgGYJymab/fV6Vy1/MW + FhYOHjyoXgzGWCKkMZZlWRCFlukghDEmAEAIRYQQQjEmSZrtu+vuVnstz3PdNEGA47hhPwjDWDfN + 9lp3anaSCwkAPJdBECwudlWHN5e5lJKnXNM0QnCeZZEQCsZU9sSdTkdRVK6//vpWqzUzMyOlDMNQ + LQBEiUIy4zgmGgaMVLsZ1hVCQj51CiWGU5jiEINS+bKEIUCl4Ck5qGV/thq+j1sgaZoWhuH09LRt + 26uLKwDwJ1e8e2Ji4vDhw9u3b280GkeOHPn9D//+O9/5zmc961k//vGPC4WCrutZksRhWCqVXNtG + hERxyDnlfGAwnGVc1fcYY8U9U4OVgz1QSs4505hq8yvakrpUSk9vFE9m7WKMFaquLIcVqSzLUoQg + zXgiYKRQCZLwHAuB5eASIgQ5Ao4hBwCN6YARMwyE9CSKeZZlUiRxPGhiy1OQNwAAEk/6znoqIRAI + wdVyX1paOnbsWK1WA4Ce5znFgsLHGUAcx8VKeXp6utPpjJfH5hcXUp4bprmwsOB53omjx3TbWlpd + GR8fbyyvaJq2MD9fKZYA4N57763WqwghEOj7t/7g2muvdSz35S97RblcDsPQw/2u3nv2s5+9srT6 + /Vt/kOd5P/BXVjuUsTAIsOBF26IYiTSTmd5Y7YCkk5P20iJ897s3laua5y95fbxp8852d9mPF9Ls + hB8trHXEybn7Ln7u8zHh3V7rrLN3SMjixBcyNZiRpKEqbBRHUZUiit2rlA/Unqhub/UREUIcx8l+ + cn+kYcBQ4EEIoVZyHOfKDstx8if0R4KhXujp+SNJKWGo1HTqxT9zq2ojNmIjNuKnElwIPwgM04zT + JOnzE0dOvuHS12q6/p3vfvdlv/SKw8dP7N6zZ+/evSsrKyuLC1PjU0r5LY5jpa/AOc8lyrKMa5pU + k6MIpJSA0IDzfEqGdDgdNCQtK6U4xdYe7KsYCzHoaYEEzrkUXADPMuBULi8vZ0gk+UDhaSDkLSRG + OM/zHCRjDGGsfmoYRrFY1NFA+LTb7WZpqhE6klFVp4Oa5VY8CAA4evTowYMH19bWRlu9ImBXKpWx + sTHDMI4ePZokSRzH6sgDAITQ6urq0tLSysqKMtCzdOPkwnylUmGMFQqFKE3mFxbOOmdPuVyOkrhG + qa7ry8vLVGO1Ws3rdTEGOmw9Y4wRQQCgEXrkyKGp2alWp+WsWP1+71//9Yvf/OY3Hzx0UNO0l7zw + RfV6/X0fvWpqaqpcLvd6vU2bNrVWG0EQSCl1RSACYIzJn9gMSZ10nHPAKJdc4gGIJBEIBOrUplSz + bRsTEoah7/uU0izPRlodw34oeryB5KcxoiiimqaW2dLS0tzcXL/fT5JEYU0AMDICVpUtpfT++/ff + v3dvnuebp2eEEEkUHzt27MSJE6ZpxmnS6/Worum6bttWt9stlAtSSsuuHD9+/L777jsxfzIIArdS + yLLE9wfOOlEU5ZKrCQKv3SEEVavVUqnAGF1cbAZBsHv37u3bt1cqFQDwfb/dbpdKJYTQ3nv2njx0 + TLWJVTYOQqJhf3aUczyiZHnyucj633zKXMcnjtPKi55OHyRF/lFZnUaouqVXVlbGxsZardYNN9xw + 4MCBw4cPHzp0aNeuXbZtqx65ruu6rud5HoYhIoSLvFQqMMYIwgAguRAABGFV4gspQUpd103dFCCz + JBUgeZrlUoxg6J9kXExN1KkGTxiGnHNCkO3oeRLnKZcyR5giRKTEQgAl2ghBwhJj4AgEAERJmnOO + EaKUSJ5TSjWq67qeprGibGGMMcJSCglCcIHRE1lL/eShaVq1Npbm+fj4+M5dZ0gpP/vFzx8/ctRx + 3X6/n3OOGU38LEribrd73fXXT9bq5557btfrtVqt6enphYWFOI6dUpFhcuTIEY3QarUaev1Op0OA + jFVqcydOUp06TuGv//qvL7zw4pe85EWGYc3PzxcKJc7jZnPtbW9729///Uc7nU6WcaZreSbzLC3Y + JZHncRQVHRuQzLJsaXmOalmYxF/4t/+49/7bJuvP6rRbU5u3HT7xtXLFtN1wsoiXW82jJ3/05X// + 1EWXTGzZNP7Vr365Vqts27FdShRHuUSRaThFxyWEZHE0mmRVo4dqa6CEqBpDyfmrIZ+n3x9pKFiX + ZQIhiZB8XH8kicQj/JFAnJY/0uC0fjj98ilQMTdiIzZiI37WAiEUp/GW+vZOy3NpOUqSBx58cHp6 + +tvf/na1PP67v/d2zw/e9l9+W9f1TVs2Hzt41DAMwzAixtBQs45iOiJan2r1Y8TX0W5Ve3QkQjAS + W8PrTHtUa18CRghRQrAE4LlEAJgIySUW/X6fExAICCUAAIILIUBIwChNU6wxy7KCMAzDsFAoTNYn + QEIQBEmSpGmaJAlGiDGmyp6RHqlCgaIoCsOw3W7ff//9y8vL5XLZcRzlncg5v/322/ft2zc3Nzcz + M7N169bdu3cTQgqFgqJ79Hq9EydOLC4uKrxibKy0ttaZnZ1FCN14440PPPTg4uLixPTUyYWTtuvU + arV2r0sILpVKClIzDANjUKLSow6glJJzMTs722q1bNs+ePDgFVdc8eIXv/icc85546+9qd/v3/rd + m9/7l3+51mhv2bTpwUMHL/vFXzp58iRFWDdNNU8FAKpl+dSyYDW6I9bl4pxzkEiAAPkwWXMlAMi5 + cF03imOMsWEYqhRR87pSSkAw/BNyupSt0RJa3yFVj/mYYRiGRGhubu7IkSOtVkspeqtGp/IIVpZE + ypskT+IoTO677z7Xsmemp5vN5l133ZXGCWNMeWRxzkulkkAQhGHOOQepaazVXqvValzyffv3VuvV + 7Tt23Hjj1xCBfuhxKalGDdsMgkBNuBmWXnQLysOq3+/3+/3t27e/5jWvufzyy/ft2+c4zszMTL/f + xxi32+00iuePnMASkASKCcUkF7kQQo3BrC8nFFludLFOK1Rl+3Maj5vNG4bR6/VmZmZ834/7Yblc + vuWWW/7iL/5i6/btq6urK43GWqezZ8+et771rVdddZVi7o4kuRljqlxGCAgggijDjGImMJcIYYml + QFLwjOdIAEYkS5Iky3iWSYRs10ZZluSZyoMVCqQaP/BYxevjrX4pRJYkmk0NpqUyZYwRg3CRBV6P + aVQ3qJTAcymEcvVCXERyxIaUQkqpCiTHtPhgdExygTXK1tVsgzsaDccBfwqRc+55XqfXBYzDOPrT + P/8zTdOedd4vzC8tKqxMZChOExpF3W73pptuIhjPzs685z3vObm4cMnznkspdV233+83m82tO7bz + NJufn//kxz9hGEYCyUc+8hHN0K+66r0vfenLXv1Lr777/ns/9Y+f8jyfUvxHf3QFZlrJrZyx6Yy7 + 77xbI1rY92zbTbM8z3MCRMo8ieIeTwnK07y3fef4f/t/33zNNf9rcnP8y6/bcv4vnP+PH/+3I/N3 + VCZnojQ4efyQbsSTm1LL6e3YVVtYOtxqHut0Ok6hWC1OrPU8RgutRq+9FpqGUygUOMgoilSbShXP + +XBJjARSpZRK9kedHD+pP5Kuo5E/0jqV9qE/Uu55PiFMYdli5I+EJMIP21rw6fgjqdtnhB3B8FTY + KJA2YiM24j9BCASGba1FnU7XM2y3udb6k49eGSz4NnavvvrqZqtdro6VKqV2p0NBbt+5Uw17jBqm + nHPAA6K1EIJLVeAgKaRQ+j1D9dGRFrZ6XkWQW6+prQAoLrCUkmNAQgLPqcY0xiigKA0YY4QigYAw + KoQQuVI2QyozAYxVc61QKChLnNZqIw9j9cIopcoDdz0HQWFNSZIsLy8fPHiw2+0CwPT09EglVZ0R + Ku0555xzzj///EqlosyU0jQNguCee+5ptVpq/tayrH6/3+v18jyNAz+XYmJiotfrYQyTk+M7du0I + kvh7P7i1NjWhaVqYJq1Wq1qrSMkVyCaQAARyOBZPKQ6CPiEoz9NCubDcWP7MZz9lmiaXyPf9iVo9 + y7KZmZk4jsvlctEtCiEkHsgzKFLcoBQ8rWrkUXnzqEYihEiMsBQSAWA0OF2R+vBxt9umFK912oZh + lM1ys9k0HXuEEI54HBhjEKednSsGx8P/+0S/nKRpu91eW1sTQliWpfhKcRyrlmscx71eTxFbbNs2 + TLdUKk1OTJTL5X63F8dxnmaGYYyNjTUaDYlgcnLSj8JBZxbhdrdjWPpKY3nXrp3Hjx9/wYsvvfjZ + l3z7lpvyhNu2HSUJZVTTNM/rJhl3bcd1XNO2uBRhHJm2pRn68ZMnPnb9dR/44NXKoEWNJygYU6b5 + li1blKsV55xqlCCEAeVSIqVysW4sfxRPjc0yyK7VONNj/cLoYU/rej3TA0uPWyApb7VGo8E5L5jO + 3NzcgX37L7vsss985jO33357EAS7du2aqNdvvPHG2267TQhRrVYVij3SWlGsNkJIFid5liEhKSES + QAqQXFBCAYAyiinJ04wgZNkOpiSIQ0yIcrNRPY+n9saU+ucANwSglKqaPs9zkEIQDoBAaggQQgQQ + whQpQRUkAUmGAYgUAOB7AaYEhBQilyAymiKEwtDXdEYpVTNIEhSwgAgh8hkGdTEBILhWq/X63j9/ + 8Ytqtmp2aua3/8vbBsCu4HmeVyqVIAhs20Qg5+fnS+UyM3SnVLz1h9+PoghRMjExsba2Fnj9Uqn0 + pS99SUr5zRu/gRC6864fHz16tF6f+NOjR0fDoLfeequqGWzbfu/VV3meZ5qm4zgi40hyLCEKQ+C5 + ZVkgM9vQKEOveOVLsyz7/m1fc6zqBRdP9br73/ib50uwVpp+sejWxy7ve0uGwb1gYfee2bvu/mF9 + bPzX3/wb555zXsyTAwce0piOMVULwHEcqmvNZjOIQnWKwNCpej3RXJ2aih3+jPgjDZpkj+eP1B9h + RzC0RQcARuiT90dSt+MjqqNndj1txEZsxEb8VEIA5Dx3jUKn1d+6bZvsEaprzfZaQXcrxeracmfX + njO9vj+/tFivjuVxtLq6qoTjVAK3tLRUKBQszciyTIkxCABVIJ1CkIYIAOd8vSW3UoQabeaqQMqF + wEQXQlCCgIssjgijVCMhz4I8StMUYyYQAOdCCIwQIQQLmWW5aZoJzz3Pq1Sr09PT6izwej0DUwAQ + Q/+cwOvnRq6YJjAUAFDHjVISGg1EiaHZA6VUKbLOzs5OTEwoUR+VdqtDSp0+mqYZhhHHsZBg27au + 60mepXGy5+w9V33gfbbjxFkqMPqdt/8/h08c833/i//6L9+5+eZvfeemxqoHSKgnGoh9AwCAlPLY + 4cMvueyy+++/H2N8ySWX2Kbph2EupOu6PMv9noeBnDhyFADuuOtHs5s3tRpNRcwxNXN0XJ5Woxit + q0RU6jVYJ4M+tZRSCiE555hzASKXeZZljmNVKpXp6elCqcg5T+LE9/1CuTS6siME6SmHfNKTEkmS + SIQ0TXNdV6njKm1ApWerVoKS1d60adPMzIztFkBiRmkYhkpHHhkD6kqhUOBSrLaaWZ4zxnTLLJYL + h48esRzd87rtnnne+ee9/lded8OX/90tOkEUaqYeZUmaxcIXeZ4bllmuVkqOG8dxs9kcuYkqcXnb + tgGgWq1u27YtjmPP8zRNy8KYScIIJQhTNGg3AwAjlD88j0XDMaSnkN4K9ESwIpY/0/jS4xZIirAY + hiHGuNFo7Nixo3SW+853vvMFN9xw6aWXUkqXl5c/+clPGobhe57v+4ojZJomYyz0gzRNC46rM01y + wTMhMik5YEkQwVIKLgXPuJCC6FgKmaeZbhqGpkdJnKYpIDQQXB5qOqsNDk6zXlQ5sVI5U0Rexli5 + OAZcZFkmBEikYaQJCVmWAeGjKTEkkRwOJLmWy0HyNMGMaBoDgDSNNUIJIIKAD+9EKaVaBs/oxcYS + BMg4jcIk/I3f+LUkSXRNI4QornO/3zcMo9v3FAOh1+sxxgBkuVyO47jX93TTBIqzLHMsM82ySrEU + B2GhULjtttsopaZuLCwsMKaZhnnnHXcqoTbDMKrV6tHDR2u1Ggjo9/qf+uSn6vU6AmTohgAZpTEl + mBAJCGlMSxMe+PE3bvzO9u2bAcnVRtMy+1F8IstJpTKVC+IWSt3e8mqjl2f9YkHvtVfPPmtXt9fh + eZ5n4tOf+VSa5SdPzi83FwBgbKzU93tRFGmmUSwWBUjVTRy1iGC9jffDbe/gJ/RH6vdhXa0yei78 + GP5IjDHdtsXD/JGEEPK0/ZFghCMhvFEabcRGbMR/shBChGmEKZEYhWHoeZ5pWTRhjVarVKosr6xo + pum6bi5Ft+/NjE8Xy2XbtlPAYRiurKxgjAuWI4TQGQOVrikhaIz4QO5swFJez6aDIaCk/n2KOoUQ + wpoQQtcocJGEgSqQ+mkcicSyLE4gSpM85QghTDDGGAQfdeKKxWKxWASAIAi63a7SKhjhVyMGvnqu + kYWDqossyzIMw/O8Xq+nCN4Kf5icnNyxY4eSYUiSRDnv6bqujjA1l59lmWJGcM5N047jOJZy+xk7 + zz//F37xZS/dv3//xz7xcT/s65aZ5fn09HS3277wF86/9n9du7qyvGXbpiSNRM5HAqHABUJI1/Xt + O7edPHHcso2JiYnrPvrRE/Mn6rUJiTDnPApC17Jv+e6tH/nrD01OTm7btm15eZkR6jgOAMRxTAgh + lMZRxBh58gjDE1QhQgiBgCMJaOATRTBBEpmmWSg4MzMzQRBMT09SSh944EG3YGdZAiDwQACPDM/o + Z2TuZX0og81jQjRWVtSSUH3P0PcBoFKpbNu2bXp6Wo2WYUoFoH6/zxzXde00CoOgz/PcsizdYIzR + IAzzPJ2cmqSUEo1dcOGFSyuL7V67Uqts2jL7hje+3o/8r371K4igKInjLEIE65ouAIolt1As6joL + gn632+31eo5jSymzLMUY+76nEiHO+dpac25uzvO8Wq1mED31Y5Xkj+SvhiTDpweaEcNHGpRJj3M9 + RjLiT+Xxn8l43AIpCAI18VapVGTKO53OkYcO7tq168CBA3v37nVdV3VoVHtGmZqpLDNNU9u2zzzz + zOc85zmlQpFSMj4+3m61PN9HUmJKMQCXEgnIBLcNMxM8CSPDtgighdXlu/bes9podLtdKSUzdHXN + Rj2Y9fHE1zBNUzUNhTE2TdP3/TzPp6emXn75Lyt4EaQmcibBQNjkCCRkEkkEAknAEhAIAgkARwhJ + 4HmeMp3yPD1y7Mh9992jPAQ45xIjhJUCohyYjSLyjApxSGWz13FJTwAAIABJREFULUU/DDDG3UZj + bGxsYnLy+PHjQRAgRtMsY5oWpgnC2HHdMAq6Xg8ANMMQIC3DCJPY931N19vt9vbt208cP65TZppm + r9crlUqapmdZ5nkeAIyNjfX7/SNHjpx55plLS0sK6xgfHy8Wi51OZ2lpqT4xrqSxGSFhnGVxbFsW + YcaPfnTvLTd/P+PJ5s2b5xaXJsZne14ASCeYrXW8UqmUxN7ExFhnbQUJfvtt3/O9nm05QgACluQZ + pcwtmHEc+0HXj4K1tbXyWFW9616vpyAjRZlgw+6gOv9UcaK0U39SfyQAZd82Io6rc+7h/khOmqaN + RqNSqbiuq8gb4ifwR8rYQP5eOT+NuHYIIflTonBuxEZsxEY8U2EYRprkllM4OT+3u77Hdh1EMCIE + kOwHvuMU4iyjGgv8YMcZO48eOKxY02pTXT+xSYe5sBJpUIR4KaVClpSIjuu6hJA4jsMwHLlhKhE5 + tS0TxrJ8YP+AEcamaTm2W3RKIu9G3qSpt/1eq9MO40ht6VmWEQnK74Ea+tatWwChpaWlIAgQwGjq + aTCWI6VpGKZpkqEhrBKZUGzqNE3DMNR1XXE9kiSZmZnZtm3bzMwMpbTX6ymLPHXSKShMSqmU8Sil + iiUVBIGum7t27brgwguTPPP8vq7rn/vc5xhjY2Nj1NBXm43x8fE3vOF1R04ciYPw85///Meu//sg + 7KdxogiHnHMsQcFTeZ5v2bKFMRYEwa//5q8XCgXP8wCxJEm2bt6yNL/g2oXp2dmcc4RQoVCIgjDN + M4wxwQNbJ03XpThtyo+6dlg+DERCBBOEGEFYw6Zpmo6FKebACUG2bVNKv/t/v16enbroooviOLZt + ux8EI4RQKkNhddA/HSXSE+BRcRjlWg4AauxZSqmm98vl8ubNm7ds2aIMWweJQZYBppqmqe6zusoE + Y0XDA4TGpya37th+xu5dVNcAobPPPeuOu29vtZt79ux5x397p+d577/6/dVaNYjCqc3TYRypOTo/ + 8FzXLZZKURS1Om0kpLoFlBmx4zhqtGxiYmJhYWF1ddX3fdd1KaVLKysmsDhNlBQKQVStVc7505jE + yieshH+a8RRexuMWSKrBwBhTuIQQYmxsLAgCTdOYrnEpACNN01SrPskyijGllCDMCO33+9PT0y/9 + pcuuu+66Pbt250nW97y+7ydxzDTN0PW1dnu8Xg/CsEtIkqaCcwlgW9blL7v8yMljR44eVc+u7roR + zYkQkkYxQsjQ9SuvvPKvP/hXUZpIKRVSFEXRhz/84Q984AOrq6uVSkXXtDzPKSGEkCgMNcZAym6n + d9kvXf7lL31lfn4RwEgjw3FmCK6sdSNCCGE0iSNGhW3hTmcOZOe883bu33+vaRmaRn//v/7eju2b + EUHPu/Q5tmUlSUQI8qPQcZwsk0JwxlicJRJJ07SjKLJtW0EKjDGVf6OhQsB6rtfpXjAOIsmS1//K + 65/3vOdGUWTqRpZlk5OTzWZTVQ6DPQIBBjSqBEaLYzQwp+74wYDrw25/dFrWOgIJAIwlBkmRREgq + 4DzHIATiQ6MeJAFLREH1/JDAUgDiWFEkpEAASpjDdd2V1QZlxDAMx3E6vY4qJ1ZWViYnJ0ulkmma + y8vLvu/X6vVOp6O2wjiOle75COCGn9wfybIGu8Ypf6TssfyRiKbRoT9SiVL82P5InKOhMKP6txDi + 0f5IiYjMsjkS7lPoloIpB1K3G4INP+chpRweGRv8yZ/jUNs4Y0zRd0FtI8aAZP6IvR1tqFAOI81z + qmtpnJbL5W63ixDKssyQBqVUI0aSJEmWUUmrtbFGo6FpmhDCcRwv6SRJcsaZ288991wKWFHppJRJ + limn11zwXAiEECOk2WwuLS1deeWVqkzK8/zIkSPKIjNJEjVGrzjSUZJkOWCMx+tjkR+cPHa00+n8 + 7u/9DqfYKFphnh6ZO371NX/lFNwwDAGU1gJeW1sbHx+v1GtxHHd7PTXyrus6T7OUp2rQwLKsLE2F + EHEcK5a4EvgeafEp90glLFQul7du3To1NaUac3mej84mVc7BUCJ8ZmZmfn5+pIN33nnnnXv2OUzX + PL+nGYZhGGmejdWrR04c7655Oecdr+1H/itf+fJvfvOb+/btwxjv2LHj3/73v6iMTrEVGKGqZoNh + JjCA4JaWEEJCEs55r9OVOe+yXugHy8vLSmVbo0xKSRjFQBjBkoskSQydIYwUw7Df7yOE0jR1XVeJ + JwVBEEWR8noKw9C0jZ7fxxQZmtZutmamZn3fd1zH8zxMiR9HumOoRvn45ESSpxlPCQJC0b//2xcB + oNNqYAxC5KapB3EggUuJMMYS4RFH/Sn3FeVQCHE0RQZCAoDShIjj2DAMJcWcZdnOnTu3bt06qLoJ + UW9fPY4aEhn197kEDCjyA4NpE+PjL73sMvUUXAiMsW6ZKnnL8xwQqtfrv3jZSx46fPAd73jHSmP1 + 2muvLRQKmBIrDizLcgouQohLWamWkjRVct5qPo0Zuhf4jLE0yzperzYxPjZeb7bXmu01hJBbKqZp + 6gV+oVAgqSSE7Nq1S91ojZUV3TSSJImjlBDCGO33+7XqWLu1RhAqFAq9fl/5oCqne7UUe72e4nwq + WcVSqXT06NFSqVSpVNY6rTzPGYJavX7k+LGxiXoUxnGWUo1xqaYI5UDzFz3cw+dnI05Pck08fhGm + qESqUCGE+L5/4MCB5srqs970a7quf+B9V3X7nqnp7V7XNkyiMb/n/c7vvf3sM/d841s3zUxMPvt5 + z/2LP/2z8y+44OHkolP6MwihJEnG6/XlpaU3vfk3X//Lr736qvcTQFGWFgqF5eXlt7zlLUq5f3Z2 + dnFxUQ3PAMBI40FKKTn3uv277rqnWCi/8x1/dOPX7r7pG/t3bttx/Egz8LOcJ8WCk+fdnK9s2mRd + +T9+/4MffPeH/+aaBw7sveaav1o8OfesM89uB2tpnCj0QKXOjDHOMy44IEIQlgipWcx+v6+8epSQ + 2qk7dhhPLT1ijN1xxx1CiImpSdWxCPr++OSEYZmqzwQYSS4QwQPlwBGvYKRq/zQXSOoRKMjhKOXg + 2XKJczQk88ohvDpgMcrBnyIJ6ukwJpxzQphuu45jKZ3u+fkFzrnjOEEctVotIzQrlUq1WtU0rdVq + WZaFALIsU+eKolAqVrf46fkjDSQihv5IRq1Wa7fbj/RHGp4660GhR/sjRWmw/lVt1EIbsREb8Z8v + 1s9YquCcA4EoiuqTk6126/Dhw0Xb2jqzWbG/lArCzMzM5s2biUQAYOo651wilAuepqntOkzXO53O + wtxcr9dTZ4FSflNwitJCUNv4aIfHlJpWIcuywPdaqw2Msa4bSZJISV3GYt9T8z+qpLFsCwBiP5ia + mrJtO03TOE6VUlmWZQxTIQaGMSOOn2pWql8YjSE5jrNp06bx8XEYNkkZY4ZhKMckpXf16FCyQ+ed + d965556rOoAAoN5dEsUYkKZpa522lPLgwYOZFL7vpzx3CoUgCN7wmjfc8JUbPv/5z599xp5ev33w + 0IMPPfTQ8vLy2NhYsVhUaJLjOFJK5Tc1uEBSSsAZl5BlhVKxudpIU39mcqpWq7U67cnpqfmTc0O5 + tpQQYhsmISTwPdXXS9NUgSqu6yoixuLiouu6lmUVCoVer5dlWdpLLNfBFIlcVmpjqpspOfhh4DhO + rVbrBj0Q0Gw2O/2ObhmAJQY5PTP5wssuazab1bGxbrebZVmj0dAtE9R8u5RCDhRrMcaSPz1D4UoC + m3Mx4kkCgORCMw1lXD5SylXXXRWcjw6NGQKBygJgnVKUpusIIaKxNM8EgjTLGGOLi4uNRuMtb/2t + nTvPmN286dOf/nScJjd8+YaP/8Mn+FCFECFEGFZ6iSrRVQwaNXJv27ayFVHAUaFQ6Pf7YRgqi6SU + p7u27CxVKy984SwH2e12y+Wykh6pVqtBHFEMhUIhjKPJ6aksSYMgmJycDOMoz/LKWDWNkzhNqKTl + akVnWhCFjuMQRpeXly+8+KLOWntxealQcJyCaxfcI0eP1mo1ytj4+HjX76dpyjHA0JQZRiIfcFo+ + WqcXT4HI91Q0qQUapNRD4S6A4ZanGhsaZRplCjx54XNeiAF73b4QgiNBEdWZYdl22OtfcuFF5559 + zhVXXPH23/ndM7bveNOvvpFRsn7rBHQKb8ESmEZazabjOOeff/7Xb/palqSqSllcXJyamnrvH7/3 + FW98RbFYXF5eVri22pLUelWTahQRx3GSKH3fR963ffPm/7jhgTQsrc7bIhyrmvUkFd5qq1DiGp6/ + 786b7/nRgW1bdk6OT1UrpY9fdx3PRCrSfr9vWZbneWr9iSznaRaGoQRuGIamI0z0MIg1QvM8pwjn + QmLF2RMSIcAYwXD+b8TyOq0PP0mSK//sT5MkGRsbUyhqnqRnn332wsKCoiIIBDLnAoGault/1R4v + 1hdI4qlA0uqJMD5VWeUIuEQCQS7XNU8FOlV9qV9GEqvCKc8EAAgBlFJV3AZBUCi5iyuLAkSpWuGc + q/6Eruvlctnr92HYnlFNnSiK1OzpM+uPJIZ6LDDQClcVOOdZHAvGiOM4juM8oT/SKXE88XB/pCxM + PNEa/RRGB9XDdXU2YiM2YiN+HgMrs8B1SMWAlkZJoVAIg3hhYaFYLu7Ytl2jeGF+QRHk0jBS7H0h + BCNsJG+DCFG5Kee802jMzc2dOHZMKcKpMXQ1E6LalGrbR+t0mxAhURQtLy8vLsydPHY8i6OZTbOc + c52ZpmkWeAEAdF0PolC124QQmqZVKhVldpSmuZKhGg01jbps6/+hiDZKj1fVS6ZpKgxHnVMAkGVZ + FEWqWBp9VutzgziOOeflclnTNFVvqDJJkSZ00/A9r1ott1qNXWeeeettP4iS0LQt9Se//btvffWr + X33Lzd8966w9vu9zARozNGYkcVYqsrFaSUpJlE3Tw9XbpJSE6ZxzyzBM3ZC5rBRKrusCQBRFhUIB + AIQQpVIpCIJ2r0spLVXK6l2oi9X1euqN27ZdomVFC1xeXWm1WmeddVaSJ2vttmFqoR8ZhhHGuW3b + rl0wLFNK2Wq1mKNzEEmS1Kfq/dBP0yRNAqbhTZs2ZVnquPba2lqlUlLOkI+MkSrx0xEIIYRRJvIR + IqTO/cFss5RIgmpJI0BIgm1aj/mK8jzH6p9I/eoAD4jiQAgBEc4Fx4yGccQY+8a3vvHAoQN37r0b + Y9zpdDRNq9TG1tbW/H5Yr9dzKTjPlG0xUt45EiEJaZrmmUAIacwoFsq25QZB4PX8PM/jyDMMg1EI + /MhxnFq5Ytv2K1/5yqJVAIDaeL252vjY9debptnr9Q3LDP2+ruvVcvnwwUPj4+MIoSAIdNPgWY4I + RhIIo7ZpRUmcp1mUxI5lG5bJs7z9iY5rO2Ecbdo0c/DIYcMwNMs0LLPZaSdZXJ+coBqVykAFIfmE + QupPHKelSvB0+iCdbqh9ZyBLn2YIoXq9PjExleY5oxQDKbp2v+e5toMkNFZWS8ViFISC8x3btq8s + L7dba77X37x9G6wbhUcYjQbcGSb9fn/b1q377r3vjB07r7766p3bd7znyj9517uvoLrGOfdyb2lp + 6dprr/3CF75w1113qb8agQlqb8qESKL44osvXl1d5Wnxf7znDQvHbr7lptUd239xeSl07Gq9MsVF + s9drJKHxtt9+2YED22QuGGa7d+6aqNUxoCSKSsVK0S0MHJxMU9NpKvRCwa1Wq2maAWLNxpriXaj7 + R41CjVLeUTw1ip3CpsvlsgTodruWbeu6ngqeS5FJASAxJkABhOAgVbfjdJ9CUYGfzFcAVR9zkAhA + CKT8sIVQX5HAAAKhgVCJxHDKKRkLpJ4Jq58ySwOAPM8Rxp7f4yA1RoM42LJtq+f1JEZ5nsdJ3Ol0 + SqWSbdszMzOtVmv0OY/OITR0Bvxp+CORkT9SpmQMH9cfaTglrMp1VSM92h9pzBrrBo31vShVIG1w + sTZiIzbiP0dIKTEgDEj5xEkuOOcGMw4++NDuM8+SGJ84cVwWhFkqwpBjptjOYqjczTnnWaZaYIRR + 27YbreZ9+/YtLy9jAEqpkphSzhCMMQUoqRzA933FJsIYd3q9hcXVBx98MM8S9ciqEw8Ax44d011b + AR2UUl3XOc8JIVOTU4qJHUWREIND3DAM4FJiidFgb1+fdSge5qjtpQaYFcdPnVbq+2rS9fGQBzXK + ogZuFSuBMUYxwQBjtdqJubn61ESUxO12+w//8A+tohtE/gte9MKvfu1rd955Z5an7373u6vV6mtf + 97rvfOfmBx98UHJRqVSUxxRCyPf9VrMJAGRYtI6eN+PSNM12q1UfqwGHB/bff9FFF19y8cW+70dB + SHUNANbW1orFopTStu1er0MpTeNYCXYzXdc1LeM8juM4TcuMGZblEuIUCnEcr7ZWy5UK0YmhWxih + nOWhH0RBrJuGpuvVarUddIEi27aDIMAEF4vFNKFBEBw7dqzf7+/bty+OY8u0Hcfp+QHGGCOq0kYp + JUg1g/T0BMb41HzUOtXcU4DbuqpYSvl4SKAACUNQYZR1qCWU5jkAUKabpsl0DRNy/K472t2Ot+Cd + ddZZbqm4uLjYC/w4jh3H8aMQBhCFQIhwnknAEjjFRMl76Lpeq9UMw1DUOzQ0GlHrUNf1er1u6vq9 + e/dO1cZzwXecsfO5z37eq17+in/5l3/Zv38/1fRrrrmmVCr8+Z//ueTil1/x8ne/+9133nnn17/+ + dappr37lK8+/8MI/+eM/npye/tHtt1/38Y/3e73PfO5zjmUJgCvf855/+vSn77377k6v9/vv+K8X + XHjh1Vdfvf/AAzObZj/68etv/ObX/89X/p0QohyKxdDcGRAQQiR/mi7Y0xRPsUAaEqtOfUelfaoL + wjlvt9sy567tgJQMqEYoQbRgFzTT8HvdNE6KE1OGZjJMVldWXv7Sy13HGStXmiurWzdvaXXaCnTj + IEetdyxBGQ68853v3Dq5NYni3WecMTs9ozNty5Ytl1566fdvuXV2ajqLk5e88EX9bm/kg6b2KdM0 + 4zgGAVMTE712B4SYnS15a9Bu9/acfV6nFSlVmX6/i3C0aXrTiZNpYwV6vSCLRZT0Z6c3KSRTmdjU + ajXT1MeqZc3QAcBxrKmpScbY/NJiGMX1en1+fl5l7epGWr/roXW2Nk+BQxXFMaFUmYj5QUB0zTZM + IJgLkQmOJaheEBCMAaHHstldv2Vg+bBviSEd7jQLpBGKrYhhGAAkEACi0DIJFCSGRwtFDp5OABIC + eJ5nTCOUopxnjBKNoUarmWSJlNIpFgqFgvCk4qQhhArFYpqmCEBN8ZKhyzh/pv2RNOMUBAR4tD8O + /ZFSz/MIQYZhuK4tRv5Ij2JUPqY/EikiVcLleQpD4vL6QbKN2IiN2Iif6xgw0NBgc+OcgxBJHM3O + zi4sLGDGXNf1PI9IoUwXBh1SxlRCj8VAepRSqhESJfHq6ur84sLq6moQBBP1uud5/X7/oYceStN0 + dXW1Wq1WKpWRoaJ6KADodDon5uYWlxrdbrdUdAu2E/k0CILvfe97OUG9uO9USguN5TiOEcGappm6 + Y5pmoVBYWFpUBY+UMCqoQKVAcOo0GZELFNqjgCYFHClR7PVwFgzcWvlo6OgRe76qD5MkoZSq8yhJ + kpgLDOKuu+68/OW/fPd994Zpcve++/7t3//33/79/+wH/mc+99k7f/wjIYXKf0SW79u79/Dhw0EQ + ZVlWr9dnZzcHQXDixAnP8yqlMjzcHVWd1owhy7J6hAghKKblcll5VFx22WW33nxLmqa5FLbrGJZ5 + /PjxzZs3Y4y5FMVymemaZZie35dcSMEtx9EE73l9zdDTFAijGOFKtZoJnsUZQywKw8n6pGPZ3a6n + ZIcFQbZt9wKvPj7ulF0uec/rzM7OhKEfx/EFFzxrtdl46KGHmEbTLIGH2cw+LJ4WkEEIgWGQzimO + iarPTd0aLQC0jio2okE+8sUMVXCR6g7IQYIQJTFXos1AEUJ5nmuEqFm16U2zh44eCYKgUChkWUYI + JZpGVY9VCCE5F+qSCYQQlxxhZFhmsVg0bSsIgna3owBMznllrKpmBLZt3Zqm6dGjR3PB7YL7xc9+ + 4cihQ9+/9QeaafzmW39r+/btn/jEP640G+1e+1Of+lS9Xv/bv/nIXffcs3nr1n/69Kf/4/9+1bad + 2+64/fNf+ELG8+s/dp1hmWEY/t3/vDYOo6989T/23X//r/7am/7ub//u3X/yx5Vq9eabb/7gBz+Y + 5NlnP/+5IAgefPDBYrGYpsnjXYJn2trotOJpQ5AABlooAFAoFFQNTSk1mI4BgiBotVoTExNh38+y + rF4dW11d7Xd7CCGR5Y1GI4uTRqNx5rlnNxqN1dVVtYmqAkmtPwKoVCrdfeeP3//e9/UT7w1veMOO + bdsopVdcccX7r/7AH/zBH+zevbtWqxUKhR07djz00EPf/e535VAlXMEFcRxjwN2O126167WJtbU8 + 7FGmQXN1KRUVTS/E0pc45LLT7fUKBbtUhPrYeBKluq5hQM3VBhdZwSkkURRFURzHcRynecZ5BiDD + MOx5nfv3Hwhj/vwXvEhByYoJqlbnSJ9afVaPuKmefAyy8MCfmZkBACFEkmeMMaIxnGecc8l5rjZo + VR09ioO7/vkeUaAJBBJAIFB79pP5iiUAIEBi/U8EAJZYIMASAWAlLgASAMTDC6rB0wqc52mWZYmF + jTgLQQqEsWGZlmU5ju33w16vp+aIFJuu2WzmnJdKJUPXW62W0vlRYJ0qXcRP0x8JySf0RwpG2BGM + EoIhGfUR/kgap3RyYBQLI4/an7W5xY3YiI3YiKcUGBCCgfkglgBcoCF0bxvmOc8/77bb76iWyqam + I57HUcyzTFl0qD4X5xzkIDXMssy07cXFxXvvvTdOEzm0A6GUNpvNr3zlK1JKXdd37doFAGoeeERp + W1paOnr06NzCQpIOjgYv8YDnrVbr29/+tmCkOlVbvrtJLZ1SGqeJMrohhDQajU6nowTrpBQqXc7z + HEuEJSD8sBljRTbzfV/J/Cg4S/2JEvJWR4xiCSqi4COMH9enB5qmqdNHPZoQghJSKhQLhcLRo0eL + xWLdtg4eO3Js/vib3/zmAw89WKqU6+P1xcXFkydPbtu2LY3id73rXVvO2KZma5UU7draWpqmiiXx + 6HatABCc9/qerusZz13XHa/Vf/jDH9539z1f+tKXGGN33333N77xjaJT9H0fAyqXy7nI1KnabDaV + hKDrukppKcsy13V7vZ6azo3juFIphUlMGY76keDc1ExTN1ZXm7bjYIxX262pzdNhGo3PTtet8Xa3 + PVavZ4mv9JZUWjU9PU2pdvz4cd204BEzSIAwxog/PZAEQkgKqRZYo9E4cuSIKpCSLH10Uqcu6GM+ + jjr9BzWSBCnVS5ZM1zClaZoijaZpKjHSdb3X62E6WBXVsbFKpeL7vkBISql4pJQxQuj/x957B9p1 + lXeiq+6+T73nnFt0i3qxZONuE4MdCBACmZAMLzaEFIOpkzbEac95KRCczDzIBJiXkICZxCFMEsCm + 2WCCsWULucmWZEm2erm69fRzdt97lfljnXskO3Ym9tjBybvfX1fS1b377L3XWl/5FUwGc0iMcRJH + lJJSqaRw/t1ud/jCKEK1YRiTk5OMsbm5uThMCrazdv26+cWFL3zxi1dcesVd37r7qiuuVLjWXD7/ + 0O5dl1566R2f/ctiuVQqFM/Oz116+WUKwbR79+6rr756YWFhpFpJ0/TRPY9d89rXfO5zn9u8efPC + 0uL2C3c89sQe9ZgOHjz4czf+wpfv+Mr2C3csLy+fPHmSIyChlAAqW2c0oGRJzjmRLyMH6UXECy6Q + VA79T5N6VTcTQgTjVDPKhSIAoNFopFk6v1DfsmnrwsKC5CKNE43qhmYCKW3LIgDnHLeYLziW/cPX + XrfUaar2j5ogCTV0U/LKEClRuJtvvnmiNrZhw4Z+t3vttdd+5jOfSdP01ltvrVQq69ate+tb33ri + xIl6va6wuWBg7snU9qRhI8v43NzC+vUzx451f+/3P59kxXItNzt7mmpWksVu3tB1EXv1vrfwwANn + fu/33rd79z1e0H7kkUdm1k9hRJMkaTabYRgGQdBut7lknHNKCaW0020FQWA5RZZllBAEIUYok8o+ + +FwtMuwfvIinJQEQkuWKORwEQeB1+10lSxBEvmbQjBPIwDBxh6q8hOdeuIFGzXlfPysgBBwK9C++ + NCgxEhoASEABAANQqGtEUMCBep36WXxQIwGAQAagEINmj/pXiSBybSdNCaW00+kYmun5qeABxIbv + hQAAxQ5ScFu19XS7XU3TTMPI5/MK8ACH+qqDz/Ly+CP1fQDQQNL93H17Tn8krFwsnuGPJAe4x+f0 + R4r7IXQGfCcuGRccY4yQGqKuDpFWYzVW499DQAlU9xwAgBDSkVYwCxjiT3/60//tTz/5t3/7BcZY + zjILhQJZiXNQNEwghGAAbIa+77fbbcMy3XxeDXaKxaIQIo7j8fHxtWvXTk9PqwRR/Wo1w4miSAmH + 2k5BSokQyNJMw1g3DQlFZc14ebxy4uwZJDJq6MoVHQDQarUiz1ejHoHg0MVhZejyHApMEMLjx48b + hqGmImBFH1h5B4GVjHnoJPFPq5Thj1INaIX0Vt9p6kaWRLlcrtXtNDvtqXVrl5aW8oXCwtJipVYl + mnbkyJGNGzcq+AwColjMB0GUy+cLhQJj7MyZM0EQlEslpewKAEAArtDmB/UehCxjzLasfD5fdApZ + lj366KM525mYmLjuuusmxyZvu+22nJ3L5XLvvOEdhw8f/u73/nHN9NT69eu3b99+7733qlT7x3/8 + x6empm655ZYNmzdJKd/85jc/+uijO3furIzWXv3qV5uW/vU7vk4wDr3wHe94x8njp44eO1av1z/4 + wQ9OzKz5qy/8taZpe/fu5ZJXRiuh1966dXMcx3d+5SulDUdyAAAgAElEQVQgk+98z88dPnx4OHZ7 + WUMIgSFRDdAzZ84oaShE8Pk4FLmCh3++BO98uxqFwVGguzCOMKVRFOmO5XmeRFCB/Dnn3bZXLJUB + ALOzZ7FGTdNkjCGMEcYYU4RQxlmaZpxzCKVlGvl8UdOMft/v9/tJkkGI1PhI18009UZGqoZhPf30 + 054XjJTKSRDX63XFKzNN8/CRI1NTU41W84euuabZbu3dv298zUS5XE6S5Lvfu3fDhg379+/HGhUQ + HDl+bHxyze1/+4Xrrrvu3vvvC+MIa3R2fu6aa1/7d1/6h8mZaULI5Vdd2e12T58+rWlar9czbUu9 + EpXx0Z7XE0M/30Ej+MXEC8qKkAT8X0Gk4Vmh0FZcSqUwqIC/aht6+vChhx7ZfWD/k5u2bLTy9p49 + ezjnFsWn5mZt2/yl//yr+Xz+P/zEWzXbDNL4Y//1v/z0O67XdZ1qGlATZ3COfaFa77li4eTJk8vL + y9+8+643v+lHL7vqyqePHNYt88jxYz3fe3D39y+4cMfi4uJDjz6iFMYUpnaICQZYEp1MTI79p1/8 + xVtv/fTM+kKrAdevG//GXUcOH3vadZ3lpmebWMNsx6W1Vv/kez/wswef3g9gtu/A3vfkfkECrqCl + SAJTtwghQEDlbGPnXMM28sXy9NSGRqOlGIoAAGVZoGxzwDPxdf/0j//bUHi1JIpkxgDGedtRzRgK + URpGWRQzJQwNABASACmkhOepgojzzaOe79ci8b+9IDScZ0soBpLFAAAEoABAACgkZAOCpJogAQQk + AgBDKSTkALKVy0AAIFUsRUEIoCAYYilqlUqz0WYRNwxDUgQhSDlHCEoIhtQyAOHy8vJorVYoFJSt + hOroKH+k4ZkqXnJ/JAmDIIjjgUc7xliCwYM+zx8pl6Zxvd4slUquayvMvPgX+CP5IFNGTwYmHLAh + P+o5XhIJ5YvcUlZjNVbjBxCr6xWcJ6aq+AdQAoowpRRIcM9d3wYAH9y337YsjHESBlEUBVHEGJMI + EkSUJAMTHEoApVQ7ZxonuVxOM3SWpnEcM4SiKAIAbNmy5eKLLx5yStVeqtx+IIRJkqhWmuM47XYb + aYRqOItT0zYqtbEtF13glAqPH9jX9vtJklRq1ZGREb/f63a7lqZbju37PgCQUhopj1SMARfnC/cP + sWpxHB8+fFhh84Ai2UKIMVbKqGqgBM4TlX5WYn0+0mT4T0MwQkdKCES91dR0HRL8+OOPY40sL9YN + 1yZYq9fr69dtWFxaEkK4OdsLA8OyqE5VS1H5yOfzeSUgvoJWONdY5ABILiQGGtU5AK7rhnF85OBT + o9XR//u3f3tqYuqxRx6lWNu4cePv/u7vP33wkGmaS/Xl3/jt37rqqqt27dpVLpcZY5/61Kf27du3 + bt2622677cYbb7z++uvvvPNOhcj44he/6LruY48/CiHcvG3rzf/55vu/d9+WLVsghDsuvvAtb3nL + 1791V2lkpFAqZohDDG3LBQAkaQYhLpVGAJPYMW+++Td+5Vd+pVBI290eQgSp0u58DhJ8MZCt8x2Z + AAASAM45yzKiUwBAlmUDPy5DV3KIw+6nSjaGrc/nCLiiQ7ayFpSfseQcSQQAMjU9MzIuxeLiounY + SRiZ1BAJzwTPOXmEEOPcMa0gCCQAgnGBhBSCIGhohqZpEIFisdjpdObm5tSUUhHw1Bfbtm2DED71 + 1FNqspemqanrOtXuvffeyclJyzYOP33ohuuvP370mBDiiSeeyOVy+/fvnZub27hu7cmTJ9etm9m5 + 68F162ba7bamkYWFhSDw4jheXJwvlyt33/3NSy+9lEBw9vSZ2kh5374ny+XigQMHbNu+++67laTe + wtKinXObzaaZs7lStwISDoS+sWJovOCn9XLGCy6QkJRDmObwCwQAwghRLICgOgUA9Pz+lgu2bty8 + 8R/u/LuxsbFmpxmwYOurtlKiqyzWdnMKB3X/Qw9JBL+7+8Hy5DjNOQwADoB6/0SWIQTTNFMCYn4Q + 1EYqjUbDLRaxaZycO7v30EHNtSHBLIlbva5mmZ/9H5+vlkfyhcLi4qJlWXEUQYAlggCjOEudfK4f + tX7ohy9fWFj8009/xHCMMoI9/7s/8sbca3/4EgEARJJQqWEGYHRmebcU8Z3feYpS+q73/uzIWJkB + 7uZdKaVip6xsZzjjcrneMB3bct12t7Ncr0dxrOk641xIGScJJmSQ2T/zZsohQ+W5Aq54cg9nIBRh + BLSPfOQj82fnwjgCAOTz+SiK8vl8HMdRFJm21Wq1IMHK8MugmpQyDiMmuLJ8XmrUy+WyarxBCAtu + 7uzZsyOlsq7r3W63XC7HaZIJDgDgnFOE1ehGKXU2m00lnZclaZKllmGGcawb+YwLIYRGIWNpFPQh + 4pOT483GsqaRJMk4l5WR0VazJzicnpk8efSwZWuI4lanvX7DxmajSzSz5/UNXcNYIsDjKBirjbeW + 2ywhF1508c233NyPPN20GU+l8sZGSErBhaCUNptN5V1rGMbS0pJqHw516l4WfyTbzFiasfQ8fyTx + XP5ISMEsOeeFQk4Zwz2HPxITEEIEMWeCAwF1rDZMICQlhItMco6RNuCDQql0HYcLT4DnIpn9uwgF + ShzCHeFKt0kIMRDPXOVlrcYrNf4ZAS0oAQTKi/zlNBR/pYYAQCc09lMm0rFCzTI0JaXzqY9/6tb/ + cutNN763lCuAjPt+QAgyXQMRDBGSELCVbpccaPsgSilc8ZHXgA4AEIxJSjVNW7t27datWw3DiKJo + qJNkGMYArr8i4QMh7Pf7itSuETSxZmxm3XR1tIpNrd/vNFv1QnWkNDLCBJ+dPe31fE0zEMJJnBGs + MSizLMEEAiC4EBhAKUGaJkrRm1KaJSzLOM8idYWqYac8jhQhyjRNhWhQGD9FZ9J0M45jVdd5npfL + 5XRd7/f7hXwhiiLV41P1FWNcN6jr5hrtFgJQ081MSBnzkWLVi0JBZLlQ6Xa7HMg1M1OnZ09JJE0D + 1ybGl5aWkARJGmmalsSxRvQheM/QTfXDIYSGYXDJgySojVaK+ULf89r1Vr6YS+OoUqmcPnmqmC88 + 9dThUqlUqVT+4emnXvOa1xx4+qkrX3314cNHq9XRKIowphs2bHr00T2eFwCApqZmMKaEaLlc4dix + E9Xq6ONPPDE6Nrn7kYeJbm3Yuvlr3/xGkqV79u390C99aPdjD9UmKs1uJ2JRBgTWcMoSnAGAiecH + /X5/x2WXMcZ+7cM3d9p9lkkMKAIIwEG1qSZx6IXDLuBANgNxzgSXluvUxkb9Ti/2A83QM8Y45xs2 + bbz6h14dRRFAUOEJVd2raZoqjVT1q4B26loMwwAA9Ht+vdXesnXrmokJSmkaJbVK9dChQ1mWxXHE + BGeMccCrIzWi0fHRsfnFhWwxc81i3+9hJi7adsEVV12Vcdbutkql0gPf33Xs2JE0yYrFYqffTVi2 + ZnICIRSGYaPRUMZEURQpX1rO+dq1a+M4npub45wrxCmFOIsSESWuafzMBz8QhiEEggKwYe3M29/+ + 9jQITh09ujw/9/6b3lMs5D7x8Y+XR4rrN238j2/7yXvvv09IdvbsmQ9+6P07tl903/3/SBEEgv3i + Bz9wdvY0RiBNovGx2g0//dMf+6OPJWkUJ+HNN38YAPybt/wW0qnl2HGarXQUVvBVErxwFe6XPV6a + 0aSAgGcZVKrHQAIhu/3e0tLS9ou2X3jBNgBFHKVMgizlGBMpYRhFSZIp5WWiYU3TkjRaXl6OWZay + TA0E1RBGdVlUe8O27ZSzTHA/Cqmhn1mY+8L//GIYRxAhgKBuGkEQzC3Me57X7nbUdiMBQBhnaaoW + vO/3v7fzu+Pj4xdfcqHXD0I/sA1L06wgZABSCRFCAGGBoARIlxxz6XKBAICB5z+05+F9B/Yqj7nR + 8TUYY4SIhCLjnGVpmCW90CdYIwDH0WDXG+qcqFT7//Amq5WWpdn66Zmzp07LjFmWFfY9AICOiR8n + Yd+TXJi6oUbqQogoCF3bkVQr2XbKsnazVS2PCCE6/W5VGa1KOTU1lXPcpaUlIKSmafPz85VaFULY + j/qFohvHsWWYnVabELLjgu1erz83N1cul/O2G8cxlIDxWAgQRxFGpqnT8dH1nXYz7AcY0HazOzM5 + 3Wn3lueWSsVKq9ubO3V2Ymwyy1I/7I3X1nQaPcmhyEDBLmMIev2WbdGR/MjJI8fXTm70eHLta65z + nFyYJUIICDBEUnFeIYQYYc654KzX6wEAlFF0v99X/kjgB++PBCDkhKAVfySrUim3291n+yOd4z1B + ZX74QvJ++PxzwNVYjdVYjVdccCliltq2DXqy0+lcfeVVd3z2q1mcffjDH8aMvO/G9yIJdKpRnYRh + 2O31EEIcSOVCI4CUqnUlOEIDqU9d1ymlkguEkOu61Wp1cnJycnJSyWEr4wclHDfwCQRgmFoom0vG + 05xbnFozOjk+YTkGRIgxJiSr1SrEMhhPMzYQjmOM4YHbn5QK3qJEnQGQTEIkkUSEYkqppIyQDAhh + aPqOHTtUUaSYVMo0T6EHFfBBIcMVCyBJ2cjIyOte9zoleNBoNI4dO/bkk09yzqvVqqrlAAC1Wk1K + GUY+QGjrtm2tVqfZbF5z9TWvfs01EiDdMTIp9uzbc8fX7uCc9z0vYdmGTeunpqZmT58VQsEDkbJB + VxdGCIEQKiqvgoUHQWDa5ujEuKaRMAw7nXYUhgXb1QiBQvR6HQzJ8vIyAIBxPjU1hSlptlsQQs/z + qtWqcoltNBoIoV6vNz8/3+l06vX65OSk0lUzDCNJkqDe7Hb6lNJWu1sul1POWt1Wxlmr01yzdjpu + JGEcSQIgRhpFiGClQO26Odd1W61WkmTdbh8AYBq2EIJJwTkfKMUhhCCS4gVwkAQEQwsWhBAQgq8Y + xGeCE4ggRLquO45TKpUyzs5HfipwShAEhJBqtap61mp6GQSBykyW6s1Wu/u6N77xgm0XQAgd3Q6C + YHFxudfrKRlAgABCCGtYSgmh7Hb7lub1Wp1ypRyG4euue315ZKRYyhNNYyJ74IEHEEI61Xq9LsW4 + MlohCC43GsqZt9/vK9hLEARJkmzcuDGKol6vpy5JFW9CCgqgRihLM865rmmEkCgONE2TgiEMTEPL + 5XJSMABgoZBL07TvdROeWLbR6/cNUwujCANICEEa0jQtzWJd1zWN5HK5dqMpgKCUqlQ8iiLNNKtj + o812i6trQOoRDSgdA6r2K6zv+VLKfEspFXMOAXj48OGPfvSjcRLWahWEQBBES/UGkIgQCgGmuqYG + yoQQPwqllEIwTdNyebfRbMVZmsvlhstVsUHESiiLK6X8+PDDD4+OjwIAlKdv6Ader8/SLE1TU9OH + g5cwy5R5c7/f//a3vxPHcRKEQgidagiq2Q4GkKphp0QcAS5BBgSXElLNUh6moR8QhCuVyuLycrPZ + XDM5nmUZIsq5GQgpGMs4kwmTlOiqJ6Q0ncEzgafPin8mw1W7uVz5HiWnnXNzI+XK3d+8e3l5WYkT + WJalagPf9xHGCmOmlBuCvqdr1HXdM2dnR6rVKIkRwarHkLAsn88nSZLL5c6ePlMoFEartWPHjk1P + T/d6vWq1Ojs7a9u2qiW2bt166tQpxY1RjnJJkrium3EGMMIUhX5ACIn8IJfLzc3Om6ZZq4xRShv1 + VqlUsiyr2aoTQiDAcZBRSoM4HB8fXVhYkBLm8iXGGAIw51izs6eqlTIhBIMH00S+/YZ3Oo7T6jeZ + ZBBC9SAkGOj/pGmGEcqyrNlsIoTUO+P9oPyRVgKsCDwIITiXnPM4ZsrT3XHYP+uPdM4YBJwH0nhB + IMzVWI3VWI1XbCCEfN+3bXtkZKR7qmeNWJdffvnC4UUUIhbw0eqorusAgLGxMeUGbpqmpmkJQgAM + lAzgeRhw5R5LdQ0hhAgmhJTLZfVflHIpWBEKV21WhWdDCJXL5W3btmWcA0gl4K7tjFZLtmHGaZiy + TEqgaVqtVvOSpNPrpYzHcapRCgCQXA48IBEEAwqAhCtSOuqgIYRwjAkhUEpK6czMjPKiSJJE9ezU + vEhhHKSUlmVhjLvd7tmzZxcWl8vlcq1W6/V65XI5n8/PzMwoBb8wDNUQSblNqNIuSpJOt+X1+s1m + c2JicmpqinGuO9Zyvc7SbKxa60f9VqczOTnpWPbxo8d6PU9kbAD2lkhdBhhKC2II0SDxUHJ5BTfX + D/qR52dJCgbD+wFEvFqreZ4Xx/Gp0yemptfYtt3v99M01jSSJNH+/Xsty4jj0LIMw9CyLBGCHTz4 + 5NTU1Nxcz/N69fqSBLxWqSVpFAbB3r17JycnleFPFEWtVmtq/drG8nK30zJdh0kBAEYAcs5Vi7bV + anU6nfHxcUW+wCgDAAggIYQqyZbgxch8Dw9c5XmoDv0BlgRANbpUE0iIkWIpqw64QmzmcjllN+L7 + vuJfdbvd+fn5drvNGBMAqd5rFEWFQmHT5g31ev3s7Ol6fWl0tMo5j9PY9/1us+v7frvbUvh/w9D7 + /T4AQAhhWVaaphs3bz709EF1GZ7nWY7luq4aZIVhKIQIw3A4aXQcZ2RkRFGnVLNYrQiEUJZkQEBE + MECw1WkXcnnGWMIyJ59bbtQ7/V6SpZpp1JsNjgCXoh/4Tj7XbLUY51ESU01jgvfCPkQojCJq6K1u + x+v3mOBe4BNdW1haBAgCAGzb7vuexjPbtucXF5BGz6U7K7f9xUFCXlBu9IP0QRq+NwAAJd125syZ + LVs3QQghhqPjYxCjVqvT7fUxJiVjJE3TOEsppXEcUkoFEIapd3s9t5CncawYRGp8pIp4tZso1Gy9 + Xlc+zWq+CVaE59UwXW2FgovhGF0l9EoDrdnomaZp2TmCMEIoTRPEhW4acZrKQSMfSACR1CAWQKI4 + TgAAlmVphm6bVsqySqViOTZAEEAoAIAIYkqglEhACDADXL2pKkcfLrbnxaT+C+L8jLnT6SRJIgW8 + 5ZZbCNYm1oxBAfN5t9FoIQQYE/1+FxHNsg3bcv2gn7PtIAjCJJ5Zt/bM2bM7H3zgq1/9quM4OUpU + 3j83N3fRJRc3l+tHjh1dOz3TbrcppcuLS5VKRZltV6vVxcVF13WV8y+XghKacQYx0jDp+T3MEOOp + plu6QQlFmzZt+sm3vX3j+i1AYgBI4MdZxi3Lcl232wmkNC3TyVjMRWLoKE3j6kip3++mSRxFwfjE + KIQwjuOPfvSjzc5SEIUQSggBkEBKISWC6NzdUFNBAEC/319eXlYnzSvCH2kFI55lAkIJoXxefyQJ + xXn+SAide9yrsRqrsRr/zgJCaFlWr9dDCFmGjQj+jd/+rV+98VfLlZHUyBKeOflcbWyUc6bG9WrA + ghCS8tn8HLVFj4yM5IsFQggTXHWvVK9Qgas55xhjRY1WzSwFglLG3BDjjAukNmIpwzDkkkEEpRRA + 4jiOozgOgiDjAq5YuErJJQRSDk5zKZXLpUQrtuzDTu6wHa5yGNVKV1MjdXYoH7xzpdHCQrvdTlIm + pbRtO0mS6enpdrt95syZxcVF1Rq2LEsNoDjncRx3u91ev/PkoScFB45th2E4NzdnmOa26UlEYJrG + Z86cISYdrdamZ6bn5+e9bk8jGsAkiiKMMYIEAKBGUmqqoM7TIAh0XS+VSpqh+b7fabcgE5ZpMkCy + LFOGFhs2bLj0kkt27ty5vNxIkuTqq6+mmlEs5CilIyMjb/qRN8VxfPvttzcajZ/8yZ8khHz+85/P + 5/Plcvnaa6/dv3+/GrBs2bLl6ite/ejje5rLdULQG173hrNnz9i2PT4+almvufiKS+cXz65bt85w + 7I7X55IRQliWiYwpAViFk1fPZUBhgAAhpLQEuZBCCPwvl5xSD3RIG0FIIqlUmjhjEEKlKyJWxkpM + DMAsqvAeAsI9z2s2m6dOnVJax4qwNPAUwUjXdQX177TaDz/8sPqGUqnUbDb7/V6n1223m8vNhh8G + QjAmBdUMw7IbjUaumBNCQCTb3W6r01TJmPrU4+PjhJB6qz70O1EUFQCAruu1Wk3X9ZMnTypd5aGo + L0KIyxRTSk1jZv26hGUQo0zwUrk8PTMDCIYIUdOYnplhjAEIAcH5QuHiSy4BCDLBc8VCqVYxDKPt + 9cycgwHcuGWzaVl9z9NMg0mx45JXSQRN0yS6tnHTJl3XAUJqcoUwpohyOfDqHO4Mamr3wjaUlzle + YvUPTdM450rwEUqwfft2L+g/sGun4+TK5bJuGAbjBNOu1yWEYIps19IMSggJ4lBI2eq2rDRZgdVh + KUUUhQqtyznXdU0IniQxIbjf7yEER0bKSi5sOHqmlCIJKMJJxs6JvayoTFJi2LaFIUmi0M8STSeE + EMaS2PMQxRIACJGUEAiIJEBAg1AaOkUIYYSlSJMs9TyPIOQFvu04mmlwnjHBWZryAcOSAwETlkkp + IcFogLbiEsHnQ8M+H4PwOUtqKYBlOXGYJEl20YUX//qHfz3N4ixh27dvO3jwKYQAhNg0dcfJJUnU + aLRc107i0HFsTMnJU6e2XbTjyquv1nXdD4N2r+u6rqbrtuMcPXYs57rT69aO1kZ/5Zd+ef369Yam + ve8DH3jVhRft2bPnzW/5sTe84Q3Ta2d27tx55MiRu779rWp5BGs0TOIg8HIFG2GICTIMHelGu90F + 3C+VSt/97vf2PfH0SHmCZxqQht9nLIPT01uX6gGE2LBgpz1XGdFNg508ebBYMCDgrmt/6EMfuvSy + y+I0rdfro+M1w9ajNBKSQYiE4BKg4QknpFRfYIyVhnu73QYAFAqFIRziX9UfSdfh0B9pZfokz/kj + sX7fx5gahqF+0YB9BiVEL6qzsRqrsRqr8W8thBCmZsUo7vV6pUJ53759P3zxG/bv3/+qTZesn1g/ + Ozur6/rMzMxDj+xeWFgYGxtTmk+MMSCk6kBhiDDGypJ1AFRGAw0ehZ1TRZFYMfEEACRJogqAoe3s + AGLEWMo4QggIDoTQCdYMTUKZ8YQxtrCwBHUKAFBdNlX2YDDUKHu2maHqoKkzRYlAQEJUjafAbOpX + D7v4CqB16tSpo0ePqt4c59zNFdTUIo7jhYUFRawdGxvDGPd6vTAMHcfBGC8uLp49e7bb7S4tLwAA + FFzNdd1yuRyEYRJGC3PzU5OThqZDjMaqtU6jtby4pOt6HEa2bevUUMAcdcFKH0+dp5xzSnAhn3Ns + O0qiXq8bBaGOCNVNhCVjqbq9uq47hsMYS9NYSlkpVjjgYRj6vr9+/Vom03p9yTA0xtI4Dl3XzbKk + 1+ts3bo577i+3+9220KwCy/YwQBrtRpxHEIILd0Kw3BxcTGO43K5XHSLCgNvGSaXQiKJCdE1bXJ8 + QrU4oyhaXl5Wp7wqhlM+EIJX8zCEMZAveIo0zLvUDcmyDAgBATh/goQxlhCoJ6sepZSy1WotLCz0 + er0gCFS/XmUduq6rmRsTACHE0xQI6bouEMKyrJPHT9Tr9bm5s61Wywt8AASTAgBBCKEECwmDwMvl + HF3XdV1vNpumbR8+fLhQKPhBHwAwOjqqZMCiKOp2u/liSVVcyhx2/fr1WZadOHFCKagpMKeqSYQQ + RNeyJAuTaHJ6MsuyhKVe6BONjFRHAARJlqQs1QwNMZTxLIiCMItHJ8eDIGh12ylLiUaIRgAEQRRA + CfKlAhMs41mj1eCSV2qVLMv6gRdEQb6YT3nGWdJutxjLwsgvlUrnuEev4HgpCyS17zDGdKpJKTvt + zt79+55++pDf7Iytny4Wi0wKxlIFe0UEQyGyLPO8PiQ4TWOEgGmaa9as8X1fAaUU6Y2vhOM4QRB0 + Oh01MQyCQBVOqvOhtjzOeRBHqhEiV1jdipGWJAlGUkojZRIRrWBbAIo4DiUEumkwkUioJDQwghhK + BCVCUGZZRilQPRvIpGmamkaxRjPBmeCMs0zwlSRaiT0PFEXR+Y5gL1CtTsXwv8ChQrQUEslCoaRp + mpTw0KFDH/mDP7jkkksIwjfddBNjjKeZB+Gp4GSaplNTU5/8+J9MTk3ccMP1v/s7/88T+/Y+vOex + yYmJudmzhmNNTEwsLC5OTEzU6/XR0dFGu1Wl9Kf+r7ezlD25bx9C6IHv3b/5gq2bN2/+nd/5nX37 + 9t1zzz0TU5M3XXftzl0PYo0CCOM4thxbCCClCIMoDCLbdAghhqVPz6z5H7f91SWX/tDP3PDB//mF + e/Y/PnvBltcc3H/mkQe8sTVb+r5fKGCQksbC8o2/8LZKPve+999QyOvv/9D758/Ovu66q4PYM209 + Zh41pJAZhBAO9rxnnEkQQgWfU2otWZYp1f9CoaC/EvyRBhLzz+eP5A1nRwBCtZlyzgFQ5/pqybQa + q7Ea/94CQtjzenkjjxl2HGfvwt6H4od+5I1vfPuPvn1NbWpics1rr7u22+3EaQQw0glV9CE1jRl2 + 6wEABCKMcTpwRhq4MqieukphwUovTO35Si/0fCwGxphLSSECABBKKMYEAi6ElJxSHRNF0SEIEQQ4 + AECBqaBEAEEABno5ckVoFwKofq5Y0Y3gnAuEhs04dRIhhIZJy8LCQr1en52d7ff7CpqVZVmSslar + debMGULI7OxsFEWWZfm+f+rUqdHRUYTQ/Pz88vKykqHzfd+xbF3Xfd9v95q9Ttfr9vqBf/jwU3OL + CwcOHBgdq7r5XJamc2fmeMZ0x1UsFMOwhvO0YV9PSTUAAGqVqnLR8QJPcm5QDXARBAEWiOCBqrVh + GJnMFLWhXC6GaYgx1jRSKhXU2Tc9Pa1wEwW3EGexAnGUy+UojQqFguoShmGIMHUcRyc0l3ciFubz + +dpoxbbtlKW9oKekoWSzmQEBCZRSrp2alkAuLS2pn3a+2bqUEkCgKA8QQnWOvgjm9yDdGpqQSAmk + JIRAIYdChUMkngIKNZvN+fn5er3e7/fVw83lcspgRtXtg3eYEADQY4899shDjxiGQTFO0/Txx/YU + CgXOWRAEXDLNNBCGBBKAEaaEcUkIiqIozKJv3bfD3DAAACAASURBVHN3rlAolvLNVgtRWK/X8/m8 + 8rPq9XpKekHNKn3ftyxrfHxcQYRUqjysjtSFCSFM3XDyOQlBKnjKWb3dcouFfhQkglFKiannR0r9 + KCgWi57nFSrlJEsTzpBGMyls12VCRFk6OjqKNCq58OOIZ1kGBNY1K+eGUaTruoAgXygkLNMIitO0 + NFLWbbPVamVZJp+pzThYla+wzOclK5DEin5xmqYUkyFVY/PmzfmrckwAJSWpdgGMMeeAMcaBABg5 + jsUFVZi60ydOapqm2JNqW0EQQiGhkJJxAhFFGAqZs504jtMotnRDCKFhkolE00gmZCLEcFZACRGc + MwiHhRZEHGGBoIhZrPJRQGQQ9TWTSsAlQFCovY8CwaRUCGYoJXMcJ4oDy7KY5Kp7kaYpAAIhhNSY + AiOMMeR4SAZVi+efF+l/vvbG+eWUstaTUiIhfS/s9Tye8pHiCEF0bHRi/cyGudlZwAHgAEOSz+dH + SpV+v99pdcdHJygkhqZv37TN9/27v/WtMAxHR0cTns3PzwMIgyAoVys93yuWS5Dgj3/iE4TJoNe3 + HPuqq6664YYbHnvssWq1euONN27eusXJ5/7mb/5mfGKi1Wp5YZDP5yGEvt+3LMs08uphGYYhJfOj + NjX5e9778+unJn/kh/9Dr/7Ent09kWwZy4/JxDFRJNNAxPrRE4fz1sRIqWqbmm3h1193pesgAQSA + iWmDDETLzbOGSTCGUgqMoGJYSckgBAAqCiVX62qoEaT8kYx/fX8kzwPn7ZvD34Wewx+JUqrbtniG + P5IQQophJbyydcDzd5DVWI3VWI1/0yG5QBI4psUS0ev1Wt3O3/3FH7GuOLrvGEggZABCpGlUAJ6w + LGS+as9jjCUfyB3JjEkpGUQAgIxzNU0SQihOiJIzHhKAhxB3dfoPe1JDZAGlREqJgAQAKAN4gCSy + dCCJoVuplFxwAAFjbOAQJFXLbDBEgnDF5lBIuMJBUhKyg88rparTVIWgRJ6Wl5cbjcb+/ft933cc + R4kidLtdhBBEZGFh4fbbb1dpUqVSufjiix3HqVarcRwfP3786NGjajqh+sJK407X9dHR0cOHn7r1 + 1j+UCNbGx6pjo83l+sTY+HKrMTY2uvGNGx3HCaNodn7u0KFD6rhU1wYAUHgtAICmaZZlWZYVx3Gv + 11Usa43QTKQ8YwhRiKCqLVOW1Jv1JIvjNLJt88SJY8VyiUuRssxyzF27Hzx24qjlmLWx6onZ45xz + RCDRcN/vHT1+JIj8KAkBkktLCxUp4jgMPI8QcuTYUYoR47zVahVKhaWlJc/zPM9jnGONEo0yxoIg + WFxcPH3iRKFcrlQqEEKEIKW03/MxxogSNdsZNjdfxCsKIZRCciEQPPccCSGScckHEErOOReD1idC + qN1uHz9+PEkS5QWi5k7qfCeEqOlWlmUqUVxaWhoplzWdGlSfnZ0Nw0DXNZXDUEy4lEkcCyEggTID + EBHLdTqdVqVWdRyn3Wl+f/eDumHUW8tTU1OWbYZRoFhbACPTtJngaq41MjKi6/qRI0fCMFRi7sOZ + 6rDLwDnvdDqHjx75//78zxBCWZIGUXj3t7997MSJNE0feughz/P+8nOfm5qaOn369OzCfHj/fX4c + 2ba9d+/ehfrSZz73l8ViUdf1Rx57FAH4Z5/58yxJOec9r3/HV++sjlQghPfdf1+33/uLz/5laWRE + eSIxwT3Pcwt59WwQgP8nAJqXG3zzkhVIw31HjTuDIJBABJ5fKBTcnNPp9BhjWZwghHSdcs7TJKW6 + Zui6x7M0juMspgiPlIo97GFEgRKeX2n28xUbYMdxNE3rdruWZRmGoeieihnS6XQKhYJhGKq4Uphj + UymlrCiMIQgFSDOWaBRRikXCOJcYE920AWZQCJWYIoiglAgyIQWSWpxkUsp83mg0lzHGiA4mYJTS + gckQhIp0JBiHkqhKD67oNEAIhxP2FxHPyo91XVeSOCrjN00TAXDq1CkEoGWYYRh2Oh1T0wlEQd8z + NA0BAQB4+ujTqlhVDS2s01yxgAnp+R6RaHR8TI3IKKWmRkq5fLFc2rxu8+nTpw3DMIBxyWWX+lHY + 7XYLVjHlzMnn3ELesEzf93OoYFkWBgRj2Gm3CoV8EvfTLBwdq/T6nbmz4VVXVg8/edXBx/ZQOYnB + mtnZhlvIR0EGoKGT8vYL1mzd8lOuA6AMNB0CyBFgAPIw8ctV187rpm1ijDl/hr0AxlgCKYQYyBAx + pvphat9fXl6u/ev7IwEQBMFQpwgPDY6f4Y/kpGlar9dLpZLrunLFoEOe54/04vb01ViN1ViNV34Q + QgAHYRhSqcdxPDY2xjkvl0fCbpgzC37glQqlJEsIQWmaQiGVioDaKuM49n1fZowxhiUAAGScm6aJ + KQnDMGWZOm2HqjnqIFZU22HGrIYeAIA0TVPGdNNijEnOEABENSIhyCI/BUJKkLGMA0k1jfEMIzzM + L89vYA52bAngCg17WCPBFYEfQoiu62qUFEXR3NzcyZMnhRC1Wo1z7vu+UvGBEGZMIIQajcZFF110 + 4YUXAgCyLPM8Tx0i9Xq92+2qCUwYhizLvF4fQSilVGJlO7Zs/tG3/NjlV1++dv362bmzn/zvnzx6 + /Nitf/iHo+NjS0tLfhQ+/sQTBw8ejMMIETzsLaoSLkmSkZGRkZGRwPNbrRbnnBAUp4muEQIR0jBF + NEtTkXJESd40AYSGZRqWOTMzs7C0aJq6YWh+6FVqVULI9NoZft99VNcopbbrEEKoro2OjuYK+Var + xaXYsGGDHwa260opIcYXXnxhY2nZ87wgCjPONm/b2mg1dct0XTfNMgGBUsFWUltAiMnJyY0bN546 + darb7VuWpWkahFBCKIRQKnYAIowx4C9AxU49XDgw2RJgyCyCkHMOhJACqCeLMUYEG4YRx7E6xA3D + UDgmpYJrGMZQmitJEkU8q9Vqk9NrHTe/bu3axcXFxbmF5eXlSqUCAAiCAFMEJBKCYYwN2xKA+2HA + GE96rFwZuezKyz7+xx//5J996om9e0uVkfUFp1KrtdpNz/PSNNU0LWFZEASmbSm/I4zxoUOHlKKd + 4vCvSFulihGg63qWpLphBEn85TvvUAmqk3MPHz86v7zYabW7/V6pULzvwZ1TU1NPPvlkLpdbaCw/ + sOtBJ587fvz4SK167/33bdy06eiRI2qF/uO9303TNEvS8anJB3btmpycXFhYUCPQB3btmphcMz8/ + L6XUNK1SqSgvGaHawWIwIFbr6OWLFyEi/pIVSKp5E0VRLpdT8h3VkUq73WYiwxgLJrutrpJRTNJY + CbwkUYwRtAyj1+mumZpI4nBxcdF18xBKJfZl23aapppucA7jODZMLYoDjLFu0DSLDWyYls4ZwwhR + QmzLAlIy5UrDGEaIYKz8Z0zT1HU9SZIw8fMFW8oEU4gphRkHHPp+VBsb6/RbKRNrxidOHj+Zd/Ku + rS8vzJWLJdvKIUSWlpYMjRYKBUppyrNer6freprGkGA1zMUYi4wzISxDHwqmqfn+MClXN+r8gkc+ + v3bHP/171SyRTKZZNiS3SSmDONJMA2LEBAcA5HK5ASqMYC6lF3rqTsY8U2t4amY6iELTsdMsK5RL + TLl1ASABMDWdMrlmdOymm276zn3fSdN0dHT0wLED73rXuwzb2rx5MwJI0TeJRsMwtG1bcqFpGgQk + Dv2J7ds7nUaxYOXzRdOw+v3+hmmLMUCJZuiW1wq5CA3T5JKbhh4GIk1TobQ1EZIIuq7r5mwAIMZ4 + x44LItbXdT2fz69ZIyHB7Xa73mgpHwkhRJalGGME0FB6QVXUagU2m800TWu1mmVZi4uLnPNSqfTy + +iNZluK54XP+SBl/Dn8krGlkxR+pQAh6pj8SU+eoEExwDleMsM6BC1djNVbj30KcD5BeXb/nQghD + 10UoVL8/imNEsPL2SNPUMIwoiYXgyg7OskzFcdd1PZXg6aef7nW6Y5UqxjiLYikl1XVKacYZY0yJ + 0KpcX9f1drtdKBQAAJ7nqcx1KC4KABgwgjjnUmialkSxZRhpGDs51wt8I28fPX0SaMRxcj2/pyhM + uqOpUgdTEgR+GCWOY6k0QEppmmYUBBjALMtc1429ACGUJAkpFIeduF6vpzq8qqtLCFFC5AP+TJoC + ABCm27Ztm5qaUoeIumeqsjIMY3l5WRnOttttx3F8zyvk8ktLS1MzkxKCzds2/9Ef//FXv/H1n//5 + nx9fs2b7hRfs3LkTYlSt1j7wvg8cPny4NjbaD30lNWxoet/3AACarmNCoihas2YNgrDRaERBqIg9 + WZaZuuH5vcnxieXFJUAApTRM0oynTs7FlAZxGGdJEAWmY0VpHCYRNbSe3y+NlI6dPG7aJqI4X8y3 + e10uuRf6xXKx6/W55JZrzy/O73jVRfV6w7SNTq+dMZZy5kdBbXw0EVnP66MVka0gCEzHRggpVMjV + V19dLpfTNH3yySellIqBrKoRtcrgeSp2LxRiJwdiUOeg8irB45wjCQCAw+6qPA9OojB+jDFV5aZp + 2m63DcNQ6KF8Pj81NTU+Pm7bNsQUQri8sGjquqnrBCHf9wuFAsRA07QgjijFcZpwwMuVEYBgkMRR + mtjYnpiYOH76+E/9x7c9eWBfs90SQvR6vWazyYUwTTMIAoSR67pJlm7YsEH5HQEALMtSnDE1wFRv + vrpXjDEJQZzFlFLLMRXTL1co2jmbCQaQNB2z7/eIrmUiK44U+/2+AJLopO/3IAZxGjHBqEEhBsoW + UiKENQwhDSLfNAxqkOpYpdFoeF4/TVPN0mbWTR85Eksp16xZc+zkCQih4AwRjNWtBpIxRiA5Hzjz + A+8Xv2QFUpqm6jVVnfXLLrvsXe/8mVOnTiEMCoVCHMdKj55zISFQzA3GWMaZ49hB4M0vnLVtuzY2 + 9td//TedXrdcLivdBUX7UwV6FEUbNmzYvXv3+Pi4UguVUrp2DkIYRZEyBlaWpu12e3R0VGmvVSoV + pWmTpun09PTP/tw7tm7dBGVWb3bXjG8yzUqvzTtemC/YXHLJJQIw59g87QHZc1xzaa6BiFGtVlud + ppS863U+9rE/rFTKam1IBKWUCAAppUapIiCp2ZGa1aiNT6Xgz8JfvbjAlKgdIc7SOE0KhYJlmLZt + MykiP9A0TULQbLWyLLNdByHs2K5uGm4hf+rggUcf37N204annnoqzBKqaRJBJYuptCN7/b5r2UXD + /sUP/afZ2dlf/83fDMPQybnRn3zioosu+tGrrjQMIwKREGLXrl3Fckl9OqQ0fBBJksSxDJ6ljqWH + QbK40Nq65cIgSNvL2uGjT2SyUZ0odXsLjuNykGHSk3FH4pBoYH52ccOWLa1mKwhTopmdoBcE4fHj + p/2k0++FnXa32WwiSggho6Oj3W5X6cjpppEkCediKLENVg4SVSn1ej0IoeM4tVqt2+2+YvyRBhIR + K/5IRqVSabfbqqmTEqn2KfpMjN0PfJtYjdVYjdV4+UJAIAAAEDwLaDGkicZxTBHmnCueTN52hBBc + yiiKBJC6rmNKVIvKsiyF8B/u8CpPPX8vVV+TFWFoIGQul0uJlmSprutRlHAuRZaJFAGJisUc51wo + YT0C4jTRdZ2YehyHXApdp2ozzzlOySkWi0WlUu1YroRQkT1UVaZYUsp5QiWs6gz1fZ9zPjo6OjU1 + Va2NqXmIGp0pIIzqPqtEQrVf1YmjENqbN292887a9et0Sz9y/NgDux7ctGmDF4Xfufe7S0tL27dv + L5fL7373u9esWfPg93fd9a1vNVoNVXXkcjkhRK/f1zStWq0ihNIkieN4IH1xnoNtFEUQo5Qz13UZ + Y1/80t9Pjk/5vt9oNaMo+vs7vowQSuOES3H/Azvb3U4+nz915jSg+M6vfRUAwBgjpv743if+/o4v + Z1k2NzcXxtHXv3XXvQ/cLwU4cfpUr9e77a8+r0TDO17/3vvuO3r8uIRg/5N7ozi2XAdT4nlekiRR + FHS73bm5OSmlwlKqeR3LXl71M0IIkkByMBSs42IgyKHqWEUzVloXuq7ncjnbtiuVSrVatW0brODt + 4yQZZgJgRdVMFfZRFDGejo5P1sZrhVJxbGxscnrqE5/809r42NLS0q7vf/8bd339lltuef8HP/CJ + T3xidm4uTVPDNBX/OZ/Pd72+7/ubtmx+Dr+jFQjM+S1XdT3UMKSUKWMSQtu2LcdGCAVB0PM9tOIv + ChAM44gJTjSNaJQBiSmFEKZKA10IAYBBqUIeEo2aml4oFBjnECE7n5MY9fv9RqMRRZGS76eUXnzx + xUtLS2fPnuUZo5bJORdKQ2Vl4Pdy5DzwhTvRvmQFkvrYKluFELquOzY2tmvXrq0bN2mQnj57CgCY + McaYkFJSSsMkphRzziEE+VJOp1oUhK/avmPX+vWPPvqon3hhGCKE1Pyx3+/zjGmEvvqqq5v1hmma + 9Xr9utde+/rXv37h7IJpmgihb3zjG0ePHqUIr52afuc73/kPX/myZVnHjx93XVdIGYRhr9+XUl60 + 7YpP/Mn/G4eRbY8cPHB7Ib/W1qdb3ahYLEoIOq22bWk6zfr92UpFtNtnbNPUDYoxfue73vETP/4T + DGQ3d35NydRwznk6UDxjUlBEOeJxnKrOwRAfmCSJUh7/pzcNQvh8KnbPF4ylHHCIQaGQc/LOcmPJ + D72nDh3ygr5pGIZpRGlk5iyNc4TQPfd/p5B355YXu15/07atumkYlqWbhu5YfhAAABQyLQzDZrOZ + y+Wuueaan3n7T4dh9Gu//utU18qVET8Mdu/e/ejjez77+dtuv/32hx5+uNvtjo+Ph2GICJRAUp0w + nlIsIUKql9bq+IzTJMGnTs1v3zb6F3/+t3sPHSbOyKmFY25uLOOWF/RLRa08Fi21lu+653v79v7j + 2g2/PDY6fvc9D1Qn1ufsET/inbZfrlSLbjXwYs8LBAC5XG6kWgEAZBlP05RlA+tYsGIxBldEFIbd + r6E/kipUwA/EH0kACdWyhOicPxLnPItjQSl2HEcxYtXlCTLA2gkoB6oPqwXSaqzGavw7DVUaIQAk + BBIAhSkYUgsIIbZtQy4E49VqdXp6uuC4CmLHGFOypnGaqP1Wtag4581m8/LLL/d9P5/Pq/250Wic + 35ZWuSOAEEJJCCbIRAgJAaIo2b5jRyfyx2dmIp40+91Dhw95nielxAiZpgWZWEGmUZV6DsQbICyV + SgbS1Q6vzhS5AupTn0WBDlT+M4CESck5V/rXExMTpVKpUCyjFZeIIW0JAKCaxQoopUTkXNd1HWe0 + WtuyZUuSxeVKBVNULpe9oO8W8kePHhVQXnjhhZgSKeD83OKDO3dt3rrpv/7xH7/n/e9L00TXddVq + zOVylNJcLud5XryiGYgxhpJjCDPOCdaCKNE0I0kSJgUx9K/ccceO7dvn5uYwRGmafumOL5VKpdlT + pyWSO3ftnFucAwAcOnSoUCjc+fU7lap7EARZlqU8jeO41Wp5ofeNu78BIcSIKgzL5//6NsUfE0Lc + 9+B9asAYhiFjzNJsVQpSXTc0ooYnw9uO8YsQYnjBwTmXEkB5DsM58FxCCCGk5J1UdqFGgko4ynGc + QqEAIfR9f8BzxhRjjDSpa6RYym/ctF51qKM4ppSu3bA2jKMgDqiu+X5/fHJ8245tKcv6fs/N2QuL + cwtLixWezc2dBRAAKLvdrqq6gyDI2U6hXBLP6Xd0HsXjfKwpQghTHEURAtC2bdu2ESF+GPR9j0uB + FKYUISZExjmmlOoaE0JCADGSUgIEAYKWY6dpGqcpQiiMwkKhMDE1GQTBd+79LqX0mte+RjeNKImj + IARR6KTJww8/HC62f/T6txXcXD+fb7VagwxZyjRNCXyOkuSf6RG/oPz5B+mDpEbGaklXq1UAQKvV + OnHs2Ft+5E061e7+2jcXF5dTllGiKw2DTPCbbnr35s2bH3n0oSPHjrz//e/9/d///csvvSyLE+Uz + rbJP9W5xzl3XfdOb3vSrv/Kre/bsOXDgQK1Wm5mZSZJk7dq1juNcccUVV1555fve975ms/mVr3zF + tu2t2y9wHOf666/XNA1A2O12JyYm+l0fcMoTPQqSP/vUZz77mbseuO+4aW4DoX+2GRFKbWtN6iVW + TlZyxTe/futjj9/z27/1y51u/T3vuZFiwiVfWJrPksygmk41tXORFSdsgijEKPIjxY0ZqIKujA7U + C/qSZLqMpxDjZrshgPzaN79m267jWJs2b963/4m0zghFpu0KwZIk++gf3RqH/rvffeNf3va5fU/u + 51Jqht7zvXan4xbySk5dDTpc192wbv0VV1xx2aWXfenLX/qDj37EsMy77rrre9/73pt+7M0XXXTR + m9/85iAIvvSlL505eWrD5k26rnc6nVqtstSYNwwdm5Zh6IBKCHGz3oGQuk7hk5/89B997E8z0bni + h9Ze8+rXf/62vz16bLfpVO08anQWm73QLYV2Aa7bPDO3tLz34N56u5syzgCghunYhTBI2u0eAAgh + xJjwPE83LV03lYRlt9fTdV2jdHgagZV6T0EsAAC9Xq9eryv8wyvCHwkP/ZEyCKX6NvksfySXDior + KAbjplWRhtVYjdX4/2WoYiCjsWmak5OTW7dudU0rjuNSLp8kiQCAEMKl4JwrD3QppWI+vPWtb2WM + VavVJEk6nc6BAwfAyuBIHRlCCIAQ0bCmaVnCoiBoLDac0H3b297WS8J8pRxkyaFjRzr9nhf0oyhI + 4tj8X+y9ebhlV1UvOuaYc7V7rd2e/tSpSlWlKqn0IYSQhIRLxAvkiqBcL9gAQgDjBfU+UBQf+PgU + sLv6lB5UMIIg1+AVhYBIZ8QEQvo+Vamqc6pOu/tu9WvO8f6Ye+9zKoRrCiPg+zK/fPtL7b3P2qud + c/zG7zd+w3FsYaDgSRIrmTmOnWSpbrFYnav6vj9o9jqdjm3b5XJZ5jJL04ltgyYNtLp7dnZWl7Lo + WEgbqNq2rYtmJx/pbjY68taNKA4cOKCRFQBon7RqpSKEMJXZ7/d7Yf9KphyvcNfddzuem2Tpgw8/ + dOjQoeuuu67VanXa7fWNjZ/86Z9K48SwDM4wznJhGr7vO46jXSJgnATknKs8nVRS6R0QptnqtCuV + SpQmxFEqFaVxmqaS1Oz8XLPX2b17d7PZVAwGgwEaIlOy0+9Nz80Oo9BUtmLQGw4syyoUfeAYhiEA + MKY4567ntHvdsu/1gqFukpumOeQpQyZsS0oZp6lSiiulQQiM0SZM+ufA4zBIT6KwVYtUaKyvU+MB + ADoJWyqVdNQxScJqTYr2teOc6wunJE0IHNd1l5aWNJqK4jinvNlsKk4HDx48ubYap6kQwnGc/bvO + 3L9//2233bb7jD0HDx6877578zzXRTsTgkgIMTMzY7nO0aNHh+Fj+x1pbLajgg4mqhkiqZRy3UKl + UkHEbrc77Pd1IQMRhXEsx/743BCIGMaRNjzTty4iViqVMAyb9cYEAoAuNRyEGQExpvGVMA3LsX3f + r1arYadTq9V0fxQdrRFRlqbjS7l9zuHxik2+l+NJdrHTkaJ2C1BKVSu151z1HMHwve9+37AfGIbh + uI42rbARzz903gUXnf/b7/ytV73qVft373v1K1456A4W5mcty0iSSMrMMEQYDrvd9vT09Ate8Lxf + ftMvG8A3N9c9z3300cNf/rLZbncFEx/6wAfvvfuet7/97a1G8+evv75arf7QD/2QVyp+9u8+e9FF + F915551ciFFZDuNJrOob3U/f+L87bViavXhxqvzNW9sLc5cIC9I0LzC31ToWtxrrmyde8VMvMtmt + Jrf37913xu49nuNazFJKadvNNE3zNGMAJFUuFRBxZIzh9PR0GIZ5knLGQBGAMpCTIsQnw/OdkWIq + yWKvWIiz+LrXXTddrXS7fcexnnHlZc9+7tWmaTeb9UKxRCQVsSQOVZ4xUzi+98Ife/Hi0q7q7DQX + ojJVm52djaIoCIJuu6OlaCDVnd+6fdDpxnH8UOshv1z6xrduA4733nvvZZdd9sY3venuu++Ooujg + wYOmYVIuHdOSUi4uLHHBer3esN0x0PD9UsH1bMu9/PLL2+3+R2/44OLSfJ7TrbffeP4l/gXPuCgK + wPX8YDhVm/LDYWe9+cgg7nzhq1/gHH/4Bc+/4JKLemG/3a77fqHfl5XilOAGA47IkiRp1JvlSsn3 + fSFEnCRENKHpJuJyjZH0o6sLzzqdDnwf+yOZNpv0RwKc5G/G/ZHSfr/PObNt2/cLUTTIMNIZyon9 + HfwAKHGfGk+Np8ZT40kZSKNlkBgoNiLY1fh1VD4yHlqjHoYhSWVZlud5tjFSJXHO0yQJw9B2nWKx + mGRpvV5fXV3d2tpaXl7WNL6eSzUbMwlnJ+kt7Rba6XTqm41Oq9XaakVJHIZxrlS1MsXjgXZMVqQc + p5CwcCSQwW2UBQCazKmWqv1+P00SDYeEEFEST9YLrUGYlBVpd2a9MwCgTYbiOOacu66raRNtJqGD + UT3/FwoFDZBgzABo29h+v18s+8QRU46CP+eaa+5/+MFM5otLuyTR2sZ6rztoNpug2LFjxxzhGIZB + BEqRZVle0dd6Ct1kyTJNRASUE7zBOWccEXgQhcVisdVq1WZqZx7cLylHA4N+IIRwCrZpG16xEKaR + ZMoreVEaLSwtDIfDXq/XD/p2wa5MVdbX1zcbm7t27ZpdmF1fXyekOI6jKFJKAS9yQwAywzKTLI2y + 1PM8Ne79qhRZjk1EMsuHw1BzI6OiozFW+T/fb09w/B8YBm3zrbekNSD6d/WV0uu7jka05D6OYx1L + 6GBDq6vyPNeYWV87kooz1N62cRoxzs8///zDx48cPXpUgeSG+PJXvvLc//zcw48e+ZEf/ZFf/5Vf + H2bDm2666d3ve69hGMPeEABqU1Pap3fv3r1pmh45ciRJkm/vd6Tz9bSjYcnOR8Pz3HKpiByCYNDr + d/IktSwLEdI044JZtiFMzjgolQMgkSQi7IZP7wAAIABJREFUKTMiqZTsdFoA4Lp2pVZutVrFst/v + 90+undi3b9+zf+R5rU47jINOp0NMGZZotRpFr3Dg4P40i791+zdrtZpl8JCzPE8RkSFlqTRM4zEh + 1vc3+HkyTRqUUlrtNhwORz3dEAVDDqK91RLEHWGzHLIwBcYqlYrn+rawmWT1jc08ydZW1vYd3Hfi + xAmNX03T1Ml+IcSePXs8z3vFK19xww03nHfeeQ8++OD+/fuDIOCMfuH1bzj33HM//OEPLy8vHzhw + IMuyu+66K0mSace57fbb9uzZs7GxsbyyUi6Xy+VyFCSOLWqV4oP3333RhRe/4Pm7P/nn9+5dvPj4 + 0ci0KlGcxn3muAuWidFA/pfn7Z6f/5mC42ZJcPWznl2vN4fxwHNcyzAKrutYhuM4rusmSSKzzPM8 + Q1hSylK11mg2W62Wfgz040Hj8W8/z5rHaLUbv/mO34qiyHGcguPu2r0UDoOTa6uaVCWiIArL5XIQ + BJVSqd/vA0B1q/aFL//j+sYGE9zm5vHjx5VSWt/FgSHDtbW15eXlz332swCwsLCQZVm9Xtfuom97 + 29v8YnFpaaler0dR1O/3W63Wvn37AGB9faNUKsmcWbbnGCYykefh1//lZqYYMBAGD6J6p9PzfZ9z + iuPB7HwFcRCm9RxCZmVb7c2ZmZl6vX7g4N6TK8c+/qmPhMNhFA43Nk+YpjMY9AAwTTMmuBBGkiTd + Tk+bXugCoTAI9HSp54JJAiMMQ8aYtpvTs7/6wemPxIh/h/5ImZ0E+SDLMpGTYNvNuZ8CSE+Np8ZT + 4/8Hg51mjzc9qcZxjOP6eF1iqgueHcdxHEeS6na7W4362tqatuSZOIvqeiTNyesZGBEnS4Yuojh+ + /Pjxo8sqzzlxXeTjW85wOOwNB8PhsNvtGpbpurbOuIW9ATFwHFswCoKBaVvValX3aW02m9PFWq1W + S5JkMBgY3DRMUxNHRKSbT2hlPozz4qN2SWMmRCmV5SPVySRHpo9XK9/0+q6PQgfiQCQMI1fKsgzH + cQ4fffSqq5+VMzUMg8svv/yP/uiPEfG9f/y+m2666eEHH3npT77s6PFjlmF0+33bdfyS7/m+Xua0 + 0dlEyzTKNhKh4Dpv2O12q9VqwfdMyypVK8ePH+93ukTk2laqZLffB8R2tyOlzBl1+704S0GqKE+P + ryy7vlejyvTCXOuBBx969PA5Z52dqFyYxnSpOBgEAGAYBkVRnKbFchkA/FLR8zx99eM4TpJkkgY1 + uc131OcSkZQqSRJtffzk3J+PN5RSk9/VWBeBW5alqye0KkRLEzU+0acRET3P2wmDdb51BJtBavyZ + 53nRLnZ6vXvvu1syqNbKqcw9z/viV778gT/9kO06N954o87zasZJ85CIqAukFxcXpZQbGxthGDqO + g+Kx/Y50X1A99M6MDwQMwyqXy6Zh9Ho9LVzUqiLN73mlos726pSx9r7T+6+foPX1de1Kp2/7Cfbr + druWYerweBgGURB6nue6riQ1Ozur/QK02b3jOK7jdvu9LMtc36PscazLvv2d79l4MhkkDV5t27YM + c3p6WmdWskxyLvIkrxQrRES5EoznJOMoSoahjYZtmGW/mIRRyfP37dt33nnn5TAqv9NR5mAwGA6H + N998s2YwiWhmZkb7MTCir33tayeWV37tV3/t3EPnfPDDH7r22msfOXL4rLPO2traatUbe/fuPXLk + iGGa2gl+eibxSjC3aM4t2o123xVFxxXDdlpwS5XKXJ5Dv9cs2IKxei6HwMCy8iQaKkqnpqbmZmZL + tm/bRqVSmZ2dnZqamqpWa7Vau92WWb579+4oStbX11utVrFY1BSTNvveidcfc6WJCE/zwkuZAwI3 + +C3fuGV6elp3naq3G4889LDl2AAQZ6lt22mWaXjm2Q7nvNfrDaNwdm4uJ6WUEqbhFX2llOcWhBBp + FGdpmue5aRi6tcL9Dzxw6aWXhlEUJ4lSKstz13E2NzZ6vV6pVFpcWLBME4i4MEyjAMw0hMkYy9Is + yodZnn7xHz8XByFjrFgsra1u+V7FtgqtVqdUKgXDPiIJi7m+m6Z5mknbdvv97k1fTGyHl4pWt133 + CgWvyFFRwbWJJGOcFEMmhIA4jjvtrud5rlfw/SID0O4xE3KWjXXeemi0o5/Y709/pP5wkneZfJk9 + fn8kbjhGwSqM8pQ/YB3TnhpPjafGU+NJH7ro6Ds1wZisnmIciWqEo9+0LQsRN+tbx48f39ja1POz + jkp1tKf10hOXs4mAR3tntzqdVqe5trbWbDZd2/Yd3/O8wWAgIw6mSJKkWCzOzs4SgyxLoigaNaIV + I/WBbdsTBibshzqrO2kMapucxm2XtHuETm7qtL1u86iDZtu2Pc8jojAMW+1uuVzWLj4TrKJ/SwMk + OW5DrxOFjHGl8sFgYPtOp9f9i4997PJnXbF3/74z9u39yj997fjKSq1SeeMb3/iud73rzH0H7rvv + vo9+9M+iKPI8jxuiXK5IpRqNRhTHul1PniVKKc6QiATnUjdKQiaEEKaBgs/OzwnTaDSbYRRJoKnp + qTRNgaPtOsTAcRxuGgzRcp32Zs/3vEq1ygCyPG91OwdnZ/YfPLC2vl5vNf1i0RCiUqlUp6f0McZJ + kmVZoVCQklDwiYOf1v4Nh8MsiVUubWt06fUaPanX+ne+SUEpxYAxQh0VJEmia5DUjn4hk0ujKSN9 + G6hxvym928rI0zQFQCmlyqVlWRxHC73rutMztShLO90WGkKb2u/du3cQ9Outugb8yyeXpSTHcbRd + Xq/fr1arhmEcPnw4iqJypawTshNxGt/RMXkSI00sQyzLcF1XA61ut6u5JqRRT2Tf96empvr9/jAI + 9G0sx82F9ZEahqHZTv3cVSqVQb+vbXhPnjwZhxHj6JaLmlMFAMuy1tbWjj5y2LbtguPqjANjTDdB + sSxLMEyUZDv8JP7VcVpBEhLI771Jg2YwBefIWJqmg15fFQpJFPf7fc/zhBA4yrBjt9u1bbtQ9CaE + MgFoJVKlUknT9N577l9ZOXnixIk4TjlnRCxNY8tyDIN3Or0wHFrgbGxsHD786NkHz7z7jrs9z/ML + xYceeujBBx/8n3/4B0eOHLn11luTLG2321EUlUqltc2N+++/3/f9lZUVJeX8zPTm5vr6+moURUUf + GnWYnjG3NlYzKvUHyrLsONscRolpNsoVs9GGjc21PbunbMe566679u4/oxf0Upn2u91HHnp4YWFh + c3Mzz/PNzU1EnJqaWlvbuOuOO0AYV159tWVZunfBpELpyXqGJRBwKFUrcvloTqo76Lqu53iOcCzg + kGUyV1kUA3BALpjg/TAwDcMuuKbrDAYDw7b0g4rAANhwOMziRAjhOg7nnCECQL/fP/vsszc2NtI0 + ZYI7prW0tLS5uek4zu49e+r1+uramm3bYRRFac91PakUKELENEstIeYX59rtpus4w+FwsxHOzk0b + orC+tuX7FdM0lWOGyaBY8LM87vQ6lUq1N2yVqv5wKFMZMW4pBkEQeK4f9uLhcMAYsywjzlKplGGa + eZ5rdkiYRrFYdGyrXq+HYajXHo12dLpO17AlSaIFD+r71R+JWBAEcZzRpD8SjMiuHf2Rimka1+vN + wqxTnis5lpVDRgSSSCkQHAm5YlIhEEOd4kICxYh0ffNp0kuKKSR8Yq+n/CESKHbK67eP09sZYkAI + AAoVMVBMIYBiipj+aRx/iwCYREUAjE2eI/3p+ITs0KArGOl2GIE2xxqVfRPqP2M0yhSO/TMAABgh + jDKIinSCb7R9hFELBUVMKaYAEGn0/fHfgn5zcobH33/MlpFAwnhaZ4TEJvtA+jt6ywQETCrG/6P7 + Q3MFSApAKaYkKokKkJNERgSgxhdIn8lR50BGE43V9rVm9PjzJ7FxBfz4C/qc0/hyA4zugfEVV8SA + EU4uH7HtT3H8pkT9vtp5lfWejKyEgcH4RhpfIyRAyVDBqX+itw+KASCNgQAhkpLstB/e78E4nflh + JILacZduH/uOQ9ONg9jOSpHJc8cJuAJOgEo/sPrqI9LoMVHZqByfpJJZpkwLEW3byvN8EAw7nc7J + kyc3NzfDONKiAO3D9sADDzSbTQDQGW5dB6Indr2IrK6unlhdrTe3tLDNMgyNph544IF2MFAGhHma + Qb6+vl6tlgFJCOE5Ti9NLcsKk1ABzc7O+qViGA6DIMiS7MD+/b16Z2N9E3R/QsQoiphf1HBO59rY + uKxfwyRtdqpdJQBACDE9Pa0jTo1YtN+d67p6ZdFhrtY7aHFNlqVMcBSGYVizs7OHjx35yEc+ajk2 + N0QmJQAcW17erNd/9MUv0lxHEA4Uo6rvz87PNdqtZquljc41+kriWOWKm4ID4yhUlknKJSmDC13y + VCqVkiQ6uXaCIwohLGGsrpyYn5/XTgy1Wi2Xsl6vO66rY988yYUQSZIkkN53z/0HDhw4ePDgrbfc + siQEY2x1bU1KSUppKw4OvLnZiKPUsK0oiQGg4HmVSqVWmbJNa9Drp2lqACqWEzBiiogpNa4EVqNb + TrGRWdlpzZxq/CR+pz80uQAAmSkNrXUfEcMyJ8SRBs8TTDsRRmo8P/HzMLjQsYdSKsukaQoATPPE + dd1cqbvuubNUrTgFuz8cELJStXLXfXebjjk/v9jtdxBYuVqRmcryPAzDXMpzzz1XCHH//fcnaVQo + +No+FxFRsDRNVZJZlsUNO01TvWohICiiXDEAwxauXfAdp9frdeptymXR9xljYRBkUnqeN12bKXvl + 5aPLmo1AE6MoUqk0TZMypc1FjILI8zwJ4n67d/DgQSahn/W67Z5AKPtl4NDr9k3H9j0vi5NBknqu + b4AouG4wGNqGKUAwxXIluULTsjgTRDnsqPGenP/HFdF8D1LI3wVA2t4rfUvp+0mmOVcsjdOp6pRm + ipDYsaPLwHikQHjWRrt+6NChu+++u8Iq1Wq51W9KzBUoYYuclGGbF1x80bGVZZlTv9MvlSpxHPZ6 + g2LRUwoGg4ADtw07kQkSVoqVRw8f3bWwtLGxEYeJ7brnnn/++uZmGMf33n//G97whve+933VavXC + Cy/69Kf/Zn5mrtls5mnuWFaj3rXN2nAo8tyPI/rkp/7qltu+kYVTF15wzd133eyqol81bDvdqN/T + D9du+sLXv/APn7jwaYeCXvjw4Yf6/R+yLCvsh91Or+D6ghmuXVhb3UDExaXFerOxun7SKLim5ehY + WacNLMsKw1Az4//2QQCK8m7QC6Kh7VrDcFCuloQwkyy2XavVahSL5fYgKLi+aRiZTIUpGGPCNLe2 + tqarNSFEwXYagyHnnClSeW4YhlephGEYJ4lpmnEUua5bKPrdQR8ADNsybavf7YHBzYLDTbPeaZkF + BxiLssyyLJOzOAk8zw3DSBEr+JZpGJ1+R1hmJlWxVKvXG41Wr1IWpWqZMorjUCJz/OIgTEwL0RCp + TIRBSRJyzmy7VN/q+YVanufAHGFnzOBplsRxBBw5x1xmXKAQIs2SdrtVLBYrpcr01Mzq6moUxp7n + 2ZYTxeFkIdQuFGpsNPT96Y9UcLI81Y0Cxv2RlHyc/khommY4jMLNrsqJA5eSkJuELFWQI0pOOoxm + DAi21wBkoE5joqBRlA/wxF63n3UYAw8FjAAU264UUJMIEU4XsCEjA4AIUsmURCkBGKFkQCCQG6Nj + JkpUhsJUDAQSESkAUgTMYIRIOgrXoZlSDHIECSAZIFOmHL2Tcb1vaEgUBJwAQOUMFFMECIB8vClg + kLN8HDcjEgIwRaAQMp4RywGQKxQSGaFCxQiQkCtkxBgAMZIIkuWKKcVGcSEqvR1QTAIhAjIldOBI + TEnMJSoAQmJcCaRMESiWMwQijo+N0f/DDCQQCoQCYLnCNBMZkGEgSIaCGILipO+dHAAVE6BP+OhO + U8CUFmQxUgg4AcPbyBlAAeonAXeAKm2MprET08CFgJMipnLM1OhacyAEphNXCkFxhag4EkoGiskc + lUJlyPH9TEgMdRgPgAwICDihoYAT6mhIMpYjyxFhBwDTQx8pAwSmaAyYuYYL7PGw35N20RlsF1cQ + nJpKgNGejD9mpNhpzQ9K4ghwbv+eQgBA0rXG+uxpfIsAREBSSmCYJqmQtoxz33LSRnT+mWf92H95 + yYkTq2Gc3PT5zw17/cWFueZWiyRwJkxh5UlOxGzL1fxBTkDIhWGtrW8eefSYZVkCjSRL8kwZwkLE + T/3VXxPRrl27Dh48GAwjzjkpxjmP4sgwjM2N+oMPPJzkmeMUsixTkvJMGciiKPjcTZ/JEMDESGax + TAgyYJIBKxYKw6DvuEYcDw3T8IvFcrnYHw42NrbK5fKBA/sam1vDds9xnFG1ehK7rg0AKysrWrmn + k2sajWhAooXcE7U2AADjjDFt/KD5B8ZYu93GcffbiWIQtN+PIgLIsqw2N9Xt94bDGJkZBnGpUg6C + vuXYbslP09QwGBOKKRK2Ob8wqySsbqyFcZTJjHOe5JltWp7nb65vLi4syDTLkpRzBozLJCWVZTyd + W5ivTtU2NjY21tY9t0BSyixPg+SMhd1Sqc31rYW5xd6gr5gquG4cpYzQNuw8VtEw8jwvz3OZyaOH + j5597tmzU7MIHEBp6yyl1MbJ9aJZUGnGiHhGgpPN7STLsiRrbDUc215aWPC4fce3bj9w4IBUWZLm + qUyJsUwrYnRJklScc5llunag0+mUa9Vhr8cYm56eDoIgCALf95MkiaLILrinxNzIlCJiQEQyl5Yw + TdOAJJdppnI5SpgmqWO5lmGeWF65o3BHtVoVQiRZOqFrdg594TQa15dYKyeFQA2o8jw3TRtAJUnm + uvbqakjIcqBeOOSGSLKsN+gP4qHtFgCh2+tLYLbtdPuDgu0AR5klB84+GMTBiRMnTNtMZGQ65tbJ + rUqlAkrZpvOWX/v1517z3KLnHz18dHZ2Nk8zSSrLsum56Xq9/r4PvP+e++4Bgr/8yMd6na5rWpYw + 2q1WyS9ajt3r9w3bcgruS37iJ6qFcmVX5U8+9GHTNAeDge06WZaBoiAIlhZ29fv9T3/60x/+sz91 + uDVo9j/+8Y9ZhqmUatY39+07s9mso4HCNABwY3PzF3/xF+ubjZ//uet/7IU/WimWlJTBYFgsl3r9 + PjOFMM2yX/3Rl734yJEjjutqLY8QIlMyyzINULdntO/VeHICdwTgXCCiQB4EgWma2s4LAD55418t + L5/4iZe99OjRI3/7t39XLHqmYy6vLgshfvY1r77kkotf+lM/mWXpw0cO//4f/sGb3vwrcPNXDcNq + t9tSytnZWW4aW1tbiLiwe9f555zrce/FL/7xf/nnr998882ve93rDh48+Hef/WwQBC9/5SuOHTtm + GMbGxsb+vfvf+MY3nnHGGdpn/cEHH+Sc7927N4qiKE+6vUGpVHnrW9/6rnf+geun1zzv7IsveM67 + 3vkedEu9hBqDGDBy7eTci+dNN1/cPX/7nd+66fOfefTY0TAOTGEWCgXLsrIsD8Nw0A/0Vez0euVy + +fwLLzRNkwu72Wz2er2J2beWPuuKvX97MQkKMTs7K0yTCS6YSNO01xvMzk5rG03O+dzcnG25nV5b + ShUEgW27LMt27dplmubqygkicl0XGXKTT3TM2oZl1HgqiVnKXNfVJFgYhpZjG4bR7/dtxxGGwYWw + LKvd6wZxVC2VO3GYpjEiSJm32n1EFNwkYgKNIEprtek4jpM0UkqpLC8U/TzJgBsqV8MoKpX8OAmB + US7TLJWe46FXicM4jhMqCJWPuqYiB2JEpIHAaHXX/jCMmOu68/PzvV4vjuMsy2zH1nJt/La2gD/Y + /ZGAMQnGyLIc2SSNjjrtOIoGdwxGAEydbiClo8Yn/rr9W/rgdE4ERq+047PvctDkxCnawRiM/xt9 + NN55/f8EhI+nQRx97TFMOhIRA8mYYkAEhhx9B2CbXwJQjG1TQAwUjZom7Djn2/nyESbdwXoh3zFx + j3giGFNDpIjhKQYtmssikBqh6ah0m8uCCVuF31F89B9gMJiQeEoxkqjEqYe5fQ8zBSMqCTViAaZo + QvONhqJTTpEGIQweD5NPyNVTySg1IvcUAiEAAgHpkvQxMauHju9p+w9Ht6JiQKNkAbJJz44dLOX4 + 6FDD5tE3vu051V8bsyU/OIPpI33i88NjuKPJRPF4m1akc/yk/EIBGDfJEEKEvUHRKL7ll988O714 + 6y3fXFxa+u+v//lrn/f8tbW1+ak5leVKKc2ojp5ZxhAxSRIJBACETFu9KSm1aCeOYyIqlUpTU1Nn + nHGG7vAzGAy0//KkYl5HsVISAPqu7dh2r9PutLtTM7WzDxw446x9f//FL3CGc9PTmcrCMPILBUTo + 97uOa5cqJdt1Wq1WlMRaWdBoNLQx1aTZna6eGqrh7bff7jiOLj7RTVoNw9ACE10NNdHhSynTTGr1 + HQBMOiBFUaSJpknF7MRSyLAd5Lzd6+ZHHhmGAwnk2naqjCiKHKcQp1Ea5cWib1hmEAwAoGDZSZJI + ojSNdUEUG1sTLR87XioWQapwGHFgTCAnVfKLaZ5Mzc+atv3Affe3u51dC4vddsfiYm5qeuX4MiIe + OHCAKdKCRs9zHccx0NhQamN9a2F+3rVdKSUi5IDD4aC51dyzZ49lWffcc1e1UsnzvNvp7N61JBQE + UWRygRkleZwxRhyloCgKq8XS+ur6bKV6xTMuO3nyZKfTsRzH8QsgOOTZWIiRV4qlbrszOzu7tbEx + 7A+mqrX+YFCtVrvd7vHjx8vlMue80+lUKpUJ1aMHMc1QENC2LC3LMq7Itm3XdWWSIoxU9wDAOTe4 + 0DoUxnFS4UbjHoxaiqZvNg3edBVQmqadTkurXfI8lzIbFwIZEggZWqapgJI0DaMoz3MgtGxLUs4Y + gzzPcxkHoW2YU1NThUKBc75y/Hie57oPZ5Yns3PTvuuncbJybIWkeuuvvuULn//8wX1nO7bdaDSS + JNm1a+H2O++4/vXXP/3Cix9+8MEoirqN9kv/23/Lo4RJZRlmwXYI2dKe3ZLBO3/vd1aXTziu+19/ + 9sc/+qcf+cB73rvvwJl+sSilDIZDyuXGxtaVV175mte8plwoxWly3lnnHj989Ffe/MvnHjrn7jvu + zNK0XC72+11AVqrU/uLjHzv6yNFarXb1lVe94qdfbiA3kK+trRGRYVvcNDJSr3rNdVOV6prrMkRN + zQEAIDMMA9RjZ8rvpGF5cseTA5AUAAMaeaLnmSQVJnEq86mZWr3TiLM4U5lf9q994bVzczP1er3b + 7V5wwQXNVj1N06PLx03T/NSNN5551kEFxDiajk3I8jwfhEFnveu67tXPfvZrX/vaRx5++IGVh+YW + F370xS966JGHP/LnH33Zy1526NAhBfD1W255/etff9FFFz18+PBFT3/aTTfddOutt77/gx+8/c47 + l5aWOOf1ZrPf7+/fu3dmrviCFz4bAL7+zc/4NT67q4L46C+++Yf90kwQ5FJKxlLKA86TQml44JzZ + E+vH9x/cf9kVl51z4fmRSo8tHzdsa3p6Wj9FmgfQbbnm5+f1xK11mXrKg3FVzONKKtnpd1hPo5Qp + 1u/0g35Q8suO7ZrCKXql6dqMKYw4TIbhgOMgioNdi7sDEbmu29yq9zvdSaMqvVrUajUA0A9qKvPe + cGAYhuU6BvI0TQfdXkcbWxvCFEYaJ0XPz7KMlIrCcDgYmJblOE6e55blGIbpOE6epyIQhmHYptPt + dh3TAmC+XxgOe2jkBc/udwdhHOUSLG5xVAykZTCDO8NhaNs22ubG2obv+9O1WrPZFIjcsjTOAUIC + IBplaWBcKRTHMRAJg/tFjyE0m1kcx1KKSYWPlsxN1Oc/WP2RxgPGBg9KqVzJVOZKb40BETElOQkk + AjbRawEn0O+MJ40nfhMxphCAT8ieJ/AKwNQ4cPx2N1UCAg47suCnm/keCZBgoqjZ+UhMJGcwetUc + D0lGpOENU0CgGHCa7KTWR8GI9Ro3odI/wRUAKBxRcAoAkBQBKIYaovARCEegERySmGstHAAgMVAC + x7BId26RDABVBsAV6lmbUG9ze3+4AokAMAKBChQCqtHuKcVIMaVQSaQdeOk/LHP0+EPBiH3cfmcE + Q9hEZafUCANPcBTjNAIk+rTorzHCcbk/npo5UMQAxuTqeBsKaGKVhiNV53hTGojSaLmVTIFEUIyQ + QMFIRaZ/axTfMwkACIyYkgwkAjIgpoBGVBXS5L49FblpreZ31Y7jux47fmu0KzsfYNSYf3TyNejn + jE5jfjAAdlysUwZuz05jrAua/TBarVbZrOleqIgomPjEJz7xL//8Tdfx+sPhX/31p173utd95M/+ + RFuZTeZVgLHdMoDjOEqpVI54mCzLlJR6UUDEmZmZvXv31mo1rQKAcR9PNvaUG+nMCQwuFFODfr9V + b1QqpSsvv2Jpzy7LLygD+v0+OoYwDG0SwNioqLVUKmlL7iAIhGloM6RGo4EEBqBGOzoszpPUc/0w + DEfFS+MKECIqFouMsTiOO52OEML3fb026WMxTVPn3SZF/xNEBwCThpN6iRGG4bpuJvPeoJvKXIHk + lskY40IIJZjgSql+t8cNnJmZKboFnfcMwzCXShiGvlJxFF188cWlgpen2VSpZggRDYM4Du2CU6qU + SeBt3/oW58bBM8+67NJnrK6cKLoFmeW755eq1artupnM53vdYTS8+967Dj/08H+6+jkHzzywsbEl + GEZR7LquQC5MI4rCnFSr1dDOW8969tXfvO22q6+6ql/vqDiFDKrVap4rNI2TG5uPrhznQpRnSzNT + 07VKpeS4Jb+4ODvjFAqM4/LaSb9SvePOO1v1xuLi4kUXXdysNw7u2+84zrlnne0U3K3NBiF5RS8M + wzvvvFP37dDdn5RSBCMPDMYYwWgF17cZciRJWZZxJnRKNJZKppmm+zjnU1NTe/bsEaaR57kC0su3 + XvFx3AQJAHSzEH3RNRblnC/t2auUFJvdAAAgAElEQVRrlbMsMzjXDo2u6zkFXwEpBrmSimjWEFEU + bTU2G502MRBCqCxzPT/3S5ZhCc5tyzpx4uTWRn1pz648zThwygkATiyvzM3N7dq1S7OOf/Inf3LV + 5c8+sbIyMzWd5plS+Zt+5ZfL5TIANBoNfeNdcMEFb/3VtyzMzAaDIVOUyrw/HPzSm95YKBSmpqaa + rZaWw7z73e++4oorAEWWJFoh9YlPfOKhhx4aBMHR48empqaSPDt8+LBlmL/3278jGCRRxBkg4tHj + j77n/R9YP7GyMDcjhGma5tMuffqPv/DHapXqwf1ndrtdxyvUm42//NRfaatxpRQC4Bipjri4bTp6 + NJFN5pwnHv18P/sgZVJmWSZJEQPDMgdRMAiGB8895LmFPfv2HDt2zCm6hxZnoyjaXz3IGPV6vfml + XYi4tbVVrVZN0+Qc1+tbveEgjCJDCF0XMjM/Fw6GX/rqV770pS/t3r17dXW12WhcfPHFgzDgnH/g + wx9K4rRcLj/66KOXXXbZ8ePHPc/jnL/kJS/RbFK5XPZ9X/exqdVq3UHvgx9+f7VS8v1Co1kvlyqt + 3slWqzs9s3Rk9VscDdt2PK+QRcMkGdTbJJABGoyxw8eO3v/IA57vP/jQ/ceWjzdajbMOnYViVNwi + Y9nr9TTkHQaJ9i3R0iyt79KTODwZdmQcMUtyjrgwPc8Y63a7pjCC7rDf6qRJkitZdHzP8zodLjgP + ev08TnzPy7LMcV2l1Kj0i6jdbnPOC4WC5ToFIXQdpOu6URIwxnzPK5ZKQojNzc1oGOSkisViFISu + 6/q+3+v14ijSKuQszdMkG/SHaZaYpjAMS9NlANDpdNI0cVwrHA4UJeWKF8epaVgKmGFwIZxGo1Up + leMwMoUVRsO5mZnhIGw1O5ZhCi6CYDiay2gMjsaep0opLoSGoN1uN89zx3EqlUq329X1SHo5HDW8 + 29Ew4Qe0P9J44cyyLLNkzoiPF2SmI3giQ2klmxrrtUAxYEzpTPkTHqcXciMAEJ4K4ndgJDYOe8YJ + eGLffUZci9l03n1HXcf4V2lcN0Ko9IoGGlHpQg5FO5AVAY7pLyRQahRHa8HVCB3pIhIktYOJUooh + jdEaAY45HCA2UhFyhRwAYFIapMZUwKjwRMfZOyqLmGKACkcI4JSaMQXA9ckcIyKlNUuK0XgjP2AE + w5MxkB6rw6TJ+2MGRoMczVgywjGtMQKQAMAVcDWuVmLqMbedRkdA22hKS+kIEAiAcAzj1VhURpKB + IgWkkI1vEAIEIEDFxgCCgGCMwxkjpoihZMBREQGQYkCcCAk4bde/AYx+kRgQjfJk26zY4+rrnsyx + rYTdfm/M2inNZDKlAJFIsdN7gJEQCZAEjAEnjVlWLZPc3ocRRlU4Lu8kgzKZ12o107ZMMm+66aa5 + mV0rJ0+Uq7Wzls5627+8LQiC1WTVMAx+amsUPfRCkCRJmqZpmso8N7gwhWE59uzc3L59+6ampgBA + f0GzRno50EQNAOg61TiMELHo+TNn7FlcXJydnTYcO2V5muaWZYIh4iTUtaNxHDNG8/PzbsHp9geD + YOg4Bb9UTJKk2+2maWpygVwYhmFZFkrK0hSk6vV6Z511VrFY1OuLXgU0lYSI5XJ5amoqjmNdWOt5 + njCsMAwrlYrO02kNgmEYtm2rHf3Q9UngnAtgWZZ5jgsc4ziMZdbutiwhAHmcpYwx33HDJAKAarXq + FzypVH841KbniCg418lBzvn/8xu/sXFi1WBIEnrtjom8VC1xITr97uVXXfn+D33w7z9/066FxZe8 + 5CVba+sm44Nev+IXdSXY0p49q+tr+87c+8pXv4qketGLXsQUCWEmURyHsd5hYRpEtGv3rk9+8i// + 6ev/fN555/xfb/ilr/3LzWkUC4UmM5ZmFo4ePer7pShLr732RedfeqFE+psbb/z6177q2a5K0zxO + nn/NNQ898nCxXPohx37ms6767d/9nS9++Uvnn3fe63/u+uXl5ena1NbGpj40x/WAUxjHSZYsLy/3 + +31t8mbbtpQyTGIYS0u272dEpauadKkYw1Mkc2NOb3p6ev/+/YZl5nnuegV9K068B+M41hym4zg6 + AtQoN47jXq93cm3t0KFDi4uLpVKp4DhBECwvL6dp2un1AIBxzEkJ0/CLxW63i4jtdpdxxhlyw3nW + M67Yu/+MSqVSKBTqzcY//MMX4mGAwBlTeZqh41qWJYvFLE7iMEnjZHpqqlVv/OXHP/6Gn3t9mISO + 5fQGnbe97W12wf7iP/5jliRBEOh6gZtvvvnsMw9cfOFFeZJW/NrCrsU3v/nNnPPhcKjVoXmeLyws + 3HDDDb/0C/9DuQXfLXb67de99voHH3lwfXNjem62VCplWbJrafGlL/2JP/+zP105+ujrf+76/XvP + KHrerpnZZz790o12u1r0K7XpRrOe57ntOjd//Z8PHTqkS+NKpdK1z7t2dtfcF7/0j/o5HdlOEjGp + FNtW6Hyn2e3faXw3AOkxegY91ysgxrkkQiEUwDduu+3hw4fTNPVcZ2FhIUmSdrvd7XazLPP9Ypal + +uEvlcqGYdTr9UKhMBwOy9WqU3DiLHUcJwqD3nBQrlZqM9NREoOio8vH5+bmZmdn1zc28jzvsUGc + JI7jLp9YOWPf3sOPHikUClESVyqVKIk7ve7s/BwRdXrdIAp1Fn99bfXzX/yH2ena2trJPXt2NxoN + KeXs/K5290um4XDDCoLIEkaWxiSzil8MgiBXyrIsLkytEzNN87wLzmcc4zRJ8iSPck3r49jEWbuX + sLFhtJaffqere7qDATimbRtWEsYqV8PhUElVqpQECkdYXLE0TYXCLEqjQWgLq+T6SknHtLQjp86c + 2bZ9xhlnbDUbnPNMyX6jUygUMpkbllmtVnutNmMsi5PNcEPX4RR9nyGGYVj2i2maJlFcKZWjKIrj + mBSUShUCBJJSZrZl9nqdjcbq/Py84HzvGXtWlk8gY75fiuMwz1gaM8Oykyg1LWGgyZSRhNl0dVZK + ZRlQ8sqteptzXivPtNttgdxzC6OSVq2m2AEv9SnliLrnwNTUlDYC0kBlZ38kvaj8wPVHsiw26Y80 + MVcFksAkQ8WAjdARcAWMlASlmNJkDlOIoBgoyRTBaWly1agCQYua/tVXAAY7qykUbP+cxhCnRHhq + nGh/4vsDQMBG6XYdkjICJAagGGnOQekomRNyQgABREDESMKoTIXkmFsDABhLcZCQaWpIb3NcxYsE + OC6b0kQEahaCAYJSDLlGaKONKYmSESAwRsiV2OY6MN8RAIICANx2YlGAO1RbSGxsHQG0MwNPY0gw + ppI0fQT07x43f+8HMkJGjBOQAoaTwhW1A7eP4LdCTe4BkkASjJhEAkCJmcQcAIkhKgRA2I7IJ78C + o7K4MUc0+YwYAOkrqBlGkiwHkIpNCmkUV4oRMgAkVDDaiIJRCdk2uNWdqRlIBgpQE4SaxuSkNA7H + HTh/RxX4jnD/9E/itz/r376RUxOlk3SGOuVNNnqlMZQZfcykTos8kfmBAePKxBFFq0HshJobTwWn + XAWlSCVRMj+/gLFIguTMM8+sVCpeVjj4zLN7zcHll+++/r+//nNf/Vy/37/kkkuSYaxZJtKPvJYG + EKixY5hgOF2t4ZlERJZhmqbpFNy5uTnP86Io0tmrkZI8jonIMk2OiIhF31+Yn9cfMcamyqXF+QXX + dZMkSuLYKFiebQkhEimllJZpElMSqFopl8vlTretE2rairrRaARBYJkWSAVj952cMcaYYRglv/Cc + 5zxHh+zaKBkAtEPdpJmP1hSMEnC5sizraU97GhFpeViv19Ols9vdn9I0DEOdZZuZX2i1WmEUtXvd + M/btefozLo3SyC36jVb9rvvv/fot/9zr9YRlTE9P1yqVfr+v97ZQKBiWmeejfj6mMITAQbv7rt/8 + rUNnnc0Vzk5PF10vk+naxvp6Y9Ny7GNHHg36A9swGxubv/87v2sL88rLnpnFSZZlS0tLw/7gk3/9 + v379bW/x3UIuU6boA+9//9MuvKTZbCGhYRgA0Ot1br755le/9rpyqSTTbPXEiXvuufsv/uyGIw8/ + 8oqfesXGydWwO3Bdd8/uvTf+7d94RR+BsjSLgvBt//dbr7n66ulSpegUNlZWjh8/3uq073ng/l/7 + jbe26lvxIDAZ73W6v/vO3x72+ldeeWW1OmWaZhRF9z90v7DNt7z116MwTOIYOQ+CQCcrozTZrvua + PEpERKRICcaFYaAaNTXS1BACSymjsWO7NjPUrnHq1C5D2vY2iiJtltvv90+ePFmv16MkQWFcetkz + 5xYWTdNkBJYCZCIY9nzXZ4KbppkrGSZxMAiH/UBlOUqyDcsUZirzpbldh/aN8vK26aRxmiVZknRm + ZmZUlqdR2m11bdtMZTw3Mz87O3vvnXeDVHfffu9rf/bVljAYMES86JwL+3FPJ8pnK5WlpSUhxN// + /d9bL/6xq6+4ihxJyNI8u+qqqxiKEQgXYjAYHD58+Etf/PIvvO4XysWSIhaHyfzc1CUXPWPlc39r + OvaJtdWDZx/QU/nf3HijiuPoZT9dcn0gBrlM+oM903O2YR5++OGpao0Lccs3vnH/vfcqBX65YnDD + L4BpuAXPdRzHNszJKdWZcZPznCZi+FN4pH/vcdoAaaTM/7aBiKZtJVmaK1mwPVM6kpTlWGjxRMUp + 5c1ey/O9slXrdDpEtDA7l+d5vz/oh0Ftdqbb7U7PzzWbzV44SNNUArlewSm4GuMyxgqFQm16qtvv + mcIoFH09GXm+n+dybm6uXq8jYrVaTZJkfX19bm5Oa5HDMNQemnmeNxoNv1g0DZ7mmWFYzWbLdQvc + sIIoTPMcMGMqD8I+Lxa5gQq5MA2eCtMwNra2yuUyCh4PY0KI0jjOUuCgg2allBCjBgJCCMd1u92u + Rkc6/WNZloZM/3b6CADSOEmCqOwV3/SmNwmG5XIZiFzXHXR7eiHJskwXIMZxXK3VVlZP+KUiY6zg + e2EYfumrX3n3e94TxzETXOMB13WnZ2fq9Xqv14v9OEmSqUo1UIFmgdAQjUZjbm6OATiOEwRBHkVI + IJW0hEGM9XoDqRQQCYGmYQhhzs3NXX/99aDY3jP2BUEiuOkVKoNBwBSzTC9OeBJntiM4y02bOu3N + SrXY6zallFJmnuctLi42m813vOMdcRyH4ciVRZFSSpEaoR3N2EgpOaJeMIbDoRDCsqyZmZlOp6P/ + qfM3+rroBfUHuj8SgPZ13H6mCEa+M4o4IzYSaI3T4ZMGi6dz/4zClyf2ioTjeB4mXAfAhDtSk+Q6 + jDLueLo2muPISefdR8K4UVg5llQRUwwQFaLiQByBSN8KIEGzbLrUno1oIkWII3MFAEBggAp1RMhJ + 4Q4/NK4QAIChwlOtLkggKAWgrcYIlVIoFHLFx6Z2DDS/RDAK68f5+PFAbSABpwasozNGY8O0yU7C + Kd/RWII97lT7H2qMLwQisTHhgJyUPvNsUlZEyECnkXDEuaHW0SFXHAiBFLEcAIjRCDlv1/BoSaTe + oP5RYJrV1BkEUGrMKDISQIwR4thDD0ERKDmCr3xCIWoXx3x0ZbSBBCAprQAkYOMnQtOVkmlcNMFI + NC5eIgRGo/KqbZikvjdL/Kk+Kztr/GCiQR39c5yn0IkYgH99fuBKjIlQgtFBTf6WdoD8MfgCyLXt + tcwM4gjg+/5VV1113833bm1tUYZ//O53APJXvuqVS7t2rZ5Yma3Nco2OlK45Y4wxZIwIhBDAGOd8 + aWlp99IS6MiESAFp61EA0NWeOrrVa8Ek81WpVCzLchzHMAySSqUJEVGe+b4vUcUqH4bDMAxN1+SG + kaSRYZrVarVSKUVR1Gq1iuVKsVwKg7jb7g0GQx1E5nJk66w96/I8Fww1L6QLX/UiAgB6KdGgSDNF + iNhsNk+ePLm8cvLQoUMXX3yxjmcYY71er91ua6GdVhloEkNKGYbh7bffrjFPo9ncs3/P1f/p2V7J + m1mY90ve0ePHep0uCr5n1xJwHA6HGxsbepUEZBNZOGohAjHHss4759zf/q13FB0/DqN2ozk3PxPn + 2fNfeK3B0DJMUmrf3r3dVpsR/O+/vjEJI8s0tW681W7/3v/8/TyRMs+jMOy02+Eguu5nX62ktC2X + MzYcDoMo8goFS5j9fj+JY9t0Co5jIP+7z3xmcXrh7tvveNbTLx+GwxMrq3/x8Y/NTE3bluXblsVx + cXb2f7z+F7r15rOvvOLk8sru3buPrSz/0fveMzc17RqWAGZxceyRI2edeeCd73hHpVgKw1ijmlKt + +sPX/ueJJYZt21medzodXY80QUcTWnKiwARdUyTVyNE7z3GciWRjR29JKo5j23UmrZBGoF0IXTYW + BMHGxsbJkyf11UfEXKk4COMs1d5OtmkNBgNdh6bLvNtxHGdps91qtFu6aNlAQ6ZZpihJE04gmCjY + hYWlhXa3g8BrleogGK6dXD3r0NmVSqXX6zJG9a2tzfWNQa/nmNYLnvf8YWv49re/vVAopGnqunaQ + xk97+sVTtdqwPwCAzc1NHZDfdtttD9x3PxL45VKupFv0X/byn9bPi+d55XL55MmT1XLlXb/5W5VS + NZfSr5bbw/7C7iVui5xUsVL2it7m5uY//dM/CSLf9z//mc9sPXo0HPS5IQq1WqiyRx96aG73bimz + 2+/4VsHx1jY2/uD//UNQZNuurlm45rnPmdQaMUUj+aIW5nyftBT/JondKQs/ERNcMUBDJHlGADmp + PJOlmm8XbMIEDcwpD5NQWMJ13YePHPY8L0mSarXa7nUN06i3mqVyCQVmWRbGUavdrlarpXLZdpw0 + TbVpGGPMLxUHg8Gg1y+VSlkcNZutUqlUqlZc173nttuedvnlRq/baLc0xC9VK51Ox1DStm0mOBO8 + N2hPTdecpOB5xeEgSoOYC1vmpl+ZSfPE84VpWGkcMhDtVs8uWGEczy3MTU9PH1tZthzLdd1ur4eC + GZbJDTEOf2OlRsKfLAdN6Gsqf+IB/5iHUA8iIjyNGIgTIKJMpWDikosued1rXtvv9y3DOHTo0MmV + E1EUAYDOkdi2fWz5+BVXPPPYyvEoiffs2XPb7bcfOvecn375z+zbu7feaHAGjDFuiHAw6HQ6WZZd + ccUVr73uNXvmFsJhoPNVN9xww+133lEpll75My+/9NJLHz5y+GMf+9jRo0eVlIzIsqwoTnzfI0BS + Kk3jOI6zOImjoFIqf/OWb/75n96wf985ja1w2IOiN59LR6DHyEVEgGGUND2fSiV29Ph9Z5+1Zxi0 + kdN111133jmH8kymUQwKbNPJ0lwpAsZGCclxna4cNctLENFxrDxP2+2m53mlUsX3fY1JdHYQfzD7 + Iw0Gk81OvqyX/9EdoghIIQgERqAUTOr4x+UrCIqhZBO90BMap3hY/WtDjasURv9itD17jUkb7TsH + Ov46/Xheq3G0omqsr0NguuZKEZLEfLsYHpArQUCMMs24TVLgOFK5MTZGR9oiTKIAAgZM0AjKcFK6 + gkkH7qBQF1Dq+BsAgBCJKeCoiSCQOgYEAFSCKw5AEnOFyEgJEnrpnLANMNIKahZCHx7R2Phr56Vi + ChkqVKfAKo0lgAQqgepJk0B/f4Y+D4ozJRgiI8aV4MRAIWdMMQS2HakzrUcEQIY5IpfICbniqAQS + kwiSBKOEERud3tFl0t73uuxMe0MrpJG540StjgQKBCPkwBkh14pIlulbCBQAnmLPrSv9NN0oEVBx + LchkAKhGVsKMkLSpNyEAjr+ggEl4/CwiwKnPlBZwPonjMQ8gMVCnmHw8juHHDhZ05EzxxHdJMgCe + 7zBYVztw0fY8M3F2IUJgZBfsxlZjypktcK/b7V5zzTW3fOHWsBH+xUf+st/vv/yVP1ubrt16662u + ZYb9UKmcSALo8iFFJLUTeJ6nxECvsKYwdFcZAFJKRlGms2C6fD9NU8dxTFPkeQ6g8jxFBMsyTLPo + um4wGHKBpukJhkAyjZNhHsX/H3VvHm3bVdaJfrNd3d5rt6dvbpubm+SmIySQCAkEIioCAXzooCoI + WlW8MRxSijxBXyABBEUsiyoK8EEsQyOKiohKk0TAok8gpCP35vbdafc5u139mt37Y+5zcmkrF2MJ + 6489Mnb2PWef1cz5fd+v0xK51HVdx3OQUVKhoFKpVCp5nqdRbB2MyrIcjUZRFGFMOOfb679d6sew + A6EYY+ufZGepdi5mqSUIIc/zwjBcXV09dOjQ+vq6MYZSXqvVpqen4ziuVsfGzY7j2L3MDuwsGNXv + 91dWVs6eXR4lsXXG45y73EmymBES+L49Cc12i3O+uroKSFOMrRFRnCZaa0KsBgwpIQkijNDjR47+ + f+993/Of9/zrnvq0ibBOKMl0+YV/+txd/3SPEnJucvoLd//Tb//2b9/0nOfe8vJ/99m//4w0Qgjh + c39heuE3//Nv7N29W0pZrzdd5pV5/tWvfIUAuvFZz3Ecpx7WKNDfff0bjp08cdc9dyVRHMcxxWzv + nj1/8Pbf/69/+MdXXnEFAuht9i+56JJ3v/vdVildMSqNE9/17vva1z/+0Y9e/4+f2rW4U+bpBTt3 + vvPtb6dO8MlP/N1ko9VqNBcXFq6/7qfeevtb/+S973O5Zz3iNnsbd955Z5IlWshGs2HlXpbjg4lV + Np4jb7P36pYkSWll1BjH0Hr8Qdtpb43F2XaYlX0fAPr9/vLyspXZWxallSTZggoT0mi3XC8YRgkC + ElarRSHSNI+ipLfZX9/odLvdrCzyPI+TRAiBsGnU6kIIyhnSyKNuPBytr60VRZHkCQFEEa2HDS3N + W9/8lv379zuUKxB333P3rb/zRluavulNb+osb+xc3IEQyoo8DCsr62ue5yzs3skYY4RSSs+cOSPS + /OTRY/Ozc77jbvS63HWE0S//5VuKopBKWSHD//rc5xvVRroRMcySIicu78WDa55x3U0//zzAaNAf + nl1Zfu6zbpSlWF5aGq4MnVLtmZwq0yxKozCOT2926mE4GAzW19enZmY+9ZlP+6736U9/2iggmDXC + WlmWl112WZ6kshSYEssbwhgr23l+55Dx/9jxpG3ACCGbeE0IUVoTQjAhWZ7GSVIJA4N0IXLKGCYm + zwpl9OT0lDGm0WpKKfOiaDSb1TBcWVmphhWllOO61kUxSZJCCnsLWrhjaXm5WqnMzs9tdjaMMfv2 + 7et2u6PRaDgc7r/88lOnTgVBUKlUBoPBaDRqtVqNRoNSOhwOCSGO42QJOnv27FR7rtcdUupWw3ae + FbMzU8urK5wzx+FpnqlcTE9NrC4tc49hSoZxlItyOBzOz89LpdIstpCU9X22DwwhxOWOlFJI4/u+ + HSBZp84kSaym80k4ywYxwlzXzdNMS/Xwgw/edtttz3n2jYSQX3rZL+Z5PjExQTHprK0DQOB4v/Wb + r5ucnnjTm2975Stf+dWvf+2+b34zjuN+v+84jsFoe3UIgiBN06NHj95zzz1MA0H4pS996Y4dO5aW + llzuXP+sG57//OcfPnz45he8MM/zP/uzP7MTETtKGY1GlDOKWZHl1HMm2u3BAMKK//DDD1500SW3 + 3fpfPvg/P3nqWFb1dn31K481ajvWVwdhraJ1D1Hi4vIlr3jp337i/b/zht/o9Zbe9vbb8yzymZND + ubHR3bFjl+v4WVaUZUk4s7OEbQ0SALiuG40GWmtLXbMtB+dupVLhnNt8JLtN2n7G/FjlIwEkSWLJ + yuN8JGOQAQKIWOqaJWhZaMKK+BForMFgjUADVhjAYKzR+SiLfkgk4/c/0LgRAYOMxUzMOaNoC4dg + AGSQxY7MeY96tkbOAFuF7/h9hYzCUiOjsESIbuEqmIAxBhvAyKitKf2Yp7XVHY2pdNYBAGCsV8EA + MK7hwCpUsMbEEEBgkFZm7NMA2Po8WK2/hnP6s+2zYvEfsqVmURgw0vZzCGlkMDFA9FiqpPBY5QJI + 68ejb8bWhMhgpNG2lzcygDQFY4imFkc6z/P5Y3Scc0Xwdt+IDTbaIIwAqXMh0DHBUm+rg6zQaJt+ + ia3uyFLUtiw9jGUkwhYpbnyVx5dMj2V8YHsnrIFgQy0QZFtajLTtjrbN8hFoaxlPDGjAyCCsx+3u + Nk8MkNqibmJs0DarE5AxSFrjhzGccq4MafsVAMA8TkX7VzuQQfh7FgdksEF623dkG4Oz7BUM8nyW + CKOwARDbIOo5nLpzw8G2/nakARRGY4Mvg6A36O/euTuKove/7/379u378Ef/4pZffsXm5gYARP0e + 6K0SlmBLXdFaW9ovIhhvkTLGyzXCzOEqz209GsexFaPaynV7pd3G9m3cUF4WLnc0oLRIlVLUpb7v + c2w0w4Si3nCAHdJqtyuViijLKIpkWezatWswipaXl7UCQgjnLmyt+QjQthmP1lojbe0WgiAAgO0a + wPpQ2x3k8OHDa2trdm8CAEyITdpZX18Pw3B9fX0bZLCEFN/3B4PB8ePHl5aW8jynlJd5ThFWUjJM + GGPJZtLv9zd6G6PBsNVoTE1O9Qe9wWDQajcAwAbZb8lmQGvNCVMY27Cpeq0G2tQqVSUFaAMGOKan + 1td936cYd9bWn3Xjsx3Gjx89Wq/XpREcUYezXOS5KKt+sL66JkvRz3pZkuxYWDx76jTFpBk2EKCy + zCU1k/Wpz534XDQcLc4tOowPBoNTJ06WWV5lgQaltNm9uHNz0CMIR8NhxfONVB7jRZIeevSRjfVO + mSZGI8bYcLPrhJUsiyt+MD01JfJCC3ny+ImVpWXQhmPmcK61bjWap9eWEEZ5nuMtio3jONJoNB55 + AgBsT1G3nhCktdZSETlmdWqECMY27BFt+Q0yxG2Va6konU5nbW3NKqJtqWnHrNbhw5avypjNjZ6F + LpMkkUIopc6ePXv//fdrqcqytFlezHU81/U8DyOkhSyz3N7hnufVag2LU+VpumNh8fDhw5xz3/db + jfbrX//6L3zh82EYlkXmck1m3W4AACAASURBVF4NKgtz8+srq8GuShLFrutiQN1ut9VqYYw31zuc + c/sgDAaDPYs7N9fW4zgu0syG0wy642Au7jhRFE1MTPi+L/Ki0CZXWa3d3BwNFhYWDEaDaKS1ppy3 + 222l1MFHH/Ecd2KP7znuxnqnFVZdwijCRknQphpWm81mHMe2MIujbGF2LhkmnU6HbQn17Um2XMfH + lQtPLDf2f7Na/RuaNNiy1Rp8Ly0vT09PjxtrQhihnbX1sFLFmKZpWg/r3W63GoRlWY4GwyAIqpVK + miRxHM/OzAyjof1ptqjddnGxLF5LiCqLoigKW7kmSdLr9fbu3dvr9U6fPl2v1zHGdnHknG9sbExM + TNh/a1WSUgLBLiEsCKplKYUoGKdLS2cnptpRFAlZVCq+5qzX61WrVYSQKIXneTbjWQjhuq69fo7j + +L5vXR3tAMnW3FbNab+29TCxS+R3yQHtgc7fxU5rrYSu+lWKaDUI52bmpyamH3zwQSNNrVJLRonn + eY2wMRgMRC4W5uYB6f7GZhhUfvq5Nz348MMWoDcAaZGH9VqapvVGY21tzaJ5n/zkJxt+5XnPuekp + F125+6Ld9WaDMfaf/sN/fPNttz/y6LcPHDjw2te+9k/e897hcLhv374zZ85Uw5ACSK0oxb7vS1H0 + +31GsFGSUfrW299e9VtXXfbc49++99Dh3MfXmHSS6SwfFJOTe5bW7z/22P0v+0V08f6rCHIuO3Dg + qisv9x2uoex0OlMTk0mcDQajMAw7m+tjOzuEKKXcYVmWWYKBfcjTNAUA245a8lu9Xp+YmFhaWkrT + 1OJpWZaRH6t8JN+38erk8XwkUZYlr1KOCDFaa4OMNogAQgoBYkSB0pgWhfC9IM9zwEQJ5RtGv0cB + hX7garJNPHtiNxvS2pSIWMkqSAANxiADYKRWABgjhAGpsVsXBm2DMJ/wTY2MxmMFhJV84C3jZuqy + VCaII0nK4UjvaCyWvSKKkp3NvYNoVJaZ6zGMiTRKG6CUKgMEU1ka0EiWJaOUcScrhMYYDKYGGyUI + w47nFsYURVaUwme+73pZKgglUTzyJyujbMgwcSg3CFSpGGEaACFljMGYaGUQAUJImqaEE6u0blWr + aZ5hRnOZGmIwo7pQnCCQwDHVhZFauIGTyQxTAIalNlojq4UTuaIes9W8TnWlEgZ+tdNbby1OdEeb + ruclaVwJK9Y39lyt2k/agY0xWVZIqY3SUoqqV4mLhDAyzGPuMkSBEq4LkybpdGNaSpkKiQhoraTU + LnOV0EoJSrEyVJUKEey5nlVTK5AYYylFxQ/zqEAGe74jZaGNUMhoUxqNAFFCEKUcI440Aa1Gw25Y + 8wDBYBC5vue4TiZKzmlZSIMN48yUEmNEKc2l5A4fDZLAd6WQ1Yo3SjYNGKOxBKh4Na1RmQvQsln3 + N/JRYQrEIQi9jUGHVnmBJKU0iuNqpQFaG4vCoi1cGtT3RXV+hMNqmm3UgeN79uas+hWCaJkLivCY + k0wIaNtvYHNOz/f4pQL+xH+pwlKSgnA8GA0mwlaq0yRLqkG1LAuEEEaYGgDYlu0hZDAmWEhBOcvT + PHTqDx16aONQ5yUveclmr/ulL30pDMP5hcXhcNDr9R74xn3b5AuEECXEGKOMZtwRQhCClTGAbQKV + QQQDIMukklphSlzqAYBQY1jYKkZKKShnymgpFSCQWnmBq6USShkEbuBrUKWShPPecCClDMOQuNT3 + fUB6aekMIeTCC/b2er3+cIQxRoCkVmPlqu3kMbKFbDqMOOdGKiHEth+PLZ2r1Wocx0eOHDl69Ohw + OLQzOHv5sizTpUyS5PDhwxMTE4cPH95maxdFYcdwa2trJ06csKR9pZTn0BJjG6y3urp65vTpoOqf + OXU6yeJms7l/34Vnl5cOHTp0zTXXvPzlv/TWt/0eYyzNM1uj53lpNx0whhKihGzVGw8/9NA9n7or + T1LfcYNKJVeFU/FveeUvtxotpbTLXVmI9dX14Wbv+utvYIDtWbVjjve87z1VPyikcF233+///d99 + Mh4lH//Lv0UIGaXzMpuYnnrBi37ecZwkSbjHfddbXVp1GL/hxhsoICs5M4jkSrzvA+8DbcqyqPpB + rRo6mJ46dvxtt7+l6niew3JtWMW76LLLB73eo498u16vKyE///nPI4NvuvE5WVYopQii2CGTi1Ov + ff3rwjDUWkslyVaWFKUUMEL27jJgtgkgYwoHwoSwre1baw0EY4wN0vZC2Cacc24AGGNra2uPPfaY + hYwAwFaD230RANiuxiBU9YO//thfVTy/VqsRjNeWV3qbXTt+ZYxpqaq1kHBWlmWR5/V6fX1ls9Vq + aQTD4fDuu+/mnruxsU4d/uKXvMgy33q9Hkfged7i4uLNN99MKb3/m/etnF2hlFrbsN7GYH52bjQY + NNvts8tntsvUoijq9bq9J1dWVmpBxc4bgyCw1a9tIS1KYW0Pd83u6JxYadVaWsh6NdzY2PCatcnJ + yawoGGO2HrND4UGakvkdFd9H2lilHwaEDNj6U5YlYyzPcoKwrXwqQWDbTutiYrbcfcfXBGP1b8Sx + e9IaJNuBGGMGg0Gr2UySRCtVC0NCCMOk6ldH/ZHWJQaspUTG5EkqpQStN9bWfT9oT01RhEejkcO5 + MpoSYp0E7UQfGSOVsu8MBwPf97MktYbLw+FwdnY2iqLhcHjFFVfYDCJ7fhcXF61ixN4rSZL4vt9u + TUkp4ygry5IQRkGXZapNWeQxIAmAyiJTpQAwoI3reoiQJEtarZbQKo3ilY0lq/vsdrv2u9lZESGE + UGrvctieJyG0fZM9iZWNfWI3Nzc5561Wi5Nx6pQQwvpKO45TqYV2JsQQ3VjvaKUUAillvV4PgmCY + xBMTE5u9rgHwPG+IcRAEtVqtu9bRUr3hDW84dvbY7bff/pGP/nm3212YXbCzK/vM79mzpz01eebM + mampqTTLKlVPSJ0lGcaoVqsRo0SZYozn5mYOHXps10Ltuqcvrp2euvPbXxx02WRryueIcd1ZP37h + nmvPnnn4/7r5wJETs5MTYZqst9tNQIYCyrKk1qgbzcIwxBi32+04S+M4dj3PtsTb8zYwapultg3v + jEYjAPjufCT3JyEfibgbyVCXggEFAloaqQUCpAkyRhRaKylLaSArMXUocyhDOM/JWDH++BLyQ6Yt + W/9nG3T5Ya8EAFFXGFHmRalLzDDzXIRBGmMs7IIQGAwIGevMSTQyj0d2PrHj+3zaAERZTBycm9St + eVijQTKo+TWv1KeWTzaaNTCQyZRSpI1EGIu8BMCaaKQppYRSx4DKoSigAOSDUYQxzry8iIa9kaCF + W/UNwbnWWORCaocRzjnGANQkKi214IYTQksjpJJSSUM08h2pylhGHDnADas4WmKsUVHmyLIDGVJY + KVOWWkgpKxBorbVRjFILKZSm1MYoLAkm2hiplEZaGylUiSkJZ8Ll5eUkjRqtVlkUUmoPiMsDJdT2 + IO3xi/gT0ilpAA2mVCXzXc6csBH6hZdtJL1hT4JwHJdXuUS6199wHLfKAwlaCCFLAUYjhhABjXSK + EkSQUkppQAR87gqjkiTRQlOHSiIAEwnGMwoIxoBKrdIiIY7WSBCOCKFG4jwvVCqRJlrrIPAM16mK + GcduzXcYL0uphdJYAdKEE41lqhKqDAFXIyKEIAR5njcUSWEK7YBGysKMuczBIEIQdR1hZCpT5KCN + URSVMfN5JnNNjTbKdV0hC2IoAQTjqmyrTXqSLqNlFDuOU61WMaFCibIs0zThhFGERZljjJth3S6P + 1WpVCGFjY7/LVY88Tnr8368PgHCptTFaGxnJOM0SADBgDAKMEAJkDB7TU40lk2pjkNYKAXEDHwk0 + GAzu++q9S4+c/fvKPzDs5Xnper7BoIWURjOCsqIwCKI0CYOKtjMgjDAlhRA2KRsAlAV9AcBSqb/f + d6UYK2Os8oZibBAiCGkABQoQOL4HAMloWEjBPI4NQxRlRV4J+PT0tFDlwYMHZ6amFxcXl8+esV6m + Fpk01r5Qa5e72ABDdHvBt0+oXe3thmUZWWmaLi0tHTlyZDQaWW6C/YAFuxCmJ0+eXFlZsaXk4uLi + wsKC9QSP4/jgwYPr6+vW7GHb6StPs9pUmBW5LMW73vWu3qDrVSsveNHPP+OG62+99XezIt+1uONX + f/VX77rrM5TS0HGG0SiO4yRJALDneb7rYoRkIazrmjVq8xoNjzsAMExH1HMqfqCUQtpsbGxYubLj + OC7jRmmRF0HgO54bZ6nWpiwlYCCEEMAYU5dxlztKKaG0w1ykjVIGlBFCaAX2z8+yDJSizAHH8TzP + IAJZau3aa7VaHEery2c3zy4xiqPhaGaxjjHOiiwbxVmaYkDWZs0Yk+d5xQm01o1aHSGklCl0uVWR + i1IK5joIYwCglOrv5Do8rkc6z+cuz3O719vSwppwWEnIuLMCeHwgq7XUgmHSaDTarVYyioqisN/Q + kjbDMOwPBoQzGIMEea3Z2Oh1m+3WgcsuPXnyZFqkru/1+v1bb7v10cOPdTqdsFEvimKi2X7JS15y + 4sTxm254zqfu/se//PO/NFJZmmISxbZTGgwGjFJKaZHnk9NTRqo4ji3bs91qEEAGECUUABqNRlLk + Vo+9re1vNpunTp3aO7tT5jKO46BR45zvWtzR6/W2STd5ns9Mzy0dOZrGGeM8ShLkB4UoiWBhvcFd + pzMciqJwHOfU8aNzMzOVsNLb2Gw12lb+3ev1xpxG61mFACFkU0/gfKQoP+j4QQYKP+T4FzVI51ZC + hBBZlKC0karWqh47eWJ6YjJwvWG/F3mBw1zfDRBClHJjTKPWjOPY8zzX8VzuSik3OxsIIYcxbUyR + 5bIUlDOttdGabZm92LKyUatbhg3nfGO9EwSVZBTleT4/M/vIgw8RQqamptI0JYScPHZcSlmtVqN8 + SADNTc9kWZHGhcO9Ih3V66HSIsniWqM2OdvqdDqcjW3XMcaEYJEXsmRKCmTQoDcYjIZzM7P+jFeW + ZZ4W87NznLI8z+0ESAhRKrUdX2C23D+2lUg/qKA5z2oSbOZaEAaYYURAqLIf9za6nTiLq9Uq4UQI + gShK4mjYH1BMkyK2jeLm+nqjVms3W5OTk6aL9+7du1Pv0hapM8Zy81S93Ld7z2/+1ms9z/ujd/zR + V7721b1790pQGszCwkIcxxftvgQItgv3nj17VtaWCyMqlUqWlIxQh7J0NBSlNz01mafF3Mysw5yi + gDwTnHnTUwtFCggzKTPX9Tc31wHT1VXodQecNHOt52cX7IVuNpsTE61eN27Um67r1us17jlKSaGE + BeVc11U2H8Ogc/ESe+a32RQWA9zc3Mzz3M7g8Y99PhJIA3osSkYImbFNltYIU84czD2PyBwR4Hla + xmXW9JlGYvwrthaRH1Y9b2nin4hLFTaYSso0YTwIWbU0MsnSQpeIYObwbfs1MOPl63zx0G1CzlbK + wbi20EgjCrWp8OCpQ2snO7WiWqyVO9u7qryKKTnZ0Zu9DmUQhEGepBgQIUyk5bgSA6AOU0QapA1g + qQlVnAuEpNYmF7SQgcQeUxK4cSqybnJghCYigYoZqRGvucgAKSjTXOXaGCNMhikoLI0xDCjFTBbS + YU6e5w7mOpHMZSWTikvBtEGgS01LEuIKFrSMM+44kgrJtGRSEKGQQgqRgrrIc5CPKUohPROdGUWj + xYsXCl1KJQwGx3EQIkgLBcogc+605ScLStLElEgKah56+KFyXTSgFhifIRy0KutHN3Iiqu3aKIkJ + IAdYMSwqIjBSGWqwaxTV0kikDcUEFLYmj6UsiMMQx4ThAsrc5JRyHYNPAhFJgojrOnE59GqsUCkQ + TSkFQZGgLgoYdgyBrExyOSIceQ4tspJowgiPo5Q5XIDkLsGMZHlCALlOoCSVAhdx0Ww2+tGG33AE + yXOVE0Sw5jqlWDIhhFuhhmQJj3q8x2qQg0AuklhLLbQGSqnIhUEYIwuJgLb+4effIP2gj9tlzW40 + aRJTSn3HBaSNLhEjvs+TJOkMVlzX5T7KZYTwGLn6LhxpLKV7YuuDAfCYawyqe5gSRj3KKZWg0jit + h7Xv+z0tAx/UmJNv10wv8BljWZxhTJVScZxUPN91XY45c2glDEajAXWoQVoaqZHWSDOHGowIjMFz + tLXoWVKGde8497XIc73FqFJgEMLSGLUl6dFZShCmDvfCigSdS+H7/tzcXNCodjqdJIvn5+cbtdrK + yvJwOJRSKgOEUStKAcDjTQQQRuM8HCklwRgDbFvV2YHaNtQ/HA4xxp7n2SbHypYAwAAmhHiet2PH + jh07dkxNTSml8jy3Vqi9Xi/LsiAItq19tNbT09PdbncURzNs5gU/9/wTp0+kRd7v9q6++uqJiYnj + J0/EcfyMa6/70J/9T89xz66utCbaVnTAuWuM6Xa7nDGGqRAiLfI4SYqypI6LEAKEapWaMRhjmsRZ + tVoLw3qlEoICjAkl3K94KY4NQkmcpXnOOU/znBCSJlm/3x/1RtiA5/gYIKxUNABCiBFqOR2ccwRk + ZXm1XqlyzAUoRmlZlmleEpfX63W7MwKAECJL8la9YZTwXc8Y47BSYMBGWwdK0CaO47Isw4mZOE6N + QUVRWodVWz1yzilnBqMszymlQiuLKG6XDuc0SOf3QNqOyFL3t/dxrbVtnLaZULVabdeuXTMzM9Oz + 87ZxogiXWWq0tPnSWZ4QQjZ7vZ07d3YHfQCYmpnudDqjJN55wa4XvvCFe/dd8MUvfvFvP/GJLM/3 + 7NuzvtHxKsHkzGScJr1B97ff+Pp77rnH89x3Vt7xN3/11x/76MfyPO/1enme+75vu007H1cIkiSx + c/w0Tev1eq1WQwj1ur2wUpUGhoOo3mxEUWR5sMPhsN/vW5wHISS1UEh7FS9N4wJppRQorYRwt1x5 + bXXEHaYBmO/7YZUIp5smkiJlxpk3rUZzotXKktQB5jl8NBporau1cHJ6ghxjhFFk/VTA2K0OYyz0 + OULkH3Xr+z+Rg/SDOjCra3MYx4AGvf6u+cXXve51WZJUg6BarSohN3tdDAhTIgpRCSsUEw0miZJa + o04QTvNMS9WYaL35996yXBQiLxzHQQgwxgRhbUwSxQihWq0WDYae5xVFURbFxMREnhe2FB6NRnNz + c3aob7GsRqNhJzfWKybP82F/uHfvvssuOTA9PT0ajRhjlUp46sySNnDVZRXmOAghLaXnUqR1Fg+l + Kl3PU1qEtUZepCsrK5b+i7Q5eeykjcSxoztrRCOl1IbYQdH2ob8ztvlfdCCDMdpuw7rdrtVltVqt + 9uREt9ullCKCsyLXYCr1UIPyOO9tbAaeb43aRqPR0tJSUuQPPPBAVuRSqSAIfM8bjUanTpycnpi8 + 99570zihlJ5ZPtNut79+370A8PDDD1cqlawsMsjW19fjOFZKraysNNuNQTqilPa7g2oQOoRqmVOA + tdV1kReyLCd30COH4ODBbwDJNjePpjGfnJ4nTHbWDj/l6lnnuJYAF+7fPRiMKKNnziztr1xQgsKU + HD91MomLjd56Weaj0SgIq+12e32jY0FYQkgphKUQ2zMP50RDoJ/YfCQJRbUabKueEAFECRgjpQaC + DMJCSi00ZLhaDSuOr7kvVQQARqPvLFx+cFkDMPb1NU/gVSMisCyUAgUuYw4OmO+Cq7BWCGzBbh3J + 4fy7I3t893pisEHGII04cbgbNIOVx1Y6EdFdHffjqJ9IyBFH1KVpVliBBTWIGtautY0CKeXGYBO5 + oLmRYIBhiI1HwxB5LiUaygQlQydVVlhUAEocVzoB9xWWBU9SkoELgAAiAE0gJ42wQQhoomI5lEYA + BoezIhEUmMrVXHtOJ4K7fDVZL7lQXAMCUIAyqKGw5TVVYQhFy91V8E3pSk01wkABu9rzjC9zk6ap + 5trUDYQwvWMmEWngeYUReZFXKuEoGTke1duKr62+6CelOzJICywKEI3Z+ukHjn/7+MMVGbRYvbfZ + LVEpHdAVhAOukox4LpG47KRztXmqqKJqmPdSlCAGUgElQCTmxqnQUCmNHRqLkfZVKvJx6HEEIa+j + krrcI9RsRhvINyWUhgIyYBIgAvumChpFxYBWiVvjSZGBAqSggp16UDcKE0L6aS83uaG61EAoeNxV + CWr6E1lSBh1vtb9EqzgnhTUGIYBRzFuVthKSemgtWoUQoAlPecEV2kWJLrBHpM4RgNFAECbWtG9r + KTBje8Ynh2JnKx6MsbUlsFl8SitC6SgeVfyqF/iCSsoYAVQUxQ+qAw2oJ7QyGA1gsMYoQcRQgkg6 + iguR03rAOW/4DWLGOQXIRnyPnVjG82CEMcY4yTPMaJKmQRCY0gCA63mE81wU0ugsSlONozQZjEaF + KIt+ceT4saIo7PanEQBGyIyXVmweb5DGnhzf+eo7rsEIG1BgiHUDUVoajSmhmCSjCCPjMo4wRFla + GjG/e2clDLQxg0HP8dzp6enls2dXVlaqQQDnrOeEEMvKYg6jCDuI2hTX7fOJt2LBAcBuHJagBQDb + IzY7d3Nd13GcaljfsWPHrl27bHLOaDSy5PDFxUUbOGvnI2maGmM4Y93NzcnJyWqlcu211156+YGb + fu5nPviRDy6vrc7Ozj78wIOMMYfx66697oEHHjhy5Mitt73pYx//m2/c/03L9FPKFEXh+F7VD6Za + E5WwaozBlDDGCimUkIyxKE8RxpRSO9Szm6P907IsG8coETxuQigNwxphpNFoNBoNkKBLwQiRUsZx + DADKmCRJyrIs8nFaRhiGBGGCiWVbIIQASouzFWXmEW+i3TZKU4JG0QgD6nY2EEKSgBNWMMZSlkoL + RHCz2fQ8DwgtisLlniVFg1GEkCxJZSkcz5VaAYDneSKJn5SHDs7RlVFKbWzjtiSEMVav1+fn52dn + Z20oiBBi0O0xxoIgoIQoKa1Y3U5C4zi2vW691Wy0mhMTE7/+G79+z+c/d/jw4Re++ObWxMQdd/5Z + LvKqH3Y2N5oT7WE06A8HzOGVsPrlL39Ja9Xtdvfu2VX36mfPnk2L3HZrlbBKCHE5T7JMSKnROGS5 + 0WikRT4cDkejUQbYY7xarbqMY0aV1hMTE3Ec53k+MTlpLRP7/f7C7EJvY+C7bqM+Meis8CCIRoNG + rU4QVkJSRFzOh9FIG+T6QVKUbhCc6ayHjbqmWCAQylSC0GgUD6NoOPJdj2ISVINOp9Nut0dxsrq6 + asEurbVGY+nRmIZDnlw7myd6/CgI0nYfZjs5WxgVWW5HJY1aTSl14OKLdy/ueOc7/vCiC/ZVKpWV + s0txlnJCNYJoEHkVz0jFXMcG9yajyA18itAtv/LKfXv2plk26PcZJkJpDKjM8qwsXManp6df9apX + vfc97+l2u/v373/s0YOV6eAtt7/l+PHjURR94AMfoJhorWempn/t137t9OnTq6urn/3sZzfWO41G + gyDMKZudnX7Tra8/fOix7uYAGZzHsLq0PtneO79wwaHHToL2MEVSJJSxPO9NTzU7a2frYT3N4iIp + fumXfunCPftPLB17+ctfLopyz67dnPA0ih3H4Z5nXUpc1y1KbS3szvVxfrKuFgAopYQqR/Fwcnpi + em4aUXTy9ImHv/3QkWOHrQAGAMIwHKb5YDD4+jfvVVlu73XP84wx1mDaPq6EUauiWVtZjYKRkepn + nve8j3zwQ4Hn15sNRPCjhw4OBoM0S2+97U2///u//+pXv/qLX/piZ2PDfpMsy0otqEu3kuZQHI8w + aJ/zLM7iUcI5P3Z89ROf/OLmcCNs1+d2NhqN+ZNnV4RMrtrbXFm9r0iPfPHLX/nsZz787v/x1umZ + ya999b49e/cx8DwPZVk6MdmWphhFw42NDaFVrVazFt5WXEsZM8bAOc7acI7aEv9k5iPlVmplHYcx + UpY7ZzBCRGnNCAUADIRjl0SSIJqXJa94AjA2yBiFEBlHK/0QYgyS3zNd/YGvTNHAVF3ulqbIikwI + wRyCCFYm55xKZJQxGMAYQEjDjz7a2XJ3sw7aAAprAkoY8dM3P++hzz148J8P7di747nX3MQwyWn6 + 6c//I1DsowpCSAtlUpiuT+7ftZ8hmpXZo8ceLagQjuqXA85dM6C7Got7Jxfbjfr6YOWR099OjQBH + Y+I5wpuEyQun9i5OzUlTHjr7yInR6QEdEc4gxk3S9LV/yd4DgedLKB459dBmvDYsBl7geoXj4yot + +ZX7r2IKaWS+cexbMYoLJqQWDDkmUk23fenuS6u8IpTM7/+i8kzOM0GkkRIJPVObunT3ZVP12bVO + 57HTj23KzgZ0Ln3aAb/Ju/GGU/W5z+Ii0qAIc0FJS2H/3tvpx/xQWOMKQgguedrFo43R+kOrNR0+ + 59pnc8qUYx458eih1aMF0VhhMIYKPDO/46pdVzvIyXV+6MzBjWwVVyASkUMdmvE6bR5YvKwZtkfZ + 8KuPfDmiQ0JQtRbq0kAMuyd2z9XmwiAcpIODJw92yw2DDDAgGoU43De7/6LZi1zXXUlWvvLQV4b5 + wGNOPQxFLKpQu2zfZT72pNZnOqfO9s+mkDhEGQJEEB45Vz/lKmqoUOXBM3SohgYPiYuhAF/7DdW4 + fN+VjLHcZF9+9EtDOiqdcteluxU3opSYIKWkKFQQBMgQpLH1pbfhYtqyFc6zQfreIejYSt66epYl + JcStVi0+U5Ql5zzwWqBRNMwJoiAgF4oxD239oLHP4pa/DNqyDnkir1xyX1aIYMyhktVRYBRVo2SE + pKGBo8EAjLUdBhkbu6SNVNJghTQdC4OjKFKlFFJx4iql0iiyzsIcI4porVE3FPuVSpnnozg6ePgx + KWVeFtbjwSLtY1h165mw1LvvehVFiQgGbaRWoA0imCBsEEgwCCGsle95ySjyPLfRblGXhlPtKEmW + 1lenZiYnJiZOnz41Ggx37dpV8X2ttbZGEUBs+K8xRhWKAGIG12o1a3OnlQKlrdAUbWXjwNaGYpsB + O7p1XbfZbE5PT8/MGrU7/gAAIABJREFUzASV0E7x7ADXTtxseEmapqPRyBIo7EbmcD7Znmo0Gk95 + ylOiOF5aWsIYv/n2N2swBvSDBx85fPgwANxyyy0f/vCHKSFz0zPTk5OT7Ym8LJIkwZhyzjll1Wq1 + lHIYjTobG1Ec+cx1KDNaU0onqkGcjxngdq6ntTYIJVnqMwcALCBDKUVKRlG0ubmJCOp2u8M4yrIM + hPGYQQaHlRoioIzxfX+YR4UUUitEcFmWUpvGZIMhLAuJEHYcBzuslJI7jkXPrPwYIeT7fqUaAIBA + QDi3jSXlHGM8Go1st2nnp1prSrkBzAm1SEiaptx1rEsHIeS7aOiPr6jnCTLYXT4Mw927d9sawDaK + dlu3WJ8tKmxjHNYqyIDRMi9ygmBmapLMzniel5dFEASD0fDaa1+QZJkG05xoz+9YfOz40UMnDr/n + T//kjW94Y6VRrbZr8/Pzjz76KOYYMHiBlxZ5c6L1nve8+zWveY0x5mlPf/ogG2RZVqvVBoPBBRdc + sHJmbe/evZbCRzmhDt+5c+fJkyfzPFda2akukvqSC/c7jMuinF2Yj9OkPT2FEGo0GnEcLy0tJUly + 4YUXLp85+4ynPT3wfSH1jn07uB/M75xP4hGSGjDilCVJsrCw8ODSar3VeukvvuzFN78wThK3GqRl + sbK5+Q+f/yciNWcsieILdu7u93q7dixorefmZwiluZAXH7jokRMHMaPIWDEzGHtpCLY74LkFxjkW + M/+Kx5OmQQo8LwgCWQotVWd9PRlGg14/6g9+4UUv1dK8613vOnnyuFKGc2obiSzLbn7xC6+//vrP + fe5zjUbjmmuu+cu//Iu1s8sO40pKpZRWSgrhUCalRNosLCwcuOSSX7z5ZX96xx0u4w/e/60DBw58 + 4uOfuPNDH6xWq89//vPzPL/77rvn5+f/9E//9OMf//jevXtvuOGGPM/vu+8+rfVwOEzT1HO477HP + fPZTp06s71y8+Od/9t/f89lvfP1zBz23lxeM0IQ7OI7XAj/f7B570c3PPHLsxLOf/bTP/sNnzpw5 + 85xnP3vPTlEPagRh3/XPnDqtpQqCoCiKLM5s7ZJlmYGxZ5pFNuzQd9vW7F9+2ALaou1xHN95552O + 4zQajSuuuOLoieNZluVFoRFgQpTWb3/HH3SWll9684vf//73L6+tDpLI8zzO+fr6eppnnufZ1SQI + Asdxdi3uuOWWW6649DKKycKORc/zNjY2BoPBf37tb975vjuVUr/wol949a//37YrqFQqCwsLaxud + XOSWEuA5LOoXLmXWmKPVav+/v/M7f/AH767VYW4HveSyA3/xkX/8x3u+PTG7IHQhTgzbDXfuoir3 + 06c/4ynf+NY3Dj1GTp5aQoQbINYOsTvY6Pc3LXhtyamT01MAsL6+LqX07Eal1La/HGzxTLbpaj9x + +UgyL6J8U0rJEEEYKxvQYjAi2GiFtCGKBshrVhprp9bDSs1TFZQSBZUnfPs8bhn3RA6mMC6oKKQE + 5Xie67pSqUxnGlGQhmDzuLX3ltkdPq/aHWn9/Torg0xaZgiTG55z/TOvfKb+93r/1P4rpq/MIR1B + 79KfvWRucSEt8qIQgVMhOZ6sTl4yt58DzUz25W99ufSNquq+GoSVOu6Qy+cO7A7nOODT8emHzjzc + 9xPhgZKYZrxVNC+Z3r/YmkMgH1y6fyldXiUbzHdhCE3aCorqtZc83QMvhfjR9Yc2irV+2eGBa3Id + mKqT+TdcciMDUkBx14N3566QnpYgK7yqIznrzVyxcHkArgDxmfvuciZ4hJJURL7DmcA7Wrv21Pe5 + 4J9aP31i7aT0C9WSy+Vyjgvi48KkjuMpoZSRGCOlAM65f7Z7+/M4z/9Gh0EmVjEh9Kobrrr+aTcE + kUdH8OzLrlcgE5Osxp1To5VhmUitPMclhW7RxtN3/JQHQQHFvce+tpotORO0X/Y87pGYTTtzVy9c + F0AlhfRzj9yTBMOB7FXrNZNpGtNL5i/eUdvlQ9A1vUdOfnuge5JL4hJdSi9390zs3VPbbcAc6R+5 + afW5TsNJipRTrlLTMI2rL7ymAh4Cc7x37HjnRExi7YOhYBJNO/SlT38pAxxD+vDZb68XnSEe0oCi + 3PilP4Omrtl7DQYcQfKFo/+cOEnmFoUrEpn0kmEYBBUv7Gc9KaWDXaS3LIS3eqIfDXT9vodtkKxh + GsY4SRLHcapOlUuvjKVDeYsQIw3WSCsDCtA5nZZ+nOZ6fiQUJhkaIawI5oS7jFRJf7jJEW+2G4Ns + qLCU9oZFGqwnONIYYwXK8s08Flj1ZiNoyJHGhgohACFMSVEUShS+S7Mid1wXlMYYY0rSJJVSModb + Dap9FrZP5vjp+H4NUqVSsbaXUivrd8cpMwSnotBa+5zV/MpoOLjyyit379312LGjsUgHg8HU1EQQ + BBsbnSzL5ufnHcfpdrsIoXMbJKWMUsrnPjagYRwND1sgIcbY7inW6dv6ZIRhuHPnTvu+MaZarYZh + GIZhtVqlzLEdVBRFlFK7B1l8hnN+wQUX2Fles9kMw7BaqTTrrYrnp2lKKZ1qTPW7vXe+8x3fePBb + jVb9E5/85DOuve7r37jv6sufWhTFK1/1igOXXDqzOP+7t9567MRxIYSU2nXdih/kovQ49jyPuY7o + ilwhSqnRWuY5dxxLPrduaVaywjkHTDzHs7JkbUxWFkJJx/ea7ZYx2qsEnucpVyks7I8ajUaAEPe4 + Ugoj6nme9RSxkBTGeDAYOsw1Uo7iqO1PYox93x8OBhsb62EYjtY7GOM8Te2FlkKkSlgzJEKIMhIR + XK2FaZ61m614GOdpBh4YCv1+nyDkOM4wGhFGrQYPM/pksZQt96Tdbk9PT9tywtZ79n37WyyfcMzP + LwUyIKVkjM3NzU1OTlqOZZKlQog9F+ztDQaO52pjTp05rZFWSIeN+jcf+FY37SKHSDBvefvbdi3u + LHV5221vuf02uPSqA2Kz+F9f+uLdn7qHAO70137r/3mdbbzbkxP7L9h3P3ngve99LyVUSIEIAMIS + xJ//xUcrtTDP8ziObfd+7bXX/vItrwgcz5omJTLHdJzv1G63Pc+77pWv/K9/9F/e8ntvbTdbG93N + sFHvjYa1euNT//TZmenpzW63Xmt6rv/KV/7Kf+v0sVZXX3udG4Zeq6lB+YROLu4QUqdZ4Toeo/Tq + pz715LHjd7z/A7ZZHaYxcx2D0Yf/5qP2SdmeeCgwSP9AmAH9K/dIT06DhAFEUUbDkcecWjWUpWiE + tWQUVf3qZZdcroRKRgkoYJghDQwzkRcOZTf81A1Pe8rVb739zc94xk9dfuDAI/svkkIkUZzFCcOE + ADJS+dWqEIJRetNzn/sfXvUrWZns2blrfWkl8PyZqemf+7mfXV1fp5T+8+c//4Y3vOFDd975rj/+ + 4yOPPfaW229vt9sf+9jHLt6//xv33ltkmcs5cB6GVSFUfzN64GvfPHUK/vgdf3XsEWe2/oKzp8sw + XNQZcjES6iyVnWKUX335i41gN17/0y/7hRe/6U1vOnX0+LOue6Z0vbXlFcbYwsIC5zzPM3t72aLc + cRxtiAWjtyPAf3hBc14bEjYgtUQUh41aLorX/MZrOOdra2u7d+9uNOpPf+Z1hJA0zydarVLKNE1B + a1TIMKhwz92z74KF3TuDWlgUheu6O3bt3Njc7Pd6zWZzdnZ26fSZ+89+87bbbms3mpOTkx/6yIdP + njxpU60OHjx4w8/ccOsb3/ieD7z3rrvuWlhYkEafOnvGorecuUWZ5WlmRGlHDloaAHzN1U/vrA/+ + +m8+MhilsztmTi5//qnPdH7hVS8/dPi4MWZqsn3m9PHLLrx+tXNo2O8eOZIwYn76pufvu+CAAbK6 + sjYx2YqS0czsFCHI9XiUJL3eJneZ7QYdxynKEgDAIAT2JFvaA9JGjV1TMPY8zzYtdkzy45+PVGXV + WPQRwTYE1iAABNoAMQhpBMIQYVSWbw5XP/Tf70iHSdrPfBVScx7GU+fjCQ4KaUVEiUS1Wbn4youu + /KkrFy9YcLk7yPtaKIylJtsllQELfJ3PV9n+l9p+MfT4ckcdhgFvRl2es6nmVFpmvaIfOB4HFtPR + ar4iDPDAN5AZMFVcG0FCDc7KuJv3UYUYjoYq8qoVPNTGUxnEI8g78UpGEqiB5CZLC58y4lAc0hJy + AXk/3Yz0gE9S8JBQ0lDIZZZDDmAySPtFP6dpQQvlSqU0KJOLMoGRASig7GQbtMLBw6UW3He10tJT + IxiNzNAg2JTdtjdRUJEmGWFGSpOhNIZIgzZcgqNyXCRFBJ7xA6+EXEplTK6UQRzZHRfOud+2//vH + /9BIFzoniI50JJUiFEXRcGD6HnIZIuvJeiftkJADwRKVQpUp87rQ96BUoIYQZU5mAmcEQ+lKDyqC + yATSQosUMukZOsmLUYnwiFDsul5Ji6EZ5CjrF8MUpxnNU5yCwUaKVDrTOlIgESC34aW9TDomxrnD + IM8LkUEMaS4LQk2kk5ymmZNrDykHEELOQCcwZMASSGMd5TQvPSG5wIZQKDRSCYwKKTKcF6zQVVwa + GYuYVWhjolGiMiuHY2mQUKAxhvHIzEKv+kcyadhmpZ7bX1nja7vaFFleZHmtGpqBuffvvv71z35t + 0Bs0wlqRlbIoXe45jGuh4Tv7IWPdDdT5EB4M9hwfMMpknKhYchGr6JpnPfVF/+5FlCPDEMFIYZtn + PXYAV0YTQglxTG6MMVEURVHEKPfAk1JqDbVmUyghihIzBgBxHN944415llGEKSArGbKGpWNsaivf + bPuh+L7ouSxLg8Y24Q5j3HUZIcJohTWlVKQ5wbhM06uuukqD+vq3vnni7EmvVp2bm1tdX1tbW5ud + na1UKitLS0mSEEIMwhoMGGwRJK11ohICyMVsOBxmWSalxAgBjEMRrSrJcg0YY+122xKxbPtkeR9W + hpTlpe0NLKPbaj+yLLNO3xdeeKHneXacV6lUlJQgTK1Wi9dW2xMTUTIKw9DGLlkwx/6ct73jbUop + jVS314uLzAqZ+v1+qzXRbDZtxxVHcSmlUDIvCuIQRLAWMi8K7jiTk5NZkSujhVbWJMnzvPXllbm9 + 093NTc55o9FQYFbX15IkKYoCEWR7PKEkxRhjXErp+b4C4/pOVuSDwcD2saUUlFIhFGBk/2rHcTQY + wGg0Gln3AkuvsOdnYWEh8HwA8BgxLrfedPYsWYrHsWPHpq+bsh59DnPzMms06v1+39Y8nucBJVpr + RInU3xve8KMc2xa12ynAdnhqez9bE1pIsCiKNE4wMh53EEEYNEJIGkUQdn1Hg6rXwzhNZuem+4OB + kYox8o53/uH9D3xrZnH20LHHbvzpm1zXZQ57xStfYU0gEEVg/bWbzfe9/31fu+9rD3zzfgdzKUSz + 2iCEbG5u4gv3R1F07733ep5XlqUXuGGjPowGzWbTgpO1Wu3iiy+eaU+maXro0KE8SQsp9lyw98iJ + 4898xrN27txZClGvj8+h0vofPv0Pe/fudV23OgoJc1Y31jGgVqvluq7veUVRIIwnpiZXzpz9+Cf/ + nlCEGUacxml+80teLKS26qwyy6+87NKTR4889PADGOPNbtcLK2lZ7L7wgrFiYmuODABgNMYY1Dm5 + agAAY+osnE8JjQ2cb5D9k9MgaQDuOggh7jrWd9vSvRYWFihghPHGeocSFgRBlmVgtJaGM6ykRAAY + YG5mdmn5jNFy16695MuEuY6d5adFbt0/MMZTU1OvfvWrP3jnB1dXVxuNhuu6X/vKV3fs2ulyp9Fq + Ls4vaK3nZmbvuOOOd/+3/37HHXd8++FH5qfnsyTFGBulG60mp2xtbbVZr83PTB89tsrpzG++5mUr + J76wfNKbrO/JUr/MhUc518QlATbH9+3ec+bUwtVPuag/GlX86tzMvAFjY5gBzGa3kxcpIQgwcM7t + M+m6LiLO8sqKNTfbNrizpLsn5VQTQl3fX15efv3rX18NA855kiSFzP5/6t4zzLKrPBP9Vtp5n1yn + YldHdUvqVkDZQkZIBjEOzDP2YBgxc68Jtsf22IAQMiPGjANjPM6Yh3QRtrHBvmADvoBMkCwQEkJZ + KKul7upQuerkncMK98eqOipJBOPB2F6PntLpOmnX3muv9X3v937ve/z4iaIo/GolyzIpIM2zVqu1 + vLi0d3ZXMBhWG/XuYw/T+8xOrxvF8fTc7LFjx1qt1uzsbK/TXQgWfMc9eOjQ7bffPjMzM+j2DMOw + PTeOonqjMRgMmGG89Ya3xXG8/+AZw+EQANrtthYwHQxHlm2ZvmkxI0dJXsil00tPHzvBS0ksig1l + ebIXnKi36kEULCx+rdLwMKZJHszMsqWNp2TJ6616midhxg3X/ou//jhC4tjxp/qDEWWglBoMBlmW + aYntjY2NmZkZ3T92fGGBEEIxHZfpYHuztCxLCwQ91x/J+Dfhj+SZHmOEI8mVJIhihPUENjFDChBF + lm2YmTFY6otAQIhloZB8TvQM30nlDH9PCZLCUlgKDLl4Ymnx9qV7brn7la/+9xdccWHNa2QqKWjO + GedUKDwOVtD35Fcg9Q8ECraMPpECDAKQlELmGEouKm5NUByOUmpSBDCCwHV8WjHjPEJOhgFhgROR + EKAIKWRSXMGoDplTxFGcQooJylGmQDlgM4smgzjmvLAwt0le5HGeFKqQIAkQiaQyVAqZgKyA3KM2 + BZpDZgKjwDAjgqpAxISC6VmMGpAhAdIAqsDAFsIe4R4kaY5kKnmRQZ1DQRGhQHMoYpTmLFcVVCjB + gIBFBAgOnJgYO4qjJEFhicsoTwQBUEQp5Nl+GI5ynoOW30CgkJBSImAYE72/4y1bUglbnrbwPcm4 + /wAGomAwloUZ52KiOoErlCOZQ55DqZB0G3ZuiY3BukGNmu1iBhSodv3FLlaAuMvzPKOUmJbNEVeA + MGYc4lCECmWFkWBLMkxAWcwxLWQB4ALnCQqFW5aQY5NQRgjGAssSSgxoJEcFKYhLh6OBxypWwzEi + qwBlUgNAKhsERwUpEpIJAAOYQRwB3ATTACMlaSgCaUpBtRi20jclodQASzEVleGAD1iFBXlALKIQ + CK5cxwcBnHMKFBABAE2v17qPYts9eNttWeq0R9+98tm7SeEtuhreySUDAAlSIz2AkBSKKZMJakrT + 7ptP3vnUp//4UxXhV+0K73AowWOekjLnBcUYvgX4Ksn3skRIgIEcKqKyMsU2sutWmIcQgY2dWEU6 + CN52W9pqgFRKScWJkooL2zB1eZ8iKrikxBBK5nmeJDEhxKB4NBr9/Re/6Ne8WqPOKBalZBgphaTk + EukEkyCkdCUb5PM8w8bJEcAOeqqOTJhlCiHSPEvKbGJiIhmFJjNEmWeyOH7yxHpvY2Z+V6s98dhj + jwFGe/bsxRitrKyKUgguEWCFoeRCKIEQIpgBYMCgtmTZYAtxA0Cw1aSqqy62beu4WTfH6gRJKZVl + 2TZNnTBqpGmqyVoa5jNNc2xIqmsRWZZpQK3b6TBidAd9x3VH4TAt8oLnL/mRq3Yf3NtotVrt5te+ + fici6K8/8f8CVu12++prXn7vQw8cO3YMETwxMTE7PWMY1vr6ehrFZV5GQUAxOXLkyEsuf/HFF15i + m1aZ5aUUBS+5FHd84y7d5a/VpU1Cr3vb9RXX0/l/d9D/4pe/ND09rQt4CCHbtucmZ885fO7F51/g + +z5jLM2zURQ6FeeLt3wRIcQIdW23PTHhW96v/Ldfnp2dXV1atlyHELbeXa/Vappf57p+lmVnn3Pu + YKL9y2+93nQdKAowKBAS5ulX77k7K/Ii5xjj/fv316uN66+/niJsGSZCJIyDbtj1HJsRMtGedvwK + V7zkstPv8JQjkAo9J5XW80f9I6oS45mmJdo1916TShhjO8FxTYfRdBXbthnFSCqtW6sB3LIsUZJK + bVtiWxubm1xJv151ML7tG3fO7Z7b6Hccz63Xa4uLi57nBUHgeHYaDPS9g7Dyqt7U7NTJkycppQSR + Rq25dHpRKDk5OfkTr3zlT/3kqxzTKkshJVcYLa0s33rbLc32xHA41BRHz/ff8LNvRFJNtiaSJMmy + jFnm+z/4gZk9808de6bZbG50Owih9vTUzTffLDiPg3B6evqpZ57GpPjQR2665LJLO51OlmXdbrde + r1NC3v/hD5nM4Gler9fXNlfXOpsf/shNp5ZX7IqHc8aloJZ5waUXX3TRRTpeqk40Z2bn/svr/++r + Xv4yLoWUEnZ0piCpOx6/xRjnSP9845+QID07d/TB6b+jEKUkwCUP47DVbuW84ErYnh2nsWe6IKTv + V9I811GpYRhFWXq+n4uCSyGgIAaKklGl5roVZ9/+/Toe3TU/H4ahTpc/+7nPEYIRkL0H9p84drxW + q2l177POOvPw4cM3XP+2D3/kpsNnndVoNERZGpSee+RIViS9TqfVaBzaf2B9c6PqV6anJoo0mGj4 + QbB+YO90ziDNM9NplwVLM26Z3nAwrFQ9gsNBP3Bc+JnX/dTJhYFhlRdffIkQigDxK+6Zh8+amGrU + m5U9e+Zc19V84qIoRCkXT53+yZ96daH9lfLcMAzLNLVohBRiuwcRAJ4f0f4jhwSEEQ7CeHp29uHH + vtlo1MoyB6QEpMcXTkopc84ZNSWgIueGYTBmPH1yYSferDByK34QBPVqTZQ8LbkmdymAQTDy67Uk + SbTmaZpliNEoTahthlmCCPYq/mA01J8lihIDZHFqMktxwIrkQgI4SQ6tyV1/9TefBtACZVwhhYAr + vBXGIYVBEQCsdHu1whJtq90riTHmZW4bJjUMDEhwbFnOcBRyLk3TBow2NjpRlLiue/jw4WPHjikl + EcYaagIAKSUo0HwDzXjUxOUx+e1fuT8SBVRtugAgpCTYREWBQVEiBZeEUalkpBKMkGv4pnDEUBmZ + QcAisG1ygsh4scYKCCEKoy3y7rY/g1JbKKwW29ELuuYHPm9mKqUwAlRwZLtlyaMgHt4Rfuyhv7rv + 0kf+w7Wv3HtkN2vTyIhODk55DUsAJwZLwsQxnefMt+88w5EEkHJLoxwjRQkAlkBAKigl8MxWlqM2 + 48DiuQLpgjMNu8jQYDNWkm8ol+dZ1LCaDjUdcDNIKeBB0aUKU5PRXEVxYJNKLw7PqO7hkFqGgTA3 + PByiKANVFGkVOUKUNjgEgCgTE5bKESCJKmqjv7KntgdBWUJOwAjDiM3ZRcGVJbjAKOxPGNMGGDYY + CiKb4aiIolKCQ8usJARM21DAPTBz4I5lpDxJVDIqRw23JSWJyxKZxAM3QgNO4xQFOYtLihBnRBjM + JECw4MJkNiGAKSpEQSgO44BSaptWHJc1tx0EQ9uiXOaORZI8scxKkmYUs39VORKRWJZSAWQgY5K4 + U34E6SS0DZBVt74WdXKn5JUSE6wAD4eRWbcIsATyHHLpyH7SsRxDFiWXJXaIAgzAShDEQ6EIhZGn + RloKxqRjIJuBgwFZhpXRZCDWlatizuusUbOqZVJIHxi2KrhCTBqIQcZiiThzWNgNKFAH/ATCXjw0 + a0xInorYcWwRl0ohDAYDcwhRTBLpKGSKXrfbMBopxyeGq7uaB0wgCFS3t1E7s9ELuzHklmclWeYa + LnOsIAhs0xRSMoMUZckoxYgIIaXiCoEkkhJaFBIjYIBBAsWoLEuhpCIEIbZ9P5ZbxrIKY4W2+mqQ + AiR14qSUIsQsOS5DmMOzpx45dv9DT33i/X8LI6jO1iEEE5mcc1xiiolSIAqhoxMN4emLpXkQGNNx + OX38QK8q43q4vq8JQgZiwMElNs9K1RcutnGGsMKKQskUx5rwhrdmAuYIC8YIpNyiDk8KIjFCmEtJ + MAGhCCFFkVNKsQLBle04t952KwC8sANKCSWVsExbKsHzUinJCKtWq2Ec6Tq/lIIXvNGoJ1Ecx/HU + zPRgMMAU1et13/ejNOl2u41WvVKvrN62qrioVCqe52l41/Zsp+qeXj5tmHa9XmfUjOIgSfMkjj3b + A4WlVIRstY1LhLACkJrFQFzXL0tBqSFKTrQ9qBD6IkZRNDaK0adUNyrrrpWxAJp2iYiiSANwY0NI + zW7QtO2iKPI8Z1o8TQqhSqCgAN31wN3nnn/+BZdd8PjTT1125YslQ2meIBPbrptK/g9fu21lZYUw + bBrG1OQEI6i/uZGGQRYnnuM3KtUsis45eOjlL/uRfXv2MUwQJhLUyvrqQ488jEBWPTeLI17mu3fN + PvHY41de8cPd3maz2VRKFYKvbayeOnVK6y6MRqOyLH/4yivKtHzJ1Vdqt+K4SEzDuvmWmzEhSKoy + L2VW7Jubz+Lkmquu7oWDMw8eCvPIMKyTiyc3O52yLBuNRrfTU4BfdNHFX/rCF4VpgWmDYeVlQalJ + MTm5tDw5OW0YZlmWu2bnsiS94orLsQKCcJnnxCD3P/pACTzLkvn5I/v2HTi28MwZB8+8/c7bV5N1 + CUIpBUhKUDsMKbFSihCKMCgugWDCqGZ4UkzKMrcsMytyvd1nRa7vC51+66RozLHUP3UoordahYAL + JUouATNmFgUvstJxHEKpQkTXJBFlSvBCqtFo2JpsP3XsScugaZo4jkkNEiVhtV6JktB1nOFwaLvW + 7uldGMOpheNJkhjUNKjV7fYajWaW5uvdzlfu/NrB/Qc6nc5UexpjaLUn3vPeP56em/XrtSCMLNeJ + 8vSBbz40iqMyzTY2NzVn0nDtJ44/Y/luUmRy0LN9d2Nj47777z9y1tnffOghKeXxUydfdOEFr//Z + NzbaE9gkK2vLtm1vdNaRQW98x/+44x9u/9zNn51sTRhrq8ygR48+MxiF87v3xHnOOY9leea5Rz79 + +c9edsmlBOM0TYMwXB/1JUaZKDXuTAjBCOneb3NbHOt5O8s/d2qkx/ehgrSVJikglDLGbNcRJY/T + ROsB6MZEYpBOv0MIqzdrWZGXReF5zigeFaKM0ogrKZSstxoPPfJNQGh5eTktcg2fOI4jpUySBCso + y7JQhW4ve+DXEmb+AAAgAElEQVSBBwzK5ufnMcbXXXfdjTfe+LU771hbWf3wR2568MEHb3j7r/Ki + /PBHbrrmmmvuvOvry4tLXsVfWVo2KHWM6tLieqVSiyKQHBqT7tLiUt33Dc/0K3a40k153vIZQORX + YW29d+hgMy+HR48enZufU4AWl5YWV073er25+dlROArCEee84lbq9fr6yvqJY8c/9rG/OHzueY7j + 6Fq8Vl3b2WO98+b5p/USFEVhu06z1UqzmFLkuHaaJ37dLXKeB2HBc2KYmGEOIHgpybOfv4WPfDv9 + Ik3Xfi6tcwtTec4nbItzAGhcc+sfaguuE8+GZxKQAg1wKgQIoy1H0S0oVAfHCklNf1cKACOFhMRi + +zvVczJJBZpykGUZJmRycnI4GGj6ga7XKaX0Y/2WnQpy/yb8kUSuIMuklBJhpBSWFGNJlJJKIIUV + kgJLrlt9JKEFZaWJEFEIYYlAIgxIxyJIYikkYAVYn0Kk/R+wQowxrohezYUSSikolQIFYszdepYU + igkxkaVywAmFAigQ3hNPf+nxP3lqEdzyyFVn//QNr25UGxwXIIst9j8lSKqdJ1+Pb0c11DyhLW6e + QkqvJAoUBmIRasiAx5nAs54LAAqkDTZKWZmV2EQZhGme2pwpJiRIDyrrMAjjwBNWGkeu59TMeqkA + TJQBN4AqIaNRxIRTQmG7rm240OOlzBRIAFzmZSZyd8oeobBacRjBST8MIfChlkI66AU5SWCSCCKj + LLKZzZEooPTBMYCpUhgGLVVimiZWJOuHQTQwnL1c5TayBFcAQBzquK4AJAUybIOBYQAr8jxJQ9JA + hssElogzXoggDgkhrmUbxEzCkWkaWZZavum7bimEAmEyKxrFrukx4GUWJTxGBIQqDcNQAhD8axEB + xwAIE865a1cxYZ2g6xdeLjlgTAB31zsBCgqLKwcEkr3ecN7YlULWACcAKZQaJUPWoliWSZZatEzT + JDIiiq0CSuYYiCLbMjjOLGrimAyGo121vRRoBrnpUttmhcktMOJ+EobhrDtTx7UM8uXeclIkhk0s + g5VlGSaDBpksoeTACRhAYK27HrkhdmQpCqyoKKUFTg4FAoUtOkpHnmPMzLZJylzpZ4UqQVhgSCgr + FS8rM2JhxTDFFCGUZinD1Ld8mxnUJOurq5RSp2bnIgcASo1CFJQYRcIpYqKUpeS+5yAopZRAttZX + iRDeocI9Xnu3BJK2HkkFKM2zSqXatqeOfeX4p9//SbkqYB0oIQbfErhDEiOFCBClFEGUAcOYCCV0 + 5QVjDBQ452jLOwEhqR0RFEilQIFUGNC47qGr5zqgNKSJFVJEUmJgSXX+JpFSSOvIIaIAKcAaJ0OK + KkAKyNZ2MF7r5VYZbcfkfS6DV40fY4wppgpUmmaMUoNZeZJ2R/1etz81M53kabPZzJN0o7M5PdUO + 4tHaxmqj0bBca6I9sb65MRgMGu0WM2hWZAXPKDXcmj8Kgs3OZqs10W63Nzc3EcENv0op7XQ6ejd3 + vQrPuMJbdO4tW4Wts4cwKILZ1uEqBYAx2iIpaE95XUraSS2BHTJ3Y/asjgu1KxGlNEkSzRDb+Zrx + HseVlKBKUTTqTREHn/67v/vdP/wDYMivVlY31mfmpit2LQiCbr9nmuze++47ffq0ZVkV31dK9bu9 + KIoYwmalInNR5vnM5NRFF130sY99jBFqUqOUohD8xOlTv/iLvzi3a9dTR49OTEycffbZ999z77XX + XvuO//kOJFWlXguCYG7Xrr/8+Mc++vGP7d+/f21j/dJLL/3qP9y2vr7u2s47f/1/RHHMTKPaqJ86 + ffqlL31po9HYu3dvpVKpVqsH9x9ghP76b/16zktASBJk2fan/u4zn/rk35x77rmdzc2ZudmzzzmH + WtYPX3XVez/0wdNLi5brVCqVL99yy1ve8pa9Bw489Mgj0zOjZrOZZdl5553332/8VSTVzNS0Y1qj + KDixdvpXb/zVvXt3B4Ph7/zOb4Pg51xwwb4D+zc3NyXorjus631SCiklJqY+qwzwuC4khSiFFLAl + llMURRAEzDQ0LgnfivOsn9Lggs5st8jScssQNk1TyzAkF8PhUIIqOAeMEKOFEhxDCTKMI00dtGyz + LIPhcAhSaRaMCAVhdHJ6yjatNE0VFwBAMM6yjDmmbnt2K/4555zz6c985tDBg5TSYDCcnps9deqU + X69dcOGFqxvrApRQMi+Lsw6f/ft/9IdTE+2lk6dmZ2cLwY8dP/7Sq6/CBmOmKRBEUdRoNVdOLf7y + m9/UqtajKGpOtG766J9NtNsXX35ZpVLxq5U8z1vtif3790/Pzvzn1/9f9Xp9stna2NhgmMRxfM45 + 50ZpMgxGhDHbdSzfXVxdeerjf9ms1QeDQZJnlm0btjUx2TZsS3esEEL0g3FTw7/I+L6JNOg0WsPw + vCg1qWyjs3H89DEGtN6sBUHQ7w/lUMRxipBSWCVp2u33XN9L0rRWr0/Pzqz1uv3RsD8aep43BvJ1 + jlEURbvVJIimWVYIXms2sIIzDh38/d/9/be+7a03f+7zu3bPHzh4xigMbNsehYHnuIvLS6dPnjq1 + eNo2rWqzLpQ0rcryUqLKpmtNb3bDr995fHXjCcVwAlwZTlhajSkUxasLixuNtvv4E8PP3fxn7/qt + X7E9Y21ttSgyDqXlOoZhREmc53kQhPoPL/Ky3mxghmvNRiE4oZQLgTCmjCVJgrVkCnp2dR/nRd9r + FIMANL8rDMNeb4CwuPDC8z3f2djYcGzPYDxK0izNibalEkoIQeiz+cp37gn+Ds+ib/sa3fSvc6Tt + QtD4h8KgAIAAMFB4u5Bdbn0k2nlgEpACxAEB4AIQAM4AEKBCf/j23oA0oqaUKjmfmppSUuoZous2 + alsPY9zLvvX5CKl/C/5IMitlkkgpESI79kL5bbMLAH1yMEKEkHGbMsaak4+26A7b9JJxegbba7r+ + kwFgrD+x85dIAiEYQMmCQw4KC8ZIKUUWpzAqH7jjwR//rz9hVQzBJSFUCbAME233c32HA/6W82vn + 1FIIEMJpWiBry10BMBoUQyJV1WqKnEe9iE5jYAw8UIA6w82F8uTcxC4TO0waHqsMxCCKojLHKDMj + FkU4nDPajJjDzqiZewpUJGKlMEkhtsIB7k/YTc/2Ossdb66S8oRZ4Eun4OUoGE5WZm2wW42J1E84 + 5rlKFKMYEWLiYTmUWakIz+Ks7k5uFEme5xaizGQ5LwIIp1CdgwhHMWs4RZ6P+Ajx0EqMXuZtOF7N + q6KSJGGRWyLFeQyFwbBh2RUKFDOXWYhLj1HbtAYFLdLCNIwkGiiCPdOWgtgZLkrRdBvEUSUUnXAA + iNim88Jl5dtTLv/ZhyZBCSEwMCVEreJLJUrIMbBKpTLBmuuoA0JxWTrMblUbRZFJg5cqn5yYWBsu + ISQEooZl81RmWZrRmPoTpciKPN8cdaFRZBC6pTR4azgcJV7iUCuKQs7l+vqm8oHaFcyZpjYN8oHA + olKpkJREUYxM5FsezqnnuqNgMFFpJRC6to0yhBQ2qFkKWeb56upqBJEPbi/uWZZFChKnWZ7kEGGO + UbyRxbOBifEw6zNmdkbrtGnkoiwkl1JSjBGAAbToZ7zE+5v7TJOtDzYEcGVAOkoty6obtSgP614d + MRTEgcyEZMrzK6MkBKQQklgRbfeGt3ptAOBZxhpsVwsJwXkuDIKLnD/++OMnvnHKsQ1AzzZJPq+u + qz1b0LaGEGyrCo2Bm50Ijo4Ut0CT7eKzUkoqiSj5F5laOsFQUmlQjDEGpmxMtHbv3n3i5Mlms/mG + N7xh0O3Nz88ncej7/igITp48+fhTjxdFMRwOdRfx0aNPCiUcx5uf33369On19fVDhw5ZprOyvKYr + OYSwJEnjOM3z0jSxZZl5IdVz2Yloey9/HitESi4xmKYzHA61tKlee9F2wyqldHx65bbnD6GGps+M + L5zuWR9nRDtBVaWURIApGYWjvCxsx2m1WpvdTpTHSZK0Wq2iKJAQmrNg2saxY8c453NzcwhAKxhl + WWYSQ6N7WZYNh8Mrrrjiihe/mDE26A0q1Soy6Ote//oojrOyqDUbQojjx48/88wzN1z/NoxxnmVZ + lrUnJ0dh8Bd//fEgjhaXlxBCS0tL9Xr9l37pl06cOLFrdq5SqWRF3hsOfutd74qztDccLK2uHK7X + hBD33XffH//hH1Vq1bQsCl76teri6srdD9wXpcljTz6xZ25Xt9vtdruvfe1rh/3B/v37j58+OTU9 + ffTo0SeefLIU/OixZzCjlXrt1NIiIvh1r3udY9u2YZ44vlCr1dI8veHX3r64tDQajc4+++zdu3cv + rSzXajW99evNUWGEMB7HAFqJXiv1jS+lUkoqhRDW12V1dfWhhx7iUiiltBjDC2+BMVA75g2p7U4q + wzCUkEWWO5alJQpBt8lJgRjFJpMIcsnjNA2iEc8KajsV2weCi7IUQmVJblAzT4uJuRYlZDQahcNR + WZYYEGNmFEWGYSohoyQ+eNaZ5194QTAY+r6vpLQs6+yzzzYtazQa3X333bZtx0mytLR05ZVXWoaZ + xcnLr7paKWU6NsJ4aX31jjvusG272+8tLCy86qf+43Sr3Ww0EJd5nlODRWlScl5tNT772c8ahsE5 + f+yxx+677743v/nNg16fc+7bDkKoSDNqGoTST33m0/vPOKM36H/1a1/74StfwrMij5P52bn19fV6 + q7nW2aw16kLJTqcjpFQAXNddEZJK7dT+/T9aNL73otP3LUHSHKeiKOI4TuPEdV2tlvaV279SFoVb + 8xqTzdEjj2RFTkxiGEbO81/8b780PTfzC7/w84tLp544+tRHP/7x1//cz+4kdOoAdHV1tdFovOQl + Lzl8+LAA8Y53vOMjN9106tSp+dm5d77zncuryxdffPGZZ5556623Li4u3nzzzTfeeOP73ve+p59+ + +pprrnn3u9998ODB0Wj0zDPPNBoNajDHrcRp8eVbb3nJla8Ik9NnHLEv+eF9X/rC13uDJI6yiWbD + siAMln/sP1zeC0432m4Q9585eXrh5PH+qC9AMdOI4hQULkuhTbgwxmEcRVGEKTly5Eh9or2x2dVl + Dc1f0gbnO8/VP6FqpIdCwJVkjEkBlUpFQRkE4bFjxxaOPXP5lT9sGJZBWQY5ASIAgXoW20DqOffw + d6hcPe+33917eLsFYtvKHLZszRUChEFRAL2LU1BEgZRIYpCaSb/l2ze25UESA5JKYuAAEgABEjsP + WB+zxmxKznVNhlKqiXC6lp0kicbkxiVv2OKf/NvwRyryHLbzoq3L9N1WBoQQ1idHPmu6RbX5Bto6 + e+OvG2+9ADBOKWGH/vg4SNIfRRQyqeU7ftSLZQFICWwx362OeA8CVfOqpSrKvEAmErL0fT+ME7Rj + 6O/6diqOWGEJWzTwcWOF/p+Wsye2jSnFFA2Hwy/d/6XweL9i1o52npn0pprztUSMCMOIqWE6enTx + sdu+8BXqooePPfrSvVfM7Z4/NVotobQc88TKiUfvv9/NKcfJqcHi7GUHHFIolxJOLd9c6S7fdsst + HnWMFuuVfV/UTWbkaeYSi1nGQ488/NWFO8tMDCDY9+K9SZGgmrItq0zzYTa6/e7bB492Hdd+Onrq + qiPTjuVGMi0gZwY4tv2JT/51LfFt211NN844cJDYoAg3EPNKL9tM//7v//7r8V2lzONGsHd+b4xz + ykCBUlBShiEXWRSxkpgc40T6yO0mqe3bFVOIQpC48ITPMqJiZWIz4aE0AStq2Y7i6oVeh/+CBSXO + uWu5ZSKVELZpFXl+81c/G6+FrWpz4sAk7EFgSIJxWZSE0NXV1a/efFuFVDO7POMlBzNSqJRzUdSM + WsudWH947dgtn3Kph9owcU7T9SrcyjzDxYVRM+vP3HP8kS8/7DiWdYbZPFJr0BZ3REmYYdtm6dz9 + tbvvWb/Lqfn1i1tm2zZ9tjZaFblySq+32fnG1+/xkU9tfM6PnNVo1OMsSOPMtC3H8wZqcNNHbrIJ + S4z0/Ndc7FX8YTY0XdemhpGwxxaeyFeSKnLNuuUe9hixMlkqCaLgIKVpm5iDIXAWymlvOlmOSAUo + x6ZDDdc2DauMyjxM3MIkOVcYCJcZSktLFFgAfk5KAwojwFuy1ttFix3+rqCUIojwUmYiEyUHAyp+ + jURhEmYAz1/8lVIandWLlV4BNHwzVgGFbaBkpxzrzsUBIUQJFTrKlM+ZYD+A+aZXFYqw3meTJHFM + 67zzzrv66qvfdsMNU1NTUspLLrnk5MmThJB9+/YdOfucT37qkw9884HV1dXmREvLk2ZZ5lX8RqOR + ZdlgMGg0Go1GY3Vlvdvt7t69mxAyHA6jKNJfkWUZ59JhptheG/V5UzqMkxID4oKPETe9oURRdPfd + d2vFZy1doDuWtUjdOAsd1yIwYUIIvblohO55ma1+MIY8MKMAmoXLe4P+MAoBK0IZYRgwTvM0iyK/ + Ws2yzHJMpdSRI0copZ3NzTLL9eZV5rwsS8d0fd/3a9UPfvCDBOO5ubnuZhdh/KXbbg2SeGV9bWlp + qdvtLpw6eemllw7D4Ffe/KZGra41HtY21odhUG436AZRFKfJw489+prXXvuyq65eWFio1+sr62tr + 6+vrnc19h87Qkg+PPv5YmmVrmxvXXf/W8y+8gBnGIAooY48//dTKykq332tOtB57/HHLdRaXlt7/ + oQ/yvCiKwq1WFILPfe5znPOffu1/smx7cnJSKtVutz/51JO/+a7fopjYlsUwGQwGQFApxMTERFEU + C6dOXnbZZXs7m4jgNE0dxxFKcqXz/DGit4WNgtoqpTLGTNMshcJSEYSFUFmWpWmapqnjua7rjnvL + d14a2IZQ9QP9Gh0baMK/rj4VnGvtDUIIl1IUUkpJEFJSREGYZCkBXKvUg/4wzTPXdxXCaZ4yxjzP + n5qaYox0Op0gHEkuEUIYUYxxpV5DEkaj0Qc+8AEpZbs10e90TdOcmpqyLGswGJw4fapWqzme2+33 + arXaDTfcoC1wKq7nWXYQBMdOLEy028igSZHrJoK77rrra1+9XeblkcOHR90+xrjT61Yb9TCK4iLz + PG99cwMAPM97xzve0ev1Dh44I0mSSy68aHNjw7Ode+6/b2J6qtvvYYNZjv3+978/GA4P7juQRvHh + s8/O8/y888///Bf+fnl1pdZqUoMKIXR9RYdt/5yryHcf37cESSml+YtakrLRaMzNzZ155kGJpEL8 + 3BcdYYx59Ypl2QCAECo5J4SMguHxEwsCxF998hOHzzs3K8qClxhjLkWSpZgSz/Nmd83t2bPn8ssv + p5QuLi4GQXDttde+613v+pFrXn785InFxUW34s/O7yoE/9M//dO1zY3f/6M/vPbaa3ft2f2m695y + ++23e543Go1279vLOT+1eLKEeH5f86aP/skd93z52MnTmJgTk3MxHDvzokOyRIPe03Ozk1GYjLIH + /+pTX6pWzXe+615MZKXp+42K9u3O85xZJqYGlwCYIoQkglEYpGlSq9XzPO92u3r/0GjBGAHamZb8 + 03MkpRAlpRQKI6yo4ziVSgVTRpG+lGhniy3aBubxlmMQwPeeRv8jtGjlc750q6MOAwiFABQFJEHp + hEcBSInUOJvCW28HAAlKU0YwKIqUBIRAPef2GOOXejHqdrutZlP75AZBEMexruTADrGvcYyO/y34 + I6FtsjLGmCu+8w//tldnXJlUipelrokBAMVEKSU1/0anOoTo5V4ryehlCG1TqGEblNXSEVvmhkrK + UpQYI74VoEEJUpZ5kEKhoAq+4XSyBISyfTfJUikURs8WreB7qV2M2SoSAQJAgEzTVIDjLHORXWn4 + PTlY722ujNZKVyajxE/9QTlyHMszpTLFMOo/8sgjSomEZL2lvvRUxjPsmKxiQKL68eD08S4mEurU + ki5R2TAIiQRTEVUWJ1aOB6vB1J6pqJIeQIccy43zoUTg+k5P9JZXV7trfVwl9fWG1/AQUaIoABS2 + 0dpwvd/psS4Zwqiz3oMZghlGSALD653VtY2N9ZW1Ipdo2iGIFjIJsyEvS44bpIePHTuGVphhMWM/ + mQznRBVRhxVFmaeZTU3KsSnMSWdiX3XWAouBdefSXTwSJsIIsEfsI7Uz69DgIAcwvG/loawomWkw + zFKRPi9BhX8qlff7MBTGCoPSfS2EICiztLu5vnZibegPVEV57apiyjPtEhsylKPRaH1ldVB2MlfN + XDBDWwayrTIOcyH64WDYH6wcX08HsbvHrx+4zKzYUR71opGRmTYfrq6trD6xCEi2+MShyQOozoq8 + jEVqlrgb9Nc21oNn+l7dn5vZ63qeqywDMYMYBiZlXoz6vWHYIy5ub9Qc20ZIq2Bjx3QKt3j0rofz + ODEnnYmVmT4dpiQ3TUskmZOpwWZ3bfWUw41dZ+4+cOBwxgqFsG27UnLBS4YxLwpDYFxaP9S6KG+V + JeRPDB7voI4oS1VIS5n5cvrSC69ugj+A/hrvLKUbQzMOZYqwwoCI9mHWN4jaoirrIWFb6FIBAEiu + CKEgwDLsWq0BCuIwTJPs2119KWUUReP2y+etA9q0VOuqjcN92GEyoVcP07aI+RwJzR/YNNOA7Pgb + syyjCNdqtb1792KMn3766dOnTweDvuM401NTv/mbv3l65eTf/O0nRqNRs9n0PGc0GiV55nleu92O + o/TxY0/u27dvbm7u0UcfLQp+6NBZCKHRaBTHqZQghEKIYEzzPLcIk8/SGxEAKCS3jLKVkpIrJUzT + lGZZZLlSilI6Go3yPNfaqnqb0CGBXup1HgXbvO5x9V7/RusMaV3cb5kp6Y9yDJfnxXA4FFL6NR8Y + CeMAKPF9X5etlFKMGLtm56uVytLSUhYnaZrapkUIQQxJIfTu8MY3vjEMQ9eya7Vav9unBps/sM+w + rcsuu+yhh7/50MPfrNSqBw4d/P0/+IOv33nn4TPP0vNhMBjkvJxot0dhMApDRPDsrrk3veXNRZzy + srzwkovjOHZddzAcYpNdfOklH/rQhzAlvu/P7Z5/03VvSeNkcnpqdn7XU0ePxln6oksuEkqef8GL + RqOR7blSqd/47XelUTw50R6NRqZtbfa6N/z3t3u+f+aZZ7pf/tL6Qxsz87vOPe+817/hDbZl6eZb + isnmxkbBi/MvetHaZuf08speZiwsnGy0mo8/8US9XhdCSa0qBAihZ1seABQhBCSIoqCIaHHzTCie + 5YwxzTLR/ihKqSAITNPcGdSNr4veW8eB33iiYkrzNKWGYbtOkeWAMWGMc+77PiuMUnCFMGGkWa0x + TEZRmEcJkXhmYppZLIwSgUvfq0xMttMkHSRxGIaAwDIdIUslgHMZjEKEkGnbIOVUe/LEiRNVv1IU + xUa3MzMzs7a5MTMz89Wv3v66n319fzjIitwwjP3793/kwzdZzFhdXNq3e98wCe65995fvu7NhmNr + /yuDGS/+ocvf/Eu/XOT5vl27fdt/5tSxB7750Lv+1/+yfbff7xuGMb9nz6lTpz784f/n3rvv+dOb + PvLGN77x+rdcJ4TgZRlG0St+4se8ip8UeZylc/O7inZ7dXnlv7zm2osvvpgxdtlll7Vnp58+9syt + t90Wx7FWzBpDvWNSz7/I+L4lSHrR1EpuouQLCwuPPPJIIfja5rLv+5KIU8urhDG/Xg3DkAtpe65Q + smY0nUr1mWNHJyZbYZp+4757FxYWhBCNVpMxFgSBxuA3NjbWV9eiKMqTFABAqXa7/Z73vCeKovn5 + eS7E8tLS7NzccDj0K5V77rnn3vvuK4sCYTw7O5tmWVEUg+HQ9zzDYaeWjv70a3/imuClWVG+qv3j + cZaGUfKzv/hTeQa25Y2GQyUKjAqTkSiIKxVvbXXZ913XdQuef/bLnxuFQZylYRgbhmWaNiEsjkOE + UJjEcRyVUq5u9pREWjZAW/HsBIH+z6G1bXyiiOOYIpiamjn//PNbrZaUKs9znnOkMFIYKyBAFAik + RcJ2DKx2iiPB8556wSu/2wEpBKD3qvEk3pI0Ay1SjfhWY5N+SjsGAjyXXq7fj7GkWCokTZC6AGVu + STztIKRtLTSEpGna6/XKstS+Q7pZSIM6muQ9fjFsQ3T4X7c/0jiL013XGGMN+H6XBElKzQpI0zQM + Q12yJwhLKTUOrXdcy7K23JY4Hw6HWZaNW61gu5qkZc01YIwQAkUwxqIoeVkSwBQQooQZhme7JY4F + hSzJkY1s0zEoTRUURcEYkzt2ha0r+51K5Fq5Dm+D4RKQ1HwVQnABUgklQVqucejcg1ccvsTInY2o + +2j2GEIEIUINKrhQVB0+/9wfOnw5kTTFwfH4ySzL6hP10lCDZDDbmr7mla9oFdU0DE4NFmUGCiO/ + VcFYyZhXp2o//6af96U/ikYPrjwMpUQSEcIKXoQymtu767JDV8pExjI7uvGUazpgiF64gQnzW96h + 8w7uPnuPStXJ+PhmNsyzUgKXpoEpIiZ548+9oRpWwmF+PFq2mvaiHAoQlkMtQmf2z537M+fNwR4p + 1PH8GJqGjX4HbMWVxBR5rmOVjJaIJspihgnEcFixmWNCgSqDESZVBQyZpUpihLBP6hJGJZRpmn6X + u/UHPigx4jBmxNJxYc3xfvzHf9QWNkJsyIdRPcnlep5lCEhR5Hvm9lz4xnNccDrlIG3yjJSSgu0i + yBTC9OILLvyP5+wiBVktV+NanpO+Z9VkJl3Tdwv/6pdfvecnduVFcjI9mVSi2IACSdukFVyrEv9V + r3lVq6gqKp/Ijm9AJxoFlFLXcFSCJtut1/7na6tGNcxG62KlN+pakw4xjaws8jzfu2/fNb/6I4qL + Hh8sGauO5VWqzSxNDUarZv3HfvQV8+60UdCRioMGz4gaqKEErMTWUs+LsoiS9Sc2Bl5/fnLXAA/T + XkonSFykRaIqpDJc69gZo1QYGfjMZophTAmiUnEABEIqhQEBBsAKECISQCIgL7iZCCEWs4OoyFQi + Sw4ChNw6GxsAACAASURBVBJSPKeaOAZldMzd6XR2AjR6ldDRiW4A0G48Y6L7eIHSv3FdFzByLfN5 + WM8PZmiAWQHinDu2bdu2RiRHoxGllFE6Go127dolhPiN3/iNer1+/fXX33vvvZddfrl2O9WLZLPZ + HPRHw+GwWq3W6/W1tbUsy2Znd1mWtbm5ubm5aZq24zjD4ZAQUvGr+o0KI0IIIhghvawppRTBhACC + bbcPZfE0TkDKPM8nJiZs2/Z9XwsCmaap7Vb1NqHjv7E+kJCgk0+t7q0RK11reiGshhAqhPaaAorw + zNR0Vha94WCUBK7vIAHa7NVkrNlsagfb06dP93q9iusRQjSAa1s2QqjfHfz27/wOQejcI+cQQI8/ + /nhRlF61gjDmSH3ibz755NGnXN9bWln+nd/93yYzGtXasWPHTh5fqDUbu3fv7vZ6mNHl9VWFwLSt + t7z1rZdccGE8DFzH+cIXviCkbDabOS+TIvvbT3/qySefjNN0eXn5f7zz14hQrmWXDwrTsrKyiJLY + 9b1+v/97v/d7w2BUq1R/7hf+69753YzSYa9vmmYpBcK42Wx2+j1K6b333xdnaRzHv/5bvxnHcaNa + C0ajycnJlcWlqfZkwYt7HnqgO+i6rlsK3hv0wyRGCA3DgEuBENJ8CUSeL4S7M+cZT3sNLFqWtW/f + vquuuoqZhlJKEyNfiAZqFBUhpPuT9eqXFUVeFlLKiucTQnhRMEJFyfv9/uTkJJciL4u8LE3bsj23 + N+gvLCwsLi4mWVzE+drKquO509MztutgQBhQEISU0q34SWEdCFiOwxhLolhK+fCjj1zzspefXDgx + Pz8/OTmp0WHACAE+evSolpu68MIL3/NHf/x7//t3b/7s56quF8dxrdn4sz//8/e///03vvPXtKhd + mWbXXXfdV2/5h4/95V82qrUbb7xRgArC8P/7/Of+3Y/9aKVWdRznm9/8ZqvViqJodX0NU/KTP/mT + 73vf+z7/+c97rvvb7373RRdddM8D99dqNWKwBx98cNfsnOd5u/bs9jyPGAwzKoSoVqsKgVa60n3d + Oj7RgdB37jL45xvftwRJF44xxnEcp2l65513nji+UJRZu91wPUcp9eRTR13XtyxnOByalqMNkg3D + CJNYa8d7nmc5dhAlElSv15NSep6njU2jKFrbWK/Vajkvd+/evba8srG5aRrG/Pz88spKa7LtVyrE + YM1WK87S9uTkIBhVq9XuoB/FcSlFs9WippEXBabq3b/3P5MkadRmhQJmGoNgiDDxvNrKUrdarVd8 + N42HlPJarVKmst/ttSdbo9GQUprmGaW0MdGihDmOowAQwYBRmmeua2d5rhvNOefMtMs8Y8QkjCVh + ZmBECJNIa/WOA32A770HCastlX3HsuamZwaD3sMPPzw3MytKUZYiipOyLCnCWAHnAiNCKFPbJWC5 + 4xufl/ngFxwH2vHKF46dbwVlbumeKowUBiSx0o1JYlujTAIqQGGFy/EBoK3XjD9fv50gZCBJQTog + DQAC0gal00s1rvZs/TlS6upcEATasGhiYkKrye1c48bph96H/pX7I42X1zFei9CzNrjfcoxzER3E + aMEJ2K7QqR3a8voMaN3FKIrGJ0c/q9+l871nczaMGMUAzBYyMVPFheCylGWe5iIDMKDMymq7Niw7 + URBJpRihQgr1vURLWIGWMcQK5A7KkBBKYgCCHMeGRK5srkxk7jkHz5qG2T2Q908MVU0EZVeASmRm + mlYq08v2Xg6AEjV67BsPkTqVsgizuACxHmzMu1MHZg9awJrh1EObT5iOCQYuURoUw6Q7OnDG/v1s + bwFl6mUn4DQGYlkWLtCgPwSBd+2edaDCQS2NloswUUQwauR5OiCDdrV9Vu0sB5x9sO8Tj/ytYTCB + pZBFIcAkjqRisj19oN1QoftU+EQf+qQBxERpEqd5dHD20C48X3K5srK2PlivVKohjSnhDLCUXBSQ + 9NLu6fVHjz1gSoN45ulw6YprrzRtihTPetE9j3xt85lulAhjqlI7b5pNmohiBXKnBsvzWB//IkNP + J4wxlyXDqCzzmblDUzAjAT09enrER1LJPEkQUFSYxMGH9h2oQrUDw7vW7ovyWBhAmYmUpJQazJyf + mK5AxeTsG8sPBDIsiWTUIoKGWTJfc1t2Q4A/iobd4SZ2KEY0z4uclaM4etGuI3MwkUN+fGOxYvrC + K3pBJ8ximjAhygP79llgAUxHm8OoCIGgtMhBYIQIUNg1MY8B+dBbWD3NOecFZHmpMj4o+2dM7D6v + dQQBfrTz1COnH4orhaoSAcLACAAEzxnCBtDlp0/d3b3ry70gdiO2z9g9swvbWFBixkbvROeWT3wh + Wt9EFtTOm8lnSdAqYjO3LYoVAtguoSuMlERb7Xow/iUgCYCxAoUwQUQJmeZpnhZAoeL4fDgoi2+x + gKgdYjY7B0JIi7LqrVn3Ruq1a3w10XObJ7/l1PoBJEvbDBwK22asWOnjzwDJssx93+12u694xSsu + OP98Buztb3/7Bz7wgeW1ZUopF4IQUqtVNd3D96vT09Pdbn9jY2P37r2eVzl16nSe55xLg4EUCgEG + hZRChmHkZanwGO58VkNcKYHwVjyHkMIYMAEA8Bzvsssu06upNkECAE1FG59MLYmmMxbtg9RsNgEg + CIIxzLoTR9t5XyNEFEYYQ14WSZ6trq/keY4pqjVrheTrnQ3bcZRElmmClKPBoNfr6YM0TTNPM7nd + xOt53gMPPHDJRRf9w1duO3F84WUve9l5F1zg+f7xkye+csftGxsbrXa71+ulaUoQ3lhbH3R7lNLX + /czP1Ov1TrfLlbzn63cmRd6YnBgMBspyvvq12+NRuGtmlnM+OTn5luvf+ppr/5PjuSdOnapUKhOT + bYwxpuS8889935+81zTNV73m1bv37hmFwX333dfp9XqDwfT0dKfTefTxx06cOOGY1mg0Ouuss65+ + +csA4P7773/40UeCIJiYbDdazeMnFrr9XpakhJBoFLz61a8+/9+fnyTJzTd//vGjTwFR7cn2cDiU + UvZ6vbm5uThLJSillFBK8yzUtsASwlhKiQFTSpEErUYrtq1Lx3uoaZpZkWtAELb36/FACBmGMa4g + aTmoJEniNBUKzjpyeNfMLEFYcSGlDEYjLpVCGEAxaiLCQIEsJeIgStnd7DmO5bu+bdsXXXrJkXPP + oYZhOeYwGP3tZz7d7/eDYKhJ+wajRV5mWZYWOSNkenJy7+7df/7hj0oQAsSWUScyAYCD2L9///0P + Pthqtdrt9mOPPXbrrbcGQcCzXCtRvfe9733z297a7XZ9359otVdOLzabzTvuuGN1dXXQ7T388MNe + tfLRj370vAte9MpXvvLOu76+uLx84MABTT6cmJiYn58/uPvg+06cKMtS8yoPHDzjgUcf7vR7YRQd + Oe/cX7vxHQ/cc+973vsne+Z3v+pVr9rc3PzUZz49DALOuVJSYcSVJGgrPlEYSfQD0qx74fi+la40 + aSfLsqIopqena7UaAMzOzs7Oz3JZIoJd36UGCaJRyblhG4gSAUqAAoS8iu94PmHG6vqmQnhLOLIo + AKDT6WxsbKRp6vt+kiRzc3MLCwuMsXa7LYTo9fuzs7OdTqfZnkiSJM7SPM/TIi+KIsmzWq3WGw7K + shSg8jwHgi3LMGxab1W5KkrBy7IEQPVabTQaTE23fd9dXV0yLUIphOEojmNEcJzGXJZCyWq1mpXF + 0tJSpVKRQnHO86wsci4l+H5VKaUdSw3L0hxivbtozZ8X9mDsrL1+L2Mr5h6NRqNRQAgLhuHa2oaU + EI6iJEqVAIOaIEEWJVZgUlOHTFo+DivA24vsd/2mf8yEVIAkUFAGKAMU2/7PAEUBiBZmwEp3IlFQ + DKQJwgPhKeWCtLE0kKJIUSwxlgRJihRFkiFpPPtRO6boeBUDAF2j011qS0tLcRzPz883m80kSfR2 + MqbOw3aAqF+swQmMcRiGURSlaep5XqvV0uIKO02rlFI6F+KcJ0kipdT8vY2NjSzLarWaZVkaEdyS + WJBS/3NcXoftfmhKqfZHyrJsYmKiVqvtvPTjTGxnSgY7cq3vcqW2mYdjrzoA+P+p+/Iwuaoy7/cs + d6t7a++9k07SCQkJkCB7EAREBRUFFBUXosiIqKM+CDguICoDg7ih6AwjDDouAwKjCOKMA4OgyOAg + MALZl07vVdW11627ne3743RXIjrfuDCL75OHp1N0qqvvveecd/ktlCx6KB1MttY0rYNf0V/3XjdN + U1+c3jdob6gwiuKIcZAUGbZlWcQCBECBJ4JzHgaxEMJ1XS2hcbCXPFoC7fznHx9jpVFEEpBUSCqk + FNJcKLoIULQNYhFhSQWqIesEQIKI4zgO4oQzDgnYKJRRG3yf+xQZSqGM6/m+jyiYKRMsQDZqQ7sL + 3XQ6wxIJkrSanVa77Rac7HCaG3EVKhHEXjYthEjCKAxDYhIvl+ZEdSCIIIogHB8f91LpJEpSjosI + hCyohfUuhAHEBGilVkUIGSYBrBSWhmssNGoUTB+CIAlMx+7rK+rULYg7zW5TYYUA2dQhNvWDLkJk + kcmGZDfoKCUKmWw+lcExooy2S824HZtgha0IcUibTnWmnLRD2eVBPXSoYxsuVqjb7RpLvhy9J+d3 + XO//TYEQTmdzmJIoClNpG4iMVRRCEECIbOLHoWWZGS+dzaVzxWw39BnwALoAUgDzsh6mhDERRYlE + gAhIkAmElGJqYdd1OROCAecSU+Q6XggBB5bzsgpBHMdKoTAMMSHUMTCQNrQ4MNtLxSzyfR8AZ9OZ + jJcO41CB4hCFEAaJT1OUiUWuCMbYTFkBhAy4Dz4QYaVomMRM8L6hgZSXMm3agmYHOm7Bc3Pe8PJl + QgiklGO5egJjmnSwMGATqzpd9UjaVGbSjXnC6tVas9a0MKWC8HZscWoKbEpSyOSymbye9OqmFoYD + 2m6/eVqjxbUDjDEmmOM4xXzBtm3gwDln8UHuir/+DPS4E71+UO9/9bC4miDxvE2jF8/rWP0Ph64c + dF2hmc/6REin0/qzJUnS39+/bdu2C95+wXEnH3fYYYdt2bLFdd35+fkkSfL5vOu6ExMTGpVXqVSq + 1er4+LhpmtPT01rOJ5PJaMdVLR3Wc+TTD4b+9XvczoP3cB29c6q/v1+fFLrhSynN5XJabdx1Xdd1 + Pc/TnhO6RiKELFu27MUvfvGZZ5550kknHXLIIcViMZVKaSJT6tfDtm3XdlgUT+2flIy/9jXnfOpT + n/r4x6+65JL3vuylp4NQac9Le14ul6OUVioV2zBt2261WrpGMgxDa7IhhDa96Mjrrr8+CALLsf/y + umuHhoZc1/3zD7z/hhtuyBeLmqybzmbftuWCM155Zq5YuPHGG8855xwF8PIzz7jiLz58/PHH9/f3 + 12q1dCZTbzZSqVQ6mznvTW+89dZb77z97lwh/08//ufbvvGNVatW2SlnYmJCKHncCSec8cozP/ih + S1/92td86EOXJZytWLHiY1deOTg4aBjG3omJ0dFRx01dd/1fBXGUzmWv+uTVp53+UmSQj1915X33 + /zBfLCilgijshsFNN920/rANpVLp8zd+8ZL3vXehWs3l8zd+6cunv/xlruv6vm/ZtmGaa9atna+U + G42GPqA1BkSf3brMhqXaW0/t4jjWY0O8pNiuG6nanVYfl5pEhJdCn8K9krhWq+3atevJJ598/PHH + f/GLXzz22GP68Sv0FfsGBzReQ7+bnv87ppUkSaVUrlYWRMIK2RwBEnR8k1ob1h16yOo1xXx+eHBk + 3SFrU5btmJZp2r13oJS6rhtFkeM4u/bsLpfLLz/r5S2/df/995977rlbtmw5/KjDTzz1RKZYvV4f + GRkplUo7d+4cGxu7/PLLzz333OHh4Ww2e+GFF1577bU333zzyMhIrVYLgsBxnGeeeeb8889ft27d + +Pj4CSecUKvVOp3OsmXLNPuoUCjo70yn06tXrx4ZGQkhyvcVM5mM5ntPTEzo31o/VA888MAZZ5zx + s5/97OKLL165ciUiuFQqlctly7LCJNZ5nc6fAQBj/EKZiP4XdPrfFi+kSIPWQtEDH41HOubYo+77 + 0fcV4oceumHVqlXbt+8QCjme22g0KDXTmUylUikWi41Gg1pUKKW5iVoWTF9cnXXBUkKstVlKs3MD + AwO2bYdR1Gg0tCiZ7ooBQBAE+Xw+CAKNfNUKzno8mk1bSRJHUWSbNqUmYzzleGEQe6l0p+U7tmub + JiGk0w5t23a8tL+wYEgR8zhbyFcqFdO0i8ViuVQhhCCE9Rzc87yW38EGxQCJkAi4Tu4ORi7pzwC/ + kez+AYkLIYQibBtOut8bHR1NoiibzebymWatGTOGEOp0/Fwux7lMe9nZuemRwYF2p0UISTiXGGrN + xs5duzzPC8OAMTY8PNzpdJI4NgxDcJ7NZisLC67rmoahlKrVav39/Xr+W6vVNCDVtu1yuex5nmXb + YRhhihEYPGaUmlEYZtOu325/8lNXEqqUUpl0rtZoArZGhlfu3j05OrJSQUoIEYdtx0FKBp32wvBQ + XxIFcRw7jhuF3LJsRKyrrrwaMCYEacSarusMy1xEViilvYYQgN6hWq1WkiQH+SMt6v8c3OD5v++P + BIYVKCWEwNhEhLAopmQR7yelRARpBWd1kGSFDl28oSU7o0VJPUBSqWw2Ozg4iBCKoiiO45mZGbWk + xYeWqFPa+6+3xfceVKEkRqAwslOuqNYNMBWgOEo8nAYOEEMul2+EDdt2EkKiJOagTMv6zeL/P33O + FUYACi2ONLH6tXxOMG4YVCruBx1TGiOFfAvaNra74Bu2UWmW+0cHm0ktYknWJnEYRRC61Isg4ogx + KdLpdBN8phghNCZJBLEFhi+6juvUk5ZdsCWKW0ELEdeX7QE8yIAhm/Amx4Bd143jJAjikexoF4IU + eApUN/E7QRulABNk2Ear3Vw5vCqEwAI7glBizgTjShggFIh20BwpjHQhxGApB9Xr9bbqeIUUlxGx + sIPttuoEKASIkIUTn3HFAQHGQBTiUjLBkoRoBghwyHiZRrcjOE+7rmOTuN3mnFNMKEK2l5ZSEmJI + KXuGkmpJlaQ3wPl995kXKnSqSggxbKPlN/rNAoM4gViAwagEA/yg49hWHEYiFCPF4QRiCrgFLSNl + hHHIETeNFHXNOEykITvQQaBiCJGJmp2m3WcrkLzDkYmb0MiAiUE1VJ2YKJACY5JKewlnsVA+tD0o + dKErKZMEXNcN6t04jrnfzdn5ELoCqACBHNQOml46A3a64wdEmDHEHISA0Fe+kTIqnRrNpEwzVW81 + iiTteSkOgoOKUCgNVFmYdzJWLGMJ0sBEIspDHiZhHMfZbDbwA2wCQRghlM1mEx+kEAalcRh5hCpD + RVEUtVpdS1p5K0ZsETuzJLWiuXm/FujAejEJFYxLiRKRAAAoIIAQArmkbNkrZtQSr3L9+vW6AeG6 + bhzHpVJJmxMopebm5ubn523bzufzjuPoA1Q/SxMTE3o5d7vdweGhHsQIAZLqgLL/f/dzFUWR53mC + iyRJ3FSqd84ihGzbXihXhoaG1q5b88QTT3Rsp1AofOtbf3/yySfPz88Xi8VMLpNKOdt27HBdd3Bw + cGpqCgCGh4cxxkEQ6F6YTjr1zq83VcdxAKQQQiKJEGAECBZtf5ECPREyDcx5EkVRrVpFAIqLjJfV + IquEEN3k1bu0fs/e8ux0OrOzszMzM6XywnHHHbd69er5+fk1a9bouUe32+119zzPC4JA05O63a7k + at/+iV27dsWcHX3sUctGRxdqldWrxruh/++//EUuk3VMO18o1Ot1fdwoJUSc6KaeQWin0zGwkU6n + Vyxf4bpuNwy6Yfihyy//ySOPfPZzn4tYMvzNb9z2ja8vQtBNo1KpEELWrl37w3vvO27zCZd+4INP + Pvmk47lf/Zu/fu1rX/vMtq2GaTLGMplMp9vtttqPPPLI008/vW7duje/9S3XXf9XO3btKi9UPM8r + FovaLHX//v2vfPWrBouDX73lr7/zne/Um82vfe1r55577tNPP10sFqdnZ23TPO6E45evGCsWixsP + 27Tx2E2A8T333HPL3/3duvXrS6VSlCR+q7V3Yt/aQ9ft2rXrtJNeetbrz6qUyp1O5/bbb9+4ceOj + j/3cMAyuFBA6P1+m1HRSZhJzANBY+MV1ASAlIIQwIVqkwVCodxoqpbTY+sGDU40c6XXDe12VRqNR + KpVqtVq9XtcLRJ/gEsC07EKh0Gq1DMN45Rln/uIXv+gGget5Xd8XQpQrlSiKpqam/DDQGHgN0sMY + 16pVk1rttm851ubjN//LQw/EMaOU8jgBSgUTAyODZ597zk03f0UXhPl8Po7jSqXygQ984Jprrhkd + HfV9f9FZCFnZbHZ+165isbh79+6LLrroyo99/LPXfVYBl1IKUF/60pd+9KMfKYIty+p2uwTgyiuv + /PLnv3jv9++NouCBBx647bbbMMaXXHLJjj27Wq3W+sMOW6hVLcvauXv36aedtmrFyomJiY9/7OPH + n3D8Ga94xVFHHXXNX107ODg4Vy4pgGq1+uMf//hH99536Np1p51y6ite8YqFWlWPnoSUOlHRnWid + dKGD1Lb+5+MFK5AWE1aEdNmdy+VAqrm5uTAMheLVahUBsW2bmrZSSFtBR3Gsx7v9/f3NThtjPDY2 + tn//lCDk4B5Mj6QVRVECcTab7evr0xuZkHJ4eNgPg/n5eYTQ6OhokiR64qRHnNrlRu+eYRh2gjDs + +Pl8kTFlUIKQaDebjmMxoYYGhqMwtnIZzlkUJZ6bnZ6aXbFiRadbzWRyQRDYtu04bpIkmm2PFCCE + F7M7rVINgNBvKki9wKG4aDWag4ODn/7Upx5//LFuu1MoFLKZ9K5duxFC2nMp5XjtdjsIojUrVziW + gQ2ipevNlMOl+KsbPuN3u/2DA61Wa2pqamxsTNpOEASnnnrqgw8+mMlkAKBYLK5YsUIzbUZHRx9/ + /PFcOmPY1szMzLXXXnvXXXc9++yztuP4YZBJ20wkAMKybZFIhLllIy6ibVt3tlqdSqk1MrKm3YZW + 61eu2+96bN++kuu6rotAtlOpxKBRrTqJER/oKwSBf9FFF2066kW1ejNmbb/b9YOa4xioiYTgUkpM + yW/NvPVTpMEhhJDBwcHGn6Y/UiwilHrBcguEFlV+l/wfcY8rhRAKgqCntHOw1cDzLq9W91jis+rA + vbGe0n8QSCTlf62391sDI/0W+u2RUiAlSANRAKUwlZgoExMgCUmaqmEhCoAEZgSIkkJxBQAciYjE + LWgLYBgk8QgYwLmMGcMeFSrpKr8LHQDZlm2GGcWGRIIYFDAGpboorEOdgBXKrkISkJJSSSSNFAVb + BdDtQBsAgaVMZDAaxyziSCKTBMr3USBAJRA5ecdIUQ5az1BYrs0N2YKWAjMiiTIBISWEVEoCYEFl + hMIWNBWg2GDYwYRS27CjKJA8yacyBZpTiUzMCExMTbMWNkOVMCkq1WombaVNy82nORfNqB0HTSvM + WbFgmBHDALUoEHKwLjP6naUyXvBgTGCshFIGQZaFiQkKqQiSGHiEYkkBSaSUli1RIQ9btM0g8aEL + FpiGKagCqThnBoKYJF0IJcgOdMGSRCGeJIZhCIwFkREEbWgSwAwxkjJUrBbFoyxEXBJC1IYWBy6o + FMAkBwnKsEw741jM4sAECA4RJ0ISmYgkAQYAiIAyVRNaGJBC0nQNQ1EGkrGYIEMg1oGAAgGgIWbY + Qja1gAASEHY7Yei7npFKZSzTThcyGGOqsEgRDmHb7wilQBpM8EjFJOX5rY5MpC2svNs3nE/NQjkJ + IwMZGEkDWUCQ1v4UQgAlGpaMFyVGe5TOA3EAt/yfAwF6NYxGDvewtQDAOTdN03XddDqtOej/Ww/P + /ydc1+10OiJhuVxuenp6bGxMMt5ut4UQExMTp51yahzHzzzzTC6XazdbuVxOJ1v9/f2e57U6LZ0w + bNq0afeefUnCtVpptVptt9sAB9g+8Gvqr0vYaW3KBHDgCwUYYYwRQUTXVKZpYoSAyl4mDQDa0cg0 + TS0hqFtvYRju2LFj27Ztvu+n0+l8Pq8PheXLl4+NjWm7CISQJq/r4Z5uCO7bt2/37t0s5hjjVrOZ + K+RZnMzNzY2vXn3kkS966Kf/6jre8tFlCWedZqvdbtu2nSRROpNvdZoIoUwmMzQwmM1md+/YrcXo + 5ubmhoeH37blglKptGbNmlTa435n+YoxjUJvt9vZfE4JSQhpt9vNZnN6ejoIgkwmw5WcmZkpl8ut + VgtZxsLCwoUXbHnPuy8J2p1v3Pb1hx9+ePny5c1m88EHH0x5Xjqd1lD2JEkmJydzmcy73vWuv/v6 + bd1uN4zjgYGB733vey9+8Yt7HN3lY2OtVuslp56SRPGe6b1eJsMYK1UqnudxzqempjKZjMZ3LSws + xHHMgDHGuBQDAwMxS/ygK0BFQVe3KQ3DQBj3GtZ/fOiGvvZmrFarpVKp3W7rU74n7KwTM0opE4JL + VS6XV42tiOP44Ycf5pzPzs7Ozs7u2rlTKRWEoba0cRwHp1Jad8pNe81mc3BwUA+vhOIPPvTg6Ojo + +MpVP330kUwmkyTJ0MDgBz/4wbbfsSzLzaR3bN129IuO2rt7t+u6P//5z88555yhoaFCobB8+fJK + pbL5lM31ej2Ty1mWFQXB3r17r7rqqt07di4fHkEIVRt1y7bdXGbHnt2bN2/euXuXZztRFF111VVP + Pfnk5uOOL1cX2p1OJp97btvWVavHc4XC1q1bx9es7nQ699577/XXXz88OCi5WL/u0GKxeOedd/7s + sZ9X6tWQJbliIYrjXC63fWZ27fjq+fl5PVjbu3dvf3//fLkslBweHoo502O938SU/pHxX2sy/0a8 + wCINmonE4qTb7RKEwzA866yzpqcnoyghhJqm2Wq1gm4UMU6JZZrmYP9gqVLOZNKS8SAOW5ZFCCEI + qr+GYwAAIABJREFUA13EFsNS+W4QOjQwOLFnb0QNz12UzvAcZ+/evWOrVg4ODna73UqloodOruvq + ZdzpdHSnX48g+/uHMk426IZSICDKsey05yglqvVa16/qvo7rurl0xiSm53gYYykUsmin1XBdl8dJ + tVrt7+83KUFKYgTaRBsAq8X+HPpvtbFHABiQm0olcezaziev+uSmIzae+pKXzIqpH3z/Xn2hOOeU + mrqfsWXL2wRGT/7yCdOyJicn901NXnDh27UUgcYKDg0NlUolA5OzX/vaT33i6uNP3IwxbrVaF77j + HZs2bcp6acbYkYcf+dBPH7rmU59uN5pveN3r33L2+Z/4xCdc163VaoRgISMJEpQATLhsxSw0bYpx + /NwzTwJKf/3Wu6/8+I0TO2vLRo/c+uS8EF1CRmaTbhCW+4pqYt8vvvn3n7/n3q9fftnFCwvTF797 + y2mnvfiEY15k2ixKyvm+TN+AI2RCCLEokVodn/PFBaMPsKVjXhdIOi/UGq/qT9YfCSGkDgZh/qEV + E1qSCO/JjGrkjFpStGOMabKyxgQe/G9VzxMJQGAJSmmxJtRzuDpYgnjJEVL+YSWSFi1WIA5ivAkh + FAIhhAKMEFZE+LJb8ueEFSMgMY6125ji2CBEYhSoYCrZbzJqmsQnvo1spZSFDQAqMe8k7VmYscFs + BUFLtCISKy4FZhyJSMXVoCKIRMIMWIhNRAhJRMKAE0oCCOfjuTZqg4SWbCIblBLdOFKGoCYJRFSC + EkQAniRZQ5kqDiJKSRxFmaysBgtMgFC0yQNOGDEJxYQlRCmIRFgJyooYIMlCUo5JxHhMTUqAIESl + UuV6RdZlJpM++rTNJMZ2IfXYtn9TFowMLWu3qrNzlU3HH8lq/AjqSo+UaD00mGu7tbDe6YSWY/Xk + m3us+v+tIRIhRAIoJCWoBMkuDypRrUliBrQe1mOIJVFcMowNTFGXd2eiWSqoL4MGayU0VFgRKTCG + RMUN1tovppGQIQlDCIkBSoLkApCMIKwmVQYdDMiXQSC6gJWUQmIlVMIwzPLZZkQkgqqoM8UsYlDT + VBjFMlECSqrCEyYx90VHGjKWcSwFEIoI9lk4Ee2XXAgj8WVbQEIXFXAg4FElqXagqaTRFawruwI4 + khhjnLJt2zZAsWajaQiSHsiuXb2OdVnsxnWjbhRoYjPFDQgwyZBjN59AExZDHGTlRDjXXFgILOY4 + jkbfK6WkEBgkRgrRnqjor3MelNRydr/XPVYH8TN1Pqcb5Bp8lU6ndcenx+r8PxWc86GhoYVSGSF0 + +OGHb926dXRo+JOf/OSNN35h3bpDKMVnnXXul774xSRJxpaPBkGgJ/yFQr7dbi8sLORyOWIYlUql + 2+329/frM5HzJEmiVMrT4yB9Thw4LADgeQUS0khsrBRwyZQEDDJJkjgOozjAgBRXaTejl6EeHMkl + edvp6WntHtFqtQBAw+e0C8WmTZtc152cnEySRLd3e9iNhYWF6enpycnJSqUipQyCwHW8OE4ymUwQ + BIZhDfUPzExPP7ft2VVrViGETNNcqFVf+rLTHccZHByoNqrT09P/8i//vGbNGj25KpVKQ6Mjs7Oz + LGKMsbdtueDzn/98PpujlL7v/X++d+/eE0968X333UcIiaKoNDefSqXiOD7vvPNefvrLDl2xds3q + 1VNTU8126xWveMUnP/nJwcHB2Upp3bp1999//7Znnj1y46aNGzde8q6L+wYHnnjyl5qC22g0gigc + GRnR0AbG2NTM9Ktf/eq+vr7R4eG5ubl3v/vdu3btiqKIc17I5Xbt2PHOd77zgR8/ELM4Y2T+4i/+ + YqFWNanh+77v+xocqI+zyy677GUvexkG8pa3vOXmm29uddpjY2N7/2HCsm1MiFZl1OLJQgggL8x+ + qInQU1NTExMTnU5HD3x0A9T3fSGELs7DMAyCQAIIBcVsERQOg7gVt9Pp9N79k3v27LENE2NsK8S5 + 8P2Ac+l4rpRQ6Mvrx7XdbjeatY1HbxJKTs/OhnGQyXgUk8DvUgNXyvMnHH/s2eeek0RxvV5fvWp8 + ZmaGUooB9Rf7pJTLRkYHBwf7CsXXvOY1X7v1FoyxZRgsjvV19n1/7dq1Q339a9asyRULz23d+ugv + /u2www7bvn271qLwfb/bbK9YsQJRsmHDhsMOP3x42ehd/3j3448/fsSmTdl8TuPr5ufnP/jBD971 + 3e+22+1Wq6WRMi8+YfN/bH22XKs6TopzvmPrtsPWbyjNzHZa7ROOPnbZijHP81aOr7rv/h/6Udhs + NmGJDtDrR2uVxT/+Zv0v+yBp/ne5XC7k8gCghPR9v1kzRkaWhX7YbHcCP6TELOY9JoRt20EUOZaF + ATm2nU6nFyql6cnJgaGRHmOnl7TpXfvZZ5/dsO5Qzvn01NTQ0JAQolGvr1u3brY03+12BwYG9Mew + LKtUKhmG4XlePp+v1+saLoUxri/UMBDXTQdBgDHU6pUw7K5atcJLOXEQZPKF+ZnZXCajMK5Wq4VC + 39zMlOM5ScI8N40JsqhRKBQAoNPp6IwRYyQRYAViyS/uvzsQQlE3qMyXsunMQF//ey+55JWnn7lr + z84H//lBJFUcM0qIFDJtp3DKe8+fXeykrCs+8uGL3vVnseDXf+6zGGNN6Co6dhiGvu/ncrkLL9jy + ngvfs9Ase56nSTJ33333rbfeqri4++67n/7VU+9/358XCgWk4E1veOM37vhGNps1DMO0LERJlISW + YcZRBIhhwoRITNdLZyzG4+/f/U/7djU2HHK8KeKf/3wyag9lcysZM9Imyjh1y2wE1afmpn1gDo/U + iSds3rB+vWNTCVEQNE1DOA5qd2rdbicIAq1zAhhpMwEhRKIFFQ6Cc+iKKAzDP11/JMuy2H/DU4SW + lHx7v7tGn+syr8eU613GA19gJUGqg9REDpSmB4VEIJGSSKklwMnv8dmWWjpLs1cpkUQKpJSIUKww + 50IipAhELGiwiPEIIZLgGJtYCIUVodhACEUomu/OQcItm3I7YZQhgSzDlgCKQJj4pW6CFSRcxoaS + RCokuJIKK6aSFmtHEUfSkiCQiTGVQgghBSPSZ34Qx6Y0CaJMRNhCCgkmEoIIMWjIw/lwngUMK4RS + kiPOFafICKVUiHfiVjuMFRgxAW4lmABSiEpTKYhUUo4qXZ4gREMSCosxxTDHRGIEBCFkuk5uVWaF + vWIURhPFXJT+Veu5qfpMwclRx8oND6zoO1SOSAvsMtS27dvVibv5Zf2FbKFcndcDQ4QO4EP+sMfm + BQlKKVdcIsWVUCppMykiwLIVYSMUsXQkEJCKI4wUNkMezkZlLBQDGeJYkhgEJkAxQoyqVtIMwoAn + TNlCGgphiQEElwCQqLgWVTsMYSUZkQIJLVOiFI8YEMkW/KQeKMAqNLjAggPGFMWCMxEzzkvtUhiG + yJC+CsDCgiqZSEJAYtVm7TAOOOfIFDFuSsIpthAByXgMaiGsYEGEIJxCKCOEIA4SAYJiCaAoQcSg + hmuv2rT6sDWHSVA++PuivdPR5EKzYVsuJmAPOGPrxrPgVKDcgE47SLDpGxDpJaskEbCYpmOkD0SJ + APdEdNCvFUUHy97Ab06WDo5eO0bPlvVxqaH/OjvRVExdHf0vQjT/s2CMTUxM9OULjUbjQ5deOjQ0 + dOxRR//HM/+xe/fu4eHhPXv2vOpVrzrpxBPn5+e17cTmF534hZu/UKlUgiAAgLGxsenZ2Vqt6qVT + OmtvNBr6OoRhqA4YgB6812lnXqk9nxY11heNzME0DKqQCcRcCqQAkUUakj4OdGIjhKhWq3v27AmC + QOfQPS07/XN37969devWVCo1OzureSlkSbL1V7/6VRiG3W5X0wcs06SYxjIWUuSzOR4nzz6zdXTF + 6Ojo8nJ54YRjj3v44YclF+959yVbt2+bmpqkiK5evVqDI8bGxr73ve9hjH/0o3++5ZZbnnriqW63 + u3///ksvvfTYo49ZuXLl0NDwKaec8sunnrzvvvtqCwuFQiGTydSr1ft+cO8/3Xe/RY0tW7YcccQR + DzzwwEtPOfWf7v/Ro48+Wm+3hBT79u7tzxX27t27e/vOTCbzwxX3Dg0NDQwPpV1vcmLCy2TGV67y + g+7k5OQzzzxz2imnxHG8atUqAJidnS0UCkip22+/3bGsLueaIdxqtc567VlnnnnmyMhIrlBwHCeJ + 4vPPP39ubm758uXFYrFUKt12220PPPDAypUrH3vsMS/lZjKZ+fn5f3nwgcceeyxeEpTrWetYlsXV + C0af08wx3ehMp9P6r7pYQghpupcucQkhgM077rhj2eioLqXS6fTWrVsJIbFcFIFwHEfXe4gSjLHv + +4ZtUctELNy+Y8e/PfHvftAdP2T8sMMP1z6czVbdS6WPO+64fCrfbrevuuqq22+/vVQqxWGYz+au + vvrqL37+C/v377/uuusymcz27dtTqdT4+PiNX/5So9GglB599NEbDz/iazf/7eEnb/jW177x3M7n + duze9f4PfODyj/7FD+7/4cDAQNvvpNPph//lJxe+Y8u/PvjgV7/61RUrVvz0Zz/zspk/u/hd7/iz + i/bt24cpabfbjLFzzjnnve9976M//SkAZPO5Hdu2n3rSyddee+1lH/nw1IMPJJyZttXX1/e5z33u + 05+4ulWt33DDDfV6fevWraed9jI75Xz3rrskWLqd3ZO26tG8X6j79XvFC1YgdbtdPT5Op9O5XG5u + bi6fzWGM9+6dWLlypd9q11vtoBMblmU5GEkVdWNCSNgNXMdp1urENPryBcdxUrbTaLd01osxRhgR + hDGgJElWrlw5Oztr2/bAwEAURbl0JkmSmZmZbDbbXyhGURQHoWmaFjWKuXwcx51mCyvIuF6z2UyU + MgklhFBCwqidckiStLJpK+PZpdlSX18fR4IA8lIei7gQwjIp534m6yBixgnPF/MzM1MU4+Wjo6VS + ybYMUEIpoRRanLAD0hkovHAL77eGFGKgr7+YL1QrlW7L92wPAQ46gd/omKaJEMl4np7mNevNjJsF + 4HPTM4P9A+XqQj6bVUJG3YCDcmWaEJLJZGZmZo499ti/vu2vTz7xxVNTU/39/c1ms9Nu9/X1XXn1 + lWvHDvnMXdf3F/uazaadcpYvX375h68YGhq69dZbX3v22VEUxSw2jBRjUYQSw8Schd1uS4kkDqO7 + 7rr7VS9/45vftPm2v10gKuzLrpyeCfKFEYWg1ehQKjHtf/lLj1i/dnjFaN/UxPRpLzmlkM0JleTS + XjabTZIEYxgdHVVAwyTudDqcC615Kg+S8Fa/4VddrVaLf5r+SC8s6blXzuEllVJYmnHp3bmXBj0v + hz7w18X5lQIkdZ6mX9avHfyjlv77h+fiaLERvngFKDURwQgTKaU2q5AIEsQbURUhorTaD0cUTAJU + SqlM8IlPUpI7yMSmsgTrcMSpAG4SwgnzZaSwJCnTICmhsDSAICIxRlRKQyQoBqQwxhIEgEQIEMZA + FUccOJeYG4TGMqKUciJUIgSAgQymeEe1BBEKlHIVRwmlxKSGbZjYAI6ExInESFAskQIpRJelqKew + YlhEKJLQQJgqSxETgAmCMKVmEqs4jiUSk7WZrXM7UQtjIDEwb8BdMb5sob1AEJKR+sKP/pYmKGGx + V0yNrl8+2NfXicMoSnK5HBMH4FL6odVL5g++NX9McCklKIWVRAoRJUFxJUHKGHFGlZmioDBnAimk + kExQwlBCDEwIoQY2KBFSYsYBgNg4lElsSmQpMKQCrhKhJBBiADUUErGQnHKkgBiUmCQJIkCEGpjz + WFBIQJopIpWgDmWCd5NASEGxTR2DmjSmIsABoVhRwA4RoHgisBIScU5AGgg7WqkTDKwQSMESIEQq + EWABCClqKIIxRpgqm1ClCAB0u13bMaWSu2f2TW2d3L5zF495Kmenh9PucCqdzRJCwyiUBfWlH34l + bvteNp0eyrmDabNog+JMCsAUI4oxXVJ45EpwjKn2ndOGd3LRYg6QOiA9+rtEb4vTm4MmQ/YaRnqP + Onjq/j/T/vvdAyG0cePG/Xv3DQ8PX3/99TfccMOll15ab9WbzWaukJ2fn7/sssvOfMXLOp2OQSjn + /Pobrk+SZNeuXatWrTps9cpKpdQNOvlc0cukG41mx29FcWDbdsq1g672iNNKJwdk+vSCooAk0jUR + KIRBF6wKAj+kCgGmjCeLXSeEkVQA0EM4yyUrXt/3K5WK7inrV3oQRyFZFEX33nvv9PT08PDw6tWr + R0dHdX9NSrmwsKA9cxaVo5NkYWHBcRzLspvN1qOPPrpt105kIL/b7R/su/nv/lYbKNVqtRu/8MVn + n3320A2H7pnYMzw8mMlkJicnAcCyrDNf8pJTX/UqapkOAs74U089JaX81ne+rRRQSgnCiGDP8/x2 + W3LearV2795dW6geesjaN59//oplywFg586dj/7bYwqBQUixvy+IoySICCGOa5mEbn3uuaeffjqd + yxKDFovFbD6/Z88eLW339NNP//Thh/v6+p5++unNmzcvX758bm7uwgsv5JzzhKXTaSGE7/uZTGbf + vn133HEHpbTRahmGkUqlGo3GyMjIwsKC1gGcnZ7ZvnXbyMhIHMeGYTSbzb6+vptuukkqSOdycRwL + IahlCSFizgkhvwk+XaRH/F7tPQCti2tZloYpSinDMIyiSIOYAEDfOF0gGYaBwFizbm0xlyemEYbh + ww8/rG8u41xXBUBwHMcQRxhj1/NiEUdxWJ+sveGNb6w1G1Pbn9VK2Rds2TJfmmVJlMtkg47/6lee + +e3v/n02nfZSqaGBgWa97qVchNCpLznl8g9e+uHLLg/97jvf/o7p6elDDl33zW9+8zvf+Y7kYv/+ + /eedd96aNWuy2exb3/rWz3/587fddlvEkh07d77pTW+6+57v6w2hWq3u2b8nSZLNmzevX7/+bW97 + 246dO8dWrfyHO27v6+srl8t+0PWymWaz+dpzzr7mmmu2bt2az+c556lU6vHHH5/Yty/tejxhxXy+ + 0/XXjK/esObQib373nHBlq3PPXf11Vfvn5xcs27tZz//uZ/9/OdPPv2kHpZqnKoG7+gWwx+3YfyB + 8YIVSHpjtW1bw7eKxeIH3v8B0zTTrpPP58vlsmU5oFAn6FJqgkZ9ENLtdtccMt7t+qVKGUCm0t7X + v/HNlt/pJbvyIJPvTCaThJHv+33F4tzcXJ3x/v7+TtCt1Wq2bSdJorf4er2u2z/Lli2r1WpaUlmL + PaRSqbe9Zcu5555tUrSwUBseWobAbta6puEopYIgyufznU4HQI6N9T39zBPLxkajRHbDoK+YNwmO + o2BmZvqySz9EMSFIQ/sBAyilqUhYKkC/3zn1+wVWgKSKgkAJYVJjdHjEJDSKAoNQz/F0Om5iYhg4 + 5KFiXAquFDMIBSHLpZJj2cuWLTvjjDMWGnVKqR8GnPM1a9Z85jOfMQzjgre89bxzX7dzz+58ocAZ + 6+/vn52eeejRhy666KJCofDII4+cfvrp6XR6aGjo9NNPn5uby+fzuWIhjKOU54R+yzJwOmUkoZ+E + 0coV4+vXrx9fuZozWCgBZ8R1+uplMdR/iFBOEgUU5VeO5ednn0p70Gn5ltFHsTEyNJokXHCg1Pbc + gkTSTWVzuUIYca6kZVm1Rl1DDmAJ1Q0HtUJ7aO8/aX8kZb1gD8/ihr80NZJLZu29V7SHoD68e6Xj + 84oljdMTi6gShUHiXldVV089zM9iESV/NwVEAD0+0gpdICXI3r9TCJRUeAloKBBXUlGEgXBphqCw + QSkAVgJMZBKJuZTIxhxF3OQJksiTMQo5Fw41g5CZNuVIAmWSMmRwig2RCC4kx0KCUKAYZsrAEgFC + BAkJCgAjgpFEEiggGwkkEUoYTxiKJRICS6SUiUxFVIxjlFKJkTDgIBUmoISwTDNiEVPAqeCYc0IR + CEMCQZRIKjAAlWBALBgAk0QopJ0JlY0ci1gMxWbKyaX6+oqcNSVI1I47hkfqSSOUUX//QKqQSbn9 + FraTuJOIwEiDn7TDJFEEI2T1Kv9e0/SPfZL+iEBYIaUp7RKEkiAkkkIKgalEwKkSXCgQAARhITFl + wDDGFAuFhJSJEhIJBAQrLGNIwJLYoIhIxIUpFAUEBIGJEsQ550AVSCWIMjEQg0iiDIMknDPACgO2 + zSSOQVFJleLKsAyFZIIAWYqhRFlIWEIgIZEQoBSSgEFgyYjEFkGEcMmVEhiQ4JFUBCEFBnACgLT8 + opSKI6lsw2SMUUoMw7BMJ2U7NE+GhoZNZQIXgBW2cFeGcRw4GcPJ2ssPGyMSZ5xMkiTdODBcQ1Du + KDPiTAFWuo8jJYBASCKsAKS2uRMHLzLUA7fK58FI/rN7j5Y8qWGpDbT4/Us0JD3Z0BTKgzmK/0fC + cZxf/vKX/YViq9UaHBj46Ec/alFj/JDxXC737LPPbNq0qV6v33nnnTt37hweHBobGwOAubm5jRs3 + 2ra9e/duTbKyHXN2dnZubi6Xy+ujPwxDwzA1PgohhNBin6vXbqCgeoYZBxdIrusRCSYsduI450IB + iAOWCbojrrsV+ns09Uu3yXRIKYMwrtVqRxxxxEknnUQI0Sx/nSxSSjOZTKPR0FgJfSRZloWU0n23 + 0dHRN775/HqzNj0/9/YLtyyUq616Y9mKsY2HHHHTTTcppfbs23PjjV+Ymp1ijI2Pj/f19a1Zs+a4 + 40545plngiAwTTNXKARBsG3btte97nXvfvclYRiG3eCaa66ZnZ3VLjeFXH54cAiYqJTLQ0ND73vf + +zZu3Dg5M53JZi/78BWVehUoiaKor1AELkpz81kvfc4551xyySXzpVK91fzGN//+oYd/snLlSmqa + Wslgw6GHTk9PDwwMXHDBBQMDA67r7t83cdlll7muy+JEIXAc59hjj33pS1963XXX1Wq1Ql9fGIac + 84svvvjb3/62bdtaDGnT0cdEUfTII48ceeSRW7ZsGRwcNE1z6/ZtN37lpnq9rq9tj425iLL7bfH7 + puE9BIqWidLJvcbY+76PMS4Wi9qEqlgsFvJ9pmlXKpVF9UVA+rKnUiktHMU51zmGbdue5734pJN2 + 7d/5oqOOOv7442039VefuU5hpFX1+geKWs2YEDLY3zc4OBiG4eWXX77piI1r16791NWfbNYbtYVq + kiRXXHHF/v37U46TzWQqplmanUtb7vTkVBzHAwMDCwsL737XxZ/9zA1acC+TyRQsU6c6hx566Ozs + LCJ4cHBwbGzM87zZmZmFhYV9ExPpdHq+XIKlrqsmXadSqe3btx951Isee/RRzW7Qq6ZYLHbbneHh + YWTQhVq1Wq1iwBjjeq0GQlKEWZLMzs4uX7b8ySeeWDG+MmEsjmO95zxPNep/Pl6wAgktaRpKKQcH + B88888w1a9Z87GMfG+zvXzYyOjExEYYR55wL5XkZXeVLpKvtwDBooa/IRfKe9753cGBgYmJCgNLC + IBqexDm3qPHcr5659ZZbvvrVr2595tnVq1dPTuzfvHnza8452zTN/fv333zzzRoslCsUzjvvvNWr + V+/evfuWW24hGPcVi0KIcrnME3nSCaf92dvfPzs33d83un/PgmP2DxTGJ/bNjI+vqVarmUwmDDsS + wsHhTCeYrrfm+wcLiYxbjdonPnHlG895vZdKxWGYhJFJMEHauUXLpBLQgDv1+y+y3+s6K7BNiyDM + 4qRWqyGEUnaKRcx1Xc5YOwzDboAQ4gnzUq6BiCIq7brZbHb58uVSyu1bt/3qV79KpAAAw7ZardbY + 2Fi31R4YGFBKPfTQQyvGV01NTcVRtHPnzlqpcscdd7zzHRceddRRd955J0Ko2+2+973vzWazR6zd + NDAwsHvfPkwMVG9JFlMsWyaVPGJRsFCu1SoNgg0hoL8f5udnp6Yn+3NHRHEQBNx2iGVZTz31C8bi + fbuBx4nvQzrldhrd4eHhlJGfr5fjEJlOenamNj9fXqhWM/lcLpdjgjcaDb2JLJ7oBxGK1JKkx5+u + P5KMGVv6jRA6MCT7I58ZtfSevTlbT5bq4GHagWesly1pKjJ6/miIwK+JkfxWs6zfPfDzl4wEwDoJ + EEs0AAE8EUAUQ5bEoAQSiiEMiGJbKcFkrAwUo0ThKOAdl9iMSQDLNp1uEoJEAhiyeIITBomhCJNY + KQQgJUgFPAbEERKII4lsZErOwUAKISGFRIJSKmScgBQ0kUgghCWRVFEAkCAZYcQgCY4ZCKWUhUjU + DT3TboVtThUnhANNFDExsSiysS0jkAgE4QllCINQkstYJpKCZUjTMAzDoPUoLFUXHOqn7YzTl1IK + 9VlOJLqdqOkW3E4cTlUXcqkBX7CUCxiwn7SwgdPpDAcIggDwgXNFl756evlH3aQ/+OZiLJQQIJTi + AAIhiGXMhWKEJsCZiEExiyKEkWAKkBSmZIgnHIAxhBIDKMEWIBXJOEGME4UwVyq2ACxqmZhEnIFC + DARDsSIIKSW4EixxDTPmDAORSHLEEsEoxSEkwJlhmUCAWibnKmKRXo8MRwYhoYgQR5RSIIt4NU4E + ICZ5zLlvU0GQkBwRwxFKAcExYhKDVEhKSUACZywOBeO5Qt40zW6324ybBFHXdmJICEU8YYY0JAiF + IIi6vmyZ1BKJaEcRBmKljHbQ6UatTD5nm5aQKJFESKmUREgBAkTwkh6QFviW+LfMjhYt5v7/gPuD + AcMH7wN6c9O7Yq+x8n9tfAQASZIccsghUxP7161bt2vnTs/zjnnRUTt27wiCYGRkhBBSLpcXyvOj + o6Pr16//yU9+YliGliqdn59vtVqrV6+23dT+/fst2162bKS/fzCVSrVaLc65bTs9TiaC5zMfiILf + WiAlESMSiFD9/f35fD7uBpILxRcbUrCkY6aB1pRS3WLXi7TVaulO2bJly048dIPm0Gpp6aGhIU1n + 1VWBVqHQ0g4AYBpGvpA1TdO07ZNPeUk2nz355JNTnmN7rpdxH/7ZT3Xm+uyuZ++7777de/cahfBu + AAAgAElEQVSsWr3qrrvuOv7E4zudTqPROPbYYxuNxtTU1P79+zWG4tv33vuGN7zhtNNOu/zyy7/2 + t7fMTk8fuXHTRz/60Y985CMLCwvZbNayrLPPPrsyX/rOt7/9D9/6dqFQ+NznPpfJ585/85tPPPHE + 7//gnnw+zxjb9tzWXdu2n/HSl51w/PF/efVfXvGxKzq+f9IpL/nMZz7z5re+RStsKSFOOO64t7/9 + 7R/60IfuvOO7O3fu/Mm/PkQIef3rX3/FFVd85StfsSyrXC6Pjo5+4M/f/61vfUsP3DSZZ3x8fMuW + Ld//x3+sVqv1ao0xdtFFF917770bNmz49Kc/XVuo/vif/jkMww9dftm/P/Xkzx//Ny1epZZE2F8o + 2WgdlNKeLp+meGCMC4VCX19fPp/XtateSt1ut9Pyl40sq9frjHGE8OjQSNv3g26ICDYtK+XSwcFB + LYctpTz3vNff+o2v/eCHP1izbs2ZxxyXy+XiOOY8GVk2oqus5cuXzc7Oep53zz33FPM503YkF7t2 + 7JRcREE4vmLll7944yXvfvdQ/xACdfbZZ8/MzKxYuXJmfkZrIaY895577jnumGN/+MMf5tKZNStX + DQ0Nbd2x/ayzzrr7B99/7rnnbNteNrZ8bma2XC5fcMEF2Uxm+dDyI4444qmnnsKW4RqufmzctNfs + tA3D+Na3vvW9731vdnJq27Zttmm5TuplJ59CAO3cscNvdzph17IsL5ViKrn6yqsO27Ah9Lt6HHrc + 0ccoKTds2DBbmuvlKroJru/XC8JBen5f6Xe5uX/8T9U/GDDWEv35bG5+fn7n9h1HbzpSCfH3t359 + enr661//+rZtO6JuFHQjlchuFHpO6uzXnXviiSd8987bh4eHX/WqV3728zdU5ku2QblIlETIJEop + hHQOyoUQ1153zSte+oobb/zC0NBQp9M66qijrr7qEz/80f1cybe86XyE0Fe/fJPjOO98+zve+Obz + v/Y3N++b3E8A9Q8Ozk5NI0r6C0VKrFarmXKcV7/qNRdd+IGf/WTHzx/ZkzJX+PVtzHfTpmwvtHJ5 + B5MOD5sf/fD77vzHr33q0x/r+LWPfuwjaScNoETCgyBQSmmEqFJSKUkQlkpqJ+MXzlnqtwc1sB90 + O51ONp9LkijmTIFikrW7bYwxIoAMhBQIxQ2LxiLGCoIo2j89Va3XlFLZbLbVaqXSXrlSGRwZFgnb + u2u357qOabXbbc/zdu7cqTtVtmE++fRT/cW++XKpf262VCm3Ou2HH354dn7uxJNP2leeGB8f/8Uv + f5nJ5pMkMSgKWdhmCcHKIlhIXG+2V65cmUTw1b+596Gf/nLV6qNqtR1OelhRnCRhX9Hwcta/PzE7 + OT99y9e+sOFF1w4NuU/8xy+NtHmMOkEho1ptOq5wTA8U8n2fmEZfX1+hUNAWBFEUUcMAOFAg9coP + zSPSOODp6emRkZGxsbGFhYXJyUk9vTm4IaFzAtu22+22HjAqpTqdDgCYpqntLzRsXUP7dD2jlOq5 + KGrbCj1iKpfLg4ODuVxOf0ityqCL9t4YSi7ZsCqlGItN02Ysnp2d7uvr6+8vqm7idxcO3Gz0u0xj + JCxKzenAAEoLzSFY4hMfVJXpRoYemhnGomNDkvTgNGTxPQEQUggpBRIpiUECgASpM85Fx0oEAKrn + +fsHh343hfBSUocBAFOiJMIYUYQAg1IKKwkKKYIBkFKSK04QwQZWQmh9VsCKAlUSKUqklIAkpdgy + TIQ4V8qgBGMqGQOiDBMphTA2FREgJUYYA5GgFCgmuRQcEQIEpJRAMMYglBSCYRNRRAEjDBgECCE4 + k5iCMrSUMqaYUCBCcTNlUomJiQ3T4IAxYCSUkIgpBUpKJZkUSqklDRCCOTapSbmRJBHH2LFd07Yl + k4liQdC0U061XE7nPCtl+d0uJXa2L2dRCykIkzYXoZ0yEUJBHHEhMcW6faATsoPHg/8rwTkXkgsl + MJYKMCJYISxBAkoAOFIKIWpSEwNKIiEgxjYlhGAKoAgGbCiCGHAlBChCCCKGAikkAEKAERMyZjEl + IIlQSGBCAfQuLGPJozg2bcNA2CSUI2WZ1uLKQxAlkUlMDIgShA0kBONIEoylUEpKikyMQQklJSdE + IeAKBMbYtGwlhUg4QUQhJBEwqQSAQpxgRAlBFBuAo26EgCQiQZRmPA8ACKBms+E4DsdMIaCUGtQA + rKIkoSaRGDDW0h2xlbasbD/nPIoSQFiPd0GvZCWEUhSwOlAPYS3PoIlIalEvCC8tKFAItBmd/u6l + 0e8SQkz1ujC/xswE0CYwoI0NAUBPPH5rIAUAWCGQCmvRgqXowXQxWhQukhLJRd4OUrD0NSxtJOg3 + 9I0OqvGej8tQSpXL88WBYrvT7B/sO+aYY/7jqaeTJElnvWw2LUF0uu2h0ZGR5cue27bVTjmF/mIm + k9m+c0cURevWrccGrlUbju0yxgaHh5r1ZqlUzmWyCqDd7MRJYpmmVEsadhIBVks26Isf7HkFElGE + KKAKdTt+EARRECKplABI6bugEMGGYRCDIkBMcKUUpoQnTIIaGRk5fvMJI0PDKc9liUAIafFopVQY + hnpn/n/svXm8JFV9N/w759ReXb33XeduM8MMIxB0GGHEDYx5ooi4EFRc8qI+KKJBYqL5PDFqHjUQ + FCXJi4CKG0GCMQFcCCAYBIZ9X2bmMttdpu/ee9deZ3n/ON1974zIK4vRLOdzPzU9t/t2V1fVqfNb + votsMshug5RkME1zbHR0Xf9wxkmrusYRMJYA8Esvv+zGm2/ctOXI//drl572trdef/31H/zgBz3P + I6oSRZHAiKiaQZSndu3uL/VpmrZnz535fJEQIYt0rXpjw/jEIw8+dPVVVxmGsW/P3p/+6Kee58n8 + ZGpqanz9RH9//8Btt/UNDpx77rk7d+7MFwoD64bXrVs3Oj52sFzWTONlL3uZqmsDw0MDg4P/vuP2 + X9xxB0LosSefeMfp70ylUo1GI6Y0m81uO/74XC4nL7Of//zn9913n5Wyc4X82MS4QJAkSX9/fy6X + 1XV9auoAEiAEBwG2bS8vL7fb7aOOOmrnzp1BEKhMW1xclEyV444+7s1vP3XXrl0bNmzYsWPHa1/9 + mgcefigOQkk6ktiiZxlrNZF+HcUzicIYHBycmJiQotgSYI8xlmUpKdItH1uGGUe8WlkhhBSy2anp + 6deddJKiKICR67pmypZKvHGS6LpWq9V0Q903tc8L3Ot/+uOTX/+6mDOB+DHH/p5uGjKwOeqYo6Mk + np6dnS2XCRKqbvYPD919772Te57OFwtLlZUfXvevjzzyyEknnXTSa1+7devWo44+em5u7v3/+4NB + ENQadSeTtm370su+duWVV5526ptPfeMpm496yYmvebUb+N//p2tGR0fDOGq325ph/O8PnX3Sq16z + ZdPmpeXler2OFIIQ+ultN05OTiaMxozquo4QzC8tvvOd7/jcZz97/kf/NGQ+jZPbf377me97b6VR + j2mSzmYl7uYjH/nIxNg4TZKtW7cW+/vQTnzS77/uE3/+ZysrKwAgj56s60GXDvDbgog/5wSJo1WQ + prx+5C2DIIw4IIFWllZyqYxjpTRFyztZnehbxjff+4u7CVEjP+grlJIkiXhkYGX71m0nHHvc5z/z + V+ND64aKfZtGJwbzxdpKRSc4Au6k7UazHQR+EIXrhgfP++h5r3rViRigv1SoLa+0202vWHzTqW9E + QDiIh+974LzzP/51TLa9bOu733XmW976VgWTar3GElpbqfSX+hqtptd2x8eLGIIwXPnUX/x9HJq1 + elSraQeWqGOfXF8OLCttYBeiMGTTB3btKeTWDRT6+pxcSsE6xzgROmhLfuh5nuWk2p4b00gKbem6 + jgSilKZSqWq9aVkpGQoTQtrtdjqdliIw6FDr8ecXtXCMOBZOPk0RKwyVQIHrb/pRu9na9srjb7/9 + 9jAMMxpCCLUSj3By/v/589NOO+3417xKNYxqs+lFEWBMiBKFsaEZSRhHQVQoFIrF4pbNm1VVO+64 + bZOTk/V6/fw/+Xgcx7fccksul3vHu971ne98h6jqld/9roSqV5vNt7zlLT/+8U8MQw8DN0kiZCoA + TNOJgkmz2aYcqZr+znf/0fXX/yzfH5/0hsHXvvpl3/jGVffe8+2RdRMUx0/uWyr1p9Yd6SnZ5VPO + fOUDu3ekyvp9ux5+xR+8MkaJldIBaOS1NJWwmOm6sbJScV1vwxEbVFWdnZ2N41hRsed5lmHLypBh + GEmSyMdSk0NCfn/H/JH8nj8SAGAspZ8TQsjy8iKl+dJQYYXOdtKSTmMHEH6W+3QnO+IIGDBApAv5 + xAAEsADeoTauCkIywEAAOAgmEKeMCkCAMcYExBovSkQBOOICQ6whxBkDDAIgEhwRQhEDFUABgiV3 + CAhCHAiAwAL/+lL3qyLFSAiAtQqQiZB5kAAAzAE6jSYCDHEALgQinBPweRsBgCqAcUUowCGNCjgB + hDAx1HpQA8y5EFhRaUIFUnXQUSwAGAIEXCBKZG4jd4IABgxYVwQA4qBgFQRwKhBgBatAO84znUhO + YKwCwzyBBARRgSCGgAMylLrfAoyBAYQMI6YhhQPiAkIAojMEXEEKZxgYCCA6qACAEiwE4kRwYCLp + NkURV3RIuJ/JOCAEi5mhGiAwiCRKpNoPJ6qSCA4CEMEKWSWbrT3pv+bp+E0MAoCIogAGDghxITBF + AhGOINIQABdIKAnjAAIrCgLEGQMme4jAEY6FSDADAAIEGHAmAAkVdCwg5oJg0EwNQOgAKqg84QCg + YAwCJ0zYTjoIEoVh3dAVQYJGlEpZjUbNTqdqDb9o5ymlHEQS+7ZjiyRhPFEVXQjBKUJI7TjbcA6c + YQSASByBAIxUIwQQgIUAwDruXBJAKUcCBOdY0/w4RphwhIOEyitcsS0GAhsaA85FAsCBg6boNGYY + gEECAIDB40xOCEwIAO6oowoABAgUQIILST1CAEA4CIQ55gKAI6yBggQGmXnoEAMXmBBQsdA4MAFI + ICE6FDsEABh1X4ywAGCdsoeQqEEmOBJYJTJC4AghxikCggFxgTDGAgQITAAEYI4IQwwAcwQCcYGp + JOqAQERgwhVAnGHGOk7QvWKw4IfUVjAAwp0WjeiWaRRFVX0/jKJAFpujOOSc2ymTQ+L67XXDw6VS + 4cldj7fD1vDwsGkajLEnn3xidHR0YmJi9+7dcRwPDQ8xxqrNhkA4nc0JhGv1Zr3RcOzU+vVHTO7e + E4ehlUotza/ElBqaxoQIhC/BIbyTc3IscK8SxCUMeE01lADGTGiAWUz9pocF5pSqqhrGEVHVOAyJ + wELwOIoIQkPr1q1bt271/bvbMI4BIIgDwNDxs4JOehvRCKv4lDefcsjkEkCYUDFgRXiuqxhaO3Qj + GjHMJ/c/HbF4cWUZCB4aHpmamorC0PM8AhrlgBEU+waShIaRZ6fSCWUsoVEYH5ye/dY3v7V79+5c + JmequqWb27ZtEyCKxWKj1Xx6756JiYl/u/mmM9/5rj961zt1w3DS6WyxENJkaHTd/v37G25bs0yE + UK1R37l79+e/+IW77rhzYuOGptsu9pUyuSwAafkeBaFoaq1Rv+mWm//o7W//k49+LJV2Nm464t77 + 75tbWBhbPy6EyBXzS/MLiKD5xblGo771uJcePHhQ+FTTTcbYEZs3jQysu/2OO9LpdBBFlmUpqh7F + 1LQtl3mmbRVKxXqzkSSJUBAWAhCoqKPAgVXlMCR5DwHBEGIYojBREc45mcT1dcOilFqGwShN4jib + zrRaLQAOwFMpq9lsWoYlhMAEsYR6cQIAmiJLt6BgAgAsob2yAUso5oIgjjmPvNZwX4HRiCYhYGQQ + CJr1VNpptlsDQ0ONRsNO6bPlqZn5WaTiex+894HHHlR0YqWs884/b+PmTTk787rXv+4Vr3zF2Wef + HdJYJpO+7z/y5OOP73yy1m70mX2CgKKqswvly6/8+r/dclM2mz04V/Y8z7DMOI7trFNrNxBgyvlK + tXLpFZdd+d1vS5eXg3NzuUI+oDEH4XteMZefOXjw1tt//t2rvlfI5THGYRw5ZvqLF/7N0spSJpPB + GDEmBBICiYPzB8/7xMcNTZOSAXPlBV3X4zi2HTuKIss0a7Xqzp1P3X33jlwmOzg4WJ6fM9P2Fy/4 + YhhHhb6SX632xLReYKj8oowXDWIXh2EQBAompVIpdL04ioDx9eMTwCBmiUo0XddpzDhlmqJmrBRw + kdJNBZCpaKVsrl1raJikDCufSefz+Vqj0Ww2TdMs9Rc5ZQBw3XX/8qUv/e2//+zWRqPhOPbExJjr + uqOj69qtwLbtTZs2rSwt9/f39xVLtVrthuuuF0J885vfvP/++yUZMZ1yVFVt1hvFfLaQy+18cteW + I0/62Lkn7370gb1P+JaeSdkbQj9O2YSLFZG0FZQ/ZktmeOBj7aaLIHn1ia9BAmqNmuM4R//esRyE + 7aT6BvoZY4xRCREmGCuq9pKjf2/v3v2S9yI1SWSmBIfaZT7P7AhBlMQCo3bgz83P26nUt7737SAI + SsViu93O9xckdAohZGbsRqPx2K6nDhycabvuv/3slpjRkbFRypkXBEKIfD5fqVRGRkbq9frfX3LJ + uuF10zPT5513nud5p59++k033fTJT37y93//93XTvPjii39+++3ZfN71vSRJWm57586dpVIp4UxR + FISwpmkEiYRHCWNxFKedXDZf+MNT3rh/38HLrvhKveFnCtbDT/7wmOPU/3Xq2xu1BgfO2Liq48XK + Qrmy80B58sAczeezJ7z6lccct5UD3rN/r67bGFASJoQQaYgWx/G+ffukQVO1Wp0tz+RyOU47x9Z1 + Xel8Jf2OenARAPjd9EfinHZ8hgAAyfiZcf5cW/94VTdBKDLC6DV31l443d8c1qf+/7sIEUccMAgk + AAngBESPKIRAmoRId1cOslb9nK9qcRgptpsjrf3tKoTvkHK46CiVdb8r4RhAWw2+ui/ovJtQDoUC + yv/g3ifiZ/nc3vPPJOKPhEyZMOFYlvcFYAQcCSACMAKEQIBgCAnEGZLcKvyMfgCHH43DZLRW/+Sw + 7/47JzK2dsjvKx8CdJjQMjsEAT1bLakNdsgxl4eqo6+MiZCX7+oVwhAoggN06voIgHV6JoCkhyNW + uWAs4AoQEIh6TAkVVVHWFyZwjGNGFFC82BchNTQzERRxxkCmy1gIAC4AAAEnHDMMIAhHIFCvjsCx + wCBAejlBF+3W2XPEsYBDEwAQCLgAWfHoXjZyrNqIcdS9JgXgTqIIErktus2jtaBUAVh2ZkRH6QSg + w4TFnSMtlO6TTD4JCJC0Zua4e9MADhiA48N7NRiAg5BtLAVhBZCKBAhBQAgA0tsngXof3kHkctSZ + F72LWpoByAcdOZbV44NhtUSyetAY50kQGYah63oQeJRS0zIojavVqhBseN2g7VgH5w5SSgeGBjjw + MA7279+/cdOGQqGw++ldURLlC0VFU2M/WVxeGh+f0HV9enpaCFEoFGzLaVQbKlZBBZ5wzsBQDYJJ + 6Hmapq1esr0dW5MjwZquVu9c4G7PCQNCgAggyzC5pHhxzhiT8UCSJKiLGfrl7a8/EAAhwGiiq4Zu + aqASRSOvOfk16zaOZbJZxdT//Y5fTExMXPntb919146Z6emTTj75Z/9+K8bE7RpFEk3DAhhjch08 + 50MfPvvss9/2lrdmUpkfX3/DTPng9m3bv/dPV9WbDSHE2MTEbLk8+4MfjIyM/PG73+tY6U9/9jP5 + YiFjZZqxe/U114RJTBSFc97y3auuumrrS1969oc/pCnqjnvufvLJJ1+67biLv3ZxkiSWk5LLYhAE + n/70py/8mwvy+cL73//+7SeeeOSRRxJC/uL//IVt2+vGRuPAP3DgwOf++jPXXnvtW9582mz54O7J + SV3X3/3uPz7nvHMGBgaq1aoMpoHgC//vRXOVGYMYn//8508//fRNmzad+a4zz/noOTRJJC5RAul/ + mWe75oAKAmo6bdIw8jwP4kSCQVTACiDGhaSNMUoppQpVgIve6eYgMCCBpF6XrOF1jHd6WwBQlO4c + AA5MCMEJIAGgKIqmWZQlpq6tVJb8ILAs6/ofXVdvNoqDfX679fFP/Gkcx/V6/dw/+VivHOw4zvT0 + 9OjoqAT0Bkn8ox//2AuD/oGBVquVzWQqlUopXxgYGqwsr1gpe2Fp0XGcz/z15y699FIuRKPRiKJ4 + cXkpl8kOj4x4nndwbg4ATnjF9hNOfMXV3/8+FqK/v58nVNO0ubm5jRs3zh0sCwSO4ySMffr//OVN + N9/8yOOPNZtNzVCBQ9LxjeYJVcMkZIgbjg0I0SRqBZ6GSNt1m3GiqurQwODS0lIqm065reXlZUVR + vnbF5V/4whcMw5CnoheV9fAvz3FyvDjjRUuQ5C0sDMMoiqQBPGPMtm0qOMEIKyRKYlVXwjiQyiqE + EA4iZsnC0iIT3HZSVsq2UnauWMisZNLZLNIUhLHs7WYymZWFxS1btihY2bJly+z0DGMsm8/Nzc0d + sf7IdDr96T/79Kc++ylN08444wxK6QUXXFAoFM79yLnr1q377ne/axhGNpv1PC8OYwU7jjVoGwN+ + C7AGU/sXLXMspWeWFmq27VBKl5YX+vpVjIjvQWWlNTiQ0jRVQqdSqZSfeFLTLJvN5vN52U+Q0DUa + J0srFVVvW7bddl0u8dwAlDFACLqdtxeSDSMAgYRhGVjBYRL91ec+EwSBruulQmF6etqyLMMwpAFz + Kp0WQiRJEri+7HKkMmk/DPbs2+s4DuWSFy78MJgtH3z/Bz+YJInneVLHM5/P37ljx0q1unfv3r6+ + vmaz6QV+23M7LB3B9+zf50chpVRTjSDium7EcYwBLFMPqZvEsOOuexVFOWLzekp532A+ihIv8LPZ + ImNUN02MiWWZAvPB0Rzj4cBwKYqiQr5gGKk7fnHvbeFds9OzbivJOFlTz4YhDYLAcizGWL1eV1U1 + l8ul0+l0Oo0QSpKOd7U8Ppxz+WV784pz/rvpj4S7xke9T3y2G/evHBiE1vFqRQSECkIBkMoHveWb + A+KAkEAyiFJhNbBGcJgkXW/BlwGV7CkhIeE6SDKSBOBu4wcLQJ2+0+90jP4bGlhgGUyDwEgQAIw4 + xgIhEBi4PEZccusRIGBwaJfsf8YLH6IThUsx+tVjK4SgSaIZhgIojlnKTgFDIhRjufWtlbaqa67v + YhUbtqkTq9VsxyhGBlZ1TcUiEYwzwAgBxlz2YCViTaYLokNQWptH9MAUWHQnnUywkDj0hb1oGj8j + gOcQ4lA3Fu+o1QGgw9/p0P8KOR+787Q3JztKd0R+uOjcHAALLOf+Wuhdp6bQTWMAAEm6ncAICAgC + QgcAEAQBBq4DCg9F2D5bHPMcFcIAOnUrAQCSvSNv3QiBqqql0kCxmK9Wq81mc3BwMJPJzM3NRVFQ + LBalmIHruqVSKZvNVioV13VHR0cZYx3irmXlsoVmszk/O6cqHTuH3pLxPGTNeysOyBIbRgIjhBBL + aBSEmqYpmFCe0DhBCCEBLHkRZIuRAAQiDEMlZXIQURg+vXePlXbGJybS2cz555+fy+UmJyff+973 + /vVf/3U6nb7157d966pvMxCpVIpzLnF6qqpGUaQZKsa41WpdccUVP7r+Bs756PA6KvhXv/rVXZO7 + meCKolDOs9msY9vf/OY3v3n51wcHB4855hjK2dzcXMv3HnnkkY1bNrddlxCSzWZ/8pOf3H/vvTdc + d/1xL9saJXEQBJdecfnOnTutlF1t1CUx+IknnqBhdOqpp2KM3/yW0/bs28cY2z+1P4qivlLJMIx2 + oz44OLiwsHDaaadt23rca056bbFYLC/Mf+DsD+zcubNea/T19Unox6WXXvq9731PwdButwf6+i3L + atTqbzr1TcuVZVVTZeU0oVRVVY7gVyo0CIijSDAGXCgCEaLoRLEMEwvQiEoA6ZpmGoalSxwwJhjL + 8j3ptGQRF4CkF4ZEfwCs3XIAStkq2Y9xRDAgDACu72FVCaMonc0kiVB0zbCtvQ/uTaVSnuf19/dL + heENGzbMzs5KOxNN05aWlkqlUhRF0p12YHCwVqspuibjjeXl5aGhoZ1PPLlx40bO+cDAQOrAfl3X + X3/y6y+44AI/CIIgyDiZvon1B/btc1utvr4+SU8oFosvf/nLv/WtbwVB0AToKxQRQqZpLi4uKoqS + MBpFkR9HIyMjlmXJ5FOKYElmtZTyk/65lNK262bSaQAgHDDGQlE1TZudnc1kMpIons/nG43G9uO3 + 79u3r1gqybAKukERvHgdpN+mDxKXWslJTCnN5nKWZem63nLbioKlFsVSZaWvUFR0DSFkOSkCqO23 + FYIz+Vy1WjVsy7btxx57rNls792/nzFmZZw4jputFkJI13XE+JNPPkk53b9///SBKUKIlbJLxX4A + +OrFX/3sBZ+95557yuVyuVyenJx86KGHHnvsMckGmZ6edhxn9+7dAJDLFDwvXFhYSdsZ04SVJVDV + hNLKXMNfv/6o+fJ0OpN5yVGlg/MPBlFdVeC+++778IfOoCy85/67c31ZrCjtWntycnLbCVtnZ8pP + P703CDzHceI4HhoaisPo4fsfSBX7Tth+omR6qKoax7F05OzUOQBgDQHmuR5kAYAUggieW5j/xJ// + Ged8fn5+aGhow8T6mZkZyeyUNQ/KmWEYuqr5fiDvgFbKbrSaiBBV1wDA9/3SQL/fdo866qipqSmV + KGNjYwcOHBgeHHR9X1GUcrkchmG1Xuvv70e1mmEYYRIHcQQAlNKDBw/quh7GCQiNURTFTCEYYYMo + Ig796/71BgBgPOGccRCtViOTdVSVzM0t5HNFAEwI8SPZSOGWneKcxyFL2enQC+M4QVwBrBFFZ4A4 + gPTAVhTFNM1Wq1Uul2XP8MCBAwghyfZJpVIdKU9F+eVUR7Jufqf8kXRdXVMd6Xi3P7GKSwYAACAA + SURBVI+FmT+DaCI/NOnBIGTQzgXCgNbUrdegDDr/op5gN0APRoKEkK0qhJFAhGMiGxgdvjju/CUS + olft/u8xEADiGAvZW5CFf8RRJ4yWdfluU4hjqTD2PwnSizqk4vUvr3y9oqMMfDHGcRSjAK/Ljd33 + 4IO7dj3cbDfaYaswVHzrO9+azuYCCNrM80OXIkZURVWIEIILKnq4s+6n4U7jC1BP3b6LFO30DDuT + okNI7SVCvEsK6rRZBBarkC0s1rRNcAdyhXozEQvB17wPWZ1inRegbg9t9U2EfJYDUEACAccCM5Bf + CGEAELjbZRKy8s2l1DHih94ZuFS7Fp06CxcCYSQ4UIR59yMOYwgccj66QDV4HtR4eXMOwxBjsCwr + isMwDA1D6+8vlUqleqNar9f7+/tTqVSlUgmCwLbN4eHhhYWFer0+PDws9aCbzabjOIVCYffuSemJ + iTGuVCrVahVwR3QOISQFxHrtlOe6q0II1m2dydyTI1AJ0VUNC1AwsTQ9ShJNUTBRCEK/DLF7rlsA + UBQsFKzpegy80apfdfXVlWa9Wqu1Q7/tuRs3bjxi86bJvXvOO++8lZWV9evXJ0lipuwgCAzDsCwr + jmNgXJa2EUKWrpfLZcF4JpO5+eab7bRDKe3r66s3GxhjQKharQ4NDFQqlVKu0Gq1rrvuur6B/jiO + NcscHBzcu3dv/8BAEASFfH7Lli1uq1Uul+fLc3EcO5n0/OLC8du377jn7s0v2VKpVBRF2bx5s+Ad + cuxPfvRjJjjl3A+847Ztm56aSqVSkm1Vr9XiOP7FL35x689vo4wJjKrVuvSZlVGWpmkLCwvlcpnG + 4fj4+EMPPcQ5x4CiZizzFdEVcUUIUcF7oJ5fHrZpBUFgqpqpaFHbC4JAV1TEuOu6gnNgPJEhVhBK + J1Olu3YrEvUKAL+6E8gBKBcIYyQEFhjUVb6NqWscBFKIGwYMhJNJ64bRaLewQhYW5zHGpVKpXq8n + SSJjmHw+L0Ma27Z7VkuWZYVJLISo1+uO42CEPvWpTwHjnPO+YmlqaurM97x7bm4uotGxxx57x513 + FovFlx37smOPPXbTxo1hGDYaDfm2tuNkUo5hGEkYrVu3rlapXnThhQombquNMc7mc1EUxZyNj497 + nifryNI9TAZOGGFZlZbfGiEkd9L3AtM0j9p85Bve8IbRdSMAwEEsLy+n02nbtimnmzZtWqlUDmsZ + /XYVYl6E1VreuJMkiaLINE2JI1paXm667UceeeTgXHn/1L5UJmXahh/5ldpKo91othuVeqVarx04 + OK3pupPN1JuNUv/g2MS453kYKwgRmnC37ZdK/blcodls9/cPjo+vt7ETR9T3Q4TIXHkhnU5/5xvf + Oe/886677jrXdfP5fLVanZiYmJ2dPeqoo44++mg5eWQiq6pqrVYTgvt+K4ya5fnZK7979VL94eH1 + 3sRmL+D3HvmyuOLdvtTc4RTqg6P4H6/5yS/uvKm8MLe4srC0tCQEi5PQcRwAkEI0jDGiqbplYowd + xxmbWD88MSE1TOR9FmMs1TlfxHPMgbmBW+wvBpEfxkE66yQsDpOg5TWrjUrbb1mOWewvGJaesLjl + u7ZtS390GdmbpplOp9u+l8nn/CAI4qjWbGQL+f7BgUaraTupZrvd8VDHaPOWI6WZbNv3JEmaMYYJ + MS0rThJMiBBCNzVEQDN0omhtz08YN+1Mvem2PdcPg1qjGsXtvv4cQ2GQtPqGMqqJiS44jhUFkiQA + LDzPFULUG41ardZBx0GiaihiIYcklUpJWIKUp4vjuFarybaMVM8UXeUlecB5d4iuDWKvCFGpVKSq + ZqFQSKfTUp5OFiZ7c3Ktzptcm6Mo6i69diaTkQdTko56kg9ojT+STJNkN1z63KXTaSn/EMdxj3f4 + ol0OKBY4ArTmBxIErJvqKCAUARoIDYQJQj8UxCQBMBh1Cs+8+9MLcGT7Q+FAABASmAhMBGAJohGA + OlEb7gZb+NlLyP/1BuGEcCKZ5wJTTiKqRLESJWqUKAklCcNUICpD4Rco9/c/47AhW6cMgQAsED4s + TTJNU94WgPMkSdrttttybXDas979Nz84P7k89Xh58oE9Q+l1/fpQUItQADo2DWIgjjljQtp6IsQQ + ZrizzCHBseBYcIX3fgThQGRnFTgWXdtk4ERwIrjCOw+I4IR3YXgCc4Q4YN5h2IGcjFhgvJqMdWcj + CEAcw5psBIkeGlM2jnAXj4c7mMZuKwmxXv4Gsucp4Z0CI7GW3cgBBBYcdSh2vcBAXr2xwBHgALAH + 2APscqUFpAXYQxAjkGJ6ooOxW1sCeGHyLQCAMCDpdNNdUnVdT6VSkqlfqVSy2awsXdXr9Ww2K7Mj + z/Py+bxtO81me2VlJZ/PDw0N79y5CyE0NDQEArttf25uDgCKxaJumQlnMaNAMFIIR/AsP8+whwCw + psjNEUhYrYCOd7mp6zROQt8nCBOEFEw4pZwywdgL3DLGvDBIOKu7rZizfLEg8YxWyh4cHtp2/PFt + z5uZmdFNg2gqVpV9UweGhoZkXTIMw7V4M13XEUJhGI6Pjzebzf379xcKBak3fe211w4ODgZBYFnW + yMjI7OwspbRnhBpFEQCceeaZF1100djYmGVZpVKpVqtNT0+3m62+YilJkuHhYYzx4ODgZZddNjg4 + WFupSH1ngvDSwuLSwqJpmsvLyxjj/v7+E0888R1nnLG0vPzoY4/pljkwMHDMMcds3rx5YGiw2WxK + R2OEUF9fXzafm19csG17bm5OLtYjIyMHDx4EAEPTPc+77LLLtm7dKtfctY3BXyU6ggWEfoAYFzH1 + XQ+4SKLOki3Fu6WdEVJIzCgAWJYVJUkYx2EcB1Ekt0EU+WEoHxz2E8YREzziNOGMgRAYMRARTcIk + bgWeF4WaZdrZtGrqi5WVeruV7yvpuj46NhYnCWVMUVUBgDButlrVWm1hcTGKYwlWctJpO5WSglKU + 0nw+L/OW2dnZk046aXh4+NZbb61Wq/fee+/OnTtVRa3X61EULS4u7t2799RTTjn5hJMW5xcevP+B + B+67/6EHHnzqiSdYQr1WW8FkdnomCIKHH35429bj3vSmN+3Zs+euu+669dZbH330UamfnkqlZHgj + ukJQMnXsHFKMU6lUHMdRFGUymTAM5+fndV0/8cQTwzDctWvX/Pz8o48++s///M8YY9d1oRtdy3dY + W+z+rYwXp4PEESCCOYCqa5SztucfYRjDw8N22rn9jl8kSdI30J/KpucOlv1aqGpqwmgYBJ/8i0+V + CvkPf+ScpcWFffv3f+Zzn73gSxe1PbfjoQkQhqHrurqu9xVLp5xyCkuox7y//Mu/rFWrX/rSl970 + pjdd8uVLHtv52ObNm7dv3/7tb387nU7fcccd//D3/4AQeuKJJ17ykpdcf/31kpknQVkLcwuWrdk2 + +dz//ZRlFwq5I1/7uomlxbndu2cCz6+0tYXFuS1bNqzUFojSfGLnnVuPP+qWW396289vvf/B+8/8 + 4zM5CDdwddOIIyrvDppiSHBaq9XKZvMTG45gHGQT3zAMObUYYzJJg25k/ELiY0IIEzxXyEvz72Kx + CAAIY8M0ASHP81YqFUIIECw7RUEUIoQMyxQIECF+GLQ8N6FU1TTP9zVdRxh7rhtFEQEkgYJJksQ0 + 4ZwvLi4SQjiCfD4vKWFSjk3mJEmSqLrKUBzEgaVbqqoGXsSFEEhVNM3QFEUF2zbcsMURj5MkjPx8 + Pu82W4SoAMhxHNcLNE1vNd10OtM/UPLarqKCYeoqUXzfTxI3CFuMR2EYctSx2JMm5Z7n7d27d9Om + TSrRpOOQvF9L5Z9nPMK/G/5I8Rp/pE6m1EP3iS6j6TkPxAEhQAIQBUQBBAA9lKcgXwQIOi8EgDUx + EF/zkt7gkqXAAQFCMgWSaAAkupQR+XIZFyEsOmJ6/52SgK6PkwAuJAe9Q08XrIM/RHg13Pyf3tGL + P1b7M4f+XhaJ4yhWFAW6TvYIYwtSLORu3UvZaQ1pPBIGWBH4oRdgRBQdY0w45wll0iOU4w64VC74 + CAAD76BMkWwXdGl5iIPoaJp1KgcdoYIOCQd32kwSF9ih/kPnmcP6roc0cnvzGPfm3Nq53Z22SHAA + IvGwvScxcAyUdcG0azkzqLs7nSO5egj54dcq4lLxX6DVhAAABFDUaTc9y6w/9MwI/JxKKEIIShPD + MARwP/BMUy8Wi5qmScR1OpMqFosrKyvNZlPKnC4uLgRB4DhOLper1WqNRkPqbi8tLTWbzY0bN6bT + 6akDMzIkUFW1UqnIImYP2PNrqj6iVWgiAACRRqMYyXs6R8AwECGCKBJCGJZJKQWMFEVRNDVhtBsK + wAvZCsQ5B0XXY0YFcISViCbNditMYgPsYGmp1WqNjIwIIWYOzm7ZsmVpaam8MK9pWjqddl1XKokT + hDu2tggdeeSR+/btS6fTsqDcXlnetGlTX7ZvZWWFENJoNKQAen9/Pw3jMAxHR0eDKGy1Wq1Wa2Fh + IYoiPwgymYyg7FWvetWBPXunp6fXr18vvURN28qlc8PDw3v27BkZGZmZmfFdb2RkpN1sJVHsOI6q + qrPT01uPe1kYhkceeeTi4mK5XM5ms1knfe8992zfvn37K17x5K6diedlMpldu3aNjU8MDg7u3r37 + 5S9/uaJoDz/8sKmrjp1CAjRNC8Nwdnb2uOOOe/ypJzuLe+eSAlnCfsbTqqsk4xRoFIee31copLOZ + mNF6s1XM5TEiSCGC0bbrPr1nj6qqhBCMDwmh17ZNnuFiRpxjxCRyveveLrnQAiNVVSu1qqKpfQMD + SyvLtm1rhr5UWU5nMrLEn8vl4jiO49hxHEKIruuSx+W6rjwvQRCkMmkJ9aeUIkX5wQ9+4LXap556 + 6n333ZfNZu+6e4dhGF/4q79ZXFwcGBjwPa/dbJ5zzjl/e8GFmUzmwfsfqFarqq694Q1v2LZtW6lQ + lGSZdrN51x137t/19Be/+MWbbrqp3mzouh4xevLrTmq3261WC2NsO1avRydEtzHOeZIkmBBJK5B4 + vNnZ2SuvvPLhBx/6+Mc//qOf/Pjxxx/XNK1eryugLC8vW7bd86iALhDgebEPXpzxghKktXfbnjy/ + EMIwjMF1w046/cY3vlFgYdjG9hNPCOKo3WgihZiaDgRjAalMOnC9lucWS32PPfnkOR/5SCrtDA4O + TpcPNptNxpicqAihgb7+MAxH141cccUV64aHJycnFUXJZrMX/93FmzYdWSgVl5aWin2l3bt3T+55 + +qPnfWz79u3HvXzb+z/4gT179gAAE7zWqGOFOBnHtPB73vfWmMaFUp+i5CsV3zKKUbIVGO3r61tY + WDANA4PgzGu782Pjg7Vq621/9LYPn/vh7SeeoKpkcXFxaGgoDDsNVi6E74UCkUqtQfksQkgAXqlV + EUKIYM6YvNEQhbAkxgghtCpI/bwPOweIkiSIQsAIK0QhiixpqIaeMw3ZphAIGOecsoyVkXeHSq2K + FUXVtVQqhQmZnp7OFfJuq53P50t9fWEYxlG0VFlxLFtiAqWVnmma1UbdMAz5GhZFkh1oWRYTHGMR + JHUqQiYoAUPVgCDF85sgsApoeXElnXE4w74fmUZaCJJQhQtFV60wDONEMCY4AyGE57kIwDBJFLdW + qg0JjHbSFtGTIPCSJMFqp1Ej70phGPqhV6vVdNWQBnZyBkJ3RvWQcr2j/bvhj9Ts+SPF8WrCDN1K + yXPPnDEIBYCAYBKQgzoQmo7SLyAAFAOKQSpHIcQluubQmKxTnO55rHRRegAgpIYtsK6eQXcPBUDX + EqRDyhaYCfqiYXb/kwyGgQFwzBmmHCcCU4GYrCNzgTEnXCgdKryQMfZ/pwTyP2BIVeseKHTNM5Kv + YjtWTCMAbtum34zqtB6JaGTDSNOrxyLmAh9cmnb6M6MTY/Ww1gwbEYqJQTRD5ZzHNAGM4Bki+k6P + VaqZcQCBuFQgQF2snaQDwWqq0Us5uuQg2clZS/oXACDVC3DXy2iV7NSJyBFI/JZsWHHRUXEAsbb2 + 0VubBQje1WjhAKv3F/nn8nE3wer94WHKclJzAnGJCxIYJP9cCMQJlp2u1T/Ba4VPXvgQQmCCMEFC + YF3XHcfRdZ3SOAiCXC6Xcqxms9lsthwnLYP+paWV8fFxVVVrtYbnedlsNpvN1euNSqWyfv16gtWV + 5arv+5xzye5wXVcucz0t5uek/XhICUpGchgBRnL9jTHoGt69d1KyT6Gbtz8LxOu5HRwERNdARc12 + S2CEVCVKQs3UFFMVGBFFsR2r0aqPjY01W/W5xTlVVW3VlkRxGcAkSRIzahiGYHx0dPRrX/taKV1K + II7j2NZMAShiUSSiYrFYqVTCOHYc5yN//udve+vbVCBhHJqaSYEhQAS0q//1asZYJpNJkmRkZOSb + l39dAzURMeJCIwYFhgB7PKiuVEZHR+fn5/v7+995xjv+5EMfUUDBgEOIMJCIRwY2/+mGf9q9e7c0 + ETrmmGPuuWtH6Lo7duw4Yfv2Wq2m6trQ4Lp0JnPgwFSxWHz5Ccfff//9P77hJ9t+bxuFUAeNARVC + 6EhFQL5+9Td66BLGOQBQzp7l+IdhyGkVOG/WG6HnVhvVdNZRNdJ2XYSQypQwDGcXDs6vLARRSAih + MYNf+2oRABQJJmgHz8+4rJt3jIA1VdZSNNMwLFMIoWiqYZp+FJopmxCScOaFgRDCTNmSj932Pcuy + iKYCwYqiGNySWhRRFGWzWRBidnb2H/7hHxBCSZLUarWjjz66Wq2Ov2R8fHy8XC4XCwXP85YXlz77 + V5/Zt29f5AcDw0NhHD311FO1Rr2yvKxoGqV0fGzsiccen9WnvvOd76RSKS/wJbrvnnvuWVxcFEKE + YSjjCxk1KaTjxivhOQghxjnGeHl5WcZd1Wr11ltv3bFjRzqbga6D88l/cPLAwEDbdRkI3k2xkNQ4 + QkgSEf/jx4sWz2iapptGy3UVTU2ieNeuXVd9/+qsk65Uq8ViUXB+sFy2TDPlOEtLS2EU2ZYVHYgz + 6TRlzHNdy7bbqvLg97732FNPer5HVMXzvHQ2I+lolUrlmmuuUYmiIowxVlW1WqvdfPPNABBFCUIo + k8ksLy8DwPDw8KOPPnrXXXdls9mFhYWJiYnFxcVMJpPL5RYWFpyU9YlPnN8/UCSK8IKIC43GqK9/ + pNVqCUhqtcrQwLCmac26q2Be6kv/4F+eGB05ggMOw/Afr70qnU5PzRzYu3fPccdtBQAQOE5izmk2 + m+UcyuWyZuhhTHVdl+r4svNommbPP2ctsPL55UjyPpskiWFZtm23221D02WUL1XXMMZE7fBZNU1z + fU8wDhjpplkqleaWFxcXF4ulUiaXVRRFlt+y2axMIRzHIYBarVahUJDBvcQzKIpSq1YpY47jKIoi + O91JGGBCiOCGQpCg7Xbd0GzLMX2X2rbDGe/v70cY4jhuuU0tEbqZicIIgWroVhjEvhcSohiGQRQU + +oGqEkXFcRjmcmknbTcaDQFUVYmmq7ZtJzyRjRqZlkhI7v79+yfG1vf39ydJIplgMp0+LAWVv5Ei + cr9tf6So54/UrbWsXgPPj4MEgiCBBCDcg7hAxwupW+OGQ82LOUc9GA9CAnAnGuOAGJK6XSD3A0um + t0BwaLupR1gCgYBh0fU2keiaFwqq+U80xKryGCAAwhEIjAGQIKgDZCIACgjCAUvXFNFp8f3PeHFG + F/UkaV+rTRpdVYHLwAh5YcAYU4m20qrsmZ90ldb2U06YW5zP5NJ+4s0155g3EysxNhGxiEG0BGhM + IyQAq5iD6PSLVkcnhxAIOvJxCBgCjnCPpIQQdOFqHXuiTnojEECXuSf5PxxDj8YnkBS+l/r6XR28 + Z/3+PdIgWvvfDj0OZCMYuNTLFN1SBhIIy/Zw79h1mIpwaPNqTedToA4EjyMQgDDuKizKA84Pa4HJ + NxEIo+4xeT73BcRBIF3XwzASgvX19TmO4/uuZD6MjY25Xmt+fr5YLPb19dXrtaWlpcHBQRmc1Wo1 + 27ZHRkZmZmaXlpbWr1/vOM7yUmVpacm2bRmuIURGR0clmxzW3IFlPP3c9hQhIRNH6R+HgGEQILwo + /PHN/0aTRAAQjBHGSRwrqiqlJ1BPDef5bkMWqbqWcJYvFNKFXJTEoBAqeBwlEtu2vLw8MzOjaloS + x7Ztt1otwzCEEJTSjqYRUMYYcDEzM3PWWWd9/etfv+1nt1588cVxEPYNDmQymetuuF6CzK1Uamlp + 6Zprronj+NWveOXll19+yy23AEaqqr7v/WdlMhnTNKVIbL1ef8e73nH51y675aabL7rgwkKh4IUB + E/yRhx4dHBzcuXuXhEreeOONlqK9/S1vPf/PPjFzcDaO42a79fYzTj/xxBNzuRznnDGxsLCwZcuW + fXv2IITS6fTIyEgYR/V6vd1uj4+Px3H8xBNPDAwMfP7zn//D1//BWf/P+/7Xm/8giWJN02anpq/5 + wbUyKOqULIVQFAWJDnbrGc+jmbL9tjvQ159KpeIw2nr8y790yVfGxsaSMAIADEiC7SmlURKbpsmp + OOzdnqUCLu8YMltQFIUzJjnqtm07jvPhD394pVLJFvJTM9P5YqFSqVRqtcHhwSCOhBBRFIVhmE6n + kySp1+uy/zkzMyMdhyWGDboauSCg2WymbNuyrL6R0R/+8IcYUF9f38LSou/7UpU3k8nI8r3stbqu + u25wKAzDhYUFIcTBubJ0MbFte/fOXSPD6wxV++lPfyqEYBgkM+3qq69eqVSGR0cwxmEcSIyPEB1s + iaz5SupaHMf5fB4SFgSBEJRzPjE2vn///k1HbtZ1vV6vT01NCYxWKpWhoaGEs7Vla/HieVX/OsZW + h40XLUGSNfWxkVF5YyrPz+1+etLUjdHREcdxqisr1XqdJQlRVdQt8jMhveUEFtDdclAwIAwCDMOK + IqkrrzMmVEVHAIID44Ky2LJSjAmOAKsKALQ817AtAKi3mgCgW2YQR9lCvt5q6pYZJnGYxHbaAQFT + M3NTM3OAKAAWQgehPLVzH0AHnjQ9tR8AEFcAcUAJAEyXFxWiyV5BKpXyQrfYV2p7rqJoMmQXgsQx + BcC6Yck2hRBC2uzIeF2a3vQqFi+wVygdfmgcq4Q06w1VVWUClkk5grKIJoQQ27Tq9bq03Gl4TQxI + VbVUOl0ulzXbTKVSrutKbzJD06VOXRzHw0NDURS16g0MSILKZB/cNE03DDVNC5pNwzA8z5PTIJ1y + qo0VzSKGrgetUNdNgonEmBUKuZmpaU3PVqu1bDY70D88N7eAsCjkB2am9qfTgnORSlkE4yj04zhE + giEBCiKZdHpxcZEmCUIoiikhKk0YpRQRBNARn5EQat/3S6WSBE5s2LAhlUpNTU3FcSzrgj3nbNM0 + fzf9kXK5bL1elzsWhpHcMakz8ctAO4QQwjjhCVGISATnXFEURAgIrKgGwarvNjBWBcWdJgUDgoBg + JeKcJVhwDWPCKAUkTFunlLKEIY4xYAQIgAFncRKmHC3wAtO24zihTMgJSHQtoSwIAhCCqEgkAuMu + rEgBUBAHhhTc8ppY0/NOvt6c1xWlJ0mCukT53y7V8jc0OBJYEYwxoMzUTK/aSGlW1kw3K81cKp8k + TDV0LwxCkZi2HmPGMENEYWL1FPcAlv8lj89/yEBwiBi6jMilNgOYpun7vmVZ0pxreP2gH3tbT30p + AGyGjdK0h2EqMFYIZphFOO7gRHHHYAx3wWlY6lN1cWkIIYEgipnppBrtFtGwoiiAIQgD2zDDKEIg + LN1klAZRhLgwNJNSqmBCCGFUQMIwRgpWBBOmbrCYMcZ8P0ynU6pBmu0m0RWOGUMci0NzlV+xenAh + OAiVEAIophEA2KlUjbdUDBhjLkAAAyE0VU2SCAMiGBma6nke5VzVDYIRJgrnECUhdItK3bdmAEAw + sUy1XC5bpkppHMfUNFVdhTCIFE1LKLVsO0CBBDkzxvihO4qQOOQ9f/ksIqRpWuRGcRxbjqVpahQF + jHM7lXJdN0nY8PBgqVScm5trNBrDw8P9AyXGkn379q3xO4qkTh2ldHFxMZ/PZzLZgwfLEn2XstPz + cwsSKA6APS/AWDEMQ5a9DvMnfD6FKgDOuR9FMU2KfaUgCErptFxQhBDARU8GWgpAy8eHyUA/j61D + 4OjfO/qUU0+97IrLsUJqlXoun0cIVE1RNaXeqKmajPGEqqte4KmqKpfRnjUFlhwvSnVdX1xc/MAH + PvCNK77+d3/3d3YuJ1dSAsT3/Xw+74ehLI9+7nOf++6V315aWkqlUqquNZvNubk56fiXzWZbrVYm + 5Rw4cOBPP37+ly7825t+emO5XNZ1XdFUN3SDIBjo62+32wdnZov5/Dcuv2KhPHfaaad996rv7d69 + u2+g/+mnn5bEIc65putLK8uWYZ7yltM0olQbddf3oiTRNMNOO1JgSdM0Qsjc3Nxtt912yhv/UNf1 + Rq0eB+HgwECr3pDiVdCN1ymlRFMlAugZziACxhLV0mvthixzvPM97wLo0vm6k3DN8oyekyop76jb + i0N7y91nAQSGSqPqZJxqo4YUnMll3MDvfBJCMpgBAMMwJNauWCzKiGstNcAwjKl9+8fHxxmlxx57 + 7N9/9RIn5dy9Y8c//uM/lufnXv/613/6s58544wz6o2GdFk799xzTz3lTZlM5p+u/v5FF3+5VCp9 + 4QtfKJSK733veyFJpPVlLpf7lx/8s6xN/+1Xvnz77bcPj4x87E8+esOPfnTXPXfXajXbsdZak/fQ + NAAgDUIbjYZjWJZlLZbnzjrrrD9+7/vGRsd+9vNbL7roonw+/7rXve5jHz/vxFe+UnrTQTdOFl1m + +H9KiN3awRhrNptxf6ybpgR8U0o1TVupVhRNleCuKIriKBSME1XpasZjwEhIcrwABStMMAmxRV1o + Q2dxQp1t51fdqu1zGggQIAW6BuQIFIF7PR2xCnjCAgAhIAIBUTEQpKoGp3HTRhPq/gAAIABJREFU + bbRarf7+PqmrJgQyTN33fUJU2RNQVZWK33AFnQGnFAT2XS+XK+gK0XVTxaRSqSkKtnQrSSK/7QdB + YKiG3/ZLhWIQBL7vB57nOI7re/JSU4miKAqNkzAMs9lsHMeVSoVGsTQCk7J7i/MLIyMjCwsLhXxe + Umsa9TrCmGAsdYHCINbMVBLyJAbVVMMgyedyQcubmZnxAy+VmOPj4zuf2pXP9w0Njq4sN+IIjY5s + bLebqmJyCo1Wrb9UbNarw4NDtVrFdz3HyaSdXF/fgOcGURQlMSBEhBDScqfnQCof+35HwXxmZqZY + LI6Pj9dqtXK5LM25fyf9kcRh/kgYYyltIuW/s7m07GWtvYbku3GWcNyByPfgy0BjIbyAUhCxYaTa + TV8IUHBHWEvXcalUyuZKUcK9wCeKxhHzfRcTINjAiAgGwDjCoGCiWRrwxEnri4vlXLZgp9MLC8vF + /nV1PzRMizjgNVxGGQOKOEl4AhqAAREPm1FLdexiIe9GSTtsplIpAtBLDuXdTaJKfrPz4rc0GKKY + AFDkECdj2mOZMa8a3HPjwxoyCSFIJyecfEL/uv7Z9lQcNrEugiQEfEgA+tvFWP+XGLKYfnj3stfg + wMAZYCSAEkYNF3XSKSx9igQCjhgjVCAhEO+i2jqSD6QDjFxtw4ruVoqVUcpsOyUQxDTBAitIpVQQ + omGMEiEYANZ0IUTEGSaYc8GTRAFNYsYQBUyJ1/IEQ8MDg1Slbb/tuz7CgFUkCdkdxB3As3PYEEKc + M454s9VSdAUoeHEAGDDGYRhaTppzrmlKdWW5WMx7bksz1MWlhVwmbZi6H4YIa1GUEEVTFE0Iafoo + AOT8lZ0czhjrLzph5NcqoaYA8CTyq/lczg8pJ6jdbhOnU5t3HKeNfQkol/02IeR9DH5V6sEYC+LA + Mixd173QozQxTZ0yqFarQojh4eFUKlUulymlskcURdH+/fuPOOKIQqEwOTkpS9QSHL60tDQ+Pq7r + +vT0jJB+R7Zdq9UAQFJDERIyTwjDUFP0ztXyQuw3BMSMGrqGEJqamf7QR87JZDIKwlIEiCMgUtyy + S1F78bacMepk0pxzgaDte9lcLlvIV6vVKI7kjbeX+3Wq+78iZjJN0/M8x7SWl5fPOOMMySNyG34Q + BMduPbZQLLiumzDWbrcNTctkMh/96EeHhoYajUZMk8HBwampqWuvvXZ0fCzhLJvNmopGMTlw4MA7 + 3vEOwzAmJyePeemxB+fKZ555pu/7k5OTmzZtchynWa+bRP3RDTfceeedM+WDGzdunJ6dKfQVP/nJ + Tw4ODUk/GN/34zDinJua7vmeXFYkQIZzZlmWlG4yFWNqaup973tf6AcjQ8OtVqtRr3/lK19ZrK9Q + wTOZjKxyyj+UirK/6mwKAEDAECABrEMpXNXxP7SjK7DMfH/dC4Vz2T6WYNo1V5xMd1eNwn7ddzx8 + KIqysLCwdevWcrkcheGFF1745S9/eefOnX97wYVnn332Y088ftJJJ11yySVS8ZhRevIfvvE973nP + xV/68q233nrt96+ZPjj77ve+58CBAx/4wAeEEMVisV6v207qSxd/eXJy8pJLLnnFq1551llnTUxM + nPrWt/zzD3+wc+dOScc4bPr0FjgZTTHGUqlU7IeU0g0bNpx++uk33njj7bff/pVLvvqpT32qUCgM + DAxceeWVfaVSEIYdOZwX7Br6y+Mw3uCvM55zgiQvkbX7Kx9bKdtM2UPrhtdv3LCytKxqWsmybNtG + CPKFQrvVQCrKZJ1ecxn42goKAowQxwJz1gH5dOkUPTw3rKK6VyE9z3UIgpkpq4yiY+0lxYI4IArA + O+KnXYaGQJBALATHmMgvPch5IZuzbTsIAkqpwrUoShTVQJh05JB/w3EOIUTBKqfc0i1B+Wx5/v9j + 783jLLuretG1fsMezzzV0FXVUzohpDsJMQQhmCeGKAnwLiiRQRQcwABCUPR5eQQFeV6HK5/73kMf + F/HKvc48vGjQXCYFSQKBJGToTJ1Oeqyqrqpz6oz77Pk33D9+p6qrO51AJ80lKOuP6lPVp/bZtff+ + DWut7wCAP/ADl7UarXZ7bZxEvu8VC0UAcG13NBqtrq7Oz88Ph0OS0XqzGYRjx3GQECMz4DhOFEVR + GJZKJdeywbKjKEqiyPf9SqkMSvW7PYpkcXEREX3f91w3k8J1PdtzgyBoNaa55aRCaiv1vWI3XNeK + KwW+Z+19zmUPPfxAPA7n57e7TrndGeQZkQlbXV8rlXzPKXq+ZVEHpCr5VSV0lkjb8rSgMgWZ2seO + HK9VWwzLYFw4zPb61DsupXRtz/gOcc7n5uaq1epoNDK9oGe9P1JgCloAYMTBNynCZ+zRU0oNecEc + P8uyLBQyEJQppfK5mfrei6aG23Bt5USeZYN+1OuCxTOVj5KQUtst+BbhVq7ycRZpIAQVaIJIEAFQ + AiqCqtfvzC/M7ti5beeOPb1B8PDDhwnvJhK1xizL8izh1OKMU5ugS0ECSECH1euNdtZHRgiQYDzO + GVgb4GPYoGb9a+0gAag0Dm3uqEhlceaM3Lnyrvawf/gLq8kwsxw+lMFl511RnisPl/u6KKq1ahLE + sPGcbD5a/0ovzv+6OAXetVFLNf9oJAoAUBEFGVGS5mbmNxhInOyHlEKlTxEpAZygJSdpkd6ycVEb + VFLGeJ6Kol9WoPJEIiEO80QqCCeEYJYlQBAZQ1BZmtrcEpkGITlDSqjONJFYZMXmXPPEsRNRO8rz + XCpZazYEzQM5UEhQawCijZTwJEGSZ9yOIdHhOKrXmijQ8m3wgToMEAijAEQIcezYkXq1kmXRxfte + FIdDRuHxQyJPhRSRlBoUKM04YUppDUZ6RKIGBIWoEVWehQiyWvYGw5QzmK6CX+DNZmnfvsv+7jNf + HIxkpgmXHlINQKTcELU4aVENp0/fTwgzAXLOSTbxOwLU3KLNZnPidzTqz8zMlMvF5eXlNE2f4HdU + 3fA72i6l6nZ7uMXvaHFx0fd9zm2lwNgzUMoN4fSstl9bH5GtT53pmQ+Hww984AONeiuJ4ixNLdtO + 8hgIYYQoAMNep6abpvU5kvmmppy3tt5ptVrBeNxut5MkMURZrbVWWmmFxkqCkCf7W9M0LZVKyTic + mpp600//zJ//+Z8//MCD511wfrvdfvWPv/oL//TFfr9fLJd37NjRXl2dn59/xcuuu/fee4MgyESu + tT5y5MgVV1xx7/33MdtijPWD9UqhuPe5F523c9dXb7/9yiuvPHL8GOf8yiuv/MQnPnHhhReGYXjk + yJHzdu2Kh+OfvP76peXlTq/b6XSMDsGrX/3qz3/hC0IIy2aMMaFyKeWI0iRJhBC44cChNWiClmXF + cWz7dr1ev+qHrlxvd+684+udTmeq1arVan6tdHTxuBHC9nw/z/Mkz4x1+1PExnp/+h2XmwzcjRd4 + OmfvW4TZH5q962kD+Yl796exxU3TtF6vHzlyxPM8JeVsffaee+5ZWVn51Kc+ddP7bhJKvuMd7xjH + URiGUsodO3YUCoXP/OM//N3Nfz8ej79+152/9b7f+q3/+KGvfOUrhJB6s/nggw+2Wi2/VCoWi//p + ox+75557uoP+L771huZrmm/6+Z87fOQQErLe701NTeUy27pTmlwcRCml63lRGG7uBAqFwr4L9r38 + 2uump6c/+9nPvvUX3nr7125/6UtfWq5VjdgVbhEThqdPzz5DfDd9kMbj8cGDB192zY9++MMfbjWa + SZIM+33btkulomN53f5amMQWZW7B10LmShJNFAIqrRBQgdQaFSoERK1Rb/ptw7lOkEC5AIxooYgi + WisiNoVTN96DRBOFxKgMERsBNOccpAIArVQwGMZxbHg4RrtQyIkIdRzHjJ0D8uWTBQKA1Byox923 + /+ovzkzNTk+3hr3h7Ox0u71OCEipx/FYa6QUy+VqHIeFQuHEiRPFYpHbVnu984277vqrv/lry3WU + lHGe25ble14cx5zQfjiqVaq+7fiumyWpxdjRo0dt2969e3ea53meCyUHvb7re3mey0COh6PZuVp7 + fYjItQYt0GJWGsXlYuHfvfIVc/PTN954Y68baGWlMbOd2uz0ziNHF6vVajDqR/Fofq4xDnrLy4cu + fd5Fx48erNfrlmVxbo/GSZ6p3/2dP3DdgtgQ+5nsI+HkmEEyMR0yLeDBYAAApVLp2e2P1N/qj9Tp + dAxZ1mRTm1KHW/fNxlHWvFBboL2bkaeZZWOx4M7NtnYs1GDf+dVSGTVGY+kXavsfeOyeex8cx6GQ + WiKxfNtxLKGkygUqQpFSSgCkEkKJ5JqXvmRh+/QwGDHqJElaq3nBsJ9pWiyWiYUAIGTGXDeSSbA8 + hhZAGRbXltksyyBhyiraFde2LCASRC5yo9eHiIbi9RQVu+/psG3uMq6YdsDmivlQcnUed6WtPYvZ + mEYFVrbBQU1QyzgLKSXGQXLrQb7fPnrasVFNR3Um+60NUg0BjZKAJDpnuUJF9MTR62T1F5X5+eS7 + ye8TNHZFG9nR5qKDiCJXvu2Mo1CRjBHmJGhZtk3tRKRa6SzLKVK0CCitETUQJEyj0AhACSottXTQ + aTg1GOm//vhfBsMxpTRV6eve9LpLf+CSx/uhUqBRSSSgyUQekuApKDtztig0AmUMmRjHYdiNl1dX + AKE76gEFKTRjlpRSCB1FY9/jtqV9t6BV9uY3vW59vXvPvQ8sL3dzxblVGQZjOSkMaSSmfKK0VigV + p8y1rUsv2bdn54LFQemsvbISpaljU04QQBtqJVVoJKQVU2YWmyiuIU7q9092HwlhFjcu557nZVka + x7Hr2VNTU8YB5gl+R/5T+h09cprfkTk9Y+hkIEAG1Kelwi2iPvB0qxWIKLRqTU9F4zA3EkoAQFBT + QggBSolZtvREBUhr/cwJSACQK6m1Hg3GrVbLcd0kTSVo01cxK9HWifcpskHGWBAEf/rHH9+zZ8/a + yuoLXvCC//LHHz987Ogf/MEf7Ni183987rNTU1OZEEtLS6+47roPfehDcRC+8Y1vXF1dffPP/ey1 + 1177rl/55Z/4iZ/gnFdrtSSMylNTP/+mN//CT/98f9j9jZve/5Ov/cnn7Dn/pt/8jamZ6U984hNp + mqZpumfPnoLnfePWrx47ejQX4j+0mq95zWt27tn9p3/0X97yy7/o+36e5xqk1lrk+aRwiaAA+AaC + y7Yds+fmnF9yySUf/U8fPdE5joiryyduuOGGt73tbT/6Yz/27l9/D+fc8IRN74g7thFne9Jbeeq3 + T8xbnslkPUmN9Omvt+5p9dPb3AIAgHHZiePYmLcGWdDpdAw878CjB9797ndLKXMlKaUzs7NLy8t7 + L9pnMIrz8/PlauU9N/3qrbfeurK2Oj07OwxG27dvp5T2h0MzaxUrZc55kicvetGLuOv4vn98cXHf + pZccP36cWfSMj5YZ+KakWykWjYGsBLl9+3bzv1/7+tfe9a53eZ5XLBaPHj1aKpfPeJCneTmecZw7 + o1itP/f5z3/zm99cXl72HbdYLILSWmvGaa1RDYajYTDK04RypqXSCJxaxgRdAxAgk9cbJNGtfcbN + 1IjAqQmS+eCzsqXUFLSlARGkJgIh1yg2bCLI5A1AUBMNaM4nyiMpc0JInmZEk0qlYluWlHLQ7RcK + Bc9yR6OR47mMMaMoovL8XF3SM50/gkQltMXsH/nhq99xwzuCYIia7N69c3l5JU1jAGJZzLKcNE/a + 7XXPcxB1oVyq1WpHjh2dnp15+Stf6Xne+vp6q9VKRZ5GMSK6lq2ERA1XX331K6+9jhBicwsI/uqv + /mqn05luTf3oy35sx44dBw4e/Owttzx26JDNrV6vVy6WsjghiI7tCJFlaey71mjY9V3rBVdc/tBD + D/3Xf/kL1AXPmxoPCciy7x6OE4jjtNGsyjz43OCuWo35vn54/99rHSKqKB69/e1vv+6lV62tr0fx + WAgZh2OcNGtP4pHMC4M0M5A2Aw/odrsAUCgU6vX6eDzu9/tP9EfaWJ5O7lC11uvr6/V63fO8er0+ + Go3CMDQcStiyZG7W+zf7QoY02Wg0CoXCJqbOsM4opZtlj01/JENLTdO03+8DQKVSMiqraZpuuqo9 + xUQghBAgJEpLM5MT2kUESYpeUeRpezn43C23tk+sRhE0a1Aueq5XatS3La92R4OwWm8x2xlFcZJH + xAJD2laoTCpPNGhUlOIo6P3jLd8Yh6Fte0GQMc6nppqOXxtHcRqHtmsRwDgJrbKz59Lz3/xrb7Z2 + 8OpzK4+NHi+XKkE2XuutIADR2siomGXJXH+TMX5HRsR3OzZuMVoWZQU2hP560sGS9BxbE3Sk0xmv + eQPilV1ZgDCO0KJqC2jz+/i6Zx6bq8MWHbaTMam4Ew2gJFFGYnsSmkxMk1FtqGuQjWNOjkf0ySOe + DmrIpeu6UqY4zCpFn6VZkXksIpmAjIgEtLKZRBynkWZACFGgFQLlDBFzKVAqi1GPuJDD4/c+bltO + s9VYW15TY1mGsqW50kJqo7di3IeNWTNunMDJswJQcRZ5hWI0jnfu3rHvhr17Zy7+m4/81fpqO8uF + VujY9nMv2KNUkmajO79xq+tSTtVoeKLT6XXWgySh4xg8DzRyAFCoEJGCqUwhaNSacGLJTHZXhiQ5 + trR4eP/9j8URNKagNt2oVItO0R+nMmdK+lLJCQUXNsGBqJ7cPHMSZpI30wVV1CC6CwXf9/12e204 + HFYq5Wq10u12+/1+tVo1krMbfkf+YDDodDrNZrPZbD700EOU0q1+R47j1OvNKIrMzpgQpvXG0gBI + NoQ0JnIaT3meT7ZzNdkIcu0XC1GUMNvSWgMlOtcStNYKEZVWWmvYkHg+J0EAHNeN4jhOkv5goJTy + fZ9SGo9DkwpyMsE9Cq2EEISc+UYIIRqNxr59+174whcGw9FNN930wQ9+MMmzz3zmM7/w1reMo7BQ + KCRpumvXrquuuuq+++674Rfeunv37k9/+tO33HILY+yXfumXxuNxsVhcWloq+QVG6Kte9aqrr3lJ + d339Pe95z8c+9rFypfLX//8nr3/tT1arVZtzaVkyz5//A5c/sH//DTfcwDj/53/58vve977dF+y5 + 8d/fuH///jzPtdaEEgAgjJq1m1DKGENKcimAoBG5FllWKBSuvPLKP/yTP/yzT/zp9u3bP/6fP/bP + //RPINU7bnzX4WOHB4PBRHg2TaWUyJ5URfCJUiKnZUfPfJreunfdmiY9RZwVKClNU9/3jezWzMyM + Z3m33HILIs7Upkfx6HOf+1yWZeVa9brrrjt+/Lghwlx+xRV/9hd/7jjObGv2woue+6v/x68xy3r0 + sYM//eY3TU1NLS0t9bu9Vqv1zhvf9e53v3tubi7J0s9//vOKYKNZ/6GrrlpaWjIX87RtlQlDPSgW + i0EQRFGkta5Wqwj4yU9+0rIsoSTn/O67715fX0dG3/ve996/f//mEXALjfm7tUSeswSpUCgEQRCG + YbVaVbnodrsE0Hasml83jPlMGEg2ACWM0jwXAACaIKI0xFc0I1nCqQkS1aA2vj7DBEmDBBAGlQ5K + A2YnTfQ03ehdK3Nw0+Mqlgt5nvuuJ6UMgyhN0yxNccMMNAhDI2kCAEYc5plfyacIAsAo1UIPuz0i + 8d5v3vMb77vpuuuuW1lZ+Y+///v99W6aJIxzM/4b5erv/P7vLSzMvfd9//6tb33r8eWlWz77Pyql + UhxGhnRENpqeSTQxjth30UVFv3DzzTenafq1r31taWlpx66dv/M7v3PnnXd+5cv/0mg1f/u3f/vl + L395rVYzcquZyDyXuR6LoyRPQ9/1GZFpMtZafvELX5qZ2vWb7/+9P/+vtxxc62+fv+zmT3/F5pWZ + mfm7b3tg+4661jwZijf/7L/73Bf/5m1v+zkg8U3v/7UTS8fh8su0SilmWiluAaFAiKFYg8aTrRWt + dZZlBCazv/G5i6LI+CMZsctnnz+StcUfSVQqFdd119bWtFZ5nud5XraLsJmPwQQKqGCSmBnuxGZ/ + ydQg4zgmSoKWFnM8F9IIRn0Y9iLOolE/cvxao1oLwjAZjizbpQyjeEw5Y5RTpCBBaYFaEkIIyOXj + x9I09lweJ7Hv+ZT6QZiNg6Flu9qxe71cIQKDTGSHl45qB+2Se6y9GMC4VEQp80LR97iHoKSSZnkz + 4wIRTab0HR0a360QmVY6RwE91eeaPdS9L9DRc67e4VtlKWUsa+uqHRxft+pocyaFIszSG44rWxPv + 76Psnl5spDQKNQKQ02ByE0U2k9joSUZEFaBiRBOqJmMKkBig3WmH3bq2nPr4ohKaacoFFMEmAmbs + 2uFBl2eZSiVIUamXtOdHmI2zFHOtCTGbPCCIGhVIrRQFIIpgrIq8MlOeTdPU1V6z2Cq7ZQqUKEo0 + J6CkVkbaGzTRWgPZpFrh1syNEIoEwzhcSzvDfiCJ6gdDYAApIYRnqbAdVEr4rhVFHaVBCxiPR9yi + jNuW4iXuKkWURs65QlBKSa1BA2pNERFR5nmchktHji0fzYN+J4+hYINFIImjWCSZVIpaeS4pUs4Y + nIQKT+D4GqTWoJR6MiKVUioXueu4ABBGoePY9XrDdujE76hUeoLf0epZ+R11u13TyoaNvZcZcUR/ + C++abzOMl0MWJ2majsdj44nJLM4Y2+wYbyIRzuFgNxxqkyUmSWKqe5s1l63Mz6f+UCO/RAgpl8uO + ZR85cqTb7b73pvfdcccdcwvzcZoYCe9HHnkEABYXFymlR44ciaLoH/7hHz784Q8jZ0EQ1Br1nTt3 + JmGURHGz2DR9jPF4XKvU3vDTP/XY4UPValVqdfjw4ampKUrp5Zdf/vDDD1uUDUejJIyazearXvWq + NE1K1YpB6NgON4pHUhppG0Up1RtCGqYraF4vLCwcPHjQiLA1SvVP/MUn/uj//cjiyonzLjzfcRzz + 5xcKBSFEJoXneUbz7YlBN4FEAHAqeM6UVrY28U5e27Np+j11bLaPzMxEz5K6axShWvXGeDw+dOjQ + NddeY1E2NTU17A/27dv3wEMPKqWOLS0aid1CoXDo0KH3v//9IssMCmYcRfV6nTA6jiPLsg4eerxQ + KDRazTf/3M8lUVQplQ8/fqjebABAnGejYGi2Yb7vK5BnXOLjOB4FwcL8fKVSQaEQ8cEHH3zFq17h + OW6SJD/6sh/7whe+YESnvnr7bfue97ytaJ1zPlieRpxLiN0GxowRDZ7neY6b5akQIkmSIBiGYWBE + Xcym3LIcrfXEZnFyYbf4D2xJkEwCbb5uTZA2XpzFxgsBFErEDV4dsg3GEQJYm4gFwBxRAAhEGI0G + UZokScIpU0obXXdKqeVwROSZ2QKaQrIWMrUo/Y7eTy00I7zgFope0eHOrh27p+tTi8eWjhw6ioRM + NaezPDfya2sr7elmq+yVsyzbvXu3kfvr9XqWZWmlwzB0HMfmVqFQSKLItm2j633zzTf/3d/+93q9 + 3m63FxYWQOmC5998881f+tKXfuZnfmZ+2xznPAxD27aH/b5X9KOol+ZdAoBUC6kqNReUJoSApB94 + /+/Otkrbpi45/uixu25fceACj02tHlX1wotsYMPg8L/c+eWfel1Zpd626d2NKbrnvF2MCgqp1EPL + TRBJsaQBBCEEELTe6rZxsrezmYoYbYkwDM3f+D3hj+S6brlcjpPQCIVvEco8fV6glCqtFFGbDes0 + zFUgOJEUxex0ee/euWBA22vLWZQP+tDvQbc7ssLIcatILd+xbc9NgcRpCBMN8K021Uop0Vkflcp8 + bn6b55fW28Fjjy8OR5ljl7XQcZ6JXFKbUIeBQ4lNFdWJypnDWqXmIBskWexQO4qCze3+xChsS+Pu + OzksvltBbMsnhCieBMnQq9jL6ZBM4fN/5jkcPEIYJVYqs264njqYiSxT0tlATsKWMtt3+6/43g4j + n6A3XwPA6WvEpiUqUmVRdVKHfdN6SKECRL1xCJM4mfaR2iBPG4SPwTmgAJ85epx5mhdtdxur33vo + NslHOpO5FDPPv7RWnjoWrozDsU0pYTxUqcKJ6rdUkiJSSlQmolHUXuzYio9Ho07cph7rnuiO0zGR + SAhqiptUBYUAiMRIYJ2qp68RNMFxEhaKxQIt8oy5vsM9W5IUGEXEPBcrR5ctS7Za7t59FzgO5Mmw + 0+n0e1LrCIglRQ7a5RYXExMSAiDBZI5aUVS2yyhAqcTa7RNxAju3w9y2ulcsFZpzf//Z24bjkDqF + lIFXLiCiqYzA5MLjt2wfgUEvGzyx1sbvyHEcIZMoiavVaqFQGA6HBkFXKhXH42BtbXXHjh2cW71e + b8PvqNLv95/C78jzPNf1zeltzuf6HPmrGNVsQkkuRK1RLxaL8UqS5zkioNKTyW9TbfHczYeZEkmY + 2Jyvrp0w6ETH9rTWtjVh0moljPg4AUSkTwZxzPN8ZmYmjuNLLrmkUipfd911Bw8evOCCC3bv3v34 + 4UOd7nqr1VrrdAzvazAYvOlNb5JSmrbA6173Otv3vvSlLy0uLy0tLRU9PwiCsRi/8pWvTOK4Uqkc + fPzg7t27ty3M94eDRx55xFjHViqVtbW1a6++ZmVpOZPi+PHj+/fvv/766/v93rGlRQP1FDLbVGBH + gpRSqbVUklIupTRmKo7jJEnS7XZf/OIXc4KDwUCCFEJce+2103PbPvnpTxmlCiGE3qCKRVF0xg4S + wkSdX2/5CWzOIRuIJjPhTMT6AeAk0Pdbf9VghOy2eG9srcKc4aE4u2ZjlmWtVosCjsfj2ZkZzrkS + 8o477rji8ucvLS0dPny42WyaSkG90Xj00UcJoY8+dhCULpfLAFCpVJaXl6MkJpwhIcVSyfe8UX9w + 8ODBLEkYoeViaXl5eX19fX7nDqXUysrKhXsvWl1dZdaZx7jjONyyTFF41O03Gg3f91dWVgqe3+12 + b7/99k2RrZe94hVf/OIX5+fncaP4u3FR9OaNeIZB9EkW2bcZ5yZBQgDxI42hAAAgAElEQVTOmMV5 + HieVYilPs36/T5QmJp1AYjHbsVyKzLARtNZ5mm3OwhvPxclJf1PNAwBAn1z2tq5/mx2ks4PtorG6 + N4sfAw2omEZy0iDP0HJRa8wUgmN5hDBOmRKSKGVxqhTIJAshRSSEWUmWcs6FFmasni3E7rSh+K0v + NaLNuNa61+sV/YJBbhBEA9QOR0EmhUWZ7/vrnc50fUqDHPX6IOR4MJyqNarFsmvbxkvbdd1+v2/A + ylEcl4vFa665Zsfcwgd+/TcOLx1eXFx857tvLJfLBw4c+OUb3/3rv/7rz9nxnD/8kz/cuX1HGEfG + RpZapEz9JB1zzm1Oe+tdx/JQ0zxNtm3btt4ZZGH9ja9/btibPXD/ba7VIrpmU1Yqe8cO3T+/fZ5C + 5QevmN69611ZKtfW+hdfvNfzHQ2ZxZXFdZ5naRYhAURNCNFaaY2mt4eoldaWZRn4AmNM5DLOEsaY + 8UfasWPHs9IfKd/0R9Jarq6uzszMVCoV1RdxHBtpHSnFaYJvZj+d57lECXSLip2WQuQKBCGiUCov + LCykjeIF55+3e2GHZXlZjuNQ3Hv/Y48cPDaO8zSXaRBKIgrFUq5ynWupcgYIhCgNoBSh9A1veJ3t + 4KEjR2Zm5rPkyKD/OBASRpFfKBUsv8s6IlegFVAhhUROmEMymSVhpKholBsIpDNYn2lN53m2qV1z + ciPyJGmA2ijbU0WpYqgJVahRG9K8IoqoCZ8eACQBQYVCRRWhirCN6ySJEkRtlks21x2FWwfWJBXc + 8JyZIBfMxneT6AhwFhUXokFmQjGiQWeQVdziELuRCOdrCyvdRQss3y7HUao8xRxOOCtxfzgaGm0p + RDRTP9kgRZzxI7aeDD7h55seqSdX0XPn0fk9EkqfIme6qcR7ynWY8No1UI04UWg45ShEEwWAqLQ+ + uXXdXIMmRTsNgGDoqRI15U4yil3iVb1aFaq9Q91QDxykcZ65l/AZmFrRvTyRpEgtZsVJbKBrSgmp + CLc4KpZk0dpgjVH7zW97s+8XFapEJRnLj7WP5a4SVBj/WXN6iAy1nowXnKjWaCQABBUQRKkFoBoF + vRlnJld5mqbAAAimee75nlzXQEh7Lbrqh2ZcV1u09eOvfs3jjx27+94DS8vBOBZ+wUlyKUSuCU7W + bdQaQSmQWoZxzABefNWVjdrLkmjImBz1e+3ecHZ+e6Vyv0I1CBNqu6h1mqa27SrVN0OeGO4UEkRg + yHIQG+XPyXKvUWvUBLVtcSNc1mo1i0U/isdhGMRpvH379vF4vMXvqP8kfkfH1tbWdu08r1gsdtrt + 1bW1gldECp21dSA4v20uTpM8zYEgRaIQlJBgUs4noIOe4mk7RSbh1O1vEIZzM7OdTkeyfDQaSCk5 + p5v+sHBqzesclUU0JzRK41K9DgAGzmSqe4ZJa9YOjZu1GEUQFeLmOW89nyiKji0ef8tb3pJlmVJq + 79693LG9gq8RbrjhhkOHDjWnpqIo+shHPvJ7v/d7YTAGgBOrK1dddVUm8ihJPv3pT0sppxrNtbW1 + q6+++u57vnn1j15TK1dGo1GlWn3tG14fRREQ/NBv/1+HDx8ulUqWZS0tLYVR9PwX/mCz2VQI23fu + eP4LXxAm0e233/7owYObQIxNQq8QAgjRUnGL5TqL45hocBxn0OuvdlYvntr72te/rrfe3X/goX0X + X3zFC16Q5NmJ7tpnbvnHIAiKxaLSOk1TwtnZykYbvS5z009aCwLAFvzb1jr+k3095c7hxLjttKTo + GYq9WpY1HPZR4dz8bBKlJ04sNWtN17XXOu1SqcA5D5OQMRbGY7Eut23bFgSj6enpbrcrtSKEHD1+ + zPd9y3W4ZSFit9u1LMtynTROHNcVQoyTSAgxMz83Ho/TPJ2dn1tZWWGMbDxgJgEhxgyMIAqRMcb6 + 3V6tVqkVywgqSbI8T8MY/aKX5zml6Pt+t9tZ7bR37tyZn2n//F0ssD7NBOm0kzV9agvp5Ve+OEkS + m1tZltUq1SiKCqVis9kYDAZGZ9OM4TzPfd8XQiZJAgDFYjGOY6PyHkVRuVxKsjTOUgM5NR8n0szm + 1rA/aLVaeZ4H4bjRaCAlnc56o9VaWV7eNj+fxvHSiRPz27YNRiPXtoEQisgsS2TZeq/nOU6hUIjj + OMvictXREkDZWYoUbKQgIc3yiFFLayyXy+G4T1kMiJJ4GlkcBI1KGXI56Hcb9dYwGHW6/WPLi0A0 + sajQkltMCJGJjJ0dKQqE1ohYLBZ7nXXf9YQQIsvK5fJ4PNZal0qlIAi4bSNimmc6U47rJElCABmh + SRyXikUtJNGQJ6lFWRLFfrEASmshLcooQBSPq64f9gcVzy84jsv5wuw2Bdrx3CzLuMU457P+zDgM + Qet+v/d3n/rbO7/+9b1797797W//iVe/6ujRo9PTU0ePHn3ooYfur937Iz/ykttuu3V6ekpKefz4 + 8ZnZ2VRlzKJxGEXj4Lzdz1FZ6jn+dKtFEdM4bM5htwuImjESJUmt7MRhMhgMpqen03TNsthgCELk + 5XIhE9T3PEIIAeY6/nnnPSdN8lKxPDMzpUB6hUIcx4vLS1KaJ4cORkOlFKeWlFJJbduu43hpmobj + qNVqtdvtfr+/e/duw/wzKNhnlT8SgNJadzodQsju83YaUUQAIIRQRiScVH7bXCQAQaPelMgrFApE + M8v2szRePhF95h/uSILuylJYrwIg7Nq9x68219YHa4PAdotuuRQneTQOaEIocAACCBrkhnMkRy1u + u/3uLB2meX77bd8kzN82Px9FijCLO3YUBhSZUMKI11GLpiL0waKoKFGaQJLHCHa5Uo2SjJyUrjrZ + qYMN9eStD79CJYlC1EmYTJVmdA8KpAiRbs00j6wfUlz4xRIDvr7Y27XzvP56P4QkqsggHpY1b1gV + EmWVYmm9v55YUpRYRkWaxSglVQCotMWSXHDLz3NpWyRPI8/38iyO07RRrIVhrAEVRUmMnKa2lAKp + N8gXJ+PJhrN5F0MEqRUhjlMYZqminlf2elnICy5RkMgIbWJrrjXJhcpFZnF78rt6Q3REg5aTTf5p + 66gydxwnyRtVaJZqY0EqJ64am5BgNaFx/lvKkfTGdLvlyhHYuAhb+yx00yQAFYA6cykRz7Bv2tgR + EYWggWggCpFzPk6VbxdFyhkpAThFaPBcuZS7lhyvRnCeleeU++WUR0kSIgimct+1cyHiNMnRUsxC + 13G31/K+dqiHDLVCAozbJOH5UI4UU8C1QiCSEkWopogaMNeb9CONoJEoDqiFzm3OGGhqQxyOkShQ + EnIAmUmmwiSemV2o14qd1WN3fO0exNTl+MD9jyvNllf7Cj23UOyNerbruw5ToIUCpSHXCJoSpIY1 + Y7v+HXfe32yW0yj80pf3+z7UGq68+4DS3HXtxtxCJxgoqj23kEQJKVGiiUIBAIBG5psaRhMClWIy + iZmpkXBiEZYlcSbk1NRUa7q+srrc7a7PzMy0phtS5o8/fnBhYWHnzu2PPPJIlokn+B2VFxcXh8Nh + o96qlmsHDhzIkqRYKIlUZDLzHV+CjsexQuCEThIDxE02zmZidMq3T/rAEQM4IRsUtQ2imir5fjAa + OrallZRK+q4jRW6Otpl8PfWxn05o7Tn+aBAAYBKlAEApp5Rv+f/JVLNZLDLO3uQ0xW+EwbD/5p// + 2Sdu5U2ZoFgpJWlMKB5fXnz9G9+w9W2bdQTHtuIoLBULd3/zrru/edcZyTMKgVosVyKPxp//4uc/ + /8XPP/GzAIAgKikRCCPEIB4mcHoFnHCRZhZlAICEJHHkuPZ//thH4Ux5iGna2I6T5TkYMVj1pB0J + Dcbu+ZSfbH11TibWTaKj3vBlP+UTn1kioJTgFrWotba2UqnUZudmwiAqlAsKVa5yZrPBqF+p1Eql + QpJkqUipxUdhwGwuQUml/FJBa61RZ3kKAIWib14wmwtQwKkAAGKP0xgQqMXzPOecAoCRWiuXCt1O + u9ls1mrTWRLv3rlAYXF1dbXgOTan3U6PMcK5zWxmu3ajUfNLfpJgoVxApoVQeZ7muTSF6U3oDXxX + UXbnoIM0UeGQ6o1vfOObfuqN+/fvr5YrADAejhhjhDOT5EgpwzBERFNNT5LEdV3OuSnwZ1lmxDQA + NFKSiVwhMONFYwBUGpIobtYbWZatra3VGnWl1HA49AoFADAiNgBQq9VMO3U4HHqeZ3rrMzMzxloL + gY4G4fbt2w4dekiIrOTVCTqgeabSasMVMkkTSZCHYeq5PM8GzOaSOK7nrxxbLHlOyfGUzCljXsFf + 7Q3f9s53dEfDWr2eiSwXQqqcU6bUWZDqFAJBIqSMoshyHXP+7dVVsyMvFAqPP/747t27gZDFxcWZ + bbN5lBkCTCZy27aFlISQOEsNposxVmvULcvqdDrdfg8Rsywtur7FGCN0PB4/8vDDFzz3whMnTnT7 + PW5bQiul1MzMzH33399qtcIwfOvbbgh7w1KhcOTIkeuvv77b7d5z333z0/NveMMb1tbWpmdnbrnl + lgMHDozHY9/3fd+/665v5ii5bZUKRYI6GI6G/a5F2IkTJ8bjkedZ40g7HDvd43HeZ5a11jk0t7Cn + 3+8KGCRZx3JkowkPPNRmvNDu9ZMsqVarGeRpKg888lgQhP1+0G63B4NBd9C1LGvbtpl+v9/r9Uzq + CAAik4hIkGRZpjUyRhCpwVJrrZeWlhqNxsLCQrfbXV5efhb4I01SLEqpUmeHrZJSSpSaakQ0PSiF + WoGOs7xcLMt0FIajXie0LBgFAAhfv/uxxsyiV2y4RWc8DtfWu67rVyqVPM1MrmIaLMbkQWvUSNZ7 + 3aJHB8OB5xZdv7y2Fjl+zXLcPE/jKM0yQQlIBsCAEECikUhEjURv2gtsVN9Pd6R5ijAwPNQkHETb + 3e0XeXtZlUcQPNp7hBdIGAXhMPmR5760Ds1xY3z7418XXDWLDTZSNV7d09zGAO7Kg1QmgQoTLrmF + nFCOqFASxmzGRA4Wtx1G03isQAAFrWWuc4ZEISokGkBu6FVQRLVRL/x2AjUQUGqy5hnnHGUojhqU + QiAbdFyJSgObLP8nO9inx2k/29pI3zQrRA0ETKVu60U23bLTOyf/RuL0a7klRTzTddZPfX+f8BsI + AKiBaiMrpwCIIiAZSaTWkC8NV4Ju/0TUkaOYAVCbqVW/Ic4LiUCLZVlKqCrYbp4rJaUCjdwilqtz + FYtsqIaKo8Md0CROU0kUQcZc5luFSI5jkSqpbGITxlBopYRBq22gdCiAkSNXE28KUACm76Qm8AwH + KScULaJVmigpweKeECJNk9XVNcb9JMmQOuVSQRE3CAItFCFEEwcJA0omPEjQlsWHw14ej9rtpSNH + RgDQG4JXymuN1jjOM00Hg4HEHGHiM2OAiAQUIKBWGghqs/sjBj+DGzYpmcwsmWZJDjnONmfL5eKJ + laU4jqampjinZ/I7amzxO9pp2/bRo0e18TvyioNejxMOHFSulFIOdyilSRhalnXGiv7T2HnhU37d + 8r5nKXT2zGe7Ed+mftq387Zv+Z6nodX21L/xxAOe7T34X3zPnmE6dHqgklJJlRc9v1arJUnS641L + heLMzIzR113Y4YzH1X6/Px6HEjQDRqgNMLmsiGjA90/s2GxiQ08RuTG/pQEAhMyyLOMEbduu1+tK + idUTy45lX3LxXlB6cXFRiZwx44goXNdtNuuMsfX1drVaLZeLq6snKKW1Wq3fD+BUmM85vUBnHeeM + g4SIQRAcPHjwve9972gwZIxFwdj3/elts5ZjG/668WsLw3D37t3tdjsMQ865Edwwu8mpqal2e01r + jZRogpQxCZpooITkSer7fhLFiGgIdrVaDQiORkEcx0Z1wCi733///bZtGxfOVqsVx/F4PDb2OFOt + bcEwY5QO+qvb5qYosY2u6GC4jkwihTzTjuNrwRzLRZ2GSQiW5Rc9yATKPA9jRtEvFK57xcuvefn/ + niRJtVrVWhv9Fs5ImqaM8G95rU4LIYTRS5id2ba4uGiQWv1+37btyy677PDhwxpxdnZ2rd2ulKpp + kju+wx1OOfEKLuUkyeIkT0bhaDAYOI7j+z61aMkqlcvlRw8fnG41VjrtYq1yxcz0R//4Y9y2hsGI + 25ZSCgkyxtqdTqVSAYDhcPiDL3jBoNMdDYaj0WhuYSHJsvPPP1+AoJxVatVLL73Uszxm8amZ6SAI + kJJCsagoxmkUhmGWxjYlruNzgqVKpdNr16cqpQL+yrs+/ND+brX5nMH66tT2+UPHbvV8u1LEIFsa + jh7925tv/ecvf3J2+6/8wGW7b/rgZ22XXH7J5VoNB/1xtVr33MJoNA6CIEqSQqFQbzRMb83IvlFK + CZkwXoTIlQLGHEppmiVGlaHX63HOZ2dnq9WqUWv4bvsjyU1/JNyI0wbRkz0kE1U9nORmQog0zuRY + Mm4fbh957u5t11z3wyeOPTLonlhdGXAbkhxWu8mgt1SbmvU8hsTijCZxwAjVm3toTTfr44gyigdT + zdaO0tSO7XvCGBaX7l1fHo/DeH5+3vM87IFSgAiawWZ3a+Nv2KBpneWTTzSBXDnMqZUryXrGkEpP + OUCCYXjB/PmJjiXIlOYe+BnkDGiFl7hI1UjiUBU9x6YWAWwUm1na9R0mMHQ8C5RApfNEiCQTAFTa + lgVEaZUKaUvLsnKS5GlmEYYahYaJGsbTQryYEqDeIMBsuKhtUCVP0m2VBqJQSCSKTLB0Z3azeeLe + XAOdcEJOdktOc6JDcyU3IPLfj3MYChEAqAI2QYMrZsCfCGhzQUGiOpEOxrZ3yeufV7A9l7vDMAhU + 8kDyeEcNlVRSJSwnjFCRU0msXDuaUKVdmkrIUuJQq4jJOCx5Zd+vhUmsuc5Fvt5bQx+pRxhFKVIh + U0oIZwzO1ohc61zEzLJ7nf7RYb9WYfsuvtR2xKi/0u/3x2NFxpBm6Xg0zjSzbZuAADRqa1Ip02TV + FCXKzCJyulnL03HFh4XtBc751Oy2Smvu81+8tRcISXhKhOO5T63pP6FxwgRWaqg7GjUhZHp2pllu + 9vv9wWDQaNRLpdL6ejsMwyf4HVU2/I4WpJTdbhe3+B2dOL7M2cRuYXNKfwrVuGdpEvP9+B6Jp63H + /R0KxpjKhek6SCld163ValrrBx98cDwe79i10zClKaWMUTP6Npfyb/tDzjAFGe1Eo5xhWVa7PTh6 + 76Hjx5eufqltOXaxXJog8JTKsgwpsV1HCHHX7beVp6df+MIXFkrFXq8ntUJCDBf0tCTtnEBSv5s+ + SK7r9nq9OI5Ho5HrukqparWqCa6trV20by8iHjt2zOwde73ecDjM83zHjh2HDh3qdrtG/80o8Rup + H9t10iwzeu1ISZ7lyGgcx5yxJElKpZLjuVEUra+vF4ulVqslpYzjuFwu33ffffv27ev1erZt93q9 + 48ePx3E8MzOzc+fOTqcThmGaKrDdhe3njYa9PB9LlVOKbsHORMpsZjk8ifNapb6+1qtVqgZSubi4 + vGN2liCiJUWedrtdk4yZW55lmes4Sikhc8eyVX52C5hlWUhIHEXVavUv//Iv3/nOd66trBw9etQw + Jm+88cZqtfp/3nRTEAR5nruu3Q/C/rCX5tn6sJsr+fFP/IlruY5rzW1fIBZREsI0pISPw9HhY0ff + 8rZfTKLwJ197/fs/8JtHjh8bR6GmxHLsTq87Pz+fpKkQot1um2Ryfn7+He94x2xzKooiRmicJrfd + dhsQ/Nif/PGn/vvffvW226+99rr/9td/dmJttV6prvd7lutorfNcaIVAgFuO59iM4rDbDYLA853X + vu7H/+K/fWbPhbOt6YWLL/7hP/x//uLhxx6Zm5tf766FWcSs5LlXbHveC3ah/YNLa4ce+uRXHz98 + WCmVg3K8kusXslxlmTQmzUZHwRg6zc7OBkGw3uszxhjhhBApJrJyUuZIqFG0M73KwWCglCqXy88O + fyS12Tum9CQM+tsZ/Jt5CGw0r5RSUimZRbmQqUzCOC6UigsLl73kR4rFQnkYZc2Z7Xfde+Cf/+WO + LM8d1xW5oIycMrmh3qTeSSmvf81rfQf3P7Q/S9WgP6aUV6ve1PQsAIxHAaVEayVygHQix/S084qT + nw8gUqVTZbu2iGUWiAPHD+hYPvLYwwt7t6EDnPOyX7nn7m86xF89ccKpOsUdJe7ScdDvRZ1esLKy + diIiKZstFp/bCvIkz2WaRR6hSimC1EGLAYdEoQKPWVpIYnGHW3mWc0Y3mImAGhCN0tnZIsCVIgAw + EefCjQzROJBu0no1KgUgkWiUCiYG2Wf8JHLqDI4GmmjSLTz5KxphU3Jtw89UbSA3vtNW1f/WYsI/ + IlpRpQCVAilRASqpY02JXbJQ4jgLC2U/iOJuPMQyF5wcGS86VR9Rl70C5jIah0AoWhyQSkWlsrkA + G1xbUZewL3/xFqKozQphHL3oJS9a2LNdCRmTOMlSSTJkQDhqqYSSFCmeFYQyB9QkT1IppevaiCpJ + soJnNRszL77yh4VgX7n17sPHu0LzOM5s22aotZa5lkrJCTUXAUFHaVwvFy6+ZO/0VMN1+WAwiOLw + 6OKJTe6cbduZkObbJyPUgZnrNBBCCU54d5xzl7u267VarXFv3O12m61muVzqdruj0ahQKDyl39GB + 0/yOgDDYsFswIqJSys22//fj+/E9FGfdg9TEgGKSJMmyzHEc13UNm+DE4cMQQ9BsmK2UZVnIqJKA + 5JR9yNPu2MRxrLUGSqRQiDgzM3N0vmrGoNmPmaKJ4ZUZTA1jDCg1L4xSf5ZlWy1SNs/nuzh4z6WK + ned5zWYTAPI87/V6l11yqeN7YRjmeV4oFIznVKPR8DxPKbVr1y6jyFwsFtfW1rZt29br9dI0dX23 + 3+9v3iehJEXIlXS5xR3ebXdarZbruvfcc8+uPee5Bb9UKkVRZPwQLMuqVqsrKyumq2Pb9uzsbJIk + /X7ftAWESKdnZtvtdpZZGsF2LSQ8jiNCqZZcSmrbdpalYRhmWRbHabXayHSSprHI8jgImtVKrDSh + 1HQeLMuSWa5BG16QlgrOunsEo9EIEF3XTZLEcZz9+/ej1uVy2aQuf/VXf/WRj3wky7Ljx49vm5+T + UvoFl9msNdMinLzzxl8ql4vt1Q5lWG82kzRyvUIUjT2vAKC442ZxJPLM933FyPN+8IqZbbOlSnkc + R7kQaZaNwrFt25dc9rzFo8cKhUKWZe985zv/txf/EGMsDMZfv/MbtUY9E/kfffT/G4SBSLP/+48+ + cvz48UsuvbS7vr5QKmqtVzrt2fm5TAqVCwSlFPSHwzzLHc992XU/FoX5Rz/+4Xp9e32qftd9n7n6 + ZecDXtQfdGu1BaWiKOzkcnjnvZ8dDFYXb71narr2E9f/+AtedGWUp6vtDlJrNIqVRtu2GWOc0jRN + O52uEGpmZqZUorlUo9EIFHLORS4JIZzTLJNmvJkRZWQVzeP0bPNHOtvnxIg0KJycKuecekwL4J69 + bWFGpulXbr0/G49OLAYWA8eDHefNCfxquz+MclUoV2zPx0z014dFpwKaAIoJHMvsvzUy6t/2lXvy + JFCotOpJ4Jx5vWHMLFGplCzLklJpDWADUMjTdGvbTYN+eo0L1Fhgvq1s0ZMVrDxv12XT0JIgfXBK + 9QLxSLvdrnjViy+5tMabd+I3EohoCmF/OMunXnjBFRzwWHtpLe0dGi8S7biWH6pRJnLXopxbHLmF + jk5B5YIT9PzKIA1EknLOVK5AKg2AFCe5J2gAkFsZ+t9GTJKWDfmXDS8dmPwMwUgISASjOQFAmHoq + D5Qnroh6E5V3JuDflvdv6Fg8yyqa/wqCgEINqBUYxhcqQKFQawShBGqUSmsFRDAhs1RmvlewfMdC + BlTlStjaIkQDEIJUa6IlIlDQBBUtEHcay07OH7jlIZnpolcNwtFLLn7pzj07h8mAMooUMg4ahaJa + o9Rour5nEwpsq5gncbNVXZifPvzYQ3d87R7fpq1m9eAjq2mm1jo9zTwJkMaRY5E0DQkBpBahDBG1 + xlwJqZTjeQLx4cPH9z/6aL/fPfh4v1AEy8KCV6zVaswFRS0mbekqo/D5ZKejtUZAQohxPaKU+r5f + ckpM2u12OxpElUqlWq32esbvqDI1NbXF76i4xe+o9dBDDz/R76jRaCRJEscxmOIjgpQS8Uk7Rd8v + J3w/nmGc1RP0ncZdGjA/IcxxGOd8MBhRZHNzc+ftvRgAFnZsj+NYSg2gtNRaa04mHlNaaaMbhJOS + yJmP/2Snb3IbcwLd9d7c/LYLL7zQ7FX6o+EgGJltv1JguY5SahxHpVLpJddda0Qmh+PAch2Fpzey + NgvQ32MiDU8MSqkQIk3T0Wjku95VV131u7/9HwaDQZylxtfZ7Dg554YjpJSam5tbWVkxuz0AyLKs + 0Wp+8EMfvOf++7I81wQpo5vyx5SxIAh27dq1urqapunU7EytXl9dXTX+BoVCYTQaGW5GHMcLCwtH + jx61LOvQoUMmfzV7UwB54UU7f/bnX58nKgiCer1pOfba6rrrl7Nc5VK7to2IWRI7Fk+isFD02v32 + dKsm0mQ86Jd9/56773r4wCMAxEC2OOda5kmSIGrHs8Nw7DDvrK6b7/uAWK1UEPHo0aMLCwuLx475 + vj8cDrdv37579+6lpaVSqTQ3NzccjeIwqpbLAuSJ1eVffs8vJ0nabDZqldrs7MzS0nIUhYhkHI0Z + 40LkXqEQBkG9WltbWyOMPvz4wU6vOwoCytiO3buWlpYMPebQoUPhKDD5vZTy4UcPhKPA3KaVtVUJ + ulAofPKTnxRCeL5frdWOHDkShqHtudumZwqFQrvdRka1kL7vO65bKGESsYceeZhz7pfsC+s7oxjC + YP15V+xJE8hSsfOC1ihY5wz9wkwcuv1h+9LLzz986GBrurW+3r7963ckSXZieS1NcmO1JPLJiRn+ + TxAElNJSqTQzMyOlTOMMtnSHCSFC5iZdMcmJbdvG6ejZ4Y9ENsnT+2cAACAASURBVEXJlRJnBbTd + 2gff6CBprSBPM0pAJkmchlxJTsF1IAjggf1LrTnP9YuWa6WpXOmvWtytlWt5qjaaD2TjqwLUSok4 + CTnKJImjKPMKVUTaajVKlep4POpHESFICGRSQwbMss5JB4koQgRyZX3tS3eMDgUHqo/uap5HFN71 + za/3ki6xUSnVrLSuueplQW/cbXf3P3LvWPZK1QLG6v7t9841Zh5bPHyotxQUsitec6W7s8QI9xzX + ogxSqVOpZW4JhkDTUVTwyjFJhnHAuW/btk6knoDgzI4TtX46cAlDtTC/aBpHkyUQJ4rQGzJ9CoCg + VlxN3mP6SFu/nvHgWhNzhK3v2dpNMvamhqF0jhHt3w/DogFlNgxKgyagkChgGoASSgF1plnGy7wk + xnndaRZLzjgMB/1oW625GvUJJYNoZFNWKbhKQaYkSMEJsYimqCiSutPQfaH6YBHXpo5UqgRlG9y4 + F0NBO2WbEkx0JLMUCFDCzhoTRkApYVlWliWryytCiNmpJohkZXXZtq2CX0XELM/9UpnZrpGcMjw6 + 2GgEEQ0UNUUIhv0s7FsMji+GBGE4gJ07Hc64EP+TvTcPs/Qs64Tv+1ne9ezn1DlV1VVd1Us63VlI + SEJI2B0QVEDmEodFwetzAUecQYwOH7gRWYIfjjrj5UAQRVRAAXWccQEHQgMJJBAISbo76SXdXV3V + Xeups7/rs31/PFXVlXXSTBii9v1Hd5+qrlPv+553eX73/VtkrzfyihUFilJGCMnzHPwn2ijchCyc + c8/zHMdhyllZXS375bGxsfX19vp6u1arTUy0zp07d0F5R+1223Ec26je2P4LtCy7WBfrSdbTjV8H + W5peOB8/aK3Y6/W6/dZoNLIuCPl2s/uH4xB8fNfZxyvfCwkFRhlj+eLiYhRFUggDsN7ppyLnnIdh + OBgMlFLWDmBtbS3P81arNRwOl5aWbMJVEmcuc7fe8+lw2T5lAMkuPQGg1WrJXLiue9ddd918882X + 7N37nOc85+677+71er1eL01TO6ywxCe7Qp2YmDh9+vTc3Nwf/fEfb9iLaW0IotFZnruOwwjN87xY + Kn32f/7TL77zF//2b/92cnKy2+2+4Q1v+IWf/48ceLvf/q3f+q3bb79da33020cXu4uT1cle1nvT + m9505513tlqtUqm0uroKoH7uLT/1ta99dX7+3Fh96tvfPqKV22pdcvrkMCw0hAQl87DgKJHH0cIl + l0wdO/ZguVo+fvREKXB/6KXff+3Vz7z3umtv+uVfUkpVq1VEzLIUGSWACGCkctkFj5CSJCGUHjt2 + bNeuXa7rvve97/3Ihz981113UUorlcpLX/rSgwcPLi4uWoC+c3ZGihwo+KF/8vRD1Wr9m/fcLXO1 + c2ZqaXFFqByRMka8sJCmMQAZjUah59dqtXa3o42p1Gvr3Q4y0h8OvMCvVCpZlvV6vdk9u6Moioej + 3bt3Hz92zIaZTk9P93o9QmmWZa7r+kEwjCNjTK7k7J7d55YW58+dHZ+ckKiU1sP+CBHTNCUGkiz/ + 2J99HIkxxhQL5W536DqB0mw0TCr1Wq+zFoau47I0jQthMOx1K9XSytJirVbTGjh3u52+54bK6FyK + URzZU0UoBQDWFM56ITCHVyqVmCVxHCMBAKO0pIxoc579ZZGS9cgejUZPq3ykrS18kkTbDSrgozRI + ruOvzp/bt7vxspe9yGRrx4/fH4/SJANk0OnGSS8vVqcY99DxKHXzWBGyMcoAQwAszUwCCCBpnA4m + x0vN6XIYVOMEvv3tufbqsl5gY2NjQRAMR30hFDAAdp5Cc+H05UcWSuKBN39oPp5PBslg3p/33WAw + 6pIQ1UgajefOLv718b9aXlydnprJZM44HQz6MpH56oNn3IVhFi3Eq4fWjjlThWdWr1VhzlzUmWSZ + 8aRTpoUwDCilDz50xPW4V+T9RButKaUaNQXUcJ5WZwiCoRe6ANWbrhRUW6O5DZtpDQRAW7GQtiDK + ADHAtHVZ2GYW/GhT6q03B1AEcPObmymoeuPBbOyZRNDgtpi47/1D5V9OoQbQloiqEAwhGolCYhAQ + KMmpq7kvnAm3USXFz33273WUFl2SyqQ81dz3/bulNllgugYV5IIAGkm05loxZhgoiUoh5CIZtkfj + zQmVmzTNPc9L0jSS0fj4ZBe6A9HJdIYcXe4aY7S6wEktAiAIOaKs3FkfLMb9WoldeeXuMJTz8zqO + UyWyYZqJoRz1h4a7QRBoRYxRRhujAAwgGobaQUN15ph8plFHEGYYjY97yOiOnXudwtgXb797fX0U + KsipCorh/1aDtHWGbkzCKZVSilRXq9WiW9zKO7Jy05WVtdnZWc55p9PbzDuqdru9J8g7CsPQ931C + yJb+Ex7/1vo0XONerKew/rVNCO34wWEsTVMrJVBCrq2tpWlqI2HMZmgk3ewjP/rSuEBkQgC0lJIh + SUXqur5SZjSK0YAG43me5wZZlilpwBDHcQiyJM445+28s7K8ZqmAYRjmea6UkFQjIhLcvlnWPeJ7 + Uk8ZQDLG2HziOI5lLmwI5tSOHX/z6b8Sef6a17xGxOlUa6LdbhcLRSGElPIDt/zWDdfd8MaffOO1 + Vz3zQ7//B29/+9uXFhdlLpAQz3EyLe1naWNqQsd717veFanonnvumZqayvN836WXXnbZZc9+9rM5 + 56973eve+c533n777VddddVid/H1r3/92tpas9k8duzYZZddtra2Nj8/XyqVAJSU4q//+q8P7H/G + K37w+SY/cXYhD/hVX7/j88PBnOv6aRYFga43zKmTd//ef33xubNz3//i73/nr7w9GQ6uPHDZ1c+4 + as+eS1ZXV5HSdrsNAK7rZlIgGsowieIwDKXUF2SzGwQBEuJwvri4+Na3vvWmm2669b/cOr86v6O5 + Y6Wz8oUvfOFDH/oQUiqEGGs1jx8/tmPHDq01MlxeW6UOF1oVS+GOqaml5WXfD5VSuRQiGkgpHccJ + i4EU+uzyUhAEgLC4vBQEQS5EGIaE0lEURaPRxMTE4uIipbTZaBw5cqRRr9vBy9mzZ13P03luwf16 + r1upVAghnPN2Z71SqSilFpeWglIglQJA3/ejYUQQa9VGr98pFUKp87X2iuu6Xkg6nU6hGiIdekGW + 5iPKw1xESoMbsmE8qNSqQkkhVKFYdt1MCFEqVQBIoVBAshGbbTuC1mIhjuP4bDIzM+MwN4oie57Y + /7alBSKEuK6bZZm1oXt65COlW/lIF9odUTZLnGg7DrUbILRIkqRQ8C2ZEEV+7dXPdF0+Nj6RCuJ6 + 9bvvPXHn1w8P45SyALWhlBqQ5990Q4MEFiS8/OUvD33z4PEHG43GucVumqaO43h+iVKaRLEQCgCA + AZDzsPARPhOWP/PkdwoN4cBkJHqLg13lXUW3kPdkNBgVCoUkigpBYX29UynUaM7379y/urwWlBxl + JCL6NMz7eSx7XrVQDcf4yulsmBVdP6ZKQy6y1JVuy63uKE+UoaxB3T/81qg7rFQbjDEhpTGKU2IM + ABpiEI2B7wjjaSAboMWAhUCwzQRvw8drW8g1MQRgA8xsze/gcdARAAAC1cQAaKINGjTE2gNsfleD + Oa9aIoZoBDD6aWuf9c+ziNn0BtRALGowhqBmRiBmjOVOhVV2e1Nen/myUDIMndKe8QO7+fR8d72X + jUiBIcdROvIocECOmmCujRBGppTFbrQGK3ufszd0i4Pe0Cv6opgeWz06IsPMy7WrCaXKaCU1AWtK + c0EcUAAAz3FkljmcV1rjKu8uLy9PTRYO7Lt0587ZOME7vnbozPwgk3SYSqVUlmaIAMgIYUgIGg1G + aA3KmCAoXP+sG0olj4A0RnUH/aMnzsyO7yoUCrWajIVCthFW4/t+CsljbhFuxn+BJYZQaoxJ03TY + jg/svSzqRgsLC+MTzfHxVrvdXlo691h5R/MrKyu7d+8uFourK+2VlZUwDAkhq6uriHTnzp1Jkmyl + i9q7tH0c/B+dBRfrYv1zKCvvqZbLSqk4jjnnZLP/q7WWWllGVRzHSKnrulLqLYy0XV9wgU1PQikS + pMOoXypR3/cBALTJpQAgSqk0Ta1Wwppd2QSUfr9PKW02m3me20VaGIZpmm8lPdotsS+fQNb45Os7 + YFg8ZQAJNm9DrutyytbW1owxN954Y56mgevFg2G9XFldXuGcE20YIACqLKcAa0vLRBuQ6uorrgwd + DzftzzeQLqW9Xq9Wq3384x+3tmBBEKysrADAkSNHbrrppoIX+L5//PhxqzybnZ09derUS1/60lar + 9cEPfnByclJKGcfxrl27lpaWpJS+U6YQvO/dv8Og+Ke3fvv+b48OuYssfZ6nKMm5IyJPCx23B+2j + K4vigcOnf+Ftuz/20T993Y++ejgcWmag1fdXKhVpNCqQUpZKhe76WiEIXe4QoqXQxpg4jsMw3Dos + 2y2ht08w8zzXxvieV6lUTp48efPNN/+6+NXp6WkbwmOzsYdRZP3QrVG163sra6te4GswGowb+OeW + l6jDt2JJEZFbE3AABYZ7Lvfc/nAQBIHVOyVJYvEG53w0GjUaDSllr9fzfd8AUMYGw6HSOgxDKWUm + hSWMpmnKHG4JZlmWaa3DMKSMSpk7zBkMBowwAFhcXNq5czoaDShlvk+01oNhF1CmcU+7nDsM0BCK + lWpZCgVIAEmc5gBQKJSSXERJNDU13VnvccrSbAQARqNBQKQARGvrjQEEyamTc7MzM/v373/ooeO2 + 6WiMsqYIVp6bZZkd/uR5HkXR0yAfKdnKR4rj0dapbn+LPVUsec88HGPbDgohxI7HbIPW9/2gFiIj + jJO1Xu/LdxzJRv1eO5USHAcaE35zx844l4KAZpo4WupUa+DUQQCtpQFhPT0REYGD9j73j1+rFt31 + XvekP6Q8qJTHkXmZkJzTSGtEIAQUgqGAiDa1aUOCBQYJAtgx2gVqJIBwcKpeWfRlEuc++Ei5TqVL + HD00NbeOOaGaJHlcdotKKG0YZ1zm0vdKUoLMDXEY15xrjrkBKijV5WIpiInoxO3VxdjrG45njp2+ + buaGeJR4biAgD53CsNellCMyQmwi5uYT4sLH+ls/QKxSBQAANBhLcsiFYICcMikUKCCeqzbJP+cP + 4OYY02zTtiEiMcCBZWnKOOW+E4lIG40MAVEIQRAJEkTETXj3dOAk/EsqvWEeSHAjhJgQA9oQBIIS + PfS4RsiVZ1gBAlcSkgiZGQGCCOpDWHRKS4MeDalW2mEcQRhiCMVUJkioGzqpio9lh/094f7qJZw6 + oV+Mk8R4chj0+6KXM6GItNHGaAhqioY8jrvH45cCkRHOWLHkVsuFQTc5u7B+bu5cqeB/STwQFKr9 + QZwKVMBd7iiR040cGKoMNQYNEAQqkWlNtMj+/uDX9+6eWVw8e9+Rs1kOV17ZfPDMlxw3cF23WCsN + ZeyEbqlUyvNcOUprTehWBuv5yTwayPOcuMR13V6vt7y8vLM2u2/fvkFvsLiwODU1Ndasnz59Sim1 + c+dOY3Bb3lFlYeFsv99vNBqVcu3o0aObhBQpZeb7oTFmNBoBwPYF1vaXF+tiPbVljJFK+f5Gj9J+ + MYoiu1QbjUbVajXLsiiKisWi53lnF+anJiZ7vZ5Sqlaraa23tM226dnr9QqFgg0IGY1GnufZRcJ2 + 4skToBfGnHK5euL4Qzt27HAdf3V1tdVsuq7b7fYppdVazQaIRaNkcnpqfX3dd1wlZZIk1WrVCgHq + 9Xq32+WcWdCilCqXy+vr62NjY73hgHG+Tba9qd81RiljjJycnLTRjo7joMEgKAz6I+rwQqEkpVbK + +H7Y6XSq1WoUJaVSZWVlJQgKxqDvh6PRqFKpxHHKGLMG0XEcZ1lWLpcZY71ez06bt46G7YN/t7VJ + TxlA2gIAg8HAd72ZmZk0TWWWl7wCAqRxoqXilHmOm6eZXV2ViyUEyJJ0ojU+7A8Czy+E4XiztXN6 + OsvzYqUstRqORqVicWpyx0/+5E8SQj58660zMzPVanVhYWF21661tbVSUBgMBh/8/Q9+5WtfIYS0 + Wq0br73Rnkx/93d/9773vW9+fv7aa69dX1+fnp52HEdJuO7a5xy5/9hE66r/9LZ//56bv37vN1Lf + n+KmoHMsONrkq+lQeHzyxS98YRBmIpOe77/kJS/ZMTkdOsF6p41IgyBIshQ2QjyVATUxMdGsVbvd + ftHxtEFrzWcFc/bKsQo2W9vXMY7jKK3tspgxFkVREkW+7x84cODUqVNJktgrx/IQpJSO72mASqXi + +/7S0pIQwhgThqHlxQGAnZ+qzdweY9ACJ4uLANEYYzEeIcRonWVZEsWcc5dzKxVLksT25EajURRF + lXotDENE9DwP6UbPz3YKCQE/KCbGGGMclznMDbwg9HxEa2ZsEAljjHGiQVt7kyRJEGmeKSkzrTXn + LqWcu9x3vcFgpJQCSuI4yvLYMIdztpka9hi2j4i4vLxcrZbHx8c9z+t0Olpra7FtDwWl1B4fzjki + Pq3ykXzft80ee81bbp69RW7QgreH1BkDeP7maHsqSmsjtef6AMrzPN/3kkHXGHA4aA0L80kvOu4E + hbBc8xymAQApIsRxTClljFBGCUHURisllQoc1u93Q5dqrZeXV0qVhlJOoeABEY7DOOfGgFJgBACA + dSixAM8u0G0S5He8EKGaMkWZYhSJAU2AgGZgLdq0XZ4Sq+FBTa00B8DqMQxqTTV1FDhAEjTGKK3y + UVcsHW4PFwZxlIJLFlbmn43PM1oZgkmSpnlScHxiQAGgttMfJBbpXeim2xmOwQ1oZN3rEBCNAmAA + RoFWQAkjOdHScGAKzKMB0tZLgK01JRADRqiA+0mWJDJWxFCXKaUIg437yYYJIQFAG4R0kWL3lBYq + JAYIbrIoAYACIGhGOdOCUhqEruL5Yrog3CzJEu14XtE3BacDw0KlWKWVEURSGyOlRKUYAEHFKCKV + Bg3ojCWRSniZZ1pLqkzBDLMoH+WCCsYI4xwBlQQigRgCSOwE64J2QWuglBJ0CBjOOWN0NErQSIfx + NIoHgwH3ykEYZMoYTbXSAEQDA8DN5YEG0IzywHWNiu+99/7FxZhwIATa7XYYFtM0tQspSmmapr1e + jxDieZ7kUhtlxZmAxA6+NSEUiee7eZrH7ZhzPjU1VaTFfr/fXes1Go1yuby4uJgkydjYmOd5UZSs + rKzMzs66rjs3d8bYvKMw7HQ6tq9ku5Y2RDGKIpd734XT4GJdrMcoYoBwDohxFA0GA9/zhJQiz/fs + 3Xvs6FHGOdnsZDHGBv0+JeTAvkuPHj06OzurtY7juNfrtVqtdrvtum4cx1JKS8WK49i+3O6UC9so + /Y/XC7MuAFdeeWW32xVCTE5Orq6s+L5vo1wGg4HFIZOTk5bqwpAgou3Pjo2NJUkyPz9fKBTses8O + PCxJp9frIaNaKXN+1mSsZb/91cbgaDSyy1GtdRLFAGAZPYHrtbudRrXWHfQLfkAIAaWTJBkfa7a7 + HY872tFayDRNx8bG1tfXHcfJsszCS0RcW1srlUrbG4jbl1hPvr4Dme5TBpDsiKNYLAZB0O/2oiiy + dKMkT1zCKsWSEMJ1XUKIzHKPO4SQeDjKs3SyNd5trxf8oN/p7tq3t9Pp2GXW8vKy47m7d+9eXFyc + m5tzGR9vtcqFIgVcWVyqVqvz8/PVajWKoptvvvnQg4fe/e53t1qt22677cSJE/fdd9/ExMSrXvWq + l7/85bfeeuva2hrnvNFoHD1yLPDC7npPZnLnFF87C4NOMjN9WbcbiswhlDgc+sMVMUz6a/1SCJcf + OOA4rufg7MzuXm+gwADA5ORkqzVRKpV27drlh14upczTIAg8Ru+9995uP3rR9704TdPBYGA27Zjt + auYxP069Ydis7MzBylTyPH/lK1/56U9/+vTp09ZUwI5EEJEhAa1Ho1Gv10vjZHx83M5SQekt5rdB + rcGgMZxSSniWZXmSlkolJSQQjONY5LkUwnpaOI6jUDIkCKCVopRKIaQQBLFYKgEAKK2lzJV0HEem + EgD8MLDbk+epUgq1YZw6jjPoDfvdXugFeZoxxhAIMZDnKssUgEakhGDo1RljUkojU0KBEkKQGGOS + VACijX81ShcLAQK1PHKl1IbSAwkCsUfRHtXRaKC1nJ6ertUqaRonSWaP1dahtsjT9h6smMo8PfKR + tGaWLGev9q0LfmOgfH44AxYpEUIIEAXK/i4hRJbkcig77S6o7JLZ+gtuuJrhjpXF04NBt9tPekNw + fa/Ty0frXcDAcYqEcKSUumiIBINaE60JAY0AlEqhRjO7qtUy31fZUym1Or3kttvuWW6vasOq1aqU + knOqtZIIoCDLsi03vw2ApDWCoYQ8Rjb4E5Z1IEDNqGZokCAoAEBtFTtoyOYtTRsEg3ZWhRpBA7HO + bsQwqoljqEMoapWK1CFeOQzdMro93hV9xc2O5mQpLHXFiuuEgspoNGAO1dIQDeq8AghBf2d2fBsd + cmudYNBshRKBIcxwR7ECKXJK0QBmDztDAEBve+xtfXHjcYja9Wiqcu7yRGWOB5qqURbnMvM8z4BC + bYz9eQOI5LvbTPvXVwZAIgNAZgCNYVojaGoUgKYsl0ICoWd7g7u+8YWslxoqSzvKI6FW1s8c/tZy + eLY6eeUeEiIY4aAmwA1ijigZk8hAE5IRFIqjBm0KYVlkIokz7jicOtx1XN+JIU5VCgo4ug7lVHKt + NFJ1YRcYNdrEhPhLS525UX+syl7w3BscdzqJOiKT3W62PsilzPNBlGlSLlfTXFm8TcxGrjE1ioJ0 + UQ1WFndO1McnKiVMpndOEs68sNSc3v33/3Rwba2nqSO5cblnfXHyPJcokWw0dAygNkZrbYgxYOxt + 2XXdarXq+34+yrudQalYbtaaVqvcbDbDMOx01kejeOfOGaX0+noHt+UdLSwscM5d19/oURqjNRBy + weuZf20alYv11JbKRblSpoCn58+MN8ZGSRw47sL8fKsxhoxyQjv9HmpTqVayOOm219MoajabQgjr + /1SpVIbDoW3ROo7jui4AdLvdOI6tetkuHp789gRBwDlfXl5O09RqIuzSYjQaTU9Pr7XbllS1srJi + CJbL5SiK7DrQWk9XKpWJiQlCiM3htFRVm6xjm9d5LmAz19FsUFA2OnqEkCTOjEZGnSRPlDKIlFOS + C4EeUiAiEyqXhIJDeWrQSK2pDr0g8HzHc0eGGA0ri0taa+tFidoErjcajdIobjXGsiyTStn+sdIa + ALZnpTyZ+l7mIGVZZgVI1svbjuruTxPP8eI4CkvFtbU1I3JCSJJnVMl6vW4IOq7XHw01gjS6OTHe + 7/c553MnTxHOypXK8uLSqVOntNaXXrJPJOnBgwellEePHh0MBnEcF8ql++6993/+9/9BCHnLW97i + OM7dd9/darUOHTpUrVbvuuuuWq32Iz/yI4uLi7aJderUqYnmZGett3RmpTU2kY4gGkG5Eh6691QS + VYrFljY6yaTjqkazEeeNbhdWl9b3XrJjrb14bv7s7NQOADAarAVHFEXLy8salEHUMp8Yb4Lvry+u + AGUWfA8GA3t2mk0x3GMeN6WUkJJtZmwppQqFglLqxhtvvO222xYWFuwMBAAsf8m+Z6PRUEp5jmvb + Zq3GmOd5dkltM5qQEMK4yx1iCLpunmUizSggICkVikAwyzIhBKXUdz1gWkoJm0hg66ISee44zob4 + h7ItH448zZCSDYO4KObU2UT2xmXc99w8zUqlitYaFNiRriHIkBiDIjHAaJbnBrnrusrIPMuVFojG + WudlcSLyNAgCpUSeJebhy0ljzMZc1xhjjB3TnTu3UK/XrSPKysoa5+7GGE1t5SMpSp8O+Uj5Vj5S + FI1c17WHdwspbY2MEVGb80OGrX0HOH8zopQCA98NiM4DP9RaJ3nsus5Vz7hirDlJeMELxu765rF7 + 7j2RJEhYOBylUqalmq8ApdBKa2WMvddRQkGbZ1x1hcr7vWEvy+NutydkJiU0xpqFQmE0GGqtlYKt + yO08z7X28FHyLXMhEwyD2qBWxO7pBrnHWDNlADhvTmAAQKPWj+FCgGiAGmKEBCnANUiNISRTMk/S + ZJTIVACywSDq9foJz4QgErUT+MoCL9QIiNrg4/jIPXERY7OPCNn4sAyg1mTD2tsYiogucX3ijflj + FVrkggQ0eAQdzv61HZxvAWZJZc7E//raF/ZevlcR1KBSZQghQmwMFRUYq3zSQMAmmV4UID2VZW3b + CYAmBhA01wpBA0olBKDiflCtjI9N1qPusMACLagW7LpimRTdB04fD8bC3OQySXzHASk0uhkaBcyg + iwZBoa9p3S9grlZPdwK/UOVFlZliWM0gWzg7zwvUCz3k1ORGSU3AcMpyEBe2BwgOZ1oqLSQASCnj + eFQq+H61NDu7G6HwpS9/+9SZXq4cYqi9vT9s/431sddJNNy3d88N11/RrJXaa8tJGs2fPVMshnaY + zDlXhGUqpZTa1pKFQAQ3JQTmPMWOUpKmqUf8Wq22+lB7eXk50AXP8+r1+nAwbLfbjbFGuVxeW1vr + 9/vFYqVerz/44IOPyDuy18vD844M5/xCGzQX62L9n5QQIhoM19bWLr/88na7baRKhTBaSylFkhBC + jFT1RqPb7cJGmiqxLFDP88bHx+M4thDIZspb+qhdsaRpWiwWbQP3EbKcJ2DZWXJKv9PdtWvXyZMn + lVKTExO2m/zggw82xsaskR1jbNfePadOnXIos0/z6enpJEmsbMkyAF3XtbYCruvaEBpCSGby7fMr + 3GB4b+iiFRE26cS2UBljuRIUyXA4LBdLWutGrd5utx3GjTGccaUUI3RxcbFWq0VRVK/X3VrNzoSt + x/JwOCSElMtlOyfYOgJbLPQLAkjfQT2VE6RWq2XBQ7MxZh3D7rnnnnsO3yeFKNYqqRJRFAkl0WFA + SGfY/9xtnz85P3f1s671S4VBEv3pJz/+2h97/draWqVSgUjAMQAAIABJREFUidIkiiLG2OT4RBiG + h++7f3pq6vL9BxzGq+VKtVxZWlk+/dDJg1+4rd1ev/nmm48cOvTMa6+dnJzs9XpvfvObP/axj9Vq + tXe84x233377yspKqVSyLoeDQezQQh7jVGvm8P2rX79zVaquhtHEzuIomkPQGgXicK29kGW9O75y + 37cP/dOeSybHWrXDhw9fdmAfAtVaHzt27Prn3Ggty6QWhDElBKV0enr6Wc99dm1sMk6yPM9xMxuL + c2735TGPG6VUKmXBupUGcdeNoigIArBzg03OFRiwCEZk0ijodfpGKhMa3/PLxcrcqTN2eW3JWtx1 + pJJZnIE2lFKXsGQ4AkrSUUY4I4SEfqCUAgNZloHSxhhqEVouQs93HEdo1e12i8UiJcQJQyklAWRI + HN/L83zYH1QqlUIQxjHxfb/f78lccEqDIBB5ZpSUeZpnGjVjjHPiIiFoiJDKSKk01YK5vssZ1XnC + uetRj6DJsiQZRUmSlApFUOgyr1yqbjx9NzmcxhitwBiDFLXWjsvzPLekjmarUSwW81wOh5FFOHZC + zTnPssxsGjnA9zIfKd7KRzJGbdIUN2AVbBOnPZxzZQBACKHJxpTJGojz0EGNjutTMP3h4Ct3HOqu + LkUDYHROaXACaE40+rEephJJUCgy4lJCeRQlQBShQClBNMRoY4yUmhh+37cf8riePzcPhpcqrUv2 + XjZK9MpqO8/zPM+1NoSAssQuqZIkKevClg0OIRSBaH3BPRpFpCLSWh1oi4KINKioRm3b30g00Qa1 + dUTQqAla6zkNCMQANUA1GK2kzAXk2kGFkKJWiJT7xYKDHg4XIsKc5vj4suoM02G1XMhTwQw1SBG2 + MB4yJOrCNB5IDLEu28QoQA0grcucQqOUdkxANfGMV6GVSWgF3CuBx7bddbfhSatyMYYaQzeQeYai + Detf/uyXpnbsVI7OhUwxDasBYai1BLT0hk2jCCuYucDjf7GesLT1VCfGOqtrjZqABtTEoRpxsbMK + ZqUaVo0DCTGey3xaeOjM6YlgemxnM5Jxt9+plkPm8DRNgFEj0WhAhiiIY5wKKdSTiminf/x7f97t + 9IUQLHT+n7f85LU3XlNr1Xuy00/6AjLGGGUUNQiVwgVJ/AyABkZ9pdTU9Pj01MSZU0du/8q9DGHH + pH/wC/c5TmEYSYWBRq2QUuISkADEADcoAYhGi7yJXyzeffjIudVz+y+dPbewMH92vd2FWuOkH7hh + qbZjxw7D3PW4p7i2meZexVOO0kbbu9zWGsq64nDOKdA4jm2rsVqp18JGv9/vrnRrtVq1Wl1ZWR4M + BmNjjXq9eeTIkcfKO2puyzvyrBbc7vTFodDF+r9WhSAcDAa7Z3ctnJkfDofFYtE6h91///3f+MY3 + XNe96spnXH/99b1eb3Z29vDhw188eFur2TTGvPa1r73llltc173mmmvK5XKpVCqXywcPHnzwwQdn + Z2cv2bt3ZWWls76OmytA2La6eILtIYRmWS6lOndukXNnZmZyZWk5TdOdO3f+p19++8nTp06ePBlF + cb3eOHr0WBRFV152WZamg8FgYX6+VCxSSttra7Ozs91uNxqNLBpr1Ovz8/NgzCiKStWq3obQ7J9a + G0RUSjuOSwjJ8xyRONzJM5Elyctf/vKlpaUHH3zQYq1nXnVVtVp94fOf//nPf95xnDzPd05NCSHC + RuNlP/iDvUHvzJkzd9xxR71et91qu16yKpXtYv4tot13tZ4ygCSltDCg1WqFfmARwrnFxXe//32N + am3+3Nksy/r9vs3xtLzGT//1X1UqlUKhcOrM3H+79UOEkL2X7vPu+PLZ+YXmeCtKE9uVT0bRpfv2 + 3fFPX+km3apf/dSnPrW4uPia17zmve9+z+yO2Z07Zv7mb/4mwODk4skf/dEfPXDgwOte97qff8vP + I+DpM6d/+7d/e9++fZzzI0eONJvNRr2mZV4I3fe9932/+Z5f/cynP+sWyNt/48c+8IEPEuYmaUqJ + IZiM4rO794WuLxq1MoA+dOjQiePHGWO5yJvNZrM1btNvlVLc4YQTollnbW0pCOx5YwX99ghYxLJF + +no03t0aMSmllFB2nb1Fu9qan0gpCaPWeJpSur6+fuWVV9bKFYfxPM/37NrtOa5d2dvHD2E0jROL + xY0xvu8ro7nrrPe637rnnlE8CoLAss6klB53KKVKSiEEKG0HLJZ+6jjOuXPnLAGUMtbr9RqsUSwW + rVMQY1zkilEFgK7r5ekoGg5knl977bOCoJilyqEBZ36eK63AcTxE6jAOAHE84i6TJh9EvULR9wI+ + 6HeNUY1adTgcMiBf+crtWsilc0tbR8AYAw+DDWAvG0JIEARJkqysrFTKtR07diwsnEvTFLcZvFqw + ar73+Uhiez5Sp9OxE1fYbAttJclu3018eKS05dflea5zAxlILRlBkLnncEoJolYSlIE8h+XVdqne + 3D05td4dCZm5TohI8kzadwU0aC0CjTHGuK774AOn98zWPDdME9nvDXOR+sXq2NiY4zh5mm0cfgNA + ABk9PwDZul2aC3ax00QrogwqjaDRGDQGjUYNqDUSAtrmCFkEZTYGShoNIQYIaGLAIEUDCNoljDMK + HDOTKY0Vtzxz6aVT+5tjQTlWyaLscteRjFDCiOYITGlBweqykGxMJr+TQkOIIWg0GiCgNdGGKEPA + AGpQoLSWBjLDpBOyQgF8miBXj7wbIKLUauvf53tjTlbm1YeOnPRJkBMpTWIUMnS0js4fQ9TEbGAk + o/HRZ+zF+o4LAaiRAMSiI4NGISgAQ0AZk+WiVGswwiHFWq2ihkImMhqs7Z6YAuDLa6uFyXq12UiT + IVMCGOGUMjCgNVEGFDClfMKbbkM6Ij0XO5I74OZxXpGlKtSOzB3RBRmEnuAkhyyTOaIhjDyB5eFj + lwIhlOe4QiTLy4sAMDszpcUojgbGgO8GQspMM2SuBCKEpHZPNwRIGtCA0QA6k9muXdNGpfcfObS0 + pIwB5kCjWQzCSq8ft/ujQrUhpQSDtluUqkRrbeCRFDvCiRAiZAEDZtvVzWaz6BbTOB0Oh5VKpVar + ra6uDgaDVqtVKAQXmndEL6Kji/V/sfI8n5ycfODw4cuuuKI1Nva+979/9+zsbQcP/sQb3vBrv/Eb + J44de+ELX/jvXv3qg1/+8pWXX75///4kjf/xH//xl37pl4IgaDabxWLxjW9848te8rKv3vXV6enp + G2644Z3vfGen00FE3/e11kpre0u3CxjcpLc9Hjawz5Tp6enBYGC9iB3G/+RP/uTyyy+//fbbX/Rv + vm91dfVtb3ubvdZarda5s2ellHv27Dl06FCz2WSMNRqNX//1X//MZz7zwAMPjEaj4XAYhqHl+zmu + awiBbb1j2FzB2k3yHddqbTzP01JFUVSrVN785jc/eOSBn/v5t9SrtZmZmY//2Z9/855vFcPCu975 + rhue9+w0z0SWX3/Dsz/y4T88evyY0DIsFD7wgQ8cOnQIAHq9nl2+Zllm+8i4Galie9nbtf3fjXrK + ABIhJE1TAFBKLS4uFovFXbt2feITnyhWyr7vDwaDNE3tpM/eQLe6+1LK1dXVer2eJMkVV1+1vLy8 + Z8+eKImbzeZgOOz1emONhpTyB/7tDxTDQnt1rdlsnjhxAin53Oc+9+EPf3h8cjKO48FggIhSyna7 + /eM//uN2TDk/P7+2tpYkiU2/cRxncWleQ39md3kYL9z0y29FUijU/c8e/I3rXlSKkl6W567DKoUA + 9XjBp8cfOnjq9PHf+v+OIJr9l152zTXXedw7durUYDCQWjmOo5TKolSBKgeFOI7PnDnT7XYXFtdc + L7DDCruzWZZZQ7NHHzRElFJt0bc8xl3XFXluQfMWXLZv4vPAdd00TnwvqFdqv/eff/dLXzy4urrq + cmfXzpmJ5niWZfatlFJCK9TG8zzKiH2oxGnqeG61Xpubm/OTJIsTSiko7TLuOk6WZXYGuHtmNo2T + NM/SNJ2bmxNp1mqM7Tuw3/O8arX61a99zdqthp6vtZZZnkapypXjskqx1MkTxkm1XHz1q18d+sVo + JDxeCPxylqosEwhMa+05jtQ6SUeuzxSITCb1Rok7dG7ulOvyH/qBlwVOsLq68rM/+3ODbq9SqUmh + lFLK0tU2pzHGGG00pTTPcgAIQ9/mIyFQzwsqlUoURdZKDjbVSrAtesg8LfKRioVCATZ9Oc3DbWq2 + A+nz2AmM1SCZ8zlIEolALXZN1V7yomsCotbWFob9brc/7A1TRfwkT4fDlSTOkPjgMM9jiNygNsZo + ZTQaYoAgEgJCJrO7axMTtVqjMTY2cer0yhcPfmNxte0HJWvpzhgxRisKBsBobT3Qt3bZmjRcEDoC + AANgKXYb63vUGrUmyqAEYKAJ4gZG0mgMajSGAFCjFRgEjUDQaGM0GkBiCEPKiaKQphlRuUBDHKdU + KjHF6/V6FKdnzqzScZf7ngBJKWgNdBMYISJoYkBf0B6gAbIZcARgY3OMnW4RwgE0IqJGEMhyyhmj + ijvac8DBzXkRAFjOKEW99fyDLW9iNUKeEUUrYblvhoppoYQBSJIsDF0DdvG6kbMEBoAYvBgW+9QV + MYaBAlAENQBIYidKRKODjErNfd6Saba+sNbaMZW14x3lCVbIk368utIpcldHSnmQGhPHg7ofcIJu + ph0DnGilNUihSCIwzfPMdTnlLElyZZApFoK/oz7ew05Xd3KVaK7QURKkVuAaF82TfmojAIKUKTju + 6mo7SeLxhnv1NdeXC3RpcW40GknBRmlX5jJNhgp5GIZa5IAGUANKm3CCKIkRnKj1tbWdk/V6qeGx + lYmJuucFY60drem9n/iLv11dHUW5SlGUSmXf9+0iSVJJ6MModltdP6WUAuV5nnUbytO83xmVC5Vm + pWnTYFutZqFQ6HTa7Xb3CfOOQvLwvKMLnaFevFr+Zdd3FS9b6Wmn3d4xMbm2svKC5z3/GVdc8fzn + Pi8ohB+45f1hsSCyfH5u7ht3ff03fu3XdkxMvu7HXv+Sl7zk9ttvj6Jo//79iLi2tvbSl7z0hufe + YIyZmZm55ZZbnv3sZx85cmRhYcHzPKu2eDQGeHSffausCiCOYyu0rtfrz3/u86677rrrr7/e87xC + qTg+Pm4XJKFf9Dxvamqq0+nkeV6v1z3Ps551hUJhNBqtrq4qpVqtlpSy0WjMzc1JpcJS0WwaCW1t + yVZH2K5MAIBSmqfC496N1z9ndnrXWHVsZmpW5PnK4ioa8p6b33tmbu6nfvqn77zjrsuuuLxULH7k + 1j96//tu+ejH/nhq185nPOMZX73jjn6/v2fPnh2Tk3mep0nCGUMAozUCULKhtt36x5MsYkB9r0wa + CCFhGGYil1I2mmOnT5/+4R/+4UK55PteqVK260IppRBCKe2HgVF6MBqO1RudXne82er3+0qper2+ + uroCBKnDo3aChDRazbWVVYsg19fX8zRbW283Go2FhYUTJ064vnf//fd7nlcul3u9XpZlQMhgMFhc + XraYZPfevWfOnGGOwx1nbX29XCwcOX7o+1/+QjBOmmZKQ1AojdKUOQ5yBxHTeGSMKodBGsWDbu/F + P/QTg1EipWQEDx07cvtdXwLUPHD9MBzFEWMs9AqDYU8pFY0SAiQsFKUmUZxmWWY9si0h2zIyH/O0 + ppQyQsAaA3icEBJFUaFQSNPUzn8YY8xxNuAlEooIUg37g9D1b/nN9+zatesn3vjG7lr7Ix/+SJIn + LnMRjRAK0dRqtZmZmcnJidFo5Pje4vIS5fyH/+2rut1uoVhM0xQo4ZxzzrM87/V6e/bsecn3/Zs3 + /vgbjh8/vnv37oceeuimm27K8vxnfuZnXvnKV/b7/V179/zBH/zBJz75Set4zhhjjlepFYQQSmfd + waDT6eyYmsjzLIkHf/6nH19fjVxenZq81HfGkoR22tHqSj9Nc4Nam6TR9EsVFhRVs1VUJr73vruF + yAqe/7KXvqxcrp6ZW7CtWmviB9tsEs2mIsuOYpRSeS4JIZy5SZKcPXt2ZmYX5zyOY2OMbTHaZyd+ + 7/ORKmYjH0k7DrP5SDZS2jJQtvRm8HDpkbHIDDRQsHvteR64KDhSxkSuKeW97mChe5aC2L9vX6lS + A+57YeOOu+77xrcOM8Yc14/jGAAcx9kYBRFEQw3RYIxG0Eq94hWvGg7W5hfOdHujbi8pFou5Snzf + 931fydwYIzWAAEBwXDf0A+u/h4hIiNHGaM2QbvqqPdnSCIpoyTLBMk4sYQwUMTnLiCFEaaopABhE + RZRBDagUQaEVoUwpDYiSKklFDnmW52mSQwChF3rMG2TxqWihn68TTssztanZ6ZX2gLtuDmmcxBXu + IxqttTGAhAIgoFbG4IWkJRgEMBtrPxsOuznpIpRwipJQsE7HBJAD54KhJNo8LCwYNjzc+UZT0BAw + qLUWuRJGJnlS0CEIiNNEFpShxhiFBqg1DgCltd5AmgCI9DuwKb9YT1AEtEYNBhQBGxGrEAwQaggl + XqfbC3P3eftvnIWpj/zFB89oWnEYdfHS66+a3nPZnfEDw1G7VKsB5CIZMU20EohIqCuJ0qBTGvXo + +nK8PPS7oVcmAgLm93B9Lnmona4mbqy4AKY0Sgu2OSGgnuyab1PCCIyxTOSuHzbqZa1Gx048VK94 + 42Ol/fv3K+Um4h6xHDPXySSaxxxPGQJArGLz2dffOD5eXV4+57js8JEjc3Nn0K0oY1qtSm5QAzUE + szzRWnuhq7hSAFppqQ0YYyhQShRo33VlplKRhl4RALrdricDCqQYhEkaraysNMZqjcbY3Nzpfr8/ + u3O2WCyvrq6urKyEQZFQWFtpI+LMtM07kmAtKJGAAaUUwX+Wjt5m04Dygv78V1iPd/JfwNHYpHMD + wAYtefOH7b3YPFyPigAA5pG8TdQagBhCCWGEaq2r5corXvGK3/ud383z3MkdRFRCZlnmME4pdRgf + Dof9fn92zy7bYLUg/y0/++/v+dY3KSAScubUad9xV1dXCSHFYtF1Xcb5YDikDmeMAUErMLUmqI9n + GMs597gjpbT+b5yy9fX1b37zmwBgG+WH7z+EiJ7j/tWnPj1WH1teWbzvvvt+/Vd+1Q+DN//0z7z+ + DT9egCCBrBiEvu9ff+11H/id/xwSfyijX3zrLzx0+tRqZx0poeQ8CWJLRGC/YsXtjuNIrWqV6nOf + +9xTJ0/OzMw867rrvvrVrw4HAw89rVStVvvSwYOvfc1rJsbHO52Oh+5nPvOZVqvV7/fvvOsu1/N2 + NxqdbjfP83K5zB3HQi+jtZWXa60NAKHUPD7LbutK2arHFADgtmvJbP8iAHwHAEnjI8kodgOlyHKR + Ob6LFIfDAWHEGOp57tT0JOd0fX0dUAJq5lCHuKnIHMpd38+l8MOwvd61ngLDaOQUAo1gRwSEkSRL + eeAxz5VCSqOQU6DYGfZL9WqmZTIcMJdLo/qjAfcc7jmD0UBJyV0ulEjSJBNZUAiAE3SoE3qS4vt/ + /3dd7hDDpdBWn2P5LcjoRpMLFQGJNngRSCKUlawUgxC0CQvBSOWJFkGhCAazJKPIjKFhsaq1kQK0 + 0dYz0SJpOzqAzfX9Iz9CYwihlDE7lKSULi8vjzeb3W73H/7hH06cOGFhgCWw9oeDh46fuPySS2WS + 1QolKnXAvHf9v7/2fc974X2H7vtL7y/r5Wp7pV0oBNTjDqf9Xv8P/8d/o5z+9Jvf9F9+878eevCB + D3341iAIPM8bJXGxUu70uqEf5Eoiouf7vV7vwIEDf/nJv/ijP/zDZrPZ7nSEEMVy6a0/+x9//qb/ + cOedd1597TXveMc77rnnnoWzZxljUZoEDu/08zAodlf7dbcwPrkjHg48l1UrZSmy97z7lp2T13z0 + D//hi//rmMtn+t1p35sIWIkySPJzAVVf/vs/vfXP3v+JT/zuRz7621naed3rX42aOeD3ogiJQxgN + y2UpdZZlju85jptkqZDC931EFKkQQlBCCGFagzFACENEY/DkyZMzMzOXXnrpQw89ZPORAOB7l4+k + 43jEGEGkm/lInp2a7t4zOxwlSgvrTqGU3G7zvd2kAREBkVEmjbRdBq11EAQqDxzH01qurffuufdM + NlxfXUo++4+L3IViGYv1lmG+0H6SSWSsXK0Nh4NcZISApjYa1SAQTZABGu1+6lN/WwyZVHm3G1Vr + E6VSxXWrQBgA5EIAJUAUAEAGWimZ5VlGSEi0MUIrQjkFQsBsWts8+lx/7Bu6AUI8vjCal0pwGB+u + 9Yu1QBdhIT9HPR7ysu6ZKm0Me32nihmJdKCFzKJ+Ot3cqRAMwfVhe8giFdJYi5CHIlPMg1SM+gUp + wqwnewDALvFPDE/6AZNZzhE49bUGMIhADFqnb2MQAOFxtv4xtxwAjCISwWgNBEADM0C0nSEJw4Bq + lUslgRcIQ6KBGkIpEsA0TSqVymg0IoQUCuH6+rrv+9pI62OZ51mxWASjHF48eu/9NVPJezkJQDsK + uBnFQ5dxpqkG1IDaaPtEpwAIjxiBbX/Ob6Kvi/WkSyMoYnOQrImiPWsAADhyneuWXyWRMIuD5qRX + WBHjYTFd7pmABrHrAWcxDWkgc3AdL9WxIpp6TKJpywFhjlfmHdHvmi67hP3UH/yMTKTPAsacTKSH + 03t1SQmaCxQKJTUEBeXgwAbp7TEKH/6kP18EALnS6AcFx6VKwtzcartA5s9gFH3LdUqG+MNRChTd + oJIkie8wZdGMoRscQzDKGEoKWZ7897//wu5d071e+4Gj7VTAFVfUT33xS0FQ9oKCIHSoksxkcRwZ + VEIrrbUBSoAj4EbSrpbUJbnKEChlqJVut9tJFJVL1cnWxPpyTwk13hrzPG91eSVNs1KhVCs3bN5R + qVDKM5mpPPRCY0w8jAGAbcEhbezL7zZsuND2Axq07ueMMT+0V3duSRDWiMJS4oGS0A+GcaSF1AgF + PyCcLZ9bnJrZ2VvvZFJUiiXC2bDXNwSNVG7gowHGmBAPyxzfzsp+Svb3aQXDzOMbkVHAPM8t69LS + sXzPU0olaWb/w0a/EbUGbSkP1jdEAzEIGtCgBUjE4Gbkt7VmMdoyJchG+8ziK20NZlHp4Siu1WpS + ygMHDnz+859P07TRaLzgBS8YjUb3338/pfSyyy775Cc/2Wq1vMB/x6/8ynAUiVxWylWZiSzJXO6m + cWq1FQ8cfuDaq6/5i099ijGmtEmGQ3QYEKNBwfZxDUVt1GMdBkKkAkIRkSBRShmlXvXKVx4/ejTw + PErpytKSnQW96U1vWlta/qVfeNtgMPjoRz/6ohe86NCRwz/xhp9469t+4et33vXnn/h4EiUuc37z + Xb/5q+/4lfm5M699/eve+h/e+u9e+5rGeCvOUiv0IIRoY7acpYQQwLnQyguDJM+4x5WRz7rhulve + +74Xv/jFV19z1Te++fU0TwTkzfGxb37zTKlS3DExWWtUZ3fPnFmZq9TKymhURGjluu4wjpjr+IXQ + Wj6EYWgIKqW1ksQQQogBkEpSQEapHUprY+xh3HAaA0PMRkNpo9288cECGtjifWzKLAGs3vThMOkp + myAxxtBSwigh2vi+r6Wq1SvGqOXllWazSTk5t7QcJRnnqLXOjTQIQqn/n703j7L0KuuFnz298xnr + 1Nzd1WO6O00GCATEe4EkIJc44GWh6IeioiBgggxRGVSuDAt1LV2CCIoiIGhEBiNcL2C4AokhYUpC + yNBJT+mq7qo659SZzzvu4fn+2KeqG5JwaehL4vfxrJVaJ7W6qt7znv3u/Qy/QSmlERkQraTOJffd + rMgIIZxzyzxhnCutNx0RES0bBYBRSjetuO0NQkthF4JSCow6vldoNUpiFz0DSBh1PGHAybWmGrhg + nDAri+UIppQ2gEAMgtJEg4UXAK02qllWLM4sdDc60uje+iDyA3q2XxVM0kJtrGHGuYXWejAcuo5j + 55uLi4vWB+nDH/6wXRaU0jTP77jjjr0X7Nu/f/+gN9BKqbxQhXQIm67VtTaq0MP+YG5hvhyVEBG1 + 0Qj5OAkcVwOovBj0+uUwajQarVaLUKqUkloJIXJZeJ5XZGmtVpNFsbq6+osvfOHS4jbuiPe+9729 + waBSqWwMNm7+4k2z83OH77l377a9y8vLa+vr09PTjLFTp5aZV5GjvFQNXd8ZdprUqIKoLEtc7i7O + bafEf8mvPX9pVn7o727x2TQ183nup1i4XnjyxL2zC5dvn9vzpjf8oceCsIw/8/zn18ulwagNRDem + yxvd3vGTR4PIr1arw3gcx3FULllWH246hW3dRkIsiA4AtvyRao8NfyS2hdPbHILZ3ffhOh8P+00A + sEhfggbOyP9rrYtCI2EUDBcCCcRxqjRwBnkOcQu78boT+OX6TKNRHcd5v98TQgjuAtWGUIOo0Koi + oALjMJYlmed5RZ77gZvnWX8wDoKqUsb1vQlJxgBwAAEuF4wJQZlVKAAEIMYKcZ9rCqHQaKqe+IzL + grb/+NITlqo7NNM3H75lmIxik6vc1KszP/2jz6tF5S/e/rlWsXqic6xSqe3ZP33xBU+AnKy31lrj + 9uMXL37Csy9lM7Stmh73KGEFUwWTeZE7wqUIVt8CCbKJKgK1SnkPfV7P6eoNASAT2WXrgoTAACmA + YWAANUGrvIcW+mY/eUSrOaI2hToKAEMIAhilCmO0MUqpAlEzpDWnfGjnQZkVCSSZlzslx4XIGJUN + M8rAcEo4p1YQXhOjNKFic6L1wzgPQZEaMBSpASBoCLErxMgip8pkKq57UaNSRzBBFACjzBFAqTLa + Bb9eqbYGoyJOGXftM6sISoKaEQCijTEENVeFiuvlxlilgzypeOVEpsA094UGbQigoUYDQ0osOoQD + bH66/2f0PQFAoIJGbimNkyiMsqQohbV2a7lwwHFEmqZFIYUocTcslDLGFNogIgJFQLSHLjEEmWCE + UpcS+eCDD546lRkAz4O8KKJyaTQuxtmQOD5xGQD320U/AAAgAElEQVRUalWtNaI2AADUELJVlhsC + doZWiiosYaP+yOK6Iwg2NjZGw/FUpVGtVldXV5MkmZ6dCbyw2+1yKlwBWho0xhWeFW61XcgfcHzP + NQel1JK0bTZpZwhra2vGmH379t1zzz3blnZ0NjpBEIDjuK57+vTpRqNRq9U2mi3f9yuVSpZlOsuV + UkEQBOUKpdR2Vc6mrZ7NCz1P7/ixGN/WoLebqgYERu2cQSlVFIWSEhFdLwQAAINogBgARhEMMUIw + QIqEGgKa0InaDQA5q4VEgDFjKDCKhhnY9G4whliEt6FIOWdREFlKzwMPPOB53uLi4gte8IKrr776 + zjvvvOWWWwCg2Wx+7nOfO3XqlEbz+S9+cc+ePXmet9vthbn5TqcTBSEY3LljaW1tbdeuXSdOPkgB + 4jiuN6Y0oOAW5joZ15wN439oEKSEgiqkxcIk4zFBrFarzWaz3+97nkcQ8jTbvXNXvVo7fO99x48e + y/O8UioXRZGnWZqm3/zGXUqpeDR2HKfT3qCU/ukf/4kDzkp75cMf/nCj0eh2u9x1xKZdrEXN2NdW + UtjzPCllFEWtVuuSp160OLu4d+/eRqNx8cUXv+c97/F9P87jdrs9NTWV57lEefz48b1794ZhuL6+ + Xq3XmCM4owiQyyLP82q1WqqUy4SMx2MmOHcEbKZShFLBmJFqi51FLC7dUnjQECC2dYgEjH1ADD50 + wPydcXfnrUCyuaOF3EilpJRFlgshTpx88N6vfePgZRdH5VKRK0R0HIcLURSSO4IYwgh1PGZb+1KS + Qkrb6QcAS6oRQtikEwCsrxBM6vuJyJtNRrdyX2JFlpV2HGecJJzz0A9s+z9JEqUzIbjDAY1UShME + RDRAOPPIxBmYUYBJ8kNIu9nKcy1zJfPC9/2w6iGi73kW/2bTdLQjL0CC8AhL9ztFrVZDY9I0denk + XV9yySVPetKTLDDvAx/4wPT09PT09HqrqaWqlcrCcarVqh0uFVIa0OVqaXZ+ZrW5KqiwXBqN6Hgu + AFFalmvVsBStbrSQkCAKdy4tZUpyR9j1PRqNKtujbre7c2np2c9+9r9/4Qu+6wLAX/zFX1z327/d + aDRmK7PPe97zvnTbrU960pMUqF27d1946FC322WOQGKcKJAqF4wWSRIGulGt6LxYWFioVeurp9cu + OrSjSODEiZOO4zAeNVsDz/V0lvllp1ZZuPW2/z0/C4MhTccp48nibMNhplEK2sONckTKlcb8XLlS + DouihpToCRdp8njwTWPWs2OLrjMej40x27dvr9frVuzIPIb8kb6TE/YjBaVnTJC01kVRqFhnw1Rj + m6Dat3Pqaf/1IpfsypIeoO71h51+ytzS+kbSHw8BqOeRLJNa54RwMMQQQKCAE0QWAdQqW9o5Pztb + lkW2/8ChlZXODTfc3B/EypD61PSEQUcBOAAlWxDEyXRr83NAxHN6Z0gQuM4wv+onrwq7wcXBJRdu + u7CAnH6dPmvfs8Y6DbGCHfIje55apiU1lzpTdAzDoiiqvHpg16EyVBKVHD55eEj6aZD0x90EUlpm + Uklj0HOF1uqRkppHa5Jib5dNaya7h90SN1tfVi9ki7Jo0eErKyvOXg8AkiRReeEI7jkeECMJGkTE + TZ10+kMFr/MZFIEYQoBRBACECR0ODDFIkHl8EA+MUbKrvt6+57bB/ZHhItdMsI37zHqjKOrCibgG + Nh73A8GQEoOACBQ5Q0YlYwagcEgmfKfuuFUNOuRhpprjbBwKpqkwtDAEkFAgFNi5E8wIAECWj1zu + nlpZPq0zn8NLX/xc33sco3I4HLba8WorbnellAUwQRkwKhC0QYpAbMcQUFEik3jkELm0rVGtLOxY + aJcqEaUwu7g0u7j3L//6w8OhMSwzLmWcWDTEAAYPczmb1tIatUOE7/vlctVxnGJcDAbD6akZj3uD + wUBKWalUqtXqoDc8dfKU4K7rupa9ZBDBGPKorvNzUurklBpAe9ra6miLEDszM2N7cxdddFGz2Qw8 + P42TpaUlY8zFj7uo1WolSRIF4WAwEIwP+wNjzIUXXthsNqMgDMMwHo0JgjbaIm7grLz5PE6Q/rNs + KBZLb5ntvu9b6TeDmOYSAAhFAKSTxoIBAK0UANjxkR2zWi0Wikhx8hFT3BzM4reox2+NkTUxhcwt + AaTdbn/1q1992tOe9pnPfOZDH/pQv9+/6qqrrG+NUuoDH/gApZRy5rruiRMnDlywPwiCOI4ffPDB + MAyjKLrtttv27NnDOT916pTv+0hInucyz7krzsocAL6jlh1FcLhjlfdtxgsAH/vYx17+8pffcMMN + nU4nHo1brdbS0pLNdqzz0tramhBiz5497XZba10qlaIoYowFQdDtdq+//vp3vvOd09PTy8vLFxzY + b7pdIpDae2KM1a9DY9AYwngyGtvOhaAscL2X/tpLusPutm3b0jTdt3vf5ZdffvToUWuJWxTFU57y + lC0dCAC46qqrjhw72h324yQZDoe7d+9WSg2HwzzNrIeqId8CTaeUGkI454BorZy0MZbRrbUm/Fva + R99GlziHdXWuP/BIsSWsDABWx10pZZsfbi1wPLdcLmeFyrJMKqW1jrPMRVPkihDiOU5RFMYYRK1R + c+CWtr61MhzH2XpNKSXmTEvepmh003lmq7W/1d0Pw9CWWIwzQpASjhoVkXTzplkfGKkLQDtsBQtY + sNjTqUrd8YJhr+/6gVLKjfx2u20ZQZO2DQECYAigZbufq/IgIePxeLrRcF2322wnSfLG17/+sssu + S9NUKbV3795du3b9zutfj4hhFGqpsiwbjEfS6OF4ZAjY1+vtVpJn9cYUpXycp9JoVDrOUomaM7Gy + spJuythXKpVerwecmTjOitzSYDqdjhDiyJEjr33ta02hWuvri4uLr7nuuqc+9akf/fjH/uwv/+zN + b3xLc9jkroMADzzwQLlcLopiZn6uN9iI22PXFVmSBo4b+l6WZfFgOByOtdYaMC/A82DnnoVbbzve + 750slbZpPfKDrMg6w+ExwFhL4ARqFd+gXju9Wq8HDAjqPB53q/UqmFxrKaWs1+uu6zbbrSzLoigS + QqAFsCHiQzwB8Iw/0umz/JGaQohHwx9pTL/dHwnPtZDY+luUTEQ/KaWMAeecUyIEdzwvTfLOcD1P + BnOzjW3btl940Sx1S9+49/iXv3pPs7VaKk+FYZjnUilNgAE1SAkFAxQoAAVKge7YtlSve8dPHDtx + fGWjM+YcXC8KS1U/iPr9LgwscgxATyRrtgpF2MQBUkI3k/XvKgwxmpp83CuHi9kwu+PY7WvN1VE+ + /Oqxr9dFXQnYPbPXAPn8nZ8XhN6z8g06gO37t3klr7XWOvbFB6f8KcLocuvkzO7GOB4VJemGPnIj + CwlAKBBpDKMW/vYYCpsk2WzJLh4LqrYFeRAE9mCjlAIYwkm5Wm532jsP7WGRk4lEF9LzPKYZAhCw + SeNkGySUPDzy4ofxPQVBQpAy61ls50jUaGKQAHEIZVQpAoLniIT7z3rxT9Wdcplyo3Q3H6WuHBRx + xpWIeOQGmGeEEEQDyBjhzHCuCNdOjZW4cMYnx74boAY5lFPhdN2v9dOuEZpwThihaJM3m62d4xnP + gXGijazVSoFbNXK0srricGl0fOGFj/NDs75xn9JSE6oUMkYJVRZtepbtvEHUpVLocr20a+nggb3t + jfVme315eVmunh5nJI5TzkPDOHEFdSCOY7NpyfDQXY5SSghLRglHVgtLSql2ux2aktWvW1tZHwwG + 27dvD4Kg1Wq1Ww/rd6TFw3XHfjBxrj4GCg0qbTsgtjSy7S3GmFLqlltu8cFToD70Dx/667/6q9rc + 3Gf++V/XNtamG9PHTxw/cuTIH/zBH2yfX/jsZz/78z//881mMx2NP/mJf56amkLE1dXVn/rp5xJx + Rrfv7AnS99CDe+zHd7j5E1oOTgzliqIo8lwb4waBHdvbf0ZsPxuATpQP7NFgZ0cECOFGwUQxCM5q + o1Fitl7bHtfkfz2HMMZqtdp4PL7nnnte/OIXv/GNbxyNRk996lO/+c1vLiwsDAaD06dPR1E0Ozvb + G/THSVKtVhcWFoIgSPNsZWWFc/5bv/Vbq6urFxzYb4w5fPhwmuee5yVZaowRlNlGrMVMASGAaBAp + IQ/LSZNS2vTADpEopV/+8pd/7/d+77rrrrvxxht/8sd/Ik3Ta6+9dnl5+XWve11RFJdffvnU1NSN + N9546NCh+fn5F7/4xevr6wf2HbAn0alTp6anp1/+8pdfdNFFv//7v9/v9yuVitTKJk52Dds77ziO + ralsfrKxsYGI8/Pzb3rTm+755t29Xs8yOG677TYB4ld+5VfG4/Erf/2VL/vNl+3evfuuu+56//vf + //a3v/1v/vZ93HNe8tJff90bXveJT3zCdV3f92dmZprNpuU4bMlZTQSitJFG2W/a0YgdqDDGMlmc + aeCSrU+RIJzbAXneCiTcZF8gYhiGFnRrK78nPfkpnPM4jvv9PgBV2hBCKuUapZSSjHPOOMmyTDAm + hKdQA0CaZzZzLYoiz3PP8/QmHZNQS0We9O+JQQuos7uPRZfSTfF4y+6wvldT5bIxhlIWx2NZ5K4Q + nBNVSI0oGOHC6kpxQNtOmBgEZnGhCyRIVKFc15VZUStXiEHKCKHWwtKOoIAQAHrOPnW29s2yLI7j + crlsjHnmM5/5D//wD+9617vsmfH3f//3U1NT7XYbALTWlWqlXC4naSo8l7nMDz3KSZyOlZFpkgJQ + KWUUhBkqJ/D78SgMw9pUvVKpdIb9Y8eOra+vr62tBeVSmqZSK1u7g9TVatXK1vW7Pd/1Rg88YIy5 + 4447AOD666//l099Moqi57/gZyvV6uLiYqfTGQ6Hg/Eol0lluqRU0Ww2p2v1eDQe9fqVqGIQuoPh + /v0XlCL4+7/76qc/+83eMK1U9zi8126tRKGL2JmeI7mqfv3rD9x77+df9epfqlRElmVhUIplkiV5 + GsdgdGttfTQY9Pv9cq0aBEG1Wu10OjaVfKRRnc3d7cqZ+CPNzFjphdFo9NjxRzrX58sYAxTs1iCE + 8DyPlpivAuIwQN3aSG7+j8Ot060sg9BtAYVMwfR8GNWnvLAuMc0VoNIGCSMOIQQoItU4yWMoAhgt + vnHHUdfFJBn3+senZxYvPPj4OMnXmm1toCgKxrkxCgFAgX3Mz25hfG/5ChLQRNKQpiapTdVCEh3c + dUhCEV5cavH2MB8NzbAyXZ3dPj3lVWcfX71n5ZsJj7vDjVq9fmD3/jl/hgBz1pjyVJyPRCAUVUWR + EUIoY2d0I+2B9z1c3/+F2Bq4jUbWe1fbqZE9ctI0taeaUooxhmAMYFbkcRwPhwNedbnPoyjyuTeM + h4Si4ZTyyRgKNRpjyPnb0n8YNknSAGBZewDMACWgqZG5NMJwB6hL4jRVxITVcHXQaknwHJE7qlSt + UlBFmo66uUFZjyKwUEsERhgzhGgWKb+RlMq0/JGPfZ5SqrUZZMOrf/bqPYf23d38ZkZpTnNNGRKj + N5+0c0t7DYAEwb04HiBXpUZlNMz//Su3l3y+fdv8ff/6RQCmDU2VFA7xfW88HlJARK0JM0QA5YDU + UARDenFCdP6FW++4/e7Dzfb6cAyZhO3bEorrBw/u749hmBYFM4oVYRh+hytiTBAghFFTGLu75pmc + KnvVUvX06dPxKKnX62FY6na7p0+teZ7XqE9labHpd+QAwJbf0aMV5zTG00oboylOYC+MMVQIAA4X + H//ox265+T/+x+//fhRF8/Pz1XLlyJEjmUyffeUzpZSXXnrpn//5n3Mk/XbHB6e9uk4BfvMV1xy9 + 7/4fe8UrFhcX/+iP/uja37jmL//2r/UZs4YzGzI9HwXSfyLTaXum2wPaZu1CiNB1zWR0YACAoEZE + AoQCGK3PuI5b/ikSIJqiBFCGUAAwhFpikgGKhAJSQ2xWSBEmTQs9TohWABCG4a233vrbv/3bV1xx + xf79+7/2ta/98R//8czMjG1AI+KJEyeE61Sq1ZMry6dPn56bm6tWq6dXV6955bXPetazLnn8pRsb + G3/1V3918uTJcRxzzoMgKIrCWOMQg4YA0QYpoQgGkAAxBOywa+srABBEJSWltBRFhJBWq1Wv16+5 + 5ppnPvOZr3rVqz75yU/+0z/9U6lUuummm6SUr371q2+66aZXv/rVMzMzhw8ffu5zn/ua17xmaWnp + 5i/dbIzJsuwf//Efn/CEJ1x22WXj8Xg4HBZKVut1goAGgSAQoDBhR8tCxvmYMcYEVVJWy5Xt27ev + r6+fPHkyyzIp5c0333z55ZfX6/W777/70ksvXVlZuea119x5552j0WjHjh033HDDsWPHfu2lLxmn + 8Rvf8PrP/q9P79m5S2s9Ho/XTp1WSk1VawyIhMkI2upoGMAgCGxuZowBQmzh9LCS0d9bnE8VO7tz + aa0NM2maeo77wAMPUM4uvPBAt9vdaHXScTo1NYWEaq2N1kopmeecUl2YIs245xNChoOh53lWENmu + 8jzPi6LYrDzIlpXNJOk0aIwBpFvfp5Qyzi3hxOIjtdZRFBljer2+VhgFQeiVUCtdIKMeJSALacjE + 6QKQAXJrb6KJcagYdHvbt29vt9tuEK6ury0t7bBVjR0LGAKEgN3/6LmnifbasjTNsmyqXM2yLAzD + JEkuu+wyKeUll1ximUjz8/Nfuu3W3Tt3ddptZfRgPKpUq1GlvNZcF/fd64fBlc+86gs339RudYTr + IKOFkkDgk5/61J49e578lKd0B/2jR4/Ozs/VajXGWLe9MTM/ZwCLoohH4/mZ2VartWP79pe8+FdH + g+E3v3HX4uLiU57wI688/Ju+7584+eBznvOcoBRdffXV73jnO5vNZrPZbDQaQSnKZdDtd6IoKvnV + KKyNBsPAr9Rr01qTwaD3kX/60M+94NfnFr29ByoLi9NHHlg/ceKuWnnWiaK1tXsTne/YFTRmnemN + Uq3uPXDkvq997faDF+4PRa0U5YNephWbm92uFSqlOp1OpVKZnZ218xmllBU2IQ83a8aH+iNVq4uL + iysrK4+GP5L/sP5I5xqUUqBnBlZFUdCCqUIL6lJKfdetlSKVjjbW0yIHDUAFdLuxRFKqTjvclbkk + SF3OVaERDSCCmcAwKCIQcByeF7GURa1SUsqkaRwnZjROG405JriUuTEGFQADoGDpWA+97efc3gZj + qAaCzUELCIBDxzAuoCAR7Y97ENBmc834Zpu3TYHMQS73V2Z3THOHS6JTlhgwMcTttE0plVRqJXOT + aaqZI1AZqWXgBao4b3vleQxjTLfbtQujKArXddM09TxvPB7Pzc3ZHd+SMON4dOT4kbmnLDJHaFR5 + ooxWWkjGGBCDlm28CbE7G+74w/j+w5INAKwVEMBmS5kgUEK0VkZBP+1gitWwXilFmjlGKkSEXBUo + kyKNKuUQJANTpJktZIlBRhlBQhF8EFUShCm/6/NfBQAk0E/6P3bVlVUI3YIZygwhSMAwys7k5ef4 + AVMgBsvlMoJRSlUqlVJAAPOjR1aqJUqYW0jwnZC5bjFJMVEDEmSAdCLghQgApchnKIzOVJGMR5Cm + wAQEHhfCP336dK59N6pImXPGCCFpmsIjUIRsg6nsVVzwZSJ935+dnfUh7HR6GxvdpcUd5XJ5eXk5 + SZJSqeQ4Tmuj64mH8Ts69w/zPMTWevjug3NuKBVCZFmGm6jaIAj27dk7NzX3gjf9zMbGhue4X771 + tizLti9ui0Swc2lpOBwevu++mcb0tsXFo0ePDsb9Cw8evPXWW6+68spf/uVf9j3Pc92/fM97/sdb + 3/Ku975H028B153H2ZEF1DymUHaPdP83VwWxWRmzPujGWBsV+9QQigQBAW0mTWwhiVvSNYQCWL0G + ZmdKhBoChhAK1ABHi+EAgInOPiVoyjXPFDnn3ELxb7nllqNHj/q+f+LECc/zOp3O9ddff+GFFwJA + qVQijHa73X379t1yyy1f+MIXZJ7v2rXr5MmTlnBeFMXptdX5+flyuZymqQ++57jaKES0BdJZhRAy + sH1OOPsrAPi+q5SyOaTVhZZS3nTTTcePH//Qhz4Ux3G1Wk2ShBBy++23X3311eVy2Q6LbF7xtre9 + jVLa6/V83x+NRta4FgDSNI2iiAk+HA6541jcoG3q2Xae7fEFQeA4zokTJwghKysrL3vpS63s0NTU + 1Kc//ek777xzMBi86EUv2rK9SdN0enq61+sNBoMTJ05ce+21rU57cds2Y0ye5wAgpSyXy3Ec2wTM + xlaHmlI6HA4tVMcWSLZBoJSiYlLanN2NNvgwHKTvHOetQGKMWRURRLQiYP/lqT967PiRPEmrYYlT + wamYmSqCIEyzYjAY2GlJFua+7yPovFQul8tAaVHk/dGQc66lklpFUSQYBwCNhlJqRTztXskJtaCp + LYE4KaXU2s4E7FjGcRwCJHC9yA86/Z5S8qpnXFmrVH3f14UGw1zHJ4xJWQA31jsckBIUgJSCIYic + YTwahOUSAAwGvZMry3ff/c04jmFiqIeImlJGNps45BzxPNZRp1qp7N69u3lqFRGHw+HLXvYyQki/ + 39+7c29/3H/HO94xOzv7nB+/ujfoEyYYd1zfO3lqZWp66p8/eUOapjNzs/fffz9wOr9jIc/z6cYM + 5azdbP31+/6mXq+P0/ijN3wCCXnGlVfYyqFSqVhPiSzLyuXycDhExGPHjn3kIx/5y3e/5yd/8icR + 8bVvfG2pVDpy7Oji4uIf/uEfIiXXX3/9Bz/4QcbYwYMHT5w40ev15hYXPVaTOePUazeTZJzP1GvD + QTYYjhszjb95/7vf9/6/9d06Z1MUSlJ5M9ujduvIoMCoxgaD1R2lna/5nV/hNPvUpz8IRAFgtTqv + QHS7iospxkKlfCScEJIXxWg0CktRGIZ5ntuH/6GHga0fLK0IAMIwnPgjEWI9Nx4NfyR1dk1lYSdb + W/Z3H5RSJJOpqc2qTYL5MDd9Azo7uG/2qisudMmOdvtkkcVxWuSKSeVt9IreKGFGlEXAQGR5YaUZ + COGIE9NJAkigUGa8tLMWhKZRq84tPHl5eePz//619kaeK10qVyctGQYWkLd18ycfwfdxgjrUVSC9 + yNPSSJBH82OEk4Hpa6G5oOjqjMZHRkc8xzVCVhbLxtNxHCumVSoTPfb90J/2u3GHR0Iz7XKhKUMk + 0miwqhibXdCzQNyPpKf3gwjbwrQlt11v1oLM8i0td87W7YQQNEg4a7XWrzh4ZbSt1veHPdmzQ3LP + cQwq820QO0LMDyF25y8QIOfGAAgD3IAwE9srIEZwx2OMC1HEshSFZbcyWN5I46wxM42MCp8h5Rvr + rbCIQGA58gkiAyqNtQszQAihlFMyVSrjhmZEMyaA0lIUhq4jgBKDoA1oAnZ0RSwYdtKJ+26DAgAk + xYjTevN0M0viRpn+zPOevmNbZaP5YCZVvydXW3Gzq/IcgTFCXWCMGoIAFCggRZQMDDOyiGOX5Lu3 + z87MVHbNrzsOB4rbd+5vLBz8k3e8b5ypuNAjlVaqJctBGsMYHg7rZc9ujZoQ4gdBuVy2HKR+vz87 + O1sqlbrdvs3PbJI3Ho8xDH3fB0LlWX5Hj1aNdK5BOSNIgRBtjJWVkkYCwMzMzGdu/F+qkJwyTtnO + HUunTp1yGNegL3/yk7dt27Zv376jx4/d/8ADxphaVL/v8GHhOFIpyliv39fGLCwuVmpVpZRhhGz6 + h56NaDhfb+FcUYWPYljVpYknisVhokHcdN+2MxbCkBClNUUAy8/fulcTrMEm5djy+y1PnWhAQhAB + gFnjOUQgJi0ypQvHcU6tnl5YWFjcvm04HCZZOjs/F0WRlHKcxMunVqSUuSyiKCqVSg8eP1GrVMpR + NDSm1+sBANM8TpMoig4cOFAURalUWj51ylLQpZSTdAFBb86QESZiaw+F2OWjEQAYY9bW1sIwXFxc + XF9fv+yyy1ZWVhCxKIp2u12qlAGgN+gzwYMolFoVSnJHdPu9LMtmZ2eRAOVscfu2wWDQG/Rtbm+J + 2UIIwTkhxBYo1prSIFIAwZjMcwoQ+r5gjFPa7XZrtVqe58J1wlIktVrYtri8vCxcp5BFHMezs7Od + Xpcx5ofBequJiL7vDweDUhQZrdM09Vw38H1ZFHZCaBM2OhELIIQQq1MCNvlXyjrrBEGQK2k/xO8H + 4QLnV6Rhi9HOKb/wwgtf8YpXHL73Xt91As9RBpIky7KcUuq5gd3lETHLMsf3lCq01tVqtVDyK3d8 + 7eP/coOF1WGeWb6753mWPWIRmbIojDG+4/q+byH7NnFMksSMjSHAGAuDwML8LBvK0sJ2bl/62ee/ + YH29NeqPXBFxUs4SorXgrqt1gcTqnCBBoKAIyQnJGUpv2/bBYPDSl73EvrWnP/NprnBAG42GTNh8 + hALRaPDcp9Ke5wVBMBwMyKZnzi/8wi/YblOlUllbW5uenm62257nabQ2u0waHZaildOnXnHNNWAM + Y2x2YbbfG46TeGpqqt8fKGkE54tz80ePPlAulx1XFEqleTY13bjx3//3OI4XFha6g75Fag2Hw+n6 + FAAoztfbraf+lx+dnmqkaeoF/mg0mp+fT9P0yiuvtJjOxcXFbre7srIyNTWllFLSEHDypKhV6tSI + qF6uVKOV5eNTU1Pv/PM/FULIAgnwQlLPqWh0+r1kfqHSaqVzs36eKqXiwOfxqC84TZO8VCoPh+Pl + 0+tUBPPzu1qdDalIUaiiKLjgRVGsra1Vq9Xp6enBYNDe2KAWkgsAmyexzSzP8kcqLJRu0x9p6dHw + RzrxEH8k3NyrzyEmrDyKFu/ruq4SWjHFGAOiOXc2NjrtU/cXWXf37qWp6TnXrVGncviBtf69x4tc + UabQMADKGANCgLLJMkeEzfpt27ZtlRI5duyI1Gw8lkHo7dpV63ZGQgilOBoDZkJn/TaU4Fml0jmT + gwuTK6WqfnUUxy74rXhD+KI5WjdV1R11CfpdayoAACAASURBVGNBLex3OjSnmku3GnTHG8CNK3Qs + 4zTPeNHPVZ6apOpVZS6NUopoROI6HkUqC2l38MdUMrW1YCxaEgDCMDyzefIzezIiGqPjdDy/bb6r + h6PxkHikFJW0VFmaEUJQAGUAwAAMam0MIUAfPQWK/6+FIWhVGfQEdQ1sAi3hRiIvNDGUpbg43Qgh + OHL3nd1mp1WOMqIWdm8/9PgnxvOZiVhn2CJIwSAzQMFooAAGCUWKRmCfjlNM8ioSlHkmjQtjko4h + Q58QwcChyKg9Ls0kvTvn3ornBVojYWLf7j3xYO3BB5dPr8SNRrj/ggNhSS6v3iMLTThTyhgEJQHR + IHBj/xThgIYQ5gjX43T37j3TjYrjcMdlJ5eXDx9+YC4RQRAUBsZZVqqV+GY80sUQQlzHK4YyLdJI + VC27PcRSqVTatrh95cEVC08oiqLVagnh7thh/Y6k/Vm65aH8CD4wj7WwaGSjtJTSCQJCSJIkRmmZ + 59u2bbNN9899+nPHlo+95jdfpZRSYC67/Em1Wm15efmlL3/Z3Nxcv9/v58PG7Ey6stLp9xqzM7XG + VJ7nwnM7va4QQlOAsxpwj4Rx+P9D2O58kiTz8/NPvvzyvXv3CpgcuwZQb4plI9nUQ588SRTgjOjz + VtiJDU6+aYsiygxYXiJBisQgA6TGzq8cx9nY2CCE7Nix49SpUzYV8X0fAOzGTghpttuVSkUw1ul0 + pqen4zgWQmitHcex8IG777770KFDw+GQCZGmqQGDlHBCkRJiUAMyIPb1w0LsGGGuEDb1rVQqp0+f + tlYlFntWLpebzWa5XOacdzqdqampbrdrZe4uuOCCdrstpazVat1uNwzD0WhULpezTfp6mqbWlcha + dNqsZgu0ZdvNlnpdqVQsa6hUKjWbTUtMsu/RXpj9caWU/dP1eh0A7PUgBVsZjsfjLUZ3GIZW5HmL + g0Q2WdBkU/VkOByeXF4+evRoq9WK45i7zlZphGfL3z+KHCQAsG9jo9tvNBrLy8vXXnvtU57whP/2 + Y8++8867lFL33HNvu9WZmZlL8yxN0927dwOYJEsvu+zxw+HwK1/58pve+ublEw+O+gM38IfDIQAs + zi8cP34cAALXq5RLn/rkJ1/zmtd8/StfzbP8mVdc+fa3vp0BtZr0d9939+/+7u+ura01Go03vPGN + lNK3vvWtUilbZfb7/TAM2+327MziO/7k3ZFfG/T0/PRFLlu48bN3LizuXV9vVWrldnvdCzCKwODG + j/zI3iRZ3bZQ/rfP/k/HcQ4dOPiMq57RG3Qm8yvOGWPGqLxIXer5vp/LIkmyyIts4SeEcF3XAgWV + UluypN9W0dr1V6lUhBBUY6vVuvDAgcFgYJddqVRSStnsfDPJnmgJvP3tb19fXa1UKrNz04yR1kZH + KlUUdtZpUBvfCynBLMuUUZRSL/AZY0meua7b7/eFI+ywxeLQAIALYYENWZETRq1ZUFrkwCgAeMwD + gDiOXde1lw0ABpAStxSWpdRoiEbd6w1KpdLvvOH1DjcGJSICUkI4IDfADLJNfRgDxBA0dEv72LAi + N74fxHEqC72wsE1p9PzQSrJkspBSMsFtzcM5n56e3tjYsEvO0jnCMLSlzhZP8WxIhh2RPXb8kQye + kcLb6v99h+pCCKFAMcZ0rrMss1tetVbzwihP4k5ff/Gmo8VouNGSX/3q0SQD1we/6kS1aWChJIlW + RSkKQVmKH6CRyAhuuUMgEyT83L99ZWGmmmbx0SNDxn2jXCR8ZmYOuEgSBLLpn6fO3NIze9D32qfh + yLhdlr4nC60xi7OU+lyawnVdKng36TjCBTSKYZ6NmUsMYanJGGfMUAkSGAjPHedjoIQQwggHawGB + SB97vqnGGMY4pbQoisFgsLi46DhOr9ezwAALH43juFQq5XnulVwmqAbd7mwogV7Fy3iWF7mR2uMO + Ihowm/ccfwixO+9hx6WAYP2yDIIhlBIgSAWnMlMVJxIcdF8v1KeL5WFFOXqchL6zuL9RhaDEovaw + 7zCfAgEgsig4Z4SC1Dkj1AhnrdhYlb12r33o554iwImC0mg8vi9dLjqicIuCS8l1sZXEIQMAhucC + GTUAGjiWNOrKVMWpBt1U3LPS5aD89fyzN/9rrTqdF5goE7jUKMMoEJCEGgOaAEfgAEQTB5ASZEWa + fu5L95TC4OTJk70hAIU9exvHTt/uum6ZuhXHL5jJMLGJFA0ppbZWJ2eP3ClhWZYFNCyVSqP2aDgY + MyYqYUUY5/7779eFLpeq41ECAFFULopiPB7DRLAEYHOH+QFUR/bUtlh62/zinI9GI8dzcVMF6rva + us0EpOl7ni6kLmQ5Krmue8cdd7zhDW+Ym5tbObl85TOf8fnPff72228/+LhDyui3vP1tcRwTQsJK + KVPFRr/ruv4wGRdGjbMk15IIVgoqhsLq+nomC6DkbAM9+J724a3jLEmSLb3mTqcT+sFWcvk9//Lz + G1vXYy8GN7GXsIkKKZVK/X7/N37jN75x+x1rp1aIwbBcitPEdX0NqI3JlfRD3wK0KKWeQ7XWwnUZ + sLSfRUEpyxIrAWVQRaWSITorCmNMvVYZ9gcOZUQblede5I11ZoC4QuRZlmRZWCoxxtZbLeG6c1NT + 99xzz8LCguu6g8HA87wsy8pR5HBu8SaEEM55LmW1Wm232xbVtmPHjn6/b5dWmqZTczNJmhJChBBZ + mhLKuBCFlAYwCEJZFEWeu44DxlDOEDGL09D3R6PRzp07T506Va1WLQnftomTJNlS7rXM9mq9bgCY + EBvdLhLChCiUAkoNgHDdcZK4rmsALCqvWq/HoxFsPoBbQBJ7tTYrBgD7Zi0YSrhuuVo9evTo0tLS + eDyO03R2dnY4HArOR4MB5bwxM9Pr9TjnM3Nz3W5XOBwArHiBhaDbPM1igmATJbS1D9h7yDlvt9t/ + +kd/+tznP9fmcmf3r8/4wCKeq7HXeSuQiqKwFV4QBByJVV6/4unP+MB73wvGPP+Gn+n2+4Hjb19Y + JEgVFPWZuWtf9orn/Lfn/PTzf3rvrt1X//iPv+71vyMYV0rNzs4O4/HCwkKz2bzrrrtso2Vqauod + 73hHmqatVssaga2url562aUyL574xCe+7/1/e/3116+urh44cOBFL3rRFU97+n/c+qV2u+04DhBi + efOe5yXjRMsU0bzgBS943MH/+t53feZrX2of3P0Th+/r7NlzRSEzrzEWTgy0ud7++q7tB26+5e4/ + /eO3/NZrXvUrv/ri06fXPOLFcZqOE2vFYJRkgtsZoi1zq+VSkUnOHYth25Ip/7b9Hc5q8LiuK6WU + iFmWmVwePHjw2muuWVhYsKVms9lkjL3lbW9bW1szgPV6fThI8kIZDbksyuVypVpyXaGM3rNv97Fj + x5ASRIJKT/ZxgoRRx3ERMS1yu5jCMDTGKDTwkM3OIG6BWb+bwToFo1GlWcEI9X1PMJZlSZYlBiXx + BRCNE1EtSYBppEgAKEViR7IGCKWW6QeUMOABJQzDaugw0Rm1tNSFyQsl7dzGgpGUUuVy2fd94TiN + RqPf61ntOEKIVVzYGgqdfZ1bz/Cj4Y9EHuKPpBCRC9uCYXZn0Vpzzr5D268oColSC+1SEQSB7/s5 + FGmaFtpQBKWKsOQP04JRQA2cQp4DSQtNB15IPM/Pcp1lGSOcEgZggDCKxn7ENgEriqJeraVpliZJ + GJXdMEQ0TAQIVCIopcD6/VCwVKgzQ6PN2Jz/n0MQpJaMQZECgGKGGmqI0Vt+UMQgIZpKDRSJQWI0 + ASBGU0ACmuKWahuSibMRPMZA8w+NrRavZaaZTQF6O0GyE0Lf9+1IHCgBCpa8okEbsDpGZ2/09Ieu + R/+XgqAR2iABNrnB1ABoQgkQLY1AMRznYcaqM1MlqLjgMaNd6vV7ozIJ5mHmmFnPkpyXudEGDFIw + iECREAoGiSLacE0jVq/PL168GzIgilGAQheFi2OZKiOV0ciAEEqBIBBGiDHnuLyJU+QaiAEgSiMX + ru85eT5K0zwqVSjlSTxibii4g1nuuoEsxoAUCN3SPgY0tgMuNQoejIbjThccD6SCTqdXr1e1hiiK + OsPYuJRzbjOwswcaxkx2DMaYIZpzzpAhEs64JS1ojTLNtlQxySYE+vx/ot912P6mVZu030nT1CYe + FjBCOadWCd2gQWSEbpmunP11wm7ZtCm1z77W2hVi0Otfe+21//OTn1o7dVoa+bjHPW6t1fRpsL7R + nmlMj+IxKDXO0pm5OQVoAITvfebf/u1Nb3nza1716lwW17zylf/4Tx/xPM/+LesyYr8CIY90PY/0 + VTBeKMkIdV2XIBRFEXj+2dURPAZKIwA4m8prswJKmf3+hKwOAABZlp0+ffrOO+/84o03FlmOBLqj + geP6wJk0GglwzsdxvHfv3ixNTy2frFarWuveRm9pYQ81NMsyh1EAyFXu+o4GJbXu9ruEUM9xiTaV + IAocN5NFZpREYzYdgWxD3NY/4/H40ksv/ehHP1qtVm1vlBAy6PVKpVK3252fn4/juN3p7NixYzQa + eZ6XpikFsL8kiqIgCNqdTnc0oIwFvh9GUZHnaZYZrT3fl0WR5TlnrFQuU0KGoxGjNAxDnzvDft/C + YYbDYRRF/X6/0WhorW3R0mq1SqWSVbHKsswLAruqbUZk+Ut22mOdS44fPx6GoeM4zWZzYWFBbhYq + Zy+GhyJpz3xem6rCtjYLgsBqZRFCZmZmpJT333//7t27LeOjUqmkWYJnxZnJzyOvPUQMw5Bz/v+8 + 8IVJklhClDxPWPPzViC5riuEsFJ19VKlXq8LIcIwpEg5E6P+kFNWpBnlTuT7xgCnYnqqQYFkccKA + TNXrlz/xSaglIp44caLRaHTbGwzIvt17lFKgzXvf+96Pf/zjv/myV1oBwUaj8aX/uGVhYaGxq3H9 + B65/9/ve/ZHr/3Fubs4o/fSnP/3YsWOj/iAMw3q9HsexlHI0HJbK5SJPCSuyrPvff/rZFNnPveBn + WstfWHtwat/SE/stVMrUppxu53B9WvQ6tz3vvz/vJ37iUtSGCb60tDQ9Pa1hooFmCS2MsSRJfOoT + gpyRaqVUqdTWVptbxlWO41jbMnjkz9iCJgExCAKTy+PHj7/nPe+pVquWonrJJZe88IUv7Ha7vu97 + gW+XmuM4YRj2B91apTI7O1OplUejwb4L9o7H4+MPPsiEE5TCNMmMlEHoMcEtiFbnUinluK4d6cKm + tdZDw+bN391eiMJRlGkAyGUSjxVjrFwJHYcnSUIoZ2A3VgJIOVCkJEc50fvbtGZDAhQQiKEU+sMm + EFatVDLZC0Kf8NwYqbWmgjuOI7VKksQmka7nRVGkpLQqc1szjUd8UI15lPyR5EP8kc6ojGw1YLaa + Io8UjDFttCGGbOo05HmexZkZjBnKXdvrv/zCZzPTb7ceXF9bIYxTESEpHTnRXF4dUFYqe5HRTClF + qTbEFhKCgCW2GgopkuzAob2MZjPTh7Zv3/vA0ZV//sS/90dACKk2ZoUQ3BUql6AAONg7djay7rta + LA8JCkA1tX6thoAmRjOFxJqrbgbRmgE11BDrcW49GKgBoFZwefJvrU7RhCWyZZb6rZCJSbrykAv5 + wR38W3IgeZ4PBoN6vW6xdnau22q1AMDuG1JK5jFC7NNDgFEDiKDpIz23P4zzHQzBUUBsL4lQTUAT + 0NaEnRNDOROecNyM0g4k2nWwKFiqFxsLIfc3cAMRHcdTphCUon1MNhcagi4QgYKUBRpiSJ4PJVN0 + ZmpGoen1uuWZkuRMglREGw2I1ivasHP66AkALYBrj0arp0+vLZ9qlMSzn/3sudkgTTqj0Wg40keO + tpqdIk+VVqA1M+ADgEGOE4KiYWg4KmLSgKmDS1O+N7Nvwdu5a4dC5YW1+uKuP3vX+zuDriLcuDR0 + fdvay/NcgqSUU3oGXWyMQYKMMZCglHKocF3fdr7SYYZmUjxsFUj2Bx+VfgcxqJXUIJMkYYzxIHC5 + 8Ko1Sqk0WheykBK0MQQm8CcwD4U8GQLM7rF2mzRotAbQRimV5b/0S7/0pje96d1/9p5mb/0rX//a + XffcvbRrZwJZpV5DAl4YBK53am3VcZxvPnDPOIl7w8EXb75pdtvCBz/4d6nK/+UT//yZG//NSHX2 + XzzLGfPhr+eRvkqpciVVmnPXASBFliu3cLmY1If4mJAONwTOPnNtCc0IJYRYtI6gEzS7pQ/YKT0B + IxzP930/iDQBk2VBGKZpWgpLx48dE4yXowilqpej+em5Y8dOc+54jiscoVRRmEJKBYykMt9z0f72 + RpcRyoDEadbqNSnQSqUiENM0teZLRVEQQjzPs/Jg999/fxRF4/GYEBIEwYMPPrhn165kHC8tLZ08 + edILgsXFxTRNe4P+rDfLHcEpY4yp8XhjY8MVTqlS9sNII8ajURpngjGClCJO1Rrj4TAKy5zSXMos + ywIvRK3TcappFnh+lmWC8UajsSV2sr6+PhgMarWazXy63a7t6tqmcxRFW2WGbRBbEM36+nq5XNZa + W7OcSeo4YdKeAUM9rFaWDU6I1Saw1IYkSbasVgaDwcbGxoEDBwgh/X6/Wq22Wi0/9Cd171nsia3G + 98MsCa2VUoPBwOKAfN8fj8da6+81JXnI9Z+fX2N3b8QoisIwtOvSFqPAKAUKjG7ftqOz0ev1egyY + 53tJkjAmmq3m7OxsURSHD983Oztdrdf37t0r0fT7/SiKbAprqThvectblpeXX/SLv3jxxRefOrlc + rVYX5xd837/kkkv+5TP/8mu/+mtLS0sf/ehHm83m6173up/6qZ/auXPn3l27NWCj0ajX681m0w8C + JfOpWv3Sx1985zduLwd7DhyoFxI32oOpKkctCJJRX9YqO8bDTimoeS6MxnmtVgNTHNx/sFqu5YWs + 1Wp79+7ljAS+OzfTEJ7LhTDGaMDID6RB3/ejqGwtt2w7AREnqu3fGptdGUMpTZMkSZLI9SuVinXO + snS60Wh05ZVX5nluZ9+IBA0p8hwARoMh0QaIWj514u6vfmN+7/b9Fx4UQgzHYxqxoigCN8il1Fob + MJ7rRqXSlmKbPZPgkZf1d3skESNVBsRwzhlnnFJEyPM0SbTWSAjhhE60/ex/FJEDTmD0E8rjJrre + UAqEGcZB6bhScRkjvk8ZJ2cGqY4wxlghBJFlURRVKhWLplVK2Ybft0G/vm3E8Wj4I8mH90dKxxNt + yk2/Y/iOTdOJFjkDI02e5/bjcx3fDb3QY5QWt335S+PeSU+oxlS5Wilzt4ysvNEvmu28KNAQJEhB + E7AbzYRHBHRzIwkCXwhhZHzvvfcsr6xxUVrcNhMOtedVkTm9XkcVEgiAAGBgaz84Hz3FiXM5EiSg + Jo16g8TAJDGaWFJotqWQxzbNzo2x/2zyeyYvJ0xcPGe9qR9YbOFhrGRIu91O09SiRu0ysDoinHME + jbZ4ZMRqMiGidXvY/GWUoD77nT5m3/V/xiAIzABDq45gGzuTx8h1XVVoBXj81Klb7r1lwWukrNi5 + NOsmRbPdXL31Jndtiu6oyCozqNM88yhSoIpoAEYplZpwg4zwgHKHu3KsGuWG0A5IFOCgCE2qDJXI + JVJDCTMAFJBQCudqdIVgj4ByueyWQ2L6Rx44vHwi0To+dOiQw8v3m1UETSlorTaz4a1dYQLABWJM + IZmAKAgWF+qCynjcX19fo27otwblchk4HWXSq0W8RC2vhhk7Hv8W6IRtEjEmtLbKvKCUStNUZjpN + Mp/5BJjtZNm9lDFGHyXREXvQVCoVm+kaY2w3zT6YQoiSG9JNk/otTd2HC4JoHeQJ4YQxZlN7QJib + m7vuuuteWbyyUZ+K43jbtm1Zll1x5RVxHLMwGoyG3WJjdmE+Ho6uu+46Ow1YWVl585vf/P6/eR93 + nY1mi2yyZxnnzlk01y0Uw3cfflSyok2O41ipLXsInt3Y3Rp9fz839vsMCgS/1RjXvl/rG+m4HBHt + HMnzvCDw7CIknDGNSZ7lRUE433/BBWtra6HnO4IXcSoIEIRkPCRSP27fLiTAKUOt8jSDik8caigx + nEqjlM6iemPYG+ZaTs3NJOMkl4VVEQOAOI7zPC+Xy4QQC5Ybj8d5ngdBwBg7cuTI3t27uxsdAAiK + wNqEOo4zTmIrJSeE6Gx0jDELc3O+73faG1P/L3vvHSXZVd6LfjucfCpXdZyeoBmN0ggQSELwABn8 + jISIDpggYZsHssAXc829COMLJi4TzMXG9sPXwFsGIzAGy2SMAAPGEiIpj0aaIM30dJruruqKJ+/w + vT92dc8AEmawjHTX5VtapVb3qKfqnH32/sIvNBrLK6u1ZsMmLEkzx/Ob1Vo0GK4sLDIgXhhIBCEK + kKpSC/MkLTQwClrrXq8XhqE5ps26LZVKlmUJIQxBwPf9Vqs1HA6FUoZf1O12wzDknA+HQwNv2717 + 91133TUzM0MIWVhYqNVqnU5niyoCP/VKMF60tVotjmNENJWkQa76vr+xseG6rlFYqNfrcRpv4X3M + //6TcwzPdU0OZnBGZkyntTbEkP94PJSmGT/C+mCMOZ5r2sT9wSiKDwVBqVytFZmQCgnlbiloTkwu + rZ24APCsc8/53q3fq8TR/Pz8iRMnsiwzuslpmiqlJicnb7/ttrm5OZHl3XYn8Px77j6glJqenj54 + z71nnHHGRz7ykb/6q7+67rrrEPGuu+564hOfaOhlmsDq6qoZOuV5HkXR0uJaMiq0Bs/zLBssW1qO + ktgJKlVmecNBD1hWKjkH72uHAezcec7d+w+7DimKwvd9rXWcxabyMeLuQRD0+j1Kaa1RP7GyfOjw + ESHw0qc8tUgzlKrIC8uyCKVKPgCp1HTNDR3Nc11E7HV755xzzq8973kXXXRRkiQGP7q6umrK92E0 + chyPGbEKyzK16NTUlGWxxaWFosgdx/F9PysE49x2Hco56LHqkVQKNvk5pzLbfjxOM+HVFLSSQqPm + 3KaMiEISwizHtZESGMPQiWZGOgwpkdooqgMAUNCbttRaawmgq5UyITjo9XzfX1tfba+uccoopRrR + cJAMSk0IUQiBiLZllUolrbWxuvoR0NcPXe1HkD/SiG5ax27VVD/hnZsQQiiikI5R75Zl2R5lynLc + cpEmaQaHDw+ibrLeUZ6VCrmmCNSazCs3mV0iSIZxRhnhlHFjfU0MUkdv3nJapPCdb9+xfbYZReLI + 4aMI1LIqgV/rD2LbN5KiBDQCjruJW0Ow8eDuFIefnz7IJlIMCSJoTYwGqyaowTjzEYnE6M4ZdQhu + slUkUhMKCEj0Jj+VklPk6fBUkJ5Za5tAtE2/CPPHTr6ZLff0/9Q4NX2p1WpPe9rTXNc1P1pcXLzr + rrsAwEBGtdaUEEJQGx8ORjWgMTQAimND61NQjacM034RD00goZqMDfEAKAVgY00TnaaxUsoLnam9 + s81ms+GUi27qCuCjfHdz34gU81G7tGsiJXIjaTPz6BCikSogSBgDIJqE4E7yRkj879z6HeknRMLG + xsY5jznvnN177m/fRyySIxBONVWEMCAKCD1tUh3jgV/OksQJ+K4dc/cfvvP7Bw6GPqlW3Hu+8G+c + u0JZSjueT2rVMBcZAQ1GmwGYoakjgAJKbScpxG0HDh+et+bnV4YjKCTMzo006+WSuW6tVG8lWPSj + brfbHQwG/qSvOZFSK6XHdRejjDFDydmql/I8T5LUlaC1JnzrR3Ssy2zwwA9HGC1TU6ptse09z8uz + zNCTijw3u/0WnvCBA02BdJIyMa79KPv+978/MzMzNTUVx7HjuWmeAUARxyU/4JRVS+XRYMiABJ6/ + dHyh1Wi6vnf0vvvL1crK0rLre6EfrK+vz8xOj9EEaWpOQIP1Ot3P293YMBmXSS1sy0rTFBDp6f+q + /9TQekzqMpWqmcuZXqQpXI0HBgCY9jSxudZSSgGMMsKmp6cvvPCi17/+fzSd2p333HrowAELSTUM + OBKQQsjcK3tCFVRDnqY2tyq1aqpELItEKbBtp1wq1+qf/OQ//tM/flp7YSUsRcMR3bRJNRxmI29A + KX3729/+kY985MCBA/1+3/O85zznOZc//enrq2uMsV6vJ5T80pe/fO+9927fuaNcLi8uLoZhWK/X + gyBApVaWlpv1xmv+6x9cfPEl/X6/5AfcsVEqZluc0EwUrmUXSqZRXK5VZV4gJcNe3/E9P/SklFde + eeVgMFhYWNi7d+/ExMT+/fu3bdv23Oc+Vym1sbFBGLvhhhuOHT9uqD6+74dh6Lquabya1jCl9N57 + 77388svn5+dHo5FhdkVRRNhJCsNPUyARPClQMTk5ecUVV3zwgx+s1+uGfrJv376LLrroyJEjKysr + 999/f57nk9OTErVhHyFuSeXRB3Q/owiDwcB4Apm03CAvlFLskVYgGTrjYDBIkmSq0TIwR0TMZSEJ + PeOsM1dWVqI0444LnEVpUq3X2p3O3PbtQknLdyXqtY2OVy37pdBwhw4dOmSoR/tvv31u165yqbSw + sGBwwHfdcWe9Xm80Gp1OR+TF7bff3pxo1Wq15eXlOI6BQKVS6XQ6S0tL1UbdqJoYSCgobNSn19f6 + u3fvjofF311329GF7we1s7U+mkvuu+V4Y7Fslzsb9+46o/H9H9z//ve/8Ss3fKLdGe6/68A5552z + 71HnGRKUIY+219ZHo+HKykqpVArDsEiztNunQcmQO7eynK0M+EdK4a1xg3moOOcyzU+cOPGlL32p + 0+kIIdI0XV5ePnbsWLvdrlQqBnLgOi7nPE8LQkiv1/N8a+/ePRdeeGGpVDrRXh9FA0QlZK61Hg6H + rmsTi1mE5XkepYlnO0YP0PBNH4pWEGXUtm03y7LhILZt23V9RIKIspDEdFwJI1pt2u8i8JOgp3GW + Z+wWUCMqkeR5kYGiJb/iTPgTzUlTcWithBBEClMbIKKRdOx2u+Vy2fgOra2tmR1q6839yAfER4o/ + Uo8QEoSe6XacOvL6CXcEEY2ugzkYooaELgAAIABJREFUGGOFFHkihAStBHdIJgSzbM9KSyEMI9Aa + FOqiKCikChzXdzm3tUTQgMYNQgOl5q8bNzUc7qRJNhjEzVrV8srdbqaUKpfLyHiSRGMOEhm/mf/4 + 7GgzNEVQBDTRigCCUe9ANh4foSYayDifYgqIZgBGhFieAp+jm+yjccFlFpaijzjNAqUUp2NSogHr + mi/MAW9OAsPktG07w9gsCcaYIril2/Mwf4b/YwIBBKWSAtNANovpsQ8JRcd1hkm3nytH21ma2hbl + 1arX8g4sHreqga7Stbg9KEZ+yUUAlKApkRo1EEYtjciRuBCUijKM8IaPf00m0mJ2pvKZxtx5Z+5b + pQFjlDCWkVwQ1GZOiEgJOb0aXsgkjTVqWaTrnVWgMDU7pVXcH47K5QCQp8Occ0drmeUZs7g22P3x + Y2QeeQmoKQXi2GmRSpVECRQCuAOu7ymwuOPEOUZRlGDhT/mTk5NBEKymq4VTmOm4SVY0oNaaMr4l + J0AIMQmNSzxGrR9XX6QPX8m/Zeo4Go08zyNGgl+pcQbJLcdxOKEKEJSWqB8QugZgVEJxCyZkShet + NWicnJwsl8vr6+tZlhlKfVAKXdft9XrEdfM8b9brUX9ACNk2Nb28vMw53zE3p7XOuSWEGA0G1XK5 + vbrGbMuznVKpZFEmUWshhVY/PbjOvFbCErV4s1ZP8iwejrwwGHeWflit9GG5F1tBEZBSs5C22kxG + hXtr6ggAW8exRM0cjhJEIUDpOBrFSdpe61SdUqaSz/zD9d/88g0XnLdvslIhQvm2dfCOW0erC7aW + jmWnSVIql5szMxklpBxOn7Xn+q/c0InTP3j96yerLdd2RaH6G33LYqZgJptKtlmWDQaDRqNx8eMu + fsUrXiGlbDQa5XL5kksumZ+fdyy7t9G99trX/dPnPp2n6dzcXBRFptUOAMaVxCK00Wg0G80izd7z + jncdvOeeZrO5vLxsZkH9fr9cLhtpuF6vVy6X8zzfvXu353lCqRu/e9O3v3OzkZ6anp4eDocacPv2 + 7S960Yte9rKXHTt2DBEHo9GxY8fm5+eDIDAj3MXFxdnZWVOWGP68ZVn1ev3Nb37zBz7wgRtuuGFq + aiqKorBcMsXnj6+EB8sHCMJwOJyYmFhbW3viE5/42le99kMf+pCBRL3whS+86qqr7rjjjquvvnpj + Y+O5z33u9OxMb9j/kerrJ7u+BmFowH7GGMBsKQ9dcvLQFUhGWct1XSNasLa2lmXZKI4H0ajdbp93 + /r7mROvI4WP94VAppIR1+73rP/eZpbUTv/R///JjLnzcifY6WIxwNhgMqpXK0vKycZRPkuTsffuM + Tjci1mq1KIrOPvvsfr+/srJy6aWXHjl0uNVqXXbZZf/2r98SQqyvr+/atWt5cemMPbtrtVp7bR0R + y7WqUipNU4e5ySgTqbzu7z78q7/2YmL1nv3rF7hs7n998DrLYnHqWVWytBFXQuv8fTvrNeeyy55+ + 8ODC5FQzy7I8L1xum96AESQBgDAIpiYnOee+45591lmt1gRhjpCyKAqzksyc2jDefrxGAgBELJVK + w+EwSZKKH9q2ffPNNy8sLACAKdlHo1Gj0QjDUKFeXV2XgTIWPa1WK4mHnbV1AM1s1u12oix3HAdB + oNKB7wc2oRbtRUPbtSmlZq6a53l3fR0Rq9UqPNCy/nFthp90HiOVggByVOg6roGrjkYjABj3xTUC + nKrzowElnOzcb/4LGaWEMVfkhUWCydmpQ4eOVEvVdITGQ35cvWxewC0gnCgKADCk9kqlYma48CAP + 8MPkj4QP6I8kpTitZHcM4SPj32y6hnmS66gPqpg5c/ZXn/30VpkfP35o0OskeWZ7ZWaFh4+tHzu+ + TqgfBHVAncnCFBuEMCCnnn1CY3HWWTubTT8Iz999xt57Dx//x3/8Sn8ElEJjcsayLMu1RVaAAGBg + dEf+3anXTxMUjfuERkI1VePEYmz/rIFoMyMyQseEaI4WANUakdHNeedWj5kAUiCntpw1AN1KVh4J + QTY9jrY6nQBgehZFUZgppVkwWmvucToOoPQk7P4X8fMJRaGgBAlwPf7HVEcENCfaIZaykHtuSII0 + Swuh1vVGjzC+o8SDYNhfZb6tABWRnDMlCwps09mIE62Z5jb1PdqgjBYDDooTy7OIW/ZbNgRFgrnG + zJGFpRVFZEabGOB0fY8JUCYdy1pa6Kwvr9Qr8NTnPXluptZZX5ZSdrrx/Pz6eifNskgiJ8hOmalq + IEBQE5AUBGLuMLVjbrrZCPae0avVK5bjTM7sYG79/R/42MJKYvmewCIsVQw+J5e5oJJzm9KxtzsA + aq2BIoWTsm9bydDYz1CbqRHAKS3Fhysrd2xbSenY9jMuv3zfvn39fr9Sqci8QEQtlUINGo1gw4OJ + H5jPAZsEhFMLJPOfCjUAGDKCeeRd2zHHh1G+7Xa7ALBnz56jR496nmesY4wcWRAEcRxXqxWhpMiL + QgrQSBi1GKecaalOS6ShyHKh5PTk1NH5Y1/6wheBErRsQqkRc3pItvr/eOBYPw1ha0BEiMX4uGNY + FAZwZCCCQgihZJSnCKAJlEolZjkMKCjNgQbMd4G9+y1vf8KjL7j71lsny7V777zzK3/x/v/2y78c + xBFjrMcj6tocAhX6c49+9EUv/M3fee7zX/vOd6wemVeOa1GLMscJ0GGUEMQcuG2VKmXP94UQpUr5 + mc9+1rdu/jfbdXwedPu9wWj47vf8ab1a40BQ6ddd+4cf+9jHOp3Ovkc96uj8saIowjBkjDmWLYTI + ojiKIseybduWWX7N77zs0ksvvf7665/2tKeVy+Vrr712fX293+/X63USlGvlGgC85DdfdOmll57o + rL/hrX9sshTbtk1j1+Q5Wus777zz2muvzbIsKJXa7XaWZTt27FjvtOd2bL/tttukVlKrwWhoObZC + nUaj973vfZOtqe07d2RFXkixNV1UP9x1/MnVCAOiAS3Hdjz38iueIUD+xm8+/4YbbhBKfuzvP/73 + //CJlZWVxz/+8W9961vPO3/fsWPHAIAwuqVfhZuKkQ/IQSIIWZbBZoZmFLaMkt5DdeD/jAXSD28B + AACGyGH65UWaGUzRv/zLv9x0001nnrEnjuPV1fUsK1zHV4ic0TzP/uXrX7/n4IH5xfmPf+rvg8Dv + Dbrvfve7Pc9rdzq1Ws3Q3LXW3W63Xq9/7WtfK/FQgfrM5z/ngfP4Jz9+5xm7rr7md/edc16hhMuc + 33757/SHg32POv/666/njCOQZ17+7M/+82f/5m/+Zml5mVJar9fjUQqUTM1O3fjtb9/w1X/RKpib + PXtxsbt3XyhUPBqtzs7syBISD7pBvfHn/+872uvz37/t31ZWljin9YmmBqhUKgAQjZLQDxDJcDBS + SmdpvLi4NDk5aduu1DA/P+84ThRFhjdm8nJz207Noc1l1ABJHBNC6pWqLIQsir179770pS899+xz + mMU//elP33jjjXEcd7vdSqVSr9e1Atd1J6ZaV774heedc048GriuXW1U19bW/DBI8wI14dxO08yi + tl/ykiThjmW2ksFg8K/f+tbXv/71drtNCFGA9IeNawghdPNM2vruJg79QVYCswqpEInremmcbWxs + 7N69+1nPetZLXvwSrUErAGSEWAS4mSwRggDGvlMS0EDUGE+FmhCS58JxHK3hec/9NSllEJTG9Qmj + juMwi5v5qdaaUCqEcGxbCLG8vNxsNlutlmVZ5kSBH66RzGP2MPkjSc/zGLPyPD3pj9RZm5+fNxBh + epJSQgg8KJ5Baw0EtrYM81apRyklvsfyIr3zztvbJ45aVNSr5UarZXue65c9b2TbNqFOlmVxOqSE + e45DzE0lp1bDFAHKtaoQ8aFDx+89eIRbpTPO2CnR7vVi7rj9wUCkBRAAm4CNWmvjFEcIIYQBkYAU + UQEYZI4GQE2l0bglSKnmXDGuOdMECQiKikqkCkBrqnA8SzSKdkYaihLQFECbpjJSQMY0pUi1yTco + GCtnQErG1CMjZQDUHOjUWAAaaYexHzw1Qg6brKXxhSVAkeifV6uaMUaQmKaJ+Y5ZBgYf4nneljcA + IoqiOKXd/kMV+8/n3f4itsKkWUjQoDe11llRoNCQy05/VY6KHRNzNrGUhEwU/V6v2+1uO2dn6KAE + kRbCAWLW69btQ6K1BSmR3GLKJ1SyVEupRUEwB819l9vaspRkWhOiiUY8XQ8PAAAgYMaSrVarVvaG + vZUffPcHhyuMEfnoRz86DEmaLGhkrheqXBDCjFICGPHEracPQCklUDYnJ2amGkvLOhfqyP0H77r7 + vubMLkrp3OxUopCXXGHnnU6HUlryS4SnCkFKSaUmhAAnnPNMRhYzqCdpoxpX/0gYpSAREcx6P9lp + eshu4APHgz5IhDiuKwqJiHvPPOu8c/fdcsst0WDEtnY9xjZ3a9NqomZfJUSDkeMgRkLAnPug0NiL + bm31BCm1LQsRLbCzvDBFUYrou97q6urU1FSv19u2bVscx/v375+bmzNptLEEMOmW4znDaASMUkYd + 2wMAohERlVLEkNwJnPJKCKD5euubW1+Yg+zMM89UqKMkNv1ENj6yx68/csA9LCGlJIxuIQltm4d+ + YGRd0zgeDodxlJrmqfkIfhCkeRYPIsYsItGyGCpNAAuVu65drpRc17YRa469vVqbY/ZskgWDOAiC + gruEu91utNbt8+kZ6PZnJ6Z2Tk6de/bZR9ba6+vrjYkZz3eLPLYZU0opJSmlQhRRFE9OtC77lad/ + 4h/+3ne9D3/kb3/rJb+NoAf9ISPQ3di47LLLvv3dm44dO7p91457D907MTHRHfSVkkIUSZK4jlNv + 1ofDYVZkQRA0642LL3w8p9Y/f/HLV1z+zJ1zuxbmF6u1cqPWlLJQUoZBMOj2RFE0qzUp5T0HDpTD + UuD5URRVKpWtbKHb2Qg8v9/tcc6H/b5r2xPN5nvf855KrZbm2Qte8IK1tbVKpRKGocl2zj//fK31 + h6/7sLEg832/UqmMRqM8z/UmxPHfvVkUARECz19ZWq5UKueefc7/9+EPXfmiF3/x818ghBRF4Xle + vVo7cujwZGviosddePvtt4eVktZaSSWLsfWZaY4r8cCdIc65eYgM7QURzQEq8UE6iT/aQv134rQL + JIN+33rdCkKJEMJIZASeN4xG27bPff2b38jzvFGrb2xsIAJjFiBFRM5trTVjBBGlFpQBY9QP3HsP + Hrzt9tst10mLnFJKLQ5aU4vHWfqUp/7SeOYLwCglhKxvdF7xX35PaS20MnoybqXUGQ2eevnTAcBc + MsN+bky0er3e0omV3bv3fPVbX/vt3/2derWcJAlKyqlNmSsFAGGaACADoikCAUHI04AoQghQlFI6 + ln3jLTfffusPLMtCpTixXduTSmlNLcftD6NhlCASAMoZU1J6ZoSCqKTk7KQhy+YGSQAAARzGi6Kw + LIsCMbOLq1/28qtedNWb3vzH5Wrlqhdfeemll77pTW/SAMPhMCiFozgiFt0Y9i+7/IqXv+ylq4vL + szMzs7MznU6n1+slSdpsNru9XhCUkJI0GrncCgK/3d1wPHdm27Zff8HzP/WpT/ml0HGc/nDgWHZR + CIdbnPM8yxhjO6ZnX/GKVzTrjVtuu/W6667r9Xrvee//PPPMM9Mi11rf9O1vf/SjH43SxPd9IQSi + YhwY01piUaQcSKtWHW30ztp51suuenm3l7TXh+XyBMEgjrHR2tbZGHDbdlxrNFx1HOXZUogBo9Kx + QUsBoN/2trdddvll6901pdNCi7QYME5NbaC1zkVh+utKKamU6ZEopUzZYwoYA7w0U75T/ZHyPH9Y + /JEAWZ5J2+aeF2g9iuN4MBiYjqnnuUtLS77vF7kMg2ocx4i02WwxyoVGpRARGecw1vmgaGwrtTad + EsdxeNlz7CDLozgd7T+w5BBrZTEhekPBhuODE3C31CK8HicaCC+XK1meZCIjDAilQDkx2iGaKgQG + 9rdv+kG1bFs2X1zYKFcrpXBiMIi5xcz5SBnTWkOOwEDpIk1jH1ytAZBSyjUgpQQVMrDSNOW+JpYu + VGRxW2kuRsrNSk1SE/28XK+tyy6tkggH6EAqUq2g6pfX10eTEzt6o0FexJWypwtBObds1ukPKrUW + A571Uj+we0mfu47temk88gj3bTcejlzXzRAJQYqEAyEatdGUR9CUagJcAwFJEAhoilQDBWCaUD1O + fMfiEIZqcrpb4mkFIUQrbZKho0ePfv7zn9/Y2DC2aZ7nWZY1HA6DIDDWGa3Zutn0Lcsa5SPXcyin + QgjXdnSqAAAJQQJbcu3/e3hn/u8TTIO/eeiYAklRjQQQgFMPM10ioZ/ZO5ozZ27b8eH/9TchCTzq + ZlKcfdH5V1z0K99Y+V7hIKv6yhJCR7IQjmUxZuUiA6DccfowyGS6tLDinsmZtjzuW9xZShfCgZ/Q + pIBcgDbcJ6KpaS5R0KfXHiVAwCKcVPxSEkeV6lS71+10E9uSh+//muuVOa8KCYDccbz+qF8KPNBI + zMQKCABDAIlIGFKmb7zlQLnkHTp4ggEUBWybdbuj+XIQJsJhhAuqNWNmbeeikCCBsi0FGpO4c4sh + KEq5ZVlUUEQkOB6NMsIooxTJeH828gan2Qw+rYLK3FOgm7wgzimlUipGqBCCEoKEBn6Ypvl3bvrO + ddddV2Q5t6jWSoOSqJXCRrM1GIwUEiWJ8X0mFONRLwy9aNQrl8tEMyFEEHjzi/O7d+4eJSkQXhQF + 5ZzbTKrU7OQb7X4prHqOE8cj3/dGo5FlWeVy2cg1mc3BWItmWWaUfrTW5XK5H48cxzJGN4xZjjU+ + E4kG4wo6ZexlLItZDmiZp5nWOvR9oBSVMkIUGxsbQRBkWfaa17wmF4IwbnGujb77KVf/kdCX0Vor + IYLAy6UolUpRPKxOTWqtQcttM9OjUohIhBBCiHqlOtFsTU5MIADZRkEBB8qQ7dm+kwEQZjllf2XQ + vrhkTcw2j+y/04mLidBJ474vsrJdHg1jxth0yY8G/aLbheEQQvuss/coptzA2r33jCCs1Gq1EyuL + rUZtcWG52aqjAikLz3Ub1cpFj37sW970hsc+6lE7Zmea1aqQ+XS9pUCWQv/Nb33TW97ylm07tkkp + z9i9k1mWHTihHxBCut1uaGxVQbXqDaElpZwB00JXwkrgBlmS7dm15/CRg4FfkkJONifbq+3RYOhw + h1NWKZeLNCMaKYIWMh6OwjAMbDdNU5uw888+965bb19aWnrpS1/a6/Ugl2fM7vzcDV/487/4i856 + 27UdguBYtpaKEXr82Pz/eP0fXXvttSmkIi+sCu9tdClntm2Zh2ULy2BqmAdcG4QAI1TlxWSjeckl + l+xobfvGV7/2rMuf4TAex3G9VsvzXCv9lre8JRmObv63G23KqEatNNFo0ZMCIWRc1z9AECRFLkyT + xXFcAIIIUqofEmk42ew3qNEfOyfxQTeO0y6QyOYz8yOoFaPltba2NhgMAte76aabrr766jRNGSfT + 09OD/ijPc0TQCqQcD205p2macouGYdDpdMKS35qYyLIMN8Ucx90LAsZt4ORftolN0ogStUKtQRMg + Uistx50nSikCctuSUg6jEbO45dhr6+uf/tynP/25T8eDvs1p4Pkiz7VGQrkap01k86MhAQkAUivj + Xsw55ZTZltVePWEaS6gJIB0PRAggoWhcCE7nYppklyD0+/1Wo5Hn+eVPv+y3fvslR44c6ff7N954 + 4z9/+p/f5b8rbreDUkkpxSkFAN/12u32/UeOXv07/89vXXVVb6P7h9e+Lu5GYRjmUZaOEqrJeqfz + pS98bqY58eY3v+m9f/qeQ/cd+dBH/jZPMyOHf3T+2K5duwaDgWVbWZI5juN5HkX4q7/4y6/ecMPa + 8so/ffJTnLJdO3Y+7oLH/tNnPp3k2eHDh4GQbrdbrlWNWssoHuaioBQIUEaMXjeiKlBklOgnP+GJ + b37T2z/1qW9/9+b7XG/25hsPlBxfoxVtdPfufvx6+9DctHP1y37tq1+7/k/e9kf777r1zW95YzQc + AWpGKILmnOUiM4+fRrRt23adLMuMPIbreYQQ83RmWaa1NtYBnuc1m83eI8QfCajpAiAiAQJAhciL + ogCClJIsy4xn/HA4DMNykmTzxxZqux1KKYCilCIhWmuitRmRS5QSpEMtI/WeFyIZDrktZ6dbw27s + e/7ikZVCAAegFgxG4BGpaOyHvm27UZxpHTkuc1xPayW1klpqRAqME8KoBaoIwzBNBxvdYnZ7E8Bb + Xly2/YpnecA5QdASgQJYADYQApa9NQQnWo/NkSiljNhBwMDOUz1AUBoEIVbZr+wMt8+xWb/u9uPB + 4olVKZX0JGWKcsothsAI4XGUcWU5Vqluhd0sFVmhgLh2iAXRCn3iBNzNfT9HQQja3KGFpgVxwCHI + GdMAGhFQmZNdAxCgBICAeSwRgGiqAQAobPXiKRigDBg/ev2fXSCN+7uEAEAYhk94whOMfCoiRlH0 + +c9/3qwfM+GMkuhHoAVm/PALoN3PJ4iZTMJ4kGFmR2aDV0JCgTYhJXCr2q2A3ZQhS0jIecVyWxhO + Q33SqUvoxmlOHaTGzQhAao0EgYMERBTU1s0zalf+3oumGrMylVEUMdca0UFG04IKgbnSaDINThgh + RGNxep9BA2FUS9Hu9HfMzvU3Vl3LyUXk2IQxyJN0pFDIkDqupMqyHG009hEJnmRdAQDnNiGSc3cU + ZUpDXoBrASKRUiKhFB0hBTJaFIVj2cYmgVKqT+ErjjvBsHUJTz5ppwyyf941vtSKUc74WP1MAXJu + EcA0zRGJygubW65lu65LgRVFkeXCspnj+qLILe4cP75YrtYnp6bX1tqmg0YRbNe3PdcuBIKVpFm1 + Wk6yaPv27Z1eJ0myRnPSaOMgAamV0IIq1ppsxsNcAyWc9YaDMAxHoxFJmBcGxUCWqpV+vz85Mz0/ + P08ImZ2d9X1/YWEh2cjL1VJvNLR9d6LRXF/r5KJI03TbzGyns2E0mo34LaV8OBx6jhMEAaW0yDIt + hNl2kiQxjEdzBRDAdp2iKDQBNp4wPYLCWCAYaaskjRzHQVSLiwuLB+8776ILCDDf923bFUIYNaY8 + ExoUI7bKi4D7KGWepggY5yl3Hb8anthYJzaVRKsk6g9GQauJg35EoSdzmgDllDhOudGEclCgqk9N + HI8j4CwohVmaHTt2rFYtAUAQeowxIYsgCFr1RqPR2Oiv//mf/ZnneRbwd/zJ29/whjcEoddut5/5 + 7CsOHznY6XW0lsyihDPXdeI0AopKK9vhQTnwbIdzShgJw1AIsXRidceOHXGWl2t1ZlvdQT8sV13X + 5WLsnjc9OxMEXpwmg+Fg+/bt7XYbtd61a1d7fb3X6zFKLdsuhWGv13vRC19IGRv0+1mWUUI06A98 + 4AP333//5OQkIcQo2htKlcl8jHiv0RcwHvdmoLQ5Rj2pIvhg90tLVRRF4PlXXXXVv970zXK53Go0 + X/jCF37+s5/rdDc4ZX/yznc85UlPvuJZzwSNlVK5QMkoZZunntoqwx6a5XO6/ZaHjoPkeV61Wg2C + oNVqzc3NGTJZFEXbts96vp9mRZKleV4AAGpick2pUSgptHY8R2p1YnU1FUUhFLOtsbYmIGMMELck + LEz5oU3hpDUQosCAs4lJIrdEEQyobIsDbWQ64ijpdjuccwaaUpLlo7xIKKUEKCFcAwVkANSoZo0N + 1FxHi8wMBAdx0mzVW1OT23ZsF0ppo7gFCJtAtZ8hvTINJAqkXq8PBgPf95uN5kte8pLXve51F1xw + QbVe++wNn11cXDTyLIQQz3FdboFQNuPNSu3Cx1xQ9sPlo8fjwbDk+YzQQbdvEVbygqVkcW5m1qX2 + Wqc9s222n0TNiYmwXBoOBsyx9+zZ0+/3wUhE2G6/3/dc9yn/15MQ8ROf+ERYLkut19bW1tfXy+Xy + N77xjeNLi+eff/53v/c9AxoUQlBKhVCOG1BKCQJDzSgy1EgFdwWzst9/9e8OBsXs9B6Vb/zrjcdC + 51G2PbW2NmxU/fbCquvwr3/uc8++7Lm7tu1bX+s/6UmXXPKEC6dnWozgKBoAEm7xQW9kjtVCFEop + ypmZreOmfIIBIBnwsfmR67pmW3mE+CNxbhsJS4vycZ0jJbcoYxyAGPUVAkAIuq7dHeRxLMzbVqC0 + 1oRxU8UZH6StLWmsXBTlGvonlucveez0NS/7TcyiaNhrr68jgEAoNL/n4OKxxS4hpVLJVqooihjA + RgAglBBGCSdIEbVGaTE8c++OqcmSUsWjL7j46NGVj330M2vrJ4ocJmYmLMuybFuIDASAhp+gkqSE + 5JwSYJgjJYRqhppwZUmJdsWd4XPgs2pQxTJJWB+tfCPuKwBtJ47nqVw6wKEowBNEIjDOme06Tj7M + uSZc0WF3EDRLKhlSgS5yphTVSJBorRXVxkkWmbaMpyoheNLyUqOR7SNbwn0ngzx4A+khD7NBmUWS + 53kYhmbkaByQzaoz6p22bXN7SwuRIBKgJl0/CT0ieHJwRH+G7f8X8RNDEaIo3VwdmgJlWjOiKYLD + HGAyYMQBlWf9ruKaZ5LJKO5ZoV+OVmZgnfLCA10UMecUNCoAgaoAjcxiwFATKCDPc6aI5/jt7uqg + N2y06oLLle5Kc1sNmARQgBolBQ1aA/kZDhgKnfby9tltC/ev58N+kRZvesM1rYbV3ViwLLbWju49 + tLK8KkYZSYVA1GaiM260IgOiASShssgSi4pde7bPzky6l7IizWrV6szs3NTszte87o3dfj8uJPh8 + Yt+U6d/nkAsUlFts0wdJjTlID/VN+uE43fXvurYRKc1FAUiVEESj4/u1WsWyrGQ4okAIRSWLJB6V + S4HrsTRNObMJt8qVRjQSMtdLC8sIghIxigau41vUUjmzec2x3Jz0klHR6/d2794pvaIoilqtqrqD + TfaRpoSGfnD06Hyt0lAiZ0CG7giMAAAgAElEQVQ82wGlQ8+3ubVwbL7VaoHSKFW33dl3zrmDwaDb + 2Yjtkc14qVoZRoNKWDLqZHmWTU9OccooQjQY7ty+QwjR6XQajZYQolYqM8bSeGTgKkEQSikHSZol + abVaHfYHjudSSg2vgRDicJ7nOWOPHP4mwClOGObcN11R13WBQ7VazdIijuMoSgxNK03TjV5XKUHB + GnZ7oRUQqacnpjlwz/FX19Yvv/zpVuDf9YNbzz337A7e1wVoOxZz7Mxz0nqNcxv8ICFy5PsQhsqx + Gtt2LMwfXbr/+PH5pZmZbZzLxcVFzikjJI7jOI4tywo8vzvov/+v/3p1dRUAPviXH3jPe//nyuqJ + +48drVQql1/xjOuuu+7AgQOISBiVqD3PGwyHpusqimJjY0MVQghhMR4lcZTE27bPKpR+6GlQCmUu + MqEKlcqiyJR20zS1hIUUXc8tBp2VtdWgXMqL4sT6mhay2qhblMVZurq2trK22tnYkKhLflBtNkBp + BGSMNZtNrXWSJLVaDQBWV1fr9brJpgwAh3Pe7XYRsVwuj+LRVmkEP8VQ0fFcZvGFpcVytSKE+L1X + /Ze77zlwweMee/3119ca9df+t/9+8SWPf9JTnswsniWpQh2UQ30qntM0tR/cKPY/+9x+yAqkJElG + o1GWZUmSHD9+PM/zerXmeR6aIY9ShZJSSqCUAQNGCSG5zF3PLpRIkqTWrDWhnuQZVadQdE65LmQM + qT2pEGpeCaNk0zrRDP62LGVMp9aYW43FEhyLEW7ZjBMiRa5l4XqeZbM4TREEEgqoAbmZLCMZK00p + pWzHskMuVZFl2bAoOt2OQq1hPOAan58/03Uz6X5eFEaWJM/zJz35SX/913999213F1Ao1Ndcc82O + HTuiKAJKV1dXq0Elj5LAcSt+OOz2bG653LYtKx6OKpXKqD+oVMoAMOz1z9x5hk3tJE+yLFtvt41o + uBBiz549mRRJktTrdc55v9/3HNu27VKp9MpXvlIL9aUvfUkh3nzzze9617vSPCvZ5euuuw4YXV9f + f8c733nixAmkZHV1VWvdak5oTYEwUBK0pEQyEMiJ6xHbIfvvueNJT3z2uedNnr/vScvzh08scZU2 + muGZo343CNyZie130+897znn799fL5XKyysnduyczYtEQeE7Tq1apcyampyZndmmEaM06ff7w+HQ + yANaliXk2BPGaH8ZF+3hcJg9ovyRtORgb61G80u2SEee5x09epRz3qi3RqOR5wVzc3NHeweEEJS6 + EsckVHNoMT62S0JEQ+e1LIuVGOP0nHNqwEZ/+3cf1ckw6stzzpphFkfGGlNzlVrVbUdJkjNKGUep + UEoJhBhfHQBihLURsShklsoilydWVo7Pfz5OtOM4M6UmYxZznE67L4oCCBjYfVEoAyDETf0MDWoM + d5ZSKXQYsQgnxGdoobCzUXHLHbe+/SNv9YVbm26QGecJz3nCxM5amqUudSQFDTQIKgzZjtIMgURD + EZOIcQTNXXR85sxUJhHw0MIRnUomKdMksJxmrQKI3WG34BjLSHONDAzuDJFoUIiEaMqAknHvQo8h + OwTwYdIPppRKHAtkGckQRDQS81rrdrttWg9aa8/zBBYacEvzFMbboFm9m214BGXoBPgA2uW/iP9I + IIAmVBNgGggA09pcZ4paFBHkKqaQJKN2f2WNnRh6me1aqmprF5bJRpgcHcgBcRVTgitGQWmqC60V + AGM2JZQVYEnCtRvYLkWqGQdfh34gLcki6EU9bUnkSDmjjFNgdDzJOs18FWF2dgZBb9s+ZVNWDvhN + 37lpffW+RtVutupFwdfWBqMRA6vsOayQSKhCREAN4/zE8FpUGPqUSsuxfd+PR72FheO339EN/JLt + VcMwpNzyFPKSI5QwPDo69nj4oTCbziMqRF6Y4a1lWZOTzSwX/V6vyHNCiBEbtmxHCSlReJ5z+dN/ + 5fLLnhoEpU5nSLnvB9UgrBLO1tbb5XIJGM2yrFZtplEeRwWjLgFFSWrZEkk2HG14Lr/2D1+3uLAg + lW60JpMsDv3SYNBbW1t73AWPtZlz9OhRrZXrulmaasTJiYmzzz771ltuWVtbm2i1Tqyu9nu94Wj0 + 1F/6pf133y2KYtDtBdVQoc6yrFIqo8BBt/e0pz2NEvLMy56xtLQU+kGpVPLcIIoig7xoNetGQQoR + GRA38M1M5pvf+td2u23umZaK0EfoJmKa4EaJIS9SY4Czbdu2qcnJ2dnZ5aUTvV4vy8Y2fUb5ppC5 + 71qe71eCahElUkqBKpVZoeQXvnzDbzznmSQI7FqdVssXXfEr/3jrD6w09bk9GkSe7Uzt3Dl99t6p + 6Umo1zeG/U998Yt2q1mq1TSSxYVl22JSSSl16Pum05rneZ5maZr+02c/E4Zhq97opf3vff/7xhzp + nHPP3bF9x1e+8pXhcFir1YbRiNlWv99nnBvRLzMMcC27Uqloqcrlcm/URw55JvxKcMudtwZB4JYC + TEEIwVybObbLIQiCROQrG2tLJ1bKlYrjuaksGpWGEGIwGpbLZaEk9xwN+CtXXN7tdo8fP378+PFW + qzWMRnmeGz6CaTGbDu/ExESWZeedd97c3FwYhk9+8pMXFhYOHz7c7/f90N+aQ5xarD7gzUKArChk + Ubzq1b8/NTX1yle+Mk3TZrP53ve+N06TP37tHz/3mc+75vevufyKZ6yurh44cKBSq3X7Xdgcb5w6 + p3q44qGcIJlJXBiGoNFkpYUUSZ6leZLLnDBgNtfmshKS5InUqlRqOATX19YKFOWwRBi1XMfUJKea + BmxtteSU15O106abCiBQIKZg4jZTSmmplFIEQeRFphQAVGrVaDiSGikhUnMUIJSSChhjmwxubfiV + FCmAFkIQilIWADwMQ8vicii5bSGBMYwHwHz1s/kFmOSeAvE8r7O+Xq/XH/e4x7361a82A+Iz9uw+ + duxYVuQTExPlSiVPU6IIUZoDAakGvb7MC6K177j1ag0RiyyHUANAEsdaKaUFtfjE1GS5XF44sSyE + KJfLrVar0CqKoqAUGoHR4UZvenqaUWpZ1mq782d/9mftdvujH/3oXXfvP3To0P779n/84x8/cvT+ + V73qVW984xvf/e53r6yt7t69WymFQAg4SCjRCnRGiWSQI+YTMxPbts9u3769vZ7qwiNgFzlp1LYV + SVkkHAsvI/HR+44zGvS6MDs7K0QkVQ6AnIMFzHGtIPCBcLaJtCyXy4yxldUTW7ZreVGQTdE5ADBl + sGlemvnkI8QfCYxGszpZrlNKUYPBCbiOb3pdWZYB0FLFN59ObrKkiCHWErLlgwSb+CvbYxR5WK0c + O360VfPrQTWL2Opae2V5RSjQDMLqMcsLmBsyO8g1UqSEWxazEUGh0lohyQhSRhgF27HY/NG140eP + R8PBMNITU/VmY7bQMBoNkTFCCONco0SlQcKDuFoDAFBOZCEt7hLtgVYMLcZDrcngxAhTKnM5WBvU + J6dCpwQCbNuWymK2lQqWZ4U3YjOlpg/YhtU28VNVZKkAS0869TNhVw6i43R7o9hzPZpiifi7YHtK + 0gQyYJpirriQVGtjOYMaKCFAGFINlAIF0Nr44o6TzLHz7HgCs+XI9DM9xacb5jQ10lVmVcAmMNi4 + B2ZZJqVMVUoI00CkBgXjvpomBrE5Lopw06iH4LhY+kU8VGGuMEPKUDM0TDYA0EC0GzjaBWAWBlR4 + Vmo5k085J7CDOEs1pwVVC6zf5UObUykVR0EJACJFRYEyJLYiTg5ewV0SBFBaXlqamZwqh9XVldWg + 5c9NbD8xWlZMK0Qz3tREE0IJ4umtUASg0Ols+K4TlgPP87obq8P5ruvyxW7UjgRjYaYsYtncZhJE + kQ/swDPwOtNGGv8aQgdpSkB+97Y777h7/+KCCD3QGqYmBKo+twPf90tBKdZZlEeDwWA4HHqTnmYg + NSo5Ng0Hajopj7DQusjTqclWpVLxfV9p8B17MBgIIUApRoljca0VQfAc+8LHXvCki5+IoF/wwt9C + Yi8tdSq1KdutbHSTwK/nOYgCqtXmcBAD0MmJ6TwfaFwdDBd27GpmRe/a1/7XQa8buG6c5ZTSopC+ + 72sNE83mn7/3vV/76tc/9MH7XcfJ0tSznVESf/UzN1x1zW+5lm3b9qDbK4XhRz/8ke07d3bW11/w + 4hcVaRYGAaNMSw0aQaPDrdmZmfe/+y8/9HcfRIV7n7Kn19lQSvV6g6lmy3e9TqdTroRFURijG0JI + p9OpBOGuM84Iw/AjH/07Y/1kji3z9SNtJm0O+qKQ5v0nSWKA7pVy+fjx473uIM9zSpnvh+YzxnGs + QXHqKCFGoxEKyTm3iIUWZ9z+0/f9+Re//IVodb3l+nSQeKhHrk0APGbZfkCqtWXPu+3euz91/z30 + M58a2eS2++57zev/qDeIvbBEhGKMEMqlLAyUNCiV8jzXUobl0mg0Wl1fa7Vadx242y+FYaU8GAx2 + 7dp14YUXB0HgeQEiqdUavcGgWq0Po5Fl2Vpr3/W01lrKKEqSKF5cXvIrpRe85EWPetRjVrvrf/Ke + d/Z6vSSKEcaN2na/43luUCvf8I2vfeEr/xwlsaIAlFTrtfZGx/M8y7ELKbzAr9Zr9Wbjqb/8tMc8 + 5jFra2vPf/7zhZL94aA/HM7MzFx55ZUGIGr0VKMo+uQnP/nsZz/7rLPO6vf7z3jGMxYWFpIkOXbs + mB/6p3W/tNblarW9sfHOd797ZXV1MBgsLi/fdffdv/3Sl+49++wf7L/11X/wB8vLy9u3b//gBz94 + /fXXT89OmwIYN+dIP0HF7ucQD1mBVBSFIcozxhzHMpK13GalasnzvCTJuCgANUVEHGelrm3lImeM + WQ7XWvYG3TzPG61Jpcb2QWQTunYyuzVXDWDrm3Kz1oRTx0pkLC1ttHRt23Ycx3gtj0YDURShF1qW + W2RCaa01cMtTWhiqgpHWp6A1SIpg25zbVhoncRy7tsVYKITI85wQo0qz6ZH5s5a5ZkzMKTtx4kSp + VGq1Wu94xzuuvvrqu+++u1KpHD9+fGJior3REUIcO3Ys8DzfcRmhhvHVbDaN3N9oNMpFQSmdnJ4y + af309PThw4ctaikojh47VigZRdEojvr9/i233AKENCZa9x46iIjTk1PJKOKci6I4ePDg33/s43fd + cSdj7L6j9x85cuSuu/dfffXVWZYVSl555ZWLh5e++c1vWq5jFBHK5cpgmBgdIgqKUkFBaFUcOXz0 + rv2H8gJKoZ0LOLpwqNObdxixaKGp35r0syKhlgjL5PCRNM0OXfKk3WecsXP5YyvnnX+2BjlKRoPB + gDLebq+trKycOHGiNTVZq9WEkqbFvoU6M5XDFkwWNi1lHkn+SIoximhkTfQW3o8SHkXR3NzcysqK + Kcx6vV6Eg8ldk7ZtZzIHADPjBlNoEapwvGuMp45ZJgaq3evv3DHXXV90iFxdaec5MACLA1jAOLEs + y3F8KZkqcmoR23FlJpAgGGk0IEAIaIoghcwtqgnq1kStUlPMcqNoGCdFtVGXAGaIMUarceCcGbQh + 2VTVMxwkYIaPBgAghWYKlELXdmzHK1JZK9eKXpplmWN7U62pmPaGo8QpuYUERzsVXg25RVEXRdZv + D7jtWJwy5XjaCdAbQbc9GBTdojpZtZidRX1aAAtpEefR2hDqtl1ykRFBckQkgAwIQWITW2nGkGoK + ABIImudbU8Mz3NKu20rb/tN3YTOENFC6RqPRbrfNd8wY03iZb3neWb5lni2tETUYhRuttQbNzFsl + msBJiN0v4iEPhqZGGg+R6FjLDoZJrAgA5DZ1mU2RFKzupYSSSsgdS6i8i/GISgeRc0BKtRTAKCIy + QjhQqpBJdJBVeEVH5IZ/+ColxLLtbrRxxW8847EXP1a7MuNJynIBhdRaa2lqeHa6jTgN05MTZvwu + RV6v1XqdRUYoMEzSjKDW2ssyobPc9h3f98nYfIjgDz8agedzrgpLB769ttIhAKDAsTkgB8rjNEeg + sc6cmmO0sFayE8IWPyLSIKUE6yG8Of/hIJpzWhTyjB07kzy78847G41Go97KsowgcsoUAmjUUhlp + LyOQ41vukUMH3v/+D3lh8+jRdrdH9t+5csetx7PMKzKuorAoikajUgvmhnisMdF8zX9/R2fj2Etf + 9psAEAY+oZYCEg2HNnfWV9sTkxNve/ObG43GWXv3CCFUISYmJgDg4x//uASpCiGlrNVqEZD3ve99 + Bw8efPnLX+44zsH9d+/Zu1cBdrvdWqNuMZ5EEQXWXl1b76+980/e0aw1XnHNNQcPHqyWyt///i1x + HPuuNxwOB4MeItZqtenp6ac+9an3Hz7S6XR27z3z4sc/XkvFKVOoTVvw4b43DxxmtpBlmeu6nNmW + zbrdflEU/V6v3W77Xsi5DUDHRBqF1WpVM8KQKcZVqmzOsyzbf+9+6jnP+7Vfv/xXn5PGI6rE9sb/ + z96bR1t2lfeB37eHM955ePN7NaiqpNIMggapQwSGdpoQYwbboFiQmIURdgy4jbGBeOEhNnE8gN0r + q1e73XhIAMeYLEDGNg4GZIMtkEEDKkoqVanePNx353vPvKf+Y7/30JKF3EpAUuLspT/eKlXdd+89 + 5+z9fd9varMke/jBb1x7w/UUkCpTRIm1TY9UUTAKFX8oC1IKz1xx7Y++/Ue1kOUg7OxsV5tlq+Oy + RUVRFKB1kiSEkKWlpbWN9Tt+5K3Ly8vnzp1r1Op/8pk/szkoXhgAQJqmNjDmqFKlSIwxSggAWF5e + bs3OvPJV3/Oa1752eXmZEJKm6WQ68l3PXh1KyXA4tP7MlGEcx3GaGMo2d3e8IHCyNMuLRqs5Ho72 + et3f/tCHPvqxP6yUymsb6+1mq9KoT6bR6267zQatbm9vW4K3Faivr6+vrq6+733vS9PUkhizLOv1 + ejYn5qjePrTvf7KFlERJfNdddwkljTEzc7PNeuOn3v3TBPD/+X9/e6bVTrJUFkIoqYS84vSp6XTy + WLLPMw4ifdseA5vIa8khdivJsizkgQZjiJFGJlkmhLKcJaMNMqLBdAd9G10alnzb7lulzRF89NjO + B+Agtsy2WIeku8ezIc2hdbrtO+1vPGRGGc9zjJZSqizNERzX8ZXSslBIfUABKAEkgkHUlrKitCEK + KSOu51DGCGfc447vACVH7jd4QJTUYPDv5gg9+bLvsLO7xzmv1+vnzp2bTCbf8z3fs7GxQQgpl8vT + 6dRK+RljlUolG0eFyByHUYqFyJI0IoxSh/ZHfULI4uJiUA729/dLUJ5bmN0f9Rq15sz8nAZz7MSJ + RqNh+zGp1Pb2drvddhzn0UcfbdUbAFAul6+66qpbbrnlnnvuqVarjVYzSuIwDK+77rov3f03Hmev + fe1rM8iazabGg2cjzzNCFSEKQaPRhCABBhoRQ9dtauO0W/T9v/Wf7/36hZml+dHwXKk6iUZ6mKfH + jpU73YdjcWG788BHPvzBV//AH3793INf+fI9Z86cuvG5z6GEj8dDpCwMA22UHRQ5jlOtVpVScRzn + eU4fIxA6uvq2ebBG3vDsyEc6ks/ZR0MIIaX2fbdarQ76o3q9XqvVjDF5nhdFVsTKSZSFngwabSOL + HqNBUqDgUOhvNUiEkAvnzr3guUtvffPrmcmT6aDX2dNIcmmyAs+d3764uo+mVPVDY1SeJFpLoASB + I2UINlDPaC0dVpw+M7+yVKvVS83mwvrm/p98+i/3e+Pd/V5ztmUd0vMiBQ2g4Vsfn0aiINzkIk/T + tOqHMjeZygNWDcNwMB65mlVna8PJsD/qh21ucpQCiyz3NClxd4E2vSmLJmpweeQcqxHHcYGH2lnw + Z9N+MlwbEELLjTLR4MnqrNcIi2C/sycHinmEuZAhAUo1GAMGDFCDxBAmmUaijNaEKNQayYFN82Ol + O0+jBgkRuePYYU273bZ6NgCQUsZx7DjOeDy237aNObdN0TfRcqBPyPs+4Nc9jR/kH8I66qItuY4Y + 0AQMEoVAfZ8g5rkCxhjzRaY55UIokQkUQnJMdEE4E0ZR7ihQRgl7v1EkDlKttFHaoU4raGrN+qvD + YX8UemFh8jCtzcLC5mgTXUmYBM6QSEMQKBBArfRTcDJAAAKdXqdRrq5dWu0KmKmxt7zp+686M7u5 + dZ4w7PXzhy/urW0mo0iLnBDODDNolDEUENAc9YNSJYnjmiuXZq89e+rW50yo0S7jJ05fXZ898RPv + /oXdTpJrAAcW5o5bGL9QhSSScmJDTbQ+8Gv4Tt+eT+n8JQBWMGWM2t5Y7z+84V/PG9VansZJFDPG + KFBKwIAKPIeAcRgLeQigZJGePXuFVO7a5YHH6vd++W9VdsoUbSpqIFxQUT9L0kmS5JNbbr5pbqbS + bly9MLtQCrzpdCwVBGHdaMNdN015Kay02+2/+C+fbdUbxGjP8S89cvF3f/d37/r8F/au2r3y9Jl7 + vvyV0A9EXhxbXplptV/9va/qdDpLC4vnz58vl0KhingaKSHq9XroBN3O/nQ8ueWFL/zgr39wd2t7 + ttF69ate/Uu/+P7Pfe5z25tbjUbjzOnTaZoCQBgEb/+Rt2kwd33xrq/dd293f99IRSmVQh3x04QQ + QJ9dG4qWB9wixlhRZGUvTKNYSj0ZR4w6rutrrYtCxnEspQKAJEk0RZWraqlMOAFtvvzlL7/tbW/r + TafGwajI/NDjBPc3t5abrUqlsvXBPiIybYg2LmGUUkWgIJAamRPjVyulUunCuYcXZxZASYdThoS5 + vtbAGNPaEMIop1GSVavVSZQUUler9a/d98B1112nCrG9vT0329ZCIqMWUeCMAQBDJoSwRgiUUhaG + 0+l0Y2PjN37jN8BgrVY7f/687/uVSsX1nDxJ4ziu1+sAB0E4jLF6oxoEwW6nGydZnGWdnd1KveYS + goxqMM2Zdq1cibN0Y2uTcFapVYeTMeUsK3JbHX3kIx+xSmlbbHiedxAc6rqj0Wh1ddVxnJWVFcdx + uv3uY0sp/NbqILsQkbnOcDQKK2XKWW848DxvHE2vOn0mybP+cCCNPra0PJyMfcft9LrG6AMME8EY + TczB4FU/0W95GuRx304EyRpcGGOKQtTrdc9xNagoio5SYmykjJ3621M/CIJmszkaDQaDgXWOVmgY + c+yWekSu+6Zr02GDBIfNJQPyOF/2IyVSURRWr5Ikia2YD6NaAJFyzkE7WmMhgbuu1AVoMIiABkGh + 0WCUATASEyEcxwmCwPqG20hceAxJ9+he0aDwKU5yKaXdbrfdbiulNjY2lpaWZmdnX/nKV97++tsl + SAQyiSc3/6+3NJtNSmm/329UamGplBY5EOSe+9GP/eEf/9mfep53+uqr1tbXz114qD0zExeZ7O4b + Y1704lu557zqNa/+1V//9dX1tVqjzlyn2+vNz897olBKjUajhYUFYkAIkSTJB//P33zXT7xzYWnx + yiuv9H3/woUL1Wr15/7NL1y4cGFra+tVL3/Vhz7yO4PBACixwwYAzRgAKqO0NBoUGkIROMUAwfnZ + n/vF3e3J7be/9daXXfWZP/3Lcqt9cfVrgVtxXP7Ahd1yxfzQW7778sbf/tPvfckPvenNG5sXJ9NB + EFZ8p+r7yhgTRxNCwV7Kfr8/Ho8Xlhattb9FIbXWBNE6y9mewf5ly6N9luQjaXVA5KKEaQ12G2Ks + XK83NzfXoyg6fvy49Qo3xviVcHX1YpZljlPKTCaEcLlPkGZZZkBq0EflsbWjcCouIYQQTwr94Q9/ + dLi/E4/h6qvqGrDQZmHptO3fCSFGY17kWpsgDKTRSqNSoEARA4QAY9Qo7TA2mUzu/uu/KldbWjtF + np89e3waFU5Q6vfGeZYBGmAABOI4f2INkpFIKGFEKiG0qISVWOVZIiRTfiV49etfbRKxN+wUTRPW + gmk2cH2POSQgFTcu056eadSvrpzNK1m/N5GG5mlBclOi/lWLV5qSFlOakqLTHVDHmWWz18ydbpFG + 4iQDN6aOtx7vIyC4hFJG0TADXCJRlBqmASVjhXVoOKrRnkDNQZ4eXZLrurZjr1arRVFYBFJK6Xle + o9GwmKTv+5PJxH7DWhtQgPpAOnYA/X1zPetYS/8DLYPWERHBACg0NlZLAQGNlFIqNJXE0agmquRQ + FIShWwilXMKMwsCLZYygMik5MoaAQJEwICjR5MQoBzMldCazLHOZWw2qURo72iHAHO1LbTRqAyiR + KpTKGAmGPtUjW4Pr+EqZ2dnZ+XYrHva++tV7P/fZtbCkT5w4NonMxmonKYIgaKQSCyWZRmOose7X + gGBjfAyhlCFqx/EmkyiPo63N9elo/KW77wO3Yo1qjOtrF3ujHsA8AJRKpZimyoBSSgiNiIQTzrh8 + ykm338GlAQLPd52DWdvs2ZVbb701z0W3252bmyOEMKCUUo87ACCl5JwDECnV6ZOnPc8bj8UN19/o + ktq/+ZlPzdcXiF4oZJ1hwHgSZ7sg0+XFs6/7gReOR+C6wj7Xs7OzSgJQh7BwNJ5ec801W1sbP/nO + d775zW+SqghCrxxUK5XKz//8zxtjfuu3fqvT6dxwww2XLl1aWlpamFvY7ey+/OUvr9frnU7njjvu + UEqFYcgcpxQE0/EkiqJjyyulIHC54zJeZPloOGRAiYFqqRx6/ng8HvUHeZ7Pz887lAkjLLTY6XRO + njzJGBN5AQR8182FQPpsxKTtjNLzfNd1+/1uEASMMd/3syS1jOWiKDh37flIkbquz102FlOhZB5n + M7WGDIPRdKKUFplxA08YiKJ48YqTe1s7Y6NF4BuCriGcYKZ0URRAjCZoHEfJIivyaC9aXJjLo0hI + 3W7WR9GEe659Yzb6MgiCJEmiKGKM1Wq1Xq936tQpKeWly4+uLC7FcUwMOMQriiIXBeWcc56luS08 + lFKDwSD0fd/3CeDGxgYgrq6veZ4Xp8k0ntovoVarnb9w3vFcAlipVFqtRlYUw/F4a2eXMGc8njTa + LUrp7u6uRvDCgBCyui4iWhkAACAASURBVLHued7swrzW+tG11TAMueeOx2POuVYqiqKjKXwURcYY + 63Rle6Qbb7yx1+sNBgOlVFgObQF2ZIdmT6Rv2SZRkue50MoYA5RUq9UoTRYWFnb3O1Y6IaXc3e/Y + D768vLy7u2O130cV3ZMgSBqBfod7pG8ngmQP/nK53NnZzbLM5c727t6JUyfyJGfIfNfNdDEZjqrV + mkN5HMetVmtvbzeeTPM0rVbKSZKEQYCUJ0lmZ/nDwWBhYYEwurm5ubSwyDnf3t5uNBqoTZqmvufl + RVEuly2gqZSqVCq9Xq9cLlNKe71es9nc39+fnZ1lSCxq7Pn+dDLWGmoNv8gBAabTqev7yqSEaaGL + UtmThdRSGWkc7mRJUq+1LWWLlQkgSCmDILBmAHCID7gUQRvQ1toBjqhfFl6QUlpYAwAee7GPdCy9 + Xs9f8Le3t+fn54fD4fd93/fZCT3nvNPdtxZ8iBhF0fGVlWgyAYqlSml/2PvRd/yY67rdbrdcLv+z + UyeiJJaFAIJowHKotNZS63K1srGx8dL/7WVLS0tBuWQjeqz2CQCyLENtGGOu5917771v+uE3v+xl + L/uLL3z+K1/5yjiapkV+xx13zM7ONlutT37qU4888gghhLsuAAghEA2gyPKo2WxnaaEylQl5xclT + q2s7b3/HO6VAKUBqRKTPfcEbAIgxhgDaWFhAgSARFAF5zXXHGaNKixtvvF6CmU6joFTmrpMkiRXt + +L6f53m32202m61Wi1I6GA6NMZ7rUkqTJLENm+u6Fmuyb8/KT5/ZfCR77Q6M7LhbFMVgMLLpE2fO + XHX+/LmHHnrohhtuOHbs2Orao8Ph0Ct5AAdtoWVeHZH6KB7wa6ygn3NOS5z7QZpM9kcijqmY1jvb + w35/mKSAHKh/T7XR8kq1yaSQalqthWBUZp0k0aD9z4AxRGsMeO3r961Vyk405uPRpFptO6yiJOHc + teopQqk2xt7nQeBYLP6oNSXsYEagiJTGEFB+yelOey7xg2oNEV72qpe1ab0F1RGMv7b39d3xnlcl + jsvSTLRo7eP/9x+K1cwdOicWTkYm3xruRSQD1GXDGrz8n52PItBRkY/kdJCPGGMV4660llCYzrAT + +9mm6Nz20/9i7obFi71L1VbVBczHUYh+za2n00ITjIo8rLp703Gj3Yx0NBwOZ5qzRiiQxmhD7E0J + tvH+du2IT7wIIVEUWaWZ7/uWWjk7OzsYDKSUvu/be9KqkLXUjHDQ6HJnrKIkSZyaA1IZbTh3wBgB + 0tid5FsApP9z/TcuRRQAKCB2WqkRDBIwaKT2jFsmvpOQ46X5M8vH/uPv/J4joMRdYXTz5NI/fcmt + X+rcTzy3L0de2deqAIeiFIVW0kjjgDRkCFkzUMkwjiCqVquxjiSXXtlToBQxGoxlgGs0BinFp66S + MwAaVM4M427A9idTwvil7sjjpd1O/3LnUujPxLlPeV1JqmTmuUxLhQcpsdQAgKEGqUGiDImTZHV3 + 8vWH10bDaDIGRFiczbyQcc4ZehkgAFj53BH/2WgNBgihiKjtcfkso25ZjfGlS5csrbrT6RiDJ06c + sOlM1BCtdbPZbDabMzMz1UpdKcOZNzOzoBXJUun7ZaqBM89zS/uDvBSUppPEDU291pqma0tLK0kM + pTog4srKij3IwqAiDSEsnJ1bmCbTkydPGpk3m83JeHj69Ol4knHOa7Xa/v6+MebUqVObm5vXXHMN + IYQA+eVf/uXxeFypVD7wgQ9ce+21g9HQrwRWB99sNlv1Vjyeep63uLhoj7NyuYxgGKVxFDmOQwkp + lUq1Wm1nZ+fkyZMECSEkSZJSqWQnyIyxVOT2xFG2bnmWzV+ODp0kSWq1mi2u0jQFY+PLieM4AOh7 + gZJGKSXywlZdlNJKrdrZ36+Xa47jiDzjnE7iuNZuAoWdXq89OzuajIGi0EqDUUARlDBKKwWALuOB + 642GkzDwlMg8Tigl0+kIkGRZRgixNldJklgrKTviz7LMypuTJLniiisG3R5nDADzXARBCbOMMSdN + szwX5XKZEJpEMWOO6/iiUEmSzc3P73T2KpUKd5397e12u50kiVICI6ScKWNK5YC7bH5xYTqdnjx1 + olKrnn/4UrlSmUaR7/vtmZnRaBSGoSqKcqWCiHGSaK2rtVqe5zLLFhcXx4MhGrCNin3DR4bvaZo2 + m83hcLi5uVmr1ZIkCcOwKIrH0d6OVANPcsns61voz5ImbJwrIh694NLSUqfTOfKdfqy04em5tZ5w + fTsRpDiOXde1pl5JkgQNf35+cX+/97znPbfXG6yvboRhWC1X8zSzJPthf1AOy3mRVUpVTqlRyd7e + /vzcouu61kD5qquu6vV6RVQsLy9Hk6kQYnl52TKsfN+fn5tbX1/f29ubn5/vdDq+7w+HQ4vzDIfD + EydO9Hq9SqUCABZkYIylSZ7nolFvTceT/nB06oozhQChIurQvEg16uEw5YT4juv4RKbCof6g1+ec + e45LCHE53x4MGo3GyspKtVr1PM+aqGRZZq+64zgAJM0LzrmFF/I8D8PQmso/4T0URdGZM2c21tbD + MIyiqN1uP/jgg5xz6yty/OSJwWDQ7feuvfbaubm5TrdbKYVZkXeHg/f/yr+LoqjRaDiOU6lUdnd3 + bRNIKX2sywUhxCB4nnfuwkP0MMXvyEvNNgM2ftt+in6//7GPfWwwGLiu22w28zxfXV29dOlSmmWV + SsX+K/sUMcaETH3PVVooabQwgNxoub21e9cXv/jgNx7WWh+M6wnaps0YQVDbKF6w/8NYIgeoQjDG + 7vzjP0ZiBoPe1s7O0sJcuVqxMyFD0L7zOI6tkR0SMplMrN7UtigWsQmCwLo1WKonANje6ZnKR+p0 + OhahsmYP9mWTJLO8wbNnr+l0dr/xjW+sHFuam5vbGhSKxIwxJMQcuNvzo91WGqlQecRxXZdznoki + GicmigOf5zJvlhu7q9vaQJICo5AI4AyiKELue56f5SLLCgKGICUGNGhziFBbHY79NtI4Zox5rjed + ToejNFQgNQSVit00wUp4zEEYwhMta41gDgLFjMlkFuX9iYx3elteQSvUMw6MnZSVKQuJJqg1yEzT + qfGKwNUuxqgBuQrq1GUAviKuZCKxwZE0JKEXuJRSM5FpNycafSyLAsbbo0v3Xagv130WgEYpDQde + grBigvn2PHLytYv3s5JfDSppkRQqr1QqhcyoIRRtOo3W2lgZ1Xd6M1ZKaWUYY7aftw8sItqfwzB0 + HOex7bqR2jJYQ7+UYGYFS2i0UgrBmiYTRI1AQRMDBvC/zizmf64nWqgPY9e1BmLQegwgIGGEU8Mg + 166kLVatQRgmSKbSpypw2AprNMCvYUlzWQ/djCZpnmtUWhuNCEYrROCQguwV3YKkP/LeO3zqRcO4 + Xq8Hc/49a/eQUEtHAANghgAxRhuFgJo+VS93ZA5zOHXieFouh2url1aWm73uKAhotVyjxO/uDx1f + OC7XCmShDvSkQOHAIl+DAUBCkIVeEMdpmuajEYQ+aAF2VE+J0+1PJHPAUB7yZrMZBEEn2heeJIwT + QqAArbUhSChRf/87fvoWAchFYYwqhLB2XlJKpQwAUCSUUk44AqxurI/H4263G0URIAUgOzs9BGdh + sb21mU3GVJlIYt+rVD2/nxTTTCYqEQpGeUEHQ0A312q8trY2mUz6/f7O7j5lQZrLKMtLpdJkMiqH + 3ubm5rGl5YuPPEqACiG2trauvPJKADh//vz29raFl3OV33vvvaurq9dff31Ags9//vONVjNTuVCq + GpaSJHmkeMRhnFI6Ho8BYDweE0IMYKlc5o5TCKGNiaLIEKQOj9JEG21tYKMoml9aBAANRmttEB3H + kVoJIZA9u3AkrQARkJjDYC1DqQugjdKUUim1lNoaDBtjKOUed439exqQY6VWZYwhAcaYRl2v1fa7 + HTfwOefdfq89O5sqBaJwOHWQ5qmknDiOA6jTOPJ9v+S5HmFSZBRpGHiTyYQxx+GsKApbHtjS3w6g + LRISx/FkMtFae9wJw5AgGqniLM3zHAmhSkkpZ2dnR6ORrVE9zyMGsiyz/5YQMp5O1EDOzMwIIYIg + 0FoWReEFgVIKKDl5+tRdd921t7qKgXfTTc9XShGK9ma24zbbpJlDzwMbEOK6rh3xJ0nCKbOj/IPs + Mq3tKWO7mlqtZuUDtmtyffcIQbJX5MmnclaYYOsxW6fZ+bUth0ajEaW0XC6PRqPpdAp/h+Bz9MMT + /pb/nih2eNimJ0ky22p/9atfzZK0UqksLywOuoPObqcSlgihFJmhhnDCOR8OhxTo8eVjjzxywRh1 + 6tSpXq8nlapUKvFkOtNsbW9sjqPp/Px8v9ubabYQ8eLDF+r1uu95lJC7v/TXV159ttFsdjqdlZWV + KIoIIePxOM/zlZWVixcvaq3n5+fhMfQ/IcQVx0/v7++XgoBxOhrtIwUlC0ZCz+PUcUQmfC8UmTCC + xONsdqYFeqS19D1/MBjW6/V2ozmcjDc3t6fjSTSZWps9xli1XHFcpqRJ8yL0/DRN0ygul8sMiU01 + JoQcddwH9be9AK5rHaUJIe9429s+97nPJZOo1Wp9+s5Pc+A5FK9+7asXlhbX1tYcz4uiqFItCyVL + lfIX//pLjXbroUuPtFqt48ePP3L5ks1LPdLJ2AGe/U5OnDixvr5eLpcn02kYhgBgpEJCEJASYoix + T4Xlm02mU8a547ppllmQxNJSLdiitfZcl1EmhAAFWhsEpgpQkjjcJz5kef7IpfPaWF6lIYSBYQAE + DDdGIC0AFBoreCbEEDAEDRJA13MGg165HI7Gg0qtZpAy6themnBGKVVaTyYTQkipVKpUKsaY0XBo + n3/OuW2QjnobC/JYvrXdSp+RfKQ0ja2yzm49dicqiiLLKEBmcdQ4jre3t5eWllZWVi4P4wNjBpdY + czOLPVoNko3xMYc5SGmcSalTKq4+1XrLm/5Zo+wk08Gg29NIkkJIzS9c3Lu42otjIMzTWiqdU3aQ + 8wyGADC71wFIQrKbbr7qiuPtWr3keKVHH92+528f2tubdPtDhajk4bfEARg8iaWMUgpAIwKllBJU + FBTVEvOg4VLJAAh1MQzDnOeFTlVuQHOZSpiK0PgO+CLWOYJjfB+QaeNIgxK0AkUIIehyAopywnNR + qAwI5aUw0AAQmWx/ynLDXSpzQYAFbnXOmVny5spQjSDeemhtxT1ZWgiHYqwVlP1gkowd5Bw5IaC1 + BWEACYXv8LyKMaaMtqeCfVTt3M6yyY9a7sM70xitXe6pQnnc48hymTsON8p6geqD/OHD9cwO2/6H + XNTY4CxiELQ1lCMABhIhKeFagSMhyvKuGuZCYyEDzrUqGHUQmDK0O+jmNQI+GMYkaEYIQ1DKmhCS + wmQ92dFUzN8wr3JNx1Cq+b10b2om1GMFKzRRCjUAQ4MUKBpq8ClS1IwsZEZSvbe1PSRkphr+i1d9 + b6vBgkB1e51uP//G+e3dfZUU3HWoBmOMbWEIIMEDKruiWjIsHJQvfOFzOFHL8zPRaBT6QVitV+eW + 3/2+93c6uUTAslsOy9PpdDKZaE8/I3fjU6uZEI5qR42otBFKa3VEItBItMsd3wuVQQ04TRJDMNc6 + SuTe3kTo/M5PfS5KXEn2Vk7no9F+NN0lIplv1avVyn53srq5+u9+/TMf+r2f2e/qaTKtNVqDwSgs + VeMsBWTtdjtJolI5OLa8HKfJ/NIiMl4OS0KI/2XpBZzzvf1Oa6Z9/Y03fO1rXztxxckLFx/5V2/7 + scuXL1999dXDfNSaaZeqlenulh+GlmmmhR4PR1mWbW1tSaO564TlUm/c7/S6aZErpajneJ6jta4H + gVSKIS+VyyeuOPnVB+4rhEiLnHNeSGH121Zi/Z2mMD3ldXD0WJ25AtDMer1qK8EknFOtjD0iQWkC + qDQ4zJFayVQyxHQ0ZUiMQUW0AyWfs8Bzfd8fdLpZNI2ypCgK8Hx0uMxyQojLiDLaI0wmCSJOo8hn + jgQ1iCMvCAl3CqWMAUpZGHqEEC0kKBNHkZ18lctlQYvZhdluZ98Yg0bbOHvXdQERKLEFiSVwHpTQ + 00gI0ajXCyHq9YbWuihyIQQorY1USqVRWpkr5Xne3+926rt7m1vguPOzCydPnrr46Ofa7Zlmo5Hn + uVYq8H0pJaPUkqqq1arrOI1GY2dnp1wqdTqd2dlZ0MaOoY94K7aasuWT9QhpNBppmlpm+NE6uiZP + 0iMdCRNs0N/ly5dPnjzZ7/ctl+dITmYDgQCAghX2P64pwmfKTPHb1iDZb3ZmZiaKojxJX/GKV/zm + B39jPB5bliQiVoLqxdVHHcexVFGjMSz5QoidnZ2TJ48nSTwej5dWln/4R9/6+S98wfKaSqXS857z + 3MuXL9dqtVqthojHjx+31h9BELzxjW8simI0nRw7duzee++1dKbnP//5V1999Wc/+9nZ2Vlb9Nu2 + wfKp0OBLX/zS2267zXc9IJimqV+uCQUGWJopwjxQhiLJomi23Rr1Oz6nlEml80ajEaWREGIaR+98 + 10+GYVguVznnlB5EfxZZJgtQykgNYIg14AcAY4xlcD1hZwwASinOeS7V7Ozs7a+//c477+x0OmfP + niVAXvSyF80tzH/4wx++9SUvtg4NNkRSGu34HnW447qd/X1AbM7OGIJCKyEEsbUkon1xhkTZ0N44 + np2bS9LUTjgex/SzT6Ydodn71XYmljltn147cgjDkHMex3FRFJxzJZGgoxUSdBCp6zLGQWuppUBi + K2kDAEZzMBZEMociMoMaFRgAA2hQGyO1oSashrlOq9XKaDAcjIYWP0FCKKWgVZZlliMbhGEYhlqp + wWCQ57nnebbJTJIEAKze0XYRFhuxt8fTn4907Nixvb298XhqgXiLGHDOLbl2Y2NjeXlxeXl5c2t9 + MOjXvKoVm6VFwUPOGNNa6UNsmlKqidZaH+g7OWdl7jgBw4xS/IM/+IN4vN/dhaUFCEM+M7+siLex + Md7bGYvCY07oug5BDvDYGS4SQCtiMUaNh4P7R5vDQZdQR4M7HSnOndm5NlBvOkkJIdoQIzSkUBTf + ahBM0Gh7iBEEIEgpZS4HnziSuYpDrlNdxKoodGGg4MA96jtAQBhqKAKV0hgEhzmuQColExoNUYQR + RiQY1FIlBTLtgOO4oTGolaHIQuKWiecj54wBVWjYdDx9eLe3Ky+TnNDQ2Xtk7crrT6MELdXBs6EN + En3UXSCifloChAghGg0hpFqtwqHfxhHdznrTw2GeLNGGAG032mmc0Zw7oVPozD4rdiSlD1hUQBCs + V8x3/hP8A1rWv856qeuD+CzUABqBO45QhgWclUrS5znyxpVLcpCSXFOGRYVOQdGmT5NQkgSMchgD + VSAiA9BKUyAUkSojVKYgn6TDIhPKmCzKCpP7jSDFTBEpjDTGEAMUuTWeVE+1UCDAOXddfvL4MVS6 + WfH+6q4vIIymk/3FlTYhlUsXN3PdBFbJFLhhyUgFqL9Zjli0H7WQRZ5G8XRcpFOdxw89+HUtFXU8 + 9OvNZjMoOTlS5cCUTO3pHPphRBKltTGGI0NEBUYp9ewyWzREG6VAIyAxBBEpcxgFu7drBWmRE0KA + oNbacZw8zxEwL/LhZPKT735vq7UUhC0vqDfmzd/c95+Upq5TcniwsS8mF+NGo3XT887c+NznvPdf + v8/xxPbu1sWLF2v1hlTo+yyXZm1j9YorTghZ/OoHfrVRqxFkd9555/333/+GN7zhwfsfKJQMPf/W + W15yefPSD9z2+nPnzn30D//TL/7sL6zvbBqpfvHfvn9/f18jlMtlZcz65gYjtN1o+2EQlEoGYXdv + j3CmEb7wpb966OKFQkulFeRyFI8JIUvl8EXf9eK/+OsvLC8v/87v/16j2URGwYaHI9rCibvOkwzC + nqmFh/mhhz9TY/QBdR/AVgsK9aF6UxOAIisURWU0IoaVSlzIih8aY5CRSRLXy6W9TrcIg3q50t3v + LM/PC5GjRgAtPQQAUAaMMVKhUouLy9vb21roUqUS6YkymMaxIeSoo0iSRGS553mtVssS/Ib9wcLC + wt7O7srS0vb2drVaRcThZCyEGI5G1UbdTldtbVBkuXU4s5VJHEU0y21rsbe3u7Ky0uv1HM6Xl5ej + 6VQrFXghAXzRi18cx/Fsu52nWaNas7Z41m7OCvsBYDwe29Jif3/f8reFEL7jdjv71g8dDplWtvBj + jGVZtri4aPnee3t7RVEsLy/3h318zIK/j2JnMSut9czMzPb29uzsbK/Xs8WkdSRaXl6eTqf7+/tX + Xnmljed6XD129Fuehrvr765vJyM4z3MrTZOFUErdfffdP/2un7rqzJlrr7723LlzWVqsra0FQQkA + HMcZjSaOw3zfn5lpJUkyGg+iKPr3/9e/n2vPtJrNtdXVM6dPj8fjjY0NAJhptn7nQx/64Ac/+JnP + fIZSOuz1P/pnH27U6p3u/smVUznkb3rTm9bW1n7/93/fMlX++W23/cqv/Mrdd9/tOA4/JLFIKcuV + 0ste+pJ3vO3tly+t1+ozOzuDIJx1eH2vmywvX5mlwigdx0MtJmevWmGkeOCBL11xvF2IWEr5U+/5 + qVe86pWtZvvBBx+cn5/rdfbTKG7PNBFxOh0XeV6tVmdm5mYXl+697wEhhO/7tqOwt923+tI8z0uS + pFqtzs3NjaLR1tbWiRMnAGDl5MrZs2en0+loNLLm9DacwXedeqVapJmV5czMzDDXQcQ0TQ2C47mE + ECWkbdxtz1BvNbU2lmdscVKLeh1ZBR6YqlsLODCFlDKJjTHc4TbCzBiTTSee57mMHTg+H0b6UGTI + UErNGBVaSFEQajQWzD3qCRE0BaREc0RUiIAKAODQgMMW6AhaGYHMdIf7SZL4pYC7DlLGOOec26xh + yijnXAgxmUyyPG+1WtazwXZrByzKNLUKLtuBWHOFZzAfyfNazWYdAAaDgTGUUqqVYZQXRWFAOw7f + 29ubnZ09fvz41tbm5cuX587WOecFlUopKQSn5EjSdpSDZD+X4zMKru+VJ+P+aJIZ6cqkPhoO8wSy + Qii87IZQqjZmFk4ChpNpCqgpMbJIrOgcQAMKsGEvQBgJN9b6jOZZmhOqlZFJosNKyBmVgFprJSWg + Bg7gQ6USPvGXZsCnPgCgUaCF0EqhKphCpFKmcQE014CoPU44Ac2oJD7lnsMNMRKVpoBgCDKOBPMc + lUEggNQwoigFY4gxDCkFVIQTRpNCqbxQRKI0KASVioKRCJRTw8hwr7e/NRHjwi8HxTCuuaXudOIG + jmGQypQTShHBGBsfpBERQcH/f4Ow/8olhBWsGsvVtMAmY8xxHDuxs4DtIRaqOaHL8wvxeIIDxkoO + AVTKevIxQA1gjmy7ND4dlIN/aItqYisyA6DwoAOVBArIjSGSmb391b9evbtGK44Di1fNCKEN6num + j375ke0eK8KFqmGGMYC8IFraxpYozYC4iExRLQEZD1jgeQYYAsXMZFIIxtEgAUKVMagBjNGgUJOn + rJFTABqncUTQVMKgF40397ul0NTrwQOPdB0WKQw8vwTco1opSA5aMDTW6BEPPjXhru949G8ffAhV + sbGaVUJIYlg5ZkysgYdSSuLySTx1ys54PE6ShISEEKLUAeKNR55X3+br89+6tE2PNmBjs7VBMEZK + 5fs+GtRCImWEUKS0XK1JpTLIhtHwTXe8GakH4DHuJXl2+w/9EwAQRuZZQSn3WEkKg+B4Hsmz7vEr + 5prt0jU3vG9uYZ67XjxJijT3w/LZs1dubW8WRfaWt/7wztauQw88Ra84deoFt9xcrlQC3x8Mh77n + CSln5uc+8clPfuUrX+n2eoxSJGRxZTnL8yRNfd+v1+uVSiWJ0jRNe4O+MuY9P/OviQEbXDEaTWqt + pu0ZDDFCCGD0i3f/DQVc29zgnLfn5wijnucdZWYYhKMx67NqHTC70JJEOCKiTb84DI8xxtgN1rYc + qBAUADGcc2OMNmYaT2uVyqjXDwKPGtB5Vg9CikCyooQ06/YpxSwXxhjH8wAgK3JEbLVb3X6viPNm + pRElcZIXYbmeF4UhhhBCDKRRHLZa1WY5TzPP86zgGY2plstpHJfDcDQYlkql6TQCAErYwvwiIPGC + gHOn3xswxilhQsS+T8KwlKbpeDTxXD8My2kaKyFLQSlP82q5yggZ9PtZnHieQykd9obLy8v1Sj2a + TlcvrwshsiwPw7BZqxdFMej27NxtttWOoigt4lMnTl66dGlubm4wGCwsLDBCrV2hbWNsQWg5Mo7j + dLvdSqUynU4ppSsrK7akwUOXaXtF8EmN7IgBrU3gesk0YkhG/UGj0YiiiFNqpKqVK6hNkWa1ciUa + Tyh8sx57LL/uO3lD/T3r29Yg2ZF2HMe1Wq3kB41GI8/zRqPx4f/wYQr49h97x3a6szS36Hpet9ML + 3IDX2Pt+7mf/8T960dt//G0vvvXW57/gee/8P34imkSPPHzBGDM3N9fv95VSvV7v+htueMc73tGs + NM+cOXPXXXdZ6dhb3vKW6XgSBMEn7/zUT7/3PQ8//DAAvPvd797c3JRSXnvttR/4wAde/vKXWzuR + ZrN5oEhROvA8rdRbfvhffc8rXv/QNzp/9PG7apUzf/lX54phKc9ErRrUmgWjo5DrH/zn333vdWff + +pbX7Xc33/Oe95T8kovuTm/HSLjxxuccP368Wq2OR9NpNG416qeuvtphPMlyrXWj0ej1eraPtz4B + 5jA55+9+b9Pp1NqmDYfDSqnyute97j/8zu/t7e2dOnUqiqLb3/iGS5cuFUVhEZJquTzo9ZSQ4+GI + GBgOBqVy2SIYjDFZKC2VQW23CTucFkJYplZQLo3HY8sQsz2DeUyejzkk/llrbOttcPTMWBkVIURk + uWXHHsEvShlCUAgRBFRJpZQEYrIscV0HLFRkACBHAKM1Iio4ovVbA2xp6zzGiJaFF7KiKFyXCpEj + o47LAYAQogqhcwhZsAAAIABJREFUlPL5Qey3FSO5rlurVpvNpjWpsx50FsaxMIttJCxcY+ciT3s+ + Ury2Fi0tLTSbTSllkmRKKWX0QW/JmJRSymw6nXKHlsvlnGY7Ozt5njPmChBaa+Y6qE2e55Q5Gg5Y + K/a3ZFkmx9loElVKnjHgBf6jlze1gSwD7gIlQDgoo6fRJC+SvFC+7xwRyo0xgBY7OvgTrbWSgrjG + 90PXC3IBcTzN8zwVOqzWgyAgdKS1BgMgIY7jJ9wEiCEggABSpNpobZQiSoABVL7HKCe+7wAhwiEa + lBGGCGBgPMY00RlqTjUxQClyBCMUI0gpFYiZllILhoxTw5ESJAJUpkQmFfeow11K0AhJjKYE0iJF + JM1qNWjGSVdiEAgluSIh9XaTnlfxJVFxnnjcIQZAfxNBfXoiEW1Lboyxck3OuW2N7EjvKDr2wNMF + CAHarDejScRip4auVpoTeoTfWczL4hsU0TxNH+IfziKKHCTwAhCq8dDpUFOHxiKnLm8uN6rlUokG + nubcoIjjsBQWWZS5JHSo41ElUkeBg0ApkVprrZBQ1EAluoYx47WqM//lTz6rBfiOP4knz7v5eYsn + lla7l9FBdJAyRKQGjNFKG0meas1KAFBXq+XJeCCVGE2H1159qttd39tP2q3A4dWNjZGDGTEkzhPH + 43Dg78gAiDUuAZQAWqii0HngMsJNqZIxCr6BsFwKK42N3b7WZDqZuBVPGlkul+v1+mq2plxFKQMN + RhpjjMWTn0UedgAAQBhFQ4wxSAlBRg8n4tE0JoQQbYAboWSSJJub25+481OrG2tZljk81IbmharU + G7udvUa7MRz2CAXOaRCUppEajyJGvWq1anTBmf7KPQ/U65W//erdvcHA9UqccKRke3en1qhmGZ9M + JvOLC0Umi7RwXT6ZTBYWF8vl8vnz5znn5Uolz/ONzc25ubnBcGgPGtd1J9NpnueNdiPP836vVxQF + aCxVK8rot//4O1qttpTSJvl4boCItsx1PT6JprIQtq3SWvf7/aIoPv3pTwsltdZIKRC0JN4nQQae + oYWHJywatBQ7IPZY1LaAsfvrQbgLATRSMUINMZTS4WSslCxVK9/10he7hMmicDyv299fXj6WxNNk + GB1bXtzb2bV0L4PgeIHUKkkSbVBoxbgzHo/DWmV1beOLX/4boA4h3Pe5tYOyTUW/3x/0+q1Wy3Mc + yy6plivj8bg/GDDGVlZWDoQMjtPv95MkAUqCIFCH3Hs7Chd5YRkur3zlK2dn51EbJObYsWP3339/ + rVazwIDvup7nTSaTQmQAcM0112xsbKRJ7gRBlMQf//jHR6ORlV4jYpZlo9Go3W4PBoPxeGy7o8XF + xV6vl6eZzZk4oKhobTtk13Xb7fb9999/4403Xrx4cWdnZ35+Pk3TcrX8OJbdk98htqGytJ1yudxs + Nq3qoVKp2CrCOmxZcOxxr/ZYKOmZAjO/nQiSVa4Ph8Ptjc2TJ0+22+2bb77ZZRw0rq9u9IcDl3ue + GzjMZYQTTkpByBnb293d2th83eu+/6Uv+S6ZF9dee63U6r777pufn2eue9NNN9166637nc6n/vRT + SZIk08h13UGvn3jxTKv9S//2/YPB4J577rEk/t3dXc75dDq9+eabrbW37/uW0TSZTMIwNFJE8Shw + ndd+7w+4Ti2P1Ll7UkbjgP5jpcqMAKQJ0eMkv/C1L/3JW3/4jY1Kq1lvlcu8VCpJKQGMFnJxcXE8 + HD388MN5nlcrFSlyTpkScnN75/LaRhwn/+i7XhoGgZLyIPU5zz3Pw8e02o/dd6yBeDSZbmxsvOb7 + XvORj3zkX97+xsuXL+/t7b3kJS/xveDKq69cOX7s0qVLQanku67DuFaiFPqFyGqklBaZ6zDf5YHn + SFkIUQAiJQQJItp8T62MdrkDAMw9KMUsl/TIqBEeY683nU6PDMrsM4OISZLYXG2GxPd9gAOZvuu6 + RSEYI0oVlHmoJRrBmEMIo8QzBrXRxnqnY4Y22RKIQQCDB+YAFkFClUuhlSKOH1Rcmcssj5XCNMvs + TQWHpCM4fJLRmPF4DMZUq1Xf962BzOMkWEd3JjmMJHr685GyLBsMBrVao9lsMjadTiKllZ0mIIE8 + z4PAzbJsfX19bm52fmH2QqerlCKHgN4RxOcyZptVeEwOUpHkQoksVVedaL/h9v+9VX5NkSa9bldI + mYiUuqWLj+59/fyqGKS+zxkTlmBmrJECABh2eAkMon7BzTcdP9YuhV4Qlnb2Bl/96vm1zf3d7r4m + 7ECDhAgcgD3JboUg0BgEAoCEUUczRagGQEk0SEGNUoUZxxkhJHQ9l3CdF9rIzEjKFBggQnFjCGEG + NAE0iIqgBCPREKONUVoLrUAaBtTRHMAhhFFKkRJNUTGHgDJxkXqZltNo2Os3oeK7DmbKo9xBKoSU + UHiOQwABDDkkUBlj9NOyA9tb194hR0aXllZhiax5ntthJyEEDYDSnLIsyyrEAwBVCOp6RistNaA+ + SikxCNqYZ918/r/zpRAKQggA1UAMUg1UA6KhoItMeFoRkVHH8BBUFgvCtQYIZcanwA1gwYiJJxMq + BXedgFBKaUR1AUAoVZJIoZl2WrTVEjOf/493mQJqlcZ+b/+mxedfc+K6XtzPZS5MIY1UTBvUmhpj + kJinaMJhIC+mTq67nU5HweJc8L2v+O56laVpdzKZjEbyXHVjt5NNU+24jFIqtQA0YACAoCGAGkES + EKALQsSLbrmlUfHnZ2Z2tjZ812u15+aOXfkv3/LOQk7jQrI8CPwgiqLpdKpdbYwBYwgSOxH4jlyh + v7OeUjlvAIQ4JC0qhaiBEkRCDARByTamvutVK/WiKADp1889+OjaRSkLQrnQZjgYzS0sjiZRWC4p + aSileZFmWeYwWq6EDDHLsmiShKEfJ5N6vToejynlruuprOj3+/VmbTodV+qV9cvrUZQcXz517yP3 + Hzu+qMA8urZaq9XmFhe01kmeua7rhUFvOPB9P/Tccrnc7XYd1+mPhg1oIGJQKpWrVU743u7ua3/g + +7M4KZXKUkpZCO46YIglsziOQxlmRbEwNzcej1dWVu65556VlRW751jU+qhKlsYeuM+iDsk8JpxU + Kqm1QkTKCGOMEYqIRSEP7YIpIrVoEyUkzXNkVCkVBMHzrrvhve99L1Hmi1/4/KXLj87NNAhQyNPT + V13le45PbbQxy4siE5K7ztLsIlAaxXGt0cykWlxevuvuL331wQcJdZM0CjxXZLnLuFJKCem73i0v + fOFrXvOaG6673hoSbG1sLiwsvOtd7/rxH//xWq3m+l65WhlPp7/2a7+2ur42jqZSSgPgOI6lcSJi + FEWe54Vh+KIXvSiZJl+//4E0Tbc3dprN5tqj65tk3fFc3/W0PjDi0lo/dO5hSmkSx3v7+6+77baP + f+yPsiT1Xc9h3AJEczOzH/vYx6yp1WQy+cEf/MGdre0sy1ZWVgDAVst29AwAYRiWSqXf/M3fbDQa + QRD80R/90W//9m/v7Ow0Go1CFo8rHeFJeyQ0wAhNorjVaCLi+3/xl9785jc3avVBf/CJT3wCEXd2 + dprNZpZlH/rQh86fPx8nERgDR+GiR0S77+yd9S3XU26QzCGX43GMDmt0GNrl+Yyx3d1dj7ucOIxQ + LWSlVC4HZSFlnqQzMzOj/qDIc48yAlir1bY3t8KSX6/XiywviuLWW28tiiIThdb6k5/85MmTJ2+/ + /fYoiq6++urxeFw7eUWWZeWwVBTFAw888IlPfOKOO+6w5pXW4/Laa69dX18/ceKExT0st2phYWEy + 6LfrDY+5SZQaB55/U/Omm/7JfV+J0rzGSNv3g9FgHcqm2b7CcWde+pIZpa6ZTiLfIzdcd2Or0VZC + GQWLCwudTuf0FadbrRZjDEBXq2VldKffiwdjWis3Go3hcHgU5WRTt75VtiYiDodDWYiZmZn19fXX + v/71SwuLQRCcPXv23e99z5//+Z+3Ztrb29unT59Osmx7e2uuNaOk4cwd9kbt2Zk8LZihOlfJOAap + XOJQSo3SSipCkALzPSaNdigbTSf1en0wHCZJEsdxpV47AproYRqpMaZaKksptTGu60kpVSFc15WI + VlEHSgPAEWWxKApDgDqciP+PvfcOtuss74Wft62+dj1dR5LVbFm25d4AYxzaR7EpoQQScinGwHUg + uQSHErhcAmQSSIN8l1xCCcEhhG7H2AF8SQjGNtjYlhv2UZdO372svcpbvz/ecw4CBhIlhsB8PKPR + aEYj7bPXestTfiUD0FpIMJph5iDhEkdJrYHoNUVpZIyWRiNMEWBAgIwGpPGatyVilBlEVM6F1Gma + u67nem4pDu38jaxZDa4pXGOMXccZDAbW3WiDXNTr9ewcyQ6O0Dqc2u6x/xJ/pEql0usNECJTUzMI + kTwrNuDR6Wg0Pj4uRLGyshRGfp4XgPUpp2wLw3AoudHG4iGRlvb20qDNhvwfxp7nubHj+kypUZaN + PvrRj4DI0gGM14lQygm8+uSm5WbabPY9b4wwp+Cp1NIj7vrgCANgbQw2xoDhMk/z0be+9cj8/LLv + M4NcBT6l3uTUuEbOsMi01gAGOMBGhoZOVIBdKy8YY0YZpQWA0QaBRlgjBUprJJTyqMMc5mNABLuO + izIjhJCSSyMMAoyp1raiRoCUNKAlUi4jDGNikFBKSmyk1hph5rmeMLzgORejnBeAUJ5zJTVjVBsE + LtYl6k5EkVMTSi3eu9oe9FjgATamADdwhRDIIGPbjzYR0GDwyZ7DawBRQIAN1gBoTfsLrMacQUAM + xrAh2whCFBbPZ2tMjIFSipCxJ4nv+0oJSqlSAmMMWBU6lyCUwgHzicaSG4qJIYQLjtY+bt1NG6yR + 28+XLO8vdBgEGq/pHCO9ps1IDBiNA+ZoCiLnIERAI54VVAKjmFAicuVSXPAiKoWhT12CilEiCoE8 + LI1WgBBlGiEDCCMWs0rWzFzhUmAkw2PemK9cBKbKKilNRghlWiutNDYaaYKI1idjFAsAFDzP1Vpv + 27bVZzRN2n/+px+sVdDkZBiGoZTe3P5FqUssqGJEDVoDPa8R4U+sNwhmlC0tLa0siHvuuru5sjIc + mCAmyIk2bZpIc0ajUjvtYXcNMuq6rsR6g4OEMVagpZTAvv+j/cDxcYLAvs0ufjbhOA5gYzRSa91E + hZBGiAhRAIASOk3T7qAfen61Wk7TlFGCCWl22lMzk0LlhnDmqYIPEFBtmMM83/UQMqB5WiRCiHKt + opSq1ksAplIt9fqjUVZgysbGJrjMLJDhnHP2Pvzw3OGjR3bvOa3bbRswu3fvPjZ/PMsyDYYgPBwl + gedbglB/OHBdV2rFjJmenrY9vlKp1O/302E6MTHR6XSqlYqQijmOBkCEpHmBHVoqlYqiyLOUMhKV + 47HJ8SRJzjz7rAOPzgVBMBolXhgo0FxKi1yglGYq+3nTxNRSwjojlxDsODQOwyDwAi+0YPjBIJFC + UarQupUFINBShF6Fc66VyosCAXYJvveee77ylVt+702/m3Q6UpnNgfeRv/jgqNVUWeY5rp0a7Th9 + z3kXPy6amMiS5A/++E/8sdrrr7suSRLGmOe6o2QgZGE9lywqJEmSR+bmbrvttv3794uCT01Nbd++ + /fDRI4TRG2644YwzzlhtNp757Gdt3rx5fn7e5qUGIAgCq+REyZrTXRxGo9Fo0Ol+5ZavzkxOveC5 + z7v++utf9bL/9pGPfOSOb90hFbfZVxAEQvDnP//5PnOuvPLKm27+8pduvPF3fud3JOe+75fjuNXp + WNL+ZZdd1ul0rrvuular1W23oyhyGZuZmlppNKxOicUyZFlmJc0YY5///Ofn5uayLLv22mtf97rX + /dEf/ZExRgqxIZu+8VJ+XPsDrSPLkDG9Xu81r371OWedc/GFF967bx8j5Pd+7/cqpVKpUnnyFVec + d8EFw36/2Wy6nrPRnbexMVT4Ga2wH4yTLpCssbaGtd83AmltvSMZY8qIYX9QjktWnx4hhAz2HGYT + vDDwQEswql6pFkUOSlKsGYGxWlnJwio6rFmvGJBSTo1PtBtNAojAmmRhzgsuxdLK8rvf/e6oFF9x + xRVnnr7n6NGjBBDD5E/++H2DweBd73pXOkxqtZplhnnVWqfZwhoooqEfacmNA3EEi0tLjjcNKCDg + 9HrdcrmCUDEaCKzZ6hLs3L5jNOwhoHEQgjEOZb7jxl6wdWYWABBCvu+7gauNVMhc8PiLx58zUa9P + 3nvPvrwo8qKws0WlNV03MzXrHrdmfeBAMHiuA66TZiPi0OXGyurqCgDcdvttABCX41wUfhS0ex0A + qFarWVFgTIqCX3HFr1x60cVFUVBKa9Vqt9vFBhhjrVarFMVFUUxNTR05cmR8Ysx+aj8ZTm+evfu+ + e/7h85/bvmvn8YV5O9slhGhtlJKRHwwGAymEEGJqaipN02Q43LZtW5qmy8vLs7OzVmIbrbPuFBiM + kAGjjAJMBTdKgENcJMxTL3/yxRdfHPgRQlRpTKjrBaX5haXNW7e3Ot0gCITIQHGQhRT5zHR90Otq + LYPAW8O8Efz2//kOjDFF2O4xTLAtRQBgAyLo+z5GyHpSjY+PWxmPdrttJy1WsMGKS9p65r/EHylN + 8ygqdbv9JEl37Nix9ZQtR48eHY1GzImiKBoMBgAwNjbBOW+3+m6NIocbg6x2MyEEwRp+jzLEKFOw + VlwBgOd5RiDC3DCMk95iNsp9whYWxcqy0hrCav7IwUNBuVyqzg6HIhsN49h3qWOkVei2BdIaJMsW + J/vnDhudA6ZSMW1IJoo4jhgDZRXpMDYGGSwBn6hip0/IczAACJBAbBGFARlssCuxRhQbjYijDCih + GGYagBfSGOQ5XpHKyI+zZhq5OGC+UXm7vzpbG0sHmeNGveHAHwtzPqpGpWxYJILX4uqgmxqaU6mV + VuFYJBBCzCPMd0G4Ro+06sp85/mnnfa4p1XAd4AdjXsjKrDDlCjKQaU36IVxII2SWoNWsFayA0Zg + Tlap4fuqYhQbogEDEIWIQoK6LsYUIaWFBKmwg5nrCCkwAWOU0poxoo3kXDsuNUZpI7WWlGGtJaGI + EpKZQgf6WPPY9PSs5kolqh7V8jQ3RDOXWFwktp16jDQhCBGl9cYc6cQGlhXT/+WE6SRjDQ9sa1Fk + wBYnGpAWOM/5WDQxao3GS+ORFz7ynfvDUswhQ46qTY3P1KeOtBeiiUp30CXEI46SCGttNCIIu4CQ + 0IprDBhRl4SVME+yEe97oc9ClEOCXS0RFybXSBmkDShjsCEnX/9q0GCQwQY5WSEcJ0KGS6OWVhIE + KaOR61WI9g2mUiqZceoRY4wyBCECgBAma/MkY4bp6PDRBhgxf6SHNAgJ0xT7FEslg6DMAVzXLXRh + 9WnAaociBHqdN0IRpVSCGGVpmdaMscDsYOfOnWVUOfq9ozITnusCQMoL4jBEsBACEP6pMutEkRuk + ATBgRBlZQ3ApgwkCACU1AsQY4SKXihdFIbUDAFOTWwf9LnUdZYRQCWWu77ugaJYKrlDougYUSEKI + 4TwHgpFBUkqHMEyp7/vDJC9ygZnRRnvMOTp/fGbzTDbkq82VWq06Go3a3U5cKjFKtTHdTieMIsAI + AS4ED6OISyGVMgiow3qDbrlczorc8dxKpba8vOx5HiDEpcCUaIJSURDXkVJ2hwPXoZSRc846s9Vu + HJh7OM/zs846KyoHcRwfOzaPKMIUaWmsqUbBJZygiPDvjJ9yYWu0Vkpr3/eTJIvjmIu8Wp3hPNda + Tk1N2Muo1WoV3GgjucoFlmBMvVxOe32fMVEIRhgGakDJgr/7ne/cu/vUlSOHZ88666Fb/7Vy8OjV + F1/gF1nZC5SQyoB0vcW776qevufF1133my964VVXvyrPU4xBS+4TDTylXqwZ6Q37buAJJVzfBYDv + fPcuAAClXde9/vrrP/zhD680VhYXF/Y9sO+cvWc//oJLrrn2NchoJQXnBXMdZRRgcBgrshyUch2W + pyOQKmCuD+xNv/U/+v1+d7k1Xq7/8bvf+/KXv3xubm56fDIZDVzX9X3/1pu/8ta3vnXnzLbnPfOq + v/rQ/3EoGw2TcrWSJiOXMYRQv9+nGLcajYXjx4UQURAqIYssf88fvPu7996Tpumtt97abDYl557n + UYwZIe1m80tf+II1nvnuXXfV63W2XrytcceVAvi3iWoOpQ5j3cGwXC499clPWVpeePELX3T//fdr + QI2VlWOHDj/uCY9/3jOe+/yX/ur+R+cCz8NsbYD5X1sXbcR/EGK3gX2HdR8VALDwtl6vh5WJ4zgI + gl63ixFGgAjDg8FgTWcszypaM9dJ08R1Hc93humoXKsuraycumf3wtJimqYLCwsbGhoLCwu2NG+3 + 24PBoNlsWsCbKHipUp47sL/T6SwvL1tM57ve9a52u/3qV7/aCtHYiWGr1Wq1Wo7jMERXlttLiw3X + dcfG4fAhoG6+2HwoDnaGPi/XaZ61EbSIbmnIqjX4lxu+89JffwpGutXtWGN7O7PavnNbo7HaaDSG + 6cgYBdgAQUmadvuDarNrPR9hXdlvQxXkh172j1tYP6F5phFQ4lDmIkSue+N1X/rCF1dWVnafeurx + Q8cYoa1WqxRGnHNF/X67t3x8yQ/c3lJTaJnzop8mmJLHX/q4z9/wpePHjzueCwhxIbCUgednWdbN + C6P14y+5tF6vu6576623btk0+8B9+7Zu37Z1y5aXv/zlf/vJT9oh7Jo+AaxhqbQxGozWhlHHdxwM + 6KLzL2otN48eubfXzwmNitzVKPDc8v1ROr+wxBziewijLPYxNmm7vei7EEdeXmSvvuaac849r9Pr + GK47Sb/f71tOkZBSa03wWpGJ1jX6bDNDCDEcDqMost5Nv5j+SAghnI5y5OUbLkNGI8BrvCallDZa + Iukhx3EcC/9LexwRFvqEIrp5dtvy8SPTk4TnSijodsGNAEbcoJSxiFAPY6NVjuy5s14IIITAkmJA + 9ZPMZxBFEWXecFTkw1FaNIyBsFxbm+CZNYVwC2NYuzpP0LzSCNYb7ut5nMHEAIH1m3O9+W2THgNY + gqG+6wV+fbbcPTowRDNXj0/Wl1aXK6V6bzSo1GutpEEddGzxSLVaKk/Vs1GmsPZ8iiQYKTKRFyAl + Bua5PBuSMnYoykR+rL+ckKRGI4dQ7pucaMlTzQAZ7Dm+UkaDtbFExAJB1/hy/4Ej0RiEwAAygGHN + PUYqo7VyCcIAlGJEIJccshEzlGqCkEYIWW0IY7QxgJA2GhljlDQABiGiQHHDcyweOfbohVddsmvX + rjbuLyWLJMaexwZJD2OgCGNMEUISkASjFaeIfh+++sv4zwUy9tfa0EbhNScwAEAY+X5QZLwelMfc + ah2ib+1fTqExyjssdjc9ZfOUO93zsv4wx9RBLlYi17bAwtqANAgZCsIUq7yR9IbxKfFMMEOQk+fp + smh43aMDPCoIl0RJojUCMJgggjTCJ1XAIwAKXMpKWGqsrMah2++sXHTh6e3W8TwpHAekNlmaS4Rc + 4jvMo8woEBgpcyJVWiNAhhKCHQcRnI54ziH0oRrB+OSk63uN7rDd6fRyHk9UEEITExP2FJUgEaHW + jdSe20op4hDf9xFHWutyKRZi/v59+x6/97LNm2YXjy7yvHA813UcCWvqjqB/mksZacaY9QG0GFfb + 02QOUUJLKQnBoee7rsvz3MKzXeYhTIeDEXUcoVNjUKVSSvOs129T5GmJiWHaIALAiIOI44R+WqT9 + frdSqQyTEaO+7biVy2Wli1a7O71pKs/T+fn5amW8XKs2m03f913PGw6HFqFdrlSsm3wcx8IYK81c + LpeLomi12/V6XQiRpimllCC6ffv24XDY6XQoZbng1mzHcX20blaOlJCKHz58cHl5GbT2vPPH69Xj + iwtbt262Qs8AmjEm1074nzvpF8yIUQCgpZR5kTqOI0QxPz+/MHfozIvOI5hpLS1HAMD4vosZ5pwL + nlsqhIMIIQQDdoBOTU0hhFxGVubnudC7JqZVc7ApK+Ik8wZ5nmaGEFIfA6XxYAhHjjhj9SsuewKh + iDBaKkWSc2rh0gCw0Q5Yb3y7jpNl2ZXPfc7Y5MSNX76JMRYH4dTE5Ac/+MFWt7X3zLO++a3bHN+r + VCrNdssqbXAhAMBxHKM10kZrqaRUQmZJVovLWTJKuv1qqdxrtWenZ4o8j/24yNNe2hF5kY9SAlCr + VqcmJ5WQCKFslFqMXxhHQRBorc8666wXveCFO3fu/MAHPjAcDuvV2oUXXnjuued+6cYblpeXrTqx + TX44561Wa8uWLYPBYGpq6k1veNMb3/JGAJCcn+hxslHDmB/PVdNaD3r98fHxdru957Q9f/aBP3vJ + S16yurwyMzPTXG2ce+65H/zzDzzw6APXXP3qd77zna1Ou1yr/HuoTT+zeMw4SLb4KZVKW7ZsaSwu + 53ne7XbnF+dXWysE0cmZSdru2i4+4aSf9DElmeT7HnmwVKtOTk0tr67cfc93KxNjGkwuOGZ0vDIp + jc54MbVpZmpqShq9+4w9N954Y7ValVLefvvt1157bZ7nu3fv3jy1FQh+6JHv3XTTTbOzs9dee+2W + baccPHjQGDNMR1ZzrFwuV6vVzkonise4wqOC7z/U/Ou//lKr3xibrm2enV1ZeiAMyxES5Rh63QE/ + svylm+/5209//NLLdy8vHdl/cO7yJ19mKISVSBkdxFGpVLK6n2maADbEYUXBk2S0utS0RgIbg0JY + 58/8aDWMTlLVB9miC2PFxczMzPXXX3/++eefffbZkovF+YW/+7u/I4B837eUoSiKnv+rz900MXXf + A/uedeWzDx47ctt37tx73rmlOC6UdD0PABilSinP8xQXYMz09PSLXvSiJz7hsq9//euT4xMf/fjH + 9u7de/z48Vdc/aoXvOAFn/jEJ7RSvChsjWEl77CDbbqslCQEK6X6w/709OQXPvcFz6n+xZ9//P3v + /5j2arvDl7JfAAAgAElEQVRPv+wTH/viamtxYnK6EIPRaLVSNkcP3/fpv/9/v/ilv3nD618xGDRe + dfVvPvHyyy849yIAGCV5vTaxaXqznSXaKbAGYyscjLG1UgWEbDViRzEb/kjdXwB/pOxH/ZEyIWSa + KqUwZlaSASGE1gVMNywibarBOc/TEaak00ovPe+UX/+150Yuk3m2utyYnpkpNM8k3LNv7u77Hu0P + Esp8MEZJyciP4nM0gHYc+qSnPXXH1k1aSz+MVpudO+68+/hio9sZ/RDgGMxj2dfBjI6G6b79+3aV + d5cqEwHxOq3lIK56Y5FgRoKSbqEzOT45XaoGGR/tXzwcB2Ecl3PEkyIVWEoja9O1dtZFIQGNOM8V + MpHnUsA8K/pcY0BTW2fd0OVcGWKUlojgQnKDrYwhIGuuapQx5mRboD+SQGhikDEQep6QmaUB5NIX + SABFbuzilFJNN04GOEFnHyFFiIuQWtNfQgjpDLsI+2h25+aVzgqqEeaxQhVIG7uisLEQQWQQwoAs + uu+X8VgFNthRYP2sDcICrQEoAIwoEh+7g25SLs9ilI2xSQKZgzwHl0a9wpNeBFU/qq4MF4BhKXNK + hAGBiGIES8SBIOPR1GSaFO4O/+m/fVXkRkiRNE2xS1a9wUAminFNQBqFDcaAicaWunlye08BxgQh + w0UuFYyNVy5/0qXl0hNrJT/P+cJi9667Htp/eCVNUyAGU4KJNkabdeMRZMAgjkAYyeOQnnv26Wed + scdwjjEe9PvV2nhUrr/lHe/u9nWuIeVJ6FWGw6GtN7TWGP/whsqLHGNMlcrzvIAiTVNYLOQeWQ5L + jLE8L7TWgNcSTYyxOcmp58keTDaTPjEns/MuQqhSCgESQoxGI2SMdWtljIxGyaDXnp6dVjkeDUdx + OdYCTU6MGWN4LmQhlFJCg5GGaJq0+3EcRX7sEFakWWmy1m63HcczWtoBWlEUvV6vEpfKUTwYDOMo + opSurqxwzvfu3dtsNouiGCXJWL3e7XZLpZLrOIJz5DhxFPV7vTRNsyyrVqthGO5/9ADnXBacIjxW + rSGEhsMhosShjHOupVJKVKKg1WhefOElN3zqc9XZsZmp6fljC/VKfXF+nrmuhYjb+91eXmBObmT5 + 0y6m7N2HkGPvcdsG9TwPGKpUKg7zhBDj47QoijAMw1K8Y9dOIYRPXM45cfwsyyqlEgasQQ+HIz8I + 2/3BnrPPPnLXfY1BEoVeMVJhBooQBB7nMk+48VyHBeD4oRdefOFFx7NhdaxaGasLXuyaqhPm/lBD + yl4HWZaFYXj55ZffcMMNp59++sTERJZlPMtf8YpXbNmy5T3veU9Qij7z+c/5cTS7ZbNUqlKpDIdD + nuW+4/KioAgjZXbu2rV564P9pO+6zGBUrlYYc7M8zwSXBQeCQctyreoxx/G9XBatdrvT7Q6Hw0ql + Qgjp9LrKaEsEsE3kSq2a5pmlogSeTxG96vlXHV+Yr1arlNLFxcVarWZ1lXfv3n3o0KFnP/vZV155 + 5Q233PDtb3/b87wsy4AgOAH59pNfOgKglPbSbhRFV1555Tdu+8YnPvGJ5z//+b/yK7/y6KOPbtmy + 5f7773/q055aqVQ+9KEPPe1pT7vnvnsXlhfhB8F1Pzl+2lXUY1YgWQDocDhcWlrKk5E12+l2u7/9 + P367Wqksri7WarXV9ipkAAZnvGg3ute99fcmJycHw97+Iwff9+d/0mo1XvHfX6O/AVIpx3UpY4cP + H65WKk996lOf9KQn7dq1Swjx+c9//uqrr15eXv7Yxz72/ve/f3l5eWxy4jeu/o1HHnnkvPPO23vm + Xi757//+72/fvv3o0aPvfve7Dx8+XK/XbWE2GAySdIRdZii88z3viOKxU3eeu2X72V/8wtfvvn9f + KR5PuCOKVC2MHGd04RM2P3zgztKYd/NX/vGrX7v5zjtvf/FvvpgCMdgILZZXV3Je5EISglzXpQ4D + 0EIZznPFlev4GwDKDXTWY/WchSys8LcVB3/tNdc84eLHzx2c+/23vs1xnDgIkySpVquj0Ugp9cY3 + vlFy/i/f/Mb555+PHPqlm2/qdDpCCATA80IZTRAuuMiSkVKqFMdnn3nW/3PF088890xjzPT0tOTi + 4MGDf/qnf3rls650wbXZvJUhBitfbjRhhFJKMZNcuJRgA5QCpXgw6P/tF7+Q587OHed+49aD/3TD + p7dsvgiX8bCdARIVf1PZ52nvwKG57rBnihzOP+/iU3edFoQeBi2lcl2fEm91pZ0MR3meE4cBACJ4 + Heu1dqVtzOjWrjGEACAMQ/UL44/U/wF/JMKKE8THLTUGrNsaAYwxAQJqbYDj+z6tOcaoM87YMegv + /u//8yEi5epSsXWz3+9nYdX348ogNVmugjCmzEFYSe4YJQDwxpG+lh+AEULMzc09tO+7zWbT9YOc + q/4gHZ/arEpUIySlWHvsoEHDifjj/+x6VjIqV0rTtfmF+arISrg0HPYag8V4stTvJHFQOXLsaOhE + 8/ccK9ejAgqv4i73W0OUOoZlg9Qr+6NiNBC9oR7Nt5ZkVRmkCEa+QxzqAlGIYzB4+pRNXAsM2Lg4 + 4yOMsbG+Rz98vp78PjVYI20Ag+VOANgUWvICQDNGsY90AYkedUxPFLqKa0opo7+/ojaSM621lnok + RpRSiqgxJoV0pLJu1vMr3qGFY07gGB9Rh+Y891yGlDZKG4OMsXITaG0W+Mt47AJpAhZPjoxCYBAY + pAHACZ0Ae27NMGaSvNvCLDMJUUqPQFMqJHDQuZEFaANGY0GwNJpjAoaoNRFJQpVB7SKhxPfj+Ghj + HmlSq9YFcKVlBgUmBrDWBowy1BCqqdZaEzi5+aABQkia5jMzM8hIh/Drr/+U5yoKMopKzKn0+4Ix + 12VRoYALgbA2oI3RCDEAAGSQAQRGGzUY5UePH2t3Gkf27+93xbAPM5tYqTpRqVS8wHVKlQw4j7nn + eYQQx3EUNWZdYwbWu1EMMwAIWIAUlQPp+37lzKkgCFaPr1qSulRKKYMdhhFWSp28rvnJhVLKgAI7 + OKLMGGNREpgShBDWwDk3SpXKZcZYGPqjYYqRGR+rUYyMgnJY99xAcFiYX2WMug5mzGWUGkU1IGVI + OfI73abWeRzHnuf5rmsiSFI+Go0oxQ5lWipKiOe4eZalyahcio3Sk+MTvu93Wu0iy8MwzFE+GiYY + EMXE9Z3WqMXzwnPcSqWitbTWF/1+nzEWej7HxHWcxsqqNRpxHEcXCiHkUabBOIwBQJHlz3rRc9Nk + 9OijjxZZ3h8OZqampVae4w6TFGntua7tA/onqLz+PMQaXBPA930uctuInJ2drZTL4+PjrWan3+/7 + fmgpOkmSHF9cEKLwiK+4CIJYKZWNUgVCcen6PqKsNjHdPHyYI6R8v5EXA0NAaB9QFFeV5H2jR4Ax + wmCg0W4tLS2tFCPk0kILqTlWOB8mxhij9MYipwjblm4Yhuecc85b3vIWY8zKygqlFBvwqHPvvfe+ + 8U2/++CDD3LOcVF0G6t5UbTb7TzPGSaB6xV57juuEnJ1dbXZbgkluVFCSb8UpSJzoyBJkqAU5Xmu + EU6zrNfvd7pdSl0v8C0hylKvXddd6yxrDQAHDhx473vfe9ppp1nhb4SQNLIQ3FrVt9vtcrlMCMmy + TAixf//+888//9nPfraU8l3vepfNuxzPy3kOJ6j223fxE6Y9aZru2bNnbm7uZS97Wblc/vjHP04p + vfrqq1/3utfleR4EgTFmaWlpZWXFcZwHH3ywXKsgjDfwOxuX4y8MB+nHBWOsXC5be9ZSqVQqlS66 + 6KJPf/bTHmGux7SC8drk/PKiMYY4ruWExHE8SlM/cAFMkiTlSkw9d+kPlxElozQlDpvZPIsN/ONN + N9305S8TQlZXVzFCnU5ny6bZT33qU5/85Ccxxr3hoFypcCGWlpdP27NbCHHppZc+8MAD5XLZ9vXl + cGinCr1+f2yqTgLzklc8v1Ybc1iQ57zZ6L357c8rVyb73RxjRwlugCuT1GvBMGlfeNkp/U77N1/1 + smtef8155503grTVa2/etoU6FFFi81dMGSFMSg7KUESp49gUfCNXNsZY6g78CKTyJ0wqfuxzJjT0 + 3FIpGo2G2khKcSEzhAwg7Xku19wQI0Eyn2VZhgB5jtcb9JMs9YPgjNP3lONS5AdCK0CokKIURgwT + Y4znupEfnHrqqY1u4/9+7dZDhw69/X++o1arnbt1q+9617z2mr/8y7+kCK/dfEo5juO6Lhci0wVa + w7kVFKjvsnIlGqTd2a2Td377W2ed+ZQX/OolqNiTtB9YXaaiiMvl0zEx3d6cSJaBV55w6QXbt0+d + smV2daXxlKc8JY7jhCeO44RhrDUOgmh2dgumOC3yNE0RArtRhRB6/anqdVc1KWWSJMUvjD+SV6/X + Yc0faU2Fgjr0h5YKQggM2PMOEJj1kT4hhLmuEyIn8JebLcOLgGmqIUnhobkMI2DDDDuZEwZRdVxq + 0xyuYKJcjD3sgqEnKi0AYADqOvHyUpsYKQXhXHpBXCvXslQjRNAJoRAAfszG3xpBoWThyGe8+Jm6 + qfZUdm+KN5X80jfu/NcuHnDEZaYiHL7wGS8eDdMH5vbNLRwIJiJKKSvI7Nim00/Z2WitfOXOr104 + cd55z74wDfOEDoyWyOjRcMCBBSwc8tyh1EW4OWg7oetQT3Gjwdj5Jxir/WYAAG2I+51MGLRG77HF + FQZtLI/faK6FRJoihD1MPAoA4CENEhy0jlFcC7ROUETMSM0RMwYrrbUmipRJezgYytGm7TMt2Uvy + XjmIJZKjNCUYM6AWYmdJKmatUvtlPFaBALBl2yqkNTZ2goSMFoIPROYBWekuNRNo4mVTJYKjOCwh + 5i0lzXzoNosuiZlgHBmstEZIW0oHMsbi0ykwI0yRF47n1eKq7wSAaT7qK6wRsdNJjAwm/7mXihBi + jBHsaIm0MqB9iohLTTaSve5glCpDA58BQkgjrbHSoAwQhCSYNYIBBkxdjxdqudFbWFxeXRKRD0Cg + nwggCcaO4zic84QnBSpsnxSPY4yxhh/YUWid0skF97Fjey7btm3rdrutVoto4hFfarVxS9pC8qQE + G04WD4YxBgQWiYAAqfWGps0pLXPdogAWFhZmpqZFkWNApUosCqELKYwq8pw65fFqScpcqoznWhpN + iePQ2MUu56Ox2pQoBmmSRUF8/PjxifHpKPCKooiCoNlaqU/UHUZWV5thUKmUyxQhKWU6TLSQR48e + nZiY4FlulAp8P6yFR48e9Twv8DwAGA2GXuADdjSYfrdnjJmZmRn2+q7jMEw8x/EcB2vjed4oyTAh + ruumvMhHhVH6+KFj07PTvuMuN1ZDz9dhNBplaZZRRC0NTAhOiO/8nFVHAGCbiVKuCbrmeb68vFyp + VCqVSqvVWlhYsgUSpU6WZWma5kUhZAEEizRXCpABo4ACdRyn2e6Ua/XlZnN+eXXXmWc2Hj0s6zUx + NaErVU7IgNJWr9vXwp2aCDdvgjgaq5b8MKpH3pHVpUf3z/m+SwhhQNEJgtQAQBDGGGdZ9lu//YYv + 33xzwTlCaJgkv/7Sl95y05dHWRqGIQDUxseS0WhxdaVSq1q/e6WU77g8y7M0LYVRURStbscgNDY+ + Xq5UKGMaVJIkM7Ob9u/fn/MMMBBCDYLaWK1cLWvQSTZKixxTYvu/1GGu6xZCEMYKIaJS6fzzz9+3 + b5+lVEgp0zSt1+tzBw5UKpVWp1MbG0MIddvtycnJU3fvfsc73vHQQw/92Z/9GXUcIYQSIooi2x/f + qAY3vviPSwmCILj/wQdmZ2dP27X7k3//yU6n4/v+S1/6UqnVlc+56vbbb19eXsYYj09OjE9OXHDB + BQcOH/wZraR/XzxmBVKWZXmep2lKCCmVSp/73Of+6eZbpqYner3WxMTEcDjqdHpKqbhUsZr1juMs + LCx4oRfHMXOoEIIwHMZxVnAv8AvB0zyz5l+tbifyAwCo1Wp5lu3du7ff6fb7/SzLZjbPMsex8KQg + CGwFfOedd27durXZbFarVQAwxlhAVBzH3X7n7X/wliAI0hEnQMbGxo4dPTozvcnzotZyH4jjuqw+ + Vh6lveXVBc/z6tWaUTJNk7hS/cd/+sdut18UxdH546eevjuKIs/zpBa2BLIgLsdxjF7LrTc0mn/c + +Og/VhPbD2q32wRjjzlaa496o9GoXq9b5bRSqWQdnYuiUGAGw34URRpMFEVW4H96ckpq5UdhwXmp + VBJCCM4JIS5ll158yaEDB1dXV6WUt33tm29/7zvuuOOOv/qrv6rVahGLNm3aZKsRjdZGN3lRAEOY + EoKo5IUSRey7CGSpVJrdcgpzvTxTw5QgFPY6gmfR1Piuw4dX4pLrsNqm2cqR43dPT8GRY9oYEEJM + TEwZYxzHwdStlitCAqNupVLhMs8F7/V6oyy10D5Yv2s3kGwb/kiWfVT+efdHyo4ePTo7O7vuj5Ra + DSVD9ca/3YBo2pE2IUQjvTHXEkLkQ563uMEoit04DrbPThz+3iObZhBBNElFZwCBB4QgJYRS2Hc9 + z6OglSkkGGNgDfqy9v8DEiIvijR0ca1WocxNUtEfJBrjPOdhubLmsqeU9Ud5rNo5BmnkOODg7efs + KJbSs085c9abcSHet/jQjjN2kxJtzS/tmj51uj4VQNDFbW97IEOc5/mpm7afMX6aA7BJT5hJ02MD + 7uvF/rJXcykDFzkayyLn/VRQ4hBCRnKUyZwRj2uOHCy0chlDWiP4fmvK6n2fvJPQ93e3TX8JaA2a + YES0QcgAgf5o+PDwe/uaDycrad4cqWyNG7nRGNtIyKx/uZV8NcaApw51Dp1x2e6oGh1oH05x4cVu + oYVlYGNDAIjRVkMAIyv+YvQvGUiPYSgEBmGNtEEAgLBRdusEri9NHgZBoXPXcxkNL3rmFWakA+kL + blZ4dzVr91W/5FaFSXmROwxhTJWWxiCMCGiEJXKMv8mNAlZuLDVrUcnR3mqzWYn9oB4004aUyoCQ + aE3KXSIF+AeE5f5dgYBzHsXx6nIjDt1GZ/Vxl5zV6y6ngw6l1PMjQCDAtUccxRghjdeGRgAAYBSy + xwUAIZgQJLkqCvAYxDFs3jwblyoHji6MsiTVENRjEhBrWprIZYUVYLLmOAEAAFpraSQA9Ho9RGjd + jYfDYbfb7R3vhxDYv8UYM0w0gFIKYfyz0RXBCCmlpBRSSkQwYwwjrIRUsAY5sw3fq1/5ykvPP69c + jpdWV8KoYsBJU1DgA3IwxgYbxyWEECNAK6wlSAEAfSmH27ZPHT4+F4bem9/21kcemVPaWOy34zjN + lVVC0OMuvqRcGntg3/2dTkdpWYlLZ59zzjOf9nTH975zx5133HnH3rP2NlZXp8bGn/L0p91157eb + nTZSWgs5zBMv8KvVapHl6TCJwvDjH/lo6AeUMkao1nqsWms22xZJPhz2A99L0yQIgkLwifF6fzBo + tVpRFP3VX3/kn776lTQZFYKDMQ6h9rb5eVL5Xgt7gTLGCGa+7/V7/SzL+r5v4fdhGGNM17rSBCuj + ARHA2GCkpdJS8SznUmBKDaDfve7Nf/Oxj6wurc53+7VNM4fT4Ue/+fWSNnmaYYIAoy07dpxxxq5S + HGRgDhw+csdd35k94zQhhOd5fhQU2SgvOEHfb2vCOp8ijuPLLrvsRb/6AoTQYDDYtWvXa17zmqc8 + 5Snzh49eeeWV+w8euOWWW/r9vh+F1p/K6iAhhNI8L4rC932lFGAEjHzxphvPPffczdtP+do/f/1r + X/vav9z2TULI5OS4EMKi92fHthxfXvzCLTc0m81ytSKljOMYUyKlzPM8K4owDIfD4dTU1Ac/+EGE + EGjzwhe+UCkVh6VOr+f7fpZlT3rSkzqdTpqme/funZ+ff9Ob3rRjx45TTjnlkksu2TKz5X+95399 + +ctfbrValVrlRw2yflx1ZADSPN+8efPevXtvvPnGz3zmMwcOHKhWqxdddNEznvGM1772tddee22S + JJOTkxjj973vfa7vU4eidTbEDzRK/oviMSuQPM+z+eJwOKxGpXK5bJQeDoeAUblWjsulXPI840mR + SSkJpt2kV6qX6xPjxpi5uUcdx6nUqv2VVctUswR6o3WpVEIE16u1xvJKo9Eol8uHDh/WSm3bti1L + Rqurq9Va7cDhQ3v27Gm1Wqurq9VqtTpWz3hRqdcoY91uVwjhui6mhHmui8Sd37l9cnqq3RhqaXac + sq3I84Vjx/u9pByNAyKYwKgYOB7OeBZHtaL4nhJ5MupVKjUpuRAqiqKoFLq+0+62RlnCqIsRJZgA + wUopwdUaVGadzQIAVlr6R9/xGuL5ZM4fYtZ6WoHvO46TpqlVf+50Op1u1/M8TIhUinPuuK7SWipZ + iivMcy0c+cCBA9tP3ZUkSc6LlWbD+q4YY0BphJDl9t1www233norY+zss89urKwiA/1+v9vtpmLU + WFkVak2EwH4dhUCAtFKkged3Wo1KFPIiX1puPfTQwWtedZbMievAoYMLo4THcbnTaW3dNqF0KrW5 + /8G7tCkeegiKIiMOxOVSfziYnKk74DS7jTRN/KDUbKwsLS10+13muZ7nAUa2IsIYW281rdY8hTbU + VAgh/X7f/ML4I1Xq9Tql1E6ftFIbn4gxtpp1Zt0TySbwaF2ggnOZZyOMzUq7d+rjtv36C6/E/Gmi + yIf9fm1srNBSanLvg4e//d1Hms0E0wAQNRLQmqAuXuerGAANiBMqn37FE3dtn0VYR3G52e59+zv3 + Hl9sri7nsK57DmA9kx7LA0uAXOqtBMyvTsUPH7//k1/7aHNx2NOjp+16lskURMWx4eFbvnBLe6Vr + Yth9yRnTp233cbzSa95xy7f2370vDLxourzn8r2JkazkEhcrnnMhPMelkSu4QcAMxlzKoBoSlwyy + YRBHWdJn60cfQuixEBXGVn8CA2gDRBslhFLcUA8x5kZuzGIUs2ii5LprpDi7En6oFUepNUp27Ynh + h/7E6kRYCQ82Di32lmZ3bZZIr3ZWarUKBoKkBgVGG60BIcAYI2zUSXIGfhk/ITQCicAgbdUaiF6b + twJAkWcIIMdGMmIMyUQeOW6v15sqY0opFo5TY7rNhRlpyZE2FCgCZB3OMPWIxDRHoS7NkBnaI3// + 53+bJRwUCC1e+sqX7L58NyqyVGa5owUDSZQCYzcsPtmtp+0hprlIpJJjE/4TrzivXvPKoZvnxfxC + 5957H5071EjTRBiKEHIxMQYZOxS1PkhGI1BE8MhH5+3dfdaZpyMlKUGD7qBcHatNbH3tG96WFVgQ + 1s360bZ4MBhorQ02Zl0RcmN521k9Bsx8xAzzqEcp7Xa7lDAK1GhjzxaE1ppEZN1Y4qRe2UmFUcpg + Y30jHAdbJV5kQCtFCLFAg+FwWBTFoUOHlBCzE9NpMfzNl/y6VJi6lX4ftm+/YGl5kKZYSUCUGI04 + 54Qw1wkJGjHW7HQPnbl3h0bpG6/7nbm5udFoxBijBLKiCAJ/NBycuvP011/7hqXF1e9++ztxGLqu + 84bfev3Z554jCi6UvOoZz/r9d7z9kYe/Vxur/9Z/v/a5z3/ec696TpaMXOZQQqyLmm0l28dbKpVe + 8d9eXmSFkcp1nNnZ2bnvzVngfZomYRgAQDIanLp796DXa7bbQRA853nPnZ6YBoWCICCCIoTWLHGM + gR9jT/JYPf+TDWNAqY1eEnccO4dErWaHUhqGsTEGY8o5l1IpaXIhlRJIISmE4ziMMc/zfOorUONT + kwu3rrzpLW9tNxsLh4+euX3HaU9+kkyGBQGeZ6UwYoQmvndHd/Wmm74kv3pzCqiRJVOn78zyNAp9 + hEwQBBIJjCg5oQm+BiUl9G1ve1ta5H4Uzs/PNxqN33jZy84688zJ2tirXvWqZrvVaDSiOLZotyzL + eFEQQlzm+L7vO24Ux0NjHM89Zdu2lebKnXfdabD57n33Vsfqr7j6FbZFjjH2PFcIUa1WCyH2Hzwo + tXr605/eG/TNegubMOYYk+f5wsLCm9/85jAMMcYrS0ujLM2y7PyLzweMfd//7Gc/K4TYMrvl+MJx + hND2Tdtf/pqXW43fdru9srJiF62dfSkwymiMMALbm0PwYyBw2IDneZ1eb35x8TOf+9yDDz9cq9Xi + cvlLN954080327W3c+fO++6778CBA2MTE61Wyws8BUYbA/Bv84F/BvIhj1mBZDvxVkbM2tGM18cQ + hdN27ugPe1nKw9B3/bDZbDLHqVQqrW7X8b1jx487jlMfH6tWqwuLi9PT0412K45jUJpzro1xHKfR + aGRZVg6iqampRx55ZNeuXf1e78iRI1PjE9Z4fufOnc1mM8uyM888s91uu64rpbRORJ7nUUqtbkGv + 16uPVyQUK83WVG0zxs6DDzxar1VKUeA5vgVq18dr3UHHC/wwLLXaw2q1qo2ojtXzPKeU1quVwWBg + BdMsxgwjyjnfaPbb0mjDas1SUCziDk6Yw/4gyeQkwgBIKQeDgVWqyLIsCAIAtHXbKY7j5LwgCDPX + 4VIIKYWSBiMOotFqOq6LKAmi0E6iC8GDOLKdGK01RVhrLbnYtGlTo9GwOzaKImPM8ePHK7Wq1jpg + QZ7nBoF1t7RzGMf3BEDOc6O1y5zRaBgGnpBqenrTcDhK03RqrPyhD/7rF2/85x1bH7e6/Ch1yv10 + sSgGE9POtl1R+75uZ9j68Mc+cMY576pPsG/fdZcf0OISgTFqtFbDMGUOMsYMBgNaOOVyuVwuA4AV + qSOUbuC+AMA+cACwPmi/IP5IPYSQ1dLJ89z+PBuftbGiwGiEEOfcEMvGR3Zh+2US6oBSEnpodbX5 + J+//C5PljSWYmoK0ACeA2ni9m5gsJxMTm4RC6XCgtHIdYo+0H+IgKaUeeuihB/fd1Wx1KQPmBgU3 + zCvFcawRsorna63kH0CH/afCIMAUhbVISjkqEi/wXv1brwpgbF6uPpzt7+uu4+pRMrz6mqtDCBvQ + vP73lAgAACAASURBVHfloSPto1G1RLHee9nelzzzOQTMv+y/DUdE0MLxPa5GGIFWQgkwhBrKpOSg + pTAyjGJp1CgfleLy2hf/QXLtmjLnyX0DvP4cMQACgzWs2UNRgjzsOMxRwvSzYZFLqhyFleM5nBYW + imnf70bRbmehUkrhcmvsiGJDJXVL7mCY7Dh1e58PBFblakkpJaSkhhDAGFMAbIwxWhuj0c+o5/7/ + izDIKKwBgABgDdQYZDAGDYAxcVzX54XC2FOKIoQxC6hfcGIS3k95QTTDoImBgDg5cKIxxrTQXClg + xMMaGYmocquk6ijafqTpUNcj7ihLKjqaRuPL+rgGLiXWRGmCJFobRGuNTi4twMAYy/N8ZmbGqNyh + /BOf+EQYQOBg1/WEZM1mmgkvKk1KYEVRGA0G7JFjtScZAkBIYUyKPD906MjC/LHFYwuigH4H4jKM + TU/OzEz0h8DicqIyTnmpVMqyDJcxxtic0B6yiSMXGcY4dEsmM8PhEADyPJ+Oa6LLGWYEiBQcFAAl + 6Gcyu8AYW922UqnkB5FFSqdpKqUyxhRKM0orlUqlVI6C0HNcAzJ2Q14U7/uTv7zooqd+8vqbJa98 + 458fXloUEvuYBggR5RRR7NXHKkGYBP7CB//yc9+64453vfdtk+MTeZqUyyUAnGeF4zhJkpx++umv + fOUrLzrnoq80vwoASsh+ms7NzX34wx8+fvz43r17//AP/zDw/Onp6auvvvplL35ZprLV1dV6tdbt + dn0DURBKrYosL8exJqa12vBdDwP6zN9/utftirzwHPcf/uGzd911F2hjlIyCKMvTyfGpyfHxL3zm + C8qIJ172pDwtKpVanudKSM65FtL3PaX1hp7qz09Y2Agh1HGcVqthgSFBEFijWK31aDTy/dDey77v + E0IQAYe5DGGKaDZIlpaWHj0yp7W++PFPuPypTz548ODmTbPj9drR/Qf90NMMUYfwNA18l48K0CZw + fSk186NcCgkADv3rv/lokWZpkVpPGpvq2QzEglMopZKLu+++22ZTW7ZsEULMz88P+v0sGbmu2x30 + ZzZt6vR7pig0GK217/t24MM5R9oAQL/fT4sMUzI9u2k0TM678AIlZH84YBR7ge8FPnVYrVJZWllm + hNbG6gThQTLs9wf33HNPr9dDhCilPEI8z7MAUQuNyfM89H3O+fj4+HA08lx3OBz+2q/9mm3aGmPG + x8ePHDmyZcsWpVSz2ZycnLRJS61Wa7ZbU5umqfh+UnQitvBHQyMoBKeU9vt9q8qAMT569Giz2azX + 6/feey8A3H777ePj49YupVKrGgxoXdLs3zwBNALyU77tHrMCCWNsAU6+72NlEEJZlp2259Spqakv + 33LT9p2nbdu2be7RQ6VSaZTmzWaTui7nfGxsbDgcMsdprRc2FgQl8iIMw1GS2MngwsLCWLk6HA6r + 1WqSJFZ4zeYWge8LKTnnYRg2Gg0hxAZMxf7B0lGs9dVwmGHsR34pGZjRaLDtlN1ZmvQ66abpTY3V + Xq022VhtTk7MdvqtMA4xICmI0ViIwiK4rA6mTXNti4Xg75PV7AVgAd920GGhMrb43gDV/NBD0yfZ + 8cUY+YG32lwN43Bscvwrt351bHJ8/6EDVz33quv//lM8yw1FQNBwkGCM/+C9737xi1/8xF+54sHv + PfyNb/6r1Lo36HuBz5STZ7mU0s5AFCiEULlcfv/73//hD3/44x//eKlUqtVq3/zWbaVKeWJi4pJL + LuEgLr/iSQihm2++ORfcmv8obTB1tRIOo4PBoFaraa2GaZKkferC617/8s98+uZB8cjei9hznr37 + M5+95b579s/O7FRm9L3Dizt3jk9tFseX7j3v4t2Z6O17YPGu737nquc8ywAwlwqZO26JeWiUJlpr + OwWa9KbiOLaFhFh/nic+UluWxHFsy6osyyYmJmq1/4+9Nw+37CzrRN/3G9a41x7PWHNSlUqlQuYQ + RYyRSdDnuRfU7qstiordT2ukuS3tFaHFq/Z14OJt6at4Eenn+oh2K8ZLC2I7MwToGyAhBAJUkhpP + VZ1pz2vtNX3De//49jlVIWGokGi4zfvHrvPUPmfvtdde6/ve4Td0GWP9ft8+u/yR8kajMRqNsiw7 + fPjwwYMHz5w5M6pStptJmBoAOOe6qhARGSPmRKzmPtaCc5ACmNCcl/XMMOGLsLSji1uQK0AG5weD + 7uJi3FoYTFNNttMOjYbpcOR5gZCSc26No98IhgESDQeFAO3xmKE0tVSl1QaNIdgB/gEAcAAxLxR3 + B4lzIT5iTxQ9+EpBJEBBXdrSWNy/0FNgMpgVoppiVgUVad1a6A1gG4BlkLO2UGOlPO0Jobx6BhkA + tfe1z2cbOmalrTkAAJNSAoEmTYSGcQDLGMurmUVotpszlfm+R0/XpIXcqUFnC+ss4gAtETFEVSkA + JkKfLFhrEE2OU/I0ANRP9mIGCuCgIYcAAGBUKxnKqZqKkE/LiWXWsZSRgDGGFucdd1fsITmM3dPz + ub4RAADg6k1OIAiEAU5AyCwAl2I4mva6y6OtSS9MhBVqu26xSNQaDAP0GiJseZEtTVFkoS899IFA + kAXGEYS2xJFLL5JSWmvc3SSlFEo46TalFAbIOSeqrbXoJAOsZXCF+igWjDGeCLWyrWRx1L8Qh608 + nYHH8lQjF2D9wI+11llZBWGorAJgwMVcrY+IAQNAxkNd8wubM8n5+gZUJQgOS0k3zUoDIghiYkyg + sMLWdR0EwYznuxyk3QYigLNU46pSHoYOUO0EhzyUZMlY4yDcc4CAtc80pU4IoXW9tLTUarWisGGM + 2eTcKfpIKRmBg1I7qHZRFIaMRO7J4OYbbmPEDh889tgj+YmH+ytLd+RVko+9ZtKp1LSclJ+7uNbp + Tl/7P79sPLaHDl0dxwmB0Vpvbm5eddXh4WicJA3G2Pb24Auf+9xfcE/XthHFW1tbjUb8/ve/36Ww + Dz/8sOvZnTp16vrrr//Jn/rJt/3G28IwBADf94uiwEAYa9rt9mgw6LZ7dV1LKVdXV8nabDK9ePHi + 97ziu5cXl6w2aGlleXk2m4V+0Gq2yrySIGfF7Bd/8Zc+fv8nnLSGU+pzUynP97+Mwf0/VuzmzbPZ + rNVqaa1dnxfnIjXc8zxEJAsIXCnNGAOgsq4EQVmViHjy5Mn/6Z99P7IdwXxndEZARApt6TPNLRJw + ssIyboETcMus3hn1c6bRCsYbYWSV5ly6a3t3iyQirTVHVte1O8I8z117tChLi1BpFcfxdDp1hGq7 + s4fOkdUIRum8KqM4/pVf+RWGEnaskxlYZ+Js3brEmVWaSYHWXHXk8GBre5LNjLbGGGDM1Wm1Vrau + OOcWqFI1MAyi0BL4YTgrCtfpFp4s6woApO8BwCSddhd6WT4DgEYzmRW5eyqdZU5994u+kS9fxjDG + gLF0ljWaSVGVANDqtAGgqErhzU2jh+NRGEfaGgCwO7L+/zD9ka8YT+cEyf2Qpilq6/t+4PllXnzm + oc/qiWqEkVZWlZXWLjH1jDHKmHw2q+vaWL28vOwE1pIo5pzP6jSKopWVlbW1taIorjp46OLaeSml + o3AkScIYK2d5mqZKay8M3NWglGq1Wmmarq6uOg7SaDQajUbuD53ymDZWcGEZW11eGg+3wkC2O42N + 7fNAYpIOkKnRuE9g0nQquBf6Xpa5Ch99KWfTGefcD3w3voTHD4Xo8QFwaWPAy3gp7imXrNMVygoj + QK2VMSYMw83NzW/+ludVqn77299e1hUZe9tzb98FmB2/8Yaqqs5eOP8f3vZbdV1//tFHqqo6cvQa + Q9b59rgZlMPOurOX5/lDDz30jnf+7h133LG9ufX8O7917969Qog3vvGN4+nk1OlTr3rVqwaDwWc/ + /7nJZOLQfUrXyupGM/E9VpRZUZeMbKfTjZPWy1/+8lMn137nHW8lpm5/3t5Hzv7VgaOzb3vxCzc3 + poG/xw+vmabbVSkmxWni2d9+8L+Ox/0XvPhFN9xyMwGm6aTRirJiSqQajWia+qTqPM8d26fT6YRh + uLW9XVUVZ8z3fSe34O4orbVrtbq5TZZlAOAKnme/P5KcsLPpF5RSgnk7F4lw+xaCJSRCcokUY6ws + y9m48oNmltXdODx2+OCF06cP7O/m2WwpCrfH49ZCr7YwmWRh2C7rajRKfY8tLi4qZcrKalMjCMbR + FV11XS8uttCUZVk2klZR2Wk6Cny/rmvGBbjWnXXt5SfvG+GVSxxYBLK1ASIBHMVUZ4+mJ8EGUyhN + UFdQalMOazxbn+37owmkw3KAAWQqBfSFsY/QY2DstEprVIY42vntRDAnjRAZACTHM3/i3XS5fdNT + LSsYuNkTu/Q6CHMbKGKADIgRWsPAogU0lmnDNBIjtF/xkZE2hEDMcGPR2p29HIAxN7Pa+VxIjiRj + v2EG+zQGEgjHDbMgLEjLYM5KQkIWt7pa056Ffct8oQnxB9/3N7Ys62rS6ERXX390AVpTNpvpotPo + WqqpNm5ui4w0KGScBFVYrtdbkmN4ML54Ycsnf3HfInX4CNIJ5jWoCmoF1jm9InJEhlfqC8RAKeUz + OxlPh/2tQNpjRw8zLKbDfhzHyrDptK9N1eq2iVs7v5LZziXNEC2CJWBVWVujD+7dn06HZQlLC4Jz + 7nn+kaNHPvnpz2ijt4brshnIlqQdT6FdDtJuC8la+2wTESmKgnPkgJ/4xCcGp86tXnv0qsNXr66u + 1qUCY1Vdl7PcatPtdq+66qper8eQFao4dux4npdk65tvumZ1Cf79W/6cqqTOmrOxELaD3CeTJrE5 + ft3hl37HUQuwtLQUx2GapocOHUAuq9ru3bs3DMMin+V5du+HP3r1VUcWe8uMsUOHDrrp8fLyclmW + b3nLW5xjiu/7b3rTm4QQNdROpZZzfvVVh7YmA2V0HEZItNhb7DRbRmlnD1rXtSekJzzOeeQHeZ7X + eZ1lWZI0JpPpgQMHK1MFXjAYDKqqPr92wfd9L/CzfIaCk9ZZlkVR5HauZ1HQTj9qvvrtlgy74a7e + +W1ijLFgkDhD6Qece2gMaaB6LgAKAMCRLIAFqpBKYzUBAnBLnKywwC0wsqH0mIOQOD1LRA7MApKd + v9cXpQSueTofnO60zmHHeHD3Hp4DGRDd9MaBXAJvLj2HlrRRSMzl1gYs7AA4FBk0qLWWQESmrutK + q7oupQhcwkkIjtjssNxfas5zpb7oz6r4eoLYOfKGU7PpJq3JZKKqes/elVtu++Zer3f06LHHTp5u + JkleVKRtUVSOlhaFQRyEZVmkk6muq1k6bbY7FmBpcfHixYu+kPv27F1bW5tN04WFhaIoPCmtMbNZ + 7rLb5ZVlY22/33fp/lJvYWtrK0+ziT+qi7K/ueX7frfVLsuSAUrG+4MtYuj7QdJopelFA7NmZ3E8 + 3jasiqNGpfLmQlyWRTNpjyZTValZWkS+tBZQSCl5Ni0Wuot5nudZ4QnfEz6hJQDGAREAd/ttsHtF + XtoYnhDz7vsVNt09zwPG/DD8wIc+dPTYsYWFhYvnzx85ejTPslprrbUX+K54E55USk3HkyRJBqNh + r9dDxBOPPdpoNcuyVNYQgiZbG00MPRmoTD968rFaq//rd95+6MDBLJ8Rgud5/+Z/+WnuybW1tV6v + F4bhZx9+eHl5WUoZRpEkqhUB2CzLAU0UNmeztCzpY//tkwLD62+4dZYVK3tDIbx+f6u31PLCYXNB + lPWo3W6OR7bbPTTsb7UWWmT1nmS5qtrv/fP3aVNLzoQn02zqalNX/CBinudSyiiKwjBsNpuj0ci1 + uNxMyfGp3Nl2FazW2plyRFH09eKPNIRkDsnzmL2sYcY5t8w6Rbu5THmhilmZTjKJ+pqVQy/99jvC + Fz/P5zzPcz8MK6styI/d99AnH3xEFUaAbxURkZXMGudLi4wBY0hWG1vEMbv9udcf2r9cVrNG0ioq + /cCnPnP63Ga1WboFdv4R6FIGfnmZdGn1v7I1yxpQwJAjZ8BnVZ1XI2uwEsrGGtGAZYrsoB4Pq7Rg + Rc5rrxHMyoIk17y+OO5brWXkoWRkLCAgMABhd9xTiAiYvbRbugkXPTFHsxZ3MrcnefZLBqPLd2ZG + YC0CzAn9sENMckpoFtAQgkFmwXNGHF/FIxhGSGb3pLrqCACAcOdQmROe/kZ19LQHJ0ALnCyjOZbS + IiiGmkFRVnEgNy/2vUSgV+5vrNoz/YWwNR0au531rm4egH2z0D7SP+0JJECtNePEuEXUGiwIZMKb + krGi9hvBd//893UbC2DY+ubmqFc+bE+PGqXxLAlrGAOLzIIjBpET3r6SkNIPQ99ojyNb6kW3Pvdo + py0CwYIgGAzLe+994MTJjdF4WGkeBg1ODJHvXGMM0DIgRMNIeQG/+fjVR4+8cJam7VbS39wCJhf3 + 7v/YJ+7f6qcQRGVZUk07Np3/OHFlORNCFISEttvtxkE4QGg2m+1mazQadVttY0zg+2CsW8zniH3w + gMOePXtpbt4N3e7cPaLbXQj9xJdRrjAt+qhLpLCqIJ1NmsDDMAzDsNXtcOFtbA1XVlaGw2EQhisr + S+PBsNPptFot3/fb7bYhu76+zqV4/U/97LHj173qVa8Kw/Da645Za8+ePUsAUSPef/BAXdfDybjV + alWqbjdbSRynk6wRRp7n7d2799DeQ2dOnpJSAsDZs2fH6dTxT7rdrhBiOp16nie5DwDWQhAEC4uL + ROB2UrdnWdLKqisFBTzTOeuXmPu7ldCVTI+DgEuUBhkadAA4ADRgNRkrGBHNnaAREYAYMqQG4wDO + 8nuua8oZMAJdVcSYBWuAgBEKjiisNXNbhZ0sAnb6bq4D7rYCZMgYQwDnCI475HPa+dt5SbPbakdw + BHIkkPzyFN1Nj90ewBhjyEFKQcSl7wlPSt+ri5pzzqVgO+9irVXWXC4VNj9ZT+mbutLv90o5ac8q + V2J4GgukyWTiTM0c5ydNU8bYuXPnfN/X2pw9fe7BTz7IueDIOQjURa+3MJlMxtsjLpBJXuX5/v17 + N7Y2k7gxGo06rbYvvc3NzYP79neaLde8t9YWReE66E5+rZ00Hzt96uDBg870ZjgcOgiTmxiMRiOt + tRviz9taqrrpphsGg8FgsLF3796188PBoG+MQSbjVtums7LQaTorcsUBfekzBrbWWhsvEGmaFtNZ + uN+/cG4t9PwdtQDYubjN/ErfmTDgZbbKjrdz+el6ygNEQ3ZW5JN0+o53/i5H1uq0y7w4dvy6+++/ + 36X1YRylaToYj5IkiRsNhkhE48mk0+lsbW1prRd6vTAM3Sl1R+jm8kwKU6u1tbVutzueToTvZVmG + gkdRlA0Hq6ur5y9cOHjw4LHrj0vG86ocj8YoRNxoKqPzPHPDPSH8Ki/e8/+8TzBJhJPJRKkKUPcW + 2laXZ8+fvebaa/r9PgA4GXQkLIu60+lVlfKkb5Sq6yqOw6KqAz8EAK21UopJEQSBIeumLr7vO6xd + ked1Xc8913cUFOAyf6S6rp0/EmPs2e+PFPa8/fv3e543U0rE89/EHRtZYIAW3bwLAKIoCnph6Ee+ + oOl0/If/6V22LB59NI8EaAu9ZYiabYMNBj5H5Ez4SausptNpxgQyETAuiFyjy3DGrFUf+ODfCdCW + NCAaYkqDF7a5cLYEu1XR/Oqlx9OlniKyC61FwzhDw5WxmjE/9AnIUqVszjh50hdcambAgGEWODCG + QnD00CLUnrGomCclF0rXaB27ilmwgALAup69RUCwBMB2ih/7VAyPnjzciUFyYtDMATYuEzfmLssE + mPvncCsQOADwr+KRgQUgwjkOhO1WR8CQGAOnML5THX1DvO4ZCAewYeAMr9z4iAjQC6SQstmIPIYe + AANNRYnkB0poAFYQB8ZBEKEyRkhmyVqrUCBjYKhCIQgwN2qQ9RmJ3t7FmalIg3+gMaiyUZrbwJCw + xJ22P5AlbhgiOk7UFYQFB/NWSvmRPHvu9Hvfuxb4Kg64tUAQlQVvtzsGwuGkREQg1/S71BBxWScX + jEDfd99/e/gzD5w5fX40AE5w8HBYGp4kCbBWhZwCpoRK09RBEjg3FpAszZNFpzt6Jcf+D9Ddrqqq + rsvxeLxv377uwlKv1xsOh48++qhAUdd1IwijKNrY2Jil2dqZs1tbW7kuOIrHTp6sVB0n4hMfezjP + WkGkJ9nZusyzaejJ2I+hs4DEKZ1tPvjprW/6lqWyHo8mw9FkeOLECT9urJ1bHw2nZVkGobe5uWmN + OnfuXDErh8PhmXNnkiThnP/4j//48ePH77jjjsOHD589exYRlVLLy8sCxOnTpx944IHV1dXRdMJ9 + gYhbbD2KojItxoyNRqOzZ88+dvYxJ2bLgK3sWXWfSwghvTmAcJbnCNifDJRSRpMQoqhKC8CkMNZK + T3KQdV17/Fmn9P34YF+yaHJ1DiIiIwRjdGW0YBw5Y4Iray1YJGREZBEZGAIk4qVFciIhDHdg2BYs + EjCGjAkgC4yQcetuzCfkAJcLkyDs5oeXGuVERAwvtfAIAMBBGR08z0HoGWPImbb2CTXDpc67yyjm + tbq1xhjG5xpOAACcubbvE/PP+Qt9PY+P/mHiaSuQnGiYA2WdOXPm1ltvvfvHf2J9fb3dbCZJgsBe + 8oLvSJKWMSZJWqPJRAihTc0YW1lZSmdpXdfa1LVSf/b+v3jwwQcvrp2/+uChc+fOPfboowcOHEga + jfPnz3e7XYEsiiIHfMrz3GWK21tbABAGgdNovvbaay9cuMA5TxoNa206nfq+X5YlWbt3ZfVV/+z7 + 7rjj9tNnzwRh48D+I8PxbDIp2t2VjY3NKIok480kmo6GUSQ408PhIPbiqlJh6IdxhIhZkd19991R + EFV5Ude15wkyWpEhMsQ4YwzJkrmEqcMdNeon6bUDwBXqNBAAMfIC2Wwn02wy6Pf74/7S4mI6mzKB + rrcxLVINOuk0iWg0HWlthRCe701nGfdks9OOwmhjYyNJEq01AQRhWNd16URUolAIcX79YpIkURxH + Cwuj8djzfZ2lnu83O+28KvvDgSvMiGG700qnmed5rVZLKTWeTDnnSbu1PdrkgEJwYLbZ9T0ZTKbr + gcevObp/MN6OkrgoZn4kt7cHvXaHmJmkY8ZEms0CzzfGjqZps9m0qrYguPDCMKyNNsZwKaqqGo1G + cRx3Pa/T6UghRqPRLqDOnXNXxuyKyBljZrPZ14U/kpJh0nmSW9KB9IgTILhXCIJARj5obgmJmyxV + 2TT3wHoSGk3sD2l9G/hk3FmScaudTapZNmm2Yj9mVQ6cM2DMEBhNBFZwKTgCacZ8jgKMQSbIotEq + nxmyzrP0GUm+CYDQGGNQM7BejRw4s1gTJ2tqhogWamMtViyQBsEYa3UlOSpVWSzJR5CyJIUKPWSW + mAGyBMbdYsA4EpFhl9GN3DiJXTIvuvwpu0MouoLAnU1mbm2DDNAlgQyIITHYfaN5gYT4VRMrCMEy + S0hIwBDmIhAwh88/oTqy8CXAhN+IpxwEjBAMwJxe5hIbJARb5pNGFNqyEhHMIF26eskrASTuWV32 + muEUZuNy6jf8kuXIDXADSIgIzAIQMOMyH+4LDsIgjadD0thpdxt+Q0E906VFhUDICAGZy/SeQlsN + gXPue2EUxJ1WzEmDzScjpXwDAMhUUWjiM2K2qmoMGWNoiAAsIgeaD10JmCVEjVVVTqbZYAxcgC+B + 8UBVlfQwjuPIDwuoFagnxd9+LfGMNpV93xeCOUHRPSsr0+l0Op0mScKBG2NsrVynLArCKIoYY4wJ + j/l5nmtdF2V24pEHP/uZLeYNe0uzdKp5KOrazuqprUDRNH10+9+/9a9//7b/PS+ys+dOL+9Z5pKn + aZq0mlk+A2CcSZTsrhe9aHV1NYmbd9zx3LNr586snXvrW956++23v/a1r/3uf/K9URS95z3vaTab + 7XZ7cXGRAL/lzm89derU5uZmEARBI4zj+MLamrU2CRtVVXU6Hafc2+y0J5NJYcq8LObkE2urUiEi + F57vhwh8obW0Z8+etMgvbGwiopSyNgo401Ypo7kUlp51Tf0rCqsNIYB1IBHutL8tswwFgmEauTHC + MsOs4AzA+gDCgDP72y3QCbhFYJxZjozIEHMLAdIcALQreYS7LTN0MAZyTQcn4UBEyJjdEQea9xkt + uf3d5S0uzfA8DxkDIngc/PuypqTDdKAQQhAZIZgQTAhh0Qn9GWstWeScA6KQ0v3l5cvHbnX0jPqM + Pe6gv1I8C+u1p61AcvJuk8nE5YKOKPLhD35w3559ngy2Nja49LPJdJxO9+/fn+e5EKKqqjzPhGRb + 29vPueH6CxfWvv+VP9CKG56Ug36/LIpWsyk4T9NUSnn77beXZfn5zz7syG133HGHU+Fst9tnz689 + +uijLg/eu3fvnj17oii6ePGik57zfd8loNZasvb6o9f90pt+aTxJPb/z2Ml+q3OIsV63u2cwGAoh + puPx8kJz1F+LYtq/r/n5z39q355VjlAUxQ++6pUv/a7vnBVZlVeSC8G4xxljzBiwVjsKHeecc1lX + elchbT4nQXT59+7pespbCBEBZ6PRqK7ruNEQVRXFsbImbibrmxvGGOn7YRhyKcqylIJF3MtmszCO + qqoiBM55fzTMitwpGWitwzB0hBYAIIBaqb379o1Go8lk0u/3271ums8ajcbpc2cbzSTLZ05Kzo1x + y7KUniirIvDDMAxns8KJrvm+34xjAsM55cUMgTMUqjaqhsk497xmVZP0oBE3LeNLKyvb230hhBfy + dmfRKJXneVmosqySRrssS8dHr6oqEHNJwLIsJ5NJt9ttNBpOPEMp5cqPuQj4jqYi59zRjRye7dnv + jzReG9d1LaWvTa21DnyOwJRSjAMREdDuDKqYTvONIohio8vVxea1Vx9/9KHP+LxiiIcPx6Ns6sVN + BTBNJ4wFSdJgzCilheRkwRhjERAZYxzAGmO0Ugu9BtqqqopWq1PWNssG0vPyWUVcz6mTOAeimMez + XAAAIABJREFUWfvFbbOnvLYxBsYYAdzjPpCYFIWWuc9t6EkgMppprRUHzgy49jZZj4tSVaVWMvIA + UBeKGQhkBMBqIItkkAFYQRYIkYxTq3O49Hmx8sSbbz752eEOfXWBc+8jV7DsuOXgDhEKcFfdDhBc + P/Kpjnnm7UwGDHeJIvPYqY4uFXvfqJGeniAAzdAQg3l9BK5o5QTW6HxWNCIcp8VFZDOYrtx6kJcg + DI+SRuqVJQ2GamRiyvI05MzjwBAVuhxJkEVQyC33lCdB6lTtb+0hhZPBhHnM9xmKoCYy1hAYBkiM + DBoE9hRQlEophWprMDx3ZhZIe9ut10hR59NhHMfKiLW1gQZotVoi0NqQ0TUyAhI79bYFsBYtgimK + 7PChvWTr8ehcEoOugYhuvvnGj378QW3VYHZRJH50IIrj2O0sXxccpLIspeTb29v9fr/RaI7HYy5l + t9udjqbOIlZrnWezPM/TNCUCwfwazPmLF/7l3f+y1Vq+6urnZFVW6/MPfu40QdTr7OGRZ2BqZdDt + Jq0k7jS917zmJ2VUl2U5nU5Hk0kQNpqtFoJXFJUydnGh82133bVv7+q+5b3dhd79D37qj/7ojwDg + xIkTP/ETPwEAWZZtbW3df//9v/7rvz4ajR468dArX/nKqqpe//rXM84319f9MLTWekK6rXB9ff1z + X/jCj/zoj77i5S/f3ti877773ve+9ztibeD5SqlGFAeBT0S/8Mu/+JnPfObM2pk77/q24zc8xw+i + vCyd8VFd11yKJ1so/3Fjt2TZmdV/hWCSCWU1OZAIcku6VHVFRktGRJ4iMoYMI4SaE6HlzlkO2E4H + DQnAIpS6As6Qz2f6HFBwzhlzTZMn3pR4Gehud3bEGAPBaUe5dPdZ9ye7gyOXMWqtVV0zYE9aIDlk + x448snFAG621VpYx7pINTVZrzTh3uspPeoKeaVn2r/d4OjlI3W7X0UUct68oitFw/Av/9hfyWfnb + b3tbOk7PnDqz1d/euLCRZRkivuQlL/rmO24/c+bUXd965y233Pz77/q9SPp5NgNjFzrdwdZ2q9Va + XVru9/vXH7vuDW94w9/+7d+efuwkIt54440/8zM/o2u1PegfP3681+q97mde9973vnd1dfXev/nQ + w4883Ol03vjGNz700ENWaSEEI2iEke/7/Y2tOsfRRrVv//Ff/pXf/OVf+c9SHkySa9/5u3+8sHBb + XZfFbCzLMPCXsRi94Jte2vSWv/efvDgK8Dd/+20H9h7oxe3JcKSqupzNpcnAydYJySQPgiAIQo8H + 00lWFMW8iL/sTviimHOQrrApaIG4FHlVZkXearVk4GuylapHkzGXMoxjh8EzubXWAmfMF7XReVEg + ontURi+tLFe1AgSltbAGGFoE4MwCVHVdjUdhFFJZ9pYWi6IgIj8MImuiKMqybGFxcXNzczyZICKh + 9QRP05yI4qgRhrG1dprNhGBFXU0mk26rXcxsbqgRL4BFW4e9dtNqMrWqaymFl2ZTT4ZKW0AlpT+e + TtJJBsDaSVt6wpKsa1VVFXDmbnI3P9FaZ1lGREmj0Wq1nBmRm0279UVrvVuB7KI7nv3+SLuqEpzz + eufice/IOXe93EscpFIVRZFmKQc4frj7khc+/8XPu9kTnAEEUZSrKlfwiQc+f/+nT+R5Lf2QATem + JpjbjSBDxjigtcYYq0Jf3HrbjYf2rVR10e70KkX3P/Dpk2cvlkW9e6iuoQU4Nw+8/MK+hDm8MtUR + S4wYgLRckqxAaKY0sxyVhwgaADgKroRRbGeTI44EHNBwZp0tlBQCgRkkAsYYcHA2uEQAZBAAyDLa + QSYRAADb0VGwO4fhnnnqmwUxy4CcoxICzA2RGENEB9VAYAAWSXNzRTku0i6l17GT59XXZb+xy7Cy + l37tG/F0hEHUjFncEbMiywg4WbTW9/1mm0shEILNcrhpJmEvrrJy7+LysBhvTfqJ6g1g5ItAs9oA + J8EsMKWVZZKzEDRCaRNKVrwFUYo//y/v48CtRs8TL/zOb19eWjk1OTlDKHhRC7CcjLUKCMAIukIV + OwAHeleNCGK+1Ituve05C70o8jAIou1+9pGPPPDI6c3RaFQZCIKIoWMZ2h06h2VQA9WSWxmLG44f + vumG49Us8z154dwaMLl64MgHPvLx7WHJo7AsS17xIAieie/iq4wru4UJoihCJKcIp5QKw9D3feca + obX2+by/6XleFEVciBqMBPGL/9u/A2LZTEWNjlI8+OG42e5keW5JVVVVlIoz35Ox73kemvWLjx26 + ZqnQ37dv397bbr/lzJmLW1tbCF6r1Umn4/7W5lve8utFPos8ubG5yaQXNxr/5qd/OkkSNyM6ePDg + qVOnlFIvfslL9uzZk+d5r9eLoqg/GFx77bWzPPU8r9NqZ1k2Hoy73W6SJL/xG79hleGcD1dWgiC4 + 864XODUmyXk6mXLOZ7MijuPFpaWXvvSlW4N+p9f9+P0fBwejmPsSg5Q8z0uPXRnE7hnNt6+kXGMA + jBEwQA7MMkJEbZXWmjj6gcddgcStp5i0jBiiAIVkyFgCRgzJCeGABSSEKAkIdzAppa6rCpRlkjHB + DMxxcnhZ35DsF5ceknEUHARXRhtjCIjDJTCRYNxa6+DsxtqyqhDR932O/EkLJEvzdjDnnAh2nGZZ + ksRaG2UNAoidsdUXtePdkT3lweBX/xU/tXd4VpVsT71A2m2FsjkpzWilVFkFXBqrkSAKAlXXB/cc + 0kadPX1uOp0yxlYWlxgKMLbRaPzQD/zQkSNXv/rVP3L40FWB9Ja6C+lkwpCUqqKo4fvSWnvq1GOH + D1/zutf96yNHjnzoQx9IkkQIdu+99/6rf/WTa2fPHz169J577vmRV//wmTNnlheX/o+3/PqDDz94 + 9913A4BLoJ3C+mw2Q8GjpNFst+I41Fr/8q++WWuocn97Yj51/8f2Lb6gVlKouhXUZbrN1OTk2ulA + LvmyedtNt/uhffQLj2xvDTTZKIqWlpbyPHcTBrREYDwZxEmj2WzEceLJmLMBAMxdXK1TyTdwWRZ+ + eXfhyk87Q4IoagyHwyIralP7fri8utKIm6Uqq0oRWiE8yUEKXylVFMXywmKaps4WttPtbm5sMMYI + IfD8XULLLuLL44IxNhmOlpaW0vFE+B4AVEXpyFbuS6zrutPp1FUlBJtMRmEYSulnWSZl2G62ilnu + S4903WokRNRpdbRiSlnQvCiLMA6VUs1GWwY4m00k9xxm3ff9utZg7MrKiqlNXSqjtFE6iqLhcCAE + 9zzPKfi50lRpPRgMEGB5eRkRi6JwRVEQBK77sss3deWH53nPfn8kCm2cxJ7nKWuBw+7M3bVgkeGu + zLeUMmzHTUx83+NQ9/v9d7zjHWaWrV+EbgtGEyABSRe8sCVkMwx9rSwAcC5dzYDADYCyhoFliEII + ovojH/rwx1jtehyWSa1ZELaCICAuS1bOW1A054ci7pQCNAeVXXF1BADESFnJPQCoVGmRxY1A8doY + VRY1syBY4AU+cJPXORABF2SsNhYRpJTaGnIajACqVBw4IWc705bLZjXM4m7ZwAB2BkqXHQbg19BK + w0vTG3b5xogWCHa1EywwAkv4JVp5TxaMmOPkXzpaQjeaukwIiblmP80V9Rg4TJ7ThCWGMIdTEJJF + S2idfdP8KVcZEhI+aSf0v/e43HYICRgBJ0Cw5Wzqed4wnSStXq6tHwVKsEleemZC0thEllwVVAYs + iKLAVCUwZoEZyzSwgHnWEtNWYhCbBDL63L1fMKXSlRYBv+P6Ww8s7Q1KUSMvhdteyfK5HogFy65E + RwQIyGJZllxgK2kPBhf+4i/ej5D7HKwFS35ZCs/veDxSs8uV5+fFNpHjlYPDG993332f/MRH105n + xQwkg/0HvayiPXv2NNu8Qg4hn/Lp+vq6W1GfwEEigezLG+v8g5MiWK1VnmcLC0tMaKWUEzXd3Nhe + XFzUWtdGgyEuhfDkmQtrv/eu3//je97t+z4ACCGReem0kL4fBH5/vOV5TPiglPJkjCzWSgjwdF5K + D+p7x9Kzo9/tf+GRE5Zk4AV+0JBSWqtX9yyPx6OyKKCRyCBMmk2lVF3X58+fX1paquv6gQceWFlZ + CcPQOUxKKSeTyXg8brfb586dc+K9W9uDqixX9+4ZjUY//pq7e70eGZJSBp4/GAyWlpayyVQps7jY + G49GALC4sJznebfbPXPuLPdkq9X6uw/8rSHbarX6wz7nSCi01leqIPUPEE+gHH2Z1dRahLKuLILz + RDDKAGC72eksLnQWO2CJaS2slRYQUTFwdRQC8EuMTjTILDIF1hBaIFXrdDQebW+XWQ7aMMnnk+XH + CxfTzjLPAYmAIROMCyFRcDAWrHEeDe53CIGsdXB2IYSpKmtMkiTLi0t7FlfcnsUe90nnSlS10VJy + Irr66qv7/f50Oq1r3d8e9IeDuq45ImfcXHZXXV4UuW3lSsukK70gnED5M/f6z3R8yQIJEcMw3NjY + aDQajbCxsbGx2OkCUV1XfhRmWdYII8755ubm8WPXnT192pdeJIPIC1GTLuskjLc3t68/dp0AZCh1 + oXrN7vr6erPZRACV1+CTrVQziMfboyRorPSWAh70Wr04jnsLnSzNl5YXtrcGBw7u+55/+j3rW+u+ + 73OBcRwmzXgyHtdVcd2xo/e8+57PPfy5bDwJPN8T8ptuv+Oe9/zpz//cm8bj8W++7bc8zxO+FyWN + pdWVsqryPO8ud0pM23vDi4PHep0bf+4Xvucnf/xvrA6yicdYlyy3piTinvRmhf2O7zx003N/ZJxO + I03/9Pu/DzkjhKzIZeQl3ZYlHYReFAVFPltcWGy1kkceeeTUqTNMRi996Xdub21ZY+Ioqqqqripn + 8XTp5NLXsBEYq0q10OmdP3vO8zwiAkOowWNilObtTvfi5rqUXqfXGQ3HjSS2XExH46TVHAwG7XZ7 + a3MTmcPXYllXXApjjCdkVVUeF/1+f2lxcTqdtppNozUCFNms2+1ub283m826rJK4URXl4uJiOsuM + 0taTUvrAWFWpOI6z6Wyk6najMZuloS+4wO3trQMHDqRVbnQdRM18OGmEcb+feomviiqJ/KIurKWk + 0RiPpoyJVqOpyyIdT1aXV/rbqa2Lqih35zlO/A12htGNRqMoCqeIsLCwMB6PZ7PZbDZzoMHHybYw + 9nXhj5TZSVHXSikiT0qpTEVEnuc5vU64bEl1b4SMSelVZRXLWKuirAERigqMBTJQFOCFgbYsK2e+ + F3peVFWF1ZqYswsCRO7wWkRorPU8gUBkdRDGtTajSYEsDIKWelzTmgHORQJ3uacwnyZdsVIDEvOh + ARoMAQhNkBkNYDUHwQRDYtYVTpo8FAgAxlogdMpwlgQwQgAyhoA81GAIDCBwO4eguXHR5UMV2v15 + 57kvjivJPh0dBQAI9M7OTXxOMWKMCFARApDbb4U7PVeW4O7wXqzjVV0CClpX5IBDQSGzDhBDwqIl + NAiWASABtxyJGQRCY5i23AAhs4wbgTvfrKMzPXFRulLBtP+fhevCAgC3zheFGFiHtfN839UMui5j + 6VezQkq2EDRkhcBkrhUjL/Ria9BnQa4qIaQyFpgn/EADWgBP+hI830tIGgRvMhzHQYMbaUtsex1d + GuahFIKAtHVcB2vxijlyjrVIREEQZNk0CL2imIYBlqUlC2EUIpAxWNcVADLOra0BXDHNABBQECAh + Apeaqulo2GlHWQGIYBA8P9Z5Wtc15w1f+hXUiOTIlogIaB0tD2DXA8MAgNEaNCurcrWzN47jhYWF + g72rTj10EuzlxhhzN4Ert1a7giAACyyIGlleAiATXllrRtDpdI2x7oRUdT3NC8/zOotLpy6uZbOJ + EIwz32mm7ayB2jINYAAtAQOSQBLIB2IIVpuKTGGpksJZtHnSC6dZVhd53AhGk0Gn1fZCr5hV2thK + 66IshCe7jXiSpWEYMimAM22MUTUKTgydwaNShhCEEFVlwjCIkmRtfb3X6z3w8GcPHDgwmUw45xzA + WvvwY1/ggIiMHrFk7K5c0JEjR9YunHeSRVxya2FWzByWmyOr6lpygfbZlbO6Yl0IwTjk+SwIvPFw + ePXVh86fW+t0OqPRJElajLHhYFRWOWOAUkjBSFtrrCXGiN1w7U2/9db/4AH/9Gfu3xpsT9JhGPk+ + 5+lgEAkRy5ADkanrIo+igPlyZsAIb1xZFoQVsW5v8ZP3ffT/fOtvHNq7mqYTa0EIWZaVMabXXcyy + LM/z1772tfv27JOc13UVeP7F8xfe+c53ztJUSvnBD3/4rrvu8j1pgZS1YSMuqnIymbRbLck9p96e + BNGkUtP+8Hfe+lt1oUaDMWOsKmbOTLYuq6QZK609z7MIlaqUUqt792RZppSqa3XnnXe+4AUvYIwZ + ZyhCMJ1Mb7755le/+tW+7w+Hw6NHjvz8z//8+fPnjTZhGCpjZrNZkiQOIJMkSZqmb37zm50qrxAi + juMLFy68+c1vbjabVV1ejhzZuVvxSSF8DuyginJpaWljY6Pb7Rpj+v3+8vKyU9uK4/jXfu3XXvOa + 17ge8Xg83rN31c0edpVd4GsgpHzt8eUmSEVRNBoNz/PKsux0Op7nKWMS35vOssgPhBBk7GJvYXN9 + vdPpqKoebPcnw1EcRQyxqiq0lGeFIcOQp2la17XvBQw5IrbbbZcaAkAYhuvr60oppyLd6XSWlpYa + jdzzvIOH9td1/cEP/r1S6jV3391qtRYWu5PJ5EUvesHFixcPHTj4J3/6x/tWD/zpn9zzQz/8qlar + pY2++tBVnz/xhZe97GXf9LxvftOb3lRp1Wq1yqpaSBLuyapIG612GEdh1Lhw4cLe5b2SySSOrY7Q + hlEcDIa1kL4fNAF5nsMjjzzybXfeqG1aa9Xudgiw1Wk2monni1a7feTIEY6w3d8CS8P+IJ+kOq1Z + LLa2tsIwdDBCz/PcyOXp+sKiIIqC6PTJU2TIWht4wcrS8nQ8rctKMlGXVafRYoKnwwlnLJtm7UZD + KUW1llzUZeUG92EYjkajTqcTBMFkMgE739XiKNpa3+h0Otba2TQN4sj3fQQQnDsf3jiOMUBXL4GQ + ZVkK6UnPq6g0Vidx4Pu+Ksqlhd50MkriTpFXg/6k1WozlNqYbmdhMko7rd40G/uBl03yWZEtLCzY + Gnzhcy5Upaej8Z7llclgGPlB0ogWFnvTbFrUFQBwBKWU456xnUopz3NE7PV67Xbb2UBVVcW+Pv2R + eMjn/aedV5gj24g45xYtQyaEcJXbbDbL+4UyNVm13I6+7Vu/qRz3p5PhcLu/LEWtMYjbucJZpZKk + wbicpWlRFAvdtiZrDLe0K1w+T+ijyA98AbZeWtnHuHj48ye3BqNyOw2TNqLwfFGVBrQCBdZaray1 + FpzYKO5o8VxhhcR2NAwIANA4hdYdrbZLwnmMLn/heXeBPf4N7eUDo8uOgr5KyNkVFi2Xvf6lfy97 + 391XM3CpL86e0oBmp5fvAJYOqke7dqFOH48BWMd02vEJMTva34DEGTECawGIWYuW7ajtMQIL8zkS + 7ozR/rsuib44iM2pXwBIhl0qua3WknukGdSiE7aYZGuPnEMNKP3+eBT0kla8lFdFpWoeCc8LwAAD + EgyN1QRAQMSsQaVYXlExUgNM0G96ZVWUorKAWpCSZBmaXS0tx0a40guVQCnV7rQ2N86HPkzH4xe/ + 8Ln5bGDK2vN8S/Hp0+uVpihuCEu1UsZqNxx2dENEBOIAtihKrfLj1x0vZ5OV1dT3ZMAlcv6KV7zi + 3e95f16WmbYUQHww7na7nieUUho1MuE4SABgwOx22X3f9zCYjMYnT5+6cOFCR/YQERgiuUuQ5nhX + xCsV7XsKQTvj5d2hAQC4LC0Ioma7tbC0WBRFWuRYkfPvsFa5hBARnf4Km7Mc3YJGBAawdBWU4ChF + 6MtGWcw48DyvtCKPcRl5WldS8rIuABhy6QnPbXBlWVZVFcex02t1e5xrS7nOlJNLraqKMS6lZxHq + ouh0u4DIpJhkqbLGiS46WUKLyBxQGg2QCaLA933LaVbO6romRnmR+77vB9JYpaoKOfelp5QS+Kwy + iqVaayFEVRcOROD7PoB1+BEH3DBGGcPCKEiSBBhqowTnnBCRASO0iMRQgxT4X959z5/91z+/5rrD + zzl+bT1Nl/3g/g98eHTqfATEqEarkmbMowiSZmvfVXtvuPk3f/8P9x07/rKX/w8nHj25vLpSqdKC + sRqQrEs2iMjhhk6cOFHMCsn5zTfeeOfz7/wXP/ZqyTkD/Bc/9s8/9Hd/zwBWlpYfePBTvZWlra2t + RjNptVqe7zvYyyzNasYD32fanj15+mP3/r+zND9y1aFPfvKTrWaz2Wx+8uP3lXXlDF6Luuj2etba + H/3nPzYYDbXW73vf+55z/Pp2s5WmqWAMrCVru+323tXV5xw//md/9mdxHP/2b73tzKnTnU6n3+9P + lQrDMPA8q3Wn1crzvJjNfCnf/tu//V3f9V1hGN5yyy2HDx9+3ete12m1OOcEc8jlLjznS5EOXCCR + YIwBxGF45/Of/6v/7lff8KY3vPvd73Yjr+962cs219eTOCaiXKljR48+dvqk7/uOku3gPIj4ZThU + z3R8yaufiMqyDMPQpWue5w0Gg0qpqBELxgTj6xcutlqtpaWl0WBQVVUxyxcXF9u97mQ6zfNcet7q + vr1McECGABZMlqdxlJR16dbHwXCorRmnaRhHyFjSanqBf/L0qdOnT586dSpJku3t7UopBzFyImm+ + 7z/00EOtVusv//IvhRCb6xsPPfQQaXz7299++PDhj370o8aY17/+9cDwD/7gD/7kT+85ceKEH4XI + 2Gg0ajabTIpsMl1fGw82lYDWkat6pz4PujaBx0bpmDOW6hlneRiY06c+V+XTbgvyrCCEqBGPRiOl + lAFbG33+/PmNzQOc8wcffDCOwzyfhUFw5MiRbz1wyH9p2F5Y/tSDDw2GQwKQnrcrS71rpPu1BAIU + RTFLs2NHr/293/m9ta21qqpCzzdAbi4xnk6ISPpenue9xcWqqtAYIlJGN1rNLMs+/dBDP/OGnw18 + /9i1145GIzfH6HW6aZqOhqMD+/cLZHVZxUljNptFfjCbzc5tbOzZs2c0HLZbLaU1EYGxoedbawsq + qqoo6yLwZNIMy3S2tb291F184xt/9vrrbtjcGFx3/MatzUFVqZXlvWk+Gw3Tg/v2lKXd2LywurrI + hbXMTCajKA7KWY6Ih5YPTot0MNh+zd13b25unj59djyaFEVhEYQQTpzAMYCFlEopt5mmaer7fqfT + aTQaRPT164/EAXZJU3aXn3SZrKelOWLT7d/a1EJyKXB1dfnGG58Toja6LPM8jJNKgQjix86u3/fJ + T28PJlxKQJQeN0ZZQGutJYDdzADR9/1jx44dOrivLrM46UjPD+POuQvrF9dHBsVknNd1vZN8zw/4 + KcNEvxFXEpclxPMMzro5kqM8zf9rbiDKEICTNWCBLgcZzrlRaBkHQkJuGbcMCYA9gYg9n4l9IwAA + LDMwL0PdF8EBOCMWei0fPD83Xs33xQc7QePEA5+FWQUIkvStL7np2uimj8OJs7OLACyKojzblgI8 + JGNrazlHQC6VwCG3hTd72avv9FlU54oYh338vvSBSVxUUmmuDCNCpDkp4so/gIPBEjHG4jjstr0b + b7yRs1oQSumlGSh1/8mz/TRNQUjP90HXgAROp+uy4YGUstddvuWWWzrNKJsOBWemrLW1nYVlznme + 5xWhF/iX48lhp79z6Vh2uj9uHS6KwvWeXHsI5mnWPOfa6R9f2We+8jPkpFO+uOx07iAOVT4cDjnn + SRwnSZJlGTFiYKzV5PRqkVuQ1iJaMW+SoEVwY0Ii4owzpUpVFbNsurK4omrQlgBgd5LjJILioAUA + SisphUu4i6Ioy7LdbjvOrVLKoRXSNHW4hjAMS1U7IdayLJNmk4hCP9C14owxQIfQdQ4R8/uaGAB4 + 3AtkIJl0j4ILjHlVVWVecSY9GRhjGBPWKuDPrqXAoTeVrpxVhtNwYowtLy8DgDHu2iEppZRScEZG + W2WYRkQUwJEzLoEJtGDjdvKLv/S/fsvznnv6xBdiY9JT5//qLW971Z3fHhelMMrnzBjVL2Y87F3z + nFtu/R+/59U//Oqj3/K8l3/vK6655vDff+CvltoJMHTIfyKqqooz6UxoPvKRjwResNDtfu93f/d/ + /L//44MPPiilbLVaN9xww1//9V9LKbe2tm68+aZHT51cXllGzh47ebLT6QghkiThyKq8aDQaPGr4 + vj9Lpz/3hp/LZ7Otzc3X/euf6vV6r3rlDxRFEQSBsmY0GTYbyWAwuP7aY9ccPTqdZf/5D/9TGIZO + hjdqxEopQ+QLsby6enZt7V3vepdSanlxiTHmSNFHrz06HA4ZY4PBYHNz88iRI07jd319/V3velen + 0/nBV/7gG//tGz/+8Y87vSsZeLtWTvSVbjcEcO5hFy9e5JzfddddAHDbbbfdc8890+nUGHPbbbfd + e++9m5ubN910k9b6scceW9m7WrkmtTFCCCGltVZp/aQy5fDMQ/K+HMTOIYuMMR6KsiyTJNnXao2n + U6XU85///Be+8IWNRuOzn/3s3/x/7L15mKVleSd8P9u7n71Orb1v0LQg0IgRBaNEUaNxCfEzzphF + HZfMXHMlTpKJJmoyifOR+RyTS9ziMmoUiUti1CwIohEQRoMsNjvdTVdX13rq7O/+LPf3x1NVtkaY + kIjihPvigqa6+tTpc57zvvf9u3/Ll7/c7/cJgkaT57kfhY7vrXTWHnjwweXOSqpS1LBt9/bhYGwh + c6WMIpq6bGbHrATVGay3pifmlxZa0+1BPJycnPzmt79pDeiiarUsy06nI6VMkmR+fr5erxNC5ubm + Tpw4EYv4gQeObp/d7jjO+vr69Oxst9tdW+9QSrft2O65HhU8SVPYvD2kaaqUbtSaRZpFyzyYAAAg + AElEQVSfPHmyGuJf/tVNo9HyKA3ndh6an1+IomoR9/2qt2fCW+vF7/vAl//xtr998gU7vACO3H3k + zLPPPDc7TyoVRCEh7NixY61WixDUWiPAyZMnG40GGqj1R/Pz81uJN5av/EMcf22/vry8vLK+9ILL + nrd/374wDCcnJ7/xjW8AQJKmvu8HQZCVxWAw2L59e2+9s3PnzrwsC1nu3rvnBS96YaNaW1peKcuS + MDoxMYGIy8vLcRwfPve8//nOd85NzzhMUGAGzLXXX3vFFVdccsklv/u2t9oN0mc/+9m/+vznjTEn + TpyoVqvcdTzHNaDzLJmf79SjcNfu7dkoXeusXPmnf7Z4an16ap/r1Ae9vLM2RsKrlVZnbVir1XwX + uv1Te/ZM52W/KEdC6KJI4tHwbW9724tf/OJmbaK7PozC+tTknN3DGgJ5nm/phexuRynlOo7v+2VZ + WgOGMAxtPlL6k5mPJHV++nt9+mZ569d2NAKAKIpCEwCFskjG4+Tqq69W8WBlWboccgmOB8JnIqjm + EqQmjSgK/Wg8HhdFjpQB0A2K3cZdE8uyPHLkyF1H7hj2+67ve37QG6QaqOPWCq2yLENjCOXEcwwt + pTR2kPs+AOnHuAr/v7jsa7pBGd/4FaBtJe2otCFzIgCGoh2fDG4mMgHgxhyFhCK1RmKn0/w29Ef2 + B/zb5tT9k9oKsLJpvFZvwKmhvVHqKR2VjisdX4fbw21e4tKxCQCZYFHCfGA8B58H/XyYYwpoBBCG + BlERhpQSCkai6ZZpieU5F5/Fibe21Ks0G5qRB9aOVmZqiknF0OrYCAFmwAChj/4j5nmelLLdbhfZ + gGD5yU9+MgoZlkpK5bitPIcgaHJD47yQqiAb7saWF2cfYOM/4/H4qquuatWjYw/2KAEBsO9AA6lT + q9UMVBR30IOCFbZ9F5GQTBok1ldmi4oDAIQQJRXX2hHujh07du3a5VLXmA1tFfnejDXz6GUSj7Z+ + 4JG30lx7Fbdxq3meG2NQAwAokMaUNvrZhlNT4hrAzdhQjVQBKACKxmGEggbOmKDMdV2jmQGa5llZ + lna3HARBmvasr2+WZVoLzrm1RNqC/6IoGg6HW26o1WrVrpIcx2WMWT5Ct9ez9xTO+Xg0siY/FAER + DCFWxGaNBNI0zfO8Wq1aQyObReFHoUUP7e3S3piAPa42SLBlVMs5U0oZoyzBXhZllmVaY1mWhFAp + 5Wg0QkSHEkYJZ0ApA8qMBqnyUTmqOmFv1C9UNtFo3jcc+hKnuDdpSGs4aksVElpzhVR6NYekn0Zr + A9eA6/r/8XWvZdQMRn3Hc+cXT+3YPmeQoCwtemt75omJiZMnTxas+NCHPmSfmwGwIOnTn/70K6+8 + 8tJLL33q0572X/7rb7WnJk8tLoaV6Mwzz1xbW7PHXik1HA6VlJEfUEoDz6uGkcN4f20dla76YRSG + 8Xj80PHjM7OzgeOCNpyyhRPzz3zqxY4Q33UnDgOtdZ7n3HHsuZqenj5w4EC327337numpqaklLfe + eiunggF76iVPTdN0x44dtgWK49jSiN761reud9cPHjx411139Xq9Rqu12lm1J5D+k8DPf/pmIYBG + JEoRQiYnJy+66KLf+b3feeMb33jo0KF77713Zmbmsmdd9s53vvOFL3zhZZdd9pa3vKXebA5HI0sR + srQ92+o83HT0I6hH2iDZOFGlVKEKSunP/dzP7t+//13vehdnbPvc3Bn793/ta1978Yte9OC99y3M + nwyCIM/zJEvjNAnDsDnR8sKg1Or6r3+1zKVEFRfjbrfvuq4xYIwKguDlr/zFnTu3v+hlP3fkvnv8 + aviBD3zg3//Sv1vvddsTU8aYTqeTl0prvWvXnqc85SnbduwCyvfu2b+wsOD74be+desVV1yxvLz8 + nGc/tzkx8eXrrtu/f/+umV2vfvWrjx07dslPP/PIXUcQMcsyuwTL8zzLM084lJnWRPCud73tzz74 + 56uDW8548uwbfvqFv/d7/5/fqo2zQtTYwtpgPFo6+7z23C66Omh86jOfuPYr14xGo0qjXvNrysgT + J04862eefcZZB5dWVoLQs+uFwPXSLHMctzuMrVSmKIo4ju2MhIj2gvuvLwqkVW8FbiCE26g13/qW + tx48eLAsy5df/gtKqVa1aT9gQRhE7Zm//NRfTNRar3r1q37/D//bcDx693veI4AWeV6vVINKBABK + qfX19SiKwjC8/+iDf/SOd5w8/pBgPIqiK6644tprviyl/K3f+q33vvvKO+6442d/7kXPfvazP/7x + jx/Yvz/P816vxwhN05wwaDabYejFg/78yWMgSa1WIyDe/F//6OxDz7z27289cvvyU8/ee/x4b3V5 + tHOiok3ZWT5+8MyL7/rOVz/04fdf/Rfv+4M/+I3+cOl/ffT9rVo94GK5t9TprEfVOhBujHWR3vDH + 3erLlVI2v8huP2yOkFKq0WhYMOYnMR8p1wmeVluPQAghhCJFRizJglmEDDlwRzBCOSNlERepVBJc + DkZDloIGHdWDeqs5HOXxuCzSmFIihGsIIDIkxEr77WIKter3Y9dhrsssbioltqdnpeKCcCXJYBwb + ZTBX4ILvO/aWYF8fQwxh9HRm4BP1w6pNA/UNuZPtqJDYMcdsipap/QoFIKAsKdFs/jFNDUViNmYr + qz8Dsrlfss4Nm6S7Jxzwvr/sK3m6ZtQe8lqtxjJajypuwkbj8chN3MB3CBd57lecvCwSkxFCarVa + nKfccwpd2HXIxoqBUtSm1LLiBawernTWapUW9XlSZPXJiSl/diRHimhFNJINsdnGm/3oBwattTQS + EJRSFDRBLaUxpbQXGKVUabJCE40gXF6WOaGWx4qUCntdIxTAGMYJ58xxuRBgNGgNRZEvr/UnZqZq + tUZqIIPcAj24JSU6LTJ5CzyCzYsqpbRSqURRpEZKa02BIuAmPr2JND3GOS2b0sHvOkBasq7V6lAK + rit81yuKwihNnY27OYAA4gFRhhhEBMK0IqeFkukNxSBQ1JxSTogIfK5l0el0kkwK7vlhUBTSj9ws + SxwhjNIEtVGqEoQAGMdx4Hr1SjUMw+XlZYpgjAlcTwihtSbCcRynKIpxHJdaEULSNKnVar1ut9Vq + JXHcarU84dh3gW6Go9lXngtKCJFSgkGHCzBYZDkTvN1uW7aODeSRUspcu677+Nof2cMsJePEAp2e + 523btg0Asiyr1Wqzs9vG47HnBXEc1+v17bNzZ+zfyxllBjgXGkmaF612M3S8ArJtO2fOOuvgoNtp + BqHbS0x3XCvNBJop1DSOneGoypxWpd7zPRKncGphebi+e25uzNns3MyBM86genfg+audNc/zJiYm + lVJGw3g8np6eFkK0W+33v//9jUrl8ssvf8c73vGRj3zk4qc//e5771FG1xqN9tTkhRdeWGrVnGzn + ZWHpP5xzz3WjIDS793DG0nFcr9crYTTo9prN5rA/mJ2ZYgSXFk65rrtr+zbP8+bnO47vzUy2K0EA + oLM4tg2MdX0oy5Jy7nmeRXsnJyff8773McYue85zsixTUnrUe9krf/7o8eOj0ej888+/5ZZbWq1W + o9GQWnf7fc75n7773b1e74tf/KIy5sorr9SIdg48fS56ZFSUEDJOkunZ2cOHD/cGgzu+853tczt3 + 7Np1amnpGZdccu0NX1nv9erN5tHjxw1ALYqybvZ9Qxc5Tdf9o6+HHZDsR8W2QVIXlNK9e/fu27dv + OByGYbi8uPTSl75Ua33w4MHXvva1119/vRAiDMOZmRmNJk6T3Xv3nPeUwydPLax01mq16ote9nMA + kCSZ53lZWmR5QglnnLiuYIxWm/W1fucFL/7ZPWfsb97+7dWbb94QhySx7/u/8Au/8LznPa9Sqeza + tevCwxe85jWvOXbs2Ec/+tFf//VfZ4xRZL/0S7+0Y8eObr93zlOffNNNN3X7vaWlpXf+ybuMMZZA + ZW3HrfBjvb926WXPRtSf/NQHz3/Kbkqj7vjbr/1PF1MmKGWU0iLLJhoVmQ9PLd/TaHkHzjq4bfds + URQHztwfq/HRhx6cnp2VUh45cqRarRujuMsrlUqWZUyIrCykKgiwoijq9ToA5HmeJImFoH4IhSRN + cxsElMZJvVpN44QCWV9ZK/NCFSVH4ggRuX7khceOHas4YVaknNC9c7vml04KQlUpXS46vS6ldDAe + WcwjDMPBYBDH8fHjx+PBsBJG55133szMzB133DHsD2YnZr/4xS9qrT/0gT/71o231mq1Bx54wPIe + lVKCO3EaDzAmVPu+L5xIAHVdLlXy1KceZiCedPBpo7WTN1z/4NoKmZ664NSpbq0ezLSnlk4c7axo + mYmD+84MPZeBX/EhHq0aSCtVd/v22VGcASAhpCxLDUgIsQlFdqvJGHMcR5alXTdbkZ8lNP5E5yOd + vpM5nWFijDFgNPkuJXc8HmednAlKAFkoLjz85LTXkWWaxjETIpdgiNMbZ6urq1G10QhqyThN06RR + qwCg1nYuUjbNlFHmuUEtqjTqIafgBZFBeuyhxW6vN46l8CtKEkqpse26gdODjzcYhj+c8/1EPVxt + rA7Mxk4DNiVG3/03BUMQCNCN9RFBtN9PDaL94nf7bPsghqB9HGMlSY8yBur/+qJIDRi2lXMF1lVQ + EaBKpkpjgjhMi8XVrLO+3BOjUHDqlGXEVNkp+/OL2XpO9CAfVHggOCfEaGMM4YQ6YAjRQDWMutLn + vmMqU9FsTvR6r5t2k9xkwmcAxoDRFIEQQwwh1ACyR9uwIpRl2WjV1zvLUcCTpP/sn74wHnfKJPP9 + gNDq0aOn0hJ9P2AGlZKUbWh/Th8KEZFRWhTFoUOHZDHevbvwPdcXXDjeT1/65M/+9d9JXRaESa6o + Q7figzTVQL4nB0lrbV9LQojruLIn+/1+p9MxIyt22vhxD4s2PTb1/YnRAABgLUmllOPx2MY2MsZA + q0IiGGvugISiAUTUhmjG2GlWk/bBiAFKDQMkcZLkGVbCQGtda7SB0OEgUUpR6iqlRqORxfjKsmg0 + JtM0tX3L6upqq9WKoohSOhqNPM/L89zezqz1a7VaRUoYY5YEuG3btsgPlpaWhuu9IAgAEdDYUdWq + RQghUmsAsCZDWyx0z3GN0nlZMCDaqqwAlFKe56H6IUgDfohlUTlr4wYAQgjHcR588MHj/3j3trP3 + 7d9/RrfbrVSU5cD3er319XVKgCjDGDeUSa3iZFRAEYK/uHBq6eRCjfK5qem777opGmeU0mqzQcd9 + kBIMDLNMxzgwBUtGEAYzZz9p/ZabykYlB3zwwQf37tq5sHjKnvayLNM0dR1fCNHtdtM0vXftXiFE + kaUHDhyohJHW+qwnPckPw09cdZXjuCtrK295y1te9au/MrdjOwAsLi7Ozs1JKUfD4WAwEEDDMEzi + eDgcWhU0IgZRuLq6umvXrunp6fF4PBwObKJxpV5bW1uzKncrw7YbY6WU6/t2onYchxAyPz//mte8 + ZjgcVqMoDMNB0V8drjEhpqam1tfXjx07tnfv3jzPx+PxxMREmqa+799///21Wu0P/uAP9u7da+X0 + sHkcTsc74BE0SIQQQobD4c/8zM+sra295CUvyWR24YUX3nHHHa961at83//0pz/dbDbrUX1mZubN + b37z1MxUURR2W2uxYPihMrAebT0SxU5KaQEh29g9+OCD27dvf9JZh+6+++5v3HjT5ES7LMuZqemP + f+LPW+2Jfr+/tLI8GA1d3y/L8us33hinqdZ6FI8aaW00ikejEQBhjGmFfuAqabigUkrG6Gg06o/7 + iNj58t996e/+tlKvIWKn152bm+t2u1e+9z3ved97VSkbjUYcx0opx3X/6vOf/9jHPlatVoeDsY24 + tsL6pz39olqttr6+nsuyUqlQxjqdztTUFCISg0mSfPzPP7lz+46JdnNh8dTOHd5w1F9e7c1t25Fl + WZJns7Ozo7i31sk4I54AzukN37ihWq8lSfKpv1hC1Isri1a+smPXzjhNrGmPQaKNkVlGKTdGO57n + caYBpZSGAHcd4TiWbP2vLIYQhiEhTBYKkaAhvh9WvOp4MK76FRKQ8XDIkBINDOlEvVX1QwOGahz0 + u521tXazNTs9PTnRDsNQE9i5cyfhrNfrCdepNer7HScfJx4XDMnzL3vetdd8uVarnXfeeQTg8Hnn + L60sO44joagEYWX//kqlwjkfjsaFhlkhXIcXZSqIKuTIoeB42o9kdzB/YG/jrENw682hkRGH6voK + m50+ZzBaUnoc+BXGyDlnh0B2CD6MWmbfgfrkFBAYBIGKqqQE0JDPzc0g0WmRWxK2dbgWQuhNAZ89 + qHYta4yxtIToJzQfibMfvKq2gkiCCLhlHK+1VrqURjsCokp71+45d3dbcABtwkqNOYE09K77H/rH + 248MRgMuXCAgHKJNqREMgkGKQMnGy4Blmc/O7j945l6jSo2EME655y93+oOsNHTQT5RSAMzmIFnj + Cq01EXa6M0+Q6x6j+r7MitMBb3P6wUQgm+52SIyVJBkCSJEYQ9FsGtZZD1ukxGhqkGyJl+yftBqz + TVsCeGJYAoqM4KafPQIQbYdJJAYEKamhE9SJRMHV9sZej7mR443ztF/GpTccuwp9Ug0r1CiODJGU + hGpCCTgUmWdIgEEjmnC01817sBaYccJHboPXm2FjUPRyzBig5GjfKaQKkIJ+lO8IAdjc2ARBUK/O + nHXWWWhSjsTz/DRjWYYPPrQWxzERjus7BhHAoKGwYeICaAwQU6giDP29e/c260Gejh3OUCqlsVJv + U0qTUaKFS/nGiv77aHIbT+Q05Hkr6sDGp+ohNp0G1dQa2QEAoT/gEf459S9eN9FN9MGefWkUKILa + hjcIYwxBzZnDOAUNaDhSBhvWkRKpRlCEqA0PDxQADNBhyAhSzqgQru/SZrPxwAMPVAw1CJZ1WJaK + MTEejw+eeWjhxALqDd1REASWFRnHcbVatRirVUABQKvVst+WpqkdkBhjg8HA5WK539+5fWdndVUw + prUGBAIEDLXWNgTQil3dIGCEZklKECphBISkaVoqGUXRYDxK07RSqRDN8jz/oWG7P6RilFJKtZH2 + 1rxlzwD1DVn/YDAoS2U57VmWdftDRtAoTQgByggTWqELHoBu11qHDhz0KTt+5Mg5T7lgdN/8qlL3 + F3EAZVjzJqJKMhgZx83CwGvXYde2h+7+zvNf9LM333/v17/5zSiK7rnnntnZ2ZXOmjGGrK0nSRKF + VevIdfDgwdRLV1ZWKMCxh45f/rKfv/W2b//1F7+wuLiYpumznvWsp1/8jCvf917O+UMPPTROYgCw + UKkVP5tCZllmtC6VBEqqjerS6pIhZnJqilGe5Elv2LO9seu5eZlbwJcC7ff7cRwXstyimUgp0zyr + Vqs2YHx1dXVmZsYolSTJxGS7WWsmSfLAAw8cOHBgNBotLy83m00bCfPc5z73uuuuK4rC9/1XvvKV + SinrFMIdbk53liQbn2vzgz6tFMFuWYQQZ5999je/+c3p6elbb731+c9//p/8yZ+88Y1vPHDgwGAw + uPjii3/qp37qs5/9LOe80+lw13Fcx74apd5wsfqBV4PHmnwLjzAgOY5jjMnzHBEnGxOj0ej++++/ + /PLL33vlez75yU+eOHHi8OHDL3/F/3P77bffe++9lrk4MTmJhIzicaVSWe2sfeovrvY8t9Gszc3N + rSwt9wb9erUxHI3zNGu1J0aDIeWsyLNavW60LGQpi3zL3c51XUppHMdFUVRqVa01p8yiJpxzI5X1 + EBuNRmEYWUAlrERSqyzLGGONRiPJszzP641GFEVlWRpjrNPDbXfe8Y+3fTsdJ5Pt6b/98vWeG2g0 + 1UZ9dXUVialUKt3OWrvZVnlhlLS9uAE0BqIo6PS6SpWWeZjEmW3BCUEr1rLLRz/0sqzYYn+dTgb7 + 1xcSMIhWoFWv148ePWpna/tTQt8vsrzdmsjzfNgfMELHo1GlGhVFQYEEno/G9Ls9o3S73e4O+vby + arExu4dJi7zuh+c+6ZxnXfysS559SaVWPXr06Gc+/5nf//3fX1g8df5551OglNJqvW5xtUq1BpwI + x8uzLE2ziUZVaUlIGcdxq9UajXrz8/3JeqPRaGiNvleTRdjrJV4Y9vpZs1VRhapU4NyzzxmPV4AU + 1kI3l7HSFIhuTdS90Mvy1Pd9N/C11nGabM05lvDme57rummaWg8Pa7HQ7XbhJzkf6fvfdERL6kOG + GjSojfaiUqlEGDJBinxsjLn22muL0fryknE5FBKAAXeh2mpwL7In0/XcsiwREYBQSgkwAhRQG2M0 + aEHIPffcdfTBu/I0RUJcP8oL5G6YZsZQxz5DNEwzBK3LAvM8t9xFSinZtPEl5F9m1PZE/eAym+lG + hhiKG66plBgDoMl3IX7yXW+1ja9QpJrYiZoAAU2Aafhu9CExhgAQA+SfODQ8Ud9bNneOgmGWlEgM + gNFUU8YklaM0cRzPr1V72ZBVxbgsMkdLAYb5Q5EPy4yUpukH2SjhnCMBDVQSypCDYYy6Aa1H2FIj + 9f53fGjUG1a8ABi8+g2/9PSfftp3Vm4HrZApQAUGNDUbXoWPlgaJYM056/X6aNRjJPvwhz8cBhSk + UQo8v5Hn4LhN6vBMKq21Qb3hiIj2ZyEhBgA8zzOm+MIXvtBqhMce7KIGamD3niArsdFoOB5PDRgX + IQKAjVQ9Su0k8F0JEmMMKFryj3a0bfo9z4sHKeecANly+gZ793zsryZbtGAr8NtCqi1zweVOFEVF + UcSj0e7du19x+ctf9PznK6XRMCAOgmsADCKhoLf8KpEBckBBjACCBjPCSs4KP6Cra4u/+Zu/ubjc + YYQa0B71jFFhGJZFdvahQ/1OT0sNm/EV1Wr1da973Q033HDvvfeORqOXv/zlL3vZyyxs12w2jx8/ + fuONN950003La6uIOD09vba8YrSemmh/+IMfBIO1Wk1LpbVmQAjZkMhaMKtQZej7SZaFvj9OkiAI + Op3OLbfc8pGP/q9utyuE8D3PGGOk2sgReTxVWZY2sFEIboxJkrHjODt37jzzwBnWirYsS4B0IxGE + USSUCMY5IGJpEJRRyiAYbmjSHV398ate/x9ee/TkKZ1JD8yeZzztmmNHXZnpLOOMcQJnHz7c2DbF + agFQUt227ff/33ec9ZQLK5WKVooJpz8c2OMahmG1WlXSjEajVqv1n//zfz508NDNN988/9DxX3v9 + r73uja/bs2/vLbfcwh2B2hjAQsl77r2XOUII0Ww2OedxHNu+13XdYV5kWeYIIVwBnH3mrz73y7/8 + y0+7+OnzK4sP3He/JAgOX15fQ8R0nBeyvPzyy2fmZiXo2+68o9FqWh1aXpYqy+x8a2eber3+u7/7 + u0KIVqPxpje9yXJetNaTk5OLi4v1er1SqeR53mq1EPFFL3rRC17wgltvvbXdbp914Ky3/+HbGWPV + ei0rN2TS/0dyHWygFej7/rOf/exer/fHf/zHvV7vnHPOufqqqw8fPnz77bffcccdWutzzz13YWHh + yJEjYSWSRlnaDmwqxuHhtc2GwKPeqD/KetjG3fagdg87Ho8ppadOnXr7298+2Zy49NJLX/7yl7uu + +5nPfObTn/70qaXFKIoIY3YTbatQst5qKiWzMpNGcodRzru9HhU8iMIkzxzP1YDVWm2cJr4rNCIT + nHIGAEoZg2UQVvJCCsfTCrVGQgjjziY0RTln2oDj+qWSSinCqAXpo1qVEBJnqVXbd7vdLQDGdd3B + YOBHEVBaqU8UGoTjEYeXaTocD4JKYFCVKqs2qxIUdx3KHYOYyiIIvDROuaRpGk9NTZVlaXVNtt9F + xLxUSAmh1CAapeyhtPIyOxr9sARIAEAI4ZwSglIW9UZVCKaw9DyHcbKwtLB929woHgohomq4urwS + RREAtFqtJEksQuD7/urq6kML81Rw4TilVkVRMMEdx+n3+6qU/XLt197wxmu++uW8LNLV1TRNv/Cl + L/7vb31z3759q6ur23buOHbioUq30mw2V1dXR+NxUqZKY61SU8pk41TK3OWQjMniqd6O7fu3zza+ + dRN84+Z/IJRTnlHDGHUQZKUSpsm6wyvfuQPuufvrv/Lq56Tpam/NHDjQ5LTSGfeXV9fHSZrl6XA4 + XFpenpyZnp6eXlpZ7vf7dmgpksROHVJKe0XYcoQMw9BebiYmJlqtljXr+4nIR9KE2GNMKVXGMMaE + IKpItybtrc8rsdoqZJw51GOAKk1iU1AKxubuMA5ogIBT5Kg1FcJTknDmaZkhwS1ti5UYAIIQokhH + lFBCgHFuaYEB9xA3vacQtVJANFBwXFKtVjnnxmSISOiPopX5t1kWEWdoVfObXyVAKZVaUcoppUQB + UQYQUBlKKXNFksU88AA1ZRSRlJmqBWE+LsIolFLmZU4FMxylVC4X8AOZEo+zxujxVlprQ4zruo7j + KqmUUq7rE0QpJXM9rWWmCj/ylS4G40EkhOAcKCnkhtpQlSrPc8KhFlUTnQjJq6JqUulHbs2tAGLA + PCBKkUJqQilBJEjtAvdRFgfOuSxlGIR5ShzH4TRAzKyTNyJqbUBrq02jdMN2336acTN7C8EgGsaA + MUIpGANogAIwxrQupJSUOp7j5KQoZGE7B9hgyhH4XsBIG80p464oisInASKmaRpFkSwlM4wBP11+ + 8KOJ4PE8hzF2zrlPXlpaCYJgtdNZXFwMgkhrneeZ5SyBMavLK5UwaNRCB8SFT3/GKC7bU7tXV0ft + yR3dTkxolKTSET4A1wo5F67whCvT7HihVi+44NBtd9z8mc9e1emsxnFcq7dMIdM8m5ycGAy7c3Nz + f/jWP7zuGV9502/8F8bYeDSq1+tnHTx4+c///E033qikrNdqD9x//5HvfOfkyZMWF/7j3//jP/vA + BxYXF5vtZpIk6+trQExZakJIJQx/6sKnzkxOe55XrzdVKY8ePRpFkTHAOS1lrpomMYsAACAASURB + VI0xWodRtGP79pXVVaXUpc99TrvdjuPEcVxEBCRaGyEcpdTjTZZoLZQYIUpJe5NdWFgIggAMdjqd + LCs8z+PcGY/HQgglNVCelqpWq2V5TgTFUo3HsQuCK12O8ltv/tZf/+WXNNG+I9QwiRiNoho1Yeql + QNGP/Ac7S+nqKeP4/+P6r5acD/Ly/IufmSeZUqrRnhiNB6DRdZysKIwxDJiVb7373e/es2vPGWec + MTkz/Yu//O9uu+22yclJ7ohxmkxNtL/xv29Z6ay12+1Or+v7/igek01HtGq1aj14/Xpd5oUhcGpl + +c67jlz1uc8YYz529SdUUdoXAY22e5VSyeu+9pWv33KjlDLNy7W1NSkldxwiJed8vdednZ0dDAZ3 + 3nnnF7/4xaIopqam7r3//snp6bmZmd9+828PBgPK2TXXfjmKIps+bFHdX/mVX5mamrrkkkuCKHz1 + G17zrW99y4LCWx9tOO1m8QiTkud54zjOivz1b3wDYbTVnljtrP3JlX8KlDDBB4PB7OzsV//ha1G1 + Uq3Xut1utVHb9Ef5HiXSj6seiWJnWzq79bNLhqWlpc7q2t13322M6Q8Hs7OzvUHf9/1qtdobDDjn + eVl4njdOkzzPHcdBNNONCQBTKEkZUMG11tIosimdF1HkeZ5wnEJKIDyOUwQqhCiltDsBC9hvgetK + a0v526I5WbmIEEIDWuOyrSWD7UQt5GCdnQlnhFJjIJeKM0q5kyQJ5YjEaGM0aIOGAGFAjKEEGEG0 + 3jIAxnGcRqNhHzOJU0oI2Ch6ogE2AxAQH2OhM2oj0yKnnBFCuOMsrSzv7O9Mi1w4Tq1eHyVxlmej + tdUoiqJG7c57vhNFISI6njsx2SaUzi+czMsiDMM4z0BKjcauF+yhdBwn8MNLL730Gc94BiIWReF4 + 7okTJ2677bYDBw58+jOfveJ/XBGGYZqmvX5/anJSo/IYl0oxTsuylIZIaSperRq1d+888757jy4v + lsfnx0SseTUY9DtIK4R7pSmpO0Qxntrh3vPAkeF4vHCqF0X86s/87ezufU9jzTBArXFmZiYMffs+ + 9no9rfXs7CxjbG1tDQCqtdoWdw42War20NqPlmUj2BnJcZzBYPD4z0fKaVqdqDLGlDEbAmVjTuf7 + 2Qe0EFoSx0WnRGKMVnvm2s985jNXThyLx30tJRNilJTTc7syBUdPLPp+4LjuoDd0HM42jXSNUUgo + IWA1SMNh79CZewVHTqHVnkqycuHU2mCcUbnBYv+eU/hE9/wjqu/xvaAIQKwnAxRKIRCDCBoEUFcI + xzBjpFZICfVpFIooLpNcSs6EkkYlmpQUYkI148i5wzUpkaOxXLwtzH5rUvpx/G0fh7Wh0dpyRyDU + EACgGhUxDiiqMvSQt0Q97WQh91zCQDOXehXHHyapVwkJF6bMi6IgBA0aMAwYpxQpA0MLhNwP6GC0 + 6nA3rAb9uJuaNCTBqBjnmBVQaq6RICWMIDldqPPPLQX9fr8aVBYWFjwHiyx+6Yuf0+8tOYQ5jiuV + d/fdx0apEa4ALpSRSqkNU3hCyWbaFqW0KLKiyJ989tlGpZwSzxG+4K4XvPDwRR/++NWjOJZMoAfC + E77vb2iQ2PdrkIwxwB756f5oixhBCWo9OTVFEG647rrnv+QlQRA0m81+f2hJSoxS1NpaGjgOJ6AB + SFnEH/rQR9rt3TfcdEQW1b/83D8QnJS5j8YzmiLISsUXAh1/PDl35u+85dXrvfnX/IdXImK/35+d + 3j5MMqVUs9ns9/uHL7jgk+/96N9+9W8o0nq1aq/2r3/961/60pdy4DboLwzD+fn5q666qtPpNBqN + yy677Etf+dKJEyfq9Xq/3+eOCB3Xr1TLrFxeXErG8fOe+9z//kf/fdDt3X77nRdddNH73//+a665 + pt2cKJQMjMddXmb5BU+98IorrlhaWvrYxz5mECM/CDzfFY4ymhCCVvKqNaWPqzcMNhI4QBujjTHG + wHis8zx3uLCSkC0UcoOxRhkjJJdmEKcKTdUPGRXLyyvbwsbb3vzWXMn1uG980ZhoZuOYSlMBToGk + UGqGLBIKsFCSEsa5RzRd6axHlcqN3/zmwqnFybkZwgWWyt4eKaWu2OA9HT16tLfeu/76613PGQwG + G8sWRhnncZaOs5Rx3u1282KDHWd7kiiKlpeXwzDctm3b4uLioNu7/4EH/uOv/yfPC1DpsiztW2Fp + n4zRsiyjIBwOhwrloUOH7rrrrnq9DoRfc801tg3WaJrNZpZlZVkuLCx8+tOf7vf7URQxQrvdriyK + tfV1ZbQr3He9611WdKSU6na7ruueOHGi2+3ef//9SZJYNZpldWVlvnWzOP0XD9cSpGmqtT5y5Ih1 + qLI0nOuvv77X6yml2u32+vr6eDy+5pprtuTittvZ0h08nHgBfrwUO4vHA4BSyiHcdV1QuiiKXGdW + 8nHgwIGTJ09qNL7vF1Lavq0oCiGEQtNoNJRSZVlQBGKQGARtKBJKGWGUMUYp11oaqfq9rs1FthKj + VqttkzTDMJRGF0XOGGOOAG0srK60zmVZlqUupeM4wnOVUrkszWbQDWXMWqdbsEEp5ft+mqZFUfhh + gIhAeK1eHw5HDgPP49IUShWMcYvLAlj8jAI6QBGoyrOcACRprMpimKWu6zn8uwnTiBSAbiRCIj6m + lxMCQBjlnAaVoD/sKSM/+JEP/s3f/43LRWewrrUeDYfNZlOELgqyPur9xm//pjF6enr6NW943Xq3 + e+7h86dnZ6v1WqfX9XxfA26l+BVFQQjh3HF87ytfvT6sRIPBoD01udZdH4xHN91ys+/7X7vhH/7q + 858Pw9Agcs9N0pR7FNFoU47jbp6pdr0dhVWK8NBDC0tLKx/92IeCsEaJnxjttUQWr4xGOSchE9zl + ZJyNZnZVjzzw9ysrJ2+757qlxfnBuOSilgOlzOl2u0B1r79GCBJCrOFbvdloNptpmlpa2taGZ0tW + ZC+OW/FEw+HQ933r2WCMefznI0mZ69MQGmMMsA2cgv3AuZsY1+MEcKJd3bN3e7vGZJkyIF4QjdOy + P0qX10d+wLIiRaKBlAa167gEURsApBo3gkoMqEajPjMzE4WOYKRSa4zipJDGDYoT86tb6oEn0nF+ + xIWb/mlbdx8rNEIAwYRhxGgwyiASQAIaSMlpoTl3tJKu65e5BmRRFEkhXRJwVwjjlKocFYBotAOu + 70vMAWDT2hkJgBWfwMOc239rZYjefF0sgZEhYQQpMz7VNACvxqNdlW1T0PzSdV8Y9BZ8NNxhu887 + 68xzzv1WfN+p9dWEpl5FOL4QxNCy0FgyYJoaZJji+slBEa/E4TbkHCmT1alg1azekdxZRkZx1IIQ + ypghiAgaEJGwR/e+EJdVq9V6VHMdGniEkWhmZibwiUxzz/PzQjiOo0aZznNknAlmNrQqFIBssuw2 + LG0dx52ZmXEFTjRrniMcyrhwLb4Gm+GuP3bQ91H1TARAlRJRR1G0uLgIhbrzztuffN7ho8ePMUYZ + I5xwo3VR5FKWiMYoraWiQmtMt821DJgdO3asLflL8xh507KYKLOAEi71KKsY4STtGfypC86Vhdo+ + t2PXjt22a1/vrklDw7Da6/XC0D958uTLXvWy51/2vHazjWgGw+Hs7OwNN9xw4403vvrVr06SJAiC + brdbqVS63a4lLv7qr/7q29/+dsdxirIMwxAo9no9Ttlka6LeqHJKZVH217tZksqinJuabTUmBHOU + lARRKy0RK2GVAePAd8zuOv+8C+6+9544TpMky9LCgCXsUMY4Ij7uNsmbHhKbZJAN26QCckIIY8Kq + c+3vGmOUMgrQKO1HFaTElOq6r37t5fe8oljpVf1wVKS05pvILajOsixigZcAA5pQXQqUHhYgtVQU + SMQ8prFMina7NcyTmZkZcB2lJGUb+KzWGgR4nmeR5fX19SzLWm6rPTk5HA6zPOecM0cgQFYWveEA + GPU8jzFGtLJ9gjXqAIDQD6rVqud5V/3F1V/6u7+z/bDjOEYqABCCK6UIgOu6w153enp6bW1t//79 + Usr5+flKrem6rvVPdjx3OBw2JlqOktLopN8LXG80GhmlK5VKXhTx2lpYiYwxX//61+0WxLKiyrK0 + WgMr/K5UKpZHg4iu68AWvw7tJx0BgD7M/YIJ4fv+ysqK53lWtgMACwsLtu8KwxAAqtXq/fffPzU1 + xRiTeWGhYfJd8a29BT72R+sH1SNpY2yTZ4xRWgkhxGZSZ1St1Ku1d77rf77iFa9ghFPOe72enS9t + j2jHpDiOA9cdDoeNRs3hghBmtGScM0KNMQRNnpeucCqVahSEUksKbNAflYWyw9VoNHID33VdqxS0 + eIF9Snbd4bou0g1xiN6kOdtrEGweWbs7AgCr8fA8rz/sEcIGpfS8ALTKsjisRlmWASEEKLFtrVEI + QIHajD7XE74baK0NY3ZutL31hliXAEHQQOF7OMyPVeV5poxyPNcL/U986qpms5GOk8mp9mgwQjTj + cVypRGUpXd/VyvR73WoYOY6jAZngBuAfv31rbziQSjkErHRkq+Pfauh/7+1vGw+GQSU6tbzkOM7M + zMxvvOlNt9xyy+TkZJIk9VZzvd9rtVrdbjdw3KxMOeetRkuWJhvlCwuLe3fs27f3wAW/85Q8zyv1 + CADKMnccJyuzUuqg2lASXeGlcdxuTabDWBZKUJFlmTHq/MNPVsgfml88+5xz17sr9UrV8tmsbmdh + YaHZbG7fvn04HK51OoQQ13HsktOy7OxS0Q4/VipmxxXrvvD4z0fiGZkfd7TWjLmEEG0MpYIwdvrS + eWupG0VRlVQoN7LMOp3OJz75cciTlWXNCZQaKIep2UpQa6dpIjUwzjlnnucpWSBhAIxSQKQEFSIa + NHlefOPmm6qRb1QBVABl/WHqBXXYhHxPb3oebup7on7otSk6pwDaTkcGgFhpLDAAw0BQTVEhQx5Q + r9Vqu9Q9dvK4WdEe9TgRIQtUqcuxBMN0IeuVasWJclZ08w4IQKSaIkUKxGxZMjzx/toyZNPHgli7 + b2YAEDhDSoExdGjJy0QZqcOmn8/HXoEhECJUNIQG+DAowsCnAdWkzPLcUINaAmpghDIGjpEqLRDD + Gef1v/2aRn0iTfNcaVFz18vuGGOJyqAmBikwCsSmfSIafDR2+lhomyXYbFSGw/XAM5/73OcI5IFw + jUEuGoNBxlggtVba+MLb4Nxu/oNoEDXAhubw2muvnWrXjj6wokoABTt3uRJZrVZzfVcyUTJZChtH + o6201SCx4dZbd+fHlyeavQUQmozj9kRret+ufWcc6Pf7MzMzURBqrVGhLspGrW6UbrdalUrFEQ4H + eOpTLvQ8B2hw6KwdWTxSKqhW9hR0MjdBGITjeFmXXYJYq1Sf8zNP0rSUMrdA3r59+wqpCXUMsPbU + pO/7gGpx4ZTneZMT7SgId+zYsbS6srq6Oh6Poyjas2eP1rparUopJyYmGGMXXXTRxMTE4uJio9Ho + 9tenZmY0qlatKRj3hBMPR/VqrRZVapXqnbfdHgWhlGWWpDNT04PBgHNGiZBSZlnW7azLsnQcF4zp + d3v13btdLgTnSmsGROOGGxCl9HGFi21uLai9BgIYghoRUZutVYZ92va8eVyURkulDUMgtFKLQsZH + adyohQSo74UmdJfSYQbaC/xhVjIaakMlkAJMqlRJEAl1gJYALmXcEfMLp8J6xF2nOxwKwf3Tot6t + I67jOEIICtSuUqWUWmsNSAVHREM3AoLtKGWfp12eSClnZmYQsdvtFkWxZ8+e4yceWlxanpqaEo6T + pKngnFKa5BljjBhM+v12e+LU8tIFF1wwGAyareY4TVZXO4I7jLFSyTKW1gLRtisAYDVanDLrLDUY + DAgh1migWq0Oh0NKabPZ7Ha7iDgej33fr9frcRxblYrjOHY4sn/lfw4OYj/7dge1ZTwIALVazT6s + 7/uNRuOhhx4ihIRhaNeAp+Msj7yheqzrYQekLV8BxhgYI6VE2PAjTrLMdd3tO3emee55nseY53kG + ME4Tx3HyPHe5sFujMAzLImGUOpwLxpEag6hkWUjNCS9lEbm+77uMkMEgCTyv1WhNTk8tLS0RQsIw + UmgQQQhHSmWPkcvtUsj4fuC6bpIkSZJwIbbCjqSUFmWx+IHV6tm4ZcdxjMopzV0ujIQylYyQyPNV + Ij0RaM2s6waAIsQgZgQkAC3KkjEiZZEkCSe8EkaIUKtUwWx1vQCb2RSEPLa50wjAXYcwuO+B+17y + 8y/mzKEMhoPx3n2711bXizKjhJcyl6V2fUcIt8yL0A/i0cj1fYsyA6NAyPTc7Pr6ujUdUkZzyuxH + TmudZlmcJEEl2r5jx/z8fJqmfhBce/1XWq3WWne91mx0+728LAijQRQiMZw7xphut1fkslWd2LZt + 23g8/vCHP9ztdBljXuAZLLN8BER5oVsqyXhkNBPCHY8Sh7llrj03nG5PoyFZlnXWlxvN6srqyUF/ + zWCZxNIYUErZManX6yHizMxMtVpNs8x6b9hPoH19yGlXK8dxgiBI09TCb81m8yciH6lKqvYCSsTG + OAQPv4YCAEodzg0lKEsJpQEExoAaYBSOHx83p9CPGkCAUc/xOYKWMkViCKWEEtjwsKMUqGAiT3Uc + x6pE4SrH89Ha8m6YWdnT/kTf/GMrOx0RBAoUEYpSa2IIYQ5zPORMmpCH26rTdd3wSHDHfXeudNeY + LwqTc4epQoU0oiWlpXja0y/adebuDLJvr96WJjENXUlKQDAGAQHIxmF7HPVEP9YyBL7Pw97+n22/ + mJXfGQNKE4OcUEaAEqBA2D9xqzbG2FQfRMOAEUIkqDGOa0FVaZWLVDqYYYaUGQeUQs20oYYQYgxy + QgihAFv27v/sEiQIAlPq07MX0chsJJUC18OypF5Ud7iXltJsJDMasjEnCfs3BQKu7wDmmSrSNMky + oAAOA8/z0lHKmdm60D0CH+ZfXI8pkUYwzhjpdjvVemXv3r2IGCejaq0GlBBDKAeiqRBCAxFCOJw7 + 4GqQlDhSYqVRoRQOHqoGlWA4HhQJ16UULkWiCUXCgTFSrUKWOwpzNEQIEQQBLZU2VCMFSobDoSPo + rl27iqLwA3dquq007tm5azgczk3PlFleZnl/vTszM9Pr9Syz+t//4is/9IE/a9UbRVEc2Ld/tbvu + eML3XYcLhpQicEJtkz4zOcWoEJSh0jIvVKF8IShlhujA8xuNhu/4o2xsjV5tW885t3tAOA3Xe1zV + 5rPCzZOG1hfI+llvkUrst3FKiVYckXORYzlOkiJPA8Lz8dCJGjovvXolLuOkTMHhjsOxVEYbREMo + MgoCkVBGGeUEijgtlN42OY3UYs2k5lUYJ6bM7TxmQXOLnxJCfD+QWg3WB47jOL5nmfyO59okkjTP + bAfCOReMG2O4w9I0rUaV8XhcqVXLbjfNsyAIzjzj4KmlxTwrokpktNU6uoiodD47N33q5MK5556b + pvEdd9x2+cteFg9rUuq11c7c9m1FUfR6PYUm7nZt7iIAdAd9SqknnP5wQIHYlU6pFVAyGI8Iowiw + 3u8po3WW5nluCBRKDodDIUQ1ChGxSFP43tHl/7A0ZjQrCz8KpdFalsYYWlDmiFyW1UY9SZJCyfV+ + j3A2SmLP8xA3DIdx00nrkZk4j3U9EsXOnjYhhGCO1hqUNsYQxlzXbbXba2trjUYjSRJrCpnmGQBY + r3RjTLfbbTQajNIoCHSpk3GqS0kt9Em5GzKC1HVdgjDoDqw7kJaqUonuuevuar1Wr9fzPDdo7L7P + 8zw4DUHPssy+dhu7I60tpq71Bj5FNrNl7G4hjmMrZ8rzYqo1kSZ5mUMUVtMk4eh4Hs9y7RAfARAF + oSUlgKjBUANIKHc8oaUJXI9TEUXR3Ufu7q13KRBqNzCABAERGMKPAB5zHO5H4fZd2++77z5iEi8M + qvUKERw55Kl0fQaEB6FvAHqjoSyKUslGvR6nSZJnjUZjOBqVWplBH+gGd8uebMYYorZoR7PZLIri + lltu2b17t/DcW2+/7YwzzpBSlkrGcSyEmJ2dXVpenpqaGo/HXHgEjO96nmtcV4yGcZKOHzx6d5Hl + juMoo8PIY4wUZcIzkaZZURAmfEoBkSBivd7sra8trZ9YPrV81llnLSwv5GpyFI8czxfMd13PIpfE + MMdxKGej0cgaEk5PT/d6vXg8Jpu5QNZI0KIy9k+FYWjX1mmaMsYe//lIwYQ7NzcnhIilpL7NwlOw + SXa1V4rv0yA5ngBUjdmJi5550drJ40rmFIEK3umO5nbsHWX6rvse5MLjnPe7Az9wHccxAAapQTRo + CEHLny6K4vDhw55LjJRBpRInxeLy+iguh6ONpdzmk37sj/gTdVptducbbTEFsMZzG4brSBlyihzL + kgBWIdrFdlWhemNaWV5eFnWvLMEwbUqlTEYKZrKien64DWZGMPZTp3S59rUiNoGW4oaVF/4YEbvH + WVEAQ3AjYxcAKRAARZFyAEqBOcRveMTDERsH20MT56XRzBF5jQwho1Unz/tJkroVHjguoHWFRgNM + GcoMI8YwR4xKBZT0R6lSGIQh5zxXqe96JSOKKgMaDGiNCJr+CyAKhUmSVIPK+vq69/+z9+bRlp5l + nejzvNM37XnvM1SdmlJVKSrzBAFJABUEA8gUxEauF/SqCC2gDXhXh0a9KtJt0yAsZGgUEIRG0ySB + dRVBw9TMYchcSY1JVZ35nD1+4zveP95zTgpMIsU1DA3PWvVHnVX1nb2/9/2+9xl+gyBa62c+6+cH + /QXmMAjCSorbbz/cH0sCfuBjNjVsvs3jFTz3A8xVV11FQc9tWxWMEmsA+ZOfdtn7Pvg/syJVlBth + ecCjKPLAjX8TDtL3gWaglJJSHjp0KKk3D991bG5ubmVlZTxOOWUB58S4wWBgKrm+ujYepQacsXj0 + yKlQNNOJ+uIX757bflmlJ4SvobAECwUTYFmUWMpUXhU33XDk6iftqrdCsBYd3HPPIcrDygBnIeVs + fX292UjS0RitO3To0JEjR1ZX1ju9bpqmu3fvRsTRaOQpHD5HPO+886anpz/0oQ/1+/0kSaigKAih + NBuNKZJus6PycjQaTUbjdDQWQhy59+gTr3pCKIK1tbV2u1tVhXbWlz+MUOtMHEbNemPbzExZllVV + SSl9TkoBEYl9MFXVH2z4/ekArDV+T3kQvs8Gid9thPjOKVjHrNNlyRNkCJ12wzhbCyLiTNxqDFbW + Jros0XanuoWsdF4kIq7KCpwDoJQQ4Qx1lgKAgzAOtFKFLktZxKyWj1PCGBWUU6aNBEc458Q94CPi + BbIpJRaBMebTp1oYbPg3KuWfEa01E4ExJo7jqqqstePxeEer2W63fZZy1113NVrNgIuqKLlgPrfx + LdrhcNhoNKqquvPO28vV4Sc+8YnHPfbx80vLrVarKIo0TWvNhnPOg7D8hMA512w2wdilpaUkilud + 9nAy1lp7YrnnThdFUa/XPTPF3+1ut+u5cFprsUkQ+i4rFj9Dq9VqXt3K479qtdp4PIZN6riU0qdA + Z7IefrB10VY8ZIHkP+LW5yOEIAX/kY2zpazysrDgCKNFWSIlvjuSTVKrTRiG+y686Lrrrpuk46l2 + q9ttr62s52WBllDOBQu4CLVUxllnbFHlzUYjiuP1tbVGs/mO97zzK1/76jhLPSfE6x5uJfGIGMdx + PalVVeVH+WEYAiVUcACgbmOesNVI8BBe/x+ttWDpc5/5ol//1ZeqzFWVEhwnk3Gn08lyhRA6ZEAs + oYZS5ZwDjdppxwuDVTbOtm3b5gzMn1x42W/+VhJGnFCKxIA9A6SLxMHZs2jPLkaTcX+4npcZYShL + WQ/Y1MwU5aTZbjgGw9GIEJJmeRAEcSMmpJGw6MTx49MzM7WwOSnyRrettQ7CcDKZUErRmC3gon+n + SKNN7owxF192aZqmWsl2t7M+6BdFESdJXhRznU6WZb4lUEg5U99WVaosqvX1lXoctFqNdqOnjXRQ + RhGO06woKwRelopLEQYzgtBKldapRjMcjtdyuZjbcRiKcy6sLQ8O8TqROAAs2+1pWao4qnmbBz+N + 8fOWyWQCAFyIOI4RwD+3Wxt1o3XEmB95ewakV19wP/T+SIqLRptvIlLAOUe8OuJDGaWhVVpSooVg + 3W47cNspsQHnQZRUCtJSlUv9KAryUrkqB7TGqCAMjHNgCTj0/q5+6TljSZI0G6GRUkSRsSQMQ23Z + eKLgu34b/iQe0diCVqEDzhhFZgxa7UA5pwEQURNBeYihSHmUxxwYr0hUD512geIhRlWlGmUSQ1hC + yVIW83Ci5MbwG9ALOrstdXCAs0Jz/W8ZxFLYUBF06ICA8cWqc7kBOnGTVMGgWLqPJbVHt2pBIoA4 + SlaIPDn6+ggL1gsbDrUqdamUVYYzy4QBQh1PHBfI8rykljWiZiAEMZRQSLO0KktMwHBpuLXUAEEk + FAhxDoh1Z1cz4AZ+mDEmBBLQURRVcRwQFkXxBgdJT6wtkQsmKCD1ZlnOIqBznv4ETmkVCIzjOAlZ + wGnAmVPSOurP1q1pw7/STn7k46zeU8RtvP0AwBhz9NjhHbt29vuDVruttUYHzhjmENBaq6WU1hgE + xkkU8LYsRS7xzjvuPXR4FNdLhNOarJEoKjRIU8qKBo70h/Lz/+vEU37uN9dWFk6dOpVl2Xg02r6z + bSs5Ho337D1nbW3tggsu+O2XvTwJxLn794dhWJXqHe9650te8pIDBw5cfuHlT3rSk5785Cd/7GMf + 89JEV1xxxR133LFt2zZvpLG0ulSL6lJXQRAIxvM0y4Zjo7U/to7cezgbTxaXltM0D3jYbDaXljIC + IDhfW17pb+9LKbU18/PzfpThQxvzwIJahwR/eHAD7gx9o82D2BCwW4v4nZ0dayNKLUDESJ6lloq1 + 4aBqNo2TJ1fmkyh2nBptlFUMnMlySTVypoilBKkloBQhjjJmwVTaMsYGF6efCwAAIABJREFUowEi + 9HodsA6UGawOu9vaynku78Ygy49llNJKKWl0nueEEMoZIuZ5zoXgQnjxJwDwwBMPevStz16vl6ap + 77S2220+JSaTCTgXBoExGqwLubDWOkDB+Kg/mL10+sujUdxt7tm1ezQcxnG8vLLq1ad9PsM594lQ + lmUe28IJjaIIKTlzEOTTJJ87+VGYEMKTEcIw9LlNGIZ08xHbxE9tfPcHzRAcgLd89VymKIr8f1FK + hWG4xWXw1/cSEc566cyNVcZ/uabf33jIAsn7xmzAh2QFABwJpdSra42Ho3279w37g2azSSMiK0kA + BePj4TAUolGrRUFAEd/33/+qv7a2f++edJyNx2OlNCWcOLI16hFCSLPBfY+i6Dm/+Nxhv19VFUPi + tYb93fRNejDWOJiZmj53377BYHD33XcPBoOIi7heazabANAfDDyb31/cE+s55xseOEpxHjz5iU97 + 3jNfUOXOaJJOZKPeLQqHEFgIHCChjlFLuSJgrK40pBLWDWTNeuN3fud3nnHNNQf27/fMFsqIV1+1 + Foi3tgf3yDfYbS1O2s2WlooRSqNodnqm0+ksLi72ut0gCNbX1+NGoygKJxwiZpPxpBrt2r27qqq8 + KPzNzLIszTL/GGzBt7amE42k5j2RFhYWtDVRFHW7XU/+qaTcsWNHmqZZWfjHm1KapnlZynaztXNn + bE2VZeM8U9qUSSRYQEhuHegwqAmepLlRiOiY4PXKpEWp8zwPa7VaPShkNknzqB5bhYQpoLo/XqeO + raysRFHEOVfWSCmNs1vG1YuLi9u3b2+1Wr7RwhhxzmktncMt1yMvSedfFkqpHwl/pHRhtaoqzmMD + yhgTBgIt5HlO2YNzkEQoqnI8mUxuuukGV6SLC4oiKAOOQFCH7tS2SoFzhDEWxyFjLC8LROIIOPQO + gBskbCT4xS9+MQqJriTnnIXJaFIGUZMQsuli8kNzTv74BDoA4/XYyYZcAwUEB05pjRytBWIdAc5Z + GLEkxFqEYQRhg9SbtGEqmacQxRE6zEd5xBFGRhSYGGFoHHKhuTLGWGo3Yd8U8Ns8f3FzgLVRKZ3B + U/rxCNxUJbXogDpLnEXQDp1FsGCQYRiFRrl+MaxN18cys9rQIMxdNTGKN4KsylHKiLJQBGi4ZAyY + 0BqtJk4HaNhU2AENf/93f5+up42onqXjn/65J/3UlY+7e+3OCooKpUIwaDVqZw04FA9LG37Qb+Cd + 4pqNxmS0Egpz4403OltQB85CGHXyHKKopYFVxjrvJbChlQhexQ4RAYkQEUD5qU99aqrTPHFsiA6I + hT3nxNXXvtlpt6OaUJRJYjSXRVEoZVjE/DwfrbHOoadREWac8SnWBij9DBsiAK8Q+MAh+kiX5xaB + IFIiwjhaWlmZmp6tKsVZsLi4vG1mu9a6yguLjnGumTLOSms0OAa4srr+vOe/YNuOc9vtueW1OwwM + xqPjUrl6rUWoMExq4K3GdHs6HqbL/+ev/8qevdPD8ZhysX1ux3A4rDdb0KQnThzrdJtFUfzd9R9p + 1hv79uw7cuTI17/1zf5oePTE8YXlpcPHjk4mk5mZmftOnRyPx5PJpPfNb3z4bz+S53mr21kfDhyC + VlZrG4tISVXm2fTMbJwkn/38555z7fOeeNXVtaTxf//H37v77nscwW/d/q1Op6WNLDWtt+tFmb3u + 9dfdc889/eHgyU9+cq1Z01oao7YMGzZe+D9cLRI0ShnnEJFQoJQgenNhjbBhmOtRMJsHFiplZFmF + 9cgZ04iiUpX1euKSqBinhrmyzIQIVVlRQCY4oTyoxa4snXMWrNUWAQkFtEzbSrBAsMBIvXjq9Kg/ + vui888OA50qCIz6Jr4yisKEaxRgvyzIMg6DdBgBP9FBKEUBlq3Q8CUXgjAXrfPPCV1YLCwuc81qt + NtXtIeJ4OEILURQRSmVRAmzYixFEHoo0Taenp0ej0UUXXDw7O33OOftuvfXW8XicJEmWZXG9Vpal + lLJWq4Vh6GV7e73ecDgsisIbwq6vr0e1JAzD8XjsNRv94+9p235EoZTyM6s4jgFAS4n/YoL0MLmB + T7+9URAA+OS8LMtIBMaYZrM5HA59BTUzM+OZTuQM/To8g1T2CG+tB4+H80HyJSMhxBHHOSfW+clg + GMWMkEk2TqLIao0AnNJWrT5a7890pxpx7fjh45dddNnp+04v3D//+ZtvXlpc/NAHP9xfXfv61795 + 4v6ju+Z2FUWBiD9/zVMvvvji2++6/bLLL9+2c9unP/3pbq2eRJFPIFVZOoIASCnxEtzg3BMff/Wf + v+ktCwvzi/Pz73rXu+49dA8o+/b/8t+mZ2fSPFfOPPf5126fm7vj7rtmZ2dLJZNGPU1TKaXg3CqN + ghHrYhE96eon/vIL//3Nn7qnvybWV+i3bj08GAwajWR+/mSzweOEcV68/o9f/u6/+pO3vf0/rvfv + /83f+s3tnR110Tx05FCSJI1mXRo5zgbNZp0R4tls27ZNiTB2DldW1vwvjePYi4wjokdkfQdQ+3so + jp3SDNApTR0M+8OpS9u3fPmr84sLiPiEJz0xRCrTfKrZzoo8y0ahCHgcpMNRVVX1VpNxXsgqTdNz + zz13YWEhSZLxeNxqtaqiNMa06g0/Z8+KvBN1knptaWGx3Wytr67V63U/Ec6yzD/PSinjLGMsTgRY + V1WF1hYA6rXp8WSt1mhVxaRUhEc1LU1a5JSIJEqsQyUxnSgRBbKUcdSlTiwvru/ZuytLx7JQRhsU + JgzFZJI2661mt1bKUmsNhFDKwKExxpqNou7UqVPbZqfn5rYtLi6ORoMwDIVgeV4GQeRluD0P0hv1 + /kj4IwGAlNIjBv3bQUrJyYbg3oN08hxhNACRVMWAhqi1cQ6MAcQNcn9RFEHcdpKUhQ1DYZw2zlEk + BJkF4gCNMwyBEo5onAVOuKPaWqulAmPRbWHRfYfsJzXS9zksBYmOUCfQcrIB93AWJWHEUs0IMaki + GDtpEXhEaw6g0jmgBq0IYiOqMyuyrEqiTkRiwmzgUDjDQK9ky3w2ss4CELQIANbznv1ryjoA4rNY + u+mOCmgJwI9VjbRRngIggANrwRIAi2CIh5w7gsgYJQIJJ8Zo41RZZVG7XciqkBnnrNFoVvlEKeCM + VxqNw5AnRjpbYZ3XZ2jX5vLY5w+jxqXqtDGmeXWjCY0ZPtW3fV0NjLAusIxoQxxa6jSAO5saSYPW + 1ijluyqI6MAgAmckS60IrLUAxgBl1loASggD2HQ79Xg4RwAsEkBkBBkAMQbAAnXgHBqljSlKKVtT + 2/rlBACECIxyzoA1AGgQAFEAWOeIcwjgrZYIgE++LWw2FjdNcLfUMv3Y/BHcbA6IQmsBqkJG9ZbU + CACE8FajI4sKAGq1urWm0mZclq3pTqryEpQqy7/4y3ecOH5a8ITyqCwrQAxC4ZxzaJ3FjbraMQPK + Qsb4EyqZX/uiZ+3cs8+CaLTaq6urtVqtXg+MLo8dv/fYsSPOIXH+KQQWBp/74hfg2+GFjPN2p3PP + vfcCQBCGRVkSSgWLpTbOUUp4Los4qUtrWRi+/V3varVaaJ219uqn/vRGMx4RwBECSlc+bQ1E5J1h + u1O9d7zjXSIKpKyCKCQUlLFOG8SzLo8e6ROCILNgCSGUIIBFpF6I3ChNKaWUl6UEZxFRSWMdWmS1 + 9lSW5YIF+TCNGS+LXGoVcK6169Rak1FqlSrBzuzZmeZ5lmcUUWrd7rZtVRmtgQmdl0nYIApB23yU + 79q1K6DB+nAgrSx0FUShR44EQeBpF5SSdDzxvd3hYDA7O7u4uBjHcatWL4pCFmUzqcm8iOIYALwH + iR/XtOoNSmme5YyxmenpbDTudLvLy8uzs7POGk9i1Er12p3Tp0/HcTwep1rPt9ttqcw99x5Z7w+V + Ns7aWrPh+7a1en00GjWbTV+E3X/y5K5du4o8T4u8Oz11+vRprrWfF/V6PY+j8wWVT9HLsvSAPSFE + URRKqZD7vuoDXYyHwsj4UFoTpShjWqmqqoiDTqu1srKCPAgY15V02pRKxXFMKFVKiTD0DDMvY+eR + dvDQ+/CR3m8P54PkCUVeUNunmAAghFhdXt61a9cvXnttmqYHDx48duRot9udP3WaP/4qXclsPNk2 + PaPKKmD8gvPON9p2Wt2vfumrq8srtah2YO+5YB0Y22t3nvqzT7niiiv+8R/+vtNpPfZxj9m5fTsA + ZFnmrPUDCmm0zxQppZwyAPjVF7/kHe/4iw+8//3bZmYnw9Fgbf0xl19x8fkXXHzJJTt27/q7m264 + 8sorP/f5z+/Zs2ecTowx6MBRf4YxakEwDkY7kL/8omsfdW7w8RvhU5+6nblH5ele6sxwrTqw69K1 + 1fvb9fiWb378yJETl158sVPQSNrbp+bGw5QA7fV6RVGsrK8AcUkSZUU2Go16vd7+/fuSJBkMhmv9 + cbPZHI/HXvTMD20opQ9aAZ/96JAgWmttwEWjVq8ntbvuuqsWxc164/LLLw+jiFM2TidgLQIkQRhH + tckoDcOw1Wopa/r9vgiCVqO5RcryF/UYNi8/GNWSXq/nnKuKcnZ21g9nVSXBulJWjHkzCGIoEiRA + IU1HVVXWa60kDIq8qqSkTOSFZDTICimLnDHWaXWHw3FR6pltOw8fXZjdPscYjCcpIU6pampqan21 + b4ypJ3VHHQApi5Jzrq0qqpxxQgjRmwOurarSGusVF6y1MzNTjJGV1SVwpNFoab0lv/Oj54/0MGu/ + Nd3yrVnPQZKLSwT1Bfu3P+aKC6Es11dX4iCutALBaBCfXBgsrY4pDQgQKSvnTBRFxoLRYMECckIc + AjWmlFV20fmPSkJK0DaanVLq5ZXBKJVFPzvLLfqT+DcL3NAIMJtzHGKRWNQOiNS5BcOQE0YYAuWc + U8ZAEGCEcQew0U+tDDoWCJSFUdQY7VQlQSnHDKUohBBOIN+YIoK1Fjyy3yBS3FR5/jGOBwQanB84 + ACgkDsE6ZDwilpocULkYonw1a4TxVBKPy3G5VjTiSJlMBCyTpXMQcIIIaDWzhDmD6AhFRrApEmmI + GSmsIBQhYUGIEQM+XB2puBI1TpgrbamMBYLkexjjEnDOtVqtPBvV4tio0dN+/mn9tflaGDlLrItu + u+3I6kBSGgghCEFjENC7+W0tPQKQsiwR5GMf+1OM6JneahgIDoQwtv/AwY9+/BPpZDIqKsUc5wFx + hBACDgn4lwwgWD/5BF8gbV6ZOIBNzQnrHWU3CnLYqI4e+YmlQeI2J6WebOZ/n7dbyPNcKYWE1BuN + +ZWlv7vho1/96pcZE5NhUeQqiRuUciklpUioc6D84wNIETk4ahEcGhGJ9fV1IZhgbDgaU0riOBaC + Waul0daCsw6RWeKJAHor6ftu5Dg4E865tCgYF5XSaZr9wZ/80W233UYACSGUEkIIENxscRlndKfT + GqyvSyl3zO1aWVnpdDqjdLK0tDLJ0jCOKiWzMo+iiBLmcV+PzI3/HsMRZMg8B9iBIQQpoHNOCJFl + mTEujuNAhF5IOYhCDWiUtgiBiByzqcrLSTm7fdt4OBqu9xtTYYOHot7KdLW6uCSEoJUWjFgpq8Ew + K3IAMFSovAIeceTNel2W5cn5k+1OR5qqMqrVaWtj/JB2OBwGQZBEsZdfGo/HzUYDEceDYa/dWVtb + s0oHXIwn2U9d9fhv3X7b+tpas9UaDode0o0QQhzUarUkjouiOHH0GOecOJju9oar6w4hFAFBkKUs + y7LdbDkEiiRN01AEnU5nbWV1NBoFSdKbmT5+/LhPabz+ob9dQRB0Oh0lZZZlnsbfaDS8uMX09LRv + 4LbbbUqp90TK83zHjh0nTpyglPpPmCSJVQoekMr4V8hInn+lrdlQASE0iqLTJ08dOHBgfn7eV19J + knQ6neW11dFwmCRJmWWbgJWNnM3XHQ/JMniE4+F6UWeOtyil4MAP4Fqt1vLychRFjNC777xrdnbW + 4xQbjQYLxCidbJuesVYD2Lkd2yhjEQuXVpecdWVZ1ms1C9YhDCdjHoi4lqysrVLOhpPx/NLi3nqy + Z8+e08uLlZTWWhGFnkxirWWAnPMLL7xQVfLA/v3fuOXrn/v0Z3bt2nXNNdd88YtfnJubG03Gn/zk + Jy+99NKl5WVptHEWANABpZRYJ4SQWYEOHJX7D2xbXjva7Mz9h9dc8uUvLR25s99KLioKnUSNwXIe + R7tOnbxrMl556b9/1K23B4TrGq9dfvnl4/EoLbKyLKenp2dmZpIkmZmZkVomSdLr9bTWd91118mT + p/JSP/Vp14ggqKrKt3mstdoYtokY3MJr2rMX/PEniFRGGauty/N8//79BLDR7SJlS8urXIRhYMIg + BEqcc5VWxlkvHqCscc5FUWQRRsNRrVH3u9APPf1ae5U2n8QHjPMwMk5RyuI4HgwGYRQGQWCsVUpR + QigSYxVgJQInQg1gKjWyEDTrjTRNa3HNWo1xNBqNlC5FgOlotNZXSV2WqijGRZJEUlVWYxhEgSDg + BBirlet0WgUw51yWZegIowI3RXXgjLGb/6v3EWo0au12O8snZSHdj7g/0tlGkkSUmHojqdfrwHlV + 5HEQMyUrpwhFQgHQOmecb9aiV/x7kLI8juNarVaPOUHbaDRoUYVhkVfuBwj//UmAl63bEmrYSByd + Q4uUIlqfX1pitSsLXRRQlgAMWKZdZVxIsSykoxkSDpRpcBAwxymEEQPJJCn7kyooKiF9FgXE4Ya0 + 4cYj47PlB5TTvB32j00QB8QZ2OhTWgdEE+JHr9Yyp2logkiJuWR2Lup9/iv/nMp17bRFXZ/rnXPF + wTuG9xlHF7JVR3UUcWIVM9KipGCAGhTEEG5spZVJkkShRERDdAUVA96c7gydK2xVFRaECGlorXPG + krPtmboNxwtrrXPEg4f90NsaNJaeKapr7UM+7GEYEiRRFDGiqzgOA8EcEsbOhEVsdaN+gHDc7+Ft + dQZUyAKAdRutK0IIIvHUeSGELNWRI/fedefXgyCgEFASMBpZQ7Q1hBBCwVptwPn5GAIDYA6tg5LQ + DQmfyWQyN7cty1JjTFmWAB6cBUgIAIJ1Dtx37zZHHFgEZTQVXEkTxIkj2Kwln/lfn5dScvqAPcOW + wAuCZeBOL5yanZ7p9Hq1ZmMwHi2vrZ46dapWa2w41YDzLBprpYOzbpE80qeFcwaAbglGIwIYi2SD + 1oKIaTrOMAuCgDKsqko55dAlSaKMmmSpQThv/8Hfe+1rO3GzkdSW71/YMTc3f+p0GAftbmth/tSe + mRlwJs0zQoiyJk7qxuEky3hYG03SzvS0Bfef/uD1X/vmN6IkTOrJYDBQSjVq9YAL0WoDQD5JtdY7 + 5ubO2bPHGOPlytbX1/fu3dvtdo8ePvIzP/MzX/jSF6NaMjU1deK++6ZmZ8qy9OOmfJLmeW60DsNw + pjf1spe9bHZ6ZnZ2Nh1PkBJnrEOIgnB5daXTamdFXk9qq+trnLIdu3YuLy4VVblt585D997zhje8 + QQixuLhYxDmjtN/vz8zMXHrppZPJZP7kqZzQCy67/I477lBlVa/XPTdJhVWWZY1GgxCajSdJGNXj + 5Dm/8Kx3vetd9Xp9eWFxZmZmMBgEgfiOlOBMVNS/DI88qtdq99133zm7dldFWeb5ZDSyWrdarUaj + kZfFHXfc0Z2e8k/cFk8JNsuw71BD+D7Hv6Ji59uQgjFKqSpK3xq/6qqrXvziF+/du7fI8jvvvPM1 + r3kNpTSJ4tFotIUgopSOx+P1fj/LM4wTJvjOc3Ysn15KyyISQa1en0wmQLDQ5dLaaqPd6k1NtTrt + zlSPB8IPBBFRO+vzTkIIGHv+eecx5JWSh48efc3vvfYZz3jGf/uz/xrXksXFxVa3U7NNrfXBgwe/ + 9OUvF7JqddrOOXSAiKCNEKJIM9C2252qlJmZnR2Ph7roWavisMVZGIcBKNqoJ0vLS7v2zZ1eCU4t + wChbaxUizXSr2zz/wguSKOlP1qdmZ2ZmZvzi1Wr1Wq0WBMFgMFhbW8uLXER1j6nzhceZktD/cmOd + Nb4OgIqgqiouwtF4PH96MUkSa2B22/Tp+cXRaBAEURRFQJnWUmnLKBVCgAOrTavZMOCklBYhy7K4 + lngujWej+lMtCAKqtZSyVW/Ecexl8os8b3DOkDhjVSWNN7YAIIQwRoyGMBLGVlJKyixl1jpdlqVV + tiyrTqdNMBwN83o9qdcblclnZlvDtG9c2mi1+qtZPWn2+6MwqDljgPIiS1flulJq+9w2MCCl/o5b + tIUWIEg8g7AsS8/52bFjx2g4WVvrI1Lxo+yP9FBBCLFofbqDnoMENIwjo4uFhYWbh8smzZYXJRiw + CBiAiCGodXjYrAqtrUuSwIHJ85xQTglHJMaCtZagY5QCwDe/+c1WPdSqdECR8klaiaj5nQfkT0B2 + 389w36FbZt0mF4kQ4pAAokOrnTHOVFgVUOVgOIAioKgLBKERIQKQQVUpw4UgYcm1djqzuSCMog2D + wHEA4ixY49F0ziAgIAEE4ryQBwI48uNUGm3FBhvHeY4pMQgWKDhCWCSMEIYzSeMg6UFvdHQYSEsY + ArHdXn0P3XVML0+UZYxjQDQ6ihpRMUREi9Q5izmM+nLFMfL4pz92ZmqGM7baX6M9vDe/Z35yWkXS + hgQZd9ZqBRQYJeBAnV0W6oBznmVZq9kosn4U4Cc+8QkCVUBZllZR3M0yx1jDAlRVJUL+UJex1jow + n/nMZ3qd2rHDfXBALezdX//Gt24DFPV6Pen0+sXYBj9gUvXZhl/ZB/k5orU2DIX3b/HA6VotVBII + IQhcW5CyMA4JZZagBQsEwYEl3mYXnHXgHILLssxbCAYB9wdEmlaME0IAkRJkSNA5X8nahzLcfKhw + BIEg4ayoSnRgEZbXV7fPzHoEhM/izOaGIeBKWSWBaLZbVz7usR/60P/YtWMnAHQ6HcL4ZDKZZKnv + 8W2pDZkfUOf+ocI5Z62y1nJBg0BoLZVSiI6SDUIv59w53NAOAUM4KWWl0SrQwHgkRK/VffJlTyJg + //j3//Dmf/onVVVhKGxZqnQyFYVBlXOrlVIOQDvgSTIxOrV213nnH19aOr66/Mrfe+15l5x3dPFE + pcrKSsZIwBMvA+vFBiilBw8e/OUXvvB5z3weBXrvyXtf/H/8SlVV119/fchDAbywBRLyH37vNXfc + ccfs7Oxqf93Tb7zvSJIksqqstUePHs3T7KvHvnL93/7tttntSsswiETAR8Ox0rLVbCstozCWqmKU + z+3YPh5NBuPR4ury//sP//BnlGVZJhjvr65NT0+bZvOKSy9705veVFVVN+rmNtu/f//09HSv11tb + W2u1Wj7Z8zyowWAQhmG9Xh8MBtdee+0tt9xy6NChKIq8A++Zk5x/NWkhDmRVlVXFKD3vwKMCLv76 + r//62uc+10+3Ljz//Pe++70SNAd+YvG+V73qVf1+P1PFmVfGDdurH1ja8XAQO5/AUUopUq9IKIRo + NBpvefNbbrjxhve85z21OHn5y1/+whe+8AMf+ECv10NK8jxnjA0nY4uQNOqc8yiOCODq+ppSKuBh + GAqvsUYFJYITzuutJmWsPxwsr68lq+2jR48eOXrUp6fKGtisLoiDTrsNAK973euM0nfefvub3vSm + r33j6y9+8Ytbrdbtt9/uKHnqeNyZmfrSl75UbzUpZ8YYbx9GrIvjWFeSoVhaGp+6f6Bl0Ov1Th2H + ZrM9qQf3Hz8y1dknrXUQMWGWlk/Xao1GDcrCJbXG1FTt9jffsXP3zgPnHeCc33LLLZ1u85LLLl5c + XvI2OHG91u12L3/MY6pSIWVlWXr5sjiOPcTOC/FtJcRbt/d7WHittQGkXBRSnfuoR43GoyiKs7xE + JEKESmmtoZQ5EkBCAyECHvh8OoqiUTopiiKIo+3bt5ey8otrreWUOedKJTmhRVEIzhFgaXFxQzYw + CGVVtZrNNMuqvGCBCEWgjDZaE6TaOupsmRcA0Gw0ZGXHg2G70Q54IiubpTmlCQEhWJMJWLrv3iDU + aMNGFAxWclkQ3qjXY+YszYsibkTbp9uIuLy8OFwfCMbiINRKWWsRgTyAE7BemkkpFQjh52OEkJmZ + mXq9XpZSSu0V+b7jMYMfBX+ks42yzK0toSoF41YpY4EAOAduQ17ROmecT23R4kO8a5xzxnqMJSqp + ADUT6O8AqG/n7P4kvr+xVZY4tA6JRWvRAjitpWMWgViwlhoUQIRDwAIMASNjmjPlnKl4pVFKZXNj + hy5tslqf5JI4AXGv1x2w4caACMGids5ZtAgb+G/YYuA4QtwGDOnHTdduK3t2+O2QJ2OtNmAZAYwI + E0AZICqDTpRKchILEAQYGJlEtcKmxlTOSERLKDrUljhHXG6Hp5xisTj3abtne7NK6dokLkl2b75c + hpULAUPuAEAjOAKWoiMODZyVnQQCpZQKwTmXlDqnpZTOGBahMR61u/kPN4bzD34ZrTVBszmJeqBG + 23qV2Q3HCPzBTpDOPiw62GwD+e1uAQAcVFVJCCAiQXTWOm3AWm0BnCZItLHaOC7CIGAGnDF++kYo + AoDnbhrnDCeEYADWoLM8EAsLC/V6LUkSR9A5szV2A/BoIoIPPcR70HDOSllxwbNJ2mm2VSUZ5+Ms + 9axaQgnljGzaGoGzST2ostQCvv8DHzx177HjR4//u1/+5U996lNxHHsahdcrwjPIsT9M4SgjWhtC + ARGklEpVBKwQXLCgLMs0TVutlhBhnueTydiAdcRop5TT0hoRBgSoypWzWg6LSNNn/NzPv/CF/y4b + 9xPE4ZHjf/rbrzjAaF1bRqkDgCDYNrW7e2DvtosufOzznnXP2sqf/837m624wHJltNpsNsusSHjC + gVlrVVlxQgFAK5VOJisrK5c/7vI4jm+88caXvOQl119//ROe8ARKaZHlf/M3f7O8unLTTTcxxpJa + zfdbvRYCGJskCWMsSRLiYHZ29u5b73jn2/5idnb7Rz7y4ac85akjRCaqAAAgAElEQVTLy4tvf/s7 + yjIbyD4hMH/ffKvVOO+8C573C8+57LIr5pfmf/PlL9NSriwtiTBMoqjO6s5YQVmjVj998tSv/dqv + DQaDHdu3n7N792QyqYoiTdMwDO+8/Y6pqaluu6O19p7CRw8feelLX3rbt2792le+6m99NknPOeec + 5dXlLQgcfBddfkopQWRIVldXn3HN06da3UdffsVNN920c+fOJ179hOtv+Ls/e9N/raqKMBaGoTL6 + kd9CZxcPWSD58YJ/SJRWxhiK2Gg09u7dOxqPrrvuunq9Horg/PPPv+qqqz72sY+tra1FUeRr6LIs + vSnNkePH5pcW5ma3X3jJhSuLK+AcCmZLLLSM43BheekSuFSDPXLs6LXXvuCKxzx6lKWdqZ7XNvAy + X1sQu4Dx6ZmZfj6cZKmq5NzOnVLKdrdzw8dueuUrX9npdR3iwYMHP/OFz9dqtSiKyrJ0sKEQYtVG + xuyIs9ZJ5ZiI87L6i3e9954j6Uz3ymSYkcgmNX7//H37Dkxn2ei+lZPv++A//tPNf/Pud7319rtP + 3XH3nVc96fGxiByzYRg2mm3GA62tMaooMv8+mp2djaLIOFhaXPFZtUd54aZI/7/JglnjGNKqKH7m + iT+9Z9fu6elpKeXMzIzXP0HEPM8tuHa7PcmybDIJOOeUZVmmjI5rydqg/5G//dvRaNRst3wlYK31 + OFRjTC2Ky6JoNptFmpVZfskllxw5coTXeL/fj4Ow3WpJKfOiQOsCLrTWZSkpD9AxcBoREKlSFaX4 + 3Oc9m2HYbEyBo3FcK0uZZVm7UddOl1Xm0DbqydraShyHeZoGQRAFYRwmi4uLgYiEEEeO3vvpz3wG + nUWvymAt0O9k7Hi4mt8kYRiPx2NjTKPRmp3d3u/3Jz/K/kgPufQPxkFCCpSaiw7seuxjLqJSD/r9 + elyTWqeypEF83/zq6cW+EMI4qKoSEaIo0sZ56DugZwuAtZYRcuWVVzYSQYlrNDtZUS0srvVHRbqw + /iPUD/7fMrZKEutzL08xJEgIQUDtrHHKUShsvgrrGXBmhrKraMkQTJ0EIAC0ZCKSCgnjK/XBfXBa + Q15FWW7HhcolkUitpc4Rh4hAEGArN7IA3nmE4EapZn98aiSLnkqzAbEjYGFDKsWCBWeVsQZokNrR + cgWsg5bDMM+hhqZJ1mCimZVGErCgFaDzSiwOqQGwDhiABidxTDhNktp942PjcRonCYl4ZWRUC0qQ + pS2sAY4hZwItNdrgWfoIAYKUsh7F/X4/iZiz5bOf/ezxcDniwlmiTXDrrYeX10skIIR4mPcQY4wS + 95SnPEUwe2DfehQGnoO0fceuj378E5PJaHWcSmqTuA6bejM/gvGADo2vL7YObt8rVNJUuURGGTMA + QBgicdpUpdQbhTQ+EM6LxGoihFhZWfEcV3/ZKIrSIvfaH7iBX3UAzmugnG1smJ0AMMZkWc3MzBRF + oZXytSxauynCAgSgLMtOt5um6d69e0+dnN+3b9/6+vr27ds9LdmfSv6LI6JSirKzVE18hMMY48Xc + EF1VVYyTTrNdq9WM1nvau06fWhiNRkVR+WEIIWDRMkGZoLnUznGqTT2qJyRhjYRK9xu/9TIRseOj + 1boIZCl3If+5PXublaqHNWl0piRYVi32+dQapPnubu8Jj3vsgLrlw4sAtttuDXQflCtl6UUvKKVR + FBmtDx8+/O53v7vb7eZ5/vrXv/4Xnv4MP5ZJ0/Smm25KkuSVv/Oqdru9b9++O++6KxY8TVOvFIXW + GWPWVlfH47GgzBm3vLC8fXaOU/bNr3/rRb/0oksuuvg973xPSQVYF4VxwYqAh+lwnKfFVKPrnFtd + WlaVDEUwPT1dluVoNKKUamvrSRIFwWgwkGWZZdlgvd/r9V71ilcWVblrz57rr7/+rrvuWl9fHwwG + 27ZtQ8Rms3nw4MHPfe5zj370o9/2tre9+MUv/sY3vvEdtiVb1dG/2utP07RRq7/21a9eH66/5CUv + ueWWW9bX188///zFxcXnPee5iPjPn755fn5ehKEIBXw7xO67uf4jFw+3+31D3VqLzpu9AaU0G+ez + jW1/+Pr/Z35+/uDBg3Nzc+1O87rrrnvrW986GAziWoKUNFoNHnBt9eLiwh/80R8+6QlP2LN3D+f8 + yOFjq4N+HMSEkMHS4D3v/au//MB7d+zZXW+3nvTzP5vn2Rv/y3+en58fj8cb0sx2w1jGi7jfdttt + zbj5ile84p67Dz3/Bb946N57O53Ovffee8HBC170ohdNzcxc/eirX/nq3/VQsTzPnXPIKSHEOFeU + payqOIAgFvV2+CsvfsHjH/+zU9tnolMLi6O/D7vJ8ZMnCcVHXbj/tkP/o90U+87H2+769Dl7Z//y + fe/+5Cf/MU3Tbq83qib33X8cEcuy9IZfPAiYEHmeLy4sr68NEJEHodSWBQIASiURESixCB4uSDZt + znyX/2xXnTgQjAkatOrt33nF777/fe9bX+07bcbrIyHE0fuPJI26F08rJsVwNFK62j47uzpY8XKK + Sb125ZVX3nDjjaWSfozuX4j+GGg2mxedf0GrVq/X6+l4MjU1pZQ6duRoVZSPfcyV3W7XOHvy5Mlj + 953w2pGEMiWtIA3iWMBCpTNZOQqEMLj6qisP3XnP3XfdsnPH3qOH7wlEPRC1k/etTPW2VSWV0oyi + Umlm6oAgDh89vnvP3PHVI0mSPPOap+/fv/8rX/nKZz/7WeKo0d65EjZ5Oz5d2bDR3ixjIAiCorCT + SQZAhAi9HuWPqD/S2cZW9eKbkb4eU2qDgOS/hXUOjDvzH//Li3h11K33kUcJetzgT+IHFWSTEQEb + CgEWwDOCLKUU0DmwBoylzhIzkqOT5f3WrlPg5lzV29MKUdVj7piZ6AprjVIDd9FCsfip9X9WcixJ + RpuMERKxwBBtwWhnnXNgwIEhiBbAEQtgwTDfYieOGPxhayo/guEQJPE+SJZZgk4jWOaNermlgqE2 + k3xyaG3h3grNbh2RhELAwqC/zQz14WW7rsEyDTHlVFvtqKJMIVUGqSXCCQoWgwrASlUBQKPR4EJY + 4pija+urLBE8DBxBp7UypbOOU+K+K+r+md8BfJNIKaU5ZQQ450EQMEKtQQf0AeUb6x4Gu6eUskQp + pdBZpRSjxDkkdssCAM+cKX1vd/vfJOxZ/vLNisRu/IGtx80JwTnnRmm0QAmhSBkRSdgGAEKQMLQI + 2hqllHOW8WAzn7OIBtEi0WCpU5y4gCISQkTAu1HHGFPISintW3iUMrIh1uWs0xQfPCV7qJUJudDO + aqko4KC/ripJEKuyrEWxdtZaa6wFg+gdVNERQdf6g+ne1NzOmac//ela6+XlVW0cobySG6Y9W7yG + 76HQPdv7f9bXN8b3H7WW1to4rnmQfJpOLrjgfMbYiRMnZKWbzWav12k2m61Wo1JSCGGcTZodmZWd + ZqfMiqDQ9aRx4v77Dl50YH2wvriwcK4VZjScS+o1l9Yo1Q5LSrSj84NULq/DcBzV4ipNlaDn7Nh1 + YM/+/uoaVGZmZpsz4HPCsiw5Y7t3796xY4fvil55xaPf8ZZ3vOFNb9i+fXtVVTt37iyKYmVl5b3v + fe8b/vMb77nnntnZWWQb+tqMMTCWEBJHEaW0FsX1en12eqaeNFaXlof90bbpbSGLGTJV6TgItTT1 + uO4MLJxaWF/teypUu902SgvG11fXCCGNWj1N03otiYIw4OJtf/7WvXv3vvrVr454sLCw8LznPO/2 + u+943eted//993POiyw7d9++oii0c1EQPPfpz77xox+97dZbJ6PRiWPHzt23Ly/LLcoifHcaYxSw + kdSM0saYXrP3sz/3Mzf8z48KxmenZ44fPfasZz1rdnb2sssue+61z3vuc5974MCBQ/ce2nql+N91 + ptrW9z8ejoNENg2JQx4SQnRZefeYD3/0w91u98CBA/fff78Q4vTp01VV/cEf/MHNN9/snFtfX5+Z + me50Oo9+9KN//aX/VzZJ43p8yWWXnfuoR1119dVS6ihK/PXLspydnT45f9oivPRlv7W+vsYD0e12 + vSCY1trhRhKstQ7D8PTp089+/rOvu+66K664YmFh4VWvelUUhIKx57/wF3/31a8O4+jpz39GnudI + SDaehGF4Zn5MKa3Vaq1mu9Fq/vpv/EZRTrR11kVX//RjjOFKKcqcdYoAVtWVjVrsrMzz9TgWUuqL + L7tICLF7/55aEPemu0VVRFHU7/eLvLIAHkoHXhhdG6etT6y99rxHWHmH0zPL7u+1MkYrLRqrijKg + 7DOf+mdr7Z+/+c15nq+trX34Ax/0n4cQ4r2MLn/0Fbsu37G8uHjJ1Vffevvtt3z1a91uN44i5xwB + 3GJGefrN1NTUlVdeecGjDk5G46qqnva0p73zne/0k7G3v/3txpivfOUrN37spoWFhVLJTcYOtwaV + NhQ5gYAjCWO6srI8Gqx/7GM3IoS/+6rXffD9N60uVxdf8Jib//HGE8cO15MZSnlZrBFWdHvsVb/z + q/Mn1qdb2z/9yZuHo7Vz9++/8NyDe8/ZXeUFY0xw7iELZ9J/t17f/idaW0QjhEDEqqr+hT8S8+m+ + LwJ/+P2RHmbtH5SDFETC2nJlZeWzn/2sSfOlRQkGtAUIIKxBVG/zsCmlNBaSWgjg8rwglJNv4yAB + pZRRfuuttzYSYXTlgFIe5IUO4tbZbM6fxCMSG2q/uHFE+F61lgr5xtZhjNqIKKdzSIfFECmJerwW + BFBJRfNMTQYyj+skVSagIFkJXLqgAmYkSqkIWLTUeCUGCpQ4RgCtc4DW+MQRLaIlcLbDix/9cJtG + UJZ4vCHdYLw7Y42yFhyNaiEJA5PLue27QTulkIhg2Q0m/cIGGNVCVeQCHCXMOVSOWkuNJdQJBmFo + kWpNrOkvjagllLJhPmpPd/fMzKyQuCSVlFI77c2yKTptJD37BNSfPt1uNx2vEm4/+tGPElBJILJU + xkk3yxxlTQugtRbsITlIlFKC5gtf+EKvUzt+ZIODdM6++q233+lIUK/Xe43WoJxA8IPMZv4tYkOW + wFprnaXawOZEpaoqiixggVLKWAmCIQOnrDMYiJjSyBqwzlqnwUoAg54miFxVstPsDicDEXAlpda6 + 0ipJahtFkbXOOiQOwDKCaDc/wLcv9ENpN1RliYRUZdloNHRR1RoROqQWtFQAwAihhAIQ45zT1jiH + xHHK+v0h4vE4iJeXl4Mg6Pf7XiTANw39cenxF/SHbBjoD3REZ4wxVgFAlmVLS0tLh+8nhHAupqen + wyAejUZZlhEC3VZbWS1EEEZF3GhPcNLr9TjnHKOdO3euj4aZrs6/4tKvLZwajIZCiHQ8YXkRxdRI + 4wyyEDkQAQxoANYO+yMxNx2QoF1rRo71OlOLi8thGDvnoijayh88Burqq6/+0z/+k7e84y2HDh3y + Ey2t9R//8R93u93Xvf4/tVqtXq/X7fVW++veMj6KIiMVpZQ3m15ZO8/zRqNx6r779+7d26zVPYbF + OZeEEWOsLMs4jj01etfcDsHF2ng9T4soihhjxFoLTimVJEmWZr4RfMMNNxw7dmxxfsFr8CLga1/9 + mtFk7DkgjUYjz/PxeDw1NfX4xz/+yH1HwjD8/d///U6ns3fv3jvvvHNu506T6+/Yhw+ftCilarVa + nmZPfepTb/7sPw+HwxMnTuzbt+9b3/rW29/+9re+9a1SyrmdO974xjc+/elPv+Gmm7q9jjvThXbT + OumHboK0NT7zTXdjDCVEa33q1Kk3v/nPfe6I6BBxPBkCwOzs7DXXXLO8vDQ7O1NU5de/+Y03vPFP + tNaM0oWleQCysrzGKXfOGeO01mEQA8Hb7rpdWwNowzBkjH7+y1/0Sv8bQ17ndXtgS1VpcXHxFa94 + BQAQB2EcgQNlzLETJ377t3/be7UKIfxyeb3mLaa+McY6l+flb7705dtm58KYal0i4whUW4doAPXW + eUgcAbQICgAoxkoZQggQNx6OJvmYcqat8doMdoPpAdZapIz795y1hJCt2RcAeDckf2P//4wO0EEk + AuYoMRjzaH157frrr7/8ksu+dsvX/vSP/nS6Mz0YDBhnQojtvdmFhYW/eMtbKSUf//jHDh48uPuc + c+68+66pqanJZMKFKMuS4sZwRitdr9cXFhbe9ra3EesCLhhj11xzzVe+9OU4jH7pF1+QTdJnPusX + giDIy8JaW2s2VlZWur2eMqC0ZowVedZsxFWZKpn12t1IJBTCv/zvH3Gq3QjOu78/+Ku3fyuJf/rg + XHcypBRJuz6qN8svf+3G/nxjuCyuefIvPfOaZz3/BdcEjHmdrkBQxnlRZNZaypASbwCnPFDbGOOc + RY8HQnQOjHGI4I+WU6dOzc7Ozs3NLS4ujkYjL86T53kQBD/k/kiOi/+PvfeOsuwq7wW/b4eTz40V + u6ojkpDUQhKIaLAl4YQtE2dsv0cwvMH2Gpu3nu0xxjDYgAMgnECP5/VsjBnG6zHPGDDGZjAGASKI + sSSEhECo1bmrK1fdcO49eaf5Y98qtULLNI8geHxLq7ukurp16+xz9v7CL2QTKP9uNQiPzsmmlCJS + IMR2NKQEh4KUQDRY3WYhhJSAhMFO18Mu+sQAx+I/tXIdaijVWte1JhQIM1JKptSOU/ZDP8MufNTe + /ITRC21t/yC+kdj1QjGwq4lsAIBSbkCiAdd1i6pAQ6hLS5VzzzVopCnHVeXoqjaVYspz3bEY1+DK + Ovc9v6xqRkjD6xw7e9/c7CIQ1FQRRAa8LCuRSs8N8nzcnG6OxIhw4JypWnPOq7xCB+DBXZ4HAEXf + j0E1aAR8sCnNRHmd0ywtDaGyVBww5KQUlRt6hpkyK/1WMCjHRhScoxCKaUoIQ6BGoc8CprjMpAON + ff6cHsmbXvdb7ahdZPn2YPt1v/+6S2cPq8zkNMtJWtFKEQNGIipGyAVfZwTrXpKmabPZTAYrUUhA + A6XUJlGu66WlMJTsYAqoAa0VwG7qY8AYTQkCQKfTESITAggCRSiKgrs+pdS6SRNCDKLNsL9lC/Dt + DGJASek4DADKugriiBCipLHy1kpJjcTzXQCQtZidnvnNX/+N6551Lafs1JkTC/sWxllKmNud2rO1 + NTbgGeDpuJyabgCaWqRVOZ6fndre2PQ8p5ZFJcup2ekX/i8vEEKsrm/aDEEI4TgcEcuy4JRoxHaj + nacFpbSsqyAIbD+OOhwAyrIkO5LHltdqpCTEoNFTrWaWZVQTWVa3fv4LyWDoeX5RFM1me5gkvu87 + nlsUFaLJi5Rz1my2hsNBHMZpOkbEbrf71re+9YMf/OC5IqtSKUIfcz0RRLSIDES0wMJDhw5tb2+D + j/1+v9udOn36tKgVANR1XWTZ8WPHOGdCKicITy+vOejce999zGGmlkdOn7j2iT+JoXfHbV+65IlP + KL98pC9q2mwYoBVxCpUHYVxRLpRo+CEYAk50Zmn1yscdOnJq+a4v3dNtNtJBtra5ZRH4llJhgfq+ + 78/Nzb3jLe/4o5tufN/73mdZDIyxRqNx8vgJx3F++3Wv1Vr3er31jY1S1GEY2uIn8oOqqghiXddx + EFJKNzY2LI/ANnYX9ywOBgNLELD1WKfTWV1dHQ6HCGih+xYtWdd1v7d96aWXrq6uWur12traV77y + FSs6ZUs4AGg0GoNkaAFESZKUZWlFp1zXPXLkyEtf+tLBYBC64a//+q+/+tWv3tzcjBuRPqd6gX9r + /7c0mbIsX/va17a8+Pbbb2dI3/KWt/zcz/1ckiSIGIbh6dOnwzCM4ziOY0KInBAdH2Tr8h26vR7+ + +S/s5YZoDe12QykVx7FSoq7rgwcPHj9xdGtrqyzLKIocxxln6cmTJ9fWVjzPE3X5+Mc/vqrEqVOn + qlJw7jjcy8vCYa4GY4yiDldKSCm5wwCgqmt4EJR3J0l88DXaxdQ+8NHwQU2X3StrVVzsKKyEqp8M + kySpRVarkjKitdSgXI8pJQA0GmJx4gCAoAwQrRypweOO67pS1ZaL8k1d6m9N1GVVV5XDeVWW7WaL + IqGAoee34oYQwkHaiBvjZNRLMxDKozyr82YUR0HY7/dlLezT5QfBKEstR1BKmaeZNWtyXdfnjhLy + JS95yR133HHPPfd0Op2f+qmf2tzcfPe73z0ajf6v//u9J06cKEU9PT0tpBRSEMoIM66HSJTj0tCL + wMhaVK1W+76vHzu496k/8swfm2vD0pFPb606gbMHZMyQg948eu+dobv/+h+56kevvyqOQCj1Mz99 + w9zsdCnTPE89z3NcDxEbjcbG1nqappzzqBGXZbkDA9jlBjyo2rSC3Tv+SLOMsc3NTQBoNBq72Dl4 + rPojVarE4PyuAo/EQdJbSojq8EWzP3r9M8pB8qxnElXLRqtV6FohPXFmc3mtzxktK5FlGaWEMa4N + Gm1higbAICIlVGvx5Cc/OfIZQd3pzmRFtXR2fXuQqV6qkCMKpRQAtSh9+tg7OL8/w+yqOmvACTp3 + svUZAMUmwsQI1GhrmWTACt8pMMoQaVAbNFalMmAeJwFXDgUUZb6Rb7QbXSGUMjIvM6WUS/09nT20 + wRzqxiwaZyl3mTYqKzOqGPEsuOLRsJrfZ4FgmPXj2TlbFBJj0WSMG4PNdotrTgmqVJLaVUmunYq5 + zKSVBDAg/UZUi1KjYWAYEgZGaUOUJJIwAr5xppzZWlUscWUGHkZTQDtmpgUd1VcYIPc5UC2JEKiN + kcYgIr0wpXUNSiknCAtZWlvwZzz9sO+CETIKm4Nh/fWvn5ZDoYmT12KSH+8s8e4ei0gAlOM4+/fP + H9g395QnDbSSdVa0u92o0frYJ2/Jsnx7nNVUe0Fgmz4pfC/4p6H2XQ4AWVFw19ne2LSS2fPz81VV + McZQgRaSIpFKl2Wppek2u0Wa/dZvvKaoys3+oK7J/gNP6PekkoHjdusKKeHJaIs7EPjoenWRL4Ux + OD6vZfGmP3jD2bNnG41GFAXD4aDT6UZRNBqNtNZBELz0xf9+78LC777+DVLoubk5JuXTn/q0P3jz + H3rMW9ta+4u/+IuPf/ITUkqplO/7FpzCGDOgCGCZF3ky7rS63XZnfXnlP7z8FTYDiqMmY+zMmTNC + SZd7USMGCsPRAAC01i98/gs+8pGPUEp++mduOHjwoJURspgX3OmjfXcBk48Y9vgDMEbjcDgcDoez + s7MH9u8rigKRRFGUpYVN0pQ0qI0WSmuFABQJpcgcrgCAgea01PK+E8cKIyEOVoqkbgX3ytwVaTck + wgWRDwF9nJ5qzHRgfv7U+trJ5ZXZrT5n7lR7KktGslDNZpMQMhwOrf+HzaMOHDjw3ve+9zde/xuf + /Pi/xHE8Nzd34sSJt7/97TfeeOPCwsLU1BQAWIpyv98nnNkFtYWflNJifKSUW1tbG1ubV1/zpOPH + jwMllRSVFvOLC6dPn7ZmlYPBYDBK2lPduYU9paq3t7dd37G10Oz8XLvdXl1dFUI0Wk1LnyaEnDp1 + 6uKLLx72BzYbqURtc6GiKCy5ejweLy8v//M///NnP/vZpaWliy666DnPec5v/uZvaq0bjYbUj9D7 + eJTjQErZ6/WazWbgBc//2RcwQpWQH/77Dy8tLb34xS/u9Xqf+synr7/++pm52eXl5Wazub657rqu + ZXTbwcyk8/JdOnEumIFHCPnMP3+6MCVFqozKsvHNN9/8J3/yJxgSDUYZPc7SIPCUUtLobrfruTzL + xoSwVqu1udVzPJcgdQNfVrUCo5RygQHBWgptlJV5gJ0rbjPC3VwWHtZLt859j/w5DVBCBBqrnWkI + agNS1gSySikD0nEpISCUAQ1SStAaACYI73PtASkiGmmkridan9JooZVGoi0hBsykUjPEpiffviAT + 8igEnsspiXwvH4/SNBF1uba6HIYhGiVFxSlS5hCtCGDoBL1er9/vR1F01VVX7V1Y3LtnwVDSnura + zoQQotVoTnT2pGoEIWjzohe96F3vetdTnvZUpdR8d89dX7n79OnT119//Stf+cqbbroJGRVCOK5r + iKlNQSlVQnLKQEufk7LIu53ocYf2OtyEAfEX4LYvFHmmPKfrOS2BPEn6rTZeddUTbv7s7c0WHLl/ + eXZxoagGc/PTVZ0FzNt/YO/c3FxZScac2dnZUZqQXq/eqZwnC7OzdyMimAd6DNb1YccfqdFut7Ms + K8vSfO/4IyGi2SFNGWMeXVnbdV1KlWWI1nVdleVokGz1esM8ndmz14pGiIpRxgnVSlnZ9HOcP3Ya + EEVRpGmqajRaGKB5WQ+HwyTJq0oaCjuXa4eu/j0MofmeDLNjnaknGwyZCCVMrC21fYFBAFNb7hKA + tIJYGhCAcc2xJGJYcGMaXigNqYp8bnp+VI4MM77vu9SrxhWteL5ZSmo0NYwxHkYjMfQclxgiZOW6 + vFTV7u3zfTw4skENoFFk4l5qNdaJQGIQlWBVIZrc3Vod+KUTg2conyPz877f294yles3uisw0jWW + UkdhVJcZoGZIjFEoDEVBKQJWEspMlMznSmqChLmOHb8wxjSpBRoDSmihiUQEIAT0BfYmKNretjFG + KyWEruuagKnzAgzNc1nXtVIa0HJgNCETCTb7f+POJmvNHaqqSpJk0OuB0SBU1GjYM/rhu8q3aAUu + OMgF3Y8IQoiqKoI4arZal19+6ZFjR10vGI1GBKjjOEpIUJoxJggx0jBkLjI/avbWxr/35huf/kPX + rq6myyvi7z/4uaNHkqSPKudxsxtG0Gh6exenqb9x9ZPNDS94Ul6lv/Z/vKrT6VBK67pUBucX9hgN + mxsbVVUd2r9/e2tjbmbm9OnTs9MzCHRtbW1ubu5P3vbHr3ndb585c+aJ1zzpVa961Sc//Skr3Lp7 + HgGAlhoJhH7kMT7qDVRRLM7OH9y774//6E/Go9EoSffs2fOXf/lXt91xe5kXDnOGabI4u5Cm6Ste + 8YoXvvD5r/2t1/z8z/88p1xKVZZVVVWIyDm3QJhaSY84/0ZPJKAAACAASURBVOZV/Oav/4WHlIoQ + FEJwToMgSEbVysqKUmqqe6jX6ytpELGupTHIuWuMQUMIYR7lqI2samAGOJYgQ48lVfqmt/xhe65z + 1dWXffYTHx9//fjUD13zL6tnlczCdJQOxpzyixcPe21295n7//6mt33+q1/98vGjV/74j5ZCBEFo + KkkIctdNRqNmq7W8vHzRRRcNhsO9i4tvfetbIxK97c1ve/Ob38yAffxfPvahD30oCII///M/P7Rw + sNLVV756z0c+8hHO+Z49e4q6yrLMcZxzdUGUUlEUBFH4lKc97Ybn33D48GEv8t70h2/a3t4+depU + WZbCCGPMeDx2XTeKok9/7tNf+NcvrKyt9YaDdrfT7nb6w0EcxwZtmYhfvvuuN/3O733m07dUstrY + 2PiFl77MIHDg/X4fKb3uuuump6eTJLG36MmTJ2+77bZBkkzNzLi+/4UvfrGoKts47g/7uzkDfgMi + DY7vWQTgxz7+sZMnTwKAw/jK+uoTrrqyOz31u298A6WUIz96+tgtt9wSxvHs7Kyt5Syv2yIqd5Ev + 3/m44AJJVPWlV15OGFpz2De84Q2tVotzXmQ5AFgUE2MuImqlO91W6Hvvf//7Dx583L59+wbDoSGw + 3d8OgohyRhGLqpRScm7terRtkJw7ODrfBMnGxN38PM/k7vuQndBaa5CUGUKY1rISihBikQaEPmAc + Tnb8EDWCNgCUIBJtjMGJP/137wAwQtUGYZyltRRpkTPXiaNGq92enp7WWlv7uSCO+v1+PxkCmFIW + zUajFTdW1tc21tZWV1dPnToVt5qu51kSp5VqsCjYfJwmvf71115X1/UnPvGJMAzn5ubWh+t/+qd/ + CgB/98EPfOJjn3jd1us9zyurilLKPJKLhFIosiIKYpC20Sm3tnr33vPVH7/uhVpDVcPG+kq7Ew17 + SpOCe7rpEmTFRu8ModX6BgAoUZeh75d5gYgK1HiYZFnGuFcURZIkjLFWq7W9vT0ajTjnjUZDKVVU + 5bnFw+6zauufh/ojJcn29jYiPsb9kVzXFee/tR6Rg8RdZjlI//AP/8ilWl/TkQ9lDW4Md3/tNHFZ + 3Jql1JVKeb4DwIuiBKQIDJEgErBSs0Y3Go2jR496HIq8JBSZ46VZ7UcdKSUin+yAOLG7//5Oix+b + oR/4mxADAHRSI6GBiQi4BgAEgkYTA8QQYggABwWgmUfiEFpKyCaELR1ldUopJqsjiLSQopK1orrq + y0ZEfRU2nAYP+NnBGRMIBKAu1VKXZRk4wUM+1aNszt8fQY22jpwEQAHRAAaoAeJ5TWZUx5/uzE/P + 0U5UO5/4wEdFr++pfGq2ffW1T2t194r09LYpgLBMlg4orQ1hlKNWUFGigWJhkg2xNjLl45/5eJ8H + II0QVRWUy/UZ5QvhCMmERKlBaTSIeKEmOQCTU9D2ZZrN5qB39tZbbzVKBQ5kKTiujxjW0kVDEVEK + yc6jWsYYU6pYWVk5deK+pVMVQXAJzMwd465PnDCKok7cHFYpeBO6Drj/gxf+OxFowPM8RnBuZnZm + fm5re/tZz3rWkfuPIWIyGAkhrEaK1hq1IYBaKVlLl7M49J/25KeISp04vry0pD/3ma+1oitCbyFy + 2rKmaT6uU9AVq/XoGc84yNDjRAyHo2az1YjiZDxERpeXl6KoMT093e/3l04vHb7i8uc99wUvfclL + Ljp40eLi4uc//3nH806dPtVqNP/5619/znOewzmXVW3zmbIsrcKwkJXjOEpUVVVFYUhahhFqjCnL + shGEW2vrm2vrP/xDz+w2Wi5QZA4jrB23RFFGnn/LzZ/6pf/wv5Wi/IWXvezU6dPtZsuKu9ZKSimV + 1tThnueBesz1whAJAEqptQbPDcbjrKqKY0dPWGgZANS1mFSPGpAwo9EAqEp6Lu92p/cszhswJYgf + u+En9j/xkhNnTvlRfPiJ1+jFAyHnlazrum4HEWoDSgdRLJDmSueAP3xg77PDn7/2R6//wPv/riiK + WgrG6LjftzO9Q4cO9Xq9MAyFEC9/+cvX1tbiOLYcIVWLqqpuvvnma6+99q47v+x5HlKilFpcXOz1 + +4ZMnEVsdmGTMYvST9Px4sG9Lz30sqmpGUIgScae5yDSsswJYYiGEMY5rWs5NzeztdU7dNnF1/3k + s48eP6bBBFEEABoAKbXeodc88yn9ft/zvIX5+UrUyXh0zQ8/udFqzYThk570pNnZ2e3tbdd1wzBc + XFyklH7uc58riuLMmTM33nhjo9GwYL9Gq7G7EOe2Uc63WHmeK6XSZvra//N1cRgRAAL4qle9Ks/z + d73rXffee+/m9taJEyea7XZ3ehopSZLEJld2TmhbzN9FVcwLLpAajUYUNcIwBIBaVlNTU8ePHxVC + TCoQBGmkAscgCFGNx2M0WmfCGGWpIEIpKaXUgrsPdCYmvXODk2Po/PEQ5uJOb+8b+uS2yaqJQUCD + xAADg2AIGivB+sAZr4EBIAADAxqFQaNxgkd6QOLWcp4AwIABNIAI+O320dQIjsP9yK907cW+MCLN + x2mdbvY38zIjhASRPxqNSEkM6qgRJsXY9700TS0SLEmSIAja7XZeldu9HqXUNizt6KOua2LAc91f + eMXL337TO/KyGCTDqBHPtuaS8QgAXNfVoIuiKIoiy3NjjGHaj5EQHA1HDnN1paqiiLzA51FVqPm5 + vY0G/PXf/n+f/NQX5qafWG4MOGZpXjquNtV23ChbXbjv/mMnT9x61ZP+nef5d9zxpfmFOaHVaJSu + ra01W+2iykejUZqmUaPR6XQ2ttarqnBdvmsO/uAgAJoQssv2ebA/Umm14/Vj2B/pm5jMKGUoYcxx + HcaYkAQLKaAUUAxhep41ugvSONlYEsYJsrxIbZ2DMBlS4c7TV1WVlhI4Ywwo45QxQiQA+L6vkBOi + tNZgCOhJFfpN3L0/iG8idows9WRrmcCrEA1Bs7MB2gE2ajSABqliBAANQUMRgBjiaK5zdvHCoZm5 + dsMETJme6ckWvvfjf7P/GYeciGqtXeLtmZ26xLss7MYIBMCsrq+IvI460ahMjDGR31RCGNRg8DEI + vPk2hdrZ5nefTItkSIdDnevByHSg0Wi5l7ce9+lCtv0mFkSPZYzBFLRDurmWDb2IAwUjKq2lMWiI + BgBNiFEw1EPFSdEUV//sFbHf1JVmSLQv7+7fLd2qZpVi0jANlgKrQCpgF3rhpRZCMD6xNXMcx/MA + dNGMYs4qymKlXJGjQQQDOxJVCDuGSLuAu6IoECrOaBAErVblcJDFIxTGD8y9v0ciT8cGtOe5N9/8 + yfGg//X777v8iis2t9K9+xbqShKNxEAYhh7l3W43jn3KawllZ9ZrzzibW+UPX3dlMoS/fs/N83sP + biyT8UAEftyI4zzvZznsO3Dgec99khcCFrA4v09UNYDudtvdmemq1pub24SQiy66qL+xdc0112xt + bSVJ8vyffh5j7I477rAcm1e+8pW/+Mu/1Gq1Pn3LZ7rdLnV4VVWtdttxnCzLuNOUUhISl3mhQRHO + GGUAcOmll1rix6Dfp0BEVWkpOeFKqmw88sKAO/yKSy93kAM1cRCLSm6srg37A1HV1OWcc5BycsY9 + tqzBkVGOiA4nVV0kSRIEnuN4YRiOk9T3Q8u98TxujKlrYQwYQwCQMSqNJIDb25v/9LF/3NhcVWkd + hpEyapSOT544Foc+irrIcuY4jDFGKAFjjKmlqmppGPOjZtzurB09dudX7rr7y3el4yT0PCfwie9S + Sre2tprNZilqqmkEMEySqampIAg2NjaUUocvvezkyZPT09O33357FISu60qtut1umqZFUczumS/L + sigKYwwxYIxxXbcsy8Fg8MlP3WyUnpubO3HipOe5nuc7DqeUpemYMU4IOo6bpmOtzcGDBwaD4WAw + iBrxVq8nteacJcmIMGpBT0EU+kHQRgjDsD8caq33Hzy4tLQ0SBLuOn/5V+8qiiIIAiGEnUo5juOH + QV3XZVn2Bv26rg8+7tBgMLD0xIc84I8CJQiCIM2yvCoR0aof12V53/1HwjDkrvOFL94aNRp+GG71 + tg8cOHDy5MkwfGgP7rsbF1wgZVn22c9+vq7rwAlymQ2Hwz//83eura3t2TtPCBZFBjvpZhiGZZmD + Vk9+1lMazVZZ5nVd10o22y3bfbfpIKVUa1XXtcM4Y4ywCfgVdiB25vyqBnZ8dL4neHeznsgfawWg + HYpCSZSaM9dxXCmlFNoOiB4wHAEFBie9WQZaayC2fw92+vldPACkVmlZ5HUFjE7Pzd5/8rgfBkjw + x3/qOR/96Ec3+z3HcZrNpqwqCebvPvCBK59wxbOvu76uqk9+8pNW/35jbd2NgsD37bDOgussPnWq + 3Tl44MD8/PzNN9/c7Xa11kmSHF85/upXv/prX/vaDc977s2f/bTn+3VdO77n+77UohQpImrFVUXq + UojS1GiMpo7jfOiDf/v85/1CdxquefoeQHVy+evU78SxU4tsc+0Yejzq5G6QDUergPVtt9351Xvu + /ekbbnBJ6IcNpU0lxfT0NCEkTVPH8zqdDhCzvr5u6Ym76A8bOxgPotW5/kjejj9SY25u7nvCH8m4 + 5721HpGD5HiuFPllj5u77oefUY9Goe+hxmanvTncLoS+/+TqyvrA87xKyPF4TBlybjlIaPQDHCSC + hFJ6zdVP8R1EUO3OdF7Wyyub/aRYWR8YSu0EaQfF+AOI3Xc69K6KMmqc9HSseSsB0MRYFLKdIymD + xipZgbE+s4wap+u352H6AJ9rgk/BzPqtCowZq8iPaqeuSwECRKHuuP9L7jgAgRWWrMsJBkLnoA13 + GKe0lv9zrbsBUMTqm9srr+mkKNWNOOYhoyUJlc7SzaHj5MVmszFFibOd9M5ub0xfdLHvByaRptQ+ + Z8KWuRQlwVprTsGgkaCUSZSvu1NTohIiraJuNy+zQdJzQ0+glkYb1NQ4FCg1hGj7US749PE8L8+q + wWDQjKOnPvXywENdizhqDYb1vfeeGheV2WFvPuKbG2PiOA785sEDc4t7pkaDPkFQZd2ZmlIGP/6p + z+V52UvzEqXnB/ZMGUP6P3z5vxPh+z7jNPD9ubm58VL/8OHDCDgzMxNFUU5yVJRoQwgBSn3f930f + 0ShQrW6kQFSy8hrgBNAfJKjXPH6oNTVTl1jLmjieAdFsdcDAYFATh05NzRBki4uLzKFZmVNKLr30 + kjKvHMr9hYVrn/Ujx4+euP7a6y677LKpTvfWW29FxMW5Pe96z7vvuuuuV/7SL+7ZsyeO40qKMAzt + VNDzPO5xA4pz3mq1oiB0CN/a2CzqKoqitMgBIIoi21BhjPluUFbV7PTMcDgUpjxx9ERdFmmRE0DQ + es/8fLvd5pyXQtRCKDCMckSw/hCPqagqYYXa0mxECBNVHYYhY6U9WLXWruvbI5szFynXWmtAIZTr + M0aZ0vr4qePrZ9d87qwtrR48eJC77kZvI4gD7nqikpw5g2RYiipuRpzzWighpFLG9/1hP4miQCvR + 6bTruuwnfT+MLI1HSnnxxRcvLS2tr6/v27dvY33ddk5nZmY2NjbKsty3b59lOlRVlWapFwaO49hC + 144EbT6T57nDueM4qhb33ntvraS4+8udTkcIYV9JCNmzZ8/Zs2cJIUEQ2LnZqEizLFteXjYahJJa + a1pXjVazqCsFxirrbva2u92uRdPMz8+neVbW1aFDhwgh4/E4SRLb87VAJJur1HVtATtpmvZ6PcbY + bm/6G8mBNUJVFGEYnj17dnFxMRuNx+Oxy7n1aGp3u+PxGCmJoijJ061+L2414yD43obYcc4vu+wy + x3GiKGq2G0mS1HW5b9++wahvx2HNZnMw6BVF1u52gJIsy+bn52shz549m6apRmj5UV3XQJix4qGE + 2ILKYVwpVYlKI9BzZBrspVEP27gNTiqa3W88pFKyCSUiGgS9o8jHGOUc60qXQnCitDagjev6Su66 + aGtABaABhEEQRiujGXFclzNCKaVYkEdRd/m291sIEofF7ebWoHf4qiuPnjzx1a99rdlsVmW573EH + W3HD3kzj8biu60995tNfvuvOQa9/511fLqvquTf8TKPZjKJoVGS+70splRSEEOZwpASNsXYZv/um + N3amp3r9/mAw6Ha7r/ud11977bXX/eiz19fX3/Oe99hZkxcGZVkqbQhpgCGNsKUEaklCP6qrLM/L + pzzlyUeO3pX+7ag3yJNcTM8evPIZuTQSCXddt9O8dDBY27fwxE/e8jd53n/LjW9wOF555ZWPf/xl + EhRB1my2B6NklI6zLCuKYmt7Q6o6CIKpqaksy5RSZsdxfKfHSSa2McYQOiljbLUzHo8BwA6I4LHt + j6QrIc7pCOzCSx/ldhBCKalFrfI8H25vbymZj3M38AfZuNmdqStZFrVWhjKHc1epyds/vANUFEWW + ZXWhtKq1IUUlhsNhMiqrqkJOHoDYEQQw+gLt3n8Q34KwEnaTCRIhkwkSAhBlCKABQxSVklYagBpE + TagBqhlqAEOTwfDWY7cc6TlxwVyghSPyrjlz+uTewUFRa2JI4MUqNR/8bx8eHhvpyjgxf8WrX0oU + qdKCBhQA8jwnhBn9APfve2hQ8M2FIlATanDig8SMZFoTADSgihwMlaWOgw7wMpFm3xUtn7nb29X8 + JReJGecMbJztLzNOXEBSKaaZAC0py7UujPKQOYyg1tqABpOJYjQYqVopphQxLPbAIQAUNIACIwkY + hxkXEYXJDF6I5ziZmJyey0GiCFWWI7AsE2VZSqmQ853t5pHXdDQaiRq3t5kS2ebaKiXoEmYQG63O + LgfpIaj470pc0A8mBuq6rmqdpmm73X7Wc6/3fZ9Seubs0vZWH4yxBdKwPxCl2NzcHg5HpTSAmIzK + 3jBD7n/xi8coOdSdnW8G04OeMDIHQzkFL2BZ1qt14+67i8uu8AkR6yvrGxsbp08vlSIljAlplDJl + XnnctVOFx1988VOf/JRmFJ84fvyHnv6Mv/+HD29sbbz3ve8dDAYbW5vv/9u/W1tbK+rK9/2qrpVS + rutKI5Gj1rLIykG/P9uZoQZqKZZXVmwPzvd9BYhAy6IWlSzLkrtsz9xckiRzU9OhF9R13Wo067Ia + DAZFmtnkgVJqzRGFqN0L5CB9WxfeACg1EdxijFhQ+rDX9zzPHt9ag1KKMwMGCTKNoA0CUsfhVV1W + VSW0EFqVVc4oOg576pOeuLG2uby8OrN/MUd1+vTS/tl9UgJhXrfd1hw3e9tG6cAN2o24LsXcVNfl + Tm+wJapC6iqM/GSUuF7Qmeo6jnP77bfNLyws7t933/33P+Hyw6urq/v37x8Oh8hNo9G488479+7d + mySJlHJx76ICc+bMGSQkiCOr0rQ7r7MCIb7v10qWVeX7/uramu/7ZVnOz88Ph8PReOx6HgBwx4kb + jSAItDHaGMoYIZSCYynQGoFxjoh5nlvd8HGatjttm7xlZXH4yiecOnXKdjTaU900TQMezMzPJUky + HI84581msxai1+vt3b9vMBgQJZ2JQsaD1+X8B4HjOGEcbW9vD4fDTrO1ubnJOR8kCaV0bW3N87zR + cJznufVc8X1/Y3X1XJEGm6rRR/qh35m4sAIJDSitWo3o8ssvv/Ouu1yX53nqOM44H0VRRDira+m6 + rkMd3/cPLh6I4mCq1bH+MN32TFVLShlz+DgrrMLyxCvGTFjm/X7vyLGj5zLUJ+g7i1/biQmwBCbj + I4sRPzc0AmozkXsihBCiDHBCXO42G435uT2eF0hpzRZRSwQkaNiE3IyCQA0obEaC1BW1tHlwkiRp + moqiRHstdojSD/7n23s2KNCGQJrnn/ncLVdcfeXCwp5snLVaze3tnus6FGmSDFuttpSi1WovL51p + NZqNRmOcpVtbWwv79/39P3y4rKv5+fmV1dUgCFzOrO4KY6wQ+XA8OnHy5B1f+lIYho7r7llYCMPw + 1ltvvf/oUYIolbL2Sov7962srMTNBkioaoMamo04H6dSmrDVyrIszYtLLr/sqidfXZYldzykFAir + hQEghLmjJO20Ghvr7r7FPUW2GEdBNkqUlL7vn91Yue/EsSCO8rIWUs9Mz9mSO83z9fX1vfsOdDod + QliSJIgKd9wJjbGTEGtVT+xUxD5a5/dHIsbgt9ofadjv1wA6iqJmM2aMDIfDulbfuD+SSWWabz2w + 2KjPvZ3O44PkIags6918881M1utrMnAhLUAicP+4FwdBPEWQGNSu69a1EaJGoIAMkRAEMwGeat/3 + jhw5EnpYlhVjJyn3h2nu+x3XdQ3lVam1AjAKjAEExuiO5PRDRgqPKTzG91c8SLtMa9QEiAZzzhIQ + AKJBKaLBAEFFNCjQBIhGHcTBqdu/3jtZdyrXBRhCNuyqg/sXPc8xTMpSyKqOnZaWJvRCDZAWI04c + oTLfD5Glhahc6nDGZaUehZL7/RYGDYKGXQcoAqDRAAHNXUqRaSIEKwaiGiWD2Sv3uG7optNeo7WW + 9IqCZDpttKMsT2QlOUNAisA11EoZSYxDGKGKuw5URV2XfsMPvbAUZV3lvusNsxFhSClnyAghRBGt + AIm+YDMqA1pLO3VvtdvJYOWO2+8EU0YeS8eSMh8gQOISApzyqq4d91yNop2rgMb3XcRqa2tj5exo + 6XTlOUANnDx9mnKXeo0wDDuN1qAcG29irPcwDtIj5jd4fkU+AqDBkF3NRosWefQ/4QIPYI3AHbcs + 87X1jfnFBUJpUZRbW1t1XZdFHfoRNWC04ZzLSsq6BADKHAPmzNLa+vrmwt7H3/6lzwMcA7JZ6ePU + aXpRVZVS6TJsRYPsvqU19Z6/+Ycb//jXXIcePXGEUu774TgbteKAVEIrCP3IZXxrY+s1r/mtPM+z + cfp7b3xTmefve9/7HMdRxlx99dW33XH7gQMHalWPx2NkNE1TQqnFaZei1JU2BOMw1Eq12+3xMEFK + Ty2dCeKoUlIYXWnpRh46RBlkHveDIK/ycT7242A4TohDNrY2O1Nt2yXknGstlVIKDCeUU3bh08pv + bzAkxPUBIMsyKaXlegRBUJU5Y4wQVlWV5QxTSqtKGAVC1ZwRbQx3OCLlBNFoD3k2Ss9spZTS+cXF + JM8zZvZedFG6lUR+oBFGVaFqBYy22i1UOEpShoQQTIcDSkkU+JvbI9d14zhOknGn3V5eXt534EAQ + BCsrK4cOHTp16lSn00mzDADsDGdx/z4CyF0HKRmNRoNR0mg22+322uZGXdetVqsoCt9xwzB0bHkj + ZCnqZrdTVCV1uON73HO3B30hBFDCPdcYU9RVXhbosLQqai0VgaIsoiiy1GjX8+qyHA6HCwsLvu9b + kH+W52urqxdddNHy8vLy8nIcx1JKKaXNlMqypJQWRbE4v2d70LcCvLOzs0mSWNGBuijOFQj4RuqW + tZXV2dnZUZIMx6MwDBGRu07g+YTRLMtarVbcam5sbCitkyRptVq7KK2dWv27yXE9b4FkT0HXdRlj + 22ubvu+HQTgaJo5hv/jLv/wff+U/bQ23Oq3ObXff/rtvfMMgGUqtayGjuNHvDzut7uWXXva63/7t + ++67T0nd7XbLstQakiTpdKYGg4EfhlLK0WjUnWoLIYp03O601tbWfuwnfuKmd/7nz3z2lizLDEHO + ORIihJBGG21836+LstFoDAcDQghDIqo68HyjFAIUonIcRxN0Ax8I1mWl7VU2AFJFjluXlSnEW//o + xn4/GY+yII6Y66RFDcgcL6qy2vMCMKLME9c1jMphsnXJoceduH+pETWFqp/6jKcvzMxXUD/r2h9y + kMqqBiW551ZV5Xl8c7MXRVGj0SgLaYwpiqLRaBRFobW2NjgWYbUD8j6H33aBC0YBjRSckne96y+K + onJdDkgPPe7AyvJalo8RqC0NCQVr8PzwO4s4fDAcemGgAbSUiKiEBABmzRZEHcSRAZBGS6mrZDg1 + Pa0RwBigxI9CALC+crIWAOhQBwgps5QQcFx3kI54GP/xTe8EsLM4Y+xQbieN2xncEAAwSHa/nvx2 + hIha+o4vQQdBkKY5GEyGY+65LqUbG1txHLZaHc7dza0tQggYo5QCBITdshomADxAo43SO1qDoM+e + PTM3N7ewML+2tpYkA88LHIflefmI/kiMMTv+Xl1dPdcfaZSMoyiyE6fJb4QGEbWWcRwaY0ajYVFk + MzMznW6DEOj1BowRzqmF8zqO43me1toK1xijpFSMsbous8xwh06HXURj1RSVUg5zwGghhOv5yjy0 + c2wrLlHnnaiRjbd93zMmLUogFDgDxoExYAykkVIapQARKRJj+Uf2JkRjtALUgFobVUlTKyDcOJwQ + gspIrRlS0FobrQERGIIxUqrxeBxAMLmT7fAVqfnBZOlbHQYm2pgPlEEGDBoAqc7dOxAMENSMIqNa + AipqJNOaatAgAQ0wOs5GXRb6laoGw5mF1nq6ZtociHE8bkTNKMZR4Hq0J3MhAVxaG2G0drkzKgVQ + RMKk0t/2DtBjKRAMVxoACBg0YIBIwizmaEIFc3hlFHJDGBAHDeTgsQQHZpb0VF8FOqmHwACAVAAG + qaqki4QTFxSgqZGAqIqdRZZplQAAc6gQtc88AA3KlmTGEKO5AtAG1U5vYjf0ecqPye/guAy1aTQa + UuSBHwkxDHxSFBIQuEPyvGTcFUqUUjquq7XYVWExxiACoESiCVWUmvF40Gr4lFZKAwFotxtFpZUx + UioqpZSSGGZRIQ/7hA/5LwTAKiFZVXp8cFWGO68BC3S3ENN/80+wT8d5rkRVVTY/25USNUYzxwXm + 9pLU0K00z63acrPZNDIXpXBczxoicU6VEpUoNaA22Gh2X/Oa1+zbf9D1oyBaL+QXCTsFbmNcOVUt + tVE05Y873Jyd5dPT+Orf+WVCiB9x7rI0GYdeM+llURQJFFVVCVHNzM0UdS2MXjy4/86v3DUzMwMO + 20oG//0D7/+t1/32nvk99x+9/8P/+BHuubsTHkOI6M2ZkgAAIABJREFUlNKhjiLadd1kMHQYQ6Rp + mgPBtCye96IXPve5z5VCfOgXX3H8+PHt7e04biolPCiUUu2p9tne2jv/+r/ee+/Xjhy5/7pnX9fo + toq6RETrDcU454SLUljKw2Mq7MLt4tPiOO73+0ZrIQRjyBjjjFspSJdx33VJBQBEaK2BKtRGG13W + DkGmTKPZKuq6l6Zup4GqXt1cnY6bxMFalNoAdR2jVVGXHnLuUKyNrGTkx1k+FqWYnZ1fWlnudqZ9 + 18vTrNVoFlkuaxEEQb/fDxuxIQiUlGmFhIRRtLa2Nj8/PxqNbFFnk408z+M4rkRdidr1vTwvfN/X + xpRVFQaBoQQpCFV7gcscOh6PucsrUSojbUM5S9JGK9agkMI4G3HHYYSpWpRV6TiO6zhFnlsiwGAw + aDabeZ4bY6amp9Mso5Ryzgmlsqpsz9dl3BgTen5dlONkNDs1bSsoSxZwrNCxMbuorl1G9/n6ZcSA + lNL3vCxNLfv95MmTc3NzAY+2Nza9MFBglFa22reOlNlotCuEZnYkrOExaBRrU8DxeOw4jp152Yxw + ZnbqP/7Krz7/RTdUUkgp/+rd737+85//Z//5Jt/3AYAQUhZVr+4Tg1+6/Utve8tbOfNf8pKXbGxs + nD179siRo1bFa25ubjDoNRoNP3D37l3Yt2/viRMn1jdW9y7s6W9uFVlur5HUWkmptUZGGWP9fp8C + drvdH7v+2VLKI1+/b3npLHJXCvmWG9/6mc9/7h8/+k9xu9UfDLIin5ubMwhEGQQwSmupUGlGuEud + t//RO5rtrjZsYe/jCW/efddxwLC3ParrWpRZM3YAR1c8Yf/CfPiJj3z2aU+85h3v/TNl9Fve9tb2 + tY3tpNff6idJEocR59wYxRihFKMocBy2tbXFmONwjxCSZZnruvb3tQrR36I11udwhrVBMKg1gCJa + IdgpiiFWkVYjWjmKSUxcgx41iT33nMJzNDDOp4RBQO4yyM95jX7g59lXTdhiili1Ypyk1RqIIRMT + TDT2oDSaKEAJhgJo29ayOMxaVgDgur7jOLOzs5ubm3VV2RvPjnSEEA53AYgtDHcuuIGH+iNNM0Z2 + /JE6j+iPtLtYdqzU7XYtuWigB1VdWiSefbAJsf5ItTGGUEBilBZpNgKMuEM7ndZgkJRl6ft+o9EQ + Qtjj2crZ2x8npbRid8RB3/cdxynMZOuxW5IdMds4l4NUbwuDGkHFztTLXvayZGP5umvFdLctlGFB + xL34nvuO3XbnPUWZMe4pLZRSRikgBMHeG2B/WYO0ruR11z97uh3XovQCPy/l6spGb1CsbyQSGEGx + c08goEEEz/MIQa01EkPwAY3yx1rH8fskzENTTvPIjzFBjQAESIkGqAEETTUQA3leem4A2lBDmm6g + pfY4T5XO81xzVELkdbo8XpK6Ng4wyowDmhju0O3+ltt2NcEqq3w/Ain+ZxkfTaAK54j3IABMFsLu + vw++EvbVAs4bxBKI6Dmvn3z1sNUkE9jw7ivVBFn30Oro346qKppBa31tc6obI/KXvewVriONKFzX + z3L9r/96z6mzPTToeR7jXCoNQM3EKm1Hw0XrvMo5Vz/5kz85PzOVjQeiqss0A6SXXPqEv/nvHxol + WyLNlUPd2D0/YUA/7Owh51RKD48H4MXf+J/nC2OM3XItfsmqjZVlSZAxB2upkXIA0my2ASDPcyMN + o5QQUhVlnudREMStBiGoQSHSX/qlVzq+4wVuJeu42bjyifscHhPw0USEOIgGiaC0JqxiXI/GBzw3 + KKqfvuSSSw5ddMnq6qrjuUWRIyKlqLUu6sJqag/Go6/e93V5z1dOnDpJCPmnj/2/t3z+c1rr9fV1 + Sz5pNBrD4VAIYU3MDYBR2ppSFlk2GAysPc5/+vVfazVaxpjBYHD4iVcx6oxGI8/zkJiyLBGh2WzW + ZRUEwZVPuno8Hne73bW1tVannZcFpTSO46qqiqJ4DPrdCa3QWJklDagRgTKGiAS5TVCFEEbv0iuM + lkrWghDteR6LvFKWRZa7hHPKFKhxlvIgIC4m+YgFXrfdrkdjlBU1MnJDx/dLZKgRhEaNnPMwblCK + jkvXNlYbKgpcL01TQpilKFttAyml9Tm0qJPuzLQQYmV9bf/evaurq2EQ1HUdNuJW4KdZNhyPEDGI + QsaYfQdCSFVV1jhkmCRra2v2rbIsM0q73HG50262tra2Cm2qqoIRuL4XBEEURdk4z7K82WwCQCVt + +S3arZZdx36/H4ZhGIYrKysHDxwgVg6Rc9/3jdaj0UiUFaXUWhVRx1k6fSZuNrrd7pnls57n2c/Q + juNdQvU3MuGx6EdrzZTn+cLCQpIk/X7/wIEDo9GoLMsgCIbDoVXP297e3jM7a3NmS0/YzXy+U/fX + Q+O8BdJufsYY0wqyLHMIbTablx8+fHp16djJE0KIAwcO/O0H/u75L3zBf/2rdxljsizjlM1OT4+G + ieM4U1NTV1111X/76/8nLdJf/dVfTZORy2jgxnG8pyiKhbn5N77xjc98xjNe9LMvaB0+fNOfvX1j + Y21p+ezEtGFnhDVpR1ECAN1u92lPfso73/bOz9x683g83t7cGicjMKaqqht+4oY3/sHv2zSXc77Q + WajrejdpMzsC0JQhomm3my/9hZcfvuJH/stNH/zqnYOD8/9uc61uTEdaw+xUnKWr99732a/ctvXc + 33nxX37xzb//+hf8ry964b9/yYsHg0EchBLVwsJCs9m0kmhVXWmtiypvtVr79u1bWVmbn19YPru6 + Ww5ZDzhjjBACdu6kc/PvC1r7nR4ZedDRgvjAv4JF/xk0ti5COKdOefTS6NG/+/CYZA87WMTdz/dA + TBqEFAwDq5xuNIAE1LZk2vHB1DhpMdqySgLQyduiADSUUr3TS7B0oDiOgzBsNBqjJLG9ByufQCdy + AnoXEL97ee0XO/5I0b/pj2RvG0qpECJJEs/zrGaDUipJBkppSh1L6WHM2TXsIoRRQqSU41FmNAZB + 0Gi0hFAWeWwrPdt34XyyoVs/JUtYcjilkdntndh5o5WdON8qOI6jVS2l7PUGZ06cHg62fcdN80JR + 7gbNjf44zYoobJIdNydibZANGiDKABg0BgGQMXdtdWt1aUlr2e5OjbNy6cz6IEnXN7POTJfzwPP9 + siyh1sCAc25Rwrv3szbaGEOQ/KBA+q4GGiCGaARmwGjQ1BAwDA11kBkFo8GobaKWF/bzsd/2fKZ9 + N1ABVVA6infjmVe//tWzwT7O/GE5vOv4bZWpm93mWCZ1LaOwXRX1N7dxfe/Go/u62G8+putFAhZB + jUjzrBoNNo/cd1LJUZWNPM9Tmp0+dXaUa+Y2LB9faWs3x3eLFkQEJL4XIVb93mj5zNLymdMudxpB + GDdanam+nb0LUUspfeJb5Px39Xd+hLCJgW1p2b3Xpo8ARkoZBUE2GhdlMRqN2u2267ou4wSRE1rm + hTWAOnN26f0ffP9HP/6PrsulMhqBMTbORgahMzUzHKZgOAGfUpeC0VpKmQtRAKow8muhCCEf+9jH + 7rjjNkRsdzuISDhzmGMhYXarT9OUE5qmaavd5pwPk2ScpgBQ1bWQMo7j/mDAGLNabdaFXEtdVVUc + RuD7ZV5lWfYrr/rV8XhMgHDOOedAsMgrRPR9P8vHlNI8z5rNJkXi+74l9IZh+NWvftXmKjYPZowx + ShFRX+Bj/u3eFAgB3OnHWdg3IEEy6bciGkIAbXIBSinFOVLqGaKzNCtNZShQA824VfZHYRBqMAK1 + Bq21olJ04mYyGDu5JhpBllU/10Y73GOOAwbzbJwmw7RID+xb6Ex3KEPP98taI1LL4wAAKWWVF0op + TqgoqzzPq7xot9uNMFpbXXU4n52a3tjYGAwGxhjGeavV0lpXorbYtjiM7M1WFEVVln4QTHWmi6Kw + QDhiII7iY2vHHOqAAsrobGeGMSa0qvM6HaaqFu1ma3t7e3Z2llXleJiEcYSIG+vrhJC42YzjeHV1 + dX5urre5NT8/f3q0RCi15lqMse5cmxBSlxMvrKmpKWX0iRMnuOfOzMysra+3222fc0sNOjez2u0v + Pzyy0ZgQ4vv+3j0LQogizYiBTrOVj1MKOD8za4E509PT42Q01e5YNb9dKx214/rzmOMg7WJSLYxn + l5u+tbW1d8++d7zjHbfdfrvv+7/8K//763/3d0bD4ez8vJ1fj0ajIsuzLBv2+qKqjREed+69+x7m + 8Ob/z957h9lW1Xfj39V2P/1ML7df4HKVIkVQQJqoPywhiQU1UUR9X/urMVFDxJZE8yYRVCIWVEg0 + 1igpGAsY8QKRpnALt5fp5fTd917l/WPNDAPci2Ki8nvC9+HhGYY5c/acvdba3/IphWKSpZALnmaQ + CwIKgyCAxgaH4yD8+b33GY6tOSFCSYyxwlpvVCklNYH+qquu+tfb/vXK17x28+bNIudCCIrxm9/6 + ln/5/r9FUTQ4OBimCUJIC9LrP2QF2MaVEkrkKuqGjVNPe3p/n/H6113+Fx/eduets7a5znbqIsub + RzgXtOSc/NC+7xfcNV/9yjcYiwcH7DXr15arpRx4EPQOHz6cxsmSfZBBhBC6QOrv75+entWaaXqg + oZ8T+jhbQW3CL6cff/Sb8shJzuolc6zyRssEP9Hi55cNpAseteo/Vy4OA5KgjKUySRGQhkJSAsZK + rlzYqisXAIARyIfNdiUgyXkmpeRKAoA2nNbeRGmW1Wo1Runs7KxmrGqMbBwlq4d1CKElDYej+yP5 + jUYLHc0fSe9JxpjjOEmSdDod7Y9ULHpKPeyPpCW81bH9kRAi9XrdNM3FxcUVAU097AIAzcTTLKk0 + TbNAShLmeY4QXhJFWJ4yUaL1udFqDhJBFBGQInMc45ZbbikwdehgQAAkAoHBcKYr/QODg/3dbjg/ + u2jbtuM4yxXzkowvIAVKAkgp+YPbf16wGUJqZm6WS9TrJsVyn1uoSszazSiJYwAAhgCrLMv1Efmo + 9fxU/HZDASgEEjBeakwgUBgrgiQVmXAtt1YzWVfEaR5lKSmxuBcmSZKFoHIuuMj82aeNDhIgHHLH + ssCWkoooT1dQSxhjcXSF/afiyRoKKKVBENRqFckTZ7D//vvv9VyURb08B8vxFNBarcaVESS5lPqw + kQhJjCgsCbojQEjIHCN5xx13VEr2kUOhyQDx5shY42cPbreL1UKhUPHcRtgjJtFn1LEvaBWlayXQ + Y4dLv2I8ji+inh3p41fbsxBCXMfxfd+2LM65Y1pmpcIYm5ubc0wLACzTpAYrlIoUE0TIzod2xYlv + WZbjeV0/KBaLEtRia7FWr4NESoMfhAIhEUIUE0JRnmeWY7bbXdOxu51evb+vWCxyJWZnZymljmPp + 85wxZts0y7IoipIk0Ro/vV6vXq9rgquW89Jlnm7+WpYVZylhGCmpSxrLtguFwsT0VLlQ9KNASmma + ZprnQRBpuWelBMY4TZNeGJRKpVpffXJ6qtVqlcvlSqWCCNZQc02aXaLIP8kcHTDGSpuyIImXNEWk + lAJgqUqhlBJC9SNSgYizCCFEESkWXMVIGEfdTs8qDkzNNwb7+5lthWloeE7d8YJ2yw+iiuUxTAxC + 8zzPkhQwAkwFV9hmgKxitdINeodmpurVokjyJM08r6ylBRlj2oVS16UaPbR50ybO+czMjGmaQ/0D + WZbt3r27XC6XSiWEUJwknU4HYwwYVSoVhFDQ84Mg6KvWbNuenpqyLCvPMosZs5NTAwMDSKqZicmR + gUEhRH+1plXy5tvtSqVSXl/I3LAnekkUDQ0MzM7OFkolkxkiyxGltm1XS+WZ+bk8SQf7+qempgzD + OLj/wODwUC8MVuSsQs2Yyrlt2xqM5xUL5XKZGEybIEVRFINi+GEI3MoY41g5gOd5GGORc664ErLZ + bo0Oj1CDBT1fIuh1upZleY4bRtH46NiefXsty9QEhxUzlYfBKb+NOGaBtPIRpGkKUpbLZeBiYW7+ + /p5/wfMv+uQ1177ghZcmSfLRj3703/7t3zZu3DgzNydyniVJnuejo6NDQ0PVanXd2rV5ltmm7TlW + oVyiCHe6LYsS06A8zUzDoIDzNJuamBi+7LJuq3vyps0jIyMbNm3UvfZcCv3x6M+LUloulAFgx44d + t91226ev+7s1Y+N+t3vJJZd869vfHh0dveGLX/jIR/9ysdVkjIVxJKUkEgjGiguDsjiMCBLDo5WR + sZJh5VPTgWl6WYr6ahv6qyfu29MoleoGg16SU4QUdy6+uHLXPYe3nND38+07Tz/99PHxcQLEdd2R + kZFTTz1Vy44RgyCEMp7Xan1BEO3atWvn9p3nPedCxlgQBNrzSzORVuTO4DFjjScaEj2iTFp5HqBl + xfNH/dIVv5SVlz9OPFEnbIkUIKlf9cjXrgyFECg9MloiI8mHJ07awUVqMylYKuQwKIz0ZExhKQEh + pKTS5YpGkEdRJJWyLMtxnFqt1uv18jw/isXhKpXDR/ojdTCmy/5I2VH9kfTXmryo7d6W/ZHKlUqJ + UtxutxFSCCkhNKiGEIKVAimBEAaAhVBhGCdJNjg4WCwWsyzzfV87hlFKta76yvxKdzezPA17Pc45 + IbYAsTKfeZx1QinNZc45z/NYUkQZMAIKA0dAKIRRK5M5AmtgoN8y3SRJ0iRUCCEgCkAhjJBEWGGs + DIKYYduG8v0EAfeKJamQhFhDbvSHAkqBVCBBSojjuKC8pVMSFCYYKyyFJE+YRf5U/PfGkswdKAog + scJIYaywa3v7Oj0jUi4YCGQmBWNFguJyudo2Asu1C7iwON040DywPzjEmNUJW8iTkolcZNQmiqM8 + zRAi6LeGBv/txOq/9Vib8EndHpAgpSSIEoowUkpkSkZCCczApGBakGZpFPtpTnNFbcdDWHfhGABW + SgFIpYRSOUYCYW45qFS2DDOMY2AAtoMdb6AT5mEYlgueYRiZyLIsO5bb7DEv8RHxyI/ziS62xyg2 + LX1byTRLXeZSk8lMUcZMYikueJpVS+Ujhw4XyqVypbK4uJjn+ebNm48cObIyyedSAEC1XqOUYsMk + hOQSELXDRFmO7Tj1Ti91TBNhrpQSSgghQRGKDCppzvO0m+nCBmEQgodh0O61HcfmUiCCCaJCgUIY + EGBKHMtWGDHLpIJ7pSIxWK/X06VOlmembXEhMsEZY5hRkSYKScuxoyA0CVEK+UEghOh2u/VaXxjH + QRQRRi3PlVJGWWoYNIqjcrmUxUmr3Y5HUokAM9rudS1maCJKoVDQSYsGOD3RCdKvORQXmX4aEoIJ + oQgppISUEindSxec58uIIUQIRkj3EymlRrfTTdP0rGec+dEP/8XxazZ2gu683yImZRaGJLZzaWAS + 5Vwp5DIGGTcQc13XT5NulkagBKWlwT6K2JX/67U7H7jfNg3CaLFYDOO01+5kWVav1z3P63Y6vu/H + UXTRRRd1mq0dO3YM1Pvm5ubAK/q+v2XLlvHx8SNTk1rCTmveNtut+fl5jLFrO/39/UiqmZmZYrH4 + zne+85mnnzk0NNRptSmlkoswDEcGhxYWFnQWoaXtXNe1XGfqyARm1DTNTq/7+te/PkpiDEAIbTVb + juc2FhafefoZlmEqpUaHR44cOZJEkdbjoIwBAKU0ixOCluZgjLHBwUHTNMfWjBODfe1rXxscGurr + 6zt08IBcdoxfEiXTFMKj3S2sIIniJEmQUrkQ551zThBFoe/v3b/ftW1qGAqAZ/kpz3j6/OLioQMH + RodH0jzVWsoAsLQCH5mb/YbjmAeZvkQ9HYu6Qa/XsyhzPLdcLDUajctf/Srd58h4Xq1Wjxw5UiiV + dM1nMiNN03379m09/gTTNG3TjpKIMUYI8bs9pZRpmiJNNGcrSZM8z8fHx+fm5hBCURS1Op1Go4EQ + 0sxL3Y5CCGVZdtpppwHA3j17du/Y+aIXvei6665729vexghds27dt779T8993iVA8Pe///3BkWHD + MDKeKyGxAkKIktJiRhSECOT0zJzlONt37Txu43nFMjBGhcp27HxoaGA9pfTI4b3j64oKOuVq5eBh + 4LmUkm/YtP6737tlz77d/SMDhCClRLfbxQSkEDLlGeeaoEUprVbrcZTqx4Nu+cDyCPJRN3gl9/1v + uYt4uQRareez4hD1qKrpsaJ/q3/PE4+lRvUjvykf/mLl7ZfqIr4CRkePfDRiCVjPDRUByQAhUAwj + QgjBSupto3F0ulc0NTU1PDQ0MDAAAHpmHcfx0fyRAAB0jSp/aX8kAEAIrfgjaQxuHIe9HlSrVQ1h + 10Mk/fPyGP5IUsr5+flyuVytVk3TbLVaWgRiqVUrpVbb010TSXLdGsDL1rSK4BWxx6NykCQIhHJ3 + qP6a17wmas9TIqvlYpQkyHCI6e7ce+T+B3b5vUTIJEuFlJJgrHSps6pnq5SK4/Q5z3lmf7WY89gw + 7a4fzUw3ukHS7cqEg1Ix6E+SYMBLAhKrJ0ho1bDuqfitxxK9TGEJmAAGgCAKORLKMQzsMS6KiuW1 + QtKbD6KwE3UYxZmVxjKycAwWRRbxyl7AuznJHddOeMI5N5mbZVw/DldCr4HfIgTiqfgFgYFz7tju + 3NxcreIhCZdf/gqD5TKLTNOKE3zvPbsnZruUs1xRQJgLDW+Xj9ra+oy64DnPq1W8885JbdPMojhO + 8mJp4Mtf/47v+36ecYb1s+8XPddWNHseozaB4Ni6dv+l0JR0fagCgD6B0zRNw8ix7OHBIQmq3WqN + j4+/9rWv3bp162te8xqNC+CYc8792M/zPE4TjEmcJIyZhWJ1fn4x5bhYrKTdhkQAIBBkmAAlTEkC + HHMuGTVznrjFgpTS9bxMZBRRRLDjuRoUo3eQtoUUQugnTq/X0xCVFZqH67q6v6aBDHp6YDl2liUA + IIRIstS1PSC4XqksLi76YeC4jlIqyTOFACgWqcwFB4KTLJVIYUajLPWDwHHdKAwlAkoJxlhLQtu2 + bVlWp9NhxhOT+f51h7bNlFJKqRMJCYJLKZHWK0ZUCIHxkqeQRJIrnqtMiNwxHM9xLWJ62BypD2FA + n7jmk3//T1+t9VeisJM3F08aGosaTYQp4lCyrNxPkFSUGQtBGBtkcMum6cjfMzv1rve9p1ypGI6L + lYp7/mw4a1iObdvVapUQsmfPHtuyLr300j9+1x9puEq1XLn88ssDx/V9/2knbv3SjTemWfr1b33z + G9/4xsFDh7rdri6eq9Uq51wJ2ev1CKBisVgpl2XO/+5T1337W/80UO/TklQAAEKmaar1b3WB5DiO + 67ranXLP3r0P7twRBWGaZ57nZTzvq9Ucz9u8efPHP/5xpdTs9HRfX9+LX/xiZZoLi4uV/jogtLCw + 0Fetma6n0xLLstavX3/99de3223P8xabjTvuuGNycpJzrlXmHoUfeRyfItd1+2o1pdRpp532kY98 + JMuybre7f//+d7zjHSZjAuM/+ZM/ecmlL+lFvQ984AP33HOPPm10QrWa/vCbW2GPjMfjIOndq0c3 + QRAoZlSr1Wc9+9kfvvpD551/HqYkjCPTNGFp1S5xqnQq6XleoVCYmZ2NspCZzPbsmblpx7IHhweS + KO702iNDw9OzU2vXjnMpOj3fLRRs1222W5zzKE2WbgDG+iDTWnCc8wd3PHDLLbcszs3v3r37M3/3 + menp6Xe87e0LCwtf/OIXhZKU0m9+85tvfttb9+3bV6lUpJRIKl0g2YaZZRnFFKB46FBn04aTDWb+ + 6EetPfvvjePh08++aMf27Z5bHF4DTsmfOHIgjBcOHQ6/dNPnPv3p9zfbre07dxy39fhKqZJk0dzc + 3IEDB07Yenyn05FK9cKgXC5zLkfGRk899VQEJAiCLMtWbMtWs//hMfi6x5/nPDZWq/SgR/6DFaDl + 6ggtjWQernn0G6nlX3LUGRQcu2F39PRHaSQPlpp/DBip1V5Smm4klWYTAV7+PZp0pAAkXvV7EGCk + EJIMlAGKACBQBsZ0NUdIlxOMMUCIc97pdFYMjpIkWZJMQAoQKHhEuq4UwphIyR/jj2Qd1R9Jv6le + 2Cv+SFLyMPIBSdd1iyUvTVPf9znXm4VhjDmXQkj9XggRhIBSHEWR7vdYllWpVDS0QzPTVvQhtE+c + SanlKP2XIuOXQK8haVmm4CLPRbPZnd4/6fc6DJNWt5cDNexC04/iTBa8qkKQZZkCRRlWAEoiqUAp + pBRSigCiFLvTk63pQ9NKqWK50upEk0fmgiTpBXGhUqXUYIaRZxlkEgiYJtWqoEv5MaCHuwBP5cm/ + vUAA2swUPZL2L5E0XPaqK191IowgP0jaPeQYPU/t+e5ioVSSBlEyV0KaJYvYKEvybt4O46BQczFA + N+xSRhgmurkgJV/ZV//TiqLHzucfe3r/Sj2mX2cgzUEyEJhRKHrtzkM7JgUPYr9tmrZCxoH9U1FO + mFVQWEmZL+WdSxhcfYghAMRzIXJ56ODcz5rNhdmZvnq14pWZaaxZZ2gOUobRSg7wRDhIvznEprZE + 11iDNE2TJDEpGx4cmpqaGhsb+19v+t8vfMmLKdCv/dPXb7zxRn0y6yTE9lyupGGZUadjGKYQijFQ + ShmGBQhzriy7gEgilVIoJ0hhAEwpwto0iwMgznm326331wxFlVJS8l6vx6VguSmlBKVWfEI1QEv7 + 42lvUP2dmZmZUqmkkW+aRqUF9zQ8u1AodLtdkCiO44Ljuq6LGRNKJWkCq6AQpmMihJIwxBiXymXb + dYI4sm0baSsUIRAllBDTNLU9+q8g0vBE85knGgQjhAgAaDlyhBUjhFJKsG6MIqn0AkZKCaUEsahB + jCSKur12GuZFp1iyC/1uFQB4kr/q5a+4/NUvVaFvhGG6/9Cn3v8h1vGxH5UMV8Rp0SuNbhgpnbRm + 3VmnPeOySx9ozH74s5/Cudi4ceM9d9+tQDmOZzBHWyD6vj84MHD8ccf5ne49//nTN1z5et/3K5XK + q1/5qle87OXXX3/9yMjI39940x++9jWT01N3JvK0AAAgAElEQVTtXpcxViwW/ShcWFiwHFuDP0Eq + y7LSKPZ93zSMUqnUbrb+4cZ/GB4cuvnmmy94znMO7j/w2es/kxmZaZqatkQUOeuMs173utdt2rTp + oT27X/maV4Vh6Pt+oVTUI6lWq0UIKXreT++66+qrr+602rVardloSCk3bdiwe/++8XVrw55fKZYI + M9I05VmWp+mGdet2PPjgFVdemWVZpVb1w2BkZCQIArVUnS6lZLqT+zgFTBJFUc/nnA8PDv3HbT96 + //vfv3nz5htuuOFZZ53905/+9LzzzvvdSy/7k6v+JIqiv/qrvzr9zDMwI3i5A667Bitp2K93YR0j + jlkgWZbFGGs0GlmWlZxCf39/Gka9Xm/Hjh0C5Otf//rDhw9rvY477rgjyVKt3R7HMWDkh4Hp2KZj + H5mciLLUwujUM0+fmZ19aNeu2cUFjBC1zIV284GdO6I0ee4Lnj++YV0mxbf/9Z/fe9Wftm75F10f + I4Ty5VmbFoWr1WqO48zNzbmWPT4+PjM/4xa8/7j9xwuNxYznhm2974/+9IVvfJEApQGdCCEAJYTg + eY4xVlICRYbpNlvdW777vQsvfMmBye3PuegEg4z/+y3fViZKECMA7blWeQAG1/eHyfSznnPafGPO + sIREkhCU8WSxMT8yOlz0PCUk55xQShHGGLdaLUxJpVJRSrXb7TRNtba95sxoScfVfdZVlKT/0hN1 + xQkKL4lWAQAoBViBVDphWv5JAFhWRD1WPLagWv2/jvZCBIqCoroqIkv1jsTa1xcBKCmRpoyjVbqu + oBBHIDBwBAL0RSoAhRHGSCkkCYBaqpEA8jyX6GFPYX1npVKVSiUKw4mJifHx8Xq93mg0NAd3pchZ + PabTexj9Yn8kulK3AIB2PYqiKM9zy7IIYVmeNxqLUsr+/n6McZIkPJd5ntu2ixDiXD7sjyRBF2N5 + nuZ53m63HcepVquMsWWNb7WC5QMAKWVKrdBY0rXDCC9RFRWs1CGP5iDhomFRnjuuadx8881FRicn + IoZBYUglmM5ssT5QLteiKA6iUPs7ScmlUlroUAFCGCFAGGPF1d69ez2LpWmM8AyiZpJk5VKlVKlL + TFqNMM8yAAAKQEBvfD2Rg2VqylMiDU+CUETp8azES8NbrJBUmCdZhAC1oFsumF6hTwLpQeirtNlt + x1bCKMIYFIFO2laKGLZlukxQjhGRmWTMQgLLXCq1RBB8amT0/5sQgBDyfb9eryuRFpyR7du3ey6J + /Tbn0rSLnCvb9gQgIYRpWVxoTB1REoFufCiJkGKMgVI7duzor9WazWxxYQ7xufUbKwcOHSKEFgp2 + sb+vFQepma6Qb39RrM541NG5Sf99kWWZzvs1KCYIAs756NDwJedf+N7/855Ixn97zcffeOXrP/6J + a3/84x9v27bNcRydCGqXmDzPlzrljDiMcJ632gvFcl0K3On2iiU3SXNEBCEgQEiRIUmwoAgxIQSj + pmPaXeimadoNuoZpViqVJMspYgSzLMswQowxrdy1Ii6lDcq73W6xWNQaZRr5pkdJumoSUiKEer5f + Hhnt9Xq2bevaj1Ia9HzDtgghaDnRBIBWq2UxgzEqhPCDQBOZTNPsdrvEsvRjS4PAn7Q42uXnu8QY + I6xM0/Jsy7Is02Cc8yiKOedSPMyNyXgGUmV57tmu4zCLmTzLMSieCY9Zz7/00g1D47f9y3e2DvTT + RMV7pq645Lmk1a0YXh5noHAKtD2zSCbmoBtuGRx++fNftLc532g124vt4YHBKAqyNFBK6blKq9Vi + jFnMiOM4T7M0TfM008Jxvu+/5U1vuu+++17xilf86Mf/sf/QwYmJicD3S9VKnue54Pph2lxseJ5X + K1eyLAuCgBBSdIsnnbg1iqKf3nXXFX/wh6ef/Iybv/lPjUZDcCFzXnQ9mfMDe/ft2r7jxONPGB8d + c11XSz0LIRYWFkZHRxFCURBWq9W+vr5Oq73sqCtrlepb3/wWQdDI+NgH33/1nj174jAql8tgmpqM + tHnz5te99rUjY2Nf/+Y3Dh06pLMjPSzS1jUrqcjjrBaMsWkbjuOUSqUkSaSUDz74oM6KwzC8/PLL + P/o3H/3JT34SRdGDDz543nnn3X3fPVwIrdKuyySdj/22hkiPB7HTGCSlVLPZHBoaEkI4joMIaTQb + Z5xxxnHHHQdSDQ0NffWrXyWM6nqASxlF0YYNG+bm5oIwVARfcMlFI2Oj3XbHDwMlJCJYCcFzwQj9 + 7I03uLa72GgwSqVSBc+bmJ9zPNey7SiK9OxI55Faqezw4cMb12985zvfuXfv3t9/+cvCMOz1erv3 + 7pmYmpydnT3jrGcu9hbDMHQKXp7n2sGpF/Xq9XrQ7SGMoyzKsgwzgWj+pX/47Gc+99k4NqvlsSRm + wqQccYkxQcBsnuI8zYK/+JvvSRl9/kup5Nng4GCUxLngpXJZl0P6wFJKGYahOf3zc4uz84vaCxlj + bFmWJu1pAYCjriG17CHzywfGhBGmuHz3O/9o8+bNJjPCMCyVSnmaRVHkFQt6kKJdkCcmJmqlEugi + AeNO0PveD3/wlX/8x+Hh4YVmw3Ec3/cJIQXHTdOUEpJlWaVY0ro9tm3pPlaSJNQ0NDYSENLkGblk + 1O1IMNKMG5QRwsJOUKtVOq3Ge9/z7o0b11KDGYYx32gW3DohZpLIUrGeZCClrFe9gwd31apWlgau + YxAk8zzPc5Fn0nVKiLLXXXFlnKb6qQbL5Y2+BrmsxN3r9SzTxBjPzMxUKpVyuWyaZqOxgDHSD7Ol + PxweZhMCYIT0UFjpugkAJicnBwcHR0ZGZmdntWCdYRhRFOkHqsZ/L7ceMcbYdd0wDOfm5ur1erVa + bUNX73bdU3QcR29vxliWa6EkWIJzpGm73V6+j0fZ8BmLM7crpcSY6msGAN2RFUIgglY4i0opRqkC + iTElhIWhb5pEiIiZYFCIErAcsAtAWJrmvZznjFHLdJRSPBeAtHKdDgmIK8gI41LliHBi5JhIRCQx + EkQsIUzAhBCCCZFCAF9KuR4NsXvcU/Kp+M0EVgBIaNWNpV4JllJygbHhwoH4QA8VHUFAyljyBo+f + ds6pXSPElAKSEiQm2lBMZThRSmWcY+CGYfBcYO2Is4yifBRC+Kli6ckbGJRCjGIFac4Dx5QAYcpT + IGCZwIw8jHIMDsZM5pAk2ZIrhNLKqAohiUAAyqVKKeX1PpexxC1C6AMhADhUgA3DyQXxfR8bhHPu + +77neSGOVg4HtXzcIISk5LmQDjEpostucg8ruyJAy/DQ5XiCh8qxfhwhRYgemCuMl+TOMAFK6V33 + 3bVl64lPf/rTu92ubl2tlqcKw7DT6RSLxW63u3bt+NT0hFNwwsinzMRE+L6f5RmlJSoMIXnBK3d7 + rTzJK+UqcIsnIETW7XYLhcLw8PCBQ/urfVWF5OLi4sDQcBiGGCkhpJCKUSlyXioUtSOnYRgTExPH + H3+87/tKqcXFxb6+Pq30rR9tup3HTEMpVSgU4jiu1WozU7PDA4M8z5M4Npkhco4xRhgMyoJOgDEe + 7O9vNZqGY0d5bjDGsxxj3Ol0yuVys9EoFAqrn0pPzk3NGOOcJ0lSr9eDsNftdreecPyaNWvu/ul/ + nnPOOQ8+uD1NU9tyNT8HU9I30J/KDJcRcIUFMTiplsp5mhmIFUw76wbRQrNqOOF8s5jJmgRnsVWL + spJNEIcwjQNMUkaNThe6IZe5Pzs/UK22G52N4+uUAOKiYrGIKW632xjj8fHxJEkYJpTSPM0QQgN9 + /eeee+4111wzMDDguu4pJz/jn7/7L8973vNOOvWUl770pcwwypVKr9dzGV2CYiFsWRYjtK+vr1at + GpQRhBfnF+r1ep5m2q8yDEOe54wxjBCjlGAchWGtWuV5rkUIdSHtOM6aNWtmZmY45yMjI2maDgwM + fP/738+y7GUve1m9Xp+fnbvgggtu/887P/ShD+3bt8/TTf8sA6nq1VocRho+ZlnWjV+68crXX7nt + rjvHx8fb7ZZegav7zo8TFBMMqNVoJlH8vFdcUqtUN2/e7BnufffcWy1XoiBM46Rarkgu4jBav3bd + f97zU3gMRvfJCLFbYZDneb5+/fr5+XmDkEajEcbxZZddNjs9s2XLliOHDnueV6tUp2dn+gb69b0R + Sk1OT514wpZnPuvsk049hTAy3Dfc6Db0VtdtbC2IZ5ompUx3aGzbbrfbfhhs//jOIAhs2yaEaK0w + DcOVUt59990vfPELP/KRj5x99tk/+clP/vpjfzU6OqqRUV6p2Ov1vva1r2lzA339us8NABrUVK1W + bduemj50y3e/naa8001q1UHBcZIqni/VwQQrTAXFHFCuZCqlREDzLEvTtFotB0Fv/4F9usXCOZdc + ILKMEfuNJIfaxC1N01Kp9IxTTn3ve9/bajRr5Uq9Xu+02+12mzKma7Nu4Pd6vWKxWLBtLQmqEBx3 + 4pZnnn3W0OBgEAR6+qG1/pIkYYz11ev7du8Z7ht4x9vevnHjxltuueXmm282beuCCy445ZRTTjrp + pN379n7yk5/U1BrP89I0jbM0yxNQmGAQeaYgS+IuqCzP40984hMPPbRHcOp59TS1QDpRBJSVwkiY + ptnrzY0OlaRs2paYmz1QKDBGVKHgXnXV+487+ZR2t6cgsx1qOzSOQ0IIMViapkJwLdexAlzUpVqa + pr1eTw+ptT9S+lh/JMOARwzuluKR/kgDlNJlf6TiUf2RMNJiG1L7I2kPWcMwfF+rzy3xMfI8x1gA + gFp2d10peB4ntFCMxjIBhSyJ8jzH2sEbE4GE7orpkqnT6UTzYZzkhMBJx43+4atfQ0XM84gR5Hie + olacq7vu3X7P/TuVQoZh6j4oRhKW5EIwANZYTC20cMEFF/RVPUCiVu9Pc3lg/8ThibndeyaJCUuy + nggBAcDL2cxyLP9xSism/rcv+6filw0kEaxApAAASyQxwgLzkAdI8EyGRCCQwDGESPgklQwwxggU + IKRALnluAigs0ZLaJMIPD34f15P0qXgSBgIpZbFSnJudLnomxvDqV/8hJaljYMuyO938tlvv3nd4 + IY5joUxGjznD4Zxznpx15lknnrCx12kxQjqNplcobz7upD/+0w8uNnNWcFKsrGGrWq0GQZB7uSBC + YYURwkvCpSClZCYDpZAek2jlUowxYBAASGrB0V/Hx6BFegBAE0f1N2dnZ7/zne987Wtfcxzn2eee + 88Y3/e81fWvOP/980zR/9KMf6Z83TVObC/V6PT/wpEgkV7WKF8bp/Ozk2JqNdWEuNtqAEEJ2ryME + LxQcm2ek14oNYlTK9YJTbC62/Khz/PHHTc9O1fprgiu/2zNMu9Pp1irVOI5r1eq+fXvTNC0XiogS + x7TQAFqYnRsaGpqdmq721SM/SHlOACGEqqWyYVuzU9PFYlEhyaVYWFgoFYrjI6PtVqtcLqdRzBix + iJFxnieZRNSz7DTPszhhlKZxQhCmmJiU5UlaKZcxQq7r6j69/nyWHmS/jjvxXwvtOFQqVXzfj6J4 + bHxkYWHhnnvumdl/KAgCwzCHh4eVRN1uFyFUKBSK5UrGM4YwUqhol1TELdehlCKpHMMkQhVMu1Yo + BQcOkW7PMVjJNM0o6S3MC65KtT6nWgmzNEtiiAKz3B+0/cGx8fGxtYVCCQFBWEnJpRTHH388AAS+ + b5omRdhxnCgIK5XKl67/0t988q+3bdtWq9Vs173hSzd85av/WKqUr/nkJy644IKp6el2r4sxNixT + u2V6jut5nsx5s9lM09SyLMPUEpS1IAhM08SAlVKFYjEMAj2Y0oIKaZZlWaY1nHthkGUZpiSIwkKh + YFlWq9PWTOn3vOc9M1PTpmkuLCzU+/ssal9zzTUHDh+iCCshhUZaCdlut3ft2nX+ec/pBf7o6Gir + 1briiivm5ub2Htjf11eHVcnMyr+P1R7lnOdC9vf3B0Gwc+fO22+//fbbb3/jG9947bXXvuc976nV + ahjjhx56SJdzP7j1h1EUkVXtCb0UdTHyG1xlD8cxCyQtPek4ztTU1OHw8ObNm3uttu/7o8PDYRiu + Xbv2xBO21EuVal/9hz/84fDwcMZz27Ytx2amkafZ9od2vfIPX91sNolBh0dGWu2GpsWvyCJrLqbu + XnAuOOeDg8NS8m6vpzCSCDjPJQJMsGY4aLBTt9t9y1veMjMzs3HjRv1yzKh2I+52u1/+8pcNw1Bc + UIQ1Q04zl3IpgjjK4kSB+POPfThN01azVyrWozAjzDANK01TjJBSHGGBsCQoB+3KpzACCwPG2r3L + si3LnJ2dxRh09kyIHkZjJZUWRX2i+cMTxawTQixmdZrdcqm6Z8fud7zt7b/7O7/j+/4nrrmWx/n8 + 4kIQBI7naczxu97+jlOf/vRbbrnl9DPO2HfwwL/++3e1m3K3263Uaxo2AAC2bbdbLSzV2NjYTV+6 + 8a677vr3W777o1tvEzmfXJj41je+ec99995www2bjj/uYx/72Nvf/nZdk9i23fF7jmvZts2znKeZ + V0RKBKUK27x59NOf3nX6M5511Z/+32987YdxVCy56776j9+T0qMMZ2mydhDNTG7fvGn8LW9++d33 + fu/qq9967713XP2B97UaTc8yc8k6vXnDYpajcp5xzjGjGGPdZ1xpSa7U8ACQJInv+4VCwXVt3e1b + 5Y/ECUErexghBOphgJBSEh72Ryr+Qn8kACSVwogqKbod37Zc7Y+UpnmWZWkaa5AeQkuODXqa9Kjt + faxiiSNu2Iaez4AEhBDBGCElpRScK6K0AKAmHCsDCZOXKgWMhFLqwQd3HdixvbE4jxVYrhdm0ilU + ekme5MqyHC4U5ynCyrQMIaWSIKSSSiKMEDCEpOTywP6ZvWmglHLcwmKzNzfb4kphZuBV4wKtrfFU + PDkDPWYgrQAkkoAAExEpmctUKqEAFCGc0hwLhBVBCgFSy7L7AoRWlNSUQiJ/oz2gJ3M8dsc+6RhH + jw0BaZr6ftjtxHmS87R339174qgV+23DMLhkExMLwArlcinhigshpFzivisAIEuwNwW2Vc7SYG62 + uzBz70M7tju2qTLZN9A/OdnBGJfLxXYcEdfSE37LsgC6R72cLMsQAM4pF1wIoftHKyceSAXwCCH5 + J7rqjpXUrzbi1A1Z27bTOF5sNopeIYqiu++++6Yv/8P4mjUvfelLL7/88ltvvRVjTDAmGIssxxgf + t2nzK1/1sjPO2Do80jczM2eY9vTMolcYoKQ0PdMZG10PgLM4UUpoElEUxAbFnkMIlZZL9x3cdfY5 + Z/3O775kcmayVK5yLgFQrVI1mVXwvPvvvucF/98LvILzs/t+Pj+/MDQ46He6x23efM6550ZhePM/ + /3OWpq7rKinXjI6dd+65N3zhC2Ojo9MzM9gknufVqzXHcTBgzvlf/eVH0zjp6+tHCGlQE6GGbmhK + xYUQCEGSJH19fZrLMDk5aVnW17/+9W3bti1hHwwjTVOZ578CB+nXvSMQobZt93o9pYS2JSSE1Ov1 + mUOHkiQtFiphEE9Pz0op4zjt9YLDBw7nMjcI7XV81/YIh6LjAUF5liwszNn09DzOCJANxx23+MCu + 2TSfScMR1yCuTTHpAFnsLS4QMlJyYWwE2zQDOTE7ff/evXuOHDFNO09i2yRB4O/evVuPaEzTbCwu + ViqVaqn8ues//9o3X7Hjwe2O49z3s/uFkq1O2w8DPwyCIJibm7vzzju5koQQZhq6k4sBEUIIIM45 + AhBKRnHMTCoUr9YrQnEFohN0TNNEBLjMAav+wb5Go5HJrFgoWAWn1+uVSqVKpaIQxHGcC576PT8M + qWHMLSzc//OfW4YxNzdXq9WiJObAwzAkgFzbcV03CgIA8EpulmUzMzNSyrGxsTRNG41GGEftdtti + xgqABVYhRx4HcU0NZhnmwcOHNh232Q+Df/vuLY1Go9luPfvZz+ZS3L7tJyecuAURPDg8RA12/89/ + 1t/fn6TpijmYZvI/jgjErzuOWSDpaV0URYyx0YHhnTt3Xnz+Bd/73ve2bt3q2s6VV7zu1a9+9czk + 1MaNG/M8v//nPzsyOdFoNMIw5EoWyyVCyIEjhzHGVbdGTcOwLDPPNb5W9wAoNRBCxGAKI7dYaLfb + 7W631W7UajWqpF4uetItc64761mWaR/i9evXNxqN9evXT8/NYs51Q0gIMTU1tW7dOq0DE0VRmqZa + X65QKDDGAgCKYXJqquC5hYKbpKFEUHCdxYX5YskDKQCkUrmUHJAAkAghhJjgmZTIsqw4jpSSSRIP + DvZ7nrd6QSCp1DJOAH6dlS4CUHxJJ9Cxbceyn3biifVqrTG3sG/X7jiOHcNyazY1WBRFaZ6d+6xn + Fxz3zm13/N7v/V65Vv3md76tP8ZCoaBF2LTJTx4njLFCofDss5/FOf/g1VfXajXDssrlcqFQ6Cv1 + /f2NNx2eODI4OLhly5Y4jg3D0BUFAOR5Sg3UajUsgzBmR0ErT3EuouGB/g/82dWlYmFsaOsP/33P + 7l13Ib4WywqTzDRQ6s9vWls/uHfb9ESAuD032XnGyc8868yzB/rrACKJw6JnYobn52cKBXdhcVET + RikhepShRygIIS4EQshxHK3qk6Zplnm1Wo0+1h8pTlf3OVa2ND66P1JXSyk+1h9Jq7Ywxmzb1pA5 + LStUr9cNw9C+e6tGK8AYW3nHX3yDmRAISSmlEFitcCDlypG00lbBGBeLxQJ4CuVK5lEUbdu2zVKy + 2+UMw9RsBygwO/QqfcViOQzjIAw9z7NsJkWqVtWHoLQfFUaI7Nixo+zZjuOEEQ+iVAjZPzgUxBEx + zSzJEEJSCD1fUI8MQKsQMk/+lPF/Rii0RPlTCAAkZhgUCCYElwKQIlJhoUApkHiFBAgYKYGR1ubH + WAGReEkqH2EA9TgmM0/FkzZs25ZSbty4kYBiOH/wwR0YUsVjIQQ13DgWDNlxp5PkyHato7Q/FAYE + upu2Y/supPjiXMZI5lngesndd9/teZ4p7NJAfwwiUlGSJPrBzUkmgC91mmDJJo5RhgBMZBqcMs6W + jOCEYowQyYjEoFUyV978v6lAyrJMa+3oPtfSA4VS5tCM54Zh3Pfzn518yintdvu66677yle+Yts2 + 5zzPsjgIe72eEjLP85Gh0ZM3PSOR0XNf+ULbLXpuLQhRuby22czDHpHcFBwzZqZZWCy61UoB4whg + IYpm6oOV6dnDn73h+sX5BYpwEsdxlHIBRa8U9Zqe521Yv+HNb3pTp9O69Qe3jY2Opmn6iWuv3bJl + y5133nnxxRe/9a1vvfDCC23LyvP8pb//+/39/YHvD/T3azCOyHm32+2220WvVHDcLcef8I63vT3o + +rpJWigUJienicEYY0oJ0zTTNNHk2MHBwd27d4+Nja3bsP5pJ2698847CSCBkK6LuJIEkyfbfscY + E0LznDuObVmO3wvrleqWLVvq1T7t6j47O9tqtQqFAkJIcsUTLpUiNjOoaVhmGicRTxPIXduQIBTI + Tq833VgsjIyWxteMPuNpO2U2KYXigjAzVyom1BqsVYYrULL3TU38649uPfdFlw6NDGc8JZaBTZJz + PjAw0G40165de+TIEdu2Pc9jjH372zf/9af+NkmSsTXjR44cGRoZ3r179+j4WH9/f57n69auGxwc + bLfbQ6MjQohGs6nheUrIJEkAYdM0wyBI03S+sTgyPnbw4EHOeZTEqcpHx8ampqZ0JkAIyZXMpCCU + tsJuo9Ws1mqdXnd2fq5ar2FKkiwzTbNar1mWdXjiSK1WW79+fZrn1DBMxnpR0N/fzzlvLjaiKCoV + CnEcLywsaMTmZZdd9uOf3F4ul1/5yld+4QtfcBxH1y1P6H7FccyzfGBg4MCBA1pzb2Bg4A1veMPk + 5CQh5Mc//vHHP/7xU0899bLLLhsZHNHSxKZlaaCZVgrBy1Jtv6YV9fhxzAIpiqJKpTI/P1+pVBYX + F13Xvfjiiz/zmc/Uq7VSqbRu3bpbv/+Dz1x//YUXXXTxxRffcdedW7durfXVS9WKYZqzC/OWZQHB + Y2vWdP1uxtMkS6Mk5jzDGCOCGKG6yzI6Pra4uBg0m5zz/oEhyzF7vR5lBCGkj848z5Fc0vVat27d + /n37giAYHR0VQhyZmvQ8L89zx3WzPLcsS9sSLzX7OXdMCyGk/Yg0saxareIWFXnKReq6BSlQEAS2 + ZSGFtUmEQkhKLKRCWCCQoBRgJKTEjDieiwF1Oy2Ky91ul2GCMUZAVuWIWjHh13ui6EraMs0kjGzT + BKnSIKIIZ2kKSplEI9CUTY0kiitOKU7CdqMZ+oGSYuP69cODQ0P9A3Ge2Z6rS9A0TW1mYIyRVC9/ + +ctD39/1s11Ti1P33HPPh//8zyuVyn3b7/vgBz84Oz938skn33nnnZ7nGaY5PDwcx3EmMsKwBAGi + NDI0wPOoWrLSJOq2GsNDQwcPHB4Z6n/BJZvac6M7f3ab33Fcd8Cx6gqypJs1s/moR5538amUnlor + QZ7Ea8fGkzBCID3bqlarKV/y/6aUClBCCARLVCKMca7FspVSyzZZURRFUQQgLctwHKtWq/R6waP9 + kRR61AQEP8IfqYUxXvZHSo7qjwSAAIgQyjCY47AoiprNdqHA+/oMx7EApO/7eb5EtNXqdqteCytf + H3XDZyJJINEQO0IIh0QIQQgQQghiiqhc5UopznmapiJWKpamzaSUjIBhUEjCIATLAMMEqwBO0aE2 + 5TJBSFYqFdu2kzSKogRhTAlGmBKClQYUq9RmcnikblFYWGgARqVKXUgchE0JDD9SX+SpCdKTNpaz + SQwKK1jCxSEAAMk5x5hjjAVFAhCAFIrro1IfYFhhJRUgLDFgAASYSCByCWcjsBJYu9D+z6qRfpm/ + 9ZfxSvotBkJIcOH7bSlikwqM/ErZIIoqxShzCOXUsrmycCwwIULyR75aAeKABELcZKhWq7gWA3W4 + sQC9AJKsDYhhjEUmeJJkWAkmtNsplM0qWG4AACAASURBVI5+MQokKKRhz0tOCHlCBYWUEyn1uFKu + Qtkp9MQSI3SM9WmZpkExpZhzTpBCSuRpBoBt09F4nuOOP96yrNde+bobbrghTOIsThhjlmlaXmF4 + cCgOI5HzLOAgC6YqGHLwL6++5tRTzrztRw8cPND94fd+rno044U8ZSZ4Iulhxohr2W5r7Tr/PVf9 + wdTM4Te97Y2SCyVEqVzKpcKIFouVoBsUCoU0Tj7z6evOPOn0bT+9vVau+J1umqbbfnz7h67+gG3b + N37hi5///OfPeMZpDzzwAOf82Wed/e53v/uUp590zz33FMolgzHAuOh59WoNcjU3MwtczExMXvu3 + 1zQaDYOySqXy6U9/5v6f/wwhpFt7QnDG2PMvu+y5z32uVyredNNNiOA0TvI0sywr8XuaZkwoybIM + 4yeVrx3KM5EmQX/fYJYnvu/btjkzM7ewsDA+uubw4cNa9G9gYEgplefcpAaThCpMFGaE5IJzAsqh + AnA3j6hrvvOP312tlp/5zGfetm3b9O69u2Ym1wzVZB6FYUgIQYT0jYzWKsbOyX0/uO5v796x4+Di + 7PmOmbXbxZIXJXG33d4wNj4zM+N53p79+04//fS77767WirfeNNNYRq9+g/+wH7DG6anp+fm5v7s + z/7s2k9+4sMf/vC3vvUtzvlNf3/Tl7/85XXr1nElgyAolUpa2cuxbCGWuvNKqSRJxsZGL/u9yy68 + 8ML6QN8HPvzBbre796Hdmplv23YURrPzs7bnTs3PXHv9pyYmJhTFxXKp1ldfbDaLxaJpWxhjAeqH + t936rrf/8ejoaLFYrNVqJ5988kBff6PVnJqaKpVKF1940ejoqOQcAExm7N69+7777nvxi1/8zj96 + VxzHOx966HOf+1y5WgEAih4xydFZDmhu99HCMAzN5W62W+ecd+6Pfvwfurv9f971zlanbc5MHzh0 + 8HM3fL7X6732DVc0m82xsbEojvXkU5MmVlOefvPxeBykbrdrmman0xnuG/R9f9euXWeeeWa1Wn1o + 566bvvilQ4cOWaZ5wfnnHzp8mFLabDaDIOh0OmmaFksly7E7rXaj1bAsa0mMaxW1FyGUZVmv17Ms + Ryk1NDTUbrfn5uYQUjqd1bm7/mgowgghxtiOHTvGRkc3btyoh8JaBUFIadu27/uUUs/zNLvmYfFx + pWCZIh8lcc6FyKXjekhlUdQjhEmpbNMFUFLlABIUQWAAIJBc4RQhUAi4EHrjEYwsx9bCL7oX9VtA + 6UqVZ5lnO363B1LZplXyijMKCCCCiMp4jnie50Cw4kLI3LPcjes3IKkWFxZCP2i32/39/W2/Z1mW + AKU5qYMDg91ud3ZqesOGDRMHD/3+5b933AnHX3XVVbOzs/9x++3lYqnRah44cKDX642vW1sqlbS7 + dqlUygRnJk3zxHPdWrU8caRTKRSG+vo9r2CaZuhH46eRQ/thYbY1PnrcgTAC6fY6Isvjdes3z862 + GbUYhWYj7qva8wuL9WqtUChwLqMosW3HYyXHcTudjhCCGEy3LpaaW5xrZpqSckX7G2Nsmkw3IYaW + /JHwKn+kRyjzrKT7T9QfSSmMMaz4I2nF8CiKFhellhtCCK1ILxJCOJePfdNjRcYMy1G2bWdKccml + lGT5kqWUEqSEJU1wAAjDMGqEGc8ZhRM3jrzyFZe5BCyTMEwA06YfcmX8bMfuB3bsyVKtgZErEKZp + ahSLlFKqFRQfESI9/fTT6+WiUqJSq8cp37/v8OHJ2d17DluIcr6MCUTw8LzoKQ7Sky+WZeawBKzx + UUQPfpSQUgkQEoFEGBTG2nBGk+skgNJoKkwASQAsEVIaYgcKaSSq7h3+1viyT8WvEhiUUuVSaX52 + pr+vhGT4Oy/5fcuUZc903UK7k/zgB3fsO7SY8lgik4GBMBz1FmOMERannHLS+jVjcditV2uthUVA + pFof+ov/e227K4jrpFiZplkqlfQZeFQOUp7nSAHOKeMMACzLKhaLBShBioikRBL4rxVI5BhH7Ir7 + nGYma4BMmqaYkNm5uYGBAYTQeRecrzMHSml1cBABKC7iMPK7PZHnSCnXtg2MQp8P1IZO3XpaGoCF + Pc8yDu3trB09J8E1P2MOK9skyfL23MTi6HjpovPPM4ldr/ZtWLvOtV3XdSVXzcZioVAKgiAKE9Mw + FBfbtm3zu92JycNIAaUUA7rjjjtEzptR06DMdV2DMiHE8y953lB9aNeOnX/5sY/yLJ9bmBdYpmkq + uVicm+cJL3petVxhmPTV6n6nazHj1KedtH5szb5du4nBFIg8ST3bTXm+b/eeP3rrO1OVnX3mM2+/ + Y1u1WlVCahkApZTCCGGkEDzZhsYIIUJYmuYAyDDMNM2jLEdYhf5DWsQCACyL6RsdB4nHHACQQhJE + /SQ2HBPbdDpZGLfql7zo0hNPejoxGGG03emceu6zX/LGK0Bybb9iOnan16am5RVKQRglOd901tlB + nJx97jk/uO1WnkSM4LHRofn5eddxtCbWvffeq+1trrjiioMHD5bLZS2gpbu3A/39L33Fy4cHBgGA + WSZCqNFomI7tOI4Epden4ziWZSkuMMbFQoEQctazzj7rrLNM09x8/PEEIYSQZVmNRqNWq+m0R1ez + TzvppD179oyuXXPJCy89dOQIYDw0MpwkSRBHhmEIIQ51OpuevmnTpk179uwZHR3t7+9vNJtXXHGF + 7/u1Wm3jxo2jo6OdVgtjXK/WKKXbtm173/veNzMzU63X4jQ1TdM0TT1BWg2QgV+kaKrTlcnJyYce + eugFL3hBt9vdunXrAw88AAC1Wi2KoiuuuGJsbCyO48XFRcfzFlsNwzS1hrYWI1nRIPgNrK7HxjEL + JJ3wBEGwefPmyYNH9LVu3br1JS95SRSEExMTa8bHX/nyV5x00kmfuu66crG02G7atp3luR8GxWIx + E9ywzDTLPM8zKDMNahpUSQ4ASkqplGU6dl9/HMWhHxTcAqMUKUwZlkohDJmSIAXFBGNMCeWcW6Y5 + 8v/Ye/Nwze66TvD7285+3u2+d689VVmAQIAEwk5YXBCknUFFmX6e6VF02m4XtF1QFO2muxmdxm2Q + 7hEecRydwaYbVEAFMZBAIAkQkpBUUkmqbt1bd3/3857tt33nj9+9N5UNKUwgPTPfJ8/JU7fue+q8 + Z/md7/JZlpZ6vd7W1taRI0eyLHPSXgigrMF91bIDcKTLCJknms0mUCKE8OugyOsw6JR57oVa2tKn + 1g89JaeEEIuKUkogABBgPSAc0CBoxlkQCy0rzn2nUu84PAeYSETnZ+sUxi45PbzU37fWTqdTY0wc + hkbpKi+c5wmx6HleXdeMcyo8C+gJwSkr8mk2HgshWq2W1roqyu3t7bXNjSRJaq0cxLO3te1mmg/c + f+Y33/3uu+++e319/fu+7/v+4i/+wgJcfvTyN/3Ac6RWURTdfPPnL1y40Gy1nNNzmMTTsiSEWKO3 + L2wNd7d7cdhppLLEsw+tPefnnnf6dNaIUymrr9zx5dnWs/JiGqcLLX/mwYe+cuhQ46GzvWkGaCog + 4aGl+b/+xFp3tuXxkBJfViQSAaMRpXu3KCGEOMDZvj3Fgcb0noQAgHOTeEJ/pIevlwsKsD9vtJY+ + 2h/Je1x/JEREpJx7xpi6Vs4fyUlnKqW4oEkaHfjMep5nNO4R3B+5iDxuR0SKcoCGc17oGtUeApAQ + NMYYa5xxkSsFhRDgU+PrIOKMYpZln7v5lrUH7qvKnCJYYMOpaXQ6EhkyL/DjspJSSsaJH4XGWq2I + NmjRUkqBAKXUSDhz/9nTdWHRRHFjpzfa2uwbYIQ94RLx/8fTLpBa4sB1ToWMu/ERRc0Is8Ra6izC + CAXCgDIgEvd09hlQN/7eK4SRMkuZpRTBwt5UwZInTED/3xpf3+nov4FmgAUpZZ7nWZZzCtlo9/bb + 7pT1EHQVBKE24tzKFrK01WpJw7Q1e43CR9dIRNbGmPL++x66646vnn1gY342slKFUXLo6DFKaauV + 9qYZT6MgCPY5SI8fgRcQAJ8GIQ9jHjvaZ4O0uBEPF0gXndZLvd2e6P50KZ3z72aMOWPNsiw9EV1+ + 1ZUOGj0/P99ut48fPz4/P796boUSEno+Gnvy5Mm6LDutNmeAtkwiMjsjfFENhpMXv+iyK6+A9/4O + yfM6nyhdNcBrUggDTgmodqvxvOcc4xRCL6yKent7u9Vock8kjTQKG5NJtjx3iBASCP6R//Jfr7r8 + 5BWnLl+cX8jLQjBeVOVcd1Zq9cu/9HaPi0Gv32o0v+e7X/eF226ZnemCxVe87OWf/LtP1Vb6vr84 + v2CVHvfHWkpdy+c8+9k+40aq7d6AAU2ThDPGiVNMtki1lSrxw6KcUkpXzp0rsmnzyqbDkLvOr7LG + kQwu8fQ/tU8EAnDuCSGGw2EUBX7gl2URBUGz2RwN+3Nzc5x7o9GorqQQIokblBCZKwqkMmXQjhUl + LBRfvufuX3nXO4Pa6qxqhGml9bDI4k5LaW1qPcNSK22lq9ZMY5SPy7qKw1DVtS98AGi3Wzd/+sZb + br1F6arZbRlVLS3MF0XlKNnuBqu12trdWTp8qKqqKE3SVvP06dOHDh3a2t4+eepUVZaojXOGLcpS + KqWUklq56l0pVde1s4JVUv4ff/p/xoEfhaFSqtFoDPuDKIqcSJ2bCggh2jOdoig+ffONhJBaG6Nt + UZXD8ShupMYap7Hc7nSSNOWc33/mzPKhQ8PRqCrL2dnZ/mgYCG9nZ+d973tfv9+fnZkppnlVlI6T + 4rB20+l0Mp26nuzs7Oxg0IdH6tZ+fQ6S1np3d/fUqVMbGxuOHPGFL3xBCHH8+PEsy5wR7Wg0Go1G + x48f3+n15ubmnLvPAQfpaTpBchrWy8vLKysrHuPNZvNv//Zv77rrrne/+90/+dafXN+90G63A+79 + xE/9y7vu+VqcJpTzqqqSOF5eXCqKYtwbdLtdVVWgFSqNUqPURFvKGSOUcK7qmlFBLB5aXHbOVt3u + HCKOp2Pf9wTb0wBFRGDUSlvVNQC0Ox1jzObWVrPZHA4GaaMBAGCsk+Z0LgFSylor7nt73gVoB7sD + R8pUtUxCHggfsE6jWEkpqzL0IkppLWuKlFFKiADn20OFJUQrG0VBVlayrOqibKUNIkSr1QLYU3oC + IHhw8YwF+hReSIrAfd6II0REirWSPOAGYJSPCWeEk3xchqEfJqmUlUVURodxotD6UUjKvKjKKIr6 + /T6jlDHmIaKxaIwyppzmrUZTa33DDTesrKwMBoP5+fnrrrvuS1/6kgS5tLDYHw5e+KLrfRCj/oBS + OtPp1HWdjSYGSZTE2bRCiseOnson497umIugrORgNJhfuOz3f++/fuozdx85flLW52I/Vmojn+az + 8xLZEOjml79651/+5fvf//7/Nc9Gf/6R/xy2o1e+6jVo2draZntmBpAePXq0Px7keYmIjO4h0zzP + c08RAXCPrqO3ESK0lu12O8/L1dULj/RHMmRfQmN/krlXX8Gl+COhBQM2CH2H3gSAINi79waDARkS + IYSbKdeyZFRcnGr8gw+5FKVKJmVZkg7jgiurD1QoOOfIUIO22jqBkCAI/LbnBZSg9ECvrpxF1L0d + 4BSkMshh2B/4SRImQV1XCNhoJlbrPM8o5YT4nAsODNFaa8EYQcjayvkoFFLVfJgJL0qSJEpbk2np + +ZGW9d4hGgAL+2rjbsJOHta1e/pxkPZEgwk6uQIke/JuDAFwr0XqTFUJ7rNxHhPuU/uf/Xp/+23f + wr5JNAAQsBTBidEhJQSQEEIJACKgBYsuBXJ+WHARe5IAkAObGmJhX7yOonWgvW/0eBxYDwAAgRzM + qoAiODtNBHAgvn1k1FN+9+x3xNn+H/cmYxQpQbZ3FM7qGhDIRScBnJ81tbAnXUrQMjB7p5dQCxSB + 2b0RjCVo3V0HB7twCoHEnRxkSOjBHNutDG6mR8y+RxAFZEgoQXtw3rgWAGCodSbPQCxBCsTufXbf + 7u5hoQUKjThBQ06cOMHBzLTCM6fvSxIa+rTf73MWc869ICqrqqgxSkJrLBAEtADUHb67NEwwpN7G + +roguq7g3ENF6MOJE/Ts2bNJ0lAYHGl3MlNpqytVlWUpWlwyBmDRokHnVgqUgrKaIBApaU2x5IPB + YGdnZ4qlzS2znCB7lIn5RTZ+9hvZuo+6nVy8ZUBKWSdhhJTIskJKKIJGm8SNwWDk+BVhGN53z70r + D5196MwDrWbTSDVCqIrywTNnrLWj0cgYRalGa3Z7G4SaOIkoA1UDGEjjVOU8TmPGyM5ur9mhURQw + IZMGGItlWS0tLS0tzBNCfN8nTFDKgiAyxoRhuLW12W63HXPYGJMkyXSStVotLdW7fv2dx44c/f7v + //7QD5rN5qlTpx48+9Cv/dqvXf2cZ588cVlZV1+95+6iyouimgxHJ4+dmE4m6+vraZoyxqIg7O/2 + tNWoTVEUkR8EQWAd+IWw6TSPwzjLp0sLS/efOTMaDAFACGGtVkoRzvakw55mPQCrdC5lt9udTiej + 0ajZbOpabm1tNdJ4MBhYCy4VdPB4IYTHqMe4LHNLsFLSBz4e9j7/hc/5FSZeMNgd+3EoOUVOAaAZ + tqrdPPaDyWQcN2JlKova8bTRWKt0u9PKisygnmk3pZR5XtSeRiTONcvJAju4kzPaclaix48fL4rC + EihlrZQCxGo0AqeUW1nGWKPVzPPcSQgWRdFsNlut1ng8vufee5M4zPPcY/wA7Y/Wep7HGOOc7uz0 + lpcX5xeWyipvtVrbu/2t7d3pNG/NdKqqKopicXFxZ3d3PB57nre1tXXixImHHnpodnaWEsI5V0o1 + m83peNJut4UQRVEAJY1W0/O8Xq8nhJifnx9nk9nZ2cFoOJlMKKW+78HDiJGHheyeKCjnzXa71+sh + IZxSJkS73Ra+f/78eQvQ6XS0tcV02p2b6/V6WuuiKJwBrdvzgXrwt+DWetx4wgLJHVmWZUEQMEvq + umaUbm5v/7O3/miappxz5/1irSWhN5WVax1ZpWVRBpRXQMvheLbRtJXyABIv2s42Pc/jRFRFKTya + BvFgMPQ8T+fSVHq+M2c1TLMJAUs9ACDO78ydfQOIgL7vT8uCcw6MWgJJmrrB5WQ40kYHvu8OLK9K + bU0ouB8GZVmCJs1mUylltanqAk1RVdNWO9a6ohY5J5PReG5uwSg7HuVHjiysX9hstTqOoGnQLC4c + mk6yiPmh71WDcdAWdV1rqaZFZQgYJDwIlVKUUCeV5ma7brDo7nitVZIkzlOIPHZcjZcGWbFaG6ut + 1YQR4tGPffKv/+ZTn0zTRLSire0tCaqsFdQ5AHJPvPr1350kybXXXffu337P2sa6EAIJkZWM4kiV + ted52TRjjKVJMij6hNCPfeIT//zH/+dmu/O93/P6nX7v43/9N0KIP/rgBz/4wT/+7Gc/+wP/5Ad/ + +32/PdedY5RZaXwq8jwPw7gcZ6kXcEHPPbSyvLwchKQ/zFoznbf9/L+MgvbCwvGXvHpW1oOdnZX1 + Czv1JPcT0Zv055PG6/67o5//8p8/76Un3/uB3/nbT36itjpoJApsrWtrdRR4RstaVXMLsxsbW+Px + OPETSplT8Ox258qyVFI7P1ZGOSJyJrSByWTq+yGldGNjq91ut1od3w97vd6BiYQDie095vZh7e+L + exXWWud25eyVJpNJXdfu9QawJ3vtBBiMQUKI74curVHKKFUAAADTlzgXVmjqunZDLTcpIrhvfGSt + thqEwxiwPdoiIgVWS8lZbUCCkoJC6EMSQ9iCRqu9M6qKfDdtLhpk02xkrU2jGJFYZNbsSdJRYJwR + NCZthEnIx+OyyHMpKyQeWul5HuKBzLd1Mt8HU29KuQPOUM4A3ASVPH1QWAQJR8YYk1Yyj4xl6Yfe + eJQttOZtrQGoAWKIMcQAGApaoCX48NHvQ33ovhL6o2Ivi3U/f5ps9wxn3GE7FTsESyy1AGDtnuIC + EAQDFBBgv5o64N7a/R9aoNbpwBNrAYgBQizur1ff2PFQSnwptR+yvM5ZQIUQWisChFuOlhighlAD + SBA4Aoe9YvUpCkuACQqW6oLKWrOAitgjVAGYeixjr0VJUFSl8KnFOom8nd6GFwhLbBpHSilpMPCb + iL5RMBn0FzpNNe15PgnDkFCx3Z82uksb/Uz4QSqox+2FrZXlw4vamt5g1EhnNEJNrKGorIoEDy3X + ZSkCzrlXlNJo4olIm4oJE3h8XOSchUpT4UVFMe60m0oXIDGyqdUoWTm1OQ0x9IWs6rpScdS0Fh0s + SoOyVFEKBgEQKIJUWgMCaELqMKAex7Ksut2EsbjankyyoR91Al8YrQlzNwi624SiBbSUGGIUo6aR + hp1GSO3qzhZICVU9Bhp6nleXoI3lwrMCAJAJYsEgGABKCCXAACkQu8cgJcT1kl3CJ5XxQKNBsIS6 + Wty4+/dhWL4bbX4j/1EKQOxj/8Ja5B7Lq9yg9biwYC2iEH6WZVEUldMcAMppnsSxqWUcx6PdPgAk + UewLIaUUQgxGwzCOCq1iHtfSaMvSOL7pMw/MtE81Gp3xqJ8kjWyyo6udzpzIy130VBA3P3nj+vUv + nk9a7a/edUcpy3MPPdhozSDQWoHSen5hdnt7fTgaHPeOrq2uLywsbG5vE0YF42ubG7/znt9ePnz4 + la961aEjhx88ezZtNn75He84cuxoVZSLhw/d+NnP/pePfIT5ntaKIAFr77zzrkB43e7smfsfAEaz + fIoEDFpgEMZBkRdUcKnBSpU2YkSSZblFmGZFkqTGWCE8a21dlL7HgbO6rh2X8WkVhCIDlue5A5vV + dU0Rwsh3EoWEMIdv59zT2qI2Hpps1E/mOpvTQbzY3Z30u50O09YU00rWXtMnnrBGKVm3wwbWuabF + VBdeDGjGHgKA1eWYUmZ8xpJwNdsVQkTNpDQGtQl8nzKuLbj6eabVFkJMJhOfC2ttIDynsaytkVqF + UaiM1gSlks20YYzJirzT6Wxvb/u+b7URnLuXuxCi1opwFvj+JM+iOHLgVObsWbVCBgp1mVfNdmNh + aT70fa3K7c2NME58z2NN3t/ZbTQaTnA/jiJjTF1VzUajLIrA94s854RapR1qjvveJJ8ywS0hBEEZ + rUqdNFLCWG80JIxOplm7O7O9vR363t7Qwlme7Dug4BPLfFtAx1wllFDODVrf88q68sOQMFrWtTI6 + iCJtDfc8wpgzrYT91sy3PS4ZPyONnhR7xa6yhlLH9aauhd9IUlnVG1vb119//f/+H//T1sa6UTIM + w/F47BCWtdJVVSVJMs3yKE20tk60kVBaFbUXiP/lPb+5dmE1LwpPCO55RVFIrZjgiEgYNWiTIHDW + SXEUNZvN9fOrgedfeeUVd37tbqX1zMxMnufLhw/t7u76QWARjbWCc2e+dvTQoR/6wR96/eted35l + jRAy150fDEaz3QWjSZ5XgvuAGASe1qooJ0Hg1aouymmZTxe6M5xC6HlpGr/5h3/IGDMz0zWIjVbr + zIMPLi8vE4ob59eOHj1aK0n3oyxLa63TWHuyLpjL4Dsz7clk8gNv/oGTJ0/2er2lpSU/iYwxDm/q + FK4dpEBqHccxIi4cXl5cXIzjeHl5eTKZWG0okCSKGWO+8Byw9WMf+9jtt972xje+8afe9jN33333 + 7u5uu93+4z/+47vvvvvYsWPf+6Y3jEYjgpBn0yAIjLVBECRRbCemLitfNJIk1dp4QcCEeNP3f3+W + 5YQwzryqUkbj1ayt9bIvPAownU6azSalUJTTTqfteZyF2O12rn7Os8fF5Nz5lcOHD29sbEgpnZXt + 4uK8EGw4HDPG0jT1PG8wGDgvYNdZYYxZC1prxhmA/4/3R3INIUqpq5GcVfYTyU3+g+SibzAkL6ce + i+N4YmtVKzTa48zJ3AvBEdGSPfpmXdfFqKp7lTGaE3zWlQs/9KbXczTNOBJUEM764wEK7+7Tq7d9 + +d7d3YwJL02ajKCsagS2lxUTS/abQIHwXvriFxw9vCDrcqY7l+XVjZ+55fz6dlEUImBu4d6T4sV9 + 47ZH1Pb2oCv/tAqjLBpQuqaEuQzZ8zxtDFOUWGoopZQgp0AIB2RI+MPLMmV76m3OV2ivS03h0UXv + N9TZ/lZtnyDYI/4Hj375XLwH8Zh9MmDkYP+X9tZioDi34FGPRiCZ1KBqWVqgHgsB3VkFSyihSA7g + fU9ZULBVmdsaUz7bajUqUxRVVuGorutuOE8BmaWxF2tbS61UZZMgDJK0kqVSupQ1Y+G4zD3u+SI6 + tHS0mO42Z5pFNrhwYbXZmp2dWdruTZdnj+Z1WY16cStanlkox1mQxEngczDG2tDzkDMJlAOiVtrU + MfcGo0Fd2VZzNvaSaa6NKq0ggljUeiZZKEs5n3RNWWBRCfAC6illRNjggcjNqNAlJeB5HiJFtM5p + wrV6rLVggREwUsVBsrlxod2MwBY/8iNv6cz4kcestf1+fvuX7v3afWvKGgK0VkZ4iMTNqywFg2Ap + dfWSpZS+4AUvuPLyy6aTURQGOzs7nh/NLhz91d/4zcmUKCYkqZITTS/wrdXGGOQIhCFhBBgCIgED + xrkIesgZY2EYNhqNbrfbZE0iOUfGkOO+BQjucR0vaVWxUlbweLQlZx/nUAAH6CBKOBoAoOnxWGs9 + 6PeTMIqCsMwLCsTzPFXXdV1HUdTpdOI4HucFUiYBeRCePXe+0Vi6+967VLk6LdafffVVdZWFTfC8 + wI9sNpVZ0V/dOPuhD++8/DW/YEBe+cxnVFU1t7CYZTnjvud5XIjhcFgU02uvvfbaa6+96pnPXF5e + /s7v/q4vfvGLa2trf/iHf3j9C67/nd/7nTd+3z9hjN14443D4fC2L91+5sEHjDHPv+7aaZFzz7uw + vt7stH0uhBCNZmvjwro0ulbSD4J2d8YAZkW+PehZAkm7qaThnrDWWiRpo5GkbQAbxlEQBFIp15KO + 49gYY7Taa+R/e6gfTxj2YHPgrUK0YwAAIABJREFUyfZ1WWrM4yLwkQPhbFrk9bQsiEcK6RvwkogQ + MtWS+EIwWhXTxI+FLwhFagHQIhpCGBJAyoDz0qiZ+TlE7G1tU7StJOaE57VEwhwa381/hBDOoYgx + JpXyPK8uK0JImqZa61pK4XkW0AIWVUkn44N7sizLNE3TNFVG9/v9MAwrWQPYvCwQkSD4jDnQj9WK + AkFKlKoR8aMf+TAUGMzEL33py+u6brbahBDOeVFXTsnQNTSdeUmn06nywtGihFPgcA8D2xvlO3RI + XVVOf5wQoqyZTCbC8yxi4HlOR9dNAlyZtO+A8vhR1zXljFJq0LrU3TWXHXyumcTD4VBr3Ww2pVaU + 7JVejyQ1PGEB9lTHJRdILu/EfYnkvUScEEBwUmBCiKNHj8ZxvLW19baf+dmTx47Nz89vbm4CwHA4 + zIqCc+5kpsuqqmvlxj6TfBoH4U//3M8GQVBL6agmbupitaGUEoBBrx/H8Wtf9eq3vOUto9HoXf/m + 39x7771XXnbqwoULr3zlK9/17/+dVOonfuIngNELFy4cPnxYay3ruq4q6geImOd5I06uefbV//rX + f+Puu+5/zrOvXT0/8EV7pnPozH3nm82ZOI43LqwvLM6MRzuEySNH53f7q1FMGLfUmn/6lh967ate + FQTB2traDUHQ7/c9zjc3109cdmw8HltrTl1+cnNzs9Fsci5cXp4ksZPVDsNwr0Z6zLzokjICSwAB + KecPnTv3q+985+zs7H1nzvT7/ec///n9fj/Pc865qxkeLpMYq5X0PG8ymbh2xYWN9b05fpG7staJ + VneT2Fr7wEMP/uEH3p9lWRiG3BPC96RWX7zt1ps//7l+vz87O2usCeOIECIrRQF7vV6UxJQzJIAE + snxa1/VffuyvGmmrKCpCGKNCSgVIhfAJgu9xY4zW0vd9qeosG3seDyMfAM6eh9u+fJuUcjQaGTDA + oNNp7+zslKqanZ2dm5szBouiAABXqzh8kKuOiLOx2nN3BkRjrQGwVVVkGUvTNI7jRiMZj41STuwV + rHXe6oaQPYcluKjUIQSlrIxRxqgkSZyaCqJ1NsQX8xSfrOoIABTUmuqDJs3eMoGwtySBPchwnSoj + DVgYhmiVMeLOOx86feedg96wrpFyGE0haJAg7oRhO0nnrbVooZLF3viAABBLCHNoHLTEAr3x7z/v + cWAUkrSJhG1s7hTSIA0f/oLkKRdpfNJD+BwRKeG+71VSG61DHvjgWaWo4ZQySsFYJCA9yyhYC3tA + tYfHR+D09fegY/jYM+C0/Z8e228unqL9EyTMeIwwUiP1mFGWB4IG1KMMS1d/IaIh+0pZhDze6X3y + gqBteKwZx1jYaW+T+DYKbRByL06qqtaSoLGcewxlTJmpK04ZKTiawAilCfpBYzSdVKpQ40k7jRgz + Wsuokc41OpubfUNVVehsMIw8QS3xDERBXGXjICVILcrMVyqgDatIpY1lBK0kIKty0m5EohWD5NUo + CygBRovBkHOuK5UIZQZ5O0l0ZakNorBRl7bQ0lRomVVKa1s3oiSg8bRQiAT34IpACEH3sFrQ0lhu + nKzRxsbWzbd8YZLtltnYWozDbl0TWekwTpkISFlpfLQ/qEUEQhnxp8XkS1+57zOfvfX06d5cFwiB + TqexsHQoSZIgiqfa0kYXYss5d3ZDhLiaFy9aVAln/GDltPsSO9JIrLQrkAAALYH9AulSe4tB4D3u + XXqxxrfzdSCESK0F88DYC2tr3W633Wjedddd4/G43W7n2dRaiwDC9w3iufPnt3d3LYBHIwtmMMl+ + 4qf/xfFjVxISx+Fczc7c9cCOlJQyj1IujRaCdedmopYXp+Z1b3jd4cPd3f6qRbK72yfARMi1KpgQ + SGyz077muc997nOf22g04jh+85vffMVVV33oQx+inH/28ze/6jWviaJIKfXQuXPywQcnk4kyZjwe + f/G227IsM2jnlxYZY0aqyWTCKQVKirL8yp1ffcMbv/fqZz7LWnvb7bffdvvtkyxzmsCMcGttTJL1 + nY0P/ukf3XHnVzY2NuYWF577vOdxJ1vHmeP2OqAaJ5eWIj5tXg8WwFoChdUlAaot9yLuibmu3/LC + ohrOxWlZlsqaSlWcsSAIZKEyWQseIoImaEAjEAOWOAvtop5Op6Y0grN2nKZxlGfjQlWNZqtWJsuy + qqoC4Tn5Lsf6azabFEEZTSn1uHBlQBiGBGA6nSZJ4vs+IjpBeYdMqeu6KIpGqxnH8fz8/O7ubpwk + AKCUssYggEXNhPB9H42RsgqDUFt4+atf+/mbb371a78jDEPzwNmVs+fiNAmCwJUivV5vdna20Wg4 + NSk3DpVSelwI38uK3C0ZzmfSnTvHNUBEPwwAQBW51jqKY9c1ds/vgXnrxQ/4Y0NWtbU2SZI9UEwQ + lmWplCrzoipKpVQSxaEfaKY9LrLxJG2mbodmH1z39TlOT3XZdMkFEmPM7BtoOjI6WouIHudCCOfX + 2W21GWNZls3Ozv6H//Aewfgf/MEf3Hvf6fFwLLUCgNFoVOXFm37wB178opd++sa/v/4FLzx24vgn + PvbxQX/XDzxEdH5zGq0zonH/3MnjJ6666qpf+sVffO9737uzubWxdmFpfmHt/Orf/d3fjbPJB97/ + gfWtzbW1taNHj9ZhvXZ+NYyjOI4ZY3VZuYJB8nq2PbOzvv0j/+xHv/M73vR//emnfO/wmXv7Hp3t + beo+0rr0dzWnzJuZYy9/0YtPn7n1p9/2I6trD/zGr75jfnYh8sPNjY3F+YV2s8kpKcvi0KFDO/1d + KWUah4jK86hbXoMgcChY3/erqnL+a09KuL5Cs9n86l13NpJ0WuQLc/P3P3Dm3ENnB6MhBeKHAQWi + rdFSMcFxfzbiOmFlWbpHxT2QDiR2QLMRQiSNdDAaHl4+VCsZx3ElazSWMCqEWD58SEvl2gAGbafT + kVrl05Jznue5AxaGYSiE+OIXv5jEjbquGROeFxBgAAQtkVJSggBICVpATpnwOVptUFttiqqY684h + gZ2tbW1Nu9kajkfzi4v3P3Df9vb23Nxcs9kEAKc+5IwO6koeqCxYaz1fGGOcqgF5tD+SfAJ/pBKf + wB8J9iUxHD7YhZTyseOmx/7xmwspyioc1nVNKWeCGWXduPliOLj7ppxzPw05E5R6WuVS1nfccSfI + qioQEcY5WAA06HMWhn5e4ng08XyWxr7R8mClQdzThSaEIBit6kYaa6k2N9fjRjNNUyilIQIYM6Zy + 0ERXoT2JE9GnOvZBlYQQpqUa55NO0KxN2SItigIsNwBGIQXNjQZizR7Ah1qyR1IiCEgulkC0Tweu + 0dfhIH0T8RTtnyD4NEAw4+kAfJyWedKOGQdAJOi4fwcPjgUCaJ/yN16l5HBr0GWzs62ZwuTjclib + OjP5TDJPbeBjgBVQGjQbnWw6QEMmfSl8L+iGlcLaaI5iPp1TvvQFLSrli+j81korbl+2eOXqytaF + e1Zm40mysADDbC0/L0GCb/PdSWuh1erEqqpbXiPP6kAD9USFhSQkCIO6lEU28mnCa5GmsRf6OWAY + +ihouT6uLvQ3y/WTh44KIrbPbQ+0oTORMtZjPExCZQhaUhn5WEwKIQQpGgvC51Vdd7tdgnJpaWFl + ZaXZ4GHk59NSVnklmVRoq5IbYmFPmZUgBXD1jQUCiJpQ8EWwu73rcdKIoZgCIdBsms0L677v+zS2 + yuSm0rVcW1ur65qHnDFmLDiM8EGiwyhzkyFrbF3XTvlWoYKauQKJEHJQIMG+bOk3Hr3ezuOOFIQQ + bnjlXhauQNLSCCourK6/4hWv+NrXvvYnf/InQohTp07dddddRw4ddi9u3/ejKAJKFpeXuCcyU/jM + +4VffjsAJ8An46LdmX31665Pk0attDWglJkWFWM8ThqeoEU1+MG33KB1UdXZ8579/DhptFqtoq4m + RVHVhe/7w+Hwo3/1Vx//+CfybMo5Hw6HURQh4tve9rY9pEBRRFHU7XZdXuQ0gW699daiKHqDfnd+ + Li+KKAyF7wGls/NzzU77V97xDrSWEOIgEq9ufofD72itBaXGGCq41jrtpCevOPXs51/TaDS2dnZc + M5ShpZQSSpnzonh6wQIOWnXf0GDLEpCU0igwlFuU2WAiCMuIkv0pnU3NVMXtxG82+jKvlfbjyEy1 + IJwQKom1jBlikFjXoww5DXjQjJMyzyajsU+5tiB8b6fXS5KGu7Vc4SGl9H3fqXk1m01bFIRSY0xZ + lgYf1i9xGYjz6nUPgjGm0+mkaUoYHQwG4/F4c2Njdm6OMkYpIwQIgLWurVBwShkTWtvV1dWXvOQl + 4/H4yJEj586eb7VaURgL3xsMBoyx9my30WgAwMrKSqvVIoQMBoO5mW5ZlmErKIpib/m9qMpx3AMn + BVFUJedcGt1qtbQxSimllaDMqWFd/Lknyn/SNHXIJqdj3mg0XN7lJAaqqnKOmowxrXWn05FaHoyM + DgqwpyMH6YmCsT2dmIMMEhAJIXme9/t9N4xGYzudjhs4tBudfDr90m1f3t7dQYNxmCAiE5w2Ot/7 + Pd/7vKuf91u/9Vunjh+74VWvOHnimFZ6tjPjdMk45wIJIBhtGOfE4vmVlT//0Id+/EffemF1bTwe + 53kecO+qq67qdDpvetObhO/lZdFutQghWutDhw7lea6lAo5W6VajYa1VZT3YHbab3Zde/7LQayfh + kZtvXO/vtia9Kzqto9OsnElJPlkFtnF6484f+9GjZ8/d4XnBC1/4oiRprK5eeNkLrs/z3GXMhKAn + mFZS1aXv8TAUM92W8Nipy5/xtbvvdQtrVVWOLvIkXuCyrhbnlv7wA+8v60ow7oeBK2BkVSOBwPOV + 0WisF/gEwaBFBMr5ZDJJ03Q6nXLOm81mURRlWQohHKNRKVWWpatwCCHNZtNqvb65eezIkc3t7Zl2 + e1oU7WazNxhwSr0g0FISxtAY4fuIzrpUOQk4SmmSxv1+f7Y7Z4xjZFlZa9eR4oQCsYQgI7SSpcdF + lIZ1WeVlnsaJ1DUF5r7XTLdb5LmIgrIsjcGiyCjl7XZ7ZmbGCcrvPUUUHALt4B1sreXcWbs8yh8J + nLrrzMzMZDJ5tD/SY8J93LUw3VzYvardpy7uiR78/pNyfV29B4xZsK4mEZQwxijbg9i5XzPGqMrA + tI7ipjIqoErQmrAi5NBIYJFDe9YTcbM/VoPxBcpb3dm2tbqsC18AATDWDTMdnMoSimU5WZiNwhAn + KotTVstJNpWtmcVp+aR8rW9bSKMtaqUVMywM41bcOUaPDsa9oPS59gl4SKihloAWWgOxlhJDAdD5 + q1LXXXskVXmvNf/03H5z8dTtn1Fa24rX/ND8IZEGlpla5wQo7CvjUQSH47HohtBP4bvQEK5ZunD4 + eDDl40HB/CAMZi2dBAGf9nWoMEV/d2XLFIWMwqLIoiRtp53xuBSe1w5TYzCgLJY43p0iw0YkKlsd + mT9WjIvV8+fJkL39e37q7H33fenTNyecPePQ8vZ4+MYfftMOjG/f+kqe1XVtWS43zmxTKVqtFk1Z + s9vqb/eBENmTgZeUo7LaytJZoUBqrj3t+Xnw37/4jZ/80EcfOn0f1OB1kmted326NHumOrdTbnnA + lEVlFCOEUoH4iEtECEGC4AN6oLQu64qDZsQyrUxVTQvodknaiMYZUp/V1islWoKCIwBQ6xHL0VKC + wNAQUhObBxxmZhpzs62YlWur0kpo+6KwhDKvN5iItBl6oQro0aNHPc8zJrPM7nOOHp60a6MJIdxS + l4R5nhcEQUQiacxBgQRIEfGbmyC5dPCxIYQYj8daa0BAJBaAUkIIAYsLs3Prq2vLC4v/6md/Tmt9 + 6623lmU5Ho8dfWI4Hu32e34Y7PZ7v/e//f573/cHhJC60taC6/35vg/EDgYD3HMGD8IgZTSQtZWy + MlgA0XEQaCN//V+/k1B7bvV80ogZo8JnzVaLCyGlNEDcW+bIkSNlXTlAFAA40nwYhiur550e72A0 + 1NZk+VQpFSZxtT8Zq+uaEVpMpz//i78Q+sHc3FyVF2maTiaTuJGCkluDHgDKunR6rZRSEGQ4HW31 + t6fT6W6/J1UlBHPXRRtjJQgqvskn7ekRloAWVCEqY5DSJEqbQUyziieM1jbigTYEkaJCqWQUxNZI + pjRFZgVaAYQTIAQJYUB0rZtBMNzeRa2SJI7SpLc5DHnkZiO+73ueJ8uqLEuXIbRbrdFo5OD9QohJ + Pl1eXi6KYo/JLDxZ1QAgq5pSigSCKAQAJvhgMCimOSLOtDtCCFkrl90gGkqIGzpJWWtlwtCvypJz + etNNn7vmmmu+eNuXsizLstwa5JynjbSu6631je78nKvZfN9vxEnkBwSh02prrYfD4dzigrEWrbXW + on0YIKGUiuOYUsoE74+GnucNd3aCIHAMBUTUTt4J4OtA7ChClmUH/YgoilxuvycxzVgQBMPhsNFo + UEr7/X673S6rku2HS+dcPC614VsQ3wzEzq10DghECGGUOqpGFEVCiCzLikmGiJPJJE2bFiGO06rS + rjRCxKIsQEpKaTaeKCs9zoMgOHP6vp2dncufcZVL0J38tOuUu3+REvLKl77M5+KP/+iDHoi8zN7z + nvfcdNNN3/na11ZFcftNt07U9OzKubf/yi/3hoNGnHBCHTLK9/3drW3f98MgUH69NDdPDQa+H/js + h9/8khs/+fHAOxL66XgYGNkMhedx1mglGxtfec1rTi4svSGNk95O7/nPv05WdaWqxcXFxfl5LRUi + LiwsbPd7p05dRgjmxTQM/a997WsveOGLGo2Gk4p2VbK1No7jJ2WI5IYvr3n9d4Cxc4sL2Wjc7s4U + 2TRupLqWRV0Ri5WSYCzzBEUwgIJ7AJBl2dLS0vr6ulv+0jR1kxCnh+b7vhsluezcmfy4H7rOxPb2 + thtAORi34xFubW35vg9AETGOwzAMB4OBlLLRTKuqIrAnRaK1dTIGjArOudIlgPWYZ8BQAIOoZa3R + NuLIAOaTrDXTkaUcTzOfCyr4Q+fPBlHo+76jsc3NzXU6HcbYYDASQuyZZek9RUhjDKXg6L+P9Efy + 6SP8keAif6RHND8O6hw3g3L1rfvWBzfko2qhJ7H6vRi2564FowRQa605scYaS607+YSQosiLXllv + bnIGL7jm6P/4w/+U69qnSAFFwIfZBFj41dNnb7nta9u7GRMqjuMw9NDUzhLKOYQhsQ5RkyTJ86+7 + 9sjSnFTV3MJyNpWf+rubVtd2qkqJQLgFy1jruPyX2tb9dgUSpJz6IoK6RESKtJwUp8enP/KB/3z2 + 71fAAFgCjnaEyKwmAIY8ak6yvy47dcqHr/y3nW3038KWWOAIIbSPzbz1X72VtDmGptaynSZ2T2Fv + b+yBaJ96k20AZJT5992/5m2RhHrCs/6Mx2Yja7GbzDZt41Ry7I4z0+HWdFzuMo7dk0svu/qGO7ZP + b9ohI3w0HttKD4brOqt6k1FN6sPPuyyOEiJ42A7vv/u091xoVaKVe9VovL5ejJVsw8yWLZJ4dlNt + Eh7Md44dEpc/o/WsBiQP6NN92wckrXa7MNWzFp+bwswEsinsnh+fsVYFJCyHVZfN2ik0aIMA5BM7 + HeYzS4c44agNIx4YMMoSDpxxa+3BUuTWK0AAA1qZMAzXz692W2kU2B//sbe2WzzwkHK2urL72c/f + 0RsP9jA2BKxVAADW0v3xsiVACRgkAthzrnneFVccv+6661qNRm9nF7i/fOzkz/zCOyZTUvcGFlR0 + qrHn/kqVpppQTi9a04wxBxmHo0kEQZAkSYM1wlby2AIJLn2pUap+3J87MLnzbDjIYTjnHvHTSFxz + zTXvete7ppPslltuueGGG97+9rd/13d913A45JzHaRKniRcGndnuJJ+urq1xztO0QQgLgmA6nebZ + RHg8jkNKoa5rpVDwAC2raw0AQUiUUjOtWaOxrtXC8oII/VKWUpaUs3E2nk7z0I/8KHakjiyfTiaT + 0Wh0xRVXrK6uLiwsKKWklI1GIwzDsiyNMe1221FnA08wzvOyKKvKACKBZrt9yxe/0O3MrKysdDqd + Vqt17733drtdJvhkMml2WrKaCsGazXaj0Zhbn9tc39ja2qmqKk1TY62Dh7kLZvazr0t8xr7F8Q8c + ntRKEaTCAwACZDqeVlv9KxYOV/1xGIa7o1zWNIqE1jKwOJM2cSwptZwgQ2DWKLLngkAsUgQGZOnI + kfWdzZ3erlQqYpQzURW1EMIpsLkJSb/fHyHOz8/3er26rmdmZlRVozZKKau0sqbRaOzu7rp5kTIa + ABxZaHt7Wyl12WWX1XX94IMPtlpttASAMEqNRVVLI5UQghMGjMq6nu3MlGU5Go3ySaYqNR1Pwyie + m5u7cOFCNp5wTyil1tbWXGe5qqqtrS1ELKb5kSNHpJRpuodns9YSiwQfzkBcBuX8S+qiHNIhIEZR + VJXFwbm9GGX3+NeGQBiGrtp3yor9ft8VVI4Z5WzTXC0UhmEQBBYsHCxfALCvBvEkEhkuKb6ZAskN + vy5uoiMiAlJKB4NBWZYzMzNxHLdarUajQQlnCOP+2PO51tqPfDdqmJlttVotRomWNRq7tLDYabca + aVLKOm6koecrpdxNwyhVSjEgvu9HNPz99/3e52666XXf9d3/ww+/5f7T9zXTRr/f/7Ef+7Ewjv/t + v/93r3/96++57/RX77zz+PHjdV2Px+MwCFWzGQSBzwVVljOIIsapmkzHc52mAbO1vRv6bco9zlhZ + lcbUkZZ5PpIVcGHW19eC0Dt54rITx48GIsqyie/7J06c6HRa1uorr7z8/NrK0WNHothfu3C+v7n1 + 4Q9/+Oqrn9NqN3Z2dgIWEIqM7HeDAB6LILGXotpEEYTnZdOp73l33X23kjLd3dFKHT5yBK3d7fVk + XVv3ZiHEGkMoVUq7+rus98gzk0E/y6ecc2fd48TQXQlR1zXYPVCsUsoN5c+fP+/7/uzs7ObmZlmW + bnDv+75jIhqEqqqs1ZxzzlkQBONsjIiOEEUppZR5nkcp18pqI7WWjFFOOfe4NaaWkjPGhdBaK603 + Nzbma8kolUqVWCqtXdPClW39fp9zHkVJuz1jDDrSIaVUgwZCKCOqNowRazWApRS0lgcvQkJQazka + DTyPR1EA0KyqSqmaUpfMkcc8gNbt5+A+dy8wY/Ze2E9FmaSg1lwbY6zdU7GjlKBGYwyhxqI9GGe7 + JQYj2w4agLU09st33nPnl766eWGnyIH7MCkgSsGLUj9qJc2UEEKoLKvC5z4iIBggCE4LGKxFYm3w + 6U/ezgEJgWanA4Rd2NiqtaU8IBelXf/47/gtDsKIQVPLkmnhhWE76bTiZjeeW+ervo0o+oAMCaEA + whqGDysM76vZPcHzSdCRPPASRK//P7dVzOSmQAEJREe6R+uGzjDTWrr38T6iwxFnCABaYtlTiemh + yEkRvuaK777iisMCbAWTHb15tlgZlmNCqc/EAnSxV5F+rSdTHod2VEfAfUZVUbAoSkVydHYxTMjJ + 9lEBPIP8HrvywNZZ5gkfcHFmtgXJSlGnQeJFllLuxQLAB5oYPykspUjXt8Zf/as7PrL+SaIMa8PV + r3gmtNnW9uDTH77xpuB2tMFYZle+5Nj85W3wTaFLBNuDydQqqIxAz3ImhE8AZF0bZVWtq6oK/SgK + wqq2F69Ie8+sBWKA1EAJiaLIj8Lt/vqNn7ttONqejgac+YDBaFxb66UNP/BopY00vlOcA6KRKAsW + iDZgKZB+WX/+K/d//O+/8NBDu3OzjDHWbDZPHD8WRZEfJpkykDAeUzcXupileXBIhBC67xEHCM5c + sSxLQUV/NBLAOYhHQewQL22C9ESdZtdNC8PQYZlctcYoJRaUrQ4vH/rC52/55V96u5RyeXn5d3/3 + d9/85jd/5jOfObtyDhGllMPJeDweS6USZ4NrjVJVrStCSBB7AGDBKGWMMZzTIGSc80SzWteUmaLO + pUn7/ezw4SN33XXHoaPzQegJpBaJ0RDHKafCWiCEcQ6MsfmlxbiRjqfZoaNHJpNJt9u99957jx49 + Oi0L1+fnBKZlkWUZ55wLUcm6mTZOnDgx6g8mo7Hv+7WSne7McDjU1nQX5gkhyGjUSIXncS8FYqI0 + KmW5099ZPrzU6c48+OCDZVlywRBR2T0tIkKINQYu8b32WN+wJzmeIBUHAHD2BI8MzjmCFpwNxiNr + bTuIX/3qG97zznfNBMnW1tZqf9trx0G7MRoMeKmWZmbGvQFQtAw1BcOIoW4Sy3zuT6eF7wUnr7j8 + f/rnb73plpsXDy82m80777gridJms+myLIeXi6Lo6mc9a2ZmZmNjAwDOnTunldJKXfvc53HGqrru + dDof/ehHHRVcZhNKqUvDnPuilHJ7e9tI9fM/+3Mve8nLjTQOs6e13s9YwGqjdD0/P7++vh54PqJd + XFxa39yYnZ974IEH/sVP/mSWZRZxcXFRCNHv90uTP/OZzxyNRlrrdrN1zz33+EIkUVxLaQCJRYvo + 3Dr2XnuEEMTu3Jzv+3OyfvDc2UajIev6YmGGi0upJ3runNj69vY2AMzPzzsFc6XU5uamIz484xnP + 2NzcrKqq2WxubGzML84ra5yGlsvuHODTPt4k+VsgsfjNuEDSfb9O2K+OjDFOQNb1+AWhKysr1z3/ + 2slkQoFYg07MbTwea2193yOE9Hq9oijc63AymURRtLm5KeKwqqrt7W1dS1dWGmNcC4oTurS09IXb + b/mzP/uzyPNvvfXW177q1btb25PJ5HM33by6ukoYu/3W23zf/9Tf/O3S4UOf/exnkySp63p+fr7I + c2stJ5QYnGZ5NsmzLDt0LFnbgM5MvL5ejaar7dYSsVSWI2sH3FPNdrq5WW5sbL7wpS8Sgtxyyxe6 + M20AyPN8nOX3nbn/ymc/YzQa7Y56hJH77rvv1Knjb3z9G/r9fhK1HLPFjVbH43GaNJ3b8T/+alkC + DsyKSeL7fqfTWV9fn51zAybDAAAgAElEQVSdXVhY+PKXv4yIwttzf3Kq60qpIAgcNWhzc7PRaDhy + V1VVjjAWRZGD3rmHkzEGFquqCoLA931nY9xut40xbvrU7XYd3NZpdbgXYBRFrkbmnGmtJ5PJ4uKi + e4pcoVXXlpCDHgBSSrXVqNCBCtw8ZGt7u9VqdWfnrLVZNhWCB0EorfFY4FT8Xdk2Go2k1EmSHDly + 5Ny5c0VRuC+41wUhCIBKGXi0PxLRWrfb7TzPV1dXH+mPpB4Fk3N/dNXdweDIMe5cR+1iDtKTC5O1 + nLt/xTBm0CilgFFOiBCCC0GAKKaMNA4P6ft+0kn90NMyz/P8MzfeZKXKc6AEJlMgDLSGRhAkaZpN + KqVU2gjCMFSVQsKAADpbnP2RupTSozSM/Covdnd3PT/0fT+IPYMMGUes97AuFIDA076t+HA4sK7n + tKUsGQ/HPgg5qmnFecW54Wi5RULAMku4tRzccM1dTbcC00d5qlB8Wn/9xxztE26f6v0zTozvQ0Rs + TqqsntpSRRYJI4QhSoJACNJ9DhIhQJ5iHy1uCJf085/8+//75vtHF7Zac9HJF5yav265PdvK+rny + IwAzmvaJsH7is5CNVaZA0QaVynoMZaEfuu/cX7/3I2o710YmRzo3vPUNyeFObqpa67AVrcgVnaBq + EQkYRxEl/Evn7t1qlUMvMwHxCeeSTXqjzTu3BtsDf4F1jnSeNf8sL/KzjfKBla9oxRvLzatedrmf + NGs+VUrzltisdv2FMOyKhCU1RYX/D3vvHS/ZVd8J/k66+Vaul/t1lhqBAgiBEEEyniEN2IuGZILZ + Ha+xiDasbGOB7TXGsNggwPaAx7A2YDCGMQIzgDFBNiJISAIUuiV17n451Kt08z1p/zivqltIYiQ+ + CMn7mfNp3U+pu96tV/eee84vfIPIIBNF6XmebZOkIARtW7QBAMbI9IfN0EhjApZjc85npue0zlut + 1h13HgpDqkrRi7NKWK9Wq0kiozTRCCsA5vgAoDVCoLTWGhQCpbVCWFNKNrbWXJu5HmxuSkJkpaKO + Hj3sOI5QNg3sjJSFzPr9vpTS9mzJpFSgpFkykWnIc8nxyORECGFIy1JJKIgESozQjjbSkWY1fmgT + 4oEqzRjjdrvtuu7ZFV6kMdJIKjk3NyelrFQq7Xa7LEujMzExMTGMo8FgQCzmExyEIVcSI8yFwBh7 + gZclMSHE8Z0oipgmWmtmEQCIkz5CYBHKFRd5adm2wZL0+/3HX/zEpeVjSVn6gRvFMbPDaqUa9Ybd + OK4FvuNYwyRGacIYcxxnOBxqrVdWVubn503jyyR4RvbJqHEihMJqZXN9g3POEMaU1Ov1hYWFVqvV + npyM45gxZmZEWuQ2OFmeFEW+a9eu9fX1W7723Yn5uXP2nnMGQ07wWH4dYyylJD8RiP4IjDPsIz3K + i35sNVZnnOBAIcm1EpbvVUMPIQyF4IpPzk1Zpfr7P//7L371y16tMjE9EW1u9k4s1QiVxZAhRSnF + jBJKseNoy1aMFYSt9npbSfy7f/iH0zMzO3bOr22s93qDvXv3Y0DG9cgEnP1+/8ILL/zERz9x6x23 + YoybzebVV1/tOM5TL33KW992zYmjx9Ii9zzvxhtv7PS6hJA4jhuNhm3baZoagtni4mK73Xbalue4 + f/wH//fxoye6nQ5hzGZMao3hjKx2msae51FCdu3atbS0lBdFZ9C7+dZbzGM1Ozu7ur5mkHJPfvKT + P/iBDxIgJxdPzs/tuOyyyyilcRw7ngugt3scSmu97SuCMX7a0572+te/vtlu/+j226655hrm2Kur + q/X6tpyvOktEwUBs7nuvsAaTO7mu+4IXvOAtb3lLw22sD9e/+MUv/u3f/i0A7Nmz52Mf+RgGvNpd + PXTo0O///u9HUQQEj+XfTAD2QAnYz8G4/CHP/nFqZIbphGOMCSCj0bG5udmq1Q0S6dSpUwAaU2R5 + Vs5LhSBJolptNityajHLsiRIoSSz2TAePv4JT+gMeiakZpSOZQQRxkqpUgqM8TnnnDMYDITt7Nmz + xxAQJycnR8wTqpSanZ01uVa73TYzb3Nz0/c8SqksuSxUkiopbUS87lb0N39z/eGjpyx7rtn2Nju3 + 2hZjVUVlvDnYkFB+76bbvvTVzx648ELbgVt/8INnPvNyAGCMJUnieR5CKC8LICC4cBxnZWUli+KL + LrrIouHmVtfQ9eI4Nh1Go749voBwVmD9EyD3Y+SlmR/mC5ZFObbi6XQ6s7OzZVl2Oh1jmTwO902j + XJuNTqkkSQw425ACzTkNb9X8CEKoKAoAQBoMVs1gzLTWxljA930AMK2ws1GnGp3pK5SlBIAg9KJ4 + AIboAkCZkYTe/uIYMxg1ZwmhhFCldFly23biOCGE+b6rNcKYAOB+fzA1Nel5AWx3gUhRFErF5hee + mZnp9baMo5n5LubX2NYO0RpGKhTmE02szDlfW1ur1WrVarVer//YZD4zqx8JXmDJssRyGWNcSdj+ + ItrQpZRSUksj872NLUSISyVz5TAnG3amm153pTsRgs2gNcksz63UJ9e62frWhsMqGNvDKAUtPZci + UFojralSGAMC4IAUs8XMVJUQ3lmPQWiElZbIspyoH7tBHbZLIdsFvKJQeZ77KByBbInScnQBHzE+ + 5f0OjTVXHBEipRRC+3ZIuW0h29G2q2wiHK0oIIJAMaWoUkSf3TN6oATJ/KuZOY8W06fxeBQlSIgr + CVlahNTzqZdqAVozZhdl6WCMQGmk1U8vLfGQB9W6LnC3G28dOjpc76ikkuyp2vbsMO9aNScSgwV1 + qnmgsXD0hAgl2EpPqXvg1OliVbbIia3lHfUdNFX9XqYHotWaOnl86T+FE9LCSuusLKdm6sei07LO + nYtru+v78qQEK1hC631advWmgKEoMVOOSgcgsiC0wQONgCjbVv5wM7GUMzk5vTRYVhgppoStSpzX + J2s/Wvjh/qfutTjOetl8c3KQ54tLC17VLWTW7Xctx5JC5nnueaEQArCUUhvyjNlcJIICl4UUtrLL + LPVsGjKGdOL4eudcxfPqJ093gNq2VZPIQwSlxSZCEoODlYU0AUWxJhjlFDiBdP/e6XrN39lGa8ub + WQJMdJnbENjrDxUa8YUqlYoJuCWW2vggjbY8pRTg7X1NC21KY5ZlMclEuR3UGsQPjFTsfmxNHuc2 + YxCy6VaN5YMRIgZBN2b/6m1zQrW2ttFsNluticFgMBgMEAKMEEidJEmSJC9+0Ysff+FFAGCgE89/ + /vNf+MIXfvfG733uc5/r9Xpm/x30o0qlKqR0HCfL07Is6/U6Y0QplWUJpdRz/SiKarVqWZaDbq/R + biSpwoRKKZvNJkJ4bW2NUkoZNnXG+fn5O24/NDc1ixBSUpgv5bpuFEVhGPZ6Pd/3K5XKysrKxMSE + 2azNTmfaFELKXq9XbzZ833cch2e52dyNYLdBiDQajdX1NUKI53sGOU8pwRgPh0MQcMUVV0T96OTJ + k0EQjAHnWusRXv1Rt7iZ6nySJPV6Lc9T3/f73U4Yhrwo+/1+o9EyUyLP86LMbEYZaEZxOexrDKUG + LJFX8QstKCWlLienmh/72N9srKzAIKZbybve/FsTWuvBILCcPMml0DN79vpzjT1PuuQXX3fVsZWF + f/ruDcOo6/jOVq/baDSiKMqyDJQWRek4jlEmsyxrY2PjOb/0nPX19d3zO6+66qqnX/bUL3zhC3t2 + 7f6n6z7/wfd/gFrMVB1MkNxsNk2sa/7XQE9BKq5LJQTT5Pfe8jtPfcpln/nvn338RRccPnLkz/70 + T1utltEBrro+5/yKK57xkpe8pNFo3H3syO++7Zo8z23GHMcZDAbtZqvgJed8cnLyyNEjr37Vr3qe + V2QZQajX601OT3e6W8yxbcoAQJScYry6vLJnz544jq+++uqPfvSjX/zSl4w0vMgy8wCakrFhvplM + 6SfME0II0poRsmfXru/ccMO73vWu6enpT37yk3cfOnTDDTc8/sIL/+CP3n7dddft3Lnzk5/85LOe + 9awvfeVLCp0xokUjye9Hqib7MysPmKtWlmWtVvN9v1qtOo5z8uTJ577gea965Sv37t9TFEWv11te + Xjx89AizaRiGv/PW3261Wq3JidWN9de98Q333HPPn73/WtOPkiW3LMvkFSZNshi7+eabb7/zjltv + vfU7N9zwi7/wzKuvvnp5bfXrX//6O975x59+3KfvPHTohc+/8rlXPq+UggthCi0AYCZuv98HqZq1 + dqPV6ifRu9797vndj+sPvV37gm5ncPLUXVynWWewe+euwWDTZfjA+dPf+d6/tqenvvmv3/jsf//U + 4tqy5diF4rbnYoqyolAjlwYESkqZ52VPD9K8JDSLosQkG2Oe2dnuWuZyjbKXByVra6aImTFBEBiC + k5Gk63a7juOM04DxOBsY9pDu40P1abx3dKMBHkhhRt2fJe6ZHw6CiplCZh+k1JJS7pzfXfJcj/xb + Taqptc6yzFxbo2UXRZFpZ1FKlbp/rtd2n1Mp82Ks1j2+dGNAyLhx/NAuxM9ijK6AVkpJITFCFmMS + pJSSWRipM3FGnudpL887ohTKZvqySx7zshc+e7rm59FACd4bdLeGXcevn1jaSm8/trqeENtrNFpa + 8zTuIYS0VhJpMC43GCkAIeWe/fvmphuEoInJuRMnV77+jW/3+5mRfzxDlVYACFyXMsbOrvHAdnv+ + UeebMR4KAWiMAIhCRGEqMZWECaIVVRhjIFRpohXSgECNRBrA5BFYAwDCWo+O5p8ejUOPs7oHcYSH + /i0e0vmRVlQRJihRFGkMgDUC4wKqkLpP8c/QkB7GmAxrIFLTQjpAEgV5mkmlmG0hKnJdSsFPrp+e + 3DMbtIJas9Etol6ZrEO3qwex0s2pVpkIm9iy1LqAzlqvNtWam9116/JtqAZIl4O8Xwhc8TzPcQdF + X2JVilIEtNQ5QFm1PQKCEuwHLlCdxLEuIUrjsixs5ISVylY62OytK0twWQLoXm+LUVTodHKyKfNC + aWw7IGTW63elRwXRyEO2ZWvMqcOEACOaStiZLQZjrLACBVrrMAyXl5cJiNJFb3rdK4IAJieqvf7W + xkYs8eHTy8NOL0t5bnsOczAGDVJpxbUCDBhhjRHSICtVb3puesdMc9/eHRRjizIvbHuVid/87T+O + UygRBh9XvepgMDDhtVLbJtzjPGec5JgK8Tj0kVKaQsOPVaYMP2L04gzbweQ/5p15nnPOTYWUMYYx + 2SamnuUHCAAmX4qiiHM+pkMUZYlKVavVvva1r/31X/91Z2Nz165dRVEkSeL6HsaYWsx13ec///lc + SdBaccF5aTG6ubHueV693tzcXMcAU1MTjmMNh0MTq/BSlYWk1NaKEuwMhwkO/CyVCOEgcIWWAoow + DDc73cN33d1qNBhjC6dO75qfk5K7lp2m6dTUlOHcRlEkpdyxY8fGxka1Wk2ShBBSr9c3NjaM/ur8 + /HySpd1ud8fcXKl1EsVIwxOf8IQTJ05UKpVhWa6vrweez2yrLMs4iTVwP3DWVtamJ6cPvPiA4sKE + EHEcY4wVF4QQ4x9qNlz1KINVm5lgWcx4JKZZXK9Up6amGKEY4zwvDx8+nKa567qUUl6UZZIwiyAM + GGGLMepazLMU0iUIx3c++BcfkIIvnzh2oD092NhEaxuXPm6/7TpTYSO0fSkQZ2w9EcF6D5bXJxzv + /AMHjvU37cEgDEPNZej6ZSkMisx03lzXNXPPhChLS0u+7z/uvMd++lN/32q1zj333GuvvbbZbL79 + D36/NxywkhWCr6+vu65r1N5M0b8ahIwxKYRFmUPZC5793IMHD17/1a+99IUvfPqTLvviP1633tls + VKuDOPIdV1D2w5tvufgJT7j4hS8yJzHDc5yC8zzPASPzRCRJwjkfDoftZnNzc3PPnj2v/NVf3bFz + vlKvvf33rjl89z21SqXRaNSrtV6v97KXvcxz3Msvv7zZbP7wtttu+cGtAFCr1YbDoT3qiJoU2tTK + H6igbLJZz/OmpqZMy8TYog4GA8dxPvWpT2VZ1m63t7a2fN9P01RKqUbSpmevG/d78kcpxO7+T0Sp + 67pmqdrY2Iii6IILLnjPe94tSum77q+84mWm40Ft6rnBMOpXq9VSlKbR4bruxZc8MY7jpzz1suu+ + /MWKHxgypR6ti4SQQnBZ8j9975+d/9jHaaluuummOw8d7A8H37vpxle96lWXXnppt9//wJ9/MM2z + LMvqjQYhJM7SQvAkzyiltuNIISSIpfXFN77ltUsri1zgffaU4F5eMIT3ewEeRr3J9sTq0qpLrWrV + WVq5Z/eemSgZPvc/PecVL3/pjj3zXPPN7pZGoEAjQgFTwFgpzKWSUpZ5QWmpIebldnGLYIxgW4F6 + NIH0eA8wf/ET7jECBMrsKBgAtFSgVJqmY6tT3/f7/T7nPAiCcXw/nq/bUDH8c2mR309edf+R8pja + AQBnV9+TODNdfiOKYCRWZl13bW3Nsm3f9xllQnKzA2mto2ggRFmv1yuVSlEUxtRVSknI/X9fpZTW + oDXCmGqN8rw0SLwHevYekVGyLHO6RVEQYmmsJecSbyfGauRXbYYBgRCX1myLEpVk6ac+c93m0urK + Utao4zhVhYKgajEn1MQuNSVcFmUMSmqNNBBAgJAc3TUFgBF4N37nEFaCEFSpNpKMr60NARPX8TGl + JqDRABIJIHDfhPzRPPR9MnasMNn+Q7UiyPBetFKAjHW82kZin9Gv04ABKaTP2LE+1FLCz2f8FErc + D9/5NcJE4XFTTiNQCDRSEimtQY+MZ7H+OaXVChC2rEjwHBOr1iR1WhA2KFWilOe6TLLexqBa86VA + lDqoyKMkFZurVs3K4i2tlJs5gVNhlNiBVW+0bzz6o7XFVde2qmHARUTKPOn17rr9+w3H10XpeX4/ + 5u1zdoo2rU+4W5ubtIBhmXKCpIds8PzJIKj4guSrw4F0clJRotBTO9oEuM7zXfWpQad78o7DztTe + u350qELcgPmDLGvt2+s2gp6IENaWRZMisxybUpylHBAiYMTTRyRhwzEsNQD4fuhYOIo2v3vrHadP + HUmTodYQBu00VYT4LrMIAssiXFAAorXWSGIqtQaBpcZacplF4taDJ66/8YdryyklUKlAo1GvV1rT + 0+28tAcFZ3WnpLmpjWKNEUKAEOh7aX7qUYnHQEUM6oEIghVTGiEjz3CWDxIhDO7PU8HssKZ3hDE1 + S6Khgxt0tNl2x20QNPLXllJ6nuc4ju/7qU5sx/Js56abvz81NTW/a+cwjgghmBLTrmk0GmmaGpQd + 59xz3dB3GWNpFGMNFmETzck46mdJury0EAQ+pQw01pooySj1GKl0o6TdmMWaKpoziygtPM9d2xwA + Qo7j+I1qkqSLi6d37txhMbKysjE9PS2ljAfDYa+/c+dO02ja2tgs0kx5PlK6Wg2TOGlUawCQ87Lb + 7fph0Gw2e71e6PnnnnvuwTvuqPpBnma+6022J7rdLgVENCCp6rWaRSHPU4aZLKVrufEwiYfJoDec + nJzEhBBCTBhNCGGWZUxvHtIj9nDHrKUoTR5CCKnVahrk1NQU58X62sru3bur1XDv3r0IEa11s9mY + mp6Ym5q0bJZrWYKWlCKEbM9WoBWCWrt+6+0//KVnPfu8c8+57Rvf2qkdF/SOWlC1scNB94e61J4f + KNsOEAGEQsZEViSDIVa64nhJPqzVqphZQkrGWJqmSilDsDG2RbOzszOTU5c+6clv+71rKCZPvuRJ + m5ubq8srjUbjbz76/774pS8JW61BHO3eu2c4HOZFYTuOktKyLCw1ISQaDkFpi7Kbb/r+Yx/7mGoY + JFGsW+0iTx1Gizz3HVdJTinJ05wAQgCMUopwNaxkWVaWpRcElFJzE5WQF11w4cEfHDy6cPSlL36x + 4zib6xuveNGv/OP/uO7jH//4XQcPVavVLMtWV1e1VEVR1Ot1Q2qYnp7+q9dc9bJX/srq6iqlNHqI + 90tLWa/WNjc3b735lje96U1f++q/VKvVuebs977z3VqthgHt3DF/4sSJJz/5yRZhd95+u8XYeAaN + i8WmIPIznkkPbvzMomeTGpVlaVlW6HrLy8vve9/7yrLkeTExMeEH3smTJ42uHyLE6MNorYNK2O12 + q9Wqsa/67BeuO3L8WF4UJgIzNQwz4SiljanGwvLS8vIyQZgxhjGe3bGDELK0snL9v/0bInhtY92v + hEprLwxOnjzp+75xOC6KwvhDDwfR5/7pc7Nz03E8cLywNxx2OrHn1nzfX9/shRXv8JF7MGDlBSvH + OrWGffDorVJR27aHUe/uu+/Emm9tdaIkGee4CjBoDEhLLUGDlEoqMIUzPZJy1yMfm/Fa82PdpJ8w + zDtNccL0NMx1wxgbL1djznt2RvRjZ/7p1PMevFLV9njQCdK4uDz6nO1hbJWDIEBYc75tVREP+41G + Q416JoD0WG2vKHKz+QVBUKvVxsm5aRvez6dirEfK3eammK7UuFP0k2sVP5/BgSN3u8SKRuw+dO/5 + Y355SqlT9ShjXuBG0dba2krNd6nFEMk6PaUAFIZCcIuSRrNFiD0c9ssy1aokiCIjVwVIIwRq27+Y + EhZHw8CzQMLCwpJGLAgqxHayrNBaCyEE54AUEIAchsPMtJXGTXAF0qRx5FEFOUPqrH6mMi5O2Djw + aHz2Xm4Ukk1/QyMYyaljvS1wvf03Z7GPfqx1+qgQRQBkMLv/U7HuM0f0sJ7fCJGp7eVBISWRklhh + pKTWSMJoIQEwV/nhbB8BgEY6VaXbrkLVW1hdo4zNW7blVlzLLnuJp5mvg6M/ONJZWz/pHx/osrD4 + /voBLFBIbQqk4lrZ1qCU8erq5kbUmd83WwmtElk8i+N+18vkFY97Kp+58MZ/+deN5S7zdTX0/8Ml + l22geEVsuZYtcznj7bzyv+zdXd/bQu0NWB3yrY14rTYdvv6aqy6YvVgAySFd5Sci2VlePN3w687k + Ob94/i986vsnkq241g5cbfmuNzc/V/ZOJTrGGBdlZjFGmYvIfaak1qBACzCWJhMTE1xk9YZ38vSC + ENJyHEZti7q9vIcwYW7AGEYaOJcIbXOj8UgjQSpFmF3mPCvKPCvTApCCkkPJe1oRxiwFVsV2Y5kB + wHA43NjYoNMjH6QRVAbOgpeP9zVTO/e1n/XLn2wUa9bA8anGSnQGpIcxNph2s1ea3GksgYtGvvPm + nVtbW2EYVqtVx7I3VzeyOJmfn3/Pe97jWHaSJI1Gw7Ksd7/73QcPHjTGFZUgdDwXpLIpibr9sizD + sFqt1pYW1zzPc2hIEd6z4zHUtlZXV8tCubYHSimFlXBcuxVHhcOAWWTnzh1Hj92dpDzwvLIUeVmE + Xh0D8j3Hc6zTJ0/t2rVrbW1tcnrqnnvuufjii3u9Xq/XM0CJqampKIrMjrC4uHjgwAFjuKm0Xl5c + mmi33bCytdlRJZ9sT6ytrO6a39nrbNX8MCVMcoE09La6hOBWs54Ms8DhQoi7u3dzzl3XbdYbg8HA + iB4ZjxqltQHvPNpqYZZlmaQxTWPXs0EjreXhw4d7x9e01s1GmzFGCBsMImOw44UBIRiUQFqVGpQC + LbQEKQEhSlzfX1xZDm2GCOls9YwOoeSySCWT2LJsAYSXchglYnWtnJ85efJkONWywuqO2bm8mti2 + nRSlBjDzynXdSqXS7XbzPG82Gvv373/r//Xb73znOzc3N88999x3vfOdJkT5+Mc//mfve++VV155 + yw9/QCyW5/ns7OxWt+v7PsFYS1Wkme959bAy1Z6YnJxst5t5mi4sLFiWhRE20iagdaNVX1/fqlQq + XuB7nhelcRTHBk2zfR8xNhUBy7KCIFhdXf2jP/qjlZUVhJDv+0kU5zL/u7/7u9OLCzMzM1prQWmS + JI5lz8/PSym//OUvf+ivPry4uMhs+w2ve/0b3vTGoiiaE62yLE0t+2yI3QMFTkqp1dXViYmJmZmZ + hYWFb3/72+vr67/xG7/xvve9721ve5upkjz72c++9tpr3/imN47CPDTuM8O9GRM///EzS5BMZ9bz + vH6/7zJLa/21r33N81zbtgXw3uHewYMHp2amB4OBWbCElJ7nWZa1tLQ0PbdjdXV1enqaENLr9w3H + BmMsQQMyuBhUCL6wuBgEQbvV2traKniZ57nv++kwtm17GEebW53Z+R1JmoZhaGj9GgFgxGyrKLmt + FWE0l/zfvvNdIcvBoFutVitBLYkLC7tlKaJo0Gw2e71BUA38wF1YOrF3/9zy2vLExK6tzS5DUA+C + PI64KCu1qhf4QmohNUJaI4wBI0SQlqAxAkIpGq/d4/s6ZqaOr9j/9JYb2sw48zF5kZIySZJms1mt + VOI4rtVqSqmyKJSUahSwbu8iCCkpLfLTLHBIA0YP6jiSlblvsmdinXulSQrB2UnRCF2IAUDwgpdF + nqEkSQCg0ajt3DHXHw64EDD++ghjRADpsRW6QTVMTU1Uq2Ge50opSq37/UZkpJ5kXoxZhuO//7Hj + I/JAlixbL085jpPIbe1BSqniwvyeSp/J5YQQPJM6zodZVKvaSFLGeG8zmmxANYRaoxbUqmG9ubzR + P376RM4poRZjhFpMCYGQALAAMGgCgAAEIJ6Xg73nTFMs11ZWgwrWiORFalFbSm47jm3bhFINUlEJ + Fvw7UfneHgjUqG8JGkwTY7utpJDSSEmMAEAQBaAVEnobAwajHzkzYw08DOn74EiN8Nf/Ot7nOBIG + VBpAI6WwklhrUBopQADb/xma4sPOeJAYUEAvfd7lL3zByyfRTA7lUTi2kJ0UcTJht6Z0+ITHnvM/ + bvmsVdaKhBPMwj0zz5h52g+j223b7g07WVbU/MkP/+0H9nr7C1Ab0D3Fl8XWEGs1V63Hw60AnKif + bh3qTNVa5bAQgs+gpgRyems1V6UkeE3Hmuu8v1D3e7JIM761li6JqLCUf0z4RYqYTTuDNYXypluz + hZNHsQU+Kd0yy3XVsKoAACAASURBVMVA95L+/meETWieZkt5mrkVCzAuOcfEZoxxqbXehkRt18gQ + AAOgWpQiyeJ0OHAcsHBKcO54uFp1g8AVqiyEpbCd5JIXXCOBsdLIAqCgKNIaaUWAY5U5BO2eaU9c + sD8a9rubm91uT2pCtErzXGpqB15S5gAQhmGz2VyR64qosWLE9lMyynAMUcQjXhiGtVotRKHd8Kgm + WBF0bxU7uDf7aJzw4JENo0mEACBN0yRJBoPIGLwaiz8As84jA94GwFqjsiwBEkotx/Fm5+b6vZ7l + Op/+7Gd4XriuK0r+J3/yJ5PtiR/mRZoalkvP5/zc/edc9drXXHHp5QrE4WMn5nfsWV/fatTbSpNe + r18WynY8pXS9XgcMg0EBiFCiEZathrO5uSZkVqk6L/zPL0ySBDB2q5UqwGAwsG3bq9WOHDlyycVP + fMELXvCxj31sbWV1/959dx08hDF+6Utfes899ywsLGysrUspr7jiisc97nGGTnzzzTd/+7vfAYJ9 + 3y/y3A0r1Wq1Vqn86z9/s9vrgNZZlrmuay6+53lGNIwihBDa2OrMzs66rru0tBRUwmq1+t8+8pFP + /v2nCGFK8aIoNAAmYHu24o8uzLTZl5USZVliAq7rtlqtvXv3Htd6ZmaGl3JlZcUYMCZJMhgMTi0t + IoQk0phaChOscRHnBCgFeurk0qVPvaw6NXnjN7/xmIsey1Z7q0keS+whx/KQ49aSQqxHcVIJp6cm + 6e49dHby5PLKjlbrxMrirT/4Ueh6QgiupDJSukKYi9zpdNrt9mPPO+9tv/u21/z6r506dWrYHygh + qmGl2+1qrav1WugH6+vrP/rRjwRoarG19fVtjgAhSEMyjBr1uk3Z2traXXcd3HrmLzYajXMO7BeK + p0VScm65DsWQlQVXEgjqDno5L3wv0AzXW00hBNLgOA7nvMgyy3EMIS2O46OHD4dhGA2GjmXXajWT + 6lBKB4NBHMe7d+50XXdrs2MU1DY2NgxM6dSpU77vmzYO1oA1aA3jF3r04r4DAVDGAs/f2NgIguDg + wYMf/vCH8zy3bfv8888HgEajUa1W3/ve9/7Wb/3W17/+dS/wbcdW9y5VP7I1659ZgiSEMPUb27ZB + ac/z+t2eUsr2aKe/IZWcmpuI42h1c21+fj4Mq/1+n7p2KUSt3SzK0gn8OEmklFNTU+ZJHqenAGBZ + lu/7QwDm2EeOHp2YmEjSdHJ6qtPpzM3v2Nzc9MMAGFlbX9+3b9/iyvLW5vq+ffv6/X6SJIwxLZWR + OwsrFa6EHXhtz07iKM0iJYXUIvT90G8KJdvtUCjJVVJtBqeXTvpB5fTiqYn2VB4NJVYK6bwsK/VK + t9stheRKEaVAI0yI1khp0BIQhrGAgXlxNtnxvqjKn4Dd/LFI3fQNatXqq1/9aiP7GEVRpVJJ03Ri + YiJJEgNDH2fzpmz24Cynz/rchzwbtb7/b2DG/Xz8GL802gMxABjcoGVZUTRQSgHS3W735KlT//bt + b9uOY6hHpqaFibEnwoYT2e12CUG2bZvCkgHO3XdsS1CMdtZxFfN+226P1ChZhho55xzA4L/luHOI + lJJKKqQMEQtjHGdpspUJrboddeF581f92is8rGSeJtGg4Hyjt1mpt4i13OnmVkmI5SolOC+01koJ + AKqR1tsNBAAAy7JmZ6dnp1v2ZZc0W7OLS+vf/MYNK+ubcVRU8TbgGGD72hKC/t1xkAy+CwDGc1Ih + hZHSSGukDDEUgQCkECjYpkRghQABPruPArBdDcDbHK5RIUA/Wo4KAD+448N+flBnch6kzBKqsAJt + fDdgBFnEWP88Oo8Kib7oWspLhvlJuSRt1BVbMUu80MvWo1KTdqNe9FOVl2mUSNtqCacOLSZczAob + W27TGg77x8XJY5un0pyHk7UjnWP16arn+Hkv7m4OyLkW82ukVg+n2ycXjpYyjyHToEAJz3ELpDPI + tEdKtb4xWLaUJISTUGqNtJILg0WR40D7JckR4iXnjLphsz5QuXKY9EjpACEWtkgOqRBlIQoXbM93 + RcE5LxD2TMFpvKwhYxSLgUtpVEwtjChCv37Vq12Lz0zXoyhaWe+XN9+5sDQYxkMOFnMYYAagkKJK + E6Qx0hghhbQGTRzLnWi2d++c1ZLzsiCY1urt9vSOt/zOH271uhwAXKjsb5RlmSQJd7nAAhN2tg+S + WSjGDXzD9hkMBlxxmXZNggSw3UgcbyumiTQm9I4RGePbagpJ5lMqlQpCyDRDxkJb5v2m+YAQMlph + po9Uq1S90geAb33rW77jCiGiwfAd73iH+VfP82zbRghlSbq8uMSLUkGhhXz9b1wV+LU801q7oT81 + GMjORsKs0PUqoNGpxYVKNdy1Z0dZDhlL1jaOeh4Kq/R3fvc3o2HfdfxCyvWVdT8MjUV76HmPe+x5 + b/u939va2up3u4wx47rxjGc84+1vf/s73vGO5eVlpVS73X7Na16Tpqkxtzlw4MDJ06dOLy0KISjC + RZZ3O510GHW2Np733OcGrrd3957FxcUwDI8ePZrnebPZBIAiK42u3WMec24YVr9743cIIS/5lZft + mJ9PotjYzRNCKGM5z3meW+T+C46P1DBNGCmRAZuYhuHc3JzFWBiG/d6wKAoAbBjsCOOoKBBCChCl + GiOKNIJCU0ksQqemZv7569e//BUvsWrVgmHkO4mNjuVFDxGe5jQrskKUzKpP1CsTTcnoPUcP33TH + nZMXni+0jvM8DENGCTHG7qPnriiKXbt2/fIv//Jrr7rqg3/+fsdxdu7cmTeT5eXlq6++emtr6+jR + o0ePHztn1zm9Xq9WqxHbipJ4dW1tfn4+SRKtted6WANCSHKBMbYce3JmemF1cWl1pVBCEbRr/95j + x45oRJFCzLEkaMwoc+xMFcdPnRwOhxhjxlgaJ67vjcU2+v1+WZZmDszOzg4Gg+Xl5Zpb8zzPcJ+M + j63BauV5Tgh57nOfe+edd3b7vSuvvPL973//nj17hsPh1lbnoXKQoihqtVqrq6sXXHBBu91GCD3z + mc80Esp79uz5xF9/4nVved1NN91Uq9UUaMuy1Eg6eBz86NFF/vmPn1mCZLQQiqJgjEW9/tramud5 + k9OTkzONtMi01o7vFotL07NTzKZRMkyyeJhFBDPXdZMsm5ye4kW5sbERx7FRQQ+CwAQ0UquCl3lZ + WI7NpajUazkvp2ZnlhcWLcva6nUrlcrq6mqt2ZicnLzrrrvqrea55567tLSEjMOxbTNbm75EUAlL + JTc66+1Gq1arZ1EEoBHiRd43AGU78ErOQRPf90sp3LBObakAhFKDaCjSzPVtZlt+EGBKMMYAWIFS + GiFAAEhpPc6qtdaEGA1beaaEBhJMKIZHcfkDpBdGrhWbdhAAaI0RooRgDb/6ild+/vOf53lRDULJ + BUWYYUIAEUAY4bOiOIRgu7r24MdDSpD0mQ7Sgzm1us9bz/CRyjxVSkUDTggOggBjqFd2/vqv//pL + Xv7yvOBpGgshzEYISCulMAZDzDVbHRoxcREi9yssNgLXbW+3GONR12ucsm4/ivDIqdhxLYedTlEU + lPgKKy4lINBKjSODcevZcJC0R5jtEiTjWHz60/907K67tjaVy0AjSEqoNkEiB1HfC5qqwFJpLhQb + XQet5YhgowAANP3ed2/FIBzH8cNqXqj1tV7OpWG7Yiy3jWKx/nfHQTp7jNtHGqnxC4mRRqBBEKWo + VsYSSyMA2K7H35/ymxo/0o+28eBBcw/7+ZGhHylASiGtkJZYKaTOFvPGRg121Jp7WC+nIlyhfCU7 + bGWV0G0oytaL9VgPqtSrejTPki3oWA0r7gtKHSvwtWdtQcFYfWt4OpKFsDUKdV4OECOozgZ409kZ + coZPrS06nM3t2X087XSSlO9vR61QVyaJy++Qx3MounLLhwBjlIgB9ixFZI5TG5CLsU2AaIQAhvHA + Dmp9FGE7xyCF5pjmpGHf2TuaTGrSDLhDILM5k+vZugJtWbSUOSFEYamUyeqV3laM217BNGhAoLjU + VPl+GDgsjjs3ff+WEycOFlmGMfherTfkiIQ2CyxsWbaTFhwhBAiP2jhKaQ0YJIdsmP3ojiPfu+nW + xaVUcahUYHqqNjkzOz097QXQz0tcYZpJw0E6u3Fkfh8wuPRRsiSEKIoiTVNjTIRKer8JEmN2WfJx + KLY9ZzAei6+aQal0HMdYPhhcvamOn/1T4zcDgFLKFNQoJtVG/amXPuUpT3kKAghcz7Wddtj+/ve/ + TykFpfI0zZKk1WphAItQCmBRhwL8zpt/e9++C2+/bfno3b3vfvsoLQkv/TImRc4tMT8ZTlVYLRGn + d+2GT3z8Q93e0ht/89cm2k2MAZBihNQq9SRNW82W41jNRv3Vr371Ey964je/9U0ppeI8T9P9e/f+ + 1Yc+dPz48VajUeZ5s16XnDNCvvqVr3zhC18wQXCUJFwKN/Bdz5VctJstm1kE44offOxv/tZi7MYb + b9y/f/8nP/nJO+64QymFES1Y4TjOxMTEztkdv3vN21zX/tSn//7EqeMLCwtSKt/387KQkiuQPx3r + 42HdNTWASW6l5I7jxMkwTdNbbrnF933QemlpiRKLc+66Puc8z/OcC7daBYyU0KAAS0QUZmAhgUvO + V1fXvnLDN7/0za8+9dJLjv/w9uTYqdknPf7600sBY8Ph0PZcN6w5jQCVg+R713/0+J3HN9Z/eOLY + cxFBlh02agJpgnBnfXMsxmj25ZmZmenpac75y1/+8snWxMbmxj997rp/+Id/uP7666+++urnPOc5 + 09Mzf/nXf3nPXXffffDgzO5dfhgEQWBAa2VRmBSFcx7HKVey1qi/9vVXnX/hBdiiH/5vH1pYWLj9 + 9tuDIEiL3PNcznkpheWw2w7dfmLx5B0H77IsK01TxthYXI4RIsryxLFj+/bs+fCHP1yW5e4du55+ + +dPDMDy9dvrQoUMXPeHxk+2JxzzmMaIsLcpsZt12223//OWv1Ov1a665Zm5qx1eu/+qtt956auH0 + 9PT0Q71lxuFmOBweOXLkta997Ze+9CUDfL3wwgv37dv3F3/xF5nOrr322jiOm0HzyVdcOhwOYVTU + GB/R/z9U7IzTs+M4VrNpvHS4KE6cPnnbHT+Ym5vft29fmqeEkChNylJ4YaAVYrZVFFyB7nQ6hj2J + ELJsm0hpMhbHcSihhqFkOJ2NRmNrs5PnueN7geuJvIjThFjM9bwTJ07s2LUzTpP+YOD5vud5w+Fw + MBiEQRCGYZ7nURyXWlUaDaGgt9WpeV6j3ehvbZQlD8MwqAZRkmJG07KI86I9Mb2wuFKr1ZJosHvH + zpWl07VqZWpq4uabb37yUy8zabTSREutsQaEgWgKaIx4NiwaGMG6toXs0H16Rz9BpOE+MTohxHVt + xshHPvIR0/0fDAYTE5PtdnttZbXgpQETnQH4SYUBm9jOjG33vQdYxpC+/7Dpgd6v0b2kjkc/q886 + ibHTxNsV+TNVfIARo8O82VSDsizzfU9K2e1vzc3NXXnlS1ZWVihjxgfJbKtKS1OCNKhfz/OMUy1j + 9uhBuj/J/JH9xdn1yLOrjNu/7r15Yj/noZQymoRKa9MPdBwbsDZ9cADgmPOcGwqmbdteI2C2K3lW + FIPFpYHtEEoUIOACKAMNEASB5da40Jxzx3U81y6KHAA0IlojDWqbQo1ASqk08lxHKbG2tgaIBZWw + 5YVpxjXCnHOlBUJIKw0FZFnJSzniIGnTN3o0cpAAsMZnTTmFQSFt5idWCGPAgBQAOrvVud0SGlHl + tNFb05jANmwM3Ysqo0afoh4NRwCM9IM9jrmED9f5NWiEjE66wgpAEC2oAgwINDbaINsWjxqD/qkI + 3mhbHtNUmsytPSuVHd97rQwhjQrHdqiFoqKflZKFqOYEipcp8I7I7+zchadt4omG31C+U7poWWx1 + iyThWWu23emvYpsoJl2vkksZ5bFDaJn1J6ZaNANc2kc2Tlba0zOX7sGKz+z3kItW5YDzkvpWoQrL + sbTKOeQaI2RLTGypIEoSCzHm2MgiNLS3tjYdT3suRVgVsmBOuZltTF84227VJc86q5uFL7vJFlQw + U3aS96ltVNq21z2EABBWIygaIIACbMsqC95uNoosmmg2jh0/ArJ0HUQIYYwQxAkhhNJc8DQuJGiM + McKIYKwVIK00cCm5bWGJKAIlyhxrYA5gBINhHzMax9z22hOVWo8PJVLr6+ucS7tiSyaVRsYHCUbm + EHrEK2DMNgSker0eQIUIShUlQEBvd4cMB4lznmW4KLYtHMZbmwmUx7ttURRG+MHzPMaYY9mcc8kF + sQlBWGqlpeJKeY6LCE6iWGrl2o4SstfrUYRvu+22ZrN54vhxi9A0Tf/ln79qZACyJAnDMAxDznm3 + 2wWlheCYQqMennPuHoatwA1cG68tH8J6lyqbMndCv1rxS54Njt29Val7z7z8aVhBLayMIAxaKbG6 + 1pme240JiaJo2BerK8uH77r76F33zM3NTU1MDuMIAF760pe+4Q1veOtb3zocDo2tYpqm09PTb37z + m5/3vOdNTEy8/vWvJ4whgqUU/V43cD2QKkr7SKld8zvrtdrdBw+BVE+66OJvfvUbx9jRtbW1ZrOJ + mcUw6Xa2HJtV/GAQDyilYVitNWtBEKRpyqVgzC4Vp4g8Css/WkjK6DbTWOMwCKJBjxAmSp4mea3m + UWohhEbTDZelYJalpFJSYo0pIgpBLguLsuf/8v/2rCt/6c577qxXw8svfRoepslGZ7rZsJnVi4bE + diRCAmPNWFQK6nu9JHmVbV18yRM/85nP+JYzjAaEkOZEGwDKLMeMWoRKKY8ePfrRj370/ddeizUw + xqLB8MCBA1GSfOP6b97wnW97ntfpdCq1GnWsfeeeG2Wp1trzvCRJwjAs8jyO48D1KKXKtprt5hMv + veTpVzzdtu1LnvIkSulmZ+Olr3i5ZTMu5diwK4oHzXZLclGfaD3rec/1Aj/JUtd1h3GMEGq226dO + ndIIPf3yy42nZb/bDcMwzbPnPf/5fhj0+/0XvehFjVp9Y2OjVqnkef6Lz/6P/3bDt/7rhz/053/5 + F4gQrfUwjmZnZ/v9fqVSeUgcJMKY5ziDwWBtY/0ZV1yulJqenj5+/Pj07MxWr/us5zzbmKAa1+kk + SYxqP9ybr/gIoux+igTpPtY9psiqJMYoSWNCcZlyQnAcR1OzrW6UE4rm5+c8z6EU97oDv1L13EBw + oTWK00EYVOq1xubmJmVEgUaUCCkVaK2kkfnGiBBClJCMUGLj1eWVfbv3JEkS9Qeh62VlgRAy/ktu + 4AslpVJJntVqtbTIhTYsPZokiVSKMgaaDYZ5o1KlzA4q1SzLSq5nZufX1tZ1VlbrzbQs8iibmple + W92YbLV5XsxNTK0sLc3Nzg6Hw5MLp5sTbUSwsfu1bBtjLERputJSCKTBFLdMLG5Zlr63reT49psb + jx/AOX7cWzSam4QQxliaxqhZLXnOy/xP/5/3LC0tUcqk0NPT02urq0LKShiurq84js0Ym5mdOnTn + wen6BC9LQilXstlubfa67//gB2bmdywtLRGLAYBnO5TSfq+nlNo5tyPqDQhCeVl6nhclcaPRWFlZ + abZbUsput3vueY+55557HNc1KR9zHIRxnpeMUN91O6vrs9MzS4un//dX/ers7CwAZFnhh/VhlNpW + GFbqp5dX/EpVKlWrhpvry1OtWpoMq5Wgt7VpUaJB5kXRaNb/9VvfuvnWWxzHKWXuMKYQCF5KgRDB + gAAjrLU2kJw8L0cXlQihAEyrd1vLewyuGHOWMMaMEa211grASCE8ivg0yDgjY6xGiEqEkHEDFEII + EAopjJmBt5VlqeIM57HNlI3zVs1Jh9HsLLQaUGtUqR22pncuLHcPH19B2LcsO88ipLHrulKB1AqM + WSwohAhCEiE9v3Oq1XA6nc1ybZMyy3GsLC94qRTCgJTWCiEAAoDAYrbjeCY70iCl4pgwrTUC/Ojy + BdrOZLDCChBgkERLohXSWAHTmgFgpDXVQoMCjZHGMFJUO4ssCNsnkYoQgpBBQGwraEljipLkhGGE + CRci8HyNVJGVQirHsrXiGhFCqRKaUKolWBZJopQwXJbCcpjj+sN4QDFWWnMu/dBL4wyw9hwnSoaV + oNof9ipBlRLI0wJTIhVIpT3H4bJMk7xar5Q550I4lo1A2rY7HPaDwCcEDYcxY4Qxm/NijDYy0YNR + dARAjFlKiTTJXd/hpbQcq8hKahEhNWGUYMbLjFm24LkQijAspUbbDgcYIaI1ABgFke1L/eNHbWuN + SoIlFhgVli6owEg7RGONtEDlKMMZd3Qfyl6ItjHERJFR1qok1hopLqWUmmHLtR2NIC0SDiWlNKCh + KBRXOWIUSVmmHJfguBb1McfyVLnsn9/wRYMjlFNUEHI4Oyld7vgsijsgMoxtZjlplknKbMfDwD3G + snxISqSkpHW7j1alq7AABbrkSHHpUGa7lTwdlLKgDgjFcWm5JJDSFlxYCCOlRYkoseM4thxbg4ji + wrMoAEjeRwy0Bev5uiJIT7prMFSWykUpSKktwkExIMaNzGiKSNAKAcLYPKoAoIQIfCdL+81qpci6 + E80q58K2+XnnnRdF+kc/Op6WJQKOQFiMGaqOAgNuwRoJgiQBjmRWcfRjz5mpV/Z2extra6vDJHWc + oES0n/AkSQQpsUPjLA7DEGNs3GU1nJEpAtAIkZJnAEAEG8U9GGNCMbWwSzUlmmg94tBipLVECNXr + 1dXV9U6nYwi3vV6PMdZstrMsE1wSQgimQoiy4KARL/pBEDSqNcdxNtfWiyynjosxwhoAY54XQisC + iDILj8hap0+dooR87h//URTl2tqaUbHTCDQCTGm33zepVxAESZL4NBA6m5+fLcpYU3b+4/dUK/D+ + a7+4Y7I5iELfm4+iwg0xgB3HvUuefP5/eMYcIJ0kyYH9ByixGo0GsmjQqIGmrtvsdruVICRIf+2r + //J//tr/sWvnDt/3XNfRWn/huuvSNNVSeo5z4JxzsixrN5uTYfsTn/3EiRMnLrjggr/7+Md/6y1v + jtJEatFs1FzLVlzYlHV7ncmpCQIguXAIo0CIwvkgbfiNqDNothucc0yw41iMENeziyKzPbvb6+V5 + zpglAIRUhDKTdRP8KNofAQAACSEty87zklKrLIXrhHkmEKAgqEmpESJaI4yIbTuKS1shyDm1WKFV + nudewzvdXXzl6/9LURSglDY5tgYMoKVAWtsaAEBiUAhLhNUo2CWAlJYIoY//VzWMY8gLj1pKa865 + RgAES62yskCUAIDBuQEAV9IJ/VPLi2ARi3kAkPHSr1YEqLLINQBjzNhPGWCb6RCUeVGWZaVaee+1 + 75totEzcgg3VHWsNWGtpuBtGsktrvWfPnl63O4yitBTv+8s/9yqhUgpTAgDdfi+ohFIrZltxmgCA + 7XulkkAJo4QrsbK++o4/+eMfu8qY4VwLwABaAIAbeEmeMscqigIBEIxBayUlMgHtA9AsNIAGPSwy + 5FjHlxYAABCcXluhvttLYwAAJbBr51qCkMnWJraYyYngkaYejcfPUubbyGIihOI4dhzHc1zG2IXn + nz890+ZcHj92DAOZn58vSzUYDHwvLARvN9tRFAnOp6amlBRluS38Pa7ro5F0NYA24oOEkI2NjTzP + K2Ho+75pbgohuBC27WZZZtu20MZaARhjQogsThBCQRBIAF7yXbvmt1bXKUErK0sWZY1a7ejx463m + RCF4lMTMYdVqFZQMA6dIk6pfUUJTjRYXliybOZ7tWDZCSJYcISTKQiOjrFKkqUAADrNMaGs+mnOO + 8f30B3/q21+WZZHljNAnXPT4z3/u81Jq13J7O7tRFC0uLjab9SzLHN/p9bp5nvu2tRWsebaDGT10 + z937zztw6dOeWm3UV1ZWHN+zHBshBEr3e/16o+E6Tr/ff8l/flE1DDc6ne9973txHCdJ8gu/8AsX + PeHxUZrMzs5+4IMfbLZaiJJ+v79r1667jxwOqlVNsPz/2HvzMMuq8lz8W9Mezt5nnzqn5urq6olm + bmigmRqHRFGCQCBc1ERDTPAXvTeKiUO85hpBfwGvSa4/x/jEGeMQE2KUSHBCHAAZZWoa6LF6qulU + nXmPa7x/rFOHRiE3Gowkv7ueevo5T3X3rl1rr73W973f+70vMjnniJKiKEZGRs7Ydvrhg4cPH1oo + R8NZliY96PRaoPPxqfX79zRcl+Ux3r2rlU7pNG4IvueULccvLRyWKr/ksku3nrL1mGOO2bVrF0F0 + ZWnFc11tTN87bNVBzK43eLqC/sB53WYXAxzC2sgO/rv9YIPFn+9B/CKGOcrxCSGkVb+TzRhDrFfs + Kl3TWjnl3UyDVlyevmXmyiuvWDNaXpjb32wsxWlC3XKhyJGFNkZIKuW41Pe8POdZKgAjjDEiiGJk + DAajlFLY6GOPPdZlYtOm9Weevf3hR3Z98pNfbHcEpaXq8HgQBO1eV3AJMJj0wbz17cwRYgjQcw1z + XFVpwwBg+4uQbR8yFICCQQCKGG1gAGM8fR0DY4wQs7gAAGRZ4fs+IQwh4rq+lNoYUwhuDHR63dWO + CMyl8DzPGk1GUWTPQqkJYdR1XUqVEKKXxAgRRLCRGlOilAGMjIGcFwiRbtzzvFIhuMyk1pohhjHW + AprtVhRFzHWSJGOMeV4pTdM8z6MIHMeL49gY4/u+1toaqgRBYM9U6wS2yl4gttQfRmWtdS/pBBDU + hmtJkkjgikuJNUJEagWACesbTx8tQWkVdVdRhqfLkQzWIAxghQ0CSYzGGiNNADGDpEbYIE00xoA0 + YEAaWz3Bf91YFVzXCAi2VUEA2ynnlXwMBATmOddWpZE5WstumiCDMaEOAw8x31AppVSCg0aOVgRl + piAKgLjCZRxMgZJCpo6MPSr8iouAWfNyQAiQkooTIwkGUmJaqkLGGXBMiENdgh2DsFaGK6U1Bx8K + KKQRChmmh3RnzgAAIABJREFUpTJSY5cxlxGQIgekEQHKkMbYYGIESmRGwTigEUVAqKaUYwAgiksA + MAwhipHBWimjEUYUjA3m+r7depBsGgBAnuPs3T27SOajEC799d886YTpgwd3MsY6HVFfzubm80Io + nmdh5AitwQAGbHmQyMq0AkaAsTEyF7WZaUbx1NQUxnjtxuPGp49901veNbfU0QaAwfhpay26nyRJ + 4UvGXEopQ8QYI7QSQrieq0ETSbTURVHYJtJCC5UaBowYNjj6LcfV932tZa02RAhqNFqEkJGREWNM + s9nskzhWyRpag5SSAuRJirTxfX98fLzT6aRpihAql8tW0Yth0hcBNxpjrKS0BaIXv/jFf/jGqw8f + Ppzn+dve9ra427OKXpVKxZIUhBDtdjcpCmRMpxNvXL8pz8n+/R1jKkGpLAVg5BpNtRKCg8Gm5FdK + pSBPgbp5uVzutNqtVisaqhps2p1OUI6MASsZp7iw7IlGY5lzbjNMKWWlUrEe6JTSoaGhxcXF17z+ + NbOzsyMjI/Pz8xe97CJCiNYSYYQxCFnkSYr80po1azzP4ZwrJY1BRVEooYOg7Lq+UipNU6UFwlhK + CaAZY67vPfL4znOf/zzmOlIrhBBjrJACIYOfc9nR0QyUpx7+xn6nT6Ee7CEOpkJJsAJXoJudVpKn + SikwBhlgGohBCGHASGIAMFQDIK2AKAxWiQAbjUG7iGgpsVZa61wJIJj5JeowLsWq0ClY0SpkAAiC + n2IL2zvHq59/4m8HkDohhBAitE6SZEHKhYU5ZAMDK1sPRmttENjmIpsggTYrrWaz2ex0Y0SpVAoB + KKWEFK7rIkqMfMYizDORg9TTff/nYGX/9G/6L/9j/FP1l1/ueNYSpDRNsyyjlCZJ4rpuuVzOknTf + nv29uB2UPa2M5lCkORhCqaOlEYVwXSeLk7QX+77XbjWRNoQQgjHBRGE86MW0mDrBGAA6nY7WOvD8 + oaGhPMvq9XoWJ6VyKKUsOA8IjpN4bGysEpbb7TYAOI5DMAFKHcehhDSWFx3fObB3x9T4RK+dE4LH + xsayOGPUlUaWQi/OYiO4FKorer7v5EncE0pKPTE2movM89wkzzrNVpakLnNKrpemqUZAPafIJRhN + GcPYZtSaUqa1si4NtiKJEDJP1bH9F2IB83RfAJhihoHkSVobGnrskR1X/JdXbN2ylVJ6zz333H9k + fu7AoTSLh4erQvBms/l7v/u7Jx17/I9+9KOXXXLxuofW79j1uO/7oHS3252MyhhQs9G0ynhpkiSd + 7uc+e8Oxx2y+7dZbr7rqqv0HDrz61a/2PO/jH/n4175500mjI/V6/eyzz77zzjtBCCHEwYMHR0dG + cikoQ1oobcDznDjpEAzGqFtu+efq0MRvv/rSnTvnZAabZ0752ldu++ev3eOXy524VRSNtWui3Q8f + vPqNV95++9eNwffcc099ee6sc8/k+vhNmzZxzn0vVEprZTRYjsaqXh5CsCpf/tPvkpQcnipxMejb + gafkRYPPz6GI3oCyHlCSG+30JXLtzSultNG23GgzKNd1ceAgwibGRpIk+dAHvxg3l3gOGEAoUADh + MPaDGnUqyrAkMQ5jjLlGc2O0ATFI2gkyBDMj+W3fvQPpNM3EF7/09SAcKvlDjOEsV2DdGAfZEepr + 7A6yzcFsI/ScS5CerTHoAbNu9FYeVyllHegt43FgW0xWR57njDHP8+AoVqcVz7UECYSQVeM0xtgq + sbWtsKokQRDEcWxRxjAMHccZyP7a+3Ecx5aXHcfRWpdKJUt1ttL/rutaW5gwDAfOyEe/GhbK0VrX + 63VLne/1eq1Wa3FxcePGjRbfAQD750AoBY7iPxx9tV/ewxkMDUhbVyVRSBcjJDFWGCFDMBVYclDS + ERhjBVqIjBviEIopNkhJkIZpo5GQQAUQrA1SUqNCGY2kYlw5BsAUIhGFJCwEhAG0REIBNxgBMQSD + wJrLHAHRRiNQEmFDlEKCg6SuLnQuwRDCsDBcZEpJjSliUhtukDKYcwUSAcYIPANaGaVAaWLAgFQG + C42NZr6mWoNC0mDbZopWOfpmlRMKAH2NKWUADCr5Q3Eaj4xXhsohGHnTLd/98lcaoQ9ppn3XaXcU + mHI5GqaEWVON1cnEAAQMMUC0wRpwIdRju+r7DzQffXyh5EOaw+SaezRBURRhp8YNzkBYIIAx5mHP + ONx2ePZzHmz9AOTRS8X2AnHFCTx9W2OWZRj3lfGSJLP46QBIQrbK0X+zpIVWrQOBJe0YY/I8tw3l + q6DAkyRqpRSjNO50zzpj21v+8I8+85nP5Hm+Yd36z3/uby655BKllOt7nPPFeh0h5AfBzMy6wB3C + APOHewtHegiVd+88UPJOiKIIeA6Q5HkdYXAoVagApONu65779Qt+ZY3BClNEGH1i925EIMmLkeGi + 10sd4mBAeZKum1mz2l2j9uzbWy6XV1ZWSqXSYn2pl8SHjhy2erYrzUaaZ/fef9+JJ55IgAZRef/h + 2TiOlZBREBqte73EIFRfWWYlD7vMCwPquo7vdZMYZ7k0GhMEmExOrxmfnqp3GhrM5PQa9uiOer1u + QyY7bHdAnufkZ2w3fS7sAkcPYVShJQXieG6AkTQaU0oIMVojAxSQxcOULboiow0AaIOIwaCtPI/G + GnQuNXUIZgwZgwXVYHKkVZExTPqtzKgfSqy2ThzVZvCvuE+8ahGmlEIAFGEpZU8IZzD/CCFCEUZs + oAlhEGAKxiAwCFOEqUWobTeU3dsBwNIcnkWRg1/0I/6Zrv+LzqaetQTJcZxSqWSMSZIkdP1utyu5 + YIo06g2CRsGgqFQWhcp6aSVya+WhNM+KJFVKjQ+PBFFoMx+ttaU94VUDBIujY4zzPLeNKAihlaV6 + p9NxHWdkZESG5aIoGCZRFBFKhRCdVpu5DsFYWSk5hCySKoSQXKybmep2O2mnMVIbbi7HrVbPc0Ov + ZLyShxnSCRiDPOYZUAxBJSiBdA3BjDhzc3MG1MzM2k5jJQpCZDQYjQExSrAxCBnXI4wRkUnbQgoA + lqY5CMoHtYvBHv1zDIKwRxgGUsRZ0k1e8qsv2nb6WQ899OBjOx7Nk9T3fYZYkRSAdLkUXvnq366G + 0Y033rhly5ZSGNx53z1ZlmmtZ9auBYTiNPU8L/RLvudlWdZaaWzcuPE3f/M3W43GzTff/J73vKdW + q0VRJEC85Y/eXKkORdUhGz8NDw+vm167d+/e4dGRdqPjOI5WuuS6lDFQjGFECFJKXfvuP6tF6+/4 + wd8/tqNzx213L9ejDWvOPHBkbmzopMJdHK14d9z6N51XIaRKv3HJFRdf+KK3vu2NPE89zLjve56/ + Yd3G0ZHJ6elp09d9BUSePN7gKCj7qaNfdUFHdRzZp/CkItxRZ+S/5Vk864OzrEuWfN9PsHQcxyhk + jFFSYoy1wTYeGgQHnucFkVMKKsv1RSTTmbFq0UW9wjgUhAQgYKTO85xol1DPcxxAVHLhOkQjoxSy + zE9b6EDIEEIoJb4T+qVcGZIXPM9FZWhibHyoEIqLnFAMoJUB4KvZ6VNDbfsBPbcAoGdt2JVjIxjX + dS16nWVZnuedTsfWZ4qiGJhUWnqtbV2weYulBtnPNo/yPM8mNoyxdrtthYntCYcQEkJYs+yiKKSU + nPPBGrYnXxzHNrPqdDoWafY8r9lsCiEsNdfennUYGwSIg5Ny8NS01tVq9ROf+MR55503Nzd30003 + fexjH7Mh5tGx7OAK8FRpzV/iG9S3Yns69yQjJDfgAQmcELDhmhdFAcQwxiQoo6RVMQTQYLRBSlIt + QCqCHYI1ANGSSIwAuxgjj0mAVBUEg7Zm0woRjAE7hPrSGGlyJSUzBlPiMl8LbQQYrRFBiILWXMjC + AChsADMGJdchAiRSRps8VxyQJEhj5CGMEBhlwFiXLqQoGAMIG9AaqEHIEAczqXQhQBrJXEMpxRpr + qQAYAFiykI35jDFgACg5cmS+Vouq5ZrnuwvzByZGy6ANGIhCUvIiyQsNYcmPCgVJXBBCAQEABrNa + XTeADTYKGWQQwqIQCIAgCDzwHF8z4FIy5g8NDXdFOtc4fPjw4W636014khqljLWfQAghggkhUnO7 + eDDG1hacMeYQRyvACFt72f5xicAYZIzinC8tLYVhODk52W632+22McaCEUXOLZBq3wvHcQAjgrBS + aiDnNTQ0lCRJt9t1HMe+C4NTQGvNMKnValtPOfUrX/nKl7/8ZYRQFJYvvPDCU045ZceOHb1eD2Ns + 66tpmi6vNFu9OPADz/Pb3d7aNWNp1tm153ZtFonjUL9b5PPMpU7gKh27NMu4vvW2uc0n/LobUFuq + LZVKjseSvN5qtQAIwYxS5+RTT9l+zlkbj9kEAOdsP/fOu+9SXJx+5rbjNx87Njlx7rnnAsH333Pv + Sqv5wQ9+8McPPbiyVF+7ft2+w/tuvfXWyvCQ6/upiuMsDf1SL4mXGytLy8sHDx1SAMDI3PJiLDPF + MBhEQ991SZLFe2b3h7WhocowAHAhhoZr5UpUqQ55pVK73bbuT77va8d5ev3m/yBDAyBGCUGAUcF5 + WuR202OYaK1t+6kGkEZrq1mEEDEaABTSpi8AjBUABo2UUggJAVprZTSiBDuMaGr4z+MzCT8Fjg9C + RKM0aIMQsm43T/4uCAyAQaCMBoRQ33Qb+hoqGCzLxmIc9oixG7hFEJ4JwLKCOv93PNN4No1ibdhU + qVSoQc1mc83klDYyCsLQK8/OHuz1eo7jMWOKrMCYBm6QpmkQljCg/bv2eJ5XGx7qdLt+WM6LAiFk + tNYUMMbIAIY+pNpuNAkhCGDt2rXtdntpfkEU3O47suC9Xq8SRb00sVI2NpoRBaeUpmnq+/7k6Nib + Xv+maiVI0m6W8umpzQcP15Vixxy3eecTDzGGGXPDUuhTj+dJUbQZIbVovNPqFiKv1iqUgh+W/vjt + b6WEpEkvi3uu6xNGlMwxSEYcxYuCC98LLHBlGYDWl/roufq5owpswEhjpImCshDCdz2XOUrJPEln + 984yxiI/KHslIYssy4pMTNbGAfovHiakUo6isCy5UGAc13UIJYSkSVLkuSz4xvUbZmdn3/Oe9+x+ + 4ol169Z5ntdoNM4666w4ie+44w7A6PNf+uKNN944XKuFQdBoNGZmZpTgLiXMoUIX2vBWtxeVfIc6 + Gc+mptcszC8HzvpX/JdXDAfdL3z29unRLd1lPBquNyoNS5PzBx4vD53w4l8597RTN/leSET+whe+ + cP36GQ1aCMGYW6+viIL3ej0NWsFAWKw/gRYw/elJtOEfWpUqGiRIVuPhJ6ibzw3Y+8nBWZY7uRDC + GNBaa6UI7efYjDEESCABq7CryrjpZWmcjY+WkVIYL1cqZrgK42MwOjZGnHI4tObgfLx331KWZ5RS + ZIzWouACsMEYE8IQUGOM0UIh4VA5OTVUKaPZA/uyFMYnJ4qcdbrZvn3LzA24yPUqRg0U7ML+iZv/ + T5wdAUCf+dAXmVWO48CqmhZjbGpqanl5udvtuq7r+76VSbWJh43n7EMMgoBzbgFvW1YaHGk2cbKF + KXtxG5NZ+XvXdeM45pxHUWSNAqWUg38Jq/7RNgizFSrP8waLn3Nu17/tqT26rGrjVELIWWedpbUe + Gxt7+ctfTgi5/vrrbXyJMbbYdpZltlRlnsoR/2W+RAYDAIa+vdVAJxMb7HoeyjGRFGlkkDFaY4Iw + EE640IpoFNKgTH0idZ6nuRIQEWmMooARQxgwR9QQjzgaY2VUS+pUFL7vB05EwIOcEc0UVsR1KDMc + GaEKrqWLsEd8bbuqNNYIGawkYE00QoRoqpUDwLBmvnYkkRoKhQ0YwBgzTAgi2qBCaS641BIjQwkw + xIihxCDQLgMPSYcSJJEyRmhtHENRv3FRASarVAOyqjkDoOTGEzbITHRabSLZhvF1UcCVn4YlNT41 + yQtXJI12z8S9HCHH9agQAgwAEGvzi43GoAhwB0uf6ZOP3TA+Vt16wsKhwweazbbvS1quza3ERVHU + Z2dZ5I9MjszMzAwNDR3JjnCXWynWvvWcMVprzCwzEw226D7zU4EEafvZYJViZ4wBMEUhut04y4rp + 6elqtco5tyrexhiEAa22OdlrGgMaAaKES7nSalar1VI5BIJzwaXRWgpCCCJ91BJjnCQJQVhysWnD + xm2nn3HnnXeuXTPNMAuCgDGWZGnJLQXlsNfrSa2Ig8MyK4puITuvf8OVUbnmejVKqgVtGnkIHI9b + D3dtDORhiQlcirPij9/x9tGJoSMLR7pxr9PrmsSMT0zkOWfUa7e7xqCNx2yanplptbulUumc87Yj + Ru++665jjzvutNNPf/iRRzBC2887b8+ePQvL9Q//1Ud/5zWv0Vp3e71LLrv0xJNOemjHQ1mWzczM + +K7bXGmUo8gvRwvLK9e9731jY2NJL/3azV9/6KFH4jguuaWkm1CKXd8hnnNoaeGd11/z4x//+MCh + g+effz5xWZIk1qklLAU5L4QQoDTg59qW/gy7DRp8WaUpA2AAGQHaYGQZngghSilF2GiNbS8lwRoB + wgghRBHCCGGB+gYPNt7oxxwEOeTJVFwWYIl49ifb2tHqfR0t1vuzzp2V8bR7MkZIaS1NX0Hbvg5a + G621RkAACa1gFecSUgolhZKgwUq0EoIpwtpobQwBpJ9ul+7jzr/g/fu5toB+pvGsJUhW61Ap1el0 + QKjt27f/5Z//hVGqyPL1a2e63Z4VxY7T1IKsSqmgHDYaDddlQtokx3M8/8LLfn2pXgdrInQUG8pe + 3EKwSRyHYWhx09HqcJIknVa7FAbDQ9Ukz4o0M8ZgQAPvVMYYNmCkIsjdOLX5m7fc8sSexyjza5XD + i/XcDyZ++INDQASlRCmVdhMHk5ILYUnMze0rl4JSyeOieMsf/9H6DdP1lfrCkbkiyx3KCMauQwuR + F0VGXUYQSNBhWMKIDSr7CCEppQUD/u2RhEbWW8lYeWvb2GeMGR4eHhsZVUplSWJ5yaC04kJqmed5 + nuezBw/ESRKGIWNs48aNru9lvLCvVqVSsf1UK/VlUfATjz9+aWHhhee+8NYf3vqCF7xgYmLC9/2/ + +Zu/2Te7/7rrrnvpi89/31/+hZQyiqI8z4dGqkCBEKSEpgR1W+2oHIosLUfhUK2WZAVl4PvgOFG1 + ssZ3xw6v1EdGo2a7V6nRwBne35Gag1b0wOz85BqXc1mvr6Rp3FheiTvdOiz2ekkcxzZBMqbfCdgH + IK1C4E9Nke2vGORCtoiHEGq1WoP5f05VjY4enGUi7BZFgZCnlOJF4bKAOY5VQNJaa6QRQjZszUWe + xwVCZGlx7oxT177udX8wOcwOHny81VhKc06cSjdW7XY7z3PqVAAB57nBhlJqkLQzp42CVSvHLMtL + pYmpqeGTTz5xw6YTduzY87nPfbXbg3K5EgSBI2m715VC2UPIFkifNkf6d5+zf6cxQPgQQkmSWMzF + 4t9JkrTb7aIorDuZPUQxxlaE0/O8brc7yFja7bbdAEdGRuI4tvpUSZKMjo4mSdJqtarVqqXDWbod + xrjX6/VtPRCSUtqeiqGhIYzxwsJCEARhGNqeNM65vY7WutfrAYDneZzzLMvK5fIg9Bz8RgAghLCF + L8aY/UGjo6MXXnjhV7/61V27dtmcbcCffKYn/sstIg0azABseKSRwcARVtjkUPAMCGY+ow4uCEeA + sZFUUqYcLAAV2lEsZERiT0GmEVJAKFeQI0+7jNAChBHKZRRYmSIHGZekLsTYBVeDEJ7SJQdcAxgj + QFgxnYEjfSawNDrnSnuaupixkjZghMGZS7TjaIaQ0VRLrFngIsdQxVQsi4RT6ga+FzA/UwRRQ5AC + Q0wBOkNMYIQwoRi5xGWeNIVUXGFFgVJKtQZkhetWSfzGAGgAgg8dPDIxPr64vJjHwYLovvENrz7p + xDVzc4+Vy+X5+aSXHhLzWbcnM62cJ/Oq1YGsaZVRWgnFFSgNamxypDYalcrh2NT05MYT/tvVb19p + SyENCK9WHarX60VRGGaTlv5lnkyqzZMDjuJ8UkooUAr0JxIkhIwVPrYWnMPDw7aOtLzcsM05xhgl + lU3mlVJgtBDCdV3LPk2SxLKRR0ZGut2uRS4sjdkYQwjFWEsunnjiiTAMr/t//4xLUS1V3/eB9+3f + vz8MQ8Jop9NZXl4GAK/kK5ACcnD0tde/yy+FUhjqlOKE8wIo8Y3GQiiMgVBAIF2Pui5TXHGeT0yP + H57bf8rpJ8/MzBw8cjCOYy6V0QWlTGn9ne9+99vf/nav06aMUMY450KIZqt1++23x3Fse/ct9eNb + 3/7212++2b6k02vX7nhsZ3W4Nkqp57jLKytaySAqY0r+5F3vHBsZ832/udIKw/C3CokQotTRWkot + PN8phYGtSF982a9jjDdt3nzNn/5pKQwdx8myTAhhlEbEGpn8x97SOedA+/pMQRAwTLRSuhBGG4RA + g1JI9+kQtoFJWaac1ZvvW9sbAC20wYgwTCnVWiqptBYAQNBPhtD/mi1xICxs+cB9a3Ktka1iYWK3 + ei4EosQWRQfFf7P6U4hSliCNAFynP2TGmePY7/dpqAhZOve/cBv/dzzTeNYSJLWaipTLZWpQGIZ3 + 3HHHX/75n0+NTqyZnGq1Opzzdrvb7XYppcxxOp2O41KE0MSaiWp1aP/+/fPzR75049+NjIw0mk1b + IgSlkF4tgBgwUpWj6A/+4A8uetnLDh069N9e93quzf94xzvOOeccDSCUxJR84MMf2rNnz/7Z2TzL + jTEW72SYuI7DGEu6uauHdt53OIhG3/ueD9/4D7fronvM5u3v/8Bf10arnOdJrwdSblw7dtJxk5dd + 9sLvfu/vL7v8JQXvXnf9e57Y8fia8bFKKRwbGXUpK7mOyyhCJo67ruuMjdQAtNSwfsOxs7MHez3F + BSgtrOPbUSWjwXrsf9DPkL/b+ohe/bKvLwAYhDF1skIw5uaFkNpIKXtpEqc9hJBlM3AuDWDiMIpp + UApL5XBsbKyc54SQhYWFRqNhUF9qjxCSxcmB/bMbNmxIevG5Z5x78WUvU0q9//3vv+mmm/7s+usf + eOCBL/7tlxzHKZfL11577dVXX31g335jTFiJOp0OIjC3NA8YuQ5lhLqMFnmS9bpxmhyem2Ou22zr + 8RHci1sHDu0qebo8NMZVe3pmpNPb61BNGXI9aB5objvnmKWlOW0gDCPfDyoV4bpuEPphyeeca9DS + ttDjJ0O0gnN4ugQJrxr1DnB0C9VXq9WfLhwhhJ7WyvaXNTjLumQ5CALlUmCgJbfCDH2Q1WirhGyR + MNd1cUip461ZP93Lig986Evt5aW4zcGAApAATgB+GJSrwxqTbtwlxA18HwPShigltOH9ZYkQRqwU + jPz4vj3zB1aULprtW8JybXJ8w+go6fYSAMjzXFoHXtzvQYKnS4fQf+oeJHve1Go1qyY8Pz9vyz7V + ajXLMutwYO2b+/4ElM7Nza1bt86WiYIgmJubm56ellIuLS1ZiU4b6xw4cMDKvGZZViqVFhYWfN8f + Gxubn59vtVpRFBljbG+nTa4suc52co+MjDSbzTRNoyhqNps2CpyYmGi3241GY926deVy2casg5LR + 0YxTYwznvFKpCCHK5TIAcM6np6evvPLKj3zkI91u11auKKWWDfgTj/6XjzUYvLo1gvX8BQBkkMwF + VdQnJS/0CCMCiwzFWgJBjlGICFKSdEiVAkINNjHljZxzxy1Aaa5IjkdINOnUfEw7sreSNyRSjkeF + VLJnSqk7ZKojXs0Q3cXtZtHoCe04mBBCFCMJG3PHqt4Ql8UCX+mJjgHFSoQXws9oJEdGvNEQuWmR + LBbzEgRyESaAC2ZSUS5YldQqtGoYWszrAjgHobU2hfFyWtXVkheiEuuhlEtBENOYGKUB21xaI6Qx + 9OcC9evrBoEXBtU0T4bHq5NT481G/Svf/v7nv9qKysRoZTRNY2NMibplahAloJQEwMaaWKG+M7QB + YgiRBj2y78B9j+88dDgFDIjA8DDCxC2Xy6WonAoNPhW4iKLIcRzXcSUzWlupMCstgzDGQj2Juz9Z + wATCpcQII1CD+NK2YHqeY4UiLbhACKlWh30/qFSkBQcxxhIkIIQJElIShC13zprScM4tl9XS7G3c + aft5LO8IIRSF4U1f/eoTTzzxiU98glJaX1l2HGfN2ukwKpsedLtd4rAgCFrdzt9++ctf+sLnGGPt + dtcPwvpSI6pUDSZaa8/zLLWVIsAYYyBSSs6l4qYUBssri92ksX7Tul27do1NTlDGevVlrdDISEQx + abVayEC5NlQJy0sr9VI5nJ6e3r9//74Ds8PDw7ngjfnWpk2bsiyL4/iY445ttVo7n3h806ZNdpNp + ddoYEAYURkNH5hb+6xvfsLK8PFIdzrIsibPR0VFLOCSEua4LBLIiLYpibGxscnJy7969S0tL09PT + jz260xa97SlpCQucC+r8bCHicy3gdhxqEChptFY5F5nWRIKDiUepMUYaZZCt+ShsALQCINpaEgBY + TXqNsEGgAWmhBADRRHJhlPIc5nh+fhTFrk9tBYCnRiZHy/48LaXN5kWDIs+A5+I6jlyV1bF0gAHQ + QCnVSmnTZ9MoIZWQhkuCsCi4looQooRECGGCf1ok7Jnu5P84ftbn+7NaN/xM1/+5fCF+tvGsJUh2 + p7MyKR5hAOB53vjYxF99+GPI4BtuuOH2228veUHJC9rtNsVsYmzy/Je86NJLL7nxK3+/efPmCy54 + 6at/+7ca9WXfcQcKhpZtb89gWzN5zWtes23btre+9a379+9fXl4eHR756Ec/+tlPf6bT617xile8 + /JWv+O63vxNn6aBu4Pt+HMfdTgcARqo1VGQUk16z9/GPfyJOyO5Hl3901/yPfog2rLm4vtIghNRC + CBzdXTnyz0/ce/opm++7++Grr34tc6SWGgGEQTi3cMhIk6dZlmVGKk2EFHx0ZHhqaiLLMiD49K2n + 1evZwphUAAAgAElEQVQrSZL0Kc6MUSrsr4NW25Dg52XZGUCAiMLQ6XW9MCikoJ7re4HrewoMGOM4 + DGNMDHVdN1tJG3E7CII0z7QxBw4fWqwvnYxP5ZwXRSGULJVKrVbL87ypqamlpaVuu6NAFUI0m81N + GzY4nmdlsnq93pEjRzqdzjnnnDM3N2dPu06zxZWcO7JAfUcZCQa3Ou3AdYssxQDVoVqr1dqwYb2D + 8b0PpJ/49F9MTZ/hubLbOuJ65ZVWsxwJhVYQ7n7jOw/NLzx4zvM2ViqV++97aGZmhiEfoNNqNKen + Z5K0xxjToJHRxpijy/3kGVTsALStT9oVWKlULB/MdvceLdUAz70ECZiKgohznnRTOkT7JxZoxhgg + TBEFCpprWytgzPGjwPE9znOdp8xlWksDQAkYDYyA7+OwXMIYp0Xmup7vBzzjSkiEDULKolLGGDCg + lOJaVKtVKXmr3QrKked5i4tNPxgKw9AgQgihjGittAIQT4rU27sewMDPQRW7Z2vY/cR13ampKavN + 0Gg0bEvk9u3be73egw8+2Gg0SqWSVWQ55ZRTyuXyd77znS1btpTLZfvW3HPPPc9//vNbrdZdd91l + oZPh4eF169YtLS1RSk866aR169bZdKhSqWzevJlz3u12L7744kajcdttt5VKpe3bt0sp77zzziRJ + Nm3adMIJJ5x00kk333wzY2zLli07d+60Kdb27dvjOH7ggQe2bt06NTW1e/fuHTt2mKe24Q0a1jHG + W7ZsscLxtk6FELrgggu++MUvCiFsy7sNKK0F4S/7UTxl9NknR2VHAIANuMQrIX8ynJxiawyYI+pw + 0ulKWSCXIYkd7UyG48f7G4chbEFjT/PwYmsBRRg5BHNZJuHaysxxZJ0PpAPtBxsPNpMuchhCDlZ4 + yKnO+GvXl6cxoAVYLNq8k7a1BuITBm5EhjZUN22AmQJyL9+/v7evzQuNtc5QIEvT/toTwuOGIFwI + 52VXCWUKnRVSQGEqTm1dbc16d8YBpwXtdq8hHcI9aRAtYacWVje760eD4RTUQXM46SSUYISYBKGU + wURZ8Sz7ux+NuRmjKcOUUeYEeZ4RgghBCIPVnq5VRxHgnLMg9OM0VbqwpWVAA71+oxEQsEQknAse + BoHRqetBzsFhnuuXpGKZAN/3OdECoNfr5XluK0g2KcKr+7YxhjIKAEQTrPtZuhCiEAVeReKfLFcC + AFg9IDEQOGm328Yg3/dnZmZmZ2fTNLU1W3sp1DdyYJaDalP6JEnsTuX7Psa40WgURREEAaWUZznV + Ril17bXXuq77vr/4CwCo1WpZlrVaLRt+1Go1K8cipVxcrh+c3TMyPlZfak5MTnc6vUQpY0ya9VyP + aC0YIUopySXBLgFqNAn8cGFl3nVZpVrdtWd3WImyPBe9ZLg2SgjpdntpmlcrQ4yxTruPC3POd+3a + hTEeHR0tiqJWq1UqFdvBiDHev38/QujEE0/sdDoGgW2J9F1Pa80oE1rt2LFjamrq3vvvL5fLjuO1 + 4m633SGMDldHspU045lfKmml0iKPs3S5sYIQmp2ddTzXwj128m0OyRj7BVs3/6KHNkoDRgQQRhgQ + UMJGhqtTo+OjUUUZLZASWEmCCBgqDbZLCLTVOLF2eQohjbCitDDGEKyUWl5eXl5ayONeHvcw838i + pv8/xnU2M7HVm8HkDjiiWmsuBEIoDIIgCCzFGmkzMCsn0Ad5bVQpjcYG1k5OlR2vWwq1NgsLC5xz + 7Hn2OWIgz3RLtmXxuZbT/uuHRkB+wcvzWUuQAIAQUhRFGIYyK+yGcsYZZ6xfvxErdN/d9ymuQs/F + jPZwUomiPM9/7dd+7ZhjjrnvnnuHq7Uiz3/nt68M/JLneUOVihVfsjLz1SCw2EwURVdd+XvvvPad + 05NTs3v3TU9PO5QdOnRocnxi7bqZ1//e6//4XW/3fd9gVKvVjszNlctljFA5DC1Q2mg0atGw1r1T + t25cWjw4PrXxdb//8sby3fv2eI2FylD5GGNMUXR6cd13iyzVr/ndrWtnXk/AIGNOPvnkkZERA8ZC + yJbQ7zhOGIXUpQihbrsTlMObb/nnhx984vRtZ1riCsa42+0SzAbNMEft/D/n0AQJbajnGoITnv6v + D73/f153/ezcwcl1a+bn51OR50nuOM7Bg4enpqa+fOPfn3/++SdsOXnHYztv+/73iqKwiqUGAca4 + 2WxOTEzU63XPcTHGx51w/Nf++aZPfvpT3/jGN6Kw3O52FpYWL7300t///d//p5u/vnPnzv/+39/x + oY98yHXdZqfdB7MJ6SQxJoRiK7SqK9WhXqebFdzzgw9++APX/ul7b//RTedfeOLMzOavf+17BUFS + eV7Vma/vH5ssnXRqbXSSHp6P272Vbqf+o3vufd1/+68AhDEmFC+KjDEilTAA2vYg6SeL17YlVwph + CRW20cIijt1ulxBSq9XCMMQYr6ystNvt/m4ipTHGWq3nea61prSfMg3Sp0GTxr/xdfg5BmdZ5rUt + Yqqx5kphggklUkpCn6Ta23BBSilTpYSkiKe9pePWr3G03nBmGHfjmQ3TzK0Oj848tmdh1+55FzFC + SdLqGGNKgWMAGcMMIDAYjDFaIcSVSTZtmJoYK+3cGXPJMUnDiAShf+RI3Q8qdt4AABAAe4oYGsCT + rBltNHkGX6//6MOmFldfffUNN9yQ5/m73/3uRx99dGFh4cwzzzz77LPf/e53u667Zs2aT3/60698 + 5SuTJGk2m77vv/SlL7311lu11ueff/7ll1/+ve99b/v27R/72MfiOP7Sl770yle+EgCmpqaq1erz + nve8W265xRhzxRVX1Ov1xcXF44477oILLrjmmmvGxsZsR0Sn0znttNNmZ2c7nc7atWs//OEPX3LJ + Jc1m89JLL/3sZz/7qle96lOf+tT+/fullEEQfP/737cEyze96U3bt2+3yzuKosXFRcdxXNftdDpj + Y2O9Xs8YMz09PYAVAMAWpt75zndeddVVdkunlHY6HStGHEWRTZYsArW0tOR5XhiGdpcbnPTw70O5 + NAj3jZmMAGmMIUAIoh5xXeVTTihzEGjPuCEONNZKG4RoxQnj5bgyExnQVRiOlx8vj5Qx01LnYFBA + A94uwuHAAcgAt5dblbVDKZVKaWm0EpLrIiz7HESWZkgBY26BOabEUU7ezcDXXkgRuK35FfAx8V3s + IcW5Kx2xnI6HVRccDSP3tBJR0hJjTF0CjgdB40jz2E2bahDE7fYQlDPZdrywk/WQMrnSrusNwzCB + hAoMHAjFThhwnUkuEEI2NAdjhQ1WwQsMALmCHgOPp5wx1xVycsKDkBKSnPGiM3s99NjjiwvLMul1 + WanEZdEvGhmbIwEymoCkRgDPXArnbjt9cmL0tGMPFkWxZ88eAWRoZGzn7gPMH83z3HjErGpnrUZ7 + RmtNtKXxYoyx1JpgYpcK0Xp0dHRiYkI01cpcc3RoVBXa8rellL1uhxBSKpUYc1fLnshWhGzhaGJi + Ynl5qd1uW6mSOO4SQqLqSJZltmY7IFpLKZvNpoUCEULz8/P2BkqlUtbqKoSWl5df+tKXnnXWWTt2 + 7FhZWZmZmVmsLzHGHMfxPG9mZuaxxx4rlUrLy8uTa2carU5tbCLlSiOWC42wMg7SFMBooRUQAEq4 + VNhgigmXBee5McLxqRAiKJcLwSuVSprldqKq1YoQnMuCOY7junmeWUQviqJGowEAlmRrBS2VUkEQ + pGlqIyJLvfP8EiEkz5Okl46NjXU63XavV65EACC1MBhFQ2WDEZcFplTlOs0zRigXwvU8bYzjOksr + y8NDVauHWRSFltKhVCkltUbkuRY+98uA1kbFGFMKgjzPrV8TQsB5XioNEUKKInMcRwnBGMFAsNXS + 0mbL8Sd++H/9fx6gu+66i0X+3kP7FTWB5yWLKzNj481W3cG4xFyPsqQX+6WgGcfB8Gg9TSSj4HlB + Obzrrrs+/7kbopKPjElyYUGxMAzr9brv+6+96qr77rvv0UcfNcZoIaMouuCCC84555w77rjjxhtv + HB0eUUr96otftGXLFsxoXhTveOf/GB0dBQCtdZFmpVIJU5bnuSz4Rz75qbjdq9frLmXUddqNpsFo + fGQ0jmMAsL0bcZaWXC+qDtUXFonDmOucdtppl1xySTfuMdd1XRch1Gw2t5115pVXXrl3795qtVqt + DF1zzTVhEPSSRChhF7kVX7UAWZIkb3rTm2wMDwC+78/Ozn7rW99yXXel1aSUUkptY6E5qq/7aZ8W + pVQUHABmZmYuuuiivXv3Zln2wAMPWNG1sbGxk046yaoZ+b7/4MMP7dm/dwCRDMKwo0sL/87j2aTY + 2Vp2HMeRH9hDFAAIEAN9RdokSbiSAMA57xtiaj0yMrJhwwb7kPI8LwdhpVKpVquMMamUjWttE3MQ + BAjQ2972NiPVu/70Xe+65l2HDhzctG59GifXXXddo9d43f/z+4DRzp07MaPnnntup9OJez0riUsp + lQUnBtWGQ2nSsOw2l7OJCb/bin1nvEiCdkNLKYerVewrgnue5+zZA37ZlYonRXrqqacorRGgIAyV + FqOjo+Pj46MT477vR1ooLYPAj6Ly2rXr180cY/Ws7NJhjGFELfX5WZnnNM8c3/PKwUqzcfUf/eH8 + 3OEvfPlvhSxO3bZ1prUOGyCEjY+PN5vNxcXFwwvzn//yF+M4vu2HP/CD4OWvfKVQspfEY2NjFkUD + AEKI1EoIMT8///Wvf/2RRx45Zeupzz/veS/4lRc6lH3hS1/s9LoXX3zxzMzMpz73mZtvvrnd6w4Y + rkEYeq6vQQuhwGCpdb2+oqXuJdlxxx135PD8e/7s2rirgvLYvsPfWbMpW79u83K9Wy57RTbdjZeC + IHzg4VsPzO359Gf+utVa/tUXnT8yOt5MOkmaR1EkJQcwNk+2QXg/TTqqCjcIBQYFTNsKEkVRpVLR + WlsfDACwb7UxxqqJWCY6QoiQJ2tK9lUfcJCelef1Mw17qCulQCkEiBBCCAbQUkqlOddcaeVj1/M8 + xlgr6fQWY0DGo3DuWcdfeeVlcfNAt7u4tHDED6KVVrq8vGzhUq6UR33Pcyxea0Mn3T9R+pELw6xU + KmGMX/CCF2zafNJjjx34wudv2r2nWalEftBnLfatgtDTB77/6StIAHDGGWdQSt/73vd+8IMf1FpH + UbRv376hoaE4jhFC4+PjO3bsuO6669785jdb5PsHP/iBdTWxDki2balUKl111VV33333G9/4xu9/ + //tpmq6srNjsnVK6Z8+e3/qt33rLW97yhS984dOf/vTWrVur1er8/Hyv1xseHs7z/MCBA1EUzc7O + 3n///RdddNG3v/3tRx99NAzDhx566DOf+cwFF1zAGNu9e3ee50NDQyMjI3v27CmXy81m0woteJ5n + A1C73wKA7/u/8zu/02g0hoeH7T0ghDqdznnnnXfuued+61vfarValUolyzKbRx05csQKndtVMTY2 + xhizXk+D0v3RBcZf3EAGIYONsbLWfZ0nMBqUFql0pRqujZTALyDzUYn3OPMpdYwqDGgdlIIMshEY + 6kJndHR8obc/w4B9KJW8kvagMBoMAoIBj46O7uocAuJ086LiVBjCZd/TIDFo18GuIEiaXCSy4AzT + yB0quZ4HHgG8YXq94Qe7uDW/vLi2Ok0VnZmY8oABiJXOUjRUSR3RlSuIAE9FmQa1qDYGwy6QMvaH + /KiDipbqciRrQ9VQBM1GeyZY4wBDufGxZ5hutha7RSsIgsgfaueJnRKM+0I1gxwpTjo0IknSW2jP + j5Tp1q3nTa8JlGo0Gg1tgDGqdWFA9XodRMB1+13pVt8LUP9CruNQrFut1lAldF3f87xTt56+Zt36 + sZkND7/nf64sLBQaacVrp0xYQcUkSXJPUOoMfJDsKQPMiuv1lUVtoO/7fq1Wy5LMJb7v+1aAzm7g + tv3SKuD3XV8AbCivtR4fH/d9f3FxEWNcq9W01k/nj6RtKOn7fpqmYRhu2rTp0KFDi4uLw0PVsu/n + cfKiF73o2OOP/+wnP0uA5FAIKc48+6xer1cul6WUCwsLAFAul5fq9V6SeaWAK02wCxSo62Bqeq0O + YY5UEoximFHXcT0HFEUaIQxh2dMAeZEFpTIhhCrSbrcRIlmWEdI/laSUUggrH2cVL62Pky3HWRcm + z/OGh4eFEJ1Ox2o3yyRlrtPtdjFC5XLZ1pFs26FVCASAXHChFCHE8sY8z3M8t+T5Qoher9fpdEZH + RqIoEkIMhGf6L1cfMXxu9ZXaWM6W2mybmfV/c5ljASCtNee8XypXmhFMMUEKtJCF0tiAEMJozah7 + y9f+6Qf3/2jNhjUXvOzX7vjh7WKp9Z2Dh9LFJddolRVacq1hev0GtzY8s+UkNFx7/6c+MXnssb/+ + 8ivqi0tBEEghlRK+H1jC886HHz5r+/YNGza87rWvu/fee/uzp/RFF130hje84e67737729/uOM6n + P/mp3/iN33jbW9562/e/12i3XvjCF37kgx/6o7e+pVKpoFWHQ+o4URQl3d6eXbsfuf/B73/3tssv + v3zv3r2Li4sLCwtLS0uBX7LLxso2hmH4kpe8hDE2t7jw7du++7d/92XHcXzXw5QoY6SU5XK5Vqud + ffbZS0tLnU6HYgIAs7Ozm487ruB5kqbz8/Mnn3xyr9fbvXv3unXrMMb/8A//cNlll01NTc3NzZ1+ + +unHH3/8N77xDYuI2QcxUJm2G/7TsviwgSxJjTHVavUlL3nJRRddtHv37mq1+o53vOO1r31tqVR6 + 4xvfODo6+sgjj2zbtu349ce/6qpXOYQOKlqDaz7TUfIfiWJnUfmpqSkhxHK8bOVQOOdJ3gu9sjQS + M4wZVoUqBYEBYI7DOfc9/97773v+C1+w0mj0knjdpo3Ly8tHDh6irmN3T4wxwtgYw4vixS9+sQBx + xRVXrCzVL7/88ne980+ff97zkDEOZZdffvm6DRuuvPLKbdu2ffOb33RL/u49ezzP01oHQdBqNoeH + hxkm9fnFRnt5/8G9rW5zdHRNVAZCecaXHY+FbpTEyvUgzXqt1mFCdakMxXKukRkeq2kw3V6bAOKc + N5vNOI7TNG+1OgsLS4gAQiYoB6Uw2LjxmJHh8aV6M884GKy1cRx2NNb+0y2Pz/SMjel7WRz9payz + E8NLy/V3vfvabdtOP/HkEzkXtVo1T7MwCqVWWZYjj4ysGSuPVIIg6LRa64/ZNDc35/v+/OLCvfff + RwgJonLjUMvzvCTPiMMUGGv48OMHH9h/YPbzX/5SNao02q0NM+uIVrd+77avff2foigqOOdFwYUI + goAQIpWyxxsY4FJ6Hq1EUeZloR9yoV7wq7+CgC0vN4dro/bcmpgco8Rbrrcd5pWjTa2V5fGJkV63 + fcY5Gy0Fw3XdQ3Pz+w8eiJM281xplAYjhACE9FENXANwelBOAXjSmUdrZTkJVqGo1+vZo+Xo5Kff + 4QaAECoKcRTdyNamwIp9PVuvxr9+cCOZzwghelVnQiMAAoQQhAkjDFGkubZaaqVSyRn1gECeZ/sO + ND780b+fO7BfFQAGhALqghNSoF4QVbGCRGQIEc/xjMAINIA2WEG/xQAbjZVij++c0zwGpNP8h1Fl + ZGpyUznKpUKO4xQ8s4k0AIA6utH6/y8+SBbiuuaaa7Zu3bpz584LL7zQHlETExPWSrLVas3Pz3/l + K1/Ztm3bn/zJn9xwww1BEARBcPHFF6dpajsibL91o9FYu3bt5z//+Q984AMf//jHzzrrLCvM4DhO + HMdhGJbL5bGxsZWVlY9+9KNvfvObMcY/+MEPjj322Hq9bok3AFCtVj/3uc/ddNNNxxxzzDXXXBNF + Eef8iiuu+Md//MdLL710y5Ytu3fv7nQ6TzzxhOu6jUYjDMM4jtevX59l2b59+yqViu/79Xr92GOP + 3bBhw8TEhN3ApZQWOrGo/PXXX3/vvfdGUdTpdJRSQoilpSWrD2H/tM1UA4ITwE/SL3/RY8D718YA + QWA0kmAUEINlLP76gx/f9fAuv+ydcvbJG09bPzJa21Pf4/qeR5zFfUe+8OHP5q0YM3zKr5y+8cWn + xLKVpyki7kI7PnjP7m8evml2z+4Yt9/w3j90AqctYq9cCom3uHvups/+XWPPsuPQsZMmTvzVk8ZP + mATQjaSV6rTiDV391jdEiYspHjt1+pSLt9FpFtBQYBELfefDP/yrW96fNDqmSra+6nnkGF860vFo + 2Q1FV37ln/7hYw9+UC1nG47dePz5p6JNAUQaUWmYXGws/d0nbhgl1SP1hZPOO3XtGetNRbiBO14Z + k1ImRRdj21EDdhPrnzgGgENppIoIYiGdHpk0WvzggUc731ss8jQqU4JKeQEC+ZjRkCKlBfS1ufoa + 3wZAIWQQJhh6Qtz7+K4f735iYTEvODAXNh+3iyI6NjaGmCBekBqO/jd5bx6uV1Xfi3/XsOf9ztOZ + MweSMBioVFFQKFJlUIkVrVUr1VYtrbZo5Wq1WofSn61V6/RUrNdS0V6vgBAGCzIoYIBIIISQkOkk + J2d652nPew33j3XOIdThXluqtr/15MlzcnLO++537zV+vp8BS6WOMzSDa4DQEvlNSqk4vfwEHEpK + GUURY8w1snbFrcd1KZbcmABgqeAvAAAkAsElwqA2x0mScJ6mqZnJjCqjSMWgVr+7QlVaIeYhhIIg + iOM4TdNyuVytVovFIiHENkzCJZfyk3/7t5ZlHT9+3M1mCCF79+4dHx9fcrihlCI8VhsZqVQBwA9C + XbfD/pBaCBOIosiwKNENRAkFk/Ek5RIEkwhLziQTcRjplCBKOOeWbScJw5hSqufz+SAIOOcsTdIk + IYQQjSKETNOUjE+MjoVBePTwkZGRkXK5DFwQQjzP66Zty7Jcy1b7AYmx4zgI4ySOCSGD3oBzbhum + EMJ1M+rzAgKKMJIAXADBgvNhf9Bptsrl8upVq/q9XqfZYoytnlq1uLjoeV42m1W3kVKaxDGhP19+ + zn/2nnVlVVZVREKIoWmEkFqlOjc353meElc7jpPP54UQSEosJEi0ZBQJ2DRNk5pJEI7WRv76Y58o + VPNBHJ61cdP8Y09++oarz59ck09SCYZu64xzPuDUgucVxs+8+KI3Xf7GM1/+smGrs3bV6vvuu8cf + DEZHa0GYKpLn6vXrL73kkndc8Y4nDz65evXqJ3c/gTG2LOviiy+++s/et2PHjje/+c0XvfwV22+6 + +emnnz777LO9wJ9YNbVnz543vfnNOqEUYUKI1HUhxErqneTi2JHpB+574JFHHnny8Sf/7F3v2bBh + w4c//OHjMzOGYSg7Ry5E0A82rzv53HPPna0v3H333YVc3hsMASPGmFp3AGBqaurxxx//ypev1XX9 + 2PT0hg0bTF1fWFgYHRsBhJIkaTabnufVajWMsWmaYRh+/vOfVx3y9a9//Z//+Z/7vq9pGvBlhvbK + tlaCFOKn9RLLskCINI4zjvP4rl1XXXXV+vXrb/vObZtOOumRRx75s/e8p9frnX322a99zWt+7x1v + 2b17N9XpynZi5TX/O1SQlLJF+TuZhqUyixRUDyAGgx5gUimVCXUwxvVmo1jIBWGIgZx51vOllNXR + EcMwDhw6mMvlVop3ampTM51hGAsLCwcPHgzDcGRkpNvtqvgRliSWZanc0mPHZwqlYmWkNnP8uNJS + e56Xz+cV0oA0nWiUmpof++s2rJmbb33mM3cPo3qYNKtVY2HhaKlYXazPWk6w7uTs979/4I67vve9 + u6//6te+1Ol1brr5xje/+Y0pMD8KbdddbNQ7/V5v0A/8KJNzhWRBFGqalgqBSb/T6SgKr1iW16n4 + hefiNksACIKgXC4/+ujOg4f2KyfzarXaa3c8z+MgCdE0TeNCqs7d7bQdx1H1OmVDnC8Vm82mWkiU + YkHRtfMZt91ud/q9kdFR3/c3b9kyNzdXKBSazWaxWAzCUNM0LkQ2my2Xy3Nzc3EcW65DGMcYC8kZ + E94w6Ha7s/78xz/+CYypqRtxnFIKfjCwbC2TsWdn54uFWq83yOezSZIgRCzd8Dy/XKrGcRr6kboe + xzLDyCuVio5rCSEAIblsz7ByOkLLSlxVdVGgEaXUsgxl2NVut33fBwCVm7ZivLaSnqZeRMl/1fZO + YedKxftL0VpIjUXLpz6hsj0QAMGUUgmYYgoUZCxVJLxlWQY1zIwThV7Y7yYJ06ge+UnCQKOQMMia + lmZnqKmnQazqbzGLdawpL12EhJTPcOR03UwTnyBCCLUsAwGJYyYFcl1XLvkIY0I5lwDiBJ3A/29y + kBSNczAY3HXXXZs2bfrnf/7nCy+8cDAYFIvFAwcODIfD0dHR8fFxx3H+5V/+5ZOf/ORll122Y8cO + 3/efeuqp6enpVatWKU/IXq/3lre85frrr5+bm7v33nvf9773Pfroo57nmaa5Mu/5vs8Yu/DCC3/n + d37n/vvv37Jly/r16++8807FONq/f7+CnE3TPPfcc6+44opHHnnk9a9//dzc3MLCwmc/+9lPfvKT + 999/fxzHSZJs3ry51+tNTEzMzc1ls9kDBw7Ytj0+Pq7kRpTSVqv1uc99rlAo/O7v/i4A9Hq9yclJ + IcRpp512xRVXjI+Pn3/++V/96lc3bdr0wQ9+8LOf/Wy1Wg3DUPVAznkul1NUVWWFAstQBSx3j19E + HQkhuZQ1z9SbEkJ4JKqZ4raLtm14+/pEpHPd4z3ZHnQ6lVJBYkQjVKmUXve6121Zv6nn9QZa+Fh9 + P8/IYt51sWky7G48ecs5m3VCISd2Hd+VuIlTdjlAr98u2/nLf2vbxtJ6RHGf9g/1D3e6zT4acmDY + wEHkv/byy89Z/2uVSqUuez9q7F6szyRmajmWgbCdsV9+6SteeNqv1YPmfH7wlH/YNKlkvN1u6j26 + Zcupf/am9zqRHrLgKJurGx2fNdPI6wx4Psmu3bD+dy9+IyHkwPyhDukb+WxXNsI0QBilaarrJutm + GecAACAASURBVOd8+XAqBUhABDAHSvO5YsKGAJDL5zvtxtziIk+D0dFcs96vVigiKPSijJFJWcK5 + oCqKWi4FzcGS2bHgwDGVhWzOtrRUHO8NYBhCd9AyqM0ZzeerSLdk4g+S3szMTLPZNFYZKRXPykFC + mBAilhUUGGMBoCoArpW1dKdUKg06XhRFisGuqrKqrKGWUaVlV/9MUyalXFhYyGQyIyMj/X5/MBjI + lXykOJbPzkdSPBTHcdI0HQwGpVLJdd2jh4/EfrBh3fr9+/ennJ188slzc3OU0pGRESGEZVnKxa7V + ajmO02o0Hcvy/WESDkAkjElD01LB4yjWMEKcEEoo1hljkmEOkiBCKKKubekGosT3faR8w1ImGB/0 + +pgSAFA1W0qpSFLGmEGMmPM4ijDGa1avNi1rOBiEYWhbVi6XS5MkTVOMkJTSMs0gDHu9nmlZatxh + jGvlShRFGJAaoRgA0NISyQXjCcu4GcUjwBIG3R5wkc1mCcIHDhyYnJzMZDJBEKhLUtYs8ldKo7tc + 4FKFQZVeUK1WFfFy/fr1ah+oiILKBowlKdIAA8GUAOBUCC8O+8GgrNn1+YWFufkzz9r60A8fZGlq + JbycyjPtYgUzzEQm5yZIzg+HnV5g1LuEmPlM7nWvvGykUD40f4wnXN0rIcmKz6fneZe/6fKrrrrq + 2LFjaiNhWdbJG0++//77s9nsbbfd9rrXvS4Mw363Z1nWxMTEzOzxt771rcdnZ1dEbqrTBr4vpdQw + 0TStVCjPHpspZHPAxRlbt2bd7M4dDzmOkyj3L8YLuVw49AjGI5WabduOaemEAheGYcVpEkURIkQx + 6NauXUsp9X2/XC43Gg1CyBOPP26AOeDDV73qVfv27Vu7du1wOOz1eplMJo7jUqkUx/HZZ59tmubO + nTsVf+HHqXQrpdoff1gIgKep4uwpfUelUnEcR4AYDAaKHLt69er3vOc9vV6vUqlkXdePAvnsQOdf + bnsuNUiu6zabTSmlIrg3Go3DRw4lLAYQE1PjXhB2+x3PD0dHRzVTE1JaruOlwczx40Dw7PzcPffd + 97LfvDAIAjUzEkIEApXmrja1lNKs42Zsp9fplgrF48ePh2G4fu3aZrOpbujznvc8wOjIkSOO64Zh + KBD4UTjwvSRNwygKKAUpJMWVsdqLz3vh9+5+OBTTRrZz5RsvfuD+PTPNfS1fy425SPh7Du+troKz + zz29Pdizc9fDQ6939PjRVKQSEBO83mxYrjM2OlEp17qkZ9mW7/tBnCzUW4ZhDIeJItQpGjQAqAqG + YnM+B03IKAgtQzcpbtUb+Xy2Ui6sXT21aOrNZnPo+5bjMsZCPxRChEnEEURxrGkawtiy7Xw+zxib + X1iYnJwkhIRRRDUtjCLGOdU0LoRlmbML87Ztd/o907GHgU90zclm6q1muVy2XAcRMl9f5CDzpWKS + JIhgLhgAcC45liMj47Zt93o9kDhlieXont+zbJrPZ4JwYNlauZYFwmxbNwXt9XrE0Nkw5ijxwqFG + NSdjWpZFMCKctrsdxiWldKWCtEKxk1KC2rVTqtRHikThuq7jWADQ7/cV50fVjhSUoo7ryg1WfUex + QdTxSW060bIjyHPzsH7+tkKxwwhTSglGUoo0TREmKUu55Dq2FGQ1HA47nTbRkGUhEferk5PlnFku + FClGuUJRMzNWtrL/0Oz+g8eTKHXdAmcyiiJBhQQMAEJihBTLTmKMwqi3efPqqYnioUMHh34CQFKe + Zkyn1e4YlrM8fQNgAAo/cf76b3w6AgDFSLngggvm5ub+9E//dPfu3WEYViqVcrk8Pj4+Nja2ZcuW + VqulSDLvfe97t2/fvmfPnmKxqEztarWa7/uK1eb7voIebrrppu3bt8/PzyvIcDAY2La95FYM4Hne + aaeddv3112/ZsiUMw5e//OWPPfZYs9lcu3atAkpf8YpXXHfddddff/3k5OT8/Pw73vGOPXv23Hzz + zVNTU294wxuuu+66Wq0WBEGxWNy7d+/U1BSlNJ/PK85Sv9/3fX9qaurTn/70GWecIYR4+OGHPc8b + HR19+OGH1THpLW95i5TyXe96F0LoTW9601lnndXr9b71rW+papX6IIrO2u/3V2i3K6cj+IVokDAQ + FXbPhOCSa4gQQnShF/Mlfz5YV9swYo12085kdRL5Iom8YRgO/QFjhYo+snXt1izkDcMd9qbHqqN9 + MWBelMSJFTlVp7R+dIMOFof0CfZ00bQTmcY8gRTbYFjYWpVbBSAaYEyH07rUS9lSjHlez6cxO/fF + 526CVb70knaYlfZkbqKHBizgAERSvGrd2onchJY1WoMDTmzQkHMMo/kx13LDyHf0vKmbBuSMbo/7 + izbCupl1IVPLjmXX2xOl1QRkOV/esfjIQmc+tRmxcZLGSZJoupAgpAQpl+ZJQAgQgGDzCzOu62rY + 6S4O0jDavGky43AE/isv+PVGIzx4YBGx2AsTN5PxgiFILJfKwMqnQRDJMXBIPID45LWb162ZXKyX + hsPB9Mwx08lXKmt375nu9/uDsGnkncxoZmJiYnx8fDqYjo0EIfJvcpAQWT42I1BzchAEHvdAwzk3 + hwVV87YqV2qatvS7Kr+LA8YIYUQIUYyBTqcTx/HExFixmE+SKI5TKSUSkqhQbbH0NQFEMGEiydhO + p9MJPd+YnNQIoZSe+7KXlUqlXq+nGfpffuyj69atM3Xj2LFjl1xyiZSyWCyaulHI5cvlsqkb+bxL + adT3ujrlQ79FNAdRksQi4xTqza5BTZ0aWOogJQGEkcRYYCTTNOaRHHpDQzfVAM/n84uLi6ZjgpQI + gFIchj7nkmIShaGaSLOFvEjZzLFjtmEWioXIDwThSRhxkBThfhiW8oVsJtMZ9DFCkkue8sgPPN3o + dfqlfCH0Q9u2dZMwxjhjBGMAxBkPh55mGEkUg5CSi9APoigardUmxsbiMGRJYuq6Wv4IIQljP28F + 6T+7IYQYTzDBCMk4CR3XMk19MOgtLs4LwUZGRk49dYumaY1Go1jKl8vlU08/jWIiJRIYxQLCOKqM + j9t2XvJ03cYNa9etbjXqpWIedQaN3sAIowmsjSKIQg/ibi7jWJaT1Sjq9mC+Pjd7/NSTNmnVYoLE + pk2bCAaMAWEdAIaDQTabffDBB5MkKReKZz5vazD0XNelmAjBTz/9dIRQpVIZq4xt3rwZADAl3W73 + 3e9+d7lc/t/f/raKnUzTVKPUcZzQ8zHGaZyMj47m8/lWq7V+3bpGvd5ttfNu9uSNJ/V6PRV8nCSJ + UTXy+bxBNQyQpunc3JwSpERpouu6ROCHoWEYgvE1o6uvu+668dHxSy65aH5+vpDL66C/9Y/f+oMf + /KBWq23csOHYsWNr165tt9vDwYBSOhwM0jT9oyuv/Ohf/qVlmnEU+Z5XrlTUnlycECn5M/QjmUxG + BZnylJ3/4vPOuedeE5mRjHY+/EjGcSmli/ML37nxJinl/3jf1YPB4OEfPQLPBl5XKiW/qC72rPZc + apAUnJ/P502i5XI513WPHj36R3905eTkZMrZ1NTEwYOH/XYrShPGWKvbefefviubza5Zs+aRHz1y + 3Te+3m7WX3bRyymluVxOHSewtkTPVdD+D37wgyeeeOIf/uEfDE2fGJu49FWXJkny+je84fzzz1de + bVyKl198UaFYJLo2GAxUMQpjrNgjlBAhRKc/eOkFF2zcvPmaT36sUCq+8tXPP3j4jkwJXXzZpGm6 + AEKwuFRaV1888s/f/ApI/8ChA+1O/bWv+611G9ZKkLXRUSebiZL4wKFDx44fBwDN0CXCjpOJ4xhj + maS+omwp4EoRCZ6rjQIC0AhyTaNdr+sGHalV1qxZ1WzW52ePF8ulKAq6g67nDcIwFIAdJyPRkvtf + r9tTdbbh8eOO44yPj69oHFXlhDGWJIlAwAQvVyvdbhdTkiSJYRiYkkarWS6XlWJBMYUcx1GwQZSE + AGDbLkgUBoHvhSwV/d4QkLAsk4qUMebYbqfT6/f7xWJx+sgMQmhZhAZ+OHRz9tDvER0jJHwvwgQE + NeKElcuuZdlLpQkAAFhx1EEISQDOuRo0SuuluEmUYoUmYowNw1BETaXHVU7H6ucRQiupNcosFZat + 6tWAf84OtD9PSzTdtKVpmoHggj2jfRRC6BrhgqscJPVNxlgURWE/dW04fdPqba+5tN843usu9Htt + hGSn06Y+bzc7POUaNUFixhjGBGMskQrCW2bRCCFBapRiAgjBSSdt2LTltMcee/q6625nHAjVq6at + 67oQEpRRFkE/TZf5y6qD/wKaZVmWZe3Zs2fLli1vfvObr7766rVr19ZqtbGxsa985Su//uu/vm/f + vi1btjDGnnrqqVqt9nu/93sIoY0bN+bzeUXDu/vuu1ut1sGDB7/+9a8rtc/CwsJHPvKRXC5XqVRU + XUgNrjvuuCOXy+3ateu1r33tC17wgu9///uLi4uapm3evHlhYaHT6Zx88smPP/74Pffcs27dui1b + trztbW/bunXrY489tri4iBD60pe+dODAgZe97GU33njjmjVrKKUbN248evRoLpfrdDrlcrlWq7Va + rXPPPXfbtm0vetGLEEKNRuP1r399v9/fuXPnZZddpnJjVFFo9erV11xzjeu6QRD8wR/8wf79+x96 + 6CHLskqlkkJqlcRWTSYrTmJomSP6i1nY5FLoDwgsVMhyHEaaMKjUDDDLWqndbjQXG5EW61kylh1z + eZ53MJUGQyIDxcQ7nMhUM3BWc3XArjQzOJeDogCyEM2fPH7q/t7+YW8giHDAqjnVqihpoAkQRSiU + 9SpGRrvXDSM/X0TrxtZBCJGZlFC5YvjtsO8No3KuzHWhAzUdPZcvhcAKqDBhjUZJtBgtpDK2Yg18 + zvvShjwFOwbv2OF5lEMTpWLX7w6b7cjOVjIjBHQKjMk49qJMwY0hYFLmnFzMWgriUVmXGGNAZGm+ + 1LBu2hq1Fufm4zAoZY1NG08aH3UQ9nq9XhrFGGPOpUzx0IuERBomSxETEi+7pxMARoiugcASddud + KIhXT62uVKqGnV+97tT7frCrO5SJQMPYyzjZIAgGg4E05DLl7988JljpGwRRhFCapqEImS90pLtu + RkrZ6/VUgZRSmibPxHABrOydljAsTdPiOFbyuZGRkcFg0Kl3n8lH4s/kI4VhqALBgiAwDKPb7dq2 + vXr16r/77Gfe9573Ul3zfT+bzX7hC1946Ic7vvWtb51zzjk7d+5UWKc3GKZxcvjw4S36BpMaEVCe + xlnbAoS6vR6htkEsV5cIdAqGRghIzngkWcIFSxETglmWMzE6VqmN7N27lzGWy2dtyyIEp2msW2aa + cN/3R0fHM45bn5vXMMlms3Ozc0KIqYkJhJDneYyxMAxzuZxhGMqaD2PsBYG6A0oPaWq6ip0M/SDj + uEmShElMCAEpkyQmhGQcd4mBqRuO4ziGmc9mPUAAsLCwkM1mDcMYDodqu6WW+1+tCR0JhPCKqabq + SL7vLy4udo8uqBqaylpQVe5GozE3dxxjLAWRFMeEJIw1+90hD0ysLzbqG+KNzU7bxOTw4UNTbi5r + WlHix1JgUwrBw8QLBRGG44VDkGz8jNPbjz88DHoJgWOzxzUErmt7XqTpumWa+/fvt21boUXT09Nz + c3OmaY6NjFrYWlhYUP6fsYhVnlWr07788su3bdv2sY997PE9T/hBoCjNSFmdBaFt25KLRqOh2NFh + FCGEnGwGAFT2XTabVfX/drutTiwAIKVct2YtwVgIEUWRjbFt25RSJoRpmnsP7n3729/e6/UMTTNN + c3p6OoFk7xN7FCErDMNyuazIC67rqhrd+vXrJycm77vvPkJIv99XtoonQmAnskh+4hNrNpuSi2q1 + alnW/7rhf33qU5+ampq66KKLPvShD33mM59pNBpTU1M33nhjtVq99NJLx8fHxSNixQNq6Zn/UktJ + z9kBSaneTdNst9s8SjZu3PiSl7zka1/7GkviYrHoeUEmkxkOPc/3bdtV3CdEiW3bvj8sFgtREoeB + d/LmTdd+9X+q6nCapogupRerImahUPjEJz4xPj6+anLqO9/5TqlQrNVq11xzzXe+852FhYVTTjt1 + 1+OPRVGECTl25PjmzZt7g34UhIqeF8cxRVjT9O/ccluhUFq3fvPiXD2TyQJEpZo4uTYmEU4Ywxj3 + +4HrwsjkunajWa1sPDp9KJPNVmvlu+65e/vtt/a93mKjns/nV61aVavVWCokQlGU5PPZJE41qjO+ + pGSnVJMSmDKkX7aHAvRvC9ZI/lSEBv3YHywBmNAAm1QzNX2kWvEG/d2PPS5BvOLiixACxlLL1rPZ + LKIEI9rt9zCmEoBotDpSi6Ko3+1JjJgULAqllFijCWeIEoIRk0LdKN0wbMdBCFFNM0xTxUcmLCUa + VbOzIU3AyA8DTSOWqSdJIrkQQjKOJMjYG1qO6diW7w+DwHOcjGXloghnnUwxV02Thp2xo9jTiNAN + nLLIsA3m+yxNTVO3wBgMh1IMbdvN5YtxlAouJRISL9n5rgxL1TEAQNWFMpmM67pSysFgoGyO1PZO + Wdup1VTt2xQYqcpNmqYpGfGKCfuK9Oi5MtX4uVpCww4EhJBl/ychlxFTQohGNImlSJZEqLZt2+Ws + btqSx81m+j+/un1u+mjkg2PBwAeJwMyCZmRypREkUBgq8aeOsARIl3lJXEoESIBEaSr3PnnwyAHZ + 6/nfvuFu286Oj40YVrbe6Oi6znhCCOZMgADgzxDq/s31o/++GiQ1Cvbv33/kyJFisTg9Pa3WlR07 + dpimiRAqFou33XabaZonn3xyHMdPPPHExMSEYt9pmjY+Pt5sNsMwvPXWW0ul0szMTKFQEELceeed + Kofk0UcfVTlIu3btUquU8lc4fPhwuVw+fPjwxo0bkyR58sknAcBxnHq93uv1hBCHDx8uFouzs7O7 + du1SrgytVuv+++9XXXrr1q3ValW9hRAin89ns9k3vvGNW7dufd7znqc+Wpqmrut+5CMfEUJceeWV + X/rSl774xS9ecMEFANBqtcrlsq7rR44cWbt2rWEYf//3f3/JJZfs379fjRRKaRiGCKETAcVn+Ja/ + iLUNK7EeIEAEE4xFKpIoTuPUJq5MhZ/6VMMsTF3TwZgHw0FvoRfHIk8qJTSigR6DgCHFgjCRxoKz + gKM4tcwsWafb4K4y3Qcf+FFgxSNTY/2gryWodayVL+RL5YoEUY/qradarACVkRpiOluUe47tPePC + rTZ3KDGsyB4cGcZm7NFQ6ghiPEKrll7IQLEftFsHm34wYDjEhgTCkiGXXaJDFsCywK7YkwudA5Hw + TJDEN3kvytgFHWyA0ERGp9ExLN3KG7OdhsizYq44DCMAQEiiE5j7AAAxOHaeIHAy7tjYGBLRjkd2 + d7oznMl8HoMwBXK5tKhhWrYdJSHIFKlVCWGQCIAIoEhiCVqYyF17Zrx+p94A297HERSLmmk9VC6X + sS6wYYNFh6hv27Zac1UO0jOTKkYYYyYlhqV5myJDFfAV6avT6aAcsW1bhYVItIRkqYjmE0/dAIAx + KAJekiSqgJnNZh3H4TnxTD6SglkxVsUolaSpgh8Gg0EYhoVCAWMMBDfarVwud8UVVzSbzXK5vP/A + 02qfrc6ISEoMsHpq6h1/8EevuWgbh/SBHQ8Uq/k4jXqe77rlbj/KZCqCUyQIxZizMEmHGCe6AYat + JWkaBJHv+xs2bPyjK9+1b//eer0ehiGmiFJsUxqGYT6XefGLz7ZN6/abtiMJvU53bGR027ZttVrt + 4YcfPnjwoO/7q1atetWrXtVqtYbD4bp16+r1+jf+5Zv9KEAECyaazaZIRaVUvuWWWxbm5ivlspSS + IkAIhVEQhqGmEdfN+l4ABCsrvDiO/TCYGBt37cynP/fpG264QXHL1Q00bZtzziX/CWPup7f/1AEv + VyKAhFCoqLLx0HU9O1ndtm1bFEVqN6/8NnVdb3U7hBCQVGok1TREsMCIEkMCYiAn164uVwpPPPLw + SevXm8fbXhLMa2FEeC5jEEw9Pxwi0EplWbJhdW326NPVDatFFDz12K4wid18bnZ2llJTsdHUlRw+ + fDibzQ4GA9M0O52O5GKhs5DP5znntVpt165drVbL9/0LLnzZb//2b7/vfe97/PHHqaHnstlOt6ui + jRhjcRAyxnSqKfA64YwaeqVajaJooVmv1KrNZhMhZCDQDF0RlIAsEVbV8FEEUcaYiJe2PcpHR4Xd + Dfv9sZFRwThj7JRTTrnz7u+tXbs2SZJ2u+04jm3brVZrcnJyYWHhsssuu/W2W3Vd7/V65XLZcZzF + xUV1e+kJQpifMdVnMpkoCFVqebFY5JzPz88PBoO1a9f2er1Vq1YlSQIASiuuPFGUUBzhlVC3/8oa + JBW5gCVwwZVxk2ma1fGJe+6797def7mpGwTD5ORkv99fXGiYpgkYRUFENKrgTDXBMcF0XVdYyEKj + DgCaaSjpBReCYJwyhhFSPnjH5+eefvrp8cmJOI5bnTbGeM/eJzO57J3fu4voGsIYa3RianJ2fk7T + tEKp6A89peDUdT1J0nvv+wFjYtAbjoyMsCQaDvuGbViO3Wn3iK4poaRGaDD0MGi5XGbY75qmHsVB + JuNSimdnZyvVapLyVqc96HvUMIFDmqZEo0zwOE3UFlxRudRJj6pjHlLZe/+ecK4TmxAckCzkskPf + wxJ0w1g9NRWnaS6Xi+JY03QpRBjHHKRp2CBkJpdRKEun0wnD0HEcnrKV+UUVdtX5TV22ruvquWia + lqapGhiLi4v5fJ6lqerNaj2zbTsMfVM3EPA0TYWQru0YhtZoLhquxdOQIGm7ruB4dnbBtvIZt9Co + 96lm6cTs+91MwQ5Dj1LcbDY1TbNMJ0oTgjU3k3HtbBzEi4uNOI41TZNIMpWDtOyfJqVEGFNKkXJ9 + oXTFB7nTaSuSJ8Y4CAKljliZ9NGyMEyZGiGEoiju9/tRFK2UQGG5xPQfHBr/jpbQMLLbQRDgikE0 + ooJilSd7HMcCC6E9E2IDGCNCdEK5ZILF/d4wn3dmB36aAsZgWGBnbNPOUor7XQ8Tw7YdnqZpnAAS + gARgJBECkAghBEjTNM4SxuJqNRdEqWlm0lTve16pUpFSxlGKAUkCAgA4AMEcOFduVFJlTBD1Ov9d + D0gqJKRarSqnhLGxsZmZmQ0bNqgiJGNsenp6YmKi0WhEUaQ8DObn5x3HmZycbLfbg8HAsizTNFut + ViaTUbLA0dFRIYQqmKtu3O12DcMoFovz8/MKRFfxr2vWrPF9X3HuW61WPp9XWHKn0+l2uwovHx8f + D4Kg3W4r9DcMw7GxsV6v94UvfOG888578MEHpZRxHG/atOmKK64AACVDgmWLMCnl7bffftVVV6l3 + 2bhxo5IYhWFIKV27dq2KoykUCjfccMOll17aaDQmJydnZmZqtZq6RSvVTnFC1NKJC5tEIH5Mxo1W + 0twlAIBAUiKBJMYSsBREAhEEJAWpcQQSc4EYII4lEKERrmGhSyQ45kLjiEgMRKZIhDzuhh//yN+f + Pvq8M7Y8P2HRj5760ZMze4ZpDxOuI9PgDo2N+896aGJ0MgR278P3HO/PpNK3MTIltZmTNys7X/iU + plmA0E133bSYzIHFIhYaoJdkflV+csfzdlBKfeF/+64bB3KIXNpL/JyTpyHZe/Pu08Y3Zwx7z8F9 + D+99pEf6zJZ+HLmW60L2ttFbzjv9bJkmD/7oh0dbR+vhPDaQJjURUhOy/pwY9CNB5EOP3TNXP8Ch + y3hgkawOmTVjW+/d8P3xkcKR+sHvPLj9FVe8/HmvODVrZYGgZreVy5UYYwhJKZacvkGqqFjME440 + lMlkcrlMfb4jODNNs1yyFhY6maxGQPPD1DTdJEmiMLRMCj+hboARQhhTCrB29TpDPz6/kCQctJqm + fBdc102B+Ems2Zo6ty8ZMyB8YjeQUgopEAEJQgimWOiUUiII4TTwQpm2RkdHK5VKp9NpddpJkmTc + LOdciGdSYpSZpioXqG4mBOp2u0nCXNedWDUxPT0d+ZFumRhjrrySMFJfe54XJrGmaangjml5nnfH + HXf81mXbfvSjH6Vp2h303Vz2jOf/2kknbbr3+/dJKYv5QhSEiBAA6PV6FNGUQZLAl7/0NS/wgySu + jq4eHV2/ffsPhHAktwk2KSaChRIFuZxRqhi5oiRasrAwNxj0PvjhP+90W8VKCSFkGJoAyXnK4sTr + D9at2/Cyl16g6/ptN97CGTcM44Mf/ODWrVu/9a1vXXTRRaeffvqFF16obqCCTl558Suf2PvEP33j + 657nWY5tWSZwYWbMQa8T+MN3/v7bet3uqZu3jIyM1Ov1maPHVCmMS6GUw4O+N7Vq4rzzztvx0EOz + s7O/+Zu/OT416fu+ChhVNWEhRBSHmq4/xzPpf6RJjEBo1IjjmDOJEZUCaZoxObmqVCgeOnSk0+lg + TBnjADjj5lgqDKwTojMpUonSOJEI0iRKIWGgJyA++Xef+h9X/1mr2xsZmxrgtrlhzYODppGGmkQs + ThjjldWr1o2Ved4Ciwa+vOGmb5/ywhdmM65tmJ1W26CGZpqGafb6fUrplW9/+3A4LFbKr37Ntoce + emjfk3t37dp13df/+WvX/dNNN930hte94R3vfPvQ97Zt2/aB93/g6UMHzjnnnM2nnjIYDG697TYF + ehKMDcNQhg1xHAdRpNtW3x/qfTNXLeWr5W67E/BUaIQQwkBqlokxzhaySMcBD+udRr3TclyXg+RS + UFNP03TgeZZjM8Ympibf/e53CyG233zzwsKC7To61Y8cOzo6OtrtdqMoKpVK7Xa7Wq1Wq9Vmszk6 + OnrxxRe/9vLL+4NBoVhst9t8WWu6so+Sy/koP+0AozIn1NGgUCi8+tWvHh8fP++882688cYzzjjj + /e9/f6PReOCBB0ZGRl5w1gs+cc1fKVzvRCvU/3IUOwTyGZxgJZaOIAICAGFCtcVWyykWmKTmPwAA + IABJREFUjjcbGiWGhqitdzqdTr+XNBOiUQyECzHbWHjWay41KTACgJQxQIhxLhBIziVGUkKYJljX + EsGpYw2TCBBgnarH0vc9ahoCgUDAWSoBdMOQUg6GQ4LVvpcIAERJzFLGhOHYXuADMGppDOQwDIip + S4BEcACR8hAZADLph20wcEyY0HDb62mEjIyPDQaDFd2n6iXZfK7vDQ3bYoIjhBDFTHKRcIRAo0tm + yirkC0v8jA3Lz3/rAUDTNIFEu9uxXOfo8dnJyfGRsYmh77VbveEwEBwE54ZhGZbV7/dLpVKn2TEN + I2e7jLGACxBSIuBCIIyiJFbMNG8wxBjzJDVsO4wjBGAaBgBolJqmGYVhNpORQgz7g8nJyWazqeu6 + bdtBEHRaXXvKlQhLLg3DEGkUpAGwpJCxm636cDjMT6xqNDoZJ6tRM4wjXddBxF6vnc1YURCWa+Xp + 6cOmbWZzxUHf06lhGvbifINKPYnSyfGpOEwIIQKESJkQguraioOCruuDwYBgXCgUVN6R0h0BYEKw + lEgIME1bLdVRlCBEOGeUUowBIW7brmFY/X6/0+nAM5amsJJX/UsZkCtyKbHC98PKZ3eps6Rpakht + yUyC83AwjKOhZH4hQ09eP9Zvz5y2pVarlAvl0iBMM/na408cefLpYxrVDNMKw77gYBmGAJBI7VYV + /1NIKRCWp5520kjVrdcX5xYahmU2mmEQhwyIrptCCKEORBSAggTgUlB9yXqECBCCY0mEgF+52Izn + qKmO4fu+4zj9fh8ARkZGFDCm9morwKH6ecaYbdtSSlV6Wjk2KIRPnUxUIV1VZdWvKBxHpUyoPYry + QlBAkm3b9Xp9fHxc/ZfneUmSKLZeo9FIkuS0006bnp5GCCmL5DRNv/vd73LOfd9XXnMKw1MsI7UN + UtiBikc7evToJZdc8s1vfnPLli0rCpAV6wiFmOi6PjExce21137xi1/ctWuXorBSSlUsEl5uK8NH + 4UFIPnMQUuZoHC8flhCcOCWmiCUs1oieNW0jTcwU29zut5JcbqyfRHoJzXemcwXD1S1vPh7NjvSb + ASM8dlK9qDGIe53+iF6tuaW/+MMPtXZ35SH26Hd3cinNnB0ygcGhQiIJqeAc0u/dfKd6U44FYK4h + jUsRSpGIcCDmZp7+OgAIAIkEJUhgZIOJJfZEdODYoYOPHwEAiQTHEmHCm9wFU0DEBd05/fBjfCda + fmVEAGOZAQMgjUR/79OP7b9nNwIhATjhBJsCSSYRkhDJ4NpPfw6ASiSESLAGKTM0zY5SGQv29MK+ + px/Zx5I0gcCo0luuvWl8/WjupEKX93TbCaMII0QQlkp0A5gwwRkgKnQdpGS9XsvRURoPN64et2y+ + alXh3BefDpDZ+cj+0PdZGgqMTFNP0hhjjAiSUkrABAMGRmSCWGST+Lxzzh6p5ucXRnSd7n5yT28Q + rNqw9gc/3K1ZNGQSLKp4v6rnYIyFgOWOD6q+SDCRIBUREJAUgimHDSGE62bjMJ49drw2NlosFinF + nU6n021XKhXf91MmMpkMj5gi3VmWpcadpuEkSZIkQihSfa82Wm012t1+z7UdADkYDjAlbr7kh0HC + uG6YYZJIQDFjGdv5u7/52w/9+QfvuPW2g0cON5rNfKk4Ojr6/o9+CAxNx6QfeJJxRVPPuhkpJSHY + NA3fjz7y0b/JFka/+92H6w1ZKWlpVEKi5A2kTqwUfMvCpaxbn3m0ZMuPf+QP273jf/jut02Mjaci + 6Q97mXyOCxmGISZgWdZvnHfBX3/ir1038+CDD2JMsI4FyOu/+Y2PfPQv1ci94YYbXr3tsltuueUT + 1/yVpmlXXnnl4Zkjb3zzmyojNUpxkkQawYQgnkaCJ4jzdr3+w/sfWJxb2P3Y44VC6e7v3fv0008j + hFqtlpvLIiRPff6pAvhrXrntDa/97R8+tOPIkSPAuEk0gpAQAiEgBAvJFQT5q9UkZkwQsmShxLls + 1FsAUF9oLDOziGkaUso4TpMgMbieJCxbzA/SCAQXQrBhgID7PGx73Sf3PnXRpa9EQjqUJgM/oxMd + UayZSZAgy3ScjEyS+MEHpK5/6q67UkIGUfjSl750vtejYZzJ5vsDL0lTiZFAwEHeesftZ5555i3b + t6dpajvO8fk5N5e9+dbtD+185NJLL/3ARz74o12Pqrnxy9d+2XYdN5ftDPqdbrc6OjI3N5cwNlqq + NZvNKAxHsxmEkOXY863Gh//q491eDyF0zm9ekMTxiqBawwRAsDRtBd1/+vp1f/03/1+YxJFgQsPY + 1DutVsWtJnFUqVU7/d5jux8fu2+yUixRSs8576U3/O9vVyqVj3/yGs8Pcrn8l6/9W8YYT5liMYRh + +M53vtO2nPdd/T/6noc1jQEApWGaGssIMsAzhFfxU05HSBV/EBCNzsweP+W0U7f91mv6/f6/3nXn + 9d/8BiHk7z//ufe+971v+4Pfn5mZ+ctPfFT5Liry5InMvV8iy+4/VEFawQLxCfaL6pQiFV6IgCPg + WHCs/hYICQlIIKEE4j/WkEQS4FnOKUsCfeUo+OwbdSIeKdDS/6pvIEqkEAgQICSVbYt6XlhoBtWI + yoRhGGuYABOc6kQAYCyQxHhpRccA4EchkYQQQrEmUhbGEecSa5QSHWMsJAjgEpCUUiKJCAYhV64H + L5fXTrxdWP6HoouZTDudzsj4WL/fD8NwcbGxadMmqhtHjhwNo4gAoYbuBb7v+5jSNI4pIJ3Qdr2h + mcZYbcQLAwqgrAtUYU2xmdUGyNKNhYWFyVVT/tAL46iYLzRazfHRMeW9sWHDhn379mGMM5nM8ePH + Jycnt2zZ0ul1DcPwBkNd16TgxWIeeFxfWEAITYxMef3ANiwuQUqRJCkliCUhQpxHqFgqLM7N12o1 + Pwz6vSEIlAimYVYqlbDEmYzDkpgQlCQJWha9KEK5Wk2VLXI2k1H1616vp6Jm1EaQc64+3UqimVBZ + WIzZtl0qlQCg1WqpXR382Aj85dJef2LTNE1gwSRTFcskSXgqhRCeN7B0NDo6eu6552YNuW/fY63G + wszcrOnk661hq93CGIRYsm3FGuWMCQDASMH5CCEFcDDG0pSHQYw1+hu/8bKhz2686V/b7aHEw9GR + cV03CaBUSsAACNIk4SvKOimVIhpLBL969+2/SlN+IWNjY51Op1AorF69WqmD7rrrrq985StXXXWV + Uh5/6lOfuv32288444xyufyNb3xDCHHRRRdt3779hS984apVq2655ZYPf/jD/X7/vvvuq9frf/zH + fzw7O3vrrbeqp6+QHcuybNtWfuIAkCSJMmy8+eablXnX17/+9dtuu01BP2rcKQxPUZUUN/2ss846 + 5ZRTHnzwwRtvvPGmm25SnqXPHIqWnZ0BAPCzuoRES4DaynS99P3l5B1DN4iGQdIwjoadVoYbJSdX + yFTGihtjjPvQC7VuNGhLg0zZoxtyG1FW50gelIeebDyZWmk+W8hAJlpMbeKM14wz1pxGmOaHiWYa + Aee6rmti6QJWLvW5fY7o53w9icSPcQqWkJpsNruwsFAsFhFCcRyDxEEQVErVkHuMhtPhNEloHDBm + CqQhLJFa3kBiBXwAEyBApiIKQsvWIt97al93rGqdcurmatXpdWYGvW4UDZM4pBTShAEQyzElpBhj + QEgKUPw4AC4lByQIIfPHZ/qdhW63WR2prF+zulAbLVRXP7r70JGZedB0oUG5UB4dHU2SxONebDFK + dUIoBSKl5CA45yd49woslzjn6uCcJImlW1LKdrvNOXMcK1/ISoT7/b7yeFRZliqS+MfykQx4Jh9J + q45UTNtYXGxgjAulohCi3e0YhqFgU7XFBETCJC47mXe/+90vetGLzv2N8zRdv+POfz105PD8wkKS + JsS0dMPAmpQIkjhOkgQEx5ByIQf9ju8Pa6Prfu3MFx06GH/7Xw6YdESwmohNIW0iEr/ryViY+saX + vOik0bLGRaWcr3iex1iSyThBECBMbdcJA6/b7T766KMf+9jHzn/JBVEU9fv9ycmJAwcO5PN5FW6r + lAvdbjeO4/Hx8XK5fPnll7darYsuuui2795BDY1LEcWBZLyUy9cq5azrnPX8MynCzXo962QveMl5 + B5869NjOXZbplPIl3TLb3db0ocOnnH7a5PgEYMRTFkVRVmbVWFDqBowxIUiI53h0/Meb+GlLjBLO + AVoC/5b/qREdY5qkPPCjKAkzrqtR0lisl4r5q//8A5zzfr+vaVqpUPQ8DwTTCSIIJYwjhEzTFlKy + NMUYVJW+3my4mcyDD+2YmZsdGR0HjSRJjABrhk4ImZ2fm1uY5ylL0xS4kFJSTVtYXKw3GmEUzc/N + RWliUf3222/vDfqIEsMwhJRE1/r9vmEYhmHMzs66rjtSq9Xr9WGvf3Tm2Ac+9H6MKNG1FXMpxV9N + wiiM/GK+kCRxt9M6af2GwPPbg55hOw88tCPlrDY6EiYxomTge1EUPX3o4P5PfQpUEBahx48fn52f + 6w8HQRwZqfXlL3+52+1qhKrpXe2y2u22PmO0221l5YUp0QxdcP7/7uQuAZQF7mAwuO2222655RbP + 80ZGRgqFQpIkGOMf/vCH73znO+fm5hRimDDmZhy13Kz4/axEszwHvefnb8+NBulnXjt+xtBc4mek + nz+l/ewF5llr2898HYowP0HTr0r+GGOMWBQGDGkatSRDDAuCMIBIkkQsV3ewwEgSIggAuHaWi4RS + CoIHcZLGiWQcuFjeATzrcqXkywHky9+B/8tx6Kd9XPmT/ggElOgT41ONekvTNCSQQY2n9jxVGx1N + IxaHiWEYBtY55ZzzbD4fDD3XdrLZbOD5SEKaJN1WGwgulUpJFCOEbMtqNpuuZRu6Hvh+s9k8ecPG + 3nCgE9oNQrNSK+TynWZLwySXyy3OzdfKlVKp1Ol0so6bhFEQBFESZzKOEExKHgReNuuqp0yIFkdi + fq75/Oc/v9FuHTs2XalVqabl8oqtG6Zp2u8ODMPIOdlWq1UqlOM4TYIwiVkhl6/XFyrlYqlcEJKj + pSB2pCBqhecxxorFYiGfVxpWJWNVYPyPH2/UoqjrumEYmUzGsixlE6+OhT/r8fzKNM65XC7dqoOf + ZhLN1olW0imZPtr78rU3GcAWZ/sZF/pDSETfzAC19Hx5BGEzTbEQCAhBhBAQUhk8LSfwAoCuWU/s + 3rd6stoddPc/NYOpg0AfGxs17bxhGL12ByEEIIEDMEDL1iPPADxLkA/6FcsV/C/TFHimiOP33nvv + 2Weffe21137gA0tL+Pnnn3/77bcri6Hdu3c3Go3Pf/7zf/EXfzE1NbVv3756vV6r1Xbu3DkYDHzf + P3To0M6dOw3D2LJly/79+xcWFlayttvt9szMDCzH1ik4U/lAbt++/brrrltcXLzmmmuUk5iq0644 + OirZGywbeRuGsXXrVsMwduzYgTFW1D71gitDFZadJ/8fG5bAI4YQAgyaZpBcwQFbAwc8iXpJznEj + wotazuOpg6wCcWjEWRwuBk2fdh2qI9eSEnw/csBMQEgQHKkyODZME/MUYwz8hMn5P6Gv/vwHpJ+8 + PBia0e92xkdHms1mpVJxLLPb7deq5X6/zzEDxBUtU1PGxz99idGoYekWRWJsfJSziGJ+5z3ft0yU + xoMoAlPHaWoYRsXUaZxynqSCMUkwIJBAAZTRJZIIAOFUJIeO1QUPjx0LmJhHFFavcTXrUYTQ5OQ4 + Q7Sf+EtnOYXBaTEAUrVnAEAUEUI4pD/xOjHGki9NKVEcDQaIUqzrerVaVabJcjkwAyGkTGJh+Siu + 5kOxlI/E01TPZDKFQqHXG6zkI63Yh6zogaXkaSqbzebY2Nj3v//9wzNHN23e/NgTu4e+BwCu6xKE + JeMrbAIhhJApQgGgJJeTG9aXM1mIq7rv6cGgny0bMSMGykBKdE2XECMer1uz6pWvXDO/wIGIfCmf + zWYmp8YZlwIB47JQKMzNzmQdN+O409OH+1vPXLtm/Qte8IJms/HiF794cXFx3bp1xWLxT/7kTxBC + Tz755MTERDabNU3zH//xH0dGRq6++uon9j4JOgaMDKLxNMVcDrq9ZrM5OTmZCo4xJrrmuG4Ux0ma + AopSkUadVq6YGwwGlFLXchOZKu2HqvgpGt7yrE64SH8ZUtznrEkEseSp4IJxO2vr0kyj+Ht3373v + yb3ddkcZz7iuq8ycfN+3NIrTlABiAhBCmGqM84QngJGu6xy4H4fFam3o+/nJcWbojHGJkVj2dgr9 + QPU3wzDU/XRdN5vNNur1o9PTymU+a7vqC6zRJEnSNCW6VigUFLOx22pjjC3TVNZiX/rSlz73uc/r + uo4IUWwC1ZmllI5lDYfDQi6PEAz63Q0bNoTecG6xTnRjZGw8iqLBYJAvFRFCKvvLcZxGo+E4ThiG + QOhJJ53U63Tn5+dNxyaE3HfffUIIZezBOY/j2HXdMAy73a4iNRBCqKSS/9zYPkKIS5kvFtVbp5x7 + QcClNG3bMAzf97v9PiIkXyxijH3fT1kin7WjAAnAf/rp6D8bkX3u7YxXzpdIAvr5F4x/R0MrVaMT + FD7yhBgESghCCIRECBkaBSEwooQo/y4AwblIqU6Waz4YIYIkJUgDgGjoxTy0dEPTKAZkGAYBgjFO + 0ijhDJGlHQaXXEq+Qoz+v7Z/910Jw3B2drZcLr/rXe96/plnqlqQGpNhGCpmDhMiSZJMJjMcDhUz + BwAKpWK727nv/h98+jOfkYwnYWQ69qDby2eyKoSukM8DwBO7d5umOT4+PlqpsjQddLq2baeMCc4J + IUpNoWmacspnghsaVW9RKOa8XvfYsemx2thb3/r7L/j1cwjROu2eZdm6aQsBumkEftTvRQhwNmMH + UX+kml+sz42MlBfmZ23bpphMTk62Wh3TNN/0pjdRitvtNqh6LgIppUpcAQA1fSgosdfrqUKQ8gxU + N0Ht7dSaqvy1pJSU0mKxSCltNBq9Xg+dELIhf6Gy8n9PUwckgYXaH6hyENZA002KwHKcnE0H7YWY + gxyClFAsQrFa5NhMJY3C5P+w9+bhdlb1vfh3Te+45332mZOcJBAIxECYg0AR1CoF61Cr5QfXojhQ + LSj+VFQq3rb2Vqwo2t4q1WrFq8VqlcEJBSszhCkCCQEyn3nPwzuu6f6x9tmEIdQAKvd5/D7nOc95 + kjO8+93rXes7fAZCXYyoFMqmaIl1ixHqv2Qjz50ksRCpBrGwEBZKaGRkNOZ4sdZJksTwaiyCEy1A + gRYHxtz9ffy3MZC7LBaLW7du/eAHP/jFL37xxhtv5Jx/+ctf/rd/+zdj9vLAAw8YdaZvfvObX/nK + V2ZnZy+99FIp5ebNm41wKACEYdinmGO8fft2w9xtNBq5XC6fz69Zs8ZsU2YcVKvVHMfJZrPHHXdc + kiSf+9zn3vnOdxaLRXNVBkFnuItpmjqOY54jk52Xy2XDiTKthwEoYt/i+WkTpOcOpDEDkFJJpBUg + sJyU4z0zc7X75u6d2WTbbs1uFtcM5VdmQgkPPXTfzY/9FKjljPqTL1+eK3ittKcBAdhcA6FWqNJu + FGuEMRCMkE5FqhVBv1kF/+cxQXrWf+ecZ3N+s1UfGx9ZXFwUQpTL5VarUS6PRCIMVXvAWyOECC20 + MjTXJ3uCGGOJFOdJEEe8F/iutXxyRaM+16zXZQpDQ1ahQGySmZnrBlGIWQYRrBAohTCigIgGvCT4 + QLGWUiglUi9n5wrDSby71dHtLnQ7PR8zxhhGDvOyWVacT2cXFxdd103cRBAthJKyn9hp/VzeJggh + ylgSJQBgbGFqtVom61WGx408XRRFZpwShqHJJmHgj/QkIAdxzrWWz/RHyuVyA1jBIDHACCnQYRiW + hsr1ev3hhx/O5/OO5xqjEcmF5JzCgPCJAZTSgmJFiQbNp/fubDbdrDuazXgEgUq4TSEMYuRopKSW + wrYsQKAUL43kpUoRQu12W2mUyRWk5J1OL00ELVlRFHXDoNPrpjKZnt5rjmNDerzsssuCIDjrrLOm + pqbK5fLPf/7zDRs2XHPNNWZ0PDIysndhBgAUFmkc51y/UqmUy+VU8CAIpNaNZrMT9hRSpcqQFpor + roi2bdvzvE6vnWqOEbFdp95sFsqFwaDYOKwgozT4/3KFpBEAQyAh4imlCBHs57K+48RxnM/nEUJ+ + Nstcu9npSikc2+7FSYZYGkCCVgCKc6GVUVVTCDRhGuCJ6elsPkdsVu92LMqsJT0nQ+H2fd8sRYdZ + i4uLnPNSqWRUfIrFYpIkc3NzjuNgSrSStm0TSqM4NgoKph1g3n3O+SFr1uzZs8fIBSecm9mRGWQZ + CIltW81mo1QuTExMWBYNtc7lcvVWe9euXaYGQ0qHYei6bhiGptQhhKRpGgehESxJgpTHSQc6nPNC + oYABmb6YecQAgHPuOy5XkhDCtI7j2GIHtn8advfA58a495puoFlvSilTKRmzFmMUC0vNu8H3/Jp5 + 9YseL/JpMdBs6Auv9eWZcf+jH/u+1Oefjz73UWQOcm3KbkwooUoJJVXQiSybWYwKkSDNqcUQwSJW + SoAGrABAG9UarhUHgGzGczhhjGGCiFa+48YQI2SONfXU1/IMBCDq3439xQCG9+sHIXTZsuWdTvfI + Izece87/oIR0Op11aw/btm2bYUQQQiqVivE5KVWGlJLNZjObzRJGR8fHz3vH2zUXEU9LxWKSpsx1 + lVJxmo4MD9cXqxjjT378r972trdZlnXssccqS2Rcb+MJG6/4/OeVEDfe9PNvfOMbDz74oCGXLyws + LF85FcdxlIRxkkzvnc1kMr7vW5Rms/4HP/iBmZmFg1ev271rvtFMhoeWNZodzy173gjn0nWg0Zhe + t3Z5tbazurh7qJxROkVYXnrppUcffXQ2l1tcnC8UCsVyyXVdqRQQDAAJTw1z3fd9y7YBoNVqtVqt + gaL3kjExAMDATMMUQpZlGYv3brfbbDbNQAkhpLVcms8B9HnNv7vYT7YEAJRShZWpZEyvVIVp0kkJ + ojLtFnNozdTyco4dc9TIUDmXL5RiTrzs8MNbZx98aEfaE9m8hTGToLiINdYaMCCikXGtlgiERvEf + v+HVGHoLtbl1L8s22+nmzTtjThHxck5OC9msCwkAFMACYrF9cx2tDSXcWCH9Pp5PUEoxxgsLC4cd + dthFF1305je/+Qc/+MFHP/rRBx98UGu9adOmqampdrv9ox/9yOj1X3PNNe9///u/9rWvDQ8PG57S + hRdeeOedd3qeZ8Y+JjVECGWz2Uajkc/nTcWSJMl3v/vdM88803XdmZmZN7/5zZ1OJ0mSX/ziF57n + 7dy588wzz2y1Wps3by6Xy0asxZz91Wo1SZJCoQBLMi0AsH37dlOVvSgQCKTB0khjmmiUpCIlyiIu + wSATWZCWk0JCaMXNlXLDohfzqIF6qZNziNQ2kFSkQdi1fd91fd3VseSAseO5DrhaaALIKNwT/CxH + Htq/StKBLugX1BDsP/5GHtDqdbsU43q1etqppwLAPZvuwkh1Oh2JhWaaMea6rqkT4jh2iP1svxCA + AfFQwRtbnJt95JFHkQhOe8UGxwptJy0UchgVyYO79s4licIKQSo0pRmMLI0waNBaIy0RcCy1Q1DG + 94898pBlk5XF6rIo7u7atROYy7Kjm371RCxUa3ZBO8SbdIaHh5MkCSAwPkgYY0qx1loah7f9ZByD + 7Nwk6JynnCeYQLvdzufzps9l3EvNSjOT/74/ku5r3C35I6FGo5UkfHJyslQqGQt7U0qZlYzNWliy + uFhYWJhcvsxynXa77WZ8QojruouLixQTAgib7qoJTCjYSazDNgdBSvnyzidmOm3CkLaJiEjieSAE + B0htSzFLtdrV2273jjtpuNGs7pnejSmq15p+Nrd7915MqFIKIzU3u7B3546jjz6WMWpMbEuVoZ17 + dg8NDV100UWpFH/xl+9rdTuP79i+WK9hRmfm5xKeMsYIZrV6vdNqJzy1KEuiaIHPO5QlXMwv1oYq + w9PTM5breJ7veG4v7HZa3Ww+Z1nW7PzM+Ph4LpdjiLXCJkI6TsIncenEJKZKL6m/vsRiPw/Yk2q/ + hhbf/0i1kAQwpRxkEkRd1c1QO2p1hgqlKImdXK4TRd0koRT7ti2kShDRUgHFCCGhlUYEMAaCu3HE + FR8ZG+UEE0oBoYznM0JknBCMQWnTxkUIKS6SJAlU1/d9KcTiwoJlWebeSimNgjYiOEqTJEkwIQBg + RH3M3KZWqzFKW63W9PR0q9XK5/MYY0IxZbbWWoMCBJbNhOCO43QF93xfKPnQIw87lr18akWr26OW + XSqVzCA06/n1VrNYLGqtCSHdVtuzHQ5pq9HM5XKlUqnVasXdbr5YAA0mgcx4XqVcTjjHGJvGaJIm + sI/ZkToQJaZsPmceul6vF8dxNptljDHbsl3HJGBhGGqtgzAkhBTLJSG4eU7VU32WfhPT/l8nXvwH + YFAjYQ0ACGsMS2VE/7Puc4dMefC0zwcU+KlyEebHzUBpQBQ2d7Y/oNQo6+WTJAnCDrGAUqxlIgRi + jC39Moq0+UoQLAGAR6EUWkRcKs55qrVO05TzxLIZpVQBEtoMKCQiBGv866+d58dEIoTMzs4CQMb1 + QOszzzzzzW98U7Pe+Jd/+ZeZ6elGoxHHMcPEYRbN4L989wVHbDjihh/+8Oyzz260W//0z//bZlbQ + 7VmOjZTWSlHbTpKk1WoVi0UhxMbjTzj/7e+49GMfwxhrqWTCD1176Gc+fflfffzjjLHXve51Z599 + 9vT0dKfTEULk8/lOpxPHCcK4UhlRSok4mp2ddSw6Nj7ietaf//mfv/yEP2zW5AP3zzRqYtPdj80v + RDoellKmJLBJrjYn3vmOjz665fYL/uL/i+PmlV/4DHA5MlSpNuq5XC4Iw0a91W51FChiMUJIKjhe + cne1LKvdbne73cEgyGxARinSjImFEIZ0YSo3Qkij0Wi1WsYBKYoiIQRjT3bIXrLkeeBFAAAgAElE + QVTjo31jH2yJllJyxUGKqamDzzzzjMbijrnpx3fv2Unmago5iFR3720hjHPFnNIqinuGPK2QMmtc + I637EDsdRVGn0wm7i07GXrXqoN17qnG8rd2NMROUWDxOzJhJEzASVLCPB8K+F/b7eH5hTtBCoTA9 + Pf32t7/9i1/84vj4+EUXXfTwww8TQm6//fZzzjnnhhtuMIMgjPFZZ5116aWXfuITn3jVq141PDyc + z+f/7u/+rtfrHXfccUZPvFAoMMZs2zbYBrMfzs/P33rrrUEQvP71rzeH086dO4eGht761rfatv2d + 73zn7rvv9jzvvPPOGxsbAwAjI+k4TpIkX/3qV6+77jpjtWRAF4YdMVCSGIDrYGltIIQOCGIHAFop + gghBmGDAFsHYtjzqZz08l2AhXMJ0qkCB4iqNecZxM7lMW0a9Tscq+Xk710viWMUezvDUYIWQ0koK + mQKSKccYK+MvdiAP+wHVSC9WgSSlyOUzWutLLrnk8MMP5zx55JGT/+EfrsDYS1Qa6TRJkm63SyIb + ZZHv+zpVaJ8XZdquoAEkdDodimi73ZapKPj4oNUHExJE8byQstdtt9odIRilWGBAgJVUgAWA0V9B + CCFQSCGQGqI4mVtY7HQa9cb82PjIyMSyfGm0svyg+x/ZWV+oc40t2wcAw7EWQkgqCcHoSW+G53rp + UkoldNbJUkrbvbaUwnVdADCikcbOpdVqGaTooPMFz/Bj2Z8/UrVaN9M2pRQgZHRupBCJ4GsPP2zH + 7l1+JmO5ztzc3Oj42NjYWBiGWiqRpFxJqRUiWCOkFUKI2Q52M9lde6ZXri70wuojjz6KrVoKO4B6 + Evc0iRGW2IFc0U3kws9+sfnkV7+724pq9flOp8Ol6LR7mXy+2ep4nqOVFEIcv/GE97zr3ZNjy4vF + 0gXve+/8/Pw///M/X3XVVSduOPEfv/qPf/InfzI8PPylL33p8MMPv/rqqx944IFt27adfPLJj+98 + /PHHH+eKI4Kxn3FdVzGJlaaWFUTh3pnpXhC4vtfotOqtJqLEyfrEIgmP/WxGa12t10IR+F42XyoO + VSoagFgMESy10hiBBDM++l1xP16UMKl8KjhYFCFUKpV4kpRsv6dQKV9aqFXTNOWgipUhnqRJkjiO + E0SJ0kARNaLbAIAJ0QC272HO4zTlScIICds9i1Bg1LhrgtZPzpEwNo4jmUwmDIKBCXgcx1iD73pR + FHmOzxy70+kIKQmjZjEnSZIkSafTmVqxwvd9irDRo9J9nXs0aEstrWSRzfrFUv6Rhx7e8/D2kdVj + 2ew6KWXU7faFHJQwrWFjKUYIadUb2Ww2ZUmtVjNUBct1dJLYtt1utw0GJ47jQqEQJYlt25xzwghF + WApBn9cssdfrSSlNxmV4qks4WI4xtizL+C8Z2Yk4jrV+UtHFfPG7zSsOuED6dcoYU8MvVRqANUYa + P/kZmX9HRgvhaZ9N7O9PPF0idn8XsHRCmIfc4EmUlJ6TocjDlkNAAhG2TeMk4Sln1JZSaaBI9XkU + GLQCDqAIchHGmABjLsaI2Va9UTXrD2GAp24fCCHQTz8jn/t27e9/DclQLX30+R0AADAxsSzshhhT + z82c8dozl49P1Rbr99/7AEiVz+dtYouI61QRwH985lkK6Z07dniua9BonPNyuYwIDsPQAG9WrlyJ + lJ7dO33k+iMu//tPf/1f/3Vm73SSJOV8odPpVIolRuh1P7hWKRUEwQXve6/ruju3bp2amrJtu93r + ZTP5MI7m5+oAqpD1JicnlUg63fr8wp7zz387VuUfXbt5y+bW/F7G5MaJQhFQPk1jQppaz22++8fL + PnJ0u9wo+qMo649XxpBUDEi73bZtd3h4tFAo2batkSYWwxgz23IcxyAGu91ur9czXAgAMD1CM4BG + Sz6qAOC6ru/7pldhqEqDdqMBWjzJonlpR5+DhPupgOEgkSyjtqWU2LG3eeU/fXdhek8agUVBQdhL + AVtg+3hsciXDVi+IU5CAqE0YAaVAKpAISa21VggAKM4+cO92pBJioYcfXGx3Ywz+sskhx89oreuL + 1aXrAJDwkuws/r8dBhx76KGH3nLLLYyxE0888bOf/exf//VfK6VmZmYMrvXqq68eGRkJw3DDhg2F + QuGnP/3punXrrr766vPOO++1r33tt7/97Vwu1+12jceXwRQ1m83Z2dlisdhsNo2TsuM4+XzeiEAu + W7bs2muvvfHGG88888zh4eFGo/Htb3/bdd2pqamBdQxCyPTvjcPY4GnK5XK7d+/udruFQkFrvS9a + daAAq7U+IIgdIGURYnS0EAXORZO3ko4IeYiokoIrQtvdVkEPE9viWNKsNdNYLK4ewg7VSFuODUks + Ug5KuY7DSWIuiQCimFCCAGHGDkC2+LeSG+In/9RTC6R2u3300UcvWzaBkLZt66CDVh100EH33vsw + MASO2vfdkUISeDYIigYLe3lWsAienBxjBHU7jZ/cfFe3WyWESyks7LQ7IpMZtRmL0oTLGLRWgIFY + GlENCDAlCEnNBEJC6K27a1Ime/Y2hZ6XCiYmtmfzm7XWy5YtiyUIBhJzsxU7jiMZaN3nIJldC2O8 + P2zugFxhENEmn5OKCyFrtVqxWPQ8z2zpg4pIL0kMo//GH6ng+9k4Ts0yRgiZzEODRggs294zMx3H + 8VClgiiRUu7du1drPTxUieO402wl+zhAKKRCFYXdXrPXuvhDF1LHs6w8QC6GbpTs4MQOEo+Dthjt + ptwhI0h0tz42e8LGq2wfKCUpjyuVilZEKKSlklxxkdg2W7NmDWF062PbbNuempp6+OGHzzrrLCHE + DTfdcOyxx27dujWKog0bNvz85z+3bfvII49cu3Ztq9X6+Mc/XiqVqE01gkatnsaxa3sYkbm5uZmZ + mXdd8B6LUMbsG2644Y477mCMYUy7YTeKg8poJUpC1dbvee9fPPLII3Ecnfm6s9odhhAwxkQSm/fC + NP5fiKDUSyEww4xSajmNbptg2qzWaEZBIhdmZh3PE5QIkRo9AB7GwCQwKoRASCINQnCzKhVongpM + adDoUI0nCkONVGsuWguN3HBZazDynVrIJI4NHizj+7VqFUynXmmQyhTtpsOeNFPH92zbxoQgSqIo + MpmMZVmVSqXVajWbzXwm6ziOFCkXwuD8CCHYrH+pFKg0FZ7r8iTlnJOilcllgyBwHCdn2Y1Wy3hX + pmnqMKu2sGiqEaVUGIZxGHme59p2NwiUUhogCALOuev6GOMkisIwxACMMWMFobWOeWogqQd6/6lt + YaWEUkoKrXWSJBhj13XdjN93tui0E8ETwQkhGqEgiIwvZZ/C+pwZ2oHCr55H/AYTHQxANGD95Gep + gZiZjwaE9oE1LX1WB2ITZL4RDzSRzD8u/YZ9t1pKqWGOep63avKgI9cfURpyu70GJoxZLpeEa4SA + aCBI0/74CBICEQKJkcu5BFDMpkkS7ZnZe/tdt0kpjfA0ACAEGLRCGmmllMJA4FnPqhcpeCrb7Xap + VOp2u61Wa9u2bYetPiSfzxdz+TiObcuKoogAKheKrVYr7+c0QBLF7XY75XzV1ErDPc1mc5VKxThz + a62Hh4dXrFgRx3G5WH73O9/11je9WUq5adOmq776lcMPP/y+TZuOeNl6oeTY2BhIBQAbN24khDSb + zeHhShKLoeGKloCQjrodxyUYMcbY8ccf98ADm1cvP/EPX3VEdXrFtTsfCjuVKMjZbimM2sPDOcDE + waPLJ1gxezqPQYho9erVGc/XIAxTKJfLzVcXR0ZGNNJAsBBCauV5nlH0bjSbSinnqX5HlmWFYTiQ + 0jci5ka5IQzDarVqLGVNmeT7PgAkSdRfTk8dhrzUSiaMMRDA6Mldg2BMKcIYO46HklhKWSzmZ4K2 + jSGKYagEheFhy8smAi1UF203Uyzl00TwlIPR90agcT9rQYAxYK2F6zjdsOv72WKx6KXUzRR27tlb + LpcNN1or0/AA08f6fbyIYSQQ7r777rVr1375y1+emJg44YQTPvCBD0gpDz744J/97Ge33HILAHDO + JyYmAOCWW26RUt5888333Xff1NTUpk2bTjvttCAI7rvvvomJiW3btu3duxchND4+7vv+unXrHn74 + YYOXMJw9AKCUuq573HHH3XfffevXr3/ooYeGh4dPOumk/uoixLD+DPHD9/2BmbJlWXv27DF5gHFI + DILATJBgn+fIZK5SH1iVkcpUSikYpdRmGtnYHl8x4tjDB28csxGJsrB5+tFuEiIJ5VXjR65+GVda + ZNC24InZxoI17GOMCRDfzSRhBFxhQJSQvvObNoRqDvB0147neN5/8zXSsxdICAGldHFx3nXddrtJ + CHFcu1ZbdBxHYqWpNrwv82BKKZGGZ+cgpVxqbVrRSMtMLhtFkW3bSknG7IyT4yLuJ0CgLcuSSgDG + GiPQSmmitZSgsZZSCQIqEcnYyBChsGemmXDI5vwgCDKZQjfStu1gqhMs0RIaB5mu3tKSeJKW9qx3 + AWPbcaIgklJ6WQ9jFMeh0sJ1/V6vZ0hHpVKJMTY3NwdLcvmGf4uMCJN6pj+SGvgjLVu2bHFxccDE + MDkxIzSOE8rY8hUrFhYWumFw+OGH752Znp2dnRyfYIx5nqeVGuQSmDKKvVze/eRf/12+VOwGPcAE + E1tIBNhmlstTDYBty1lYWBgbHcGgOs1qLu90ghpz0MsOe1mSJIsLzWy+WCoNtdtNP+NLldxyyy03 + 3XST5Mq8WMOY2rRpk+m1G9Bst9t1HOfWW2/9yU9+Yq6fUhpGkeM7GgHnfKRSicNkYXFx2Yrl//r1 + rxdzBYxxq9EuFAppmuZyuVqtgZD2My6XvNVorT147Vx1jjDCOS9XKh/96EejJFbQrzMRxn2B9gNq + cLzkQok0TaWglAKXGc8Tnp/zM8xSUatLNYrCmLlWGicEtEcsi1DqOFESg9JEKi44Qn2HGC2kbbuu + xyRP5vdMd5vN9YcemrFYhEAhEEKkaUoAua5rkvsoDA0I1tQepmg39KGRkZFeGMQ8pZTGSaIQmCY+ + Qmjv3r2O4+SyWdd1KcJRFGGEHNtG0PdagKXniFBqvgrDcPny5Rs2HCGECMMwTVPOBULIcRwdaaGk + 8X41vKZMJkMpjXSYyWS0lEIIh/kIY6NcFwSBORQMVUlLGQWBbdtaKsUFEPo8CGlm5zHP6eALc7IY + vI957eb4MAJ9T3uin0PFTiEgv+Ea6fkUSE+r2wZOF5RSpDVBCDM2MT7+v//xn2BJUdpwP0xKavpA + BraRy+XCMDQQecuybNd5y9lvfezxx832J7QyUOO+1gKlPE4sywIDVtYgpSSU2rbdCXpciEw+FwSB + UNKyLNRvSmEtVRJGnu0kcRwF3fe99/wH7rvvsa1bHD/TbvPhyqqFxR6x/VzOC+NEiRSkApHkMqzT + WqgM5TgPjDrnn7/jzw879JDd83t+dvONmUyGEEQI0ggEFwDUTKwc2+60e47jmWTCIFvMhPFFHFUb + 63oASNN0bGxMgQIA02brdDppmnq2gzGuVqsAEMSBGbkYB6EgCMbHxzO5bLVec13XYK4AIEmS4eHh + IAo+95l/2HTX3S9/+cvf9a53ffPb37rrrrsufPeFqRAL1cUzzvwj8wgZ6CohJJfLqYzClIS9kBCa + yfr5fFbykBIr6KU2yzi2k8YA2k0igiFTKo41WkEmk+n15l1P2radJACACQGEWDabNQ4zjDGDHZ+c + nCSEYIoxoxhjqVUURQbMivqIcwUAruvqJe1v04k3NAwDW3ddt91u12o18z1GK8x8YR7aOO7bwnS7 + XSml4bkyxgyjybyPAyDfQH0STNHy3x38BxQpixIvjzGO05T5DGOMkAG1A8ZIKmmUoAwzJIrjpJMS + imLN8xn9siNWhc3wZYdmjj76yEQIaueKpeU33XL/40/M5xyHMjtqBUIIx6UGYgcIa021Bq0VgAIc + nXTShlLeemjLQ+XSaLub3n3Po+2gp7SAJR4qQF+P2fjwPi2nRL9XsXthYYj47XabEDI/Pz/wLDci + sABgkEuzs7MGZAsAW7ZsGfy4kadTSp1zzjkHH3zwxo0bR0ZG8vn8EUcc8Y53vONtb3ubIRBblmXQ + p2ZbuP/++8fHxwkh11577cUXX9xvGytlJkicc3NiGRydcT8LgsCYLxn6LwCYy3ta9J+LA8mvNNIc + SwUCEYyRIkIlUSe27PEVEy6UbaA9aLkyV0tahUJh5eQaBgUbWAd6zPZ1B0skbcfBIeJhbGMmNMcS + aQRmHwCCjebskkLSk7yj32E3pH+Ymr//1KoNpIqD8Pvf/d4b3/R6jPF/fu8/gyCSUuqlhEwuyWdZ + lgVcwxKHZ9+eq7Y48rSm1szM7OhQpVWtnbjxENeOK8PW2rVrW035i18+WG0gid1uEFiUYYRBYy0N + yAMQaKJTihIKCRLdN5z1as/FYdQJws78/PxcrXX0UcfefMv9QcK6cYtmHce3TIJoUJcAGKFft11o + cimz8QIYyVkqhMjlclEU7dmzZ2xsrFAoIISazWaj0ej7I3GezWYHoLtn+COlCIXmthQKBc6TVqtl + WZQQ1OsFApOh4lAURa1Wy/E9L5uZn5+nmFiWVavVcrncxMTEzh07oiiyLAsRfNVXvnrpxz6FMcEY + aaylFhophRQiyEjmoqegRzDWiIGTxgmhQujEcpwgisvlikYkCCLGbCEEWuo3YcLiJDXGrLERMaeU + UCqkFFFEKFVaJ2lKGQMAijGYKlFr0ODZXrcTIIBSqXTSKacg3fdvwHpfhBLWWgOSGmvFVbaQHa2M + 7t67dyCPppcY82aqYFlWEEcMv9RUXpURc+9fp9YII6WUkopSmqY8m83GcRwEvTSNbcqQVhnHTuO0 + kMkmvTDodKWd++uPfQKi1PW9xIb5Vp069lh5aHbLthyzcvmMUsplTKQ8jROFAVsWct0el9qxaq3m + 0NDQA5s2fe+af5+e3uN4rsSIUpZyUcjnW62WZVkrli/fvHlzoVDodDq+48qUJxrM/JMxJrlot9um + EDWPKmXMbLC2befzed/367UapdRh1mGHHXbWH53ZrDfSNB0aGlJKz87Orl69qt1uh2GYyXqmh2K6 + YO12k0ulNHnkkS3XX389yuVMk8soLhjytuQijZOM5/Ek0VoXi8Vqq5HJZk3WlM1kjKNrPp9vNZqc + 80qlwqV0HCcVvNVqTU5OLtarfY2oJVWh5363LEKTKLZt2+hMMkyUUlgDRti2Wa/dqZTKhVxeC4kx + np2drYwOm2zfIH3MLxl03377ccAFEtrPkMds1qbXYlE2Ojxyxx13fO6zVyilDl61Wko5Pz8/MzND + KTUGiwb+MTY21uv1jAZ8u93+zBWfHRoampmdNYmpVnIwOqeUWpbFMHnTm970lj/9U4zxq0473ff9 + XC53xhlnnHvuuW7G//4PfvCJ//nJsbGxerPh+77WWiiutZZSqb7KJ3Jt8l+/+FmxNHzB2e/52U82 + Bz1nxeiq7/3gJ09sfxATZDOazVgq7TpO/FeXXnDPPT974xvOrDcWrvzilU888cRBB09lMhnJRRLH + QggzLiQYMWJYHVpKmfG8ME5N/mHm8gDQN1545s3cPzl4f+H5jgHSZDIZA+hMRJqmaaFcAqURxrl8 + nhISJYmb8bkWruM99sTjmUwGEJqenUEIzS3MV7c8bCTg4jimlJq3AGnwXf+6G673Xe+W22+79OOf + 2LJlC6bkNW84490XvMew627+5X+12+3aAw+YJlar2+IiUVozYvm+z6N4cXFeiXR2ptpqBqCZklCv + wd7p7a6vo147SKSTJYgmDu0uVLd047l2F6J4bkNxebUmN2/e/PJTTkBATQqoNGrVa/V6XYESRnsU + owEZV0iJMQatjRwKWQrTWnum39G+asWDIgchLaV2HMdIdXmeVywWDS622Wyamtwc9oYfzDkPw9A4 + b8BS3b5vUvICI2VRL6wDgOu6XHPOOXNsjGiSJMwipj1sLjWKojQVQogoTrDiB62cWrt2bcZauWXL + vXffc2fCFVes09Wp9oMYxTFggWzL9XO+SEMAvCTy/WSCSCndunXr2HB2cnLSdXLt7qIUutaouX4h + DMMkjACAUsypAg5JEA3o0f1lbPpbvxdp+F2HlPKXv/xlHMc7d+5873vfazruH/vYxwzizkC9Z2dn + P/ShD+3atcsAxHO53A033HDnnXe2Wq3du3dblnXZZZetXr0aAMyAApZE9nq93m9UTUgDIKZBgUZK + Km4BACVhGuxMd8/yOa11pONYpdpCPR090dlDxCzTrmKyRbrSQhhrEccsYUgDAaQ1GghgK2M3hECb + ZuxLNPCS8I/SCizLabU63//+92+++WatdbvdTqViLKOI1oTs253R+3vuEICEIAjARZzzHTt3rJgo + r1y5MuPx2bmtt9xyS5rYi/VWJ3CoY5mKVysOoAAo9AsbAUhpUFpJStGWLQ9hzBcWZzzPLpTy69ev + GxpfGd94RxhxarlRFDFJoiiSUhr9lxdF8yZJEqPBU6/XzaDVoDp/PX+kvjCJKftHRkZc152fn8cY + l0olpVSt2bBtGzM6AOQrpYQQpmhxmFUZHl42taI6v7BYq8YRx5atFEgttdIKIY201koKgSkCpBBS + ZgyotSk5KAitkbFepCYJEUIANo3jVGmllNJKI4QwohhjpQ5MINQkwRiB1rqPgNKgEUgln0GHU4CA + EhBclIfKvu9brpXLZ+IordZrpVIJKMYYR2lizlYz2Xjhb9+LG4Mhg3mbTDKAUN+Cz0yzAcDol0jF + KcYIFNISFLY9Z9n4xPFHHPuaV73aRexzn7383se3CIYslyWt9pp8BXdCiLpMA5Y6iQLMqJ3Nxgh3 + sW4pLV2bM3rKK0+rtmrIIY6bEUJphFJDXgoCY7r99a9//fzzz9+1a9fw8HC31V69evXXvvY127Zv + uummD3/4w8V8wbRoTz1x48UXX/zGN72p1e2Y1dvr9Qggc/Fm6PeGP369aztPPLpNSjmze6+hUm+6 + 824v4zmO02o0lFJhEmqhmcOSJEnTNE7k+eef/+CDD7bb7SRNXddttlulUukVr3jFZZddtnP7DsYY + I+S8886zLGt2bm756pULi4sYoUKhAFxKKXmSdDqdSqXynve85w9f+5pbb70VIfRXl102Pjo2MzMz + Mj5qVE9MK80sv+fo/idJUiqV5ufnTznllFNPPbVcLudyuYsvvpgQEgQBQshYJJ1wwgmMsXXr1s3M + TKulBtZg8ry/X/5Sh9jtq9LmOE4cxwBg23a72dJSlQrFYzYcdeXnvjA3N3fllVcW86VSoVytVj3H + 54mwHXbuOeecfvrpP/zhDzds2DA+Pn7JJR8Je12sIU0Sww9VqH8wmwrYdd1jjjn2bf/jf3z+s1fU + arWVK6aazeapp/zBIYcccsUVV8zMz132yU9efvnlF1100ejoqBEy6dedS/caIZQkydxs9e8+9YVC + ftn9d/9s6yPJ6FBJtDauKJ9CLSJ4z7ITjec3P3Cd5kOP/GrP+y9cXamUO50OALaIQ0islBpoSZvf + KVIuBQeMJecEWUagQgspU+74lpFNfGZiYQha+3v3te4LXez7ofpk7rgTdBgjcRL2go5LbSHSVCRa + KUDANY+TWGu9bNXyequZL+ZP2LhRKlVt1D3PM3aTYRxVKhUguBeFxUJ+bHIiCILZvdMaYOPLX377 + rbeeeNLLuzysjI12et3tO3d85rP/YNv2qae9wujlA0Cv11u+fHm9VRciQQQQgUazl4axxbxirlgu + jVu0mPEri4u9G3967y9vu03xQjY7mQRyeLQyPbt9ZMg76iD/xz/cceeDP77p599Zd/QXHJ/ddd+m + ZQctA8DFYjEMe0kS2Q4TkgspUynMuN/UnPs+PPu2S82m+ax+R6bJrZcMyAYPoPnxgQZlLpfr9Xrd + bjdJElMgIYTMYWn2AjNWGuwL+qld2xcYKYtCO5BSon2vE5YmzqCMjTLGmFJKXObkke3ZSRLXu/pf + v/FjpkS3GUUxZDIQ81QSmJjyi8OFbi9OuFIYEsl5qhA22jwIkAAALUAqhYi3ONdpzPf8XKfbCRF1 + c9lhwHx0YlJrWU0XpOqr4oMFQJ+9e6S1/r1X7O82PM+r1WpBEPi+v2nTJsN/XVhYWLlypREpMmyi + 6667Tkrpuu7pp59+5ZVXfv7zn7/tttt++tOfVqvVkZGRUqlk3l/TazAPgpk2v1jD0v0F1wqwAi0R + B4YYZQyQbiUhIjFgRCkxak4xT3uaM+IwLnicBFYvYYJiClhrkKAU1UhpjDXC0DfEG1y3WtK7einM + Opc4Hkte60/qpRFAIDWKwygR/UYho7ZSSiGt+giUfQnNz/ZqFFBkD2VHEBZTKyd7na7tWz/9r3sc + Jtrt+tBQTknBOcv5OYUI2LaMu4QKQFoDAaAARANIAA0UUUdKvWV31fXYjh2Q8ATw4sSyFsNbyuWy + FREOxKFa40HvaVAgPWVYd6CBlqR+DSzHzFefyx9JARiTeqkRhn38kTjnTjY7ViwWW63WwB9JKGlj + BAhJqSgiaInaZKxsFhYWzNQUM+rnsoRg0BoNjm6EASxtBPq0caXXgKTWHGEJSCDzFthUaMWjhHPu + eL4UkEaxshWAQlgjhIAgrbEEAK0OuP0gFWgttUYIGUFquSSLjJ8FHacJI1ymo+MjURRJrcYmxoUQ + naAdJyEs3WpzwvZPt5fCQ7JPDIYJA3o5WsJVGpqNcS8wJgfmzMQSkAaDn9FCxnEoeCJEkvcz8zN7 + vv/Da2+86UaP6/Xl8Y+ce55Tb7iCy5QLIcqjwxPr10+sO3z48ENH1q+bTZO/+ecv7Jze4w+XUpd2 + ez3XcRZmayuXr9y+ffshhxxiW9Yb3/hGpdTKlSt/9cCDnPMVK1Z8+MMf7nW6b7/wvE996lOX//2n + L7nkEuO18KlPfcq41aEeWr169ZYtWyilQ0OVer2e8X1jf+d53k9v+HEYBKc4h2wAACAASURBVJdc + csl//Pt/HHbYYZ/+9KcBQCmBMdYYCCGOZx+0avUxxx27asWqHbt3XX/DNR/96MeCIDAjKUKIRRnS + UC6W0ji56qqrXNedm5np9XqlQnFq+fLFWq1QKPA0bbfbaRCNj49jgFaj+epXvurUU0/9ylX/slBd + /MQnPvEHJ5981113Zf1Mo1qjtjVA9pqx7XOIeVBKG7X6SGX46A1HHbn+iB//+MeTk5P3/OLuQzcc + 6rquZVsnn3zyHbfdfvDqg2ZmZnbu3GlZjABChnBvdhKAJ/n3v/U4sAIJ7x+TPWjkY0C5TNaznV67 + EwWxha3J0Ynt255I0xghpFLOo1hz4eeyJx2/cf3aw//+bz41NbHsD0486fijjykXS57j9pWdASTo + wd6qlOJx8vf/639dfvnl999/f7FY/NWvflWpVK6//vqrr746XywoBDffdJOfyYyMjJiWkqlJBl8Y + nSgNYu1hB/sZN4rgk5/4i7/9n/fd+l/1lcs3ck7SXpqKTtxdLOTA9SbGx1acccYfFQuZPHjHHnPc + 6OioAtVoNHLZwtDQkFLKpgwANMiYc5cx27ajKHa8DMY0DEPT3jBtdSNF8syb9jyODbMcK5UKZtR2 + nC9+8Yu33Xab4qIXBua/elFo6sDtu3b+6TlnO449NDR08Yf+/1RwL5NpdzuFUnFZYaparTLLklIu + Li4GQdBqtUqFwrU/uu59F/7luy94z8qVKz935efDNO70un912SdGxsdOPv7l3/7eNXfffXc2m+VC + WJY1MzNDbGTZFLDGGCyblnKjWhEeqcWFRr3WOu+880468Q8LuYn1R1e6HfHYY3dGaRLMYUREvaP3 + zC+cdNoqsJuHbVhx+ZV/e+edtzVb1UyhmAAXUjqO43uOOQ4xIQSYUsoMpk2uQChVSiGAJbVubTI5 + vR+/I9M+10vezGZVaC0xhiRJKKXlctk4R9Xr9YFcuKlSzFtp0HpG+0VKCfsQi1+srBExxfJ5jHHK + ObL6mF0lRV9PYp/qyLZtbSOVKC4FwppSWiiUgmY9ivuZn+PBspVTc7VmvdsNY+56OcYspLGFKSC1 + lE4pAIOUI3GcFAolCrzZbQmhKuWiVA5z0oWFBc9zAIBRwvuWj09p8Dxtcb4o9+H38bzDLF3OuVJq + bGzM8NQNw9B8g/EyiqKoUqlYlpXP57/3ve/dc889Sql6ve77fhAEfUzakpiyOfwIIXEcPyua7sWK + vgwpwSBJH2hBFBCKPBQrgSkhDKRWPOKRSBS1XEYt5oLEQAERrUAhQgghZmEPxkcIIb3UKFMHLNz9 + WwsMoEBjQAoAC6EoxZZlO45r2NWcpwjhlPclmNWSEsZz/1LBZRiGKQ8sG1cqlU63GXY6+Zy1fMWy + xcX5jFeI47DVrA8NjyGEMhk/itswKNOQAo01AtA4FZIiXCxVclm30w1qDc41YGLn8qVuJ9Zae74X + 6jTRwmA3BhfwArdHs1cbdvvAHymbzVYqlf/eH2mpQ6q15lxorZ/pj5TNZs1xqfcxTjQ3XCk1gCdl + MplMJjM/P48AE0IoIxoAFChACAgQqhUBjMyUpr/BIqIAiJSY9Ju8GoHjODwF0FgohbBE0G/VKQla + Ia01xgdWue87xu+fRxgAkBBCGFIaAqT7pncAKg1DgrBt2/fcc091Zm7Z6tUHH3wwZczw+wes1Oce + C/xuoz8yXbKiNtwBUNqsEDOmM1gPzrlFCWBENWgMEmOCkOU6lmPTVG/f/sR/fvc/tjzyK4egErPn + tm2Ld+8955RTcgnXSiGMIy2iMOY7d8licdnpr8xTdP7bztvVqt9x/6YUlHLZYqe16qDVSS8eGxt7 + 7LHHbr/ttqnhqZ/f8XPjPmSgKCtXrvzTP3mzUupLX/rSBz7wAd/3jzzyyE9/5vItW7ZUKhVT1919 + 991r1qxptVpRFAFANpsFAC0kIWRhfv7b3/hWr9e78/Y7LvyL953+B6+44IL3LlTnkyTJ5HylVBSF + M3tmXv3qV7/hj15f6zS/9X+uCYJgYWGhWCwShKSUo6OjRgpyenr60UcfnZ6eLhUK+Xw+CAJLiqOP + Ploq9avNm33f95gdBIFMUozxySefPD8//7Wvfc3zvLPf+mdHHXXUbbfdBgjl83mu5KB7a9Kk/S0V + rIES6madRq1mWdbWRx750pe+xAg55phjzjn77K9/4xuT4+NvectbvvmNbyRJsu6ww7Y8+igiT+ZU + akks98VqQD+PeD4TpKeh7MypRhAqFAqU0mq1mvF8IYTF2KqpKQrYJnZtbgEA8vl8MVNgjAStjsfc + jO0zhIN2B0kl4uSgFSuTIMxms6VSCWMspJSgTQfIVCNr1qzxkf/xj3ycfAQtVOf/45rvPPDAA9Oz + M5OTk61OGzP6ute97prvfMf3/SOOOGLXrl2EEIYJpdSIMEa9gBKUzTndXm2xtquQ94G4QsdTq6a2 + PPT48hWHJyn23aLUaS+cd337ZUeQ0vAJO3bu9Xx79eqDeSoZMPMqMplMqVwYHRsmhFBM0jQuD5UM + dzmOlZvJpmmq0tTwr5I0cRxHPmng3e/K7Dv6+PWj2+0AaIxRo1H/l69cZSBnvu+/5Zw/M5C/IAi8 + TMb8acPVyeVyTzzxxMGHrPGzmVtuvy3iadpoaIB2rzs2Pg4ASimEsed57//gxevWrRsfH6/X69u3 + b3ccJ0ziH1x/XbvTuSp/1e49ezrdLhdCa21OQSURYkRrFUWJ4Eoliqd6qDAyNTX1/g/8JSVuEqs4 + Ekceu0prsOyTbdvudrulcoGnURA2XRtq9bn1x76i2Vg86fSNjOLjjz9eAekEofFHcyyLc64HfdYl + iB1CyFwGJcR0L9I01bpPXH5Wv6PBDR+QZ5RSSkmENCZQKhVK5UIYho1mLU4ijLGWkjGmlOSCG3Cw + wUyaQ1ot0QdhSS/RpJIvMFKa1OSMZVkcCYQRIsTgN/qynloN/lyapkmPp63UsgnoNFDtww+Z1BXv + mKPyRx25XkqtiD00suKOe361fdd8qxNpYL1umKaJky8qpbgUUoHUBCFEEGAMjKiDDxlfNl7euWcn + T2F2vvX49ie87BDG2LZtmXIwko0YgAJjbPBG9G8sMr3eF34Pfh8vKEwbKJ/Pt9vtTCZj9Ot6vR4s + gUvz+XyapkahaGZm5qabbvrqV7+qtS6VSuVy2ThUVqtVA0AyUyOMcRzHhtf3m75+xpgCBZgiokGh + JBUaa0UhAY4kVxIzhBkhruvGRKeC95IeZWC7jGssIEWqb6OsQCvQCvU7emjJmg8MaRb9uk3J34LR + OQA8pfFojDGQ2VtUmoo4Ts3eRYnFLEsRrBAZ7GPPDbGjOWxlWdGdnNu7Z36uJtPuH5x8FIYOZdEb + //hPg0Dfc+/jM7Nhu8fDJO0kacaxQZsJEjYCEkQJDNy1KCNw3JGHjg6XTt24vtGszVcXq4227w/d + ufshTfJzu3dj38rnsubQWUrcX6iz3OCUNHN7A34zxLxn90ey+0xRpZSWgDFCeOCPpBuNRpIkk5Pj + pVIhTeMk4coAg02VAlotVSwy5QSQ53mO4xjmTzaXA1BR3MUatEYKMNYYMEPANMI8Ff1fgrQGC0AB + KISQUkIBUlJTRhmh9VpTSsCI5gtZpYTQXCmuNULAkCHewYHp3xgY4VM3XqwRohZVABiUxghr3Xdc + RAoIIkhTi1CLAMPmC2YRljJEyVOkwxBQSkG9tPZ0QojSApuWqEwBwGbMtpnNrDAMy+WiYQ0ghDzf + KZfLtm1rBMTGns0kYUpI4lkClI3Asum2bVuPPHL9rbcuWEqosJcV6bCQbrerpMzmchGgahjWZ0VY + nobFWmKz5txiK2yPjo7WGi3fd0dGxzvtTtDqTYyPFw455MILL9y6det3r/nO/MxsNptttVqe45aL + paGhoZmZmb17965cvnJ4eHhmZmbjxo0XvO+9U1NTtVrN873Vq1cbtqeSyqxSjDFBqNfpTS2bemjz + wxMTExkvm0bp5PhkHMSlbLHBGypVWmvf9dM4jXoRAFiUmU5uqVD0fL/dbpva3jw14+Pjbzv33N27 + d//ohh+KlK9ater73/nBTHfxwc2b/37v3lqthoQqFArYshcXF7/z79dcccUV996ziTBKCPnbv/1b + ULpULvaiAOQAsNzXi4b9i9wgrbvtdqlQBKU2HHHkn7zxjcccdfTUsuW3/vKWyfHx+dm5DeuO+PCD + m19zxms3Hn/C+e96p5f19T6AL72kS/FbXGJPiRftwOOcx3GcpqnvekPFEqNUJKnkgqeJBJJx3Hyx + GIdhu9fzXTvj+3N7p5WULrEcy06DqN1sFTJZx7IxIMPUNEQtYi21MKXK5/ML3YUPfehDtbmF17zm + Neeff/6f/dmfDQ8P12q1lStXfuQjH6nX69dff73neYuLi9ls1ggsYoy1UpRSaXFGqO8VykPjCki+ + 4A4XYW5+j5D+spXDSdpGFLd6nXxOIqVbrZZS0OsGq1ZNahBJkkxPT3MQrutFScw5r9Vq1WpVS2VZ + VApuMSolf/hXD2lgR244Ouv5tSQxrFkCyIi+vyj3uVQq5fP5hYWF8847z7VtA8nN5HK9Xq/VaRcK + hSAIpFZBEFDbQkqXCkXjCw4YedlMnCQaIyAYIRChiNKk1+vJlBv9jJHR0Wqttmt6bxrF1LZEEJRH + hh/dtq1UKj32+ONSylKphBCKosh13TiO/Vy23qxZFhUc5XJFG1tBEOzaveNLX/5CGMaCgxByaGgo + Farb7UZJWCoNEWRprefmZg45dPXMzB43Y/V6nWw2CwoJIfLfvLrX64mU79q917Isy7KVUbg0Wq5o + H8z9UhgymCEd5XI5z/PIs/kdGRS7uYcDoB2AohTncrlcLhfHcb1eN0x0zrmZOJmD1ugmA0AQBLt2 + 7RrA/AbN0QEM7wVGSmNcFoaxxiXXUjKC95VD2PclKKWkEO04ZFRNjY2/8hWn1ea3b9vywOYH79uz + d5FYTshJqyPrndh2Co6bxZgVcpk0ijVGGgFCFCMMgLVWUkkMfHZ2mif1RKSHHvIyx2s8um261Wql + AgCAxwZzjATVAJAmyX4nSL8vkn6nYWpp00zlnJtho3HoM7R+w+83/hj5fH5ubm58fJxSOjs76/t+ + t9vN5XLf+ta3JiYmzJZllp9Satu2bWZb/s1dPNYgpZZSIdA2dQhhWuhECgXccWwNknKlhUKYMIsB + Aa41JkoplfI4VQkmytIWokghkCAl6H1dmJD+XR60BxpGqFoIxZhluQ7GkKYijmMsQSGl8ZNJMTIO + Zfsp90SYzs/PlwrlarXu2k7WRlMrViTJfBIt3nb7fyUxe+yJai+wvfyIxqiQLYk46pdGCIM20wwM + GqdpkvJgevfehx64N0lDz3PGJyeWT05OrVp334PbGp3AdV2wqVFKNH96qUB6QWHG9cYHyVCXzQj0 + QPyRAPbvj7RYbTLGGKXmUMAYU8aMrpIZ+5ghleu6QgjPcyiWYdSLe4mUiBKbEqxR/wcRQv08USMA + ApoB1qABIR0EgQY5OTkphc5k8oKrOAkBpEKmrMIIMGhzpjyfc2RwLAKAMqAAg5pBGpRWoEGDAgCt + GMFRHDabzZUrV65de7hlWUKIdrtNLaevCrgkm26eevK7dU5/WiAlJWhQZiOSUvq+PzJUsW1bcmFZ + 1sjISLPZNMxhz/MyGW94dASQRtQGh4VahUFseS4AAoYmV4zPzE4XK/7YyNDP/s+/nzZ6kCu0y+Os + 4jxN7ZhopUqWJQHRKIaYlwvFspd9Yn5uqFx43WvOaLYbu7fvWLduXa/ZdR3HgDZPOOGEfD5/4okn + 3nTTTWvWrBkqldM0dV137dq1Bx10UDfsGj/GV77ylUmSHHbYYRs2bGj3upOTk48++ujIyIhr2UmS + 9IyemVSe561YttwidMX4ZGOhPjw0IoTkSRqkKUGUx6nWOpstUUor5QoC1Gy2DLEHAEBpI4RWrVY9 + z/M8b3ll+dDQULFY/MmPfuw4zqOPPppCeu6557ba7dmZmUMOOaRVrbdarWI2V6lU1q9fTym97LLL + er3e33zq/7L35tGanWWd6PO8w56/8cynqk5VkYRAQiBMMglyw1WZBMFGbYfu65LuC31X45XGpR1B + vK5Lg15sW1Evq9VursAFEwZNNAShEQkQhgQqZKKo+VTVGb/52/M7PPeP9zsnFZIg2Cjpa9511mFR + 52R/39l7f+9+fs/zG/7PtbW1U6dOOW225/uOwbhPpXmk4hYBqqpqxElZlhyZ53n/8mf/xdLS0vbW + 1rXXXvuxj33sh3/4h798x5fSNN3d3ul0Opdddtm5C+uM831bv0vrvX/MG21/fdcAknswA4ATAT/h + yivjOC6yPPB8tMhRTEfTqswbSRwFIRN8aHtoqarKOAjbrdZ8p3vx/IXHt5q7u7tbFzeIIREZ57BJ + FgB8IVutlrX2/vvvr7Pi1KlTAHDy5MkwjhYWFl772teur6//+zf/qvS8vCrd2B0RSRtEJGullHVR + +iK+eLE4/Y1hu7FWZPAHf/y5rd2NbmtVhl6uijiONUy5x4T0ELxb/+rC3952479707+q1Pj222// + ycf9BADzfX97e3s8HoOluqyKLPV936i67nQRqS5Kyyzu5dBprT3fd5syehK+Kdn277rk+JAvRjAY + 9gbDXrOVANqN7Q1r7eLiooy8zZ2Nixsb8/PzRV2FcVSWpclIMLazs+NCXad5lhdF1EjSNI0bie/7 + zXbLAnmBH3c6jLGzZ86urq4OxiMLdM01Tzq7fq7MC2W0RTh97iwAtNvt2mhjzDRLo0YiA386TQO/ + FYZhVZQc/X6v1+605hf02fX7x+PRkSNHdgfbp88dE17Qac9z6Z05fSIbl81mu9LFnXfe4YfizIVR + d76z1d+JgqjIK45YZGUchCsrB8IwFtxjyJE7RIfmEm4J24Mojv8Wx3Ecx06++bB5R7Bn5ot7+k4h + hO8HURSE0Swt1xkw4J4q3UkvXHpMXdfuFy7lHlxao3xXmutWiNFkoJQi8owxYC0K/sC8iyzhbLMQ + QoQhl40wiBIkM5pU7/l//mrj3IUih2YMaQYgSh5Ae25+bm6VC59A1LWuKqprywUxwRl3TrhE1pAl + xv2d7dHOxsVpXp073Zd+s5F0iPlh0uIcB7s9S2CN496D4zd+U7lJj5k0PApWXdfO8KbZbFprB4OB + s3l1HwfX12CMVVWFiEEQAMCFCxe63W5ZlkmSLC0tpWl68803O+OWag8JO9qeK0//Id8+WmWddtRa + 4MCBIWeIyLSpOQKzYJWplLG8Il8w4EnQyoupVhUyx6BBIjJkNVlNdj/+YaYLfTTio0sB5wN1Rl1r + Y4gxYS2UZY1Ijl2rtSY0hgw9GCDRI0AR5LzT6oaef/joWiOMx6Od//Y3n0mzLcl0sxUo7RmNSdIU + gkeBp6qKzd4SA3JRhTPJFgFpW588t2NU0evnk0ku7x50O5h8+b75+Xnm2cqibIZD7F/K+IIH5nZ/ + /+UINpeGdjic/8j5SIhI+wXW3rT/oflIzTiOW7Uty9ISMcZIa/dySikhmDOHcPNYRNzY2Fhemkvi + mCGBkqq2DAPOJIDl3BCUABaQAASBDySBAmst5+gFPDAVY7C8vLx+7oJStixqP5DO5M4BJCA0lsja + 77SP6ipUF/htCBljUgjOeaVqgD2J82wMapFAKW2MWb94IQxD6Yfnzp+vqiovy4VGqyxLvY/0GCIy + YzTn/NGzpROA1prAOM2CtdbFj9Z1fe7sWc758vLyYDBwTh6j0Wg0Gp0/f96QMciNx1NrEPEJR44o + sJWqh+Phc3/4B5JW475jd/74q181vO0un4FA8iTjllFdppMJtjpx0xPIgElWqLtvv/NpL3j2V07c + 98m/+Fin0/J88bWvfPXQgbVTJ0+6hMbt7e2dnZ3Tp0/v7u6ORiNV1W9961uvuOKKW2+9dXl5uRk1 + T58+Hcfx3ffe8yM/+sqyLE+cOBEm8Ve/+lUhxDe+8Q3SptPpAFFRFKqsyrI8ffLMq1/26pPHTz7u + 8OMurl9cW1srs7LVagAAMZqk093dXaVUVSkGIg7C5eVlIpqZejcbzsJXcs4Avnb82Fvf+tYkSRYW + Fu69994kSTjwZrM5Go+73a7r+UopXeZeVVUf+chHjh07NhgMbvjgnz31KdfecccdSuvl5eWqrh0l + 1c3q9zsLD3vJkiiuywoRiyzf2tj8hV/4hRMnTtxyyy1XPeGJn/zrT/zEa378Kdc8+Yuf/4IH3s54 + 5w/e9fuv/mevnpmN7FVrzv/pe3UT/n2qOvdmvylBjIim06nWemFpsb+1wxhL0/Suu48Z0oJJy0gp + FTUbni8ubm2FkS996UWhBpqW+e54WFn91XvvXnviFURUqnofYwAAQyaE0LXqdDpZli0tLaXjSRiG + 99577/z8fJ7nv/bmt4R+8MY3vtEqPZhOATFKYlc3WyBOAIiMcyYFF8KTARH/oz/6o3/7v/3KuXN3 + XXfd4w8efML73vuXXHraijDhw/GAzPCpzzggvIqgNqYeTwf33PO1hcV/kxVZWZZOAC2lzzlHLrgU + yFlzrjPXaU+KIok7cRz3ej2BjCS3xhA8MKqmSztbe+ftOzr5cRwHUaiUyssiz/Ok2dDW5EVBAML3 + vDDoT0YGgUthtbYAwFm71e31eu1ORwMlzYYh22w2z62vt1ot3/Pquq6K0j1pnDM9E/zzn//84vLS + 8vLy2fVzq8srrhUBAMPh8PDhw81mc3t3p9VoCimNxiytjFbNZjMryla7Gcdxv7+ztDz39eNfu/zy + y6NYTtKSoC6yOi/ygwePVFXFuFCgAe3i8kKvv5s0GtN0GkcJGhANKRk3xlSl2qdqGD3jkezLhywR + 7FEpwjBsNpuuGVbX9SPkHc0+0mwvJcPzvEYj6XRaZVn2er3pNBNCGE1VWQghiKAqVZIky0urQRCc + P39+NJxIKRuNprPCJKL9gsxaa/apCLgvJPiOl0ETNkLOuXbaOY6w9wjkYtYotnsufJxz5iEj0EYl + gV9m424rnFChK5jvQk1w9IorR1llQIwnKeM+58Jq3Wg0LNXaGmOUAY3AJWOMS6uVEKzVbicts36u + FyW6212pNOZVJQQjIk8KTVaBBTWz77MPdrGDx0waHgXLlY+tVivPc8/zXNAEAJRlSURBEDi3Vnf5 + GGPD4XB+fl5rHcexs+0uy3KfQumMHIQQznnJqUH+Qd+/lD4iWoNKqUppAOSSAwcE66peL5AgUZPV + aBlAVeda10Hk+dIDoFopqzSAtWQIjGMKcZIAAkADAKEbjxDg7DO6l0PECMHseySAZTNyngUARrPH + v70kzJztVZ7ugWhmauK9Dz7t/ybbe7zveSrQ3usSs5e8IhAAWvcSRMS5ZJwTkTvn0gPhcbBIjLTb + x2YYAOmBsCkCnAn9Z3mAhiSTVVV5kitdJEkwmQ59X3oepGnZbjZMzIbjTJKwQHslPgBY2LfnJgRg + yFmj2Qo8fPw1V51bP3XPPRcKBd1ut6zVeDwGij3Pn2YT2ZFIqLXFgHPc1zDtnwi2x83ZOz/EaMbM + tQBgv2nbJMaRCSnKonRkIc5nqZpREKZpKhj3pTfX6Xpilo8URrExxtoHemGOmWwflI+E+/lIh9cO + njlzJs9L1xR36BoRNVlEbDabRuu6qvrbO80o/jf/+vU/+rIXG22qEsqStOIIAjgyDtpWyCwgB+Jk + A7AhWEQGyqQ8qA3lQpK1+n99/es2N3aEYFJKA9ZSTURgCMgwYojfsRjdMkREAQiAPmOSC98P3Xx4 + /5azsDfKQ+szQWDqum40GvNzi6SJiFYWVwDYdm8XiIy1WhuLICUT+ChLQSIWeF5tatgbLRpjRqPR + +vp6b/18e3kZEfM8d7EcxhhroaoUIZDHtKGiLIgor8oSykSGozzb2N7qLLY9P+5PMh34w5B/HVXT + o8gP4zgehR4kjSyIhSeg3VKM3XzLLWJ5YbQzWJ1bJGaHvWG3O3/mzJkwDAEgSZLhcOgChQBgOp6E + YXjs2LFnP/vZt95663XXXXfTLTc5YdLq6qrv+5PJxGlSnKE2ALgNuSpLIYTHRRzHtVZBFDLGLu5s + dJfmDLMLq4vb29tlmcdxTAyjKAKG7XaTAIq6Gg6H0+l0ZWWlrKvJZBIEgWPHjMfjsiybzWaWZbvb + O1ddddVkMrFgx4Oh0Vog27xwEQlWl5e3NjYF409/+tPLshwOh0S0evBAWZZZkWtrldXI2B6xk2bF + ySOb95R1xTmr67rZbl3c3Ejz7Lnf/7w/fd973/CGN/zB//2Hv/4b/4dS6siRIwcPHvylX/qlF7/4 + xfOL807j5KqLS6fB35P1nQEkC0BIbk/f3/dm2z3DVqdFDCbppNFtbfd30eP9dPSqn/yx5z3veU96 + 5lNOnTp1YWODl9hLB8vJ8jif/u+/+stVmR9aW7vpkx9//0c/RESvDPzaGmSciBgXzklQqbqulWT8 + 85+//Sd+4id/9z/9HhHNdTpve9vb8rz40/e859CBg6PJ+F2/87vK6M989rYP/flHN3e2V1ZWLNDG + xsbhQ2tlWdZGK7IcaubVT3765bs7Z97xm2+qqkLxCxcunvy+ZyJD32VSSY5cRoxnn/jMuw3P3/Zb + 1xujXvbyF8dxGIdhXuWLi0u7O31kTJEVga/AysA7ee7c5rAft9tSBDv9nrIGBbfGuMGrMwmYnS73 + P38vtodriE6mU0NWGxNE4TRNl1dWnOFpu93uDfp+GCKi7/tFUUStqKjzwXC4srq6O+jXdT0cDpMk + maZpp9Nxv+YEhU5v4OwKKqVWFpfCKM6nKRIE0tvsbYZhCEQut8oPgyAMi6qMk4YFLYWw2svzdGlp + IU1T0urIkaPbW5utRndjY2d15eBkXFWVUjUsLi6W9ZSALJVB5G1vb7Xm20kSCclcU5CUXZib37yw + 2Wo0ozhwNDltNVkD4FLd9/w9GVNK+Xt5R4wxV/z1ejtEZC0pVYWhg0cBVQAAIABJREFUb61VqkJE + IVie554XS8mLIhNCdDqtIAiyrBgMBlVVe17g7LyDIHJvN4qilZUDnMuTJ0+Px+M4bggh8rwGAETp + 3OUAAHFmoePI8Y4MA3vuEdrU7qffNC9+2OvPObeMWWsRBXOmiGImsgJjCMkxKqWUxpgqK9WoRrTW + lktHlv/nFzxr49zxTjO47OhaZ26BB01N3ue+9LXbv3SXQJBSIDDDiUxlwbqKChH3ijZCZn/0VS9L + x1tbu1tXXXXV9u7k7nvOjVPlhw2nY66VtgAQAEQzL8FL5+BOg4SMPTq79P/UlksxcjfbvsUCAMyG + ydOpKxbLsnRMPADwPM9JlVyjxDnmOzqT+4WZGPofeFkDAARAnKOLG3XAxll0GwADBhk6eyMia6Fi + gjgPyqJiyGL0PfTBUuDxShgOCJaDNYwkQE2ABgQx5EgMSILlFhgxAqYZ04iagUHgRMKCJMvIGmYt + AhIwYhYZA7YHhADJCgsA1iErYmARhLWMwM40Tszx0x4w0EPLwAIAJ8YtA2KA3CKwGbyyQJYhWABE + QYDaTT98CWANWCLLiBGRATTowAZnxCyRhpozskCcLEOJBIYsMAASWusolGfPnWxGEdniFS9/AVLq + efUzn/7UXr/60h3H7733IiF5flCWuVI1csY4Y8zp3gnQAGkEXWTTV//Iq7rt8PDBxg++6Pvuvvsu + 7kcHDl7xgRv/cpymNSGLvKAZ+17oCQ8MWQPEDYEBFEAciAG52RRjyB11uqqqqqokCxkIKVBX1lGa + AUkpzZExAqu0x4XHhVXaKi2QAYJVut1oFnlx4dz6yspKt93mgMPhsD/ozy8uZFmmtG00GqbUqn7Y + fKQSsWSMcWQry4u7O/3heBTHsQWaphPOebcxV2Ix7A85spB5PEioUA0WNCAEwV71cz9V16JUIoi7 + 1z7tWX9x88fGk6kBjKMWWVHnhBAsdA4dOthtNdL++AQT5bF7b3//De/p97a0qWpjmBTWktbQSpqD + /i4YePWrfwwAPv7xW2EPziHi0tLS2traXXfdNZlMnBP0m9/8ZqXUeDy+/fbbv3LXMYO23e0UWY4G + IumPB73jd3/981/4XBJGiMg9maapNkZK2ey0x+OxmxJkWeZSEN1Dc2Fh4QN/9sH3v//9AfcMsww4 + WYvWuSA8uvZza8AaqCttDO2Zp9lut80ZvPOd7/zwhz9cqzJJEsYYQ5FNc49HGmylTanK5fmF3d3t + QIpKq1xILcSv/frb3vrWt2z0JnViL7/mqvOHV/64v0lFzmojgTcaraOrS0euucZfWHrfZz45f+RI + 3YhlHM8tLmitKzAaMM2yVrszHo7iOP7XP/+vnva0p60tHvr1X/v1RqPx/Oc/31p6+9vf8eEbP/LC + 664ry/Lnfu7nxuNJkiQf/OCfeUFARB/4wAcvbm2+7nWvG43GQgiBTCmdxA0hxGQ0CuMoq/L33fD/ + /tAP/dBzX/j9n/nCZ8MwrKACH6yB3OSa7Hxrvt1u7476x88cP3vuXBhHfhicXT932WWX1XVdVFWU + JM7Zae3Ikd//wz/s9/vz3e71118vfU+AOHxojSPb2ti46sorQz8YDYaHllfH4/H7//S9/+E33/Hh + D384aTZarfarXvNjxJnnSYAHVS/fGroQADIWxfF4c8IEf8b3PfNtb/8PnU7n6quv/o3f+A0/DDa3 + t+I4PnXm9NLK8r3339fqtEtVw7dM7v5HXt/xBMniw9sAzbKEObcIVVUdOHTwRT/4g3GjsbK0JKUc + j8fC810nkjGGnNWVTpJkt7fdmes6y+yTJ09eceWV8i+DdrvtIoGrqnIGNVLKfJrmef7GN75xZWUl + ieL19fWzp093Wu3f/u3fbjdblaprrf0w2O33rLWtVosQGLJGo2HIFlUppSSEtMg+8albnvXca5tJ + YzweCxQMvaq0vpfoGhEYIjJOjCtAZSC3ZDweIPIqL3Z3tz/5qU/uDvplWXIpSqXrWlswBqi2BHWZ + qYqjEMCt3h/rM2cy4Urn//6rBQBOTjA3Pz+ZTJzdn+C80+lcuHBhkk6jJA7DcLffpzTzfT9PM8FF + VZabm5sW4Yorrjhx6mSe58ZaZ/jjBmJgqa5rJGq329PptNVonDlzZpExa63HxXQ6dWncSZLkvV0p + 5XQ6retaCCGlGI2GnufpWkspBWC705kOR73dSVmYubn5WqntrUHgR+12d6fX17re3tpcWV1CgNGw + d+TowcF4NM3TZrO5OD+XZQUXrN/vA1jGoK5rzxdK146FYIwxaubsKYQwLjhYqSiK5ufnEXF3d/eR + 846QMeaCMiaTie/7i4uLQRCkaToeT7XWda09z2s220VRTCap7/tLSytJkihlNjfPp2nu+yFjQikz + 69UiMMYQ0FUQRGAMcS6JjNbkeHpa19Za6XHHjN93dIA90shDL65Bk2WZY5IYMMYYEDNLcSklEFiw + 7mha65nDnqkYGcbAGLW6vDga7nzhC1+4sNEfpVBqIIaN7nKn0ygqa4zRpmYgiBEgMoaAjIjIkgUd + SPmhD31oeaF5YG0lCL2yykejYVpAVqgwDIMg8KQolQYNUJPR2hGu3EbGkBkCV4zzR8e+9tj6H3bt + u3i57w+KhZn9YN85Aclwa4g4IRJHQiSG5LTpBpDAoRNiM4YyusYAWAQGduY5NqM9MwtAwAgcEHPH + txaJcDYWZjTDMbMnIAGAdekL7ph7VcPDOxNYdAMimDmgwUNJKXbvu3sbD/qZEwQxmsnm99HXQ57H + tHcsBmjAGK211uhLbzCYHliOjK4bsTed9G688Uar/Y2tLM89FNxj6Hme5QAAxoI2xs1ePIacASeq + TfXpT3+yLibbO5PFRS9pxldceSAMQwbAGEgmNBiBMJmkQGxmNeHON+17NTjjB0bG2TSLKIrCJJZK + VpVCLjljHDmB1Upbaz1Pkn5Edk1dVYHvE9Gg36e9fCQD32Y+kg8AVVV5jIOVS8sLQeRvbe0gZ+25 + ruOmhn4gpbS1QmMFMkGcasNBFGWh8vLtv/l7YXPxk5/68tnzE8QDiwudLMWqYFYxTtLzvHQE56ri + 0AH1X//rfz63efr6X/uFMi96vd1mq10bDYhK20bS2N7e7nY6P/D9z3vrW97yqU996uMf+1iSJKPR + yJB+0Yte9I53vONNb3pTkeWhH4yHoxtuuMEF1h09fORxR46mRf71099w9q3peBx2Fg8sLY9H/Te+ + 4Q2RDJ72tKeh4FVVrZ+/eO78elVVcaNBRBaoESeHjx45dODgZz57GxE9//nPP3r0qC8DrawymhEg + m/UiObJ/NKOSb2eh4AI9KSWRKcvSWss573a7URi8//3vO336jCvfXWihFD5jAq3hgqm8yNPMY5wj + m06nYdt/yjOfycPwk5/6zMbGRqfVOLFy4OmvemWjGdqi9gjRkq40eN55X+o8HQ/6SZp+3wu+/+qn + PPmDN3xgp9frLs135+fqcsY3G41Gf/Inf/Lud7879AN3Bzpm/olTJ3/6X/50WZY7OzuTyWR1dTVJ + kte+9rVZUVxzzTV/87ef7i7MO9kzY6wuSlcYuKCRfr+/dHD1ruP3nFo/fersmc3eVhBHIPDQ5Uca + UWyRxsNh3Gh4Qtx517Ev33mHtnblwCoiHj16dDSZGKIwDKfT6Xg6OX78+Lve9a6yLA8cOPBXN9/c + 7/fDMHzKs57quIhf/+p9AJRWadtvGVAI+LznP+/1r3/9S1720q2d7Vv/+q8vbFw8dOTwcDj0Hjws + Qvw7XHyNMdu7u8Lz/upjHxtNJpubm61W67+85z23336707RP0hQR77nvPmBsmmVxEsGDrS9nRLv/ + gVzsHv5Ae4w43/frrDh27Nj1119/4sQJY9Vll11GRNs7PSKaTCZJ0pikU6ceHg6HYRw7RV2e5wsL + C1++8w6HATjnYRJ7npfneZ7n7bmuE4qkaRp4flVVnbm5uU7n2Ne+5szHkTMuhSbreLTOoNPR6F0/ + VTBuQN/6iY/fcMMNRV45K1Up/DiMq6pyj6s90iPATFNhSSs/kLquJum00+m059rW2k6n44JBCKUB + g4hK67qqjC49JhFmmwu4mZSDRg8uHP/O600P9wUASdJEYtNxWleKAc+m+ag/Prh2SDAZyZBbVqWl + AF7nVRzHTIhGFEfCy8uiqCvQJh9Po6Ww3W1PJhOGqI3pdDrLi0vj8Xg8GGZZVhZF4PsL8/OC8zBJ + 4igaDocOsgKAay07Fw0iYkh+IINAWo+FYVxMU8ZYUZRkxaEDj9/e3o4bjTgKB4Oe1v0sT5HZo1cc + 2d3dtlZ355p5Nk3CgAOZqt7ZHc7NLZRZwTmPoyDNJofXDkop9oVDl54cN0SSUvqe12w2XRtsPB5b + a4PgAZtXusTLwWkw3GOy3W43Go08z6fTaZZlYm85kO+gVKvVEkJsbW31+/0gCKSUe0b2s/BBIqJL + 9APWakfMc4INB2DcTR4EgTu4Qz4z4sfDid1rUTYS3/O86hKbb9J6JogkY8AQCRf4IGOfjI0by1WZ + ZgX/9Ge+Wo0Hu1s69CGvQPrgN1jcnPf9Vlbo8ST3vMDzYzIWyM7ucgIgAtSOxuN7UVmYO758dxy1 + 8kp3Oq2jl68C96tS7W5tG2OEYAosaGCPvgDBx9Y/wUVoLVjDDDFjmAFgBpHQWiQAa9Fa3KeCOy9M + YmCREIHYPkscwaKb7TAEwx1UAiAEA8wdBHFGmrVojZPngEXnEkZAMPPCBrSEYGkGZnAfqsy8Dpxn + hAUECwyYBXIQzf2OdX+RQXc0C+AmZcAJ6AHqHgMgIIbEkNg+8mPEYIbr8BJ2HwBYZ/K2vLyqO6XH + 7ec/d0enyTnPi4KaCWfoxXGDew2DUJYlMxoRgXmCoWEMwBIYaw0w8jxvOByDVUUB93+jBlZf2P5i + nn220eh4sa9RVExreEQ1wv4yxqAFxhgSOBqkIwwD7AlyHvx8fARxFZLzOycqyxLHY7aXj3R2/Rw+ + NB9pb9N2NtD7+UhTbZTy4maj0+mMRpNK1fvcPEd4s9bSrBA0juUthdfrb3bmAu6zq5/0+Krczoe+ + SC4TVceWke9FjGlPKqUHnUbxmtc8o66h0WhYAKVUp9MpyirwI6V1GIZnz5598tVXLy0u/sqv/Eq/ + 33fvuTfot1qtw4cP/7tfepO2xgt8L/Db7XbSbDzxCVe9+GUvLooijuNbb771t37nt935DMPQ50JK + b6e3m+d5o9H4j7/5zul0euHChcddcfmf/8XNWZFnWeYMzbwgsFqTsb/25jcrY06ePHnHV+50uX+M + MYECLqlQH22iUq2N1so90pVSw2Fp6ioIAk/KO+64MwoT3wuJsK6174dcilqpWivph770OCBw78yp + szd+8MZm1ESixeXV8Xj8lJVVLtDk+ZHLL7d5hr6JuBf6vjJUGaW40IwlrZYGvOrqJwyGvaJMVw8s + TYpplhmBggharVYQBM4v1Dl/Vqou66osy/n5+TvvvDNpNqfTaRQELphxMBoaIgDI85z6fQCYTCaN + RsNxYZzR1OL8fFlXz33e84QQnuS1Vulk6ofBdde9cJqlcRgiZ+PhiEmMgjBuJP3dHuPyujD8609+ + Ms1zNydkgseNZFJkZ9fPnV0/BwDtdnv9woWlpSUnq3bC/me98DlkjKpqyYXz05tMJoPxqD8cDMej + 3mBwzVOe3B8Ne73eVVde6XLqnULbSbW/hQaJCY6atTudE6dOfumOL8/NzTkRYBAEoYyiJM7LQkp5 + cXNj/cJ56Xt6n2mFD2zc36Ja/oe+Pb9rAMlNJKqqKssyCoLxeHzbbbetrC4tzs9nRZpl2db2VlmW + ZaWSLEVkjLHBaFQURaVUnufaGsnFcDzqdDqOyJFXpeNbIKK7+RBxfn5+Mpmkabq4uFgUxfnz5+c6 + HV0rxpAJboCMtcQQGNaFG3FId73ddhzH8TdOnGg0GiiEJwMJoq7rmmrwrNY5shnfmiwH4ECCETDO + alP7UeBbLXyx2+8hZ8PJ2LUokDPGGBPMbe2WGUZsP8Zvvy6/FAH/d0LhoihcXMnv/967ut2u5Lyq + qiRJ1tfXO52OGx+5Jplz4CFjOOd+GBqylar/26f/5iMf/eh4OHKRas4Tczwe93o9X8h2u51n2WQy + WVtbu3jxYq/Xm5+fd5+0bqcznkyWFxYvbG74vu+HgVKqrksmeF3XVhtnHDyZjlqt1ktf8vJG3E7i + 5nA8npubQ4QoioI4WF9fb7TaVVUJTs1mtL15QZs6igLJRZI0z59bX5hf6Xa7d975lY9//ONlWSql + OefuKSiEcC52s7wjACllt9ORUjpBpGMMGqPZw+QdkTGmKArGWLfbTZJkPB4Ph0Nn5wUAQRBorfv9 + vnPCSZLEUZkvbQU5+fu+f461lsi6pyljDIC5bcLJ2ZVSvu+1Wq3uXPvSLsu+j+rDTpBrUU2FYw6o + mTXV3hOdHJmezwCYlNIKAIFaka6g1JUEledaCIgi8ENIWvHc0oGt3vTUqXNx0m015xCFUpVkaNnM + /sQR9YEYY4wMxUlUZSNjNDIKgoALMR6PR9Nirrvg+/7IBcUGABE6nsa+lZ8lCy6/4DGK3WPrH3dZ + BMOsQUtoLTh0ZAmtRdhHHfuCQCTgZBEQnbkYggWwHGDPzFg8gEKsdRjJ1esz8RIAWkCwbMajUww4 + AdBeghMxctE4e09uR6izboBCD0TB0p7ehoDtk+sIZwQNN5JiZBEYI4sABqzLR3Kvsq+J2pvVkPt3 + BPZgdATgYuTIAkCz2SzSvrU2L8pGAmtrC6NB5QdSFVAUhYYZI5MxRuAScZwbm3UNlMATa2srUcCD + 8PTmZjpOAcnML3S9oLXZy0pV8sSTkjnDN3jk1AOttUQhpSRNrtgS4AUy5paDdn1JEIIRobaKP0KJ + wh4uHylufnv5SHsdNyJUShGZzc3NpNFYXl4eTcaj6QQtNZtNJNClcq9VWuMaXtpYzvnS8oL0IC2H + R48eYnTI1p8I+GEpVgVLYq+V51tF2WOiXl5afN5zH1eWdbPbdPDD87y8qBljSpUuEj0t8nZVXP+W + N//A9/9AmReNVjMIgnPnzr3iFa/45V/+5V/8xV90Q7Dz58+32+2bb7n5ve9972233fbSl770wzd9 + uN/vr6ysbG5uGi58LtxfGobhwsLCs57xrNs+f5vneS949vf/7advk1y0Gk3OOVgipaUQElkSxL1R + /8L6+vH7v37kyBFGwPbSZokekJg+qpZjiHAuhOBEqFSltU3T1GpK4qYjlSCi1joMQ85FqYpa61Am + nvUYAgCePX36hq1eNp76fjCeThZXV9I0NVY3o3DS63WCEJ2uBpkFMgQkBHChAWttiqosiiKM/Va7 + YarS94IwSKqqLooiTdPhcNhtt50oOssyY0yn05mkadJsBkGwsLAwnU7Hw6Gq6iuuuCLN8zNnziwu + L6HgOzs7QoggCASyuq7TNB2Px2Wev/3tb9fKMg4cWaPVnIzGhJBEcZqnSCB94Xue0tpoffkVV0zG + 416/n1f18tKK06g3Wk3uyXE6DcOQGLogu+PHjx89ejRPs/F4/ORrn3Ly5MlWpz0cj9rNFnJmyM4t + zJ8/t95qtYQnz1+8cOjwYRkGRV05pcbG9pYvpJMPXBoU+0i3CtHMTE9K6QyHJ5PJ3Nyc08r2ej0i + crFjw+HwyJEjOzvb+5KES1UJ3y0X6O90fdcA0r4I3hhjudVaT0bjI0fXLJidnZ1Op7O0snjixIn2 + XJcI0zStK8UED8JA+l6IQAzB2J1+L4rCvaY/CCEIyYK1YKUvoygaTcfIMG7Eu/1dT8rOfFcrbRkY + owEsF4IYkrWc8TAM96FnHEZVVamqbrRbwhdJK8nzKq/KMI4YYmULS0p4BMw6MgYzHhBHYIhcMlYV + qTaG0BZV2R8OOp22kxVx4REYay1pNFbvT42MJgDYDxt2dhEPHYP8/ZbkshE3jDIri8tvetObGGLk + B8vLy1VVnTlzxvXhnGmVAz+NRpJlWdJqjibjdrf7kz/1zz/xiU9sbm05zYwvPUR0/OaXvOQlL3vx + S+IoKtKMc760tPS+973v8ssvv+qqq5zP6Wg6WVpa+vfXXz8YDCqtrDZlrZIgMsYAU0QYhmGWTeNW + +/kvePbXjt193/3HkAVbmzsM/X5vWik7v7BSqhGRYVDX9SQOoNmU03HP9wRjmCTJD133oidd/eQj + a2u33HILEUovIAvGGmLIGLNk3QfS8zzP9+M4dt6vzubVwWBrHzbvaCZJ73Q67Xa7KIrBYODsvKSc + jXSqqnLDetdTcYkZtJc95/ol+0cjsICElwjKGGNaawBrjFWqDoKg2+0GQVBXejAYOH88t327B+3D + bii1LKaiV9e15/mFLlRVxWHAQWqtPV8QEXBAjQ7s1VNtRsBRRB5fWelce/WRdHxhoRseWF1OGi3h + t5E3v/zVk5//wt2TidKZIQBLlgfKkiI0RAjIATkCByLO8YX/03MZTNcvrpOVFy6O7rnnApPNTnsO + AJRSgjMDpDWBon+EPJzH1mPr21kuksMCGAZI+wzwPWgEcKlNHCMQ1hJDBEQAe4lHAhIgWGH3PRtm + 6MjuASQLdu8RbQBm86PZXAoB9uY8AGyPCMj2XRz4pWkyxBwZj8AhN33JrAkImEFmEbgFBJDWIgES + MAb1DIPNIp2Y4xO6fFlyuxHuc9hg34OCSGudxMHZM9/gaFsN9qoffRHSOEu3n/Oc52RTuvOrZ+66 + 56Jk0pNeUeWBLw1ZRVRZbYkzQAFMcB77MbflEy5/3MpK69prDjNG3zjxdS9sMm/hvR/4OAmJXlhW + U8m8oii+eX9A54Q3O7WMAeNA1hCR7/tRFAjFVKEQuWTCGnLmb4wxrR9EsLx07XOnL81HQvHI+UhS + wn4+0l7XknOOQEQ46I/KSh08eLDb7TpuPwCQNoDEGBpHF2BIyAm5tSYM/aoqJAvHw2Gr0TEaUYdl + ytOBMYXWJIQMpYw4k60O5ErEMVtYml85sLqystJsVUmzq42V0q/KHIAWFubvv//+V7zsFVdddfXf + /O2nnfeA0x1FUXTttdceP3788Y9/vOd5V1555UJ3Icuy06dPP/nJT77iiit2Rr1rr70WCbLxpBEk + EpkQ4uDBg9849Y2yLKuiBAC0JBgvy5KMtdoAQLvZ6rTaDLDVaJKxoe+3223XTXamwZxzYN8zXtMj + L6wrjYjWgAbDORciZADGqForzwucx3ccN+pa1/UM3HIpnP2dLetAeBxlmRdhGBGwQmkQMlMKwMaM + p2CjZkgMjVHKuPBZJK1Ja8YE4zxpt+J2IwmDyXTkMRYH/nA68sNYMOFzHzkuLiz0+/3aqP5okCSJ + 8GU26MVxvH5hvdvtGmPiOIIw3N3dsQjaqN3dHeDM+d9orZSqjdGCs+WVpdDzB4NBFEXTLLNA0zz1 + Aq+oq6zIWt3OdDSutAqCwKLNijQt0lpX7l9OnDrp+36z0yaiNMvcRdTGKK2l580vLW7t7jCC7vzc + 8ePHvTBAwefm5s6fWz9y5AhYe/78+aWVZcfbmpub45z3+/1S1Y4LY40GgNrNmQGAM4JL2MUPvWCI + jPMsz9V0cujI4cFgcGDt0Hg8nuZZHMeTLG2322mRR1EUNZKirlzX9ZtK5e+hHum7Vug4/pJDBUTU + brebSYNLcf78+Xvvv/fgwYMrK6tcSmv1cDj1PG9hadFFHfd6vVLVjUYjDMMkSXzf21ePED4oo7eu + 6+l0GkVR6Plum3PdeuTMWkPWIoIlUkYbskEYElFZFG6G4HZMXdWtVqs36HteECXRZDI0ZJJGpMpS + WwXuAwESqQaSCD4j0ByJLHBKmg0AiBsNLwzquhZSCiGMJa21SxZmnMiikB5ZBQ/4nD6wlX+byrZv + vWbGFWW1vLS0vbX18//Lzz3vOc8Ng+Dd7363ruo0yxFRhUWWZbXRr3vd6570pKtvuummH3vNPxuO + Rv/5T/64Ksp8mraaTc/3C141Gg1jjKvgz5w588UvfhGI6qIsy/JXf/VXjx07dvfdd588eVIIUVXV + ddddl02mmxsbvV4vCEPGWBSEYIFzgYQAzkQ4T9NJrfI//4uPrB287Pd+77/8x//rj0cD+8qX/Px7 + 3vPRD3/83suuvLI/2NF6Evql4NPf+e233PwX7/u3r//58Wj3Z372J5/19Gc+56nPOrCyCgC1Uu6x + R0jIuLVWaeUyMZrNZugSMIbD4XDo8mHLstRae94D2IMeyDsCKWWr1XIpmcPh0CVduOM7Gqe1dnV1 + 1cGnNE2dl5cbizlJaxRFRVE4Cx1kD1Bj9zoczhncuGjaubm5OI7Lstzc3HSPcKWU4++5/tbDUuwU + KBlKIrLGAAe3WcCDX8jNlGb3szJZnSkP04wFoVflcjSanDx5cnt3sLkDlQJCFreXZdhUmjjj1pJS + OTGLHJFxhowsc4eUnn/DDTcszsWrh5aTOGw221V1Oh31qDdaW1uLomg8JGMJPACEKise0yA9th4l + yz6YhYWuPXLJvziPbyRABE4WDSfmhjvM7pGIHLNO7rm4GQYKGTm3a2J7UijLyHICN+0BhBnYImDE + Zshnb5TkEh0Y7UG12Vtk7ptF6yZIM73SpT/d0yYhAbN7giUCTszOREcPrUacHokY4N4Z2D87bgBP + xthGM1Z1VpVKVQUifuhDH1Gl3NjOiyoRvrP9RzsLzXH/1xnNGTe3H/R3v3rXsU9/equ3ox53WcCk + uOLK+c7cfLsdDKa2Kise+1L633qChIhcCGZZXdYhUbvdXlpashPoTcaMMU9KxkEpV6MjAMwSTh+y + HikfaXt3B/m3mY+EAMCEYABSyrqs+v1+d35ueXl5Op4MekOBzJMS0FprAZFJoa1ijNeqHo4nVaVW + Dx750pe/zPHyKCTkoyAMqWWkgFDUScsHFEU1+tTfrF/z9PZgfeiYRRubW8ZQWduiVEKIfm9nfn4u + nYyEEKdOnYqi+I477lhbW2s0GpPJxJEAjx8/7h76AHD06NHHn5BWAAAgAElEQVRXvvqVVVV99KMf + /csb/9LzvMOHD587dy6dTBe7c+W0SEfjXq/XarXCJG63272d3VpV1lpGsz88kF6WZaqsBLK6Kg1Z + d/aMUo6qwGGf4+A6jI82jAScS2upLEsCIwT3uEDGXAHgmqfue1EUeZ4LzyOwVVWBsRyZxz3JEJTl + wrPIuotLu4OhF8VS8sFkHLfaW6OJCH2XouZ5ns8FU8CMBWWBYWW1MZpLNh6P241YcuGexcbMnshp + limtG43GZZddNhyNdnu9ubk5J7YhhmhnBWFRFkEcra6uGmtLVUspnUFOI4qDIEinU6WU8oMsy5Sx + QogoDkejkd9oBAzH4zHPMmIkmBinY6VUlCStVksp5QU+QzG/tAgARVFoY4jIafBc8by8vOy8uBwv + ZjSdJI3G9vb2XLd7+OiRXr/PkTmjYyLyAt8CXNzcaLRbURRpY0ajUbMxi5116q99RswjXSxEdJ/B + oii2t7fdp7IoiiRJnACh1Wr1ej3nXzCZTCLfp70ol/3KGf9/oEFyI+8oigDABdG0Gs00TRnHp1x7 + zeOf8IThcDyaTrTSDkplWZamqR+FzU67zZgQYjKZVFXlrPedobM7KW4qJYRwhE7GmK5Vu93WWu/2 + ei7vCBkDhns2NVLtiUmstY74xwG9KCqKIkzCKAgRsVZFnHjS42VdApowjogMWAJgDDgCY6SJOFhQ + BNMsc75wbhqojZlMJlmWMQ4AIIRgnM/AIXLB0BijyZIlAnJRNpde3m/nUjubJrv3hXvmqJ7nMWJk + QNUGLT7t2qc/9ZqnnT518s4v3NFsNlc6ixsbG7pQsRf5Wv+LH//n3BMffO/7r3zc5VldNuNEMNaI + k/F0cmF3132kOedJs6GUuvf++06ePIm1RoKXv/zlt3/u86dPnirL8r577o2iyPO8V73qVTfddJNj + PMZxbIwJ4qjXHzDB61KJSmjBk6RJquScN5vNP/j9P1JVInHNM50/+K07dP2MZ1/1mmN3H19cbTe6 + Ssrel7/0UZo+buMUtPwjR574uGuveroEYcEkSaS1coRpIQRytAiOwOYyW33fd7fZdDrdH78+QFp9 + mLwjP47jJElcGqxzmNhnpTsLjVar5ah0w+HQmT24wfE+HW4PCD20t2EdCpZSlqXinM/Pz0dRlOXT + siyjKJqbmwvD0KXZujHXIwUH17JIZV9KmSrFAsZdXLLWs8Es2P32p+d5PJJW27ARKFWkSv3tF752 + 4fSZ6Rg4ABcAAvwGi5ptL/ayfDzN8zhuxHGzmjAkCVYgMgJAtEAKgKpKSd4sM3nHF09HYSNqNDud + 9tHLVwwIo2lnc8ta4hw1EBiAR1E2xmPrn/hijAiBoXWuI4wRQ2JAjO19kYtGBsbIEDkXbbDEEPa0 + RGC5Mz0gyy0jBHIHQWTWQwJGwAnYvqmDBYtggbkxDtuzpEME49J1CbkFBEICYjOMhHQJ/43NhE8c + LLPORpzZGc5xiM4yAgaW0wxuWQRAxh4cnHTpeXDapId6PwjB6lovLR5YWVra3Tz/+dvuITNut1ma + 1lHgMYijsO3HLUW2nOZcCUYgBRJ33uoWSJNVlgPzvME418bPtTp2b5kVcG7zrkrd2Wi0eeBVFsjn + BZR/Jxlmf392IIdzHkR+u011pt12zRgjMk7PuU9f/KZlEYAzA2SNNkBMCib/znwkcnRovCQfCRHs + N+cjteO4oWtTpJm1VjBGZN0oyQJp0MZaxsM01eNhuXFxa3PjYqObt+d3raqsjurK6jonQdpk/VH/ + ho9+8TkvfFMx3PUDiYhbW1vt9vzm5qbgfl3XK6tLFy6cn+u0dnd3Dx05LDxvfmlxu7frTk5RFKWq + gbPT5846hbZkvrJmMB71hoMU8s2trftPfv3AgQPGmIubGx7KbrN9YO3QYDhExEmWSt8TUhKRc5Qm + AMPAk5ysLspMelyi7HRaSRLleap1zTkyREBrjXFWhg978r+HK4oSx84CsJa0exwjcCLNGGs0WgCg + ag2EUvgWmCHLpajqypNScoHKmNoaZU2heBh6cTiuSkAydaWtafpNAg6CF7YyZAG5sWC1keAE9kiA + kyyLkrizsCjRDscjNyxlUkgpDVBWFrXReVWmadpot8rhcJxOGWN+GBhjPD9AY+taLSwsjNNpv9/3 + fN+PwrIsXUiJ8+VzJUdd13NzcwZomqbFoCAibQwiJs1YSF7nVRCEdVohZ0mzIX2vrIvxeIggo0YD + AGqlXMHDBDfKCk/GjQQRe73e4uLiaDQ6d+H83Nzczs5Ou9021m7t7rQbTVXVZV0bojDwDVFdlu12 + u9JqOBz6QdBut8+dPdNoNJrNpivjq6py1ci+S/M3LSc3cOLAjY2Nbre7tbXl+74Qwum1yrJ0hdaM + a+em6wyt3R8nwCO53z9s6+S7u75rAMn9ha417vofiBj6wROvuvz4ifuMUsPhMB2nlTJLSyv9fp8B + BkHgSy/L8yovwiQmbTqdtvsPAWft/30jOGNMXdeC8TiOs3KaZVm73XZsqNmNhVBVlRf4QRBQWe4L + Qnzp5dPU9/0oDNOtLV5wFzSGVluA6TSrqqLdbqu6staCJUTkoBHREFnDGPqMCc55HMd5niOisdbz + PDdSYHyGnvcb6srULkXEnYpZaM+DNSd/bzRMALo2Wms3+QFLSqm6KsfjcRJGg90eaptEsZut7e7u + tppNAMzzfDIaj7Lp4sKCrpWqainlE5/wBKU1Mdzd3fUCP0mSLMs459LnDPB1r3vdO9/5TmvtVVdd + 5WKFoii65olPesMb3uALqcMw8P3haCQDv9VqeYFvlBVCZONRFEXFtFKqvvbaJ997730L3Wt+9qde + fctHJ3d/8V5dH9g53zq8+oNpvmt4VU1NO7giCbp/+Lv/qRHCuL/9ghf8wPLiSlWVDtwyxtpz3SiK + gEFtNBFJ6SVJ4j6NZVX1ej0pRJIkDibFccwYK8ucHibvqOHOWK/Xc3xCa62Du87Kr9PpzM/PO/hU + VZWbL7noGGeH6uz+3EzpgQknkQtPd2DMtTwdIsqyrNfreZ63unLQyZk8z+OcZ1nmHNUfdkOpZVF4 + BSJKKQ0aa6zj4lprhRR2L+4WADjn3GMQOHMUTyKk6SSOw2JahAFwAQePriysHuqNJuc3d5iUrW7H + KjuZjCIvAWCWcI9gvjfetNRqtT1mSxVJKabTqWs1TfNp4EdSSs6ZshYQgIMfBI9pkB5b3/s1o7Eh + I85IM9izLiBEYgAMCR00cr9uXaQqWCTOiDmxkLtfLVhnScfAWoeOAJEEs4gAjBijWUgStzMuG+5h + Hmed56hvRGiBoZ1x9vbfqOtvcWIESNY1vywhEViLDNHNoLg7Js3kRvYSmweGxBxtjpAskkUitA+G + S27gs9dLc2svjSCKovF4HMcJmWmSJFk6PHRoucisDMJJJvI8r61BRN/3wdjazd4BgIMzqTNWdbvd + Tis+eGBla2vrK185wRkkScLKyokYJecVGcbYZDJ55AtmAcDhFt/3dar7/f50Ol0Io5WVla3zO+W0 + YIxxjtayB67Mw9j9zRxiHWvg0nykMAzTNHWio263K+UsH8nNkdyDGC+xySG6JB+J7H4+0qFDh06d + OlXnhQxC5MzUZIGIgQHt+2F/MPn31791MlXzCwfTFHf6G5oGWW4RAqMRLfheGIah4NQfbrz0R168 + uNJa3zhhAbrdrpPQCl+40Pk4Dh//+Muvv/76bnvu0MG117zmNYPB4MYbb/zpn/7p1dXVpaWln/mZ + n+l0Op/97GfPnj1788dvfs973nPTTTc94xnP+NznPpem6draWllXZO38/PykP76wcXGSTu++714u + hNJaWVMZFTcbQRyBsVVVJY2Gox1aawWKrcGOC+0Jk9g5CTkjkUuE048uhJTnuXOLJbJCeIBW1zUA + SME8zyuKSmstuKyqKoqiVqtVqroRBpWqGGNobJ7mEQ+SMEHpparsDYaNTkPruiqrhe7cdDQOg4a2 + hAYFcgHMVApLIwWGQTxN087SwrTI++NxM4ok84pi0Flo5WVt9gJ2GWOtVgsA0roeDAae5xFRs9nc + 3d1NkqSqKk7g7lUAWFhY2NndRTFzqdVaOyZLFEVhGP5/7L15mKZnWSd6P9u7ft/7bfV9VdVV1Xun + u5NuliQQICZoBMG4OyPjMJ5z4BwU5wy4oJ4ZEHX0HGfEkVEGlZkDzKCCgDqAgiOTADGQANlJQifp + pLfqWr99efdnPX88VZWgCWdwMsp45b7qj+7rquX93u157t/9W0b9AaU0LXI/CBzGEMbj0cgA1GvV + WRx7jmt3gJTSLEmHwyFGqNlsgqHTJGGMOY5DKc3z3CIFRVHUajW7D7HagXq9PpvN2u12kqVFlnc6 + ndl0igFqtZodvfq+L5R0tC6zfHFxcWNjg1J64MABIcSeoMZ1XQsxPxMsYreONmqyWq2ura0tLy8X + RZEkiZSy1WoNBgNKqaXbUEqLIkdPqT3WzNOyrjSyA/b/gfVsTpBsg2TfPlEUjUYjQtHDjzzw0pde + t7a6fv7cufn2opB6a31jbq6dxJnje6KUBEhnrp1kKUJAEXZd1xqCWU2I1kopsJl41WqIAWVZUvK8 + Xq87Lt3eHniO7/n+6urqsRPHKaXj6aTT6WilCMa8LGvVKMuyvUCxxcXFQbffqNWllna35yBarc0N + x6OVlZVer1cNQ6N0nqSVqq+lyjnXRgJGnHPLyOz1ek2vQTB2GJNCGKn30mB2DT2k53l2BLk3prD9 + 0t5Y/6nUu2+0bENopxCWYwC7ISetVms0GEZR9FdULjYgbJandvJz6NChjBdu4BuAJM9qtZrre2VZ + NptN3/WI1C958XWEkG63e/z4ca314uKi67pveMMbbv3srUePHl1fXz956qput1ur16v1Wprl1GFl + zhljRw6szOKRqrBK1eMix8S4HnUAHIdJVXgeRW64PRxF9SDPJ5SaLMuOHoPVS7OTpyLXdZeXl6WU + oRtO0uzo0aPz8/Na67m5OeYyg5FSylpipGmapuksju2dZge49rQghCilNiKTMWbZ8I1Gw8oER6OR + /WYhhE1SL8syyzJrFO44ztbWluVw2u+3DYD1owMA+7KzbA1CkI0/g528I2MjqMMwPHL0UFmWG5tr + YRh2Op0iLyeTmfXKs0Q7+yNPGynDWZ65Q845ANtZxQExSu0NbMDYbYHl3Gezgg8UxpQYPndo/rqX + nNbFoOLjo0cPu0FYCIxJ7QtffigeF0kODFe0NA41SmYaKcAGsHUiwQAUQDNGbrjxWofm3eGW0aw/ + yO+553zSy8KohjwEAMpG4WIAANvBqp0Qd4MwQhgrrvA3W7Dgc/X3vbChFd+bxmkURaqQoHSRlR1/ + TmujpXZdV8xEGFbTLGYhVVpKkIqrShAFQaiyQmmtlFRGYoqElkgZCsj3qlJqZHA1rBZZkcaxRxF1 + iMOcsuCOyxCBvOAKdKVaTZKMUFZkaVSpTmbToN5Q0jjEJWDKMpdGEEdrpHjO242OzCDPS8QoEAwA + CpQyMnBcmQnJZVipSaEc4kgjMVJGC0ORUtpIAwg51Imz1AlC7QDXJfFwVsTz/lzpOMNsGHih1hoh + AgB6N2PRGAMEWxxnu7tpFK9V2LffdG2r4cSzzZe//IbtzfgvP//gYDLyvAZDOM2TzBSAtUaORggM + BbAOFZoaAMlvvP7bfBc9/+TS9776Jd3e1uPn1xaWT/znP71lnCriBYohYHtoEcEYf613qwYApZTr + MpmKvEzno8VWq9HtbpW0vOrICw4cOHDh7PmyLCnFUsooqiCE4mlCyJNYzN7Y376Z99xH7ZoIAFLK + KIryPL98+fLi4mK9XkcIjcfj0WjUbrfTNBVCWLDMku4C78l8pFJwzjlCmQ2BWlhYSKeTjctrfuAW + eaqRLkVhwMQ8/r0/+GC3N8HEQ8RVRjsOVYYbY9SOW6ELxsHaRdggFCuTUkcwzzTb9bIUvlcVCux2 + EMAopeI4fvjhh5eX9p87fwFhfGl1tdlqGYCS87vuvrvf7wdhWKvX86L44Ic+dOHixUajcdtf/uWX + v/xlgxEvS0JJkZdpmrqBzzkXSpWCv/LmV4PSi/MLH/2jP9rY2JpOp5UgdBynNxwYoxkm+fkn/sE/ + /oePnn2s2Wy+8Oqrl/Yvl7xwHKaMNkYDAoyRMRrBN5dh6e4m00RRNU3TSjXoTiatVms6GVlges/J + 3XKUgjA0yGilCMJlljWrtWQSS+LkeeHXaqTMJRcuw4BROYtbYXU4mob1OhWaOgwLo6QBaRhGsuSc + y1Iq4gbaSOoGyXRKncCiglprzguzI0W2NkuyKIp2uzWbzcbDPiOoEnhbG5v75he2Njfn2m2Xsm53 + q95sCKWkVHZngQmRSvqew3lBCLJOWrzgmks/DD3mFIIjjXw3kGWBEJKldDDN07RZb8TjCS+EVHxu + bu78+fP79u3DCDmOM51OoygCbZSQnut2J5MwCMCYKKx0u91Gs2mMcV13MpnUoiiZzmxUpiW8hH5g + KYt2uDqbTpvNBgDYoYXZDYr9OpoRS5xDCDWbzXPnzh08eNDixdVqVQhht1sYY9/3Oeej0ajTae8N + G/Zao78PFDsAsIiO53nEoM3NzXZrDgCWFpY217fAoMP7D8VxboRp1BqyVARhJHUtqm5tbTEEqiyr + 1Wq/13N9J81zPwysIbJFO+w7l3OOAVWrVevcUhQFxaTZbOZ5/rzTpy9eulSr1RihZx986MqrX5im + qd3DUUqx7dqzbNjrN6qNfJbWW81L28PFpX0KzGQ8cUi0tTYOvMgIlsZTl1V0Qfr9ydLSEiKEOgxj + fOHCBUJIVK+LohRCWKNDhEwpS3s5fd+3HDDbW9sWpSxLOxB4Vs4wAvAC195b9Xrdip8wowihnJeU + UkwJpmSWxNN4lhe5kFJo2el0uBRpnsVJosEMRsNZEhPXQQjZxkMZbbs7LZUp+C/8/Nvf8573XLp0 + qVKpDAaDlZWVc+fO3fCyG978lp94+OGHicPOnz8/HI0opUmezZIpdRhoxDlHSgLowKG81Btrg8Cv + VUJP5jCZ9oScjWePN+q6sxApkxMmtC4QE9MZnLt47tQLrpaKDgfTuc5cUqYY48FggAgRZZ6kcTEq + uZIYY+owALBinh2yxC4ZfY+uijE8Q97R1Lp4O45jV1D7DdazTgixtbWVJMkeBW7vgcRfm/FqOSHG + KOszjnbzjgCg2Wweu+LIYDB44okn9u3b1263J5PJeDTl/EmXDjtD/xsEbhJCDBiDd45hb1E3BiFN + kiQZjUZITC9d2rrv/rtHE+gNwfNBgOOELQAmhCCEIoKRIAhp2OHXIbPD+cFlKT7xiU8cPtjyq26z + sSClVNJwrkjBPU9gjF3XKaWQxgDAbkz7c/Vc/V0WMkhJjYwkQAiQUpYBYUHgGm2sbqTgpShNpVoj + 4BQyQVTTCg2dECs2mo0Lrh3fc10XKMMgiEFEKiNNiXkmhMEuytI0SebaTQMyz2MAk4lUGoYxFlxJ + rUvHk0aGrq8MQ77BJWKeM+2PQ6IRgAYJLkimSlFU56JJMsXC9Xw/F7yUnIQUtDagE5US6vhuyJWa + zKaYkDAKNVKUgGFIFqrkpUtDAK3AcMMFSOrRbBB7VW+aTPKgZIxoUMaAQsYxBGDXAwoBCI0QkrIE + gEqlQqmazWagkRTZ+9//n3jBZglynHZRlrmQhGGMkT10gB03czCAEMIIz2aTT3/600qkve0yDKHV + chaWD1jxj45jhnFWFjWvPjc3Z5sWRRQghawV3q6WgBCkjQa9g6MTQg4fPsxK9+GHHz564OjBgwe7 + 3e50OnUcKhWfTuJmvWVFvvCUELndhNCnr7Is7So8HA71bj6SMc+Qj1T8lXwkY38DxcSlrNVqlWU5 + i2Op1TSevf8D/+lDf/BB3w/zTCYpJzTElEhVGCwoUxpzY4eDxgHtgnGJoYCM77MkG2LHuO5O/APB + DFEwRtmV5fLly1tbXQREa5PnWRAE6+vrH/nIR4IgQAiNRqNOpzObzYwxq6urFy9etByKXq8XVCuu + 7xBMGGNZUaSz4dK+fYTRd7373cuLS5zzwPcppck0sexuY5QoOaHYwoid+Xl7CYaT8RfuvKMSRUJJ + oaTQilBq0Ub1TaZBcl2XEMI5L4oizWLmYEvnIbg5GAyq1Zp15rA+VcaoLE+RpfBo4zIHAJr11gtf + +EJNaHcyPBoexxSBLKk2rtIOJkEl4kqOZ1NKKcbgMQ8bAIQTLjkArviDeHrmzJntXr/meq7nF1xa + X0TrH6iUmk6ndnoppYzjWJRlp9OZTaa8KJvN5ng8vvrqq7965ozQqt1ub25v1RoNO4TRWgfVqud5 + ZV6MRqNGVPuBH/iBg/sPOo5Tq9WGw2G1WvU8d2try/JoCEVKqbIsW61WmsYIock0Zp7PHPeXfumX + hFbxZFqJqvV6fTyZGK3DIMiybHl5eX6ufeedd9YqVaRNnudCiHZrziYvua5bqNzybmzcomtcLdVc + o9nv94MgsKCwraeOer4OxY4QEnp+t9udn2sTQhQXnPPZeIIoOXLw0OPnzzmEDoqB77j1et3mQNrz + uUeFfaYJ0v9MFDvb9tkLlhX8mmuu+d3f/h0uCmJ0s1nnXILB/eEo8EPPCwCw6/vD4VAqXqvVvMAd + DgeVSiWqVH/6bT97/1e+Mp1OtVSYPhm5I6VsNBoE4eFwSDGem5tzmaO1HnR7nuetr152HcdoPddo + LiwsbG9vWyqzHSagXWdkUZQve9F117zgmsFoVo0axA03NrertdaJEyfuvvvuej1ihMTTyXxnjhHc + 3dpeWlkYx4PRpB+EnvVhHIyGH/3wh+vViAByXddxdsJzhBBaSyEMo26ep3b7Til1KVNKYQME0G4i + IVga0tfH2s0OE/1rvjQA51xosb65NhoPNNLKSIRBGIFdIrUChpzQbQcdjHFa5IksQy848/hjAvSJ + kyfHs2nB+fmLF6r1mixyzHYO3mpvptPpYmf+xld8+4ljJ//Lp/9iOp0KJSezafp49vrXv/5jn/z4 + HXfcgRBSYIbDYcm51lpOx+NZH1NUDSOllC5lpRIZzQiqXb44W9l3lVHwvvfedut/fdCvzhMXE7o6 + SQQXeb2BGZlwM7jtzke+8sDnX/GdVxNqzpw9t3xkP6Ge76O8iLM8mM3Gs9mMC2FdUzAlT53kYozh + Kc/PLtKgn6W8oyejM56KXjxT3lFY8Tvzc1mWbW9v12q1Vqs1m023t7fBYELYTv+ptaUOPpPN99cp + pZQCpfHOh2WM0cABDYhiqYqZ4OfWevl43N9OeAHKAGLg1NxKVPerUZqVBU+FMtRQgj0Mzg67ztit + kATQgR9OJumgK9PVaRAUhHnNVn1uISgFopQWaWaXAbAS7mfenTxXz9XfZjHMkMEUUwDEOXcxNgiS + LKYOpRGrNGszlQ7zCaZGuQpRJZgaxJOAhMz1EEIaScLIOBkB4oSCgxFjNKAUpGYECZFRX42yrgZJ + KFLY4JBWKpU0zqKwKjUw35uV8YRP0zIpiFti7qLIr3qhG8RxzA0Pai4KSZmJ7Uk3ZBUiEWjiVjxl + 9LScZip2fFyJQqII55oneXuxgzBoUMLI4XTsAI5qVey7yoDkZVgPU5ErLASUmplGuzaRk1Jyx3eN + VLAL9iNkNa8aEAACQhFGblRttlutZDJcuzQT5VCKmBBo1FsYtFKEUc8QISV3NTPGSEAKkAKFkMFI + YFAaADFnEhdzrTmDN9Z7sN7ls3Jy7vJ9juPU63U/qlOeAUKWJmSdaQARAwq0BsBPpcpIKR3PgUKn + aUIprXm1NbXZ7/evOHR8cXHRznkIdfzAVUoZqZH1ncPY0uDB6Kcl9Gj0ZHKlMaYoiul0SnbzkVZX + V9HT5CMBABgDWhuEwMruRckneaZE2W7NLS0teWGAMa7V6/3hIE8T1/W1Aq2R4/oAwDkHohwXaaSN + de+wrE5DMdAi147jCBkrzUejweLSvqIoESIasNYKE+Q4TIOaxrFShhDqeS5xWLM9hzEGgpM0BYKz + shBaVaNqlmVpmrZaLcqoFwae5xVlbozBgObm5hziFEVx8/d9T5nlnuNJKUEbyyV0XZdhIkSJEXJd + x378xcXFx889URSF43lSSmU0Y4xL4bquvY6YUfJNRrFTSiBkzdnxnkh4PBnyojx27NhgMJhMJoy5 + XJTVKEQIBUEACGGERF7qUjCDXnTti375l3+53mg/9MQj5y5f0EpEnltORvvCCKRc21hnrhtVKlEl + zKaplFIZTHy/wDjDkGI4cPyKP/vUpz7yhx/UgDB1sjxWSjSbzdlsZu0xrPmTUerUlVeeOnXqjz7y + 0UGvjw24lN14ww3fdfPNt9xyi+O6933lAaXU/Pw8l9Lmt2KMOed5nvOitEYI+5dXulvbf/LRP2m3 + 25a5Wq9HSZJ4npfnea0e2dxV3/eVEq1WKy3y9a3tD3/0j0I/2OpuE4S1kKP+gHnu86+59t3vfreL + XQPmifOP/9RP/VRZlkuL+wbTcb1en0wmWZY1Gw1KyM50SKlf+Pmff833vCYx2UMPPfT2t7898Pzh + eORXgqdejr+yO/rrZaTyPY9icvzosU/98ScfWz0bVaq3f+Hzv/5r70AEzybT2z93WzKLG60mQfiH + /tFrxuPRDv1VaUAIjCF/PyZI1r3A8zzHcShzq9XqmTNnfu1f/atRr/sdr3hlt9ufTqebWz2McZYW + ruvnvATQjUaj2az3hz2pRJYl7/2P719bvby9ve04jo2msbwp+/vf9KY33XD9t/R6vaXFRaXUYn3h + jf/sRx8TZ3/w+3/gx378jb7r/6c/+MC/+bfvnGu39+wZLKPJ7qHDMDTM/f4f+N6H7v9qlsm1tYv1 + +kol2n/+bHfj0lmC55KxDH2WJmw2nCqRNxvRPV8+w/wyrNBhnPzQD//Q0aNHz5079ycf+WiZF1qq + MstliexLmTHi+p7WWkpt5Rm2pbb/sP5+z8p5tm1AZyTQBMoAACAASURBVHHB9bzO/PwHP/yHX/zi + Fz3Pa8y1JpNJbzjoTUaO4wRB0O/3f/s9vzsaDb/zu7/rt//9e1bXLo8mk7Baacy1ev2+tTrZa9Bt + 5+B53qFDh279y1utSwFCqN1ue5732te+9ld/9VeBYECIUEopLZUEgq1AUOmSUmyMMhg450mapUkZ + RbXXvOaHP/HxjwBJbrzpxKEDp3793/xOvb4QNCJH8iQfuh4/+bz6wn73efToMN7e2Dp/6+23veC6 + 5znEG85Gw+Fw//791ShM09j1At/xhRBKa4srqK8Ngd3DGwBAKf0/IO9o5299nbyj1lxDCHH27Nko + iq644oper7e2toYxwTs/BXtjrr+Zo7/W2iCzNyhDCAFCBoxf8XihhCrTNB0Op3EG1QBqdbKwvDK3 + uHhpY/Py+kVE3LAaIaQAKGgNZmfVM2avZYei4Fe/8FpZJpc31mfTmHm65IYhh1J/lz2rFAAweK5B + eq6+iYoA7MSjCYyRRIobgRHaf8WBOx64y0f3H9p3VHCeZjGHjARACMIVVCQTnZp2fT7PCxlPFRI4 + RBxkorgqJS2mOtUHOocoM8N4jFxMA5LwnBHKi7y30UUS+yxAmBLOerN+vdMwNVM4RQ5FNlqTOaKG + VKOwgHx9e024pR+5yDGO55dFkfIyoEFu8hQlyhVew788WDM53dfYn6hcFyZPEz9whkmfhoTWqz01 + GQ76Ia34yut05qfJRGOzNlz1511DJKKKOAiwMhgjreGvEKJ2JUzWNbsshOCKsdAhVa3gyNFDw34y + nibT2RQziV2yp5Y2AAgZDMagPSGQjqJoZbHTqNcFN3x1cxbDaDKN6hHGjBJifc+UVhZgQmjHBWan + X9vpQ4zWmhJi36Wu68ZxvLa25i74p0+fXr+wef78E/PziwcP7t/cWk/TtNFoZNMcAdmLRoHdoIVn + 1DwgTNhfzUeqVv8b85GeZL8brYUQ3W632WxijK3y3khViaqccwGCuYw4inOpgCNEskLs+ssDwK4Z + oiGUVYUom3MNzjM/9Pb091G9qbVUmivNMcZhGCJEjAEpRb/ft9Mb68tnYXsrkUUIWdJgnudWyGpN + qtI4SdN0libtZqvX73c6nTwrMMYIgzIGU6oRcK00hkLwQnK7IM4v7SOMlWmieRlF0SyJC176lVBa + 8wyH/V3tSr9OKaUQEkoJx3Vd18EYwoovJa9UKmfPnqWUNptNjKnV8+xJwQPPN1r7rqekkpK3G+0S + 1Ad///c+/smPLy/O/+B3vHKyvnHbxcvnHnrw6PKSyvPZYJRN43oUnTj1fL9RhyiaEnzP+XN3nPnK + T7ztX0SBX61Wizjt9nth4Fqw1aa71ut1a4GbJMm7fvO3brvtNgJIcUEd56Uvvu7f/D/v+N33veeV + r3zl8RMn/tfXv+7MmTNRvXboyJHBYBDHMeza1jebTdd1yyx3XfeBu+9/04//0xe/6CV/8ek/X1xc + vHTp0oc//GHXZXmez0bjKIqwMt/5iu8Iw/C6666788tfesc734mMGQ+HURBqrfOyVEopIY8dOfro + mUfe+MY3TsfjU6dOba6vZ0l68PAhmwnred7K8nIynWmK7APyute97vTp09e/4vr5+fn3ve991157 + 7WduuWWu2Sp4sefbsYdEwDPsarABPwgppYPB4FWvetXtX779d3/3d33ff+9/eO8Xbv/8nXfe+drX + vpYR+pM/+ZOc89lsFsdxVI+euu/6W7yznr6etQZpzy4sy7K5WsNK5yuVyvt++98jbX7t139jphOP + BrVaLaFZHMft+tyrX/0d1730xbfc+unTp//hjTfe8KY3/5/97a2jhw9ubK0XRSFkiTGuhD4A5Hlu + CPqd3/53H//jP/I8L5nFBw4c+MVf/MXPfe5zP/Ka1/5fP/kzb37LT5w5c+Zfv+Mdb//nb/2Vf/2r + QRgCgEOoQBgb0Mbw3bH7/Pzcl758J0L1X/3Xv/3x//z5Mw9uXn31K//du/6j51bH47HnYV6OHZY7 + jL/lLT92+fKlH/knP3zp8pl//ta3vuiaa08dPVmrVLVQyIDneZRiYwwoLWHHlBwAjEGUMoufWTKV + euaY4b9BccmTLInq0SSe/sRP/yTFmHPebDaXDq6AXYEA7AJw+fLl06dPXzx/PoqioNt9yY3fMr+4 + QBwmlbJ+81mRh2EolJxMJu12u9OaGw6HH/rQhzqdDmY0DMM0TcfjcRRFr/6um4uicD2vUqkIrXrD + geO51GHT6bgShQiwEEpKjQCUEq7LqpH7bTe99NLFrde94X+/4thVm93BmSf+4jt/8Mh0mqTp1Ary + FhZbScxvveMDs3h498OfVFq87Fuvu+oFp2KVZwXvtPcVuXSoixCxy5V9WuwCo5RCuyaHO93C7uqr + nzHvaEfV843lHe3+CXvyzTPnHVm0MgzDhYWF8Xi0sbGOMY6iaDpJtZaEEELY7kHa/cPT3RLmGe8T + xhiYnXBJ+ynKWPCR7PV6rouO7O/c8NIX1AJwqWk16oRQhV0gwRfveVBKwwViLIiLVAiBGQGkDIAB + DMi6ZlFAmjHSaldqldrh420wzub29K67Ht3a7BtMms0mIYQQrJS2HPtn8X5+rp6rv3EZZLgsGXO5 + 4qCRW3GIQiC0z9ybvusmyOmJxVPXPu8lcRw/+sQjX3n0vq1kOyniLMtarLXS2H/V1SeWF5a4Fnfc + +4V7zt6rHFUiCQoiHM1VW1dde7LZqNMaeue//7fplIdRhafcBbLYXjhx4IqXveh6xtzBbPTx//qn + 5werw6QXVJ3ACUMV/YPv+6GlzrLG5v5H7rn//Gw421SZ9rF3aW1jn7v8LS9++YljJ2Z8ev/5u+86 + 86XB2W6j1dSGbV8cejL4uTf9zGJzrj/q/fntf3524/FHL5+nnqtBLXg08qvX3niNE/jKUZ+595b9 + L1xyQup4TNEiLVKfVmC3hdiZ1WhjG6SyLDGgyXQ4Gmz7Djpw6Njy4jEE2YkTV2xtTr7y0KUnLgwV + YhJQXmZANSBQCBvAGiFskAaNDZKcG6SPHlpZXGhfffpYURSz2WwSZ06lfutn7xiPJ+VIF6BalZbt + KHbBTQXoybcoxlhobTByXSYEV8qNokgbORj2jq3MtdvtS09cVMqcPHkcYXPx4sU4jhl2CKawCzDt + LazPeEsYA7vj/b18JEKeOR/JdcC+zbU2BjBGBBDBRCODMZ7FsY2OrzUiP/QmozHiyIACAkCMQtwQ + ZVOuGKtaZqNGGpAEJMCSHkthkBmNx1IVzWZzPJ5WwoaSmShKTEAbKaQAAEKk0VQIAWCq1SpjbDgc + WnTYyvdtyKYdzeV5bp2apZSUOpZnboUciJK5+Y7UWtscRw2ACGDCtRJCYAzUZQhBvREhhGKea4bb + 8x2l1MVLl/atLCNK0ixTSlHXsfpegr+pNEiGOYQQTCXGGAmhhIB9Cx2lxFyzXRTFeDweDodZVvi+ + zxhFGIzSdoqmlKKer7gsikKDFLIMPOdTH/tYsxo+fu89ratOmf7ot+67v3J+NSj4ceJGURsZNL3/ + 4aJSufrm77j5LT/xyMbaz73z10yR98bj4agbRVHDa9ZCn5d5XqTWkqHXL3zH/Y5X3PSzb/kZAN1q + 1KaTUateJ4T8/L/45z/102+640tfLAW/5TO3Pv/5p6Xkw/Fou7s5125aTRpGWGsVx9MkQUapqFL1 + HOcHv/cHt7d7H/ujj/3xH/9x6/tan//c5x2HTiaTSqXS7XZR1Xzo9z/40z/90weW93e+u/OB3/v9 + bJYUSeY13aIoXYdVGo0kSSTnGMBzHBTVLl9aDf3Ao85PvOnN0zxtzLXe//73P/HEE8l0tn95ZWlx + cTgcUkxu++znQJtLFy7+x/e9//mnT3/htr/s9XpeJdhDpXfy5NDOQ/e0xTnPksR33Zdedx1B6JGv + fpVSWpZ5liSg9f7l5T/9+McrQXDs+c//xCc+0el0RpPRHhqy9zZ7drfQ31A9my52lp6olMqyDACE + EPPt+WOHjuVpMelPeSrKpBA0CB3fbTiO47zqla++8qoTv/GOX7v6+c87vLT/xutfhhHq9/tlWdp5 + VM5L61Bn0XrXdR988EGMsUPZ2972tve+970ry/t4Ud533z3nH3ucF2UlCETJjx0+MkuT4XBo34YA + QDDeyVcuC6nF5Y3Ln/yzD03G5NFHL997z9qlc3cttK9PpqgVmcA3gKYIdc9fvMv1at3twcH9Bw4d + mj+4vOI5jtIKI8QoRcZQTBzGtDFClnbaG1YCjCkA1gomkwlCyA5bLddu7yz9d55na6rW6/Xe8rM/ + U6/XoyhSQi4u7dvc3OScU4cJIYjDACCO4wceeTiZzkTJg0oo79az2WwwHHIlrzx1Va/X45zbYZe1 + H9BKcc6tFCfP8zAMy7Lcv38/xnh1dfXwkSPD4XCaxI7jeIFvhT2EMCWRUsRoSakTeK5SggI5+8RD + 1BFXnlr51oWX9HvDlYPVSqWiDMrzyGFhFEXb3Q0Aqc0iV/kNR563tna5EoazaXz3/ffe88B9RmnH + 8wDQeDSLoigvCusFSSix55BSqp9C2LAMTHt+GKPPTt4R5/AUlu0eWPJMeUfd3lYYhkeOHJlOp2tr + l+2JjePYcTy1E324w6lFu0qkb/T52kNrdmdZgAw4TmA0LzMxGU4GG4PxYDNLZ4Oh2eyCHwFg7FVb + ylDCESW+x4jkhUZgQBljYLdJs6Dml7505/K+SBo111pMU805Lwru+E+O1BHa6eD+Bhqq5+q5+h9R + iCBgoKUSwAl1pBLcEI7LpaNLr/9nr1upHz3YOSxBXp9ef2HzW1OUylB6zCEzTFPyrae/xQcXgbnx + e192cXY5hjQFDoqEyg0K5+bTryJgMoijE1W/FY3TtCyFjx0zFkf2HTm6dNjF3gzyxlUd0qDKlZrk + RBC5pr/t6m8LnYgCuza95tGNR7bLLbfiBiwgGavJ1rHFY0uNxQLSG+OXXhjeLD0+y1KmKsuNQ73H + u9/7spsxKAp43wvmY5LFrjIUF+Oi47fYWL3y2psQkAJK3DELpzpDr2eIAWaE4L5lYP/1oqC1xpgw + xjQCY3ie54MBV3LyhTtuk9xNMmxIQyNPgn3LgUEGYMff2fpEGWMcxqbT0YMPfuXTf74eT4Ex2LdS + rdZaR644vfNyU8ZhjlKq2LWQxdaT/CnokgGNCdJau67LJzxJkna7vbKyMttMHn300cPLR48fP769 + 3XvssccW980fPXr04vkLWmrY1ersfaavo0mQWknxNPlIvV7PwvxPm4+EnpqPZH8VwnZpsLuIer3e + 6XTCMFxfX3ccShgpBS94yajDXFdJEEJooABW3akMVgYEAIRhxWPOYNgNAp9zbmUIlUplNpthApgZ + x3GsZaDlg+3BfFZpY1fn0WgEAJ7n2QP2fb9WqyGEiqJQShhjLPzHpVjf3Gg2m3EcR9Wa0toqZhHB + ymiNEWAotcAYE60IIYUU3V7PwoVHj1/RHwyyPK/VahqBPT9FUbBvrgbJApdKa4UxIGS0ka7LtrYG + aZLcdNNNa5c30jTVeif20LJgKCJIGyOVFhIh5DgOBswoS9P48uqlYP/KQlSLpBrFyexy73XffsMC + gM9NNk4wpsJ1tgk67PnQ751cWrz2yhPtVq0Uhe8xhEBIMRxmWglKaa1Wi6IoSZJRf/DQQw994AMf + WFpcnG93KCbpLG7U67/8L//lu971rtvvvKPgZRRFt99+u8VbLb/u7Nmzvu9X/IAxBoRaj0UhhEvc + zdWNTqczV2vVw5qHWTyaBEFANB52e/OtuTRNK26IlQmoO+OztYuXorByYHklKwuHMmMgncaYYJ4V + zXrj//6lX15cXPyVX/mVs488Wq1Wv/97vu9L99/z0Y/9yUMPPbS0sBgwtyiKSZZVKpX9+/fbW1EI + cfLkycceeyxPs2NHjq53N//6RXkmChwCsORYlzn33nvvj/4fb3jzm9/8rTe+PHTDjbX1drs9Ggzf + 8CNv+F/+yY9QxN74oz/2A//gB5/c6DwFm/47BGSfTRc72/bVajWe5lbgMTc3hxBp1upZnBFEG1Hd + IY7gQhkpDLiERn7YrNWLNHvgwft8xw091/f9nREBQqHnE0IKwbXWvuMSQHaOecXRYydPnvwn//gf + H1ze/+D9D7z7Hb/5vve+d2VpfwnyZ37u5y5cOE8YrVQrSqkwCBBCnudlWea6LgT+eDK49toX9oa9 + 0Nv/82//0V/5xdtvv22jUenoskGpq4o8CGPAzOiHDx898aIXX4sQklwcOnDYc1yKiZFmb6stpbQy + sSAImq16rV5XyowG40o9yrOsKAqMEMHYUmZtE/INkSnRX/sCgCxLSlFQh1xeX+0Pe8aY2XR6xfHj + 4/F4liau7xVFgSz7S0l05qHQC5WUBiAri1arNUtioeRkOhVSYkKyLGOMWT83UZRhGDYajTRNASEu + hNLaAFxeWzt46NC5c+dqtZp11qeUEkoRxpQyggMEWhkOBspCFWWmyvz3/+D9yECv11taPjCdpHnO + O+3l0WSGERO5xhgznzouCqpss7tRa0STyaRZbwBgbNCwP6oGYZGV4cHIdXyMyB4cuDc1whjvzZQs + WmmFQJ7nBYH3LOUdfc0z+ZQhkn7avCPGWLVazfOs292286g0TfM8r9dCgB0eo95NMbIU0G/o+ZJS + KlAaPalBwiFVElEnACWzsnj8XG/S3+huJkYBIqAAvKAaRi03qMdJXnChNQBBBjEEgICCHSLtVhiG + eV6OBulWb7Lq9aP6fKPRaMwFWck9z5vkhVJ65xb8u597P1fPFQAAII0INkhSFxvQuc4RKIToKB22 + aceLwqmYcBAGIEVZbGLpChVALmah51dQkENW8th12HC2najplOTcgSCoYMn4gJeQZCKWTIzMMAAd + 0xI5tF6pKFokOM8x10AKKHPGqaNynOYoqbqVoOpM+Th0qiMYJJCQBgtJFXuo3x11Kp08zraTbqUR + SCgmfDSTU4UkaTBmnEQnq8NLBZQMZGxSFELM07Hhzbm5ar0OGWyvbeeQA9Accr/iFjKbZpOUzajn + MZcpUAiAGgq7wbgY7aTbUkodypxGy2POZNBbW90+XwykKABgvlPjQhYSeUFgKMxmMwwUbKtlYJcz + ZiyjlzjuLEmjWr3kk34fpmlcb2XrvRkAjaIocpggkEJq25LdoAVswOxS7Ha99bSWSrqOBwBWGlqv + 1wfJtNfrHT1wRGt99uxZ5pCVlZXFxcXxYMoLoaS0L2o7C/haI/Mny76Z9gx196jj/3/5SAghg782 + H4k51MZZpmk6nU7LsrQ/3phrWLk1YG00GEwAU+KAwQqDtOIvhLEx1Dp+xnGcEQIYI4p4ya0+1iZx + K10q4AjbdUFro7ExnuuOxyPbF+2B6BYgtmxAK0OyXgV2FMY59zwPACxgCgiFlYpQ0hiDMDIIpFaA + EXUYxuC6jtJiPBtjjA8fPbR8YHl7e7s/6juUBpVKtV7Ny1xrCQBClK7LQH8TiZAMgJQCdjtkjDFj + xDq5J/1hv9+74orjp05fKbgajUZZljiOc/TQYWmMxxyGcOQGIi8WFhYESATm2NGjUSWoV8KR1sO1 + 9SomByPHTac6SQPiR8a4iOZGT+NY9LZhPER178B8u9Zq5koc2b+fBQFCCEmJdntXy4Q8uLLfcZwH + H3zwpdddB9pcc8017UbzkYe/evzoMYTQ5ubmwr7F8Xi8sLAQRVFeFqUUrVZrcXERAERRZllGMXFd + 1yhVq1bbzVY8iefn5pFG6Sz1HbderQshKKK+4/OcV/zK5uamx7w8zethLYqiPMsG/T4ghDE2CGTJ + 3cAPXO/QysH3vec/3HfffZubm/VaLc8yBOid73znaDI2Us1mM0ao3brwovzYn/znX/iFX3jXb/7W + Qw899Iqbvn1rY1MLeeHCBT8K99b/vZ0VPLORHUE4qlV5UbZbc92t7WpYuXDuPAAcOXT4ji/e+ak/ + ++Qtt9xyy6f/65WnrvrUn33y27/tps/c/jn7GMrdibF9MP+uWP1/wwYJGTDoaxK7LaW43+8rpRzP + tQbKlUrFGCOlnk6n8/Pz1laOODaDwiTJDCEwxozH4xPHr3ziiSfiOD16+Fh/PHnq6bYgkE2nWVk5 + gBB6/f/2uq9+9ZHrX3ZDPJt936u/Z5SMP/mpT919371v/fm3vf71r//En/2p1AohlPPSqtkcx7EW + zB6jzWZTGZ2lRasO/S50t+Ij+18wGUaA5jTHCqbI8DhLy8JcdZXTbn9/nueuS1/0ouu0BqXMwsLC + /pWD8+35VqOxsrTsh57UijFiMJpMJhcvrHa7/Re96DqbrmPZ2K7r7o0j/vsnSJTSIAiCIJjNZr1e + r9VquZ4XhmG325VSMq3TPLegURAEWZZJo+MsrVQqlmRsDcGlEL7n7XQFBmw4j+s4Sqn19XXbMlkK + +Hg8bjab/X7futdbfprSetDvh2HICE2TlDEXIWaUykXpsqDebg2HPc/BrU5tMu1qRFutZpJPHMch + hEWhnxZ5pRKsb11uoFpUa2qlPD+Mk1wIsTi/L6xWeF5Wa1FYrTieO5lMmMusb89eGrqUklAKu7MU + u5A4jlOpVKrV8BvMO/L/2/KOdiZJWsPT5h0tLy8LIVZXLyulPM8fjyeO43TaC6PRjBJmoSwDxiBt + 9I5N9jd03a2LnTVp2A30wIwx5lBGWD5L4zjOslwpqASwuK954MixufmlC+tbF1Y3pTKOGxZCgpKO + tf3ds4QyAEgD6LzIVlZWGOZu4I1G0zzPhXQoce1xYox3KHYaAMAG9T5Xz9XfcRmspVQKYYcYpLkS + LqOOw3ial4ozxZHIJUgBEnng11k3Gxa5CMNQSTHJRgKKwPEcIMQjotTSM4VrBMqSOEbDUkDhMzaD + ot6OZqQYZKOKF43VKI8Tz1ANQgPVYLRnCoeP1aTQseORikNXL5/rXDmHAUiAh+NhV/c84lfalcH2 + MIiLlt/gJjNIOVUqEymZUqAyAWlebg83C4gZUAcRiQtSQRxEvxjJWFZLN4tHGjgDxCGNOuFEjZnP + jDIEEGhj8K47gH0r2gUUAUgghBiDjFaO41CGDSjXZfUaPXDgQJIpwKI7KkqeG4URQgwTY4wEBGCU + NtbEGCGkla7X67WKf+WJY2urlx944NFpDJVKkJe5F9ZULnwnLHjueUG9XrciEEUMPIViZ4FgpQEh + VBS8WZ0zuVld/crW1tbxpZOtcOHh+7+qCrmysnLixIlef/vs2ceOHTnmUn8wGMymiTYGGSP2MpSe + YYiNMWaMlnkhlKyGFUxJkeUaTOD5cZpQTBzHaTWajLHtTZuPFCqlrEMDQkhrZbQGgDzndkszGo1c + 1/f9QGsIw1BK2ettlwX3PA8zmpeClxnGGJBEyBjr2qcwADKaIIMrfiXLkrm55mi0k/fCSzUaDcIw + lIpzVSKsGGOeGxiDpFTj8SiKIutphjG2XIZGo2GMiePY87xWq2UBQcu7830fAIIgGI/HxqBqLZpM + Js1mM0tzwqjLHA2GcwkAjDGMYTadKiXCsBoEXpbk4/HYY55bZVJoXhRlVmqkPeZppJFGspTOs6Sd + frbKpQzIjrKIc+75lVarXalUktHoiiuOSykvnL9EKc2ywvbGszRVSqUGsDY9qZGEdnueK4kIbHS3 + rrzyeK1RHwHkSW7GcTHjFc/30jxwXWRkURQl+Igx4nqAieAaNJr0J9vrvWSc0lKVZelSoo3kOTfY + VPyKUkII5TmMYdbb7i4s7BuNRmcfORsG3s03f/dv/dZv/cWtnwEK7/yN37zxxhs/fcutUvKo3jxz + 5kwtaiBsCBApOTIYoRkBst3rKTDVaogxtma5Wutqtbq6vlatViXXvusmWTbX6SBKMKNxOkMINZpN + QmmSJGEY+r6fcGGtp+7/yv1f/OIXJ5NJvV4vy9LuoJLZbGNjY3F+gRBSlmWRZYbSqFr98t13/diP + v/GHX/OPRqPRgw8+ePbs2TCqhhhlonjq5XgqEe5pr5d1xtvY2LCWyG9961v3L6/82I+/8bu/+7sf + PfvYAw88sG956ejRo1rre++9t1qtypJjRvdcoNGuq8r/NBS7nRA7A/prXfYIpf3+4NDBw0bDLImZ + 69abzfWNDQESU4p8PONxGIbT8dRxHEAQBAEwpEAJrZRBSZ4DZu25hU/e+tmtjW3GmEX3bRNp0X17 + CaWUN3zLy1/zj14zm80YJoVWX7z/vnf/v/+h1qh/6tOfPnXq1MXVVdsG5HlufQN3NtbKrA17aV6M + RzOP+bMxVF2Yayw+ujZTosIIUgakUmWRRtWwN6JYwaXzF1704mNCxsPRpDNLKXG6/e3t7e04jm20 + QrmVGwTz8+0kS9bX1ydx7Pt+vdFwPc8OuIuiQJy7nvdMNojfKDyDEMqzjGDsex4CkELwsrQMNMdx + lJC+6wqlHMq0VJ7nYcC72eSmzIt6vZ5x4fn+jkSVMWxAaAME72ziMQKA0XTCGBNa2f/6vj8ajXzf + xwa0kK7vkQrOsgx5qBK6nEsljed5CBk/8HrDESaMa00UIIdqbuJ8ymiIAIQosjxHlMQFNOfmASEp + BOdKCKCUVasVy3lrzbWSWTzLY644pljI0ijEGHMcutuuYINMWeSVIKQUZ1lJKW00ap7nlaUYjUZC + qCCoCKFsMnpZlllWBEEwN9dxHGdrqxvHaRAEnheUBSeYIoSkUEpqAPR1846AOWQWT74m7yiodjqd + Ii+Gw6EUmlIGBjPqGg1lKVzHA8DG7DkXIoSwzat8EgXYZfB9HZhEa62M0kjvudzmaV4MCuJQweMr + Di296qZvSSeD01ceowa5rre63kc0GIyGvoOy0mCkCFIGlNSAdtJh9944GhnNGFlanj9+dOni5Yv1 + +txokn/iE5+bpb3m3D68E/ClAXZykIqieIbDfK6eq7+9QgAUGBiQAiTGFFOtdSlLP/BRhuI4Hg4S + nt8fVv1h1jVu0WhXuuk2w64QUuXi9i/c5km2Z/xGbAAAIABJREFUuNyJSZGSXLpYRzTPS6T4XODc + e8+Xq9QdOtMpncJSUO2EaZkSMMbwJx57xE3ADYMhSgrIBVGoQoyCNJ8t0epXv3TXtNdrLHe6eAZV + QIQVlBuVUmLydLK9cWm4tbbv8OLDWw+zBaYYcC0JQYUsJrPRF+76XJM4rcVGzqeSKRaxfjyZr3Xy + tXjQ7d117+d1ACnNdaAlKZVSoRcVhWDENwgbgozRCjAGQgzZCfkkoJQpeTkeDoZsu+LDqedds7RQ + x6g4fPjw2vrori+f0cOSUFchFEVRlk7tuAgQJkDAAAaMAWtpZCkPXLWysDh35MjSDS+/dmtra5YV + tebCZz53Z5JMuqMJDlzfr+QZJ4RZ605jjwAwgDV2M8YglzqFKLvb/SP1I+12J56ll+X6QmPp5MmT + l89dXltbO3T4QKfTOXfuiYurF48cOrawtJBkF6SSAMAVr9VqhJB0ku/QChAy5kkwGwEoIR1CKaVa + SCklRVgj0ELWqlGZ5Wurl5cWFhuNOjVoPB6Ph8OdfCQjw2pNKV7K0rLdPM8b9Eeu45c5Nwq21ruR + Xw0D5/ihI4+efZwBVRphg5TUywf3Xbh81vWAMqm19L1IlTiNle/UqCF1vz4bzhrVZr/fdZteteLP + plud+WaWKYydJJ1V/IrruhvrW/V6vV6NBBegtUNpWZa+45ZlWWa5UioKK+Px2AQqiqLJZIIAtFYS + 2wbYIITCsCLzMnQ8EAopDUYGfiXJUhcRrbXMCkKIC5R6riwkcVFAnArz07xABhdZFlYCDNhoY5Rx + XIcXnJJvLn4dAGhtDGgAJITyfZ9SeunSpePHTz7/9AvSNFVS5HkpRKKUybJiMp4ORyODcJnmVT8w + pfAIG4xGjDgp5BzppSMHc2POX954yennjR86Z4CAUylZMQVaKKlcvwyC0nezSh1ai+BHV7/42+56 + 9JF8prtrY68aZmXSqIUIa9BolkynZhaGvtYwK8eu61PqTMaz8xcvLS+ubGyuIeZEzdal1TXqkAMH + Dk2ncZ6Vvf52nJbKmMFoTAgyCqTkBAggbRS05zsXVi8197U3Btu04gqikUO3xj2vFqQ8F0jHPPcq + 7mA8Qj4ljtPbniBGc172hoOlpSVK6WA0dCjTYDCjXIpSCuo67YX5S5cuBX6gQPu+H1WqknO/WuVF + AQC+78+SWIPZ6m7/+V/8l+uvv/7oFcdu/exnHMcZDcfthY5NZ37qYIcQop+hQXJ9LytyC3aneQYY + RfXa8ZMnJqPxYDQ8dOSw63vrl9cWWo1jx6942y++nThsb5Dw1H/8Ld5iX1PfcIOEdnk5f8WDvCxL + Gxic57nv+xakT4tcg9Gg9h9c2dra6va3CSGuX5FSbve2gopvADSY5lyr1x9+/BN/9opXv8oYiGeJ + Pe++79uRhd0R2j3rz/7sz372s5/bWN+0MPyly6s3vPxGvxpKrV5983fec889GOPZbGa7bcvT9X1f + CDEajeJZ6nnBeDytVkPPhT/5w0e+8pXblFhe2tfe3jiHKfVc0WhhoUSebN97d+8TH/v4iZP/VOrk + tttuu/LUSQxII5BS9vp9y9CVUpWi2NqS+w/uP3nyZJLn586vWr2+lVTaDvsZuyOE4BufKbme1+12 + CaW+57U7nTRJrFFeXuSUUsIoIgQAhBAGgVFgvdrSNN2x9VOaIuxSBgCiKC3pyybhuq4bRlUAcF3X + Okz4vp9MZ77v27Tyoigst9DzvMD1wBguCoQIpVgIMRiOGs1aVGtKVYIRABq0MlQajQ0AwdrxCTYS + E6y1LIrccoV93wlDD2GVxFMpBSEoy6dcFVxlmAEmgIGaHYe6J71NCKP/H3tvHmTZVd4Jfme763v3 + Lfky8+VWudQmqUoGLLTYQIMx4LBbBoxnphl3xIRxN8bdrR5sLOG2we2/JmzsdveEbcCA8TZua8IL + jmnbwS4sQAgJWRIUkmrNzMqs3F7mW+9+1vnjZGaVlpKRLQwdoS8qFKmM9zJvvnvPOd/yWyqVilHP + 9juKv71+R1w3m43jx09c9TtqTQ4GA6uVhxCx/wixlr76ID+wcegKj+G5GAPPsxEQQrTRhxMkO9rC + GBtVEgJg9HDU3924/Pdf/WJnW5QFMBeCCtM0YG6kpcyVYa5HCFGCG9ifGgFQQABGA2gp+cMPf/Ub + j0sv9OdmFza2eoDU+HhrZ6/junOO41wVaSBwyKl7KV6K72QYRDQ2gDHSyOhrd1JMcavdjqbGWrVJ + BIYlui92SpMD1lmZVpxKa3bytvYtPjgCxFdXHk54ngkQgmBKxiYbSzNTN7vzTVQdQXr/zlevZN0B + SQEjFlTrU41KZep7jp1GQC+WG/3+KEWZIBxhQNyUuvihO9+02DwxhCIbfGOkdyHAheIY4zCqzh4f + v2n6JAMMgJ/KzpVElaqUgByXTiyM/9CPvumNi68xEPfK7mh5OCRS1EPNgIZsYn76dHjk9vmXlVA8 + mZ9d768nPJYUKHIoQtoohQ7000BjA/uSQQCgQShVCapairIYlWUex6NtnA97W5/97H2UVfr9EpFI + G5UXAhGMDhg5YPABgMaAQY7jlWXyla985UtfFHkGADAxgRcWj5YKknTEuajVxjKtLfNWK3OdjjI2 + xhSc+77v0yCOY8bY7OwsLZzNzc2FmcUTJ05cvHhxeXl5cXHhxIkTm5uby6uXmo3W4tGFy5cvJ0lW + q9XSPCvLslZr7Ft4GzC2dYMxJgQdHLU2Pzn8rwEQxXP4I4FRw1Hf8zxC/DgeHvojxXFcFIWSqtFo + NOpNUZaKK4rp7ORMq9W8+dT39AbD5ZW16dkFXspSFPPz80IXzNWcF4prDCExVY+GSpaUoCSLCUE3 + nrzhC/ffNz4xVql48aivDarX667rjoZD6anxVtMYw8uSC+G6bhiGnueNRiPrr+g4jsUiWkM/a1ax + uLh4ceWi53mDwcBxnGatvr219cpXvrLb2RVCOo7DizIc87UCW/JprbM0dijTWo+NjdUq0dTk1M7O + zuRUO67Ea2trgeflZam15gUHAILpdZht37nAFAEAUlprKU2elaB0nucE4aIohFBlWdpDVmsjtTII + awBEMAAYabTWLqEMsAMsTdNPfuazP/K61x658YQbNKpHyuD48fsur9XATEZOppVCZaU6Tuam2fEl + mGoPiuyDf/DxIzfcOHNkbqzVkFqHQXNraz2sBMYYiplVJnMIveOO73/jD77h5MmTURT94n9632OP + Pdbt9/72k5/8vld9/+9+9CN7e3ur62sPPPBgHMeVqI4xVgpLKRBiWiljEHNYURSC883NrWq9+mu/ + 8eszMzOO7/2Pv/0fSZJs73bqY02llCGmHw8qKKQe+9JXH3j0zOPdfn+Up34YLB07OhqNRkmMMY7q + tc3NzUcff+z97/vlL3/5AWXU1tbWnXfe2R8OzL7lFz55441FUZw8eRJjbP2OLl68eP8X7qdAO4PO + R3/vY1wKRHCz1ep0OtYNxfZzbefdkhee83ZprRuNxg7nTz755Dve8Y5vfvObnutdWr70m7/5m61W + 6w1veuOb3/zm+fn5oii2t7cxpYfw12vjH0FJeLHiRUt0CCEWeFOr1QhClrn+wAMPvP0nfuKmG27g + UhxZmN/c3NzZ2UmytFqtMtf5j+/+P33fn52d/eznP/fJT39qeXn5LT/+NgBwXfcwc7UJsYUUY4w9 + zzt16tS99957aCC7tbVFCPnzP//zyfFJDfod73jHzs7O7OyslXK2bz9M9z3PZzQIw+ovve+eD334 + 4+dW7ps7Xtxx26mPfPSPx8eWhDSxzIt+4XvJy29d2O5cAsRHyajgwyRLFZh+Fh/+sUKpvCyCIKAe + zbJkZ6fj+77BOAzDTqdjFTnt1AsAbLb9nJ/b9coj81z/AIA4zAsDQmkYVePBMF1fowgz36uPNWWv + K6XkFnuAESLYYQwDUVwwTJbmFwghe3t7GONut+u5ruu60hgwJgzDWq1mrW/zJDXGOI6DAMosj8Yr + OSFFUURRZJXT7acKABYwqbTWRjLmRrW6UgoDNgp3tnqNRkMpARoDUAxIYalAAlaIaQRW/EQY0NpQ + panSRilFiYqqAaU0GcVR1Qt8SrDWWiJEjGVngjn8SA8Ijv90v6OrJKVrD4Pr+x1VJiYmn+53NNre + 3rZPxbP8jq7JU67G/tfGGDvF+VaAl1pbd3ObBhDHcWjoGG0oIxjrIhdfe+hM2t3pd7VDoCwgzcHx + pBc4zGWoUFatTisEQAE0WGQdkmDbzEYDYNf1GZG7nWGWXlCGNpv1MGph5jPG0rwghBAw0hgL2vkH + L/ileCn+eWN/ERkEGum4SMKgICF1wFEgNYO8KHOdgos1gEK4Nxj0IS7AxYALIqNGBJ5IqFBCSiSU + CyWCDNQQ0rRIaZ2Grh+no1HaD1GzPtVmQA1Q4iJNlFRcSwXISCO1i0nd70EaQ6FdFA9S5UvqUiSR + 1hoY0UAFmAySTBelEhxL7LilKvYGez7GXRhEQKWL3GYF04y4VMR8kPYJD+bbxwSYEeSlkdhjLgQS + 5aUUCmtM0bXVoX56m8X3fcml43gzU5OD7pXlS+uCD2091Z6qZg6V4FLXVyAPshD09J7OfgMl8DyH + uZXAHfS7Fy7kSazLctWp7gZ+pV6nXjWiWiMPCyGUvgb/hq5ufZYVo6RkjFFDi2FhDUypcVJUrK+v + L0wvzM3Nbe9sLi8vLywszM/PX7q0bJ1SZ2ZmlpdXLRjE6iscNokwRYckz+ttTOg6/kjjkxPZ5csI + IXXgjwQYYbxPATLYFHk+6PVc17391tvu/vmfe+XLX0Epefjhh4uSr291JiZntXE3t3YrlUiIMqpV + KMVJkjDmMuwZJXg5YhQMSMDmX7z2+3/wjT+QpmlUq21vd6IounTx4uzsbK1WK8vyDT/whlGa3H// + /YHvZ1kmOM+y7O67737sscceeeQRrfVYs/ne977X+uEEQYAx/rUPfIAx5nmeKEtkzPbWluu6H/6d + D37x7+7PsrzRaIwGw8mp9ubGtta63W6PhkOPUYxxkiS+73uex5Xc29s7/T03p2l693vvKctSlKVt + KAsljdHfud79c8e1PAWbmmvJbYFkG5Raa8aYVcbCGHMjtNYYNEGGYuRh7AMp0hg72FPoo7/9of/n + ox8xaSaG8Vw0pnCxTAFLGeX9osgIIW5H7qx9M3no8/5f/MFeWWx1d9/7L245d+4pYUbDuO84zmS7 + RahjO/KWTFGW5SAeYUqePPtUu92uNxuu7yGC//u9f1rwcmZudnNz81Of/cyFCxempqc1gizLXN87 + 9OayxTAANOp1qdUb3vTGc0+dbbQar3/j66XUzHPf+TPvklr5vosZTUexX/EZY+325MbGRr3ZvOuu + u7768EOWaq7BuK7LpfDD4PL62s/8x3+3vr5uuVJSq3a7ffv33V6tVitR9Jv/7b/t7OwAQBAEg8Fg + aWnpp37qp37oX/7w5ORknudnzpwJw9AgZJcMoRQQsnkOHFQw18tgECEXLl2qVqt//9hjO7u7GONO + p5Nl2RNPPOH7/l984hOf/PSnG42GZR6madqemtQHOiVX5Rqu7xv57X48X0yRBiu6MhwOR4PB7bff + /hNv/4kfeuObXOwghNI4rtZqjJBRkmRJUq3Vyjwfn5zc3dkJq1XQuhRCcj47N//bv/chqw9mJV8s + GVEpFQQBAPR6vXvuuWd9fX18fJwxNhwOH3zwwV/4hV9YWlrq9/srKys7OzvVajVJkn2ml5RCiCRJ + yrKklHquu7Oze8MNNxS5/Pd3vQshf+F4+9En733b22/kgnGusGFpokDTows3f+mBT2fF8Pc+/rtp + kczOz7bb7VpQlbpURldqUcFLypg2hhAchuFur7vV2WaOOzY2ORwOD0ksAGAf/eesgF8oJckAxHE8 + GAyAYMZYUK0URTE2Pl6r1Ta3t6w7KrKOQAjZmpAak6ap0ZpSWpZlmqbT09OEEAv2sgTWPM/BTpyM + Oawq7dvTNK3X65zzbrdrMdn21iCEfN8Hgo2UmJKiKIoyxkSCwYyRSiVCwCgiBhuMgVGMsVZaSlmU + eQEEMMaUMZfZ6lFKyY3Snu8g0GWxT0IFLaUUdgHaNQMYWXAqQqgUPM9z8iL7HenDjsUL8TvawAdh + 33WoHvEP39BrHoBDOO/1XmngKhsKYwwYNNIOc6XK7a3Mcq0UBBEsLtZO3fzy+tjE2eUrF5fXMSJe + UC1KIYRwHXpNtWavUANgQlAYhhNjlUE8VEpVovpwcwQkrdVqUmjLS8YYAygwcL2J6EvxUnwn4plb + qwFggZOKLObDKos0qAxyjoRmgDyqNEikwEUxFBIAwHDPcCSEKaUUUkhhWIn4EAoBsgBZECWJVNQo + ajiokUi3i916OGYAdWFUMCWQUkhhgpHL4qIcYc4hTaHgTLHAUcyUiOdCUEVTxoeQIDAZpMoD7BLH + xxoQL7kRqtmcSqA0IPZMnFHJseAKpCkB60wV0jU9GAmQnGqBlVBag8GEEoyEUQDYIHVY2Bhsm1AG + MCCEhBSCc4tXd1zk+2HFo7Ozs/1B0e/nWZoSSbhGQSUUwhx8hFbDgCAwCAwAMMaOHJmYbo9vb20M + Bk+MRsC5CCjVQK0UtUEIrukrm2ts1g4DY0xdl+ccc1J1q3medzqdcX9ybm5uY3Xz3Llzp0+fPn78 + +Ne+9vDKysrJkyfn5ua2tzrnzp2bm5s7fvz45cuXtdZhGMbxvhs7PvRHUkZrjTB6zmTN7uTP4Y80 + MTbRbo9GoyLPPc/DdN8fiVIKWjue5xCKMe53e5dXV3c2d8Jb/ZIX73n3z33/q183O3vs/FMdjGpf + fuBMZytTmjkskFL2B7v1enVmeqLdDm5+2URn91Kep393/+c/+rHfUUIroQlCzVo9atQrlWhnZ6fd + bmut3//+9587d+4Ln/s8uK7WenJy8uabb/7pn/zpD/Q/YK0Oq9Xq6uqqBem8613vunDhguBcct7n + nBESRREvhJGqzIsP/OqvAaAff+uPDYfDp775xEMPfW1zcxMApJQizxzHCcPwxIkTS8ePrV+5sr6+ + fvyGkzfeeOP25pbtTVsjQcdxyrIk5LvL0eHwubJ33xhAYEX/DpuHhB7wkxFCdtaBQKVpqkYFeKHW + ure715oa/+Vfev/P//zPD+PBeKtJwIz6g9Dzc8lBm5A6puQOZVEUJbwYlBmrVXfjEQscqdTfPfiF + StMrJeVFSRi22htKqcFw6LmuUurJJ5/81V//gOIiCIIkSSwkknP+p//vva7rlmW52+tOTU35vt/p + 7nHOnQNxMq2NzcfyPJdCfPaznzkye8R3g8e++Q3XdfM8D4Kg2Wh1+3s0poiA5AIGRmud5XG/39dg + CHX+4I/+KEkSx/Nc18WUlmXpeB4y5rGvf91znLUrV+ZmZqq1Wq/X6w0GyphqtfqqV70qDMMkSaSU + tVoty7Lp6enLly9boxerziWlXFtbO7JwxLLrbcphc8XnUeXlnM/MzBBCLl++/Nhjj9mxbRzHzWaz + LMs4jofDoX2ZMWZxcbE36F379sMh0j+dvf+PixetQDpcV9VqtR5Fn/vc52757C1GaZe6S0tLRukn + zz4F2oxPTighAaM8zTQYz3H7w0FUqWJK4uHoFbe9cnNzUwOgfbNkY/1VreQDQqjVao1Go3a7be+c + 7/utVuv8+fPdbtd+1seOHRsOh91ud2pqyk4P7ApxXdf3fQL08ce+ccutt2ZZ1mg08rIIq1EptBC4 + 4IpzjTWqVhZlUUyNN1eWo6OLRzZ31oXiExMTWZ7/9Wf+ZpgMFZiCl47jWI+tUpbjE2ONZjNNU6FN + KQRmFDPK1f6skCsZeq4Swn5Q1/Y/AOCFOlWHlcgPKoBIZ7frOo5Sxk6LtDQEM0qpAivIho0xSmgE + Zqrd1kLGWSo49z1PcE4JsevQWleVZWlFcizjk1KapCkA1Ov13d3diYkJ13XHxsas8KgQwqLOMMZG + CqVzh/n5YKB0Vgkqxphhv8Owq4QgwBCAVoYrTZnd0RyXMaUU0ogRRjBWSmGEPB+7lKVpnGQcAEIv + 0gIx7I9HbZd5BoHtVwDexysejncb9Wf7HVH0j/A7Ag3IoIN7Yb5Fv6PecOPKFrZ+R8P4wO+IWCSJ + 7YCgq+6B/2Doa7utzwgLsbP4OillURRlLMRA9Ad7zIEj7fott97g337sZadvNFIpSc6evywFDAdZ + EnPsBIQQhCSABsQPfiQFg8FYsF+ptb7hxmMvv/n4xHRDSZxkZnX10c7eluPFrVbLcRyptFYALgB7 + qUB6Kb5b4tnHpkagMCAHSy0zyPegK4EnKsmhVFByJQEwGFyNoh6MXChyyDNSDkUssLDKbQIVAxVv + kI4DlEMZU5GYUoDiAfIYKxlsZ/0AOhz0rhyNWJkYKUzhaCCUZY66FF8Zq05ykAORlMALkRkKiILE + MsXlFdgFrQQqJZMSCYOQNkgTREPXqTp70OuC7CQ7MaScqlxK16VB6ElR9ExfIq5ApqoosSkk56Ac + oBhjZDQyGj2z44YBKdAwGo2qfqXIxZNPnQk8uOP7bmtPhumo+4pX3NIfFA8+eOb8pT3qhjlHUmgA + A8h62FMACsb+HEwIS5KR485MTDYWFlu33n5jr7+XpAU3wac/85XegBOvmkjpMd9xHMbYs2bmB5Wb + MehAd9TCxjjnnHDwYWlpaeXcyqVLl44eWzx16tTq6upTTz21uLg0Pj5+7tw5hNANN9zQbk9sbe0M + h0NKKSFXG1KEEEKx1hrMMwdoB8+JQQAGI4wJokSWUnCBKKFDGtVrUiuhJLnGH4kyIqREBBtsmvVG + 6Pr1atSMahig6gSy0Hf/7C9o4/+X3/i4Md7aWdSs38F5RSRe4EfVutGQnn3s0pP4cjrqf+h337e2 + fumBr3y52Ww5jlOrV/d6u67rbl3Z0BqiSuXksRMf/52Pn1s9u7W17Xkez4vpyfab3vDGu+66Ky3i + Is2qQQgAZ594cvnCRWPMPffc09na/s/ve3+cJpVKhUvpOQ4vSi20lLLMC4fSD/7Oh9bX1jY2Nm69 + 9dY8zkS+L1oQuI7Wutvt8rz4V2/7X1oT42cvnP/aI48YY8IwPNzYDxkm320F0kEiftgoJMgcGH8h + ZAsVmzpaIQeZF0Irn7BqtRI2WnmcfOr++55avXTp0qWoXh2baG13tvyoUq1VOr2u0KpeaxkDSKk8 + iVVeOowwxjRGHCCIqqnM8zIzRmOktBITU5O7nZ6SqFarUdcZjUb2qVZaF2VJMO6Phv1+v1KLGq0x + xhjPi9FoFASB1QTf6XQAoFKpWNFmIYRDGWOMIOx5npLyyw9+hdFHGo3G1tZWrVbzXTfLMl5KyrAF + iyohjdGEkNm56TzP0zTd3usGYYUwZhD4YTiMR8gAGA3aYEqSXuJ4rjJmlMQE4du/745HH300L4tq + LQKAxljTojfDamVntzM3f6Tf73uBX4mqa2trQRBE9Vqe50IIALADOgCws9zr9Xapwy6vr7VaLd/3 + J6faWutuv1ev140xmJLAC4ui6A361pF5GI8OxYT/QfmHf5540QokSmmlUkEIpWnaqNUajcbmlY1a + rUYwy8oCG3ADH2nT6e7xvHADP/R8THBnd3duYR5ps9vrNsaaX/ziF2fmZ4RStvSHg0/HViNpmna7 + XQAQQlSrVSvebfNgOw2oVCqdTqdWqzHGkiSxGtYWamVXe5Zlf/GXf6a1Loqi2awvr674vhfVaxvb + W+2paY1g2BvOTM0WcT4aDOuVqhBCKmXbKmmRaq1n5qZd39nu7DieK7TBjPouURoMNkG1ojWUBT+U + GbWzDrtiX6zPWXBuhRluvvlmUNr+lrnpGQKIc24wstd8Vd5aSFFy6gfj4+NKKSD4/PnzYRge9vm0 + 1p7nWQcGIURZlr7v51kWViqNRkNKaWVbbQVVFIXruvV6vSiKPM+FVtTxwBBCaBCEYRj0+4M4jt/0 + xh+RHAh2MSZKGqUFgHEcyhgzCJRSoA0gLaVUXCBkHMpcx8myxOK/i6L40gNf7pDe5taWUsYgDQgR + QpTRdtcDAOY6tVqtHv2T/Y4UBwCE4bBRYeNb8jta27jG78ixY6hn+h09TymBnrby/8EJktba8gIO + W6cYY0zcPB9xLo3BX3/8zINf/tLFczLwARAwlxlWiaKxjOs4jjFhvu9rVQLSYPBBMXgV7/d3X/ji + V750X60ZzR9ZWl7dieOs0WiNEm5PTYKR1gYUgH7JKPal+C4K/fQehAHQyCRl5gGkOh32R4XINZUC + C264NIoSpwSOIH9q6ymGPQ0KuYYyjChmFEmpRFF24k4MKZIgVVFirhkYgqQ0qcwoCySRa9mGUDqn + RjsGAzUaCS0LUzrUO99ZicqRwSbmI+MoJUpCCHNcxVVfDoe9WElJHSgQNyAkV4QwhFkps9Xuqsw5 + pQS7SHkIu1jL3HGJhCKTyYWdlYC4SglDAZhWBBHCDEZCSI0PZzXmGbsKELBnEMY4DH0C+WiUGJ2U + afzhD/2BAToYSOzUkUyzEsJK5SA/IQeDJGVpSJjgLOUXL1585OGHN65AvQHtNtTqjYUT32Oxx0qb + fYlUKfeZos/V7tFaC6V95jFgSqggCFqtFs7x6urqXPvI6dOnn3jiiUcfffTVr37V0tLS2bNnNzY2 + ZqbnTp06tb6+fubMmfn5+YWFhZWVFbspWRXcq7feXG1yPSNsGvdsf6Sd3Y5VfKWU9ocDLkXoB4dF + F2MsT7J4OCrSrLfXzZJYiIwwt1b1J8bGBkN95w+/tV4/9oVPbVadJYXao8IxRaCJJKycbLQWjr/i + rrtudl3/9OmXH186trfbi4ejODHGKOR5QpbHjp5YX9944oknfu6Xfu57X/4K13UppVmWOY6ztbX1 + l3/5l4uLi3Ec23aeUqrRaHzv937v29/29n7av+222z73hfuMMZxznzlSSmRQo1YnCNWq0dGlpTxO + GCY3HT9ZC6tYQ5FknPMBaGQAYzzZGj89LniqAAAgAElEQVR1403DeBQPhutra6dOn2aESi6s9Fmc + Jkgg13X18x1g38k4AMZrK/1h8VgH39931EBgAteRUhKEhklcMg4YcKOSIlWfntRKxUlKCJNCr1xe + Vwy3Z2cur11xHAdj7HnM8atGag1IK0DG9HpDDopLuXhscWtjvSxKqQAjSl1q26mO4xDGbGblOA4h + xPO8MAzzPEcIdbvdKIocx/E8Txrd7/fLsqzWa4SQ3qAfBMHhTIZzHgSB6zhJkhDKtru70VhDSEm0 + MgQLkIEXaWMQGMDQqNdbY2OuywhBzHHivCjK0jqdcCkOExIgCGPsBX69Xl9bW5ucnBwMBvd9/vOn + Tp8uy3J3d3dmZmY0GgkhKpXK2tpao9GwVqL2gWw0GjZ96nb3DlFdlvby/KaOWutjx45ZDrxNVq2M + sGWG21tGKW00Gs1mczgcWgTQ4S0+BNr9T6Nid72wPA3OeRRFly5dqtVqdqXt7e2NjTcBIcdjBOGg + FmJAe70u8xgieHJ6cnn10uz0TJyOlBK33v7KcxfOWwcDCwK2TxsAFFmmhKAYT01NDQYDJYR97o0x + lUplc3OzVqvV6/VOpwMAdlBgh622QDpkTXCeA6A4jtMkr1fHCXX2OsNGrc1LjQlwXnR2N5A2pUg8 + P+r29vygooASh7SisX6/v3J5dXqm7YdhITgXwgt86tKiKOJRwhyCETUKMKZwgLCylZ4Q4tm8dvS8 + wwWreaYP/qED6weXMorwZGv8v/7Gf/nKlx9oNBqSi3a7vb29XRQFdZjt/RBG7VPrUccWioTRsiyV + 0f/Xr/2q9eEmhFjgma0AFReVSmXxyLxSamKsNYhHF86fv/322y0szS6SKxsbw+HQ9mmMMYCQy+qE + Or4LoGHQT2UpJyda73rXT25vbCuJGPV8v6oMzlIuhCKEOA41RiGjDEhCEMUIjJJSiqIEgNe97nVj + 0fjqxtq/v+su33WjakNKaZCGg3Vo2Vyu6waV8EXyO3ragYoQstYfxsBz+x1Rt1qp5Vm5s71r9v2O + 8jwv63UfALQGY0DrA3A8xlrZ8/tZ9xkd7uaH+NrnK5AOeV/XcpC0Atf1hWjkSf61r56/cnEPaZAS + lISCQzMAv+r6IS1UrrlBmAEQMC6AApAA8mouYTRCzPdqDPPu3ogXq1kpm2OV+lgzqGoEJIsTjDHF + RoAG9ZJIw0vx3RKH4wIMV4WUNALqEKl1ScqszLjmQeA61FVaYSYBjFEaO0hpjF3Ci5J6WAlutCQG + U0qki7RWBRZEY5+4FKO07GlqKEGiLBVhpZamMADYEEYJCRB1jGeUQsqkOg8na0El7A57iqqoUeEK + 4nyIqNaKYOIgRJCjNQWPOBqBkdoYhQC5vqulxoxyyZ3AicvUSBCyCIMq56njU5BaE8DIEVpkopBG + BGFAGM6SwhhDGEEIbHlgDpFIBhDFvu+rQjPmH5mdHXQ3ly90yqLnMyIEjE+2RZlK5BPHU9Y8GggY + BMjqzmkADUgCkkKUnufVola90pDlpe4erMQQVPqj/KkwrCKHScRKBOCjfe2EfbOa57hlNuuihpZJ + uZ9WKoZQsb6+3ggbc3Nzwyf6y8vLU1NT8/PzOzud3b2dxcXFtpw4d/bC3t7ezMzM1NRkt9svy1JK + TiklZB8m/fyPCr6OP9Jud6/RaARhICzoAw4EgaRkRNsEw3fcMAyD0PcZ4mLQbAR51i1LfPLEEdeB + MPSklEYRiivVcMJgYVCMZOH75fHjY1kylJJQ4jSbzdtvv8NgVZY5QqgoCkqdm2640fP8i+cv3Hzq + 9NGjR5cWFsuyrFarl1dWH33k7++9994bTpy8vLJaFMXSwmK320UG/s27fuqtb33rL7/v/dvb24Uq + uZTEgBCiSIt6VGs0GqdOnUqGo9XlFaO1Tz2HUJeyejUa9Pu1SmglnQjCWkrXcQ6TE5uxDIfDoig8 + z8MYp0VO6XcX3RTZUxKBrYkAjDbafv8Q2Y4x3acBGyBKKsEJdQ3SyiWGYqHxjkkNUhXPlVxILupB + SGr1AjRS0kGy4nvSaEwUGJMVuY8cF7sUUa3QzJGlzc7u2vJOGDZqrfE8LSjzlJZpntkn2fHcMi+y + ssh5aYzxmGML8vHxcUxIvV7f3d6J41iBQQhFUaQOWBg23QVtiqIos1wIQTCmjGEMiKCgEuzu7kop + oihinkMR7vV6CIBSSl0nzbLL651KENYadc/3M869MMjKYpjEjuN4vj8cDi0Nod1ur6xdppRageLX + veEHz58/zzmfW5jf3t6uVquY0e6gP7+0mCRJUK30ej0gOMmzMAyTPFOJYq6DGTUAShmlFcUIIwQE + X0/FDmO8sb1liyvPdRBCg3jEGAujqsV2JUliNcr7oyEmOCuLZyg0IIwRwHP+/Osyn168eNESnSzL + +v3+TTfddP/n72dAFCgNigCx201apoEbYMBxEde8WqYy2wVnwBQooURIwgIKzrnjuASIBo0Ba9D2 + CwAwYAgQAIiLOPRCDVpIwSgzYHzwYxlXabWbdaMgwoAVqMPXGzASJAGCARvQ0pQe8jUgAi5XhhJk + ABQA10KDdDFSUDJAFDCXBaUOBb/QnGFnlI0qQZUCHohRhVUe+sZDhJCCc5GmmCLP8+yGSymzPBEr + kma5OtbWwH5QhwNE+78vmIakdJnmcX/YqNY+/Nu/c+LEien21OTk5P3337+7u1sUhdQKtD24ib2q + O+64oyiKr585c/zkiX/5o3eKosQE23PC6tH5vr+7u9sen7jzzjvf8+73dLqdIAg+85nP/NZv/dbd + d989Pj5uxXMmJiY+8Vd/9fGPf3xzc9OqoKY5Hw4TP6hohUslPEobExNJPOh2Oh/+8AfTRLis3p5Y + clmr08k6OzEvrZK1AlMyR46PhxMTEUZlmvT6g708T0GTt7zlx6JKbWNtq9VqGYUZdaThcAA0BwAr + TxdWKy/Q78j91vyO9mf3Wuvr+B3NCSEuX75se5D9ft9xvImJiV6vRyml1Ll2DMVLYYlJz7yLV7u8 + 36o2i/VBsj/JdkPBYIwJAFQqgUhUlmVhSEUpJydorRadvPGmWnP8qUuXLyyvc0Wr1XrJoSgKZ7+2 + uYaEbTCAIYS0J8cWj0w8/OhDvd6gMTYppCjL0hhK6YH4BBigAASep2P0UrwU36lAFg8GWCMwFLI0 + NQCaaeQazaBQZVqkxGZOwAqVl1pKpbgpHU0RA1AKKQ0EDIA0hoPBmJQFd10qRelXQgw4NhwACDUE + gdbKSBBpoYzCzBCCpZYsYLnK01EupPACqnQpeIG18l2n5FojIU2hpQIpHWoASYP2e8Eay1Irxlhp + OHEoBgzYEAUuxnE6qgSRIVgRNRiMfN+lPgONAKM8LznnUSMSShwWSIC0QQf6c6VWWnheaLTO87wo + inoUuk7diPy2227TwBjb2dyN93EyWB+oqhoAAwdyOra37RAcBMGxxYXxVuuRrz2a56LerMWjVBos + Jao2m2USWzS73TntlcA+sX7/G5RSDQgM2NNnOBzGcdzyJo4ePbq1tn3mzJkTJ07cdtttjz32qJRy + dnYaocnl5ZU0TY8fP3769OnV1dWiKGZnZ9vttt387U4rD/yRlLiuPxKl1CoUW0XWPM81GDstsS2n + ZvOqP1LoehIhjzkccltRlHlu/R7sfCBN8vGx+bKkm5sgZFap4CIVBqRSMkkGgDPiKEbdWg0MipTh + jDGEyPb2dm+4xxixmnKO42VpgRC2bNher7e3t2drpzzPwzC0hiIWobC2toYxPnPmDOf8Yx/72I/8 + 8I8EQXD+iQu1RqPf7TbrjSAI+t3exYsXLed2ZmZm0O9rIwnGWZoWec45H2k7eUNW5iGkYZ7uJ2O2 + LgKMKKUl5wes2u+u2MdZIGRJBMYYjDAAaKn2ZQMOXmNJ1EYo0IoSgjHmRuWCCwRa6yisjIbpbFTH + 2pRZTjxHyqKznUTVwPVodzhQihC3QimmGoPSRiOjcWejg12HII9in5e81x1Oz0yM4v4hICXLMgzI + jomEEKHn20/VTnJGcVytVvM89z1PKWUArEFovV63yrpGaatGiDHu7u0ZY/Ky8INgMBxiQjDGWZGL + khNCrCVXtRJ4nrd88fzGhZWjp040xpppmtZqtdFoRCm13CdLLqpWq0EQ7O7uTk5OJkkihBgbG/vS + l740PT0dhuH29nYURTYLsr6XQRDs7e21223bXB4MBpYlZSnotsXAGLP7xvM8J0IIq8do5Ss8z3Nd + N8uyWq2WpqkFLvm+b1nuY2Njvu+bawKeN0PW6GDS/W2LF3OCdOnSpXe/+939fh+0juM4qlTzPKcO + nZ6eTtPU7s72lQBgYW/wHPap31ZdCoNAAmCkGWgXjAuGGoQN0hoLAAlIAOIYFLbS1IARcQpe2mm7 + 1MoYgwgxoAEhIQRmmBCCMHYcar2rlbrKlLUji0OX2KddxzV/MmNsNBohhBqNhgW52YmNlfm3PtnW + UqleqWLASBkstGPwaLd/12/8O9dxsiz77N9+quL4063JXr8f+D6hdGdn5w8/9vtZln7kIx957y/+ + p53dzp/86Z8eCBKosFLhnDPHOVylw/7gPe9+z7/5tz915syZ17zmNe+5++crlcq/fvv/bvXf3v6v + f+LOO+/84Ac/uL29fezYsa2tLYsmdz3CHOh2+2NjDY+ReDjyHEdLIOD/4j2/8r0ve/1f/cUDn/rr + r6u8HpoKKnEaa9ejUnbDhtq+dOln/o//8PHf//U/+qM/Eyp+69t+2GeRg2hZSJf5lLiVsMY5VyD8 + MNRaW2icXUVCyZ2dHTuMPhT1zrIsyzIL27AohTiObS1noZgIXW1wPq/fkbE35Wl+R2F41e9ISkop + AGbMNcaUZem6Plxt3FqhXGRF6qy7+uF9N6CNNgghpYQVrrHsYc6Lxlg0MV11XTeW3CLZCMFKlvbH + Kq0kko6h9q9Ih3m+m2NitFETdfoLd/+HrdXzRxfmJC+kgMFQJJlBwAK/JpKcc27AICxdn0hhpDTG + EIQZRshopXVWyrw1fsTxzGtf9/21qHXu/JX7v/jYzl7PCyqtVsvyRzXsP9rW8LuivYPZt1ZKUUxt + Z+/buX5fipfiahxoRGoAc+g/YUMYgX3CNQeiDYJMJAppx3MR2BwXpObEI8pI4iFtBNEKgzHKGM0R + UKBIg9JgXB/H+ajVGBuVqcTCp2FZFDWnAlwhboLAc4EO0yELPYeSDIwyUhNsEFBKDMiylAwBczzB + OQIsDQeCMcHEYACFATBgBBgoEqLAhHBTEhflPDNIg9E+c2SZB76rjdBGSymckEmkAQxgw4UAhCpB + VXFlsDFGKwTk4KBBVqQBAUKIUHPh/Pmo4rhUvPq1r2rUnUbVV0ovr+w4nhGyMBiFYS0vCpdhbaQ2 + xmhkDEUIGU00aAXG8R3mAOD8xA3Tt33fifX1y4QGQa393+/9/wZ7g+3ukFUrxKV5kbmuew1g2Fx7 + 2GmtPebF3VgKtTSxFEVXut0uCZjbCCYnJy+PLlsfpOPHj1+6dElKfuLEDYuLZnd3b21tbW5u7sj8 + 7OrK2tra2tGjR6en21mWKaUwVlLu+yMNs2v9ka7mWHbPPESUHBi5gJTSukGsra1NTU3V63UMqN/v + Z0kaRVGe5ZYcH/p+nudKagSOSxyt3FJghOjXz6zEQ1/pXOoYkSpmtNQoqNA4SUOfVKreX//1k2/6 + kWNSloPBIM/zvb095pFer1fwUimVxNns7JFutxeGYZZlnucN41G9Xj9/8UKj0djrdfOyKHi53dmx + akOO46yurkZRdHH5kgPu5s52t9vt9vvtiYler5fFWej64+PjWZbZnLXb7WJE7XNiyQLMoUVRKCkL + XgJAp9dZXFxcubKWJIlFlGgwtpgEAMk5ON9dYAGLLcqLFCHwPE+I0hyoIvm+z7m0c0I7G7RJvNRC + SlmWJXGY4zn2oGeMIcaSJNGce4xZNcVqEKVpP0kSSqnneWVeIqUd15G5RAgxRp1KZaPXDcJQCMGz + rN1u53lqKU925kYptYLjVt3qytr65OSklS4LgqAsS+b5WZbVfS8Igq3t7Wq16vt+b9C3mV6z3ijL + cjAYGGOiKBJSer6bF0VZFI7jMEJ9398ZjIIgwAh5rhsPhlFYadTqGxIWFxcpY4d4JUJIp9MZGxtL + kmRiYuIAkopsqQMAnU7HQv7sVNbat9heQKPRKMvScZzhcKiUqtfr/X4/DMPBYOD7noXh2ewoTdN2 + u93v9202de1KRwfWLPbXHRJeAKBSqXDObeVm6yvb1BZCaK2sGvC169fe0+/E4/biFUiMsY2NjQvn + znPOm/U6QigejqSUmGJCSJIktkayGZXtWDybhnVtq+nbE9cUSMYBw8AQAxiQNkhpLABJAEmMAaSJ + Bg1Ya40pFUIURQEYeZ4HBNnagBBCKMEYcymKglOHGHiuccHzX5AxSqlWq8U5twvD6gH6vm9XtYV0 + HxaTBFC9GlXDihJyZno6TZLXvP5NDz78IMMk7g0Ml6AU17nUShblDYsnNKg0SQLXs6s0S9J6vY4o + 2d7ZiaLI87zhcGgHXDMzM49+/dEf/dEfBYA3v/nN7YnJzSsbdgGfPHnyZ3/6Z//kE39i4Xbnzp1r + Npta66IsgkpY8lFrvOY5pN/dNVpowdI0xhgfmVsqMvy6V71m/fz4fZ86z4vxRnS01mhqzQXd7Fx5 + 3OAxl7T/1Y//JABMTlT/t//1x2o1d5h0KTNjrag/6G1tX6GUYrSvmlCr1Wzjrd/v52Vh5SJc142i + yAr0UUpbrbEXx+8IoNlsHj9x9Krf0fj4YDDo94ZWxM+GBf5JKb/V+47MgUWsPiTIWdWHhYUFE8ju + aNPu7BprIbQ16tVaU4YJIhrrw7/CcRwUIIQ1JqLVanz6058Wyd5f/cUnkxFoBUUJlQiwWwmjJnMo + cQgghJCJ4xgjSghDCNn5Pgag1GHE+9rX/n6swQwG16lI5RqNGvUGEEoIEQAYI22M0QAGrK3wtVpV + CCEwoLUm10cJvhQvxYsdBkAbZDXrtXVARgYDII00Bm0IAIBGV22BsLm6Tu1rAACBeRqLCWkAbL3C + hNGAdZzFw+GoWq+Ffqg1C6ivuMAYUIkIoJY/prAaDkeJzOuturRcGL3vRmQ0OsBtHfqPWc0ADcZe + LWAD2ip1I9DIXgAgAKIPMHOg7ZxJIzh0UXsGwkQjOIRDocM5EIayLEMnmJpqD/udKHQvnL+UZTud + zX4QACah4K6UmrmkLEsDyppr2N3sKtjBAMZ4MBjkeffrjz3e3YOoBlrD0tHGxMzxTqfv+xHWkHI+ + FkS2FAGqn3M8bozJeR4EgS+e5oO0sbGxMLN48uTJCxcurKysLCzMHz9+fGtr4xvf+MZNN91EKVtb + W1tbW5uenj5+/PiVK1dWVlaazebS0tLly5eTJLHSW2VZ1qOGvAbjBwf6dc859EYGrBXMtf5IoR/U + 6/WdvOh2u4EXho5XFIXvuH4YOo6XcelQd2ur98CXH7rtDu/y2kWD6qdfPjUaJUrssjDBELouc+sx + cbJhJpdX8zge7+xubO5spmmcFXnVCcJqJQgCjKiSCABe85rXvPrVr379619PCHF998knn7z33nvf + /e53Lyws3HTTTZTSV7/61Z/4xCeSJPngBz/48MMPdzqdt73tbQ9946FHHnnkFbe8vD8cPvH440dv + uKFWq3V39xAhZ8+fu7K1yaWYnpm5srOhEdSajV6v5wT+Xmd7ampqr99jnosx83x/7cp6URTM9zSY + SlS1dBFCCGGUMaava0TynQmEUFmWVrywKApjFMU2jTRCCKv0apW+LWgt4wUm1PU8X0ttEM9KrhVC + KB51A8wqleqoKIVWxkFxmkGZUoLCaq2UIssKJE3g+IgSg1VWZK32VDdPfd9BRAJAvRFKUeR5GoZh + o9Ewxmxvb/f7/WpYsRLqtjKxR6TNWzzXHY1Gk5OTmzvbnudFUTRKk263G4ahBemMRiPO+XhzDCGU + xHFRlibPHM8NPB8AeF4kw9GRubk8z+M4DlwPY4oAbr319vHx8Vqtcfb8OSklV0prXa/XQ1vIcW4N + tRhj7Xa71+slSVKv1+v1OqW01+uNj49vbm5aRSvXdfv9/mg0yrJsfn6+2+0aY3Z2diyxXwgxGAxs + 0lKv1wGgUqlsbGzU6/Vnry+7+qrVqjFmb2/P87xWq7WyshIEQbVatcktxtiyxK2AltUMs8WtOSAp + wPWHSP8zQexsquo5bhAEyJgsy7IkBQCGmP2D93FBBwNQK3zx7ALphfqSmReWj1k/IYUMgFHIlADY + 9uoM0qCNtrxSA2AwaKSRtn0mQnCtFvlhIIToj4acc23MxMTEIB5IKau1qCxLUUrXdbV8gTfN6ksz + pYV0CLXzkKIoCCAMMN4cs5Jldog07PVr1Bcl7+zsIAAjVbNWRwCi5GVetBpN3/cHg4HWulVrlElG + ALjgjFDOeTWsnLrxxjAMK5WKFwaT7XaSZ1rImZkZh7Ht7W3LH3vta1/7A6993Vh97PNfvO9lL3tZ + lmV5WbzlLW/5m/v+5lWvetU73/nOs2fPJkkSx7Eb+Gk+UiAAydCraKWiKhlr1mRRLiy0J8YjKXKn + AmEL5udOTLTEsNfY3dKMgsOcSjQ20Zp//MmHXv0a/I1vTmRFr7eyMTntIDpsVNBOnHiBOH1kPqqz + +YVZg0Ba3TmHWXRcURRxHFuJQrtc7WKrVqvX9zsih93Ep3c0r+d35E9Mtp7udzTc3t4GgwlhGFv5 + O3GIeD7olj7H42iew+9IAwBjjPNCKeW6rF6ParXabrY9SkdSSkJ8g/av87ATo4w6FGlgjNHQMRr5 + vt/tdUTpPnFmveE7gy5ggKKEqA7t6RZHrBQl59ooSajruoEWDBPAGDQYZKQluGqjtUKCg+SMq7LI + sqDi1RuhAhczHwFJhiOlzb4/CgbL7ru6eSG4upBfKpBein/GMPsFhB34gwaMDbLEJI2MVYbcny0Z + ggDbgsQgrJHGB8UJBo0M2FWq0P4X2GhABhhQ6hDiNolTdetZmuS92A+pU4IpVTOqGQS9JGGh06xP + eCoteKoJ7Gtk718hAovbQ4APT7r9c26/oaYPyieDAANYUyMM+HAspgGQ0QZhQNJ+z+IJEQAYDAAa + 9hk4h+cnsgKVGBAyRcGbjTZDru+Y5YsdRgUBZ2+Hj417YBwEyvOC7jChDtm/VGOLOmuYJq1LUJ7n + vlt7+fecunTh4vLyQGsY1KE7vDQzM5EJRwD1kXZc16Y417tfCCGlDzhIZXnog5Sg/MqVK8/wQTpy + 5MjFi8sXLlxYWFhYWlq8ePHS5ubm3Nzc3JGZ5UurB/5IU9f1R8L40LLiea4HaWOr2aIshsMhxcRx + nPn5+c0rVzzHD73AcnQ552lWuE6VSx3Wa3/4J39471/++RNPnpucOVKWqCgZQqHkVBpgjCAsdZZu + JPyJS+Wf/dX/naQDx8etibFKVOGiHKWjgktCSCH45s52c7y1s9f59Oc+MxwOjTEXV5YVmK3OTnfQ + X9u4kqZpURQ5L584+9S/fddP33LLLY1G42O///EPf/jDN9x446OPP754dKk5NaW1HhVJtRYBRt/4 + 5jfvvuee7t5eo9GIworla6VxsrS0BJRcWFlGBH/t0b9/y9vftre319ndffOPvfWGmRkASNPUVheM + MaGkUuq7TcXOIOCce76DMSrLkhAr9m0oo5xzSpnF7FgKOhBcaIPBYCUoZi5xtNalUuONMV6UW5ub + xgsqUTUXHDs49KjGaDiMVSqFkA5za7UKM4gXZa64U/W2R51UFJgSSmkl8DrbV3zPm52ZHY2S5YuX + MMaTk5PNeiOLE54XQRBU/CDPMjsYFEI0Go1+vx+FFfvcaq2LshwbG4vjOM3tIHSfI2cVhoeDwczM + jJCKUrq705mcnCyBiFIUab63u9tqtUBrg3A8HH36k586ffqmyyurBNHA91thZWVlhecFAYS0CVzP + d1ztB0KI/l5XCjFWb8RxnGXZ1NSU4iJP0oofNBqN7e1tpZTtfQeu19na7vV6L3vZy8qy7Ha7G2vr + 9Xp9YmLc2qUkw5ExplqtthpNrbW16X42ibrMcgCgCGshtZCzU9OdTsdrOmWWj0ajhYUFqx6+sLDA + OV9dXV1cXJCAhLY4HGQlCsGY56Fnf1vjRSuQbM2jpRqNRkWWTUxMRFEEAPJA+9hWh4ezIwtnOnzv + c379rf3iF/rqg6MLaWRs31EbpO2BgxACgwEwAmz5+5yXRuyLacRxjAimhHhRFATBcDQiCBHX5UVJ + KLHsF4rZC7ogO8y16FWLyDTGuK5rVfss9M4SbCyrz/W88ckJC43b3NkupVCg5+bmoijK4sSiSwHA + Um4AwGOu4zh5lu3sdrrdbhAEUsrtnR2/EhJCRFleuXLFaD0xMZEn6dLC4n9+/y8/+eSTS0tL73zn + O3t7XcAII/Qrv/IrCwsLN7/8ZW944xv/+I//eH5+/uzZs/NLi0IVCkriot5mz8E0cL10lGRxHMep + kWhzfXOqcarIIEvy4SDhvBoGDcGJBokoSkeJ77tZDpOTY2NjWKpGZ2f9yPwkh3yvs5mk/UpUwVin + aYwpK4VQSlGHGWPsKNIy/Oycrdvtuq47NTUVBMG35nd0jZvQC/A7WseY4P13wT/B78gAIDu8Kooi + CIKpqSlK6e7u7m62i8L9kdG1XYPDEfPh4EtrraTRAghhE+NTKos9t9LrdhwHqiHceOPJar3Rmpg6 + t7q2vLrhuFQjlma5kqgSVJVSQuYajF2KRmmlFCXm9ttv///Ze/M4yc66Xvj3LOc5a+3V+zIzPWsm + GwmJkgABIaggSICERVwgIHBFBQRRkat4ReF60VdewKvyAm8QCCKiKFcSIQGFxCxkkpBklp6e3vfq + 6qo6+/Is7x9Pdc9k4xI/XMLn/eT36U9/eqprqk/VOed5fst3oSifXZjt9YL19fWCU2ZTrnJmWLhP + lNRoprOui494g0/WKvZUPBUAEoovMhAAACAASURBVCmsWacYsG51KaSl8yWSFNTujarzZQx9S9R+ + dSSRlAhLAIUAKY1ul0kcAoBFMZWsiPJwPcp7abfd6S1u2JLmXrfV6XSL2ByslPc2zYalDKlAYIwV + IgAACClEdgS45W7/T5c3EgDvTJ7lOZpvuP8cXfnsfOvXfbq007T0h608fbuihz0EwPtOBlLKer3J + s57kVApe9krXXvvTS8vtmTOLkuRJlrmum6SpIrt3tr6X5a6U+sT45MED+0aHhwmihMwtzG9IgZIi + Y5arlDKYIZHULXCtQPuY0acxJDkpqMe8XR+kycnJlfnVkydPXnDBBYcOHbrrrjvn5uYOHz548ODB + kydPzs7Onnfeebs/Hzx4cGJiYn19/dH+SKH/KH8kKXelnh4dBGFi9P2RHMuWvO+PVC1XPM9rbWx1 + RDvnhV2yLcde29w4eWbO88p/+md/jk3ieR61TNO2tru+QpgYJiGUcy5ETg2ghkJSyQxqlXqWxe3u + 5tjY0PDY8ObmZoXhPC0Kwev1epplJ06cWF5ZQQB+ELiOU3BOCPn7L31pbXV139RUmiQ9369WKkLK + Bx54YHVtLU0Sy7Y1w/bg4UOdTqdSq+ZpJpUSoFrtrb/9uy94juc4zlB9YHF5kRCjXC5HQWhZ1nZn + q9FsapqTH4X1eh1T0ul0vvzlLzPL1KwSAMjzHDBijAnx2JyuJys0mkZnm33NJ1EURWE6TCmld/k4 + TrVcOyYUG0yA4hKIgiJM8jhh1Dx84Z40TS+/8EKJVKu9WW7UsiLtBH5zaFBwhBBGQhBQhkJ5lggh + gBKzVAJKemlg2mxhYb69tT4wUEmidHllsVJujI6O6uQBSbVLhUqTpFQqIYTOMnAMphuLmlFGKGW2 + ValUjDTR70u7UGpG3OTk5Ite9KLBxsB5h4+srKxo5WEhxMjQ6Orqsu4Llxw3y9N6vd7rdarV6sLy + ErMdZBgf+KM/pswIer4AxajR7XYxoJGRkdbGZqlUqlWqURTtndzDpdDIQM55p9NpNBpa41cLTlSr + 1Uajsbm52e12y+Vys9nc2Njw/R4hZGxsbHp6WoPiDMPQT3i8U2YYRqPR4JzPzMwcOXJEq0kDwJEj + R2ZnZzUhYmVlZXh4uF6va3Torp6W/lj+/wCx08vq5ZdfXq/XoyBI0zRPM9d1c54PDw/3ej3dLtLr + 1K744LlaBf2B/hMdCT3BlEyjB5BSgCRSHJAAJPstM8ASMCiCFUUK6e2HMYKwQgglWSqEcD2PELLd + 666srAhZVCv1buADAAaSxhljJognNgJT0O8ZVCoV0zTDMNTjju3t7UqlohcywzCyLMuyzGo0JIKt + bg8ZzC6VDx89P4pTCXhpbb3T9eMoMk1zcGS0PwYxrSiJXNvxO919e/Yy0zx9+vRlz/jxbuBTw+h2 + u5tbrWq5Mjw8vLq0HMex4gIjfMsttxiEpHF88ZGLZ2dnTdtCCA0MDd59990Swete/3qE0OnTp3Up + EkS+wNI0jfWVraHmQB7G89vbrmmnAQq78uILr2jU4HP/a+Wfv/JvaV6vVsd5LrI84yJM8pYEX0F6 + 773R/MK/v/zaZ0mQUphZigpOBwfG00T0en6n56+vbxKDauV3Zpl9MDEhlmPvOgJRShuNRqVSyfP8 + f+N39PA8Xn2ffked7ZWVZaz9jrqBlPnD/Y60oui5G/C5PvSPlPPuPwNjXR3V63Xbtnu9XmtrAxwo + lUqU0nznUHfbn4ZhKKkklmjH5SkN87zLMe4maTw+WH79L15HinhibBAkb291l1e38pz7vSRLpSLM + dCypQEpR8KTfZAWMgCKMEaIIgRAxNVCt7D194KJGfXhlrXPb7fcvrbYVMgYGBhhjhkFFwUECCNgd + gutbWCml4KkC6al4EkIihUHqGcyOghsGwBhAIoFAgpL6n7vlhEK6GaYkAFFy9+6UCOcY71RHCCvA + CmqeIyXggsoUTMWGBg80Jku87f/bbWcwMjf8DjHNX/yFV9abo3f4D6xEq6ZJBVGAkEIgFJIIlF4D + EMIKY3UukA8AsNBKQmdBfQC7ahNq59AQRv3/qDGxWnlTd/nwOTtgv446+yIKgIDneSWrfPzBE0oW + FRdd//pXNhu2KpIsixHOqKGSJDKsSqG0tUYO2nBWAQDpw/kUFkJsbK4fPryn29ugrHjd9a/Y2tpa + XWudd/HTP/Y/P7nZ2o4LZZQcd8zbhYo8ZiilMCH6OVovWPsgKUtNTU3NnpydnZ2d2r/3ggsumJub + O3ny5MTEnosvvvjee+89fvz4xRdfPDW1b2VldWZmZnJycmCwcerkaXTWH2mt1+sZhBmYAIDgQmp/ + JEy0vsxjBlJKyb7TE8Uk5VyLoJa90v79+8teJez5bslTCLZ73U/+v5/61Cc/g4lRqZY22i0hBGJG + XhRcAYDElFCKhCzSNMYYTIthwDJTve2e41jDY4OYoDNzM0NDQ0IhQplSEhPqlk2+vd3abtumwWwz + LwrCjCSMOKhSubyx1VJcGJYZRlG5Vt1cW/ejECuYX1qc2rO3G/iLi4uGyRzP9X3ftb0wCF923bUT + 4+NplMRxnESxUqpcqmqb+DzPvZKzudVqNBrlcnloaGhhYWFra6tWq4VhGCUJl1JIqSVhEUJpktIf + PQ6SaZpCcM4L0zQRgrwotH6yEELr2eodX7cgwaAgRF5wUyEq0Whj+FnPuOIP/uAPTMd6cObkA8cf + jNNofGyEZ2mepCXHDbMEKTABQ15oyQRkGjmBrTiMpfBqpUq1+g9f+vuvnDxedr2S5aRIBt2e7mjn + eYERch1HSpnE8aUXP8113Xvuuaez1Z6cnHzhC1949OjRIAjK5XI38D/96U/HSTK/tFgqlWzX0VYl + ru1gjIMgiKJooNkcGRxCAn7jrW8/77zz4jhO0zTPc0IMy2JCCGbSjY0NyzKr1WqlUvJ933ad9a32 + 577whf/b/r/mFxer5bJhmlEQWI5zcP/+z33q8xEPMKVnTp/+9be/fX11tRBiZGRE95dLpdLW1hbn + XBOQbNvudXuf//znZ2dn3//+95umKaWc2rvvPe99zxVXXBHH8Yc//OGbb77Z933dPt6Fk5ztr+hk + QCrTYPffc+zAoUM/efUL3vOe94yPj3/729++8cYbb7nllmuuuea66647ePBgnud33nnne9/7Xs1B + 2sWn6HiUTsEPL36QIg1XXHHF+37v9wkhSogkSUTBy+VymqfD9eEgC3RNr+uiHdoGPBkFEgYAogQg + TpQEELovpxBWgEBRiTBWCBTGCgCkEFzIQkO5hBAKAcZYSBlF0bWveuV2u207jlIqzTLdwChE9oSO + ByGkqTJaxEari2hTHf24Xq1c19XlU8WtprwwHGu93Wq124pgBWhkbDRKk3KtahhGu9ftbLUrlcq+ + qamcF5DEk5OTMzMzS6srIyMjtVpNCLHt9yzHHh0dLbJ8bm5OFnxgYKDZaMwvzP/mb/7m5z7zmZ/+ + 6Z8GkHv27Dl8+PAVz7zyy1/+8pVXXvmHf/iHX//a13TxYNv2+vq6QuBWKgRb5VLDsStR13et8vDg + EDPMjY2Nr/7Ll5/9zJdH6Vq1nmb5ersXIFxnVgkgy+Xm2JTCnlHI1ur6mXLlp8MwvvXr/3HgwFGX + Ntb8bhRzr0JKpQpjDDCS0Id1qR17Cl1sJ3GilBobG6vX60mSdLvdx/U72pHKOJvTK6W+T7+jpcWH + +x2px/I7+n5v4F1kP0JocHDQcZzNzU3f9xljlYFSZgaEEICzE6TdkdHuwKovIGMoZSCE1MBAo14v + TU9PL595aObUNsUgOHAJtgvEKrvlelLIoigMw9RUOkIIIQYgIhRIARghQggBet9993k2ZDzz3FrO + 6erKummWCTO1w52+YQEDIFBc7C6CmqJw9q09JdLwVPxwQwIQAKQA6+pI9adA/V8ihZVE2h9Ikd2h + iwRJtLSDAgAoEBYIOAaBEFGAFBAJGGQch0IoixNc2CKXwFGtVAVmWCnxCCtSKVJppYQBQbkysKFk + KpGQCCuMFEISkMAI+nB5iRXWrCctsyARAuhXOBJJDBgpSTR2Th8a0h09CQgT1SdN6eIDq7P6yxKB + QprV1L8X8c77Ag5ZltmUO441PDga9jbPnDl9911LQbflOqWswEmC0lRhw8OUQn91QgjOvY8xgKCU + 2Y5xxx13iCL1e3DTTfcYBlz69P1BXgRBYNs2NjGymG5I7ZpqwKPqEillLnKb9n2QXNd9hA/S8ePH + z/FBOq7VgC655JIHHnjgnnvuueSSSwyDnT59emVlZWxs7NH+SFBIoR6ZUanHh+js+iPhXX8k21JK + nTp1yh8ZYYR5lbKGIdQbDSlgu9uqVCrd1U0BvNYY2Gy1ucTjk5O9Xk+oQkCBKbKdHdVsCZbFmof2 + dbvby6vLCkS1XvfDkBCCEFFKrbc2GWOu6xBGEFaua4dBnOc5YYZt2zrjx4xZltXtdre3twdHhoMg + QJRMTk5yJYWSzcEBAOj1epZjK4DBkeHNzc3NVmt9fWN8dIxaJmMsyXOGgVgsiUPM6cDIcBzH4eZG + fXBgq9uxPLfVbg8NDVXrdYyxHiBoFDchBD36FD6poQ8silO9IeZ5YRiG4zh5mmmRBqWU9iBCCGlg + pB4nOqbNi0R7aUoEEtD//Ou/nF1cuPD8w+uzZxiXvO3f8c1/Y6pQWeYgygglhFDbcUcHBw8dtMeG + PvixjzLPeddv/85QddA1KjwXURYww9G6cL1er1wqKaW0esG+yT2f/OtPPnTqobe85S1apWB5cUkJ + OTg8NDc39663vXt6evqfv/KVPqUiz2q1mk5XpJSy4EVRYIxLbumbN9/6+7/73oMHD371q1+dmjpw + 7Nixm266CQAMg2BKVCERg+c95ydMk11yySV33fOdj/3VX/U6nbm5OT28EkVhGAbF+Pzzz7/tO996 + 4xvf6LpuvV6fmZ6u1+sDlUqv261UKmtra3r4pgdTOgt9+9vffuDAgbvuukvzl0ql0uWXXz45MfGT + P/mTL3/5y6+77rqvf/3rGOMzZ87sikDsnqPdHxzHWV9fP//CCyuVyg1/ecMnPveJj370o29605te + 9apXHT9+/IUvfCHn/LWvfe34+PgHP/jBF7/4xd/8t2+IHVjsLkp2d7Lyw48fWIGkxS5mZ2ff+ta3 + dre3h4aGMKAoirIsHR0bjqIoz3P9Pne53Y/BQQLyhDhIeld4QrFTIEkARZTceUTvRrsSCxJAYiUB + FKNYSq4UAoLTNI2S+MCBA8++6qoXvOAF2myn0+2apmkZTAihzXyeUFBCTMYc295qtQDg6ZdeOj4+ + rvGs3/nOd5aWlrTjrW3bruvaliURpKJgtoUoyZX4wIf+5Pf/4H3nHT7iVctd34+C0HGccqOWF8Xt + d9/5ope8OA5CpeQ99927sr524aVPW1hYMBgrk7LtOnGS+IHv2Ha56XU6ne12+9Of+Zu3XP/G17zi + lVEWX/uaazudDqX0mc985ite8Yo4TaIo+tznPre0uLj/wIE4jk3TrDeaa61uliaMONvtbhL4g41q + p7vR7qxO7qt95C/+8G8+94kgRJ49zmr1HEsOZpArTCTFyfyWX6+jd7/3NYzJb9/+jzzPGs2aYzfi + TGFwTaOsJFVAhFCgpB4ua1S0hslRZmiykOd5pVIpy7JOp68/8zh+Rw+7wc6pzOVj+x0ZRqlUSpJ4 + Y2Nd9f2OoiRJqhV714fu4X5H38uFY6ecUDt/HCkl6vWqLnp7fkdTJKmJu8mmXhoE7BD2doznJcjd + G8cwDOIatgDbdn2/y3Ny4vhs7sdhBFUXihwGhujA8FiuWJLLPEp5xg3TchwnlVn/gPsTKi4lSCQR + SCEUAMmyQoqQWeVqrczsKmUOxrjHuexnXgAEECUPE2k4520+NUJ6Kn64IftUmd2ZiQIMWCnAICQC + rAD1Cx6sAAsFEmGJdoFDO2MlQAJBTkAANhRQAVhJhAARYCYzuFVyGzixCl+KHEqsXGIVq0D1kicx + IpIWIKhhUWplMpZISgQKEEegCJaIAgBIgXcOUiGN6EMC4d0CSWGplB4nYaQrvR28n9xhWOlAfesL + dfaBx47+W9MwhFqt0WgMKp7NzS4LHrlmqduJHK9GMEJIGoYRJCmhFECDzM/5eEEqEAipJEkMw7z0 + aRctLy5959haksJ2O1raODk8PNyNpY0Mo+SENNKG3cAe85AAISSFMAyDwiN8kJLl5eW+D5LfmZ2d + HR0d3bNnT7fbPX361DOeceXU1NR99923srLSaDQmJsY3NjZbrda+vfuHh4dPnTq144800m31sSp6 + I/jf+iNp11SllNzxRzII1b40SZJYZatWr5cqldbGBpdCymJsT0Mh3lvbNiwDGSlzEJHUDwKEseJK + cI4xogZGQJRASkCYB0kaMkZNi5im45a9KCS9wG82B4ucA8JCCAmKMiPLkiAMs7xQCumcu9Pp1Ov1 + oij8MChVykEQBFEIGGVFnmSp7/uVSiUMwzhLbdv2PG+rtd3tdqvVqsnM0Ylxgsl2t1NjNYVAKJlm + hVetIALbfs+2bc6LQgrTsQmltUZ9o7WpKbiu69qmmaZpkWX9Xt73+Ph+uKFAdzOlEMK2LSllURTj + eyYGBweXFhYHBgZWV9d930eob0QhhKCKKIkKLgRD1LJNYghGiG2FUNQnRp7x/Oc8/bwjstUZAnP6 + 3+96YObGpzUrdp7TIgWJMiVbWVEu8DOv+Imrrnnlz7/s5970G79x5v4zdqlsoLLlOL7sMoajMNxu + tyuVSr1en5+ft5n5khf9zDvf8RsbrfXjDz6URLFBaRxG37z1G0mW1hp1zvlrfv61a2tr7XZ7cGQ4 + y7IgCnU5t51s53leLZVd19WcFODiqiuenSTJf/z77a942bU/++KXrK2sLiwsIITiNGLUkFz845f+ + 4dd+/VcvvuiikfGxT376041afaDR1EJzeZZxzqMgVEJWSuWyV6KUbqytj4+OUUrf/OY393q9gwcP + fvSjH/3ud787MTFhmubKysrk5OTb3va2Sy+9dGlhIY3jWqWS53kUBM95znNuvvnmbqdz6uTJt/7K + r1x+2WUnTpyYm5urlMvFjr4APtfARqkoCCbGxnq93u/81m/9083/+JEPf9h13f/nr/8aIdRoNN54 + /fUDAwOu69781a++/7/9t9HRUUop3rln0cO/npR4wgWSQqB3Hf397ONKEUK0fp/neVmW5WnGGCu5 + XqVUpshI0iiJM4kEVkQRyQsJSIHCgLSauehr8PSR4d9XfI/b99F1lpb+2VH6QQg06xwQgEIIKXIu + sbavNgZawdnM89zAuFwuF0Xh+34YBJZlpVFs28gyTcuy4iTRxfcTrXTzogCAgnOl1Mte9rJ3vetd + 29vb99133/79+6+//vqTJ09+4hOfuO+++5IkabfbpVLJsV3HsfzIX2+tf/6Ln3cchyAspQz9QMvt + A5w9O4QQhnEYhpbr+GEwMjF+6ze/EQSBRJDzQgjRaDSQgna3UytXgiD4h3/68tf/9WtKyCAI3JJX + CH7bf9x+y0u/wTmfOnjgzJkz1Wq1UqksLS2VSiXGWLvdpsjM07zaKKVKOo1GqeyuLM8PjQ5/4EMf + cGx3q+WXK02MHYzsMC6SlNcHKkJBHPVsE5dstrG+Mjkxtrm2zqgJgDjn3Sjw49gplbMilwI454bZ + N/UTUup2ESEkK/IkSRq1+sDAQBRFevgmhDBN+/vzO+pPkqSEx/E7Gi+KYmFhUQhhWXan02WMDQ4M + b293KWWU0rNjKAk7fkePuPr0pax00vbw0kEqpZrNZhRFG5trhJB6tepYdjds+2mvKAqELP36GGMN + 3tM+SBJJKWWe50VRgMBYEQ3OVDJdW1sbLLtjw3m9Wh4bG6PMmjp45MSZhROnZl3HE4j2/JASxhjj + nBdFppAWeEIgkRScEnTZZZeZRnFq5lRn24+iyHVdbBhBFOsxpkFJLoTiAAq08fwjRRrUD0+kYWcN + Pjtv3qk9d8Zu/af02fbi7OM7/I6+npgGEYk+Mf+peLJDS+IrAImkPrlaqEAD5MQ5HTEi+3AyrDD0 + Byb4HObMzq+QBEV3xOv0iKW/Oej+mugjCPRrYowAS0AgJQIMwAVijPlJkObgkiozaEpyTEENMJAk + TnkqiqTEY/Bb0VbupmAijChSSCpCEHAABQUA9AUVQFc+clcpTmItTCc1ok5/aQiDAAxILx0YQErA + WniifwVrkOCuqB16nM4i1UBiarnG6uqqzQiAtG1bFMlLX/rS7W4yPb1IQp5xgRASssAU+kqVukJE + WCkFCkvJMULPf/7zbJOsr64968r983OLc3PrzbF6HIZC2tSmSZKIkozjUEqukYsSEAZQCIPq33GE + EKT6nZ5caB+kaMAeODi1f31p48EHH9zxQbqXcz4+Pq6Usizr9ttvP3r06JVXXnns2LEoivbt24cQ + np2dPeuPtDCbnknHx8cHR8ytra2wFwpQWKlccKzAsExZ8HPzlt3sBWPKKE7SlHPu7PgjKaW03sPG + xkaapqZpDg4PYwJ+4EuUCJk0hsoY0Y2NFa/csKzK6lq70aghTHOupCykpBiUlAYoVSp5RR47jpV0 + YoTQxnrLNG1m2EXOkySp1atZlnQ6Hdu2KaagwLZdIUS73QYALTikyclaeWxzc1MrhhFCNK89SVPT + VJVybWFpeWx4JM9zSsh2t2MaJrFt07EFKIkkNWnQjZllRFGECUIIlSslxlhR5HmSGgYtl8tZmhBC + Cp7HacpMQwolJP9Rqo8AAAhSBc+Uko7jBEFPSjk6Orp///48zQ4cOMC5DMMwz1OlkFISI3AsExGc + hFGe5yLJFHNSXijAuSq2traedcUzPNM+M//dveNT465Xi9Orxs4blFKECQKjOjC04AcrBDWCFIK4 + 6tlTw6OXX3bZ0uZWnueVWm28Mt4Lthkz6o2GUipJ00azWa/VVtbX/ugDf3zppZc6njsyMhJFURzH + Q0NDWZFzKd7//vdPT09/5zvfOe+885ZWV8rVSr3Z2NzcHBgYKLme7/sU4TRNi6JgjLmuu7q8cuTI + EZCqWasTQBura4oLw7ZMwyqVSlEUIECuU7apjRWiCMdxnMYJ5zyNE7fklVwvzTMl5NjY2O/81m+P + jI3+9w98cGll2e/2XvWKVz5w4sEPfehDuihaWVnxPK9arU5PT7/vfe+zLOvqq68eHR2FHVeewwcP + /s1nPl2pVL72ta+dPn26Wq1ubGxUq1WNbwSEKCFqp/usCyRNH9DYnJtuuokxpjUSi6JYWVnZv39/ + p9PhnF999dXVavWeu+/uKyjuwHN0s5hS+mRZLz7hAkn2d6/+991QSmlQUxAEF55//sLcfLPeaLVa + dqnMM04AZ3GGFVIKi4Jbri3ylCJaFJwxw3TsXq9bFKnjOQDAlaSUFkVBKNVUPCklAkAIRVHUbDa3 + NjYrlYqeQlJCAEAIYRjG5vqGVy55npfkWZ7nSZI0hwa73a5jWoZhIIA0jDkIKWF4YHC7veFZTMgs + TWPdbTJMyw9jhFClUtna2p4YHVtbWbVNCyFSSAES8zR2XTvLEs/zMEKMWWmaAQCxMMW0yHPXdaM4 + 1o5aURQhhB5RNT2CdgUAQIjjOMvLy+Mjo29/2zt+813vnpme1qYEU1NTz3ve8976ll95y6/8CjNM + TKhSiiBQRcEoecMbr1dKBWF4+PBhxtjGVkvzl5RSSCpECQipDyBJEkKIFhcqpKAm03+aUCNLUgAw + TTPOUmxQAIh5jgAMz86UAIpTyYESSsni0pLBWBTH1DBKmoMrpEEpAmwzN0tjkDxXotXp2pXaO3/7 + vUL00wIFGCEKCgNQ7cu+S0febakCgEGIJudlqa5zzEajoUAwxpQChDFCoKSSEoRQRZEnaeo4brM5 + aBis11sPw9hxHNO0szQnmCKEeCEElwDoe/odgcGIH3Qf5nfklM76HRWSUgMUNqipJGRZYTJHC1zp + YweQCGG044d49nYAqaQAJTEgIYTBiEIIU5plWVakQ0NDzWYzjsONzbU8z/bvm6qWvdXV9e2oJSyu + lJJCUEolpVJKvEOUklgKEBgxXS5mcRpvJlwWXIjz9g+8/4/+6+rszOEDB2ZOnfY874HjD66sLLS3 + N5lpdP1YYtPzPCEEAkBIUYolKKH9qRXCGKQq/GB7dKh87bXXxBFfXm1/7sZ/ijMw7YqWteFc9FkJ + AFHY73gho49XRBg94hP4Pxeu5WjjBQQ4zVKvXMKAiizHCsqe1w16bqnU6nQosQzDwAgrJBDKdw9N + AVFacgIJiRBWCBTdyS/lE5Xpfyp+gIEUUAkAwIlUSHIiAYAKDAqwVFxKbFuS4FRmBGEbqMgKXuQK + EQxKIaRhbAiEQggjBYggbCultNQ2RnoXkUopkedcCYsxYjGicFEUPC8wB4tQhBBGCislsBQIKHJl + jplJiCEz1eMGPh52qIDG1RNEAVbYBrhbHucdyD1ZGJnAmVSCcbvsuK1ehzkGYSRMQ4uWCCIEEGDJ + kUJIUlCAOMdIYgSSq0JgiRgxGaFY4ZyD0gQZpJQkmuOnL9SzlMa+ypzEIEEKTHYsMhFIBAJLwAAZ + AOCiKBbnFzBwAuKNb7hm756BWsntdLobre0w9LMM2V6j3dugjCCkB+8GIhgpo1/mgARFCKYLC3Ml + lx09/2Cz2XzaJecTyg4fvfg33/O+XhxkEgsiSgeqmEjCtIAgBqCgMCjSn0ohpZTAGPOM5zkvO1XT + tP2OTxKDuHRkZOTBzeML8/NHjx6d2rt/dv6MYbBms0EpK5XCtbW18fHxw4cPnzp1SrO99+3b22q1 + FhcXJiYmJycn5ucXFpfm908dHBkbPhPPcskxQCHyarmCKeklCaYEYaL5XEopiUApSQBxLilhlDDO + JecSYwoARSEYY2nk93o9ITnCUC6XDAZp4pu2HYWJYbBSqcKFCsOQYJlnETMRL8JS2eW88Du9Rn2U + AOl2tigFSilSgBQ2wPCsoGaqtAAAIABJREFUih+FCBFMUJKFUhRS5YyWDczSNC+KHCGgO3jy7a32 + wMCAlNI2rbWV1cnJSe3CVyqVVpdXmoMDGGPXKrVaW7VaPQwjIcTo8Mja6rqypW1bOlOllASBX6mU + sjzFUpY8t9f1S2WXABgEIWxYFtve7hoGQRiUEsw0JIgkyxh7nDngkxdC5tp2Ms9TgxEh8fr6+j98 + 4QuQ8BNHD01OTu7bt8fzvF4vsCw2NjYyPjaKECKAgEuGGUPk4NRBBGAhenT/ocz3UZZe/rQLF+64 + B/y2kJmLBY3DhusWaVFsro+Wq0LmycIs9LrURvunRjMegJkfPn9v5AcDgw3KABtUTyyHXbcoiiiK + 1lqbfhBccvllXqXMLLPebHDOkyhuDDQVguc88zlve/c7JiYmEMZ79+51S97W1tbhw4d18uY4TtDt + TU1N+b5vWdbExJ40LwohAZMgiMrlarlcDYLIUtizvTiIESDHcZDCcZSahoUUNg1WclwBKuEhUkAA + 8bzI4gQDuu3fv7W4srw4N48NWnY9BPD2X39blMQa3qW9YvM8HxgY0HwnnWZrnIthGNpVlnPeaDSE + ECurq9VabXV1tVKpYEL6RQGoPjwXAQYEGPu+v3///oMHD37kIx/Revq+71erVa0YriUfPvXJT/3d + F//u2LFj5VpFqbNCF096/Cchduqc7zrxYIw1m81Go+F53vEHHzr//PM/9pGPirzwXFeTOjSUkAtB + CLFtOwgCINi2bd/3McZeudTd7kzt2X/1z/5ka2tLU3okRlxwrKRO+k3TbDSbXAiuc08pa7Xa/Py8 + Ukp7I7iuOzo6OjMzQy2z0WjYnosIBoy4knmaABcK0CUXX5hz2d3e2rt3kmIU+sG+ffsNZm1utkzb + rjWRlBKkqlUHN9fWL77oUpOx1vrayMgIYSQIet1uVxu5+r2QUcO2HU2eU1Jou0+lVJIkAKCLE01Q + 0cPrR6ePEgEvCj8I9NIvhFhcXOz1elrA+r777qOUPvvZz9Zado7nSi5s00IgizwtOK/UawODDcMy + BMicZxw4NSglfSg551wBxHmOGBUAiSgoIRgTJSQA6PVXnSuBihFCSMrvBTl+hPA8VkrKTMh+JQaE + KaWEFAIAUxN2ikCp1QKlAJAIcQxSIgwKS4RhxyEkTSKlFDWwUgoDwQpJVSjcR5Nr2bpKpaInQpZl + DQ0N/WD8jnJZr9cOHjx01u+oOdTtdjudTp7nCBH9RQhIKTnfnTn0T+DOz/hhM0vtr4EUAgQKmEEI + pTnnfrfneO7esb2GYbTbrSAITJONjAwzSufn5+MwGt0zXDl4CCEEUlFEwzxXBJccM0kS7YwkFQfo + 4weYYUhbEMM2LcRM42//9sal6TPbLVEUUHIhiGF4DGKOLKdRqQwIxNIsk1IWkveRfhgBIgAS477Y + 8NLSwtpy/s1vfcu2nUZz0vM8amKhqGZ/9UUaBIAC40ncOAnu+F0DGQPuYJrHPBFQYD8JGCUYkygI + eJL5Ka+XKooYCJEkSQArRYREWv4ZnW1SnNN0Rwqrx+vBPxU/rEB9cQAJIHWWr3+gEjNqgBQcoVyJ + OC8QlwaiDLBFDQn4EUsrAlkUfBcf1Ae4ggIAzrnjuZ5tJUWa5kmPZxRRpMA2LZ3C6tVCKSX7Y1+i + X0RgjoALAlhB9nCRtt1GocSCEzCwLVOkCuIyL8vTuIiUkFJwJClWWCKiqFSAMCAi9asjAzHKkCFA + 5TwrMiEUsxzVHxBpSC5RIBGIc5YaCUB2ruGdRW9nfe6DzxEAAkKIyIXruo5FKUqPHTt277F4u7Xl + eazn50KYipSARPV6M04jQgqlhFQAEikJGAhGgBFVKlXAT8+c5HnidyGKoFaD8Ymhf7/trnK5zKXA + CpGyBVjmPN+daCmg8hzMntTVqSwYspRSgkvPLTebTYtb6+ube8fsS572tFOnTj3wwAMHDhw4dPDI + 2vrK9PTpCy44f3R0dH5+fm1tbWho6NChQ+vr6zv+SHRxcXFxcWF0dPTgwQO7/kj79u9dWFgIw7hS + qURJnGVZpbLjjyT6shkYY0IoiMcmj2oQtW7Udrtdz3YMw0DgJlGYhAWhLE0kKGEwEzB1bI8xjBCX + EvI8J4g2m00D0U6nN9AczIvEcyyCUJ5Jz3F6Hb8Q3HVdy7K22qvVauXQgYNbG9txmNTrzTgNuBJh + GHqexxjL83xjY8PzvCRJRkZGut1uvV5fWFgYGxurVConTp0cGBwKgtC27YpX8n1fchGG4flHj0ZR + 2N5sWZalRwoIAJTEQjHLUkJSUI5hAi+IhCxLDIw82xJCYCUJIUkWY4ydkpOnKUb0R2iEhCShRsEz + hKHgWRiGpVJp3759nU5ndXlFOwXHcRyGYbfbDcJenqeddksCMMJUwT3DRUK2t7ayPBMgkiB0TGug + 0Zy96zub7a1hxihFmRKRLKjIAYEiCBFEicEYA54CSKBobnW+k8br7TV/uzO7NFetN4UCpVSWZUmS + YIwty0II8bx47vOfZ1nWielT1VIZY0wx2dzcfNZVz775lptnZmY2Wy3DMMIkJgZVSq2vr2dZpvEg + aRAtLy8rpfwwmDlz5uXXvKLT6RRSNJtNREi321UYSSmjNKEIC4B2u5OmecktZZJ3Op3t7W3f9wdH + hnmWp2mKpLIsq1KpnDhx4vbbb0+LnBlGEEeMUALk0KFDD504TgjpdDrVarVUKq2trWl/FMdxRkdH + 2+32wsJCrVarVCp33nnnM57xjHvvvTdN0+Hh4dnZ2SAIJicnwzDMBYedlXB3yC8BsiyrVqtZlt1w + ww0/93M/9+53v9u27Waz6fu+aZq9Xm9iYuKLn//in/zZn3zsYx8bHh1N0xTvxG7+tkuX+OHHD4yD + lOf56urq0tLSyMhI12g3Go1Tp0797m//TrNam5qa0nXF+vo6V1JLSYZhaDAmhDh06JDv+2ESJ2H0 + 3//sQ+Pj4z3fz/Ncy9gDgJ4gWZb18pe//LnPvioMw8mJiU6ns2d84t3vfrdS6s1vfrNlWYcOHdra + bL3xTb/cbDaZYy8tLRmWCRjpekwIIfPCsqw3vuEXR0aGWhsbju3VK4NpItNMKsQQkDQvms1mEPSK + PPUcY3ioce+xu13btE0ry6OLnnaRbZvzS4u/9Eu/tLq8Uq1W0zTlcaQHEQgh02KU0iTN4RxWqP7h + XBi0fmQ3j7csSwFo569qtfra174WpNTDMc/zDMM4fOhwpVLJsqwoCpAqiiIEpF5rdnpdIRQXPIqS + xkBTCCW54lJw6BNj9CDedRyMsVawQZggQLkQGg+pDwCd01r/TzAyCQUQSmP4lQLOuewr4ktQ2guY + 6NoLIy0uVDy8rgCdD1Uq9TxPMQKMMZICADjPtTCAtszSszgtU+O6roYfbDyG3xFVu4rY5xQtj+93 + 5A0ODj3c78hfX1/XF57+GM/hC55775997bNn9pF+RwBIGoaRFRmXwrT6fke9Xi+KIgCwbYcQGkdJ + lhWEGAY19emglOYip5QSjPSHIKWUILWIqH6C4TBWZrZrx7GfxLyjYoIdpYKyB0EIlTpM7BnoxSpK + UFEUHKAoCkw0aqdPDVf9zJIDcKV4GPn1smMYNM/E8vJquVyqULeQmBKWRrGUEmMQqO+D9AQvkx9M + SARSFpZl4UT2ttqMWh52lSKVci0tAsIIzfGewYlOu2tx1ukFQZLWmjWBQGjGtF6+H86beip+1EI+ + bCvs80KzLONSSASGaZUsSpR0ckKkSFUGSO6eT6UUAEZIUUr0aPPsC2FCFGF2xff9UBVO2XJtL0pC + IQrXdpSUqu/1oEGxgAHtCGf3AZv6jzxeGa01ErhAWVIYuekahvYhMU0msTSVRRBFgBUCobhQQkt1 + O0B5ziUvAOmqCVOKkYlzKRTuqy8gpYdF/6kCHgFCCBPcbDYNoooUNjba5RJBAHme1xtlAGd1M5Jp + TAxDSaIkB82MUueYniMJwBEuxsYGqqXS/NzcqZNx4MN2uwfUcJyyULjErIzKnKVaqvh7HJHuFeqp + uMZCW9zyIVpYWLjo6MUTExOzs7MrKyuTe/YMDg5ubm5OT0/v3bt33759OsHYs2fPxMTEzMzMjj/S + 1MzMTN8faWJi9szCjj/S2OP6I1G06490tte1uw1qsCUhGvphMmZgwhizmFkbGC4S5Pt+yXbjNAKM + JOA0k6ZtR0FUKtu18nCaJlEUW5aIhJ+lBULG5uoyDNSzPPV9/8DBw4CzMIriOKSMVCv1MPR5vpKE + mWOW2+2WUNwwqIGJZbCt1tb+vfuCIJBS1soVAkjkxcyp6f37929tbAZBsG/P3iRLy64rpVxZWS6X + SgYly0uLWRRlWVbyvHKp0mq1KKaO4/S6Pcs2KcIUU88pYSCddhckMggrueXFxcVytQKAi0IY1FRK + KzBieLR2/JMaRVEUvGCMOY5TFEWWZUEQ1Ov1Zr2hlNJge41m4pzHcRwmoRRgUjPq+TGNVMH3Tu5z + mSNAnpmde9Wrrmv3ettheMGPXbbx3ZOxyXq2DQhhZvFUxlGmlIxdB5Ur0BxImOmNDGeqOP3d7y63 + 2lOTe9ZX13pBuKvQXS6Xd61EdHErhGg0m5SQ+fl5z3ZM03zDG95w4403bm5uFpxr8pu+RG3bppTm + ec4Ys6qG1kUol8sdv2OYlGBSrpaCOIiLxLAMKgiiiAKxTQsRnDGKKcoVD6OoMdAcGRsVoNY21qvl + ikVJ6AcE0W7guyUPGzQOekeedsmJUyctZuZQnJw+NTs7e+DAAcaYHhbphJlzvrCwsLi4qA1eDcPY + 2tq68847X//G6z/72c/+1E/91EB1QHMElpaWXNeFx/HLkqBAQRjHaxsbL3npS6cOHJiZmRmfnOz0 + euNjY4yxG2644Y8/9MEbb7zxGVdeeezYsVq9Kne72jt1EXp8me//02XTD6xAsixreHhYSrmxsUEB + aabEhRde+Ktv+S95mt14441pnvG88MMAAFzXNTB5+o9d/pKXvOTvv/Sl17/udfsPHHjTL/9yEsUa + CaY/jl3GiBBie3v7/vvvv+s/7hgbG+t1u1ddddUF5x296667Xv3qV19++eW/93u/53nef/3d9776 + 1a++5ZZbTp48eeTIEYVRp9dVSsVxjDTCOk32jI2+7dd+PfCT4aF9gnuuM7rdhrW1XhxnzHIMg/Ai + Ujx4xhXn+8Fio24jyI+feABA/sY7f/3FL/4ZRmgaxaOjo6EfMMY8xgrBu92uaRoIGd3utgTEDEvt + yI5p0clHjI/OPdlxHGNCQClK6dzc3MDAgLbZHRkZKYpiY2Pjtttva7VahmF45ZISUouTSCmRAhAS + EayHp7ZpKSF16aWNfQATSmkUhErjiRDaldjX7Ea040n1n6/UkUQICEFKISmlUAoAUUr6A6V+OxYp + hZTs/wnGrEe9igSQcRzzPCMUE0Iogl0VeL2xeSVPKdVqtRhjes77/fkd9T0TnqDf0cpuD0P/L/Wf + 9DtCWmV41+9oaHSEULq12er6PcZotVolGLdbW3mSEkJsx9rtmugBICEEI5CyXyDtqv7qM6W1tvU8 + c3tjyRsdTrOo2aTVsnP+BYdMhw6PjZ+cXTt+YqHV9oHa1DAIwRozoxQCBAiQUrJf2RHysy+5Juxt + 3nH3HcwwuTTyAuIoJoaLoC+MgTACrEBBX9Huhx5YASBqEguybOXUKuFMKCk8Y/TQaCq5EoC6KUWq + NbMOgiCbLrVXhp7z4ymSWJ8N1Od4PFUg/WiGOqf8wAp2jVwBgCIMCKdCybyQCANHIhOKc0RB4V2N + st3Fq9/q0dldv2OCkAKUBJFNbZOyLC0U4RoOLYSQkiOJtLuD9nXQwJzv81LRWTVW2DMqSVowbsoQ + G9QEBZhRJQRkiEiKtB85Bo1VpQpM6igQUnIFOSYSESWV4EIIrP0iiB53ol2Fl8cPTd96ZEgoisLE + FqXUMkmRdhzHYkxhyV7+ims2NrsPPTTnujgtECHEQJJzjrDS9rYIYc0W0g7queB7JvcNNKrMMGrV + rdXVzShOm7V6N8op9TpRxE1k1Znu7j3eQe7+Svc9fd/v9XqmY46Pj68urDz00ENTU1NHjhyZnp6e + n58fHhmcmpp66KEHZ2dnjxw5MjU19cADD8zNzR06dOiJ+iMFwaP8kYQSUu5Sw849j6D7hkIyxiyD + SSlXl1eOP/jQs6+86qornpumeZyG3aDrlhxi0DjlpuW22x1EDMuylBKDzaZpkk6ngxEiilx60WV5 + FmCi0iy+9dZbK5WBeq2y3e1QwqKocG3PMa2aV0/CNAqT4bFhP/Qt0wzD0HPd48ePDw4MUMNIkyTL + 83qtNjU1derkycGhIddxsjjphT5lxLE927Ka9Ybf6f7U1S/I0rRZq8dxjBQ6vP+AdintdruWZWFA + YRgoBc1mY6De3Oq0NzY2KSXlcmVlZZlzQQAxynKeF8WPlgMSAIDCUhamaWZZZhiG53lBEJw8eRIA + 9k7uabfbvu8nSWJZlmVZOpNMkkRISVwDUWK5Do+SNE0zkQVJODw8/JGP/cX1P/9zVr2+1Ol4zUbj + vMN3bG5UlHSwQSRGQAcrnrdn0ty3Bzx3prX2jTvvOvT0S5rDo2mab663ALBp9uVt0zQtlUpFUTiO + c8EFFzz/J5539OjRkuO+7nWvW1le/pd/+ReK8Pj4+Ojo6D333NNut5sDAwBACGGWmaapZlVIKbe3 + tx3D1DdRlmXlauUv/vJjtuUuLM3/7Rf/DhPIeIEpWtvcsGzmBwEgSQm77T++fXpmZrO9ud3b3txq + jU2Mr29upHmGBM55YZvGyelT5x05etO/3kyZkafZ+Rde0KjVKRiGYVx44YWlUulZz3qWnh1ZlrWx + sfEzP/MzP3/dzwNAxKO3XP+WG2684UMf+tC3v/3tiy65+F+/+q9zi3O/+o5fnZ+f7/V6zWbTdd2t + zvZjnjHbtkGqtbW1Bx988Fvf+tanPvUp13GXV5a/8pWv/Pmf//nMiZkgDV7zmtf8wi/8wuDg4KWX + Xoo1VuccU5bdpOiHeJ2djR9YgZRlWRiGg4ODlmXJvDBN07Kso0ePXvH0K3tB9yMf/mi7s52nmUIg + pZRUurb32le/9uKLL/7sZ26cPT37rGdedc1LrsmTlGe5TmQRQlKI3Xzddd2HHnpooN6Ynp4u8vxd + 73rXH33wA5V67Utf+tLHP/7x8fFxIcStt9763Oc+92tf+9rY2NjGxgY2qFDScZxC5qZpqoLHYZQF + hUzp//ijj+6ZuOzvv3D3N26Z6a0zU1RsxwqCIMh6ri1r1fxr/3TH7//+G/7pnz/xF3/1ge3u6je/ + eWvVq9vIGhsZZ8xK4oxzrtNEpRTnealsO64VhL1KuY6AhGG/r6Dfy/cwqmOMSaXyLLv//vuvvfZa + KeXo8HCapmmaMsYQQp7n6ZmJEIJRIwiCMAw16A4ALMuihBRplkZxkWUaPIYVIKmEEFzIkuNmvLAM + RghJ01QUnJrMMAzt1fXowE/oOlQ45xwhhCQohSghGrUshODZrqCfhjRhQIAQJHkKZ50QYdcpyLIs + RRCAFEJIhTUpTN8Y2tZAz14bjYYmBWq/I3g8vyOQfYSbPszv0+9ou7eyvNb3O+oFcsfjeMfvSCml + 9N76/X06EgAwhiSLHceq16u2bXb9XmtrgzHm2p7F7DRJ0jQvskLKTCk0YA9MjI/rEpe5LA59hZFr + GZxzQokiSihdtgHnPIvypJcU25kCeWR/9Q2//EoehI3KwPyZhW7Hn12Y94PVpbV2nilCCDUNyow8 + 431TapQr0MwhrOlhWZref/8DU3ubr37NdUrSBx6ave22hza3Ymz4jUajTw/jAlDfB+mJXCU/sECK + UGHkHX7R0NHnDz5/DCbb4B/beCgzhSyQZxqlkrG/NHFwbMoEaxNa/+Ozfw6JkjZXSkAfYgc680Vn + BR2eih+hUFgCyJ1RLADs6BZgwijmSMa5QIAsYnrUMolKZarwTltH4V2xHz3EOLf1A4CwwjYrIUoy + yBX3Uyh4XkiihBCWwUAiLDAoBYpgJeXOKGmX0N8X8NmRjgB4pIYqUiRpJ0Ol0SqrdVa2XdeVSGTd + mGKGC0oEQYgojBQRUmt/A0H93l2S8kDSnLhImFAAV0hJBIAFBoQfXh2dewAAjxz7P6JEwhYpeRWZ + qenpGaRyz4GrX/2C0ZFK2bE720Gvm/q9Ik2EUyq1e1vYAIPqD1MhJEBxpGXJFWVGiQDtdfM0WgeF + LrjwvIsuPg+QMbH36Pve/6ddv2VWajlXWS+ZoEPf+xQzxlSuhBAmMTWAzRb2SL1y6NChh+4/Pjc3 + d/jw4b179y4sLi4vLw8NDWkfpJMnTx49evTAgQPLy8vT09Pj4+MP90eaWllZmZmZmZzYNzAwcOrU + KXTWH2mj1+tRSgk52/AihBCK5eMDyqWUBCHOeSokBlT2SlN79775l9905Y89Gym45ZvfyEVKGemF + gcKGAqteHUszicHe3Nz0Sna3t5nz4LzDh+bPzNuWUauZPb913tFDp0+fiqOCZzkhJI1SAxv1SvXk + yZP1au3KH3/m/v37P//5GxVGzLSKPK81mn/62c/ecdvtX/yHL1GEsWUF3d7W1taX/u6L177qlRWv + JKUYbDaiJGQGCXvp0sKcazsf/4u/vPmmm3jOh4aGetu9ZrM5Pz9fLpd1S5cQgrBihqVAuE4pCHtS + wODwwNzi0gf/5AOCK9t20jRXSlBm/ojwQM4N3QONo7Sz3XNd13VKWZYXRTE7O68dERmzKGVKKQQE + IaIADMPQm2aapgYhpVLJJCbyjDjLv/zVf7n1326tG2ZrbqEK9MDoyImlVZsgSyKbGIhjOp93p0+0 + bv5f9a/+44nN9TPt1jumDhVxPlhqoow7lplzjjDBgKSUPC+SKFZCRkHY7XbvuecexUWn01lZWRFC + EEaA4I9//OPz8/OaQ5GmaZZlhsk0ME8IYVlWlmUYY9u2McZhEr3oJS+amzlDiPGzL3+pVythDNdc + 97I0jQ3DtCyWJBlCyjDMkZGhJMmsqv1ffu2tJ6ensyJHhGRFzojleG7O+cra6jve/c6FuTnbdVeW + loZHRynGEwf3TE1NKYRu+Ju/OXbsmJSyWq2ur69ffvnlH/7wh5959bNd161Wq1EUzc/PS6U6vd47 + 3/nOQ4cO/X/svXeUZ9ddJ/i98aVfDhW7qrq71EHBsizZIIexbIPtkWXDMBgGsweYcJaZ3T0wwKzP + wA6wrFkOXs4yCwx4dmBgEcmwXsDGtnDAHJCw5KC2rOhudaqqrvTL4eV30/5xf1XdLbWMPMjYM8f3 + j5+qqku/ur/37rv3Gz4hCIJHH3200WxWKpXxePxlspcoilzXnV9cGIyGv/h//fvf+u3/5+abb374 + 4YellK953Wt/4Af/qeM4h+Xgar0Wx9G1hYz/diB2hJDxeGyzXpFmWusoirrd7jgaV8sV61mGMW42 + mkVRxGmCKKRxEjB/49Klt775zfZKHDu2Xq83ms2mteIulJxlSlpLKW1OOT8/L4W4Zf0Wi4ysV6p3 + 3XXXYDCI4/jWW299+DOPVKvVludmWVYoqcFQSrMkrVarDGGZ5s1qbWXhyM0nbjWKVoJVEFGJH7ly + JcfE87zj1YYuBUV394yHlxYaR9/ypntdFtx07OSHP/Rn/f5wFE2UEuPhWOR5vV4nCKdpWq2Vm616 + u90sVctCiHpjTkljdcbsMyCEgIOj+vnXDWPMKAVjgiDQuajVanEYbm9vHzt2LEkSpVSv17vlttvG + 4/GVne25Vtt13Xq97nme53ndbpcxFlSqDOGS65FDxVIEBiNiAAjO0lRKaYQ0APYNfd93A99e4cNm + 5uFk4MtykG40fwYGA2iMCEbEGCREnucZoWhWj8UYIYIxRQiBwYQzAAts0MjMar7YIKVSAwjNQHqA + ENYKYYMQYMZZHMdKqSNHjjSbzTRNR6ORVeLmz/c7UgWAjSmudsbMi/Q72tq53u9I3cjv6IWvxfUR + N0IIkLZ12Ln5tu8Fh35H7XabEj6ZTEbDYVEU5SCwDkX93rA4Fx/5pmWEkGXrEYwswnDWQUJg91bG + GOFGMokp9nwMgD/w//7xzsUrwy5ICRUfwgQqdVAUV2rzrusqhLTW2kiKLX+CAGhzFeioHMfp94f9 + zsYnPvVIvea35laEENyhlLuMMVWIq4cleVHNtK/SoIgTgJ1zOx//1ANu6seZHLjJN3/n62ibp0lG + R/KLj57Zk5uQK1Qlm09cuOPN34SupR0Z6wv9jfH1O/Q1bSAAwAZjA1paTWzg1PG4z3KaDZN4kmJE + 8VXdJFvzVgDge8EhyNkcsH41glE+zVRKy7iyVPE8d5AOM5Fy19FIYyBWKG7mNov0Ab7tRQ0DQDVu + svKHfutPV/2VBm8UeS5lwQIi88JkgDTFBmkCCutZgmQIkoxxQh2NPOk0SXmxxNucBrQwckbjMdgY + /Hx7wGunhl64sKUzFUVRwEutViPwyLC/c/HipUc/v5OGWbUS9AcZgE95xRhTrVbTPOHcYhBsfmbA + zNQupUyFTM6c+TwGGUcwnkCpBGtHg1L1XKlUIoyP0py4jtazguAL3lytKaEIISFEQKlVXo2iaDfb + XWwtnDp1and39+zZs+vr68vLy6PxYGdnB2N0xx13fOlLX/rc5z73mte8hnN+9uzZG/kjsfPnz+/s + XlleWnm+P9JMOPj6luAhEv7wDh6uOq21wx2jtcwKo7TRemtjs9fpMIBMqF/9pV+p1MqVZt0YRnj5 + Yx97OJpQY6qN6tFpmPiBk+WTepO+/p4QzITxLE0Hnz/z4P2/8+taijxNDQKCaJYny0fmNzYu33bL + y2rlyv/9a+/76wcf/N377w/KJWNM4HrNZvOeV/+DRz/7uWgytWJinbjzoT/509PrpwPXM8YIkWOJ + EEJFnrqcVsu1NIqzNP1ffvwnmrXGW9785s5+b2V5+VOf+lRRFEUmbIIkRN5otBqN2smTp7907hkp + 9NrxtTtf+SotDadzAVJBAAAgAElEQVSOKKRShnNutIXHm68rJySL/vB9HyEUx7GleXueF05DhJDF + j9hY0b5yzilnthkRRlGJu8Ph8LNnPqsp/s53fveb3vbWRq26deHi+uLSnOs/8/jja6dWMRgVpS4i + SILnlzJA/STlc61OFlfn5nKt3/NTP5WnaYm5BOEiz40UFuRvjLG86CeffPKpp57SWnNCS6XSdDJJ + kiQIgk6n8wd/8Ae+73ulwJKirYGvlZa1qBClVKGM7/uDweBDH/rQkcWlRq0ejYelUvClC2cRAkLo + ZDKu1epKSa0NITjPi1E0Mgb6/b7G6JHPf67T61VqVc2YMjoXwvHc8WT6yU/9Ra1S3Xv6KQzIC/yF + ufmjR49ub28zxt7ylreMRiNLWxgOh5aw0Gw2J5PJ008/vby87LruIQZvc3NTKTU/P9/pdhcXF59D + Ibl2GASIEmOMjYRtbwoALOTn0UcfdV3X87w0TWu1WhiGFtR6eFp/PYDhX7IECSG0sLCQ53kcx0cW + Fq38he/7tVLNaD3sDUulEkJICFUUEjSimK2uHg3T2HP8zl53Og5Xj6wNev0gCDjnFtHIycwoyrZT + syzzuKO1/qF3v/vhMw9b4FwhxOXLlz3Pe+9733vq1Kkf+tc/3Gw2x+NxqVQCgrnrGGMYoRjjNEkZ + 4FG/Wys702k38MtvecvyX37iyqVLw0r1aK25tLFxiRBOK0EpqF/e7Hzndyz+2UeOYYTG42mpVF5a + WK6XmtudrbvuumuuPS+lXFhYQBQopePpqFwuS6W2tjazXM21F6xRqa1UHaZGh19cuy/neU61VkpN + JhMG+MKFC4yQ22677fd+7/fe8573PPnkk4yx8Xjc7/ftE4gIjpJ4d3vn1ltvNUprqThleZpxynLI + tJyhoTAloA1GiCLser7WGjPaajazPI+iSOYF4exwMrP66JdN0q9dp9eQBBDBnla2FoqUUqLIKYVq + paR0bow0oLXOtUFSgNHYGAMEAxgM2jJKrGE7MsjWe1weMOaIQhuNtDRgqFV4RAhVq1XL9uv3+0mS + vLDf0fV0bYSstq8xcGO/I+qUS9U0yTv7PTPzO0rTNK/VPADQGoyB6/2OXoCJgA7BPIfVDpuhqUaj + xlw2jcPJZEwIadYbLnfiOB2PRllaEEIMIo7HjUk6nc7Z/v63fv+3YIyFyCghlGCRJxhjIRWgGWgR + ACilxKO0zAnDeZFlMQwLDcrXMqlXIC9gfgmWVoO4YHlh4lRIBchgjDEhdp5cG4MAg1HGCAPCQJ4k + 03ol8ORUFLB9Zb9SCco1D1GXYJaEkdYGITAEAH8tEyRpZNmv9M/vfPzDH61mDb9WF0vuGzwv8WUe + y1qtPkZxf3M/H8dTEqbDCUeEIGwwxsiYg1X8nADuG+ZNXz9DXyuVYR2BAAEYxpjSRmuFEZgCpr2J + 2BrTiSEjxjWH2e569UaGon+4LWg9C4sFKWQFaqvVultFCpI8cTlHANIIIQw2ihqGAc8KG0AAaWXh + wwDwwvqGV5XHDfgZ/943fsfbTr1dKOkTxwESQ+QAd8DBQAwgDSBASWs5AZQA16AFZAmMOrC7nW/t + 5Z1xOjYe1uiwkEStgrmZ6UR9ZUqLBhTCqtGocYrj6aDXiZREjCJtivnFMsLefi/Jcgy4rJVjtDJG + AVAwzBiCAAHKAeWAE8LTpaXGQru1vbX35BOjNIbxUAgV+r7PHJ+VUUZU4WSWY/yCkzFGSMGRxxjT + mbZHpNZ6MBjkUXbLyVuXl5efffbZ3d3dVrs9Pz9vOUivec1rjh079vnPf/7KlSvtdntlZWUymZw/ + f/7uu+++3h9ppdPp9vqdY8eOLci5c2fPH/gjzQ8GozzPpSwopYSwQ3+kF4q/7KzsoUIIKVcqjuME + npskUwwk8Jxf/ZX3Sc1+53f/NIx4Mrhw4ug9g55LsoU6donEAc86ly7+yZXLr72n8h9//cf39zff + +d0fTcJov7NXq7YxwqCh3Wo9e/b8rbfePN9u3f+f7x+M+1mWcs7jMJqfn//H3/vf/ci/+pGt7tZo + MGzU6r1OV2v9+7//+4vzC0kel4MSQihN41F/EFQCjInrcoLMZDwOJ9Ojq6vv+9X39fY7ly9uvOUt + bxn3x2fOnCnXq2EYEkqZS7Mkj2j83e/8J6255qWLG59+5G8uX9ygiGJMkyR1uIcJ5IVECGv8tQEL + vNCQQmqtS6VSEASWaFRkWavVkq6wgbWl8cBBPKCU0oVRoqhXKsgFrM0XvvCFn/iJnxiGkSQae04Y + Tyu+V0SJo0y5HERFAgR0kvrEKZIUYYodZ5LnynMFIcTzOp2OEcXK/DwoFacxdxwAwIDyJE3yjFJq + mz9a6zzPmetkoqg3Go5F+ghhkwFpdBhFttCZZOkh8dg2kWSa27jx0w8/TAlxGY2iJAg8jKnWUinD + GHEcT8qCUq61TJJsZWUZIfLsxQu1eiMtcmW0AgMICGNJkjDf9UtBWuTM4eVatVVvTOOo0+syxprN + JiGk2+1ijC1PoVKpSCltMtNsNhcXF6WUaZrmeU4IoYw6jjMajay1aZIkRVF4nideoHLsOE6aplGa + WCHlaqO+uX3lyJEjg+6o0WgopaI0AYDN7SuLi4vRYFAul2YMgoMz2nIk9A27C1/97OklS5CklLbM + X6/XR6NRv9+3+KVMZi5xLFFkOBxqUczq34Rsbm4eO3asWq1qrcvlst0lR6NRp9ORxsKTsC01WcYb + YwyUZowdP378F37hF7a2tuI4tgohv/RLvySlvPvuu8vVyv7+PlAyGo2k0dx1hBAUE4RQHifztSZC + ZG9nf393/8RN65UKSI0xoUG5sXH5ysLishTR5sbFepkutI5cuQIAQDCrVapRmGitFRhjjJJmMpn4 + vi+lzLOs1qg6jiNEMRiPpnsDbejqytFms5nn+SG53+LlbnjdGGPamFKpNJlMGq0WpRQZMx6PoyhK + 0zSKIqVUHMf1eh0w0lJZlYITJ0784A/+YL/fR8Y0m80kSTDGVlgc7AmKse08GGO8wJ9OpxpMvV6/ + tLnxV3/91zs7OxzPEqLDvMgYAy/sOH7jYbAolM09AGNTCK2g3q6vrSytri0hrAHAIAKGAGJgGEJE + gQHQGCQCgUEhkFa41hikpGGYx6nY3+vt7HaNQUIojHGSJaVKeX5+vhBib28vSRLOua1JwN/udzSz + PNJav4Df0YoQwhZFXNcdjUacu3Nzc8PhkFJKKb+2DXXgd/S8+ORqmfm5iZMyptVqhUnc6e4Twmz3 + L4oie2A3Gg2E0HA4BIDADTh3K0GFEKKUYi4rsgQZYj8R59wQY5UgbWueSwBAGNN6vT7cu4J9l3Ne + qyXHjs4vLNbnlmorR489eW7zi49fllI6XgkwsuU3AIwQGMAGWxkNjAErpe69915QxV89+KDj+Pvd + yeLSwmCcyDxn1C5UKrTSyoD4e5Lzfv4wCBBGURQRgsp+KTAeJ44EiOM4TkIpc8xIGsXx7qAVNEOp + 262WEIVSSiFlrTXtzL+GCd43xpcZs0wD2RQAI4Owwcho65CmMSKEIEKIIjW/snLz+q2NE8fQTS64 + CAia2dgdvuLDVMLM+Egoh3wfuh3obGdb2+FmmiXal8gzFBEtNdIIXcNJt9wb+ErkSIjGnmJ75/f8 + U26FlPI88x2nBiUFBQfXwlk1GIm0ns2NAfDMFAoRBiaCsUmhKIRiAEgDMaBsOUOBMQiRg4tzMMPn + Aepu8Fhi4JznucBgkMOazaZSOeNUZuZtb3t7lGTnnt3sDbt5LkoVR4MpihQhA4iiawAFWmtGMEHk + zjvvbNaqyFDfKw8H4XgSZ1kmERNSUNf3XI5dsHX9F7pECKE0TQNW8TxPxcoqvjLGNEUY483NzWaz + eerUqUuXLu3u7rbajYWFBcboI488cvPNN99zzz2f/exn8zw/cuQIALwof6SNjSzLjhw5srCw0O/3 + p9Op3cktPN5xHPECdEoCSCnlMV4QFYYhMkYVwnF52XcA8ObGBSklJaVja7cszd/+wAc38mmdq0WH + HdfG7ezvrx6d85dXC3jyv/8Xb+92w+XllVZrDgBWl4+EccYYzaJchOHS0lKv1/Mc/qa3vunf/pt3 + T8cTa8YQhuHDDz/86U9/+t3vfjfG2Pd9rfXy8vIDDzzwwAMPPPTgQzs7O41Go1QqedjXSBuppBAF + kMX5OZfzkh8szS/sbW0XWd6o1ZfmFx5HGGnDCXUoE0I4DluaXzp96nSn29ne3r58efOVr3wlo47I + C8YcRkia54QSS3/9uhpWIy5NU2teUqlUukliOdVWYMBKKwHAIYuYEJanaS5FESc1r4IJGU8mgMlw + OK4ttoSBYRQxRLjvbfR7Tq2ktJRao5IjMdJaE05zJInDAGODdFD21xbXe3u7DsKYYVultfp1zWZT + ShlFEULIFvo555ubm2tHVvI8V4SkaXpkcanb7XLPtSmQ67oGgfViMcYURVGv1wdxGsdxtVpVSnFG + JpPJ0fWj29vblBorn+A4Tr/f933fYCSldAO31mxkWVbI3GBkjHEDHwC6g3673eaeazXPCCGTKMzz + fBxOp9NpuVw2AIBRmqYWSjccDq3Gb5IkVmuu0+lUKpVqtWr/qE0+oyiqVqvdbvfUqVPD4TDLslqt + lonihvfLGvBYK0WbgDUajU6nU6/Xfd/f3d3lnFu+fVEUFtTz4iF21kH1qzpesgQJY8w5t1wF28nN + 8/zxxx/HGBtkStXScDLUSFvm3CScLi4uOg7TWqZ5QjkxSH/sE39+37d/W1YUCoyFilkCD+WskMIm + AI1G43Wve10YRU89/fRoNFpcXMyT9Dd/8zejKPrRH/1RSqnlk+zv76+urk7jaOYwZSBN01ajEU4S + gspS+Gsrt1TL8JnPwOVL2+XS7Z39XqVS6/eG9bpXqzWSuJdl+flns42NrTe8+c5UpJcvX77rlXdo + oxFCZ8+efeU33TUejyeTyWDcj5IwTdP1k8ff8IY3nDx5Uhs66I9Go5FF1tkJWFfNw47N4StY6BRA + nuelUqnX6xFCquVyv9+3mvQ2/waEOOdpnmmlMCZaG4TwK15x53/81V87srzck700TW1an2WZraxQ + Su3W73rOsN93HGev0ymXyy972cs+/JGPMMY451babnFxcX9n1/M8Swz9sX/9I47jPP74488884xV + To/SZHFx8Xu+53t++Zd/WRvjOA4QbDmFhGCCsdZw4LdAC5F09vZ+/md/9m8+/WClWjUGA/LBOFpy + KUng1yZR7HnOdNKZa1cIzra2nl1eaoIubNrzjrf9o+X51d1h595/+I7l5ZXAc6Mo8kt+u93mnHd6 + XWsOUCqVojgmhGCEZuKtFtr+gn5HhjE2nU6v8zsKgqt+R1JSSgEwY44x1h3Yg8Mmr0EAM9IygCUE + XGU3GdBGW61CYTlgdvEXRTa/0G43m6PJcDweI4Tq9Xq5XJ4Mx8Ph0PMCLaTNWDjnnuelaV5IYati + FDFGmbDYXGrVjnUhC0ON1d+0Sz3aS5SRmMLN683/8V/+AFOqv9/Hhly6uHVlo7+/f3Fzr5cm2hgs + ZWEQUMoNcAAwIAySCozWCBmMDMPG2d3trh2p33Ri9e67X7ex2bv//g8JzRSgpaUlQogQUgGAA0Ch + yHMLPjQHNEql9XUF/K/i0KVSdT/ZV2AQIYUU2jDuOgklHHGjValUmsiOEAJxZB0YU6Y1stqJs+bt + 1yrB+8b4W4ddQxoAGYQBW39YZBRgY7k4xhiKMFYwHU/qzSYDzgxHiCBAaKavDwYMBmpA2SV5eLsJ + KA/cAPximhGDS0EwhQnGWCiBLHdTXTsTu69dPYGv7SM9f61bMqXOTZlVHeAcuEtdkAqMpMgHTcBg + MBhjzYmdFwZDQQNFXFIAyAMoZVFKPOY4Tmay2cNl6xnX5z4vpomkD/4TR2mj3Nrb3UniMSfwP/yr + b1s50ii5zlNPnk1S2tlNMZSrFa873OUu8zxyUGAqjFFWehQBBw2E0IvPds4Mn1hemlu/afWuV9Yw + 4UfXb/vJn/k/plE8STIo8crxiuMcsWgcTTSmBKMDO+mDWlypVFKJykRW9RuWeiG0qFSqWZhKJPv9 + /vz8/Pr6+sVLl7rd7unTp4Wo9Pv9Xq/ned5NN920ublJKW21WpRSq0p8I3+k/tbW1srKyurakY3L + W1tbW+vr60tLCxZkjrGSsrCq0GmWHUBwry4VhBACZJtIFipvQVB5HgPkClSrXTUg0yw+evSo70Ac + Zc1SBaSXJkW13Gg2m2E4ITy5+eUnX/lKFuXsytbW7bffzhhbWFhYZnwwmp48dQtmfDweSlk06zXG + iBDi2LFjq6uro9Fofn7eulkwxk6cOHHu3Lm5ublSqXTu3Lnl5WUC5NWvfnWWZXEaLa4uRWlkpMrS + tFauZVFijDl16pQt8M/NzalCVErlIssNAwzIcRzO+f7+/srKigEzPzc/GAxarZY56JVJJYqi+Ort + kH9HAJVSBsBQOis3h2Ec+OU0yTl3AZBSto6AjTGEMCklQVgWwvW8NM9KQbC9u7e2uJInqQZdKvlh + FGFOy+VaHEZhUTjVagGSum6RFROZITCO5xhGVGG0Lhwn6A369Wpl0O+AkZw7ea7yIsWIWnSPrVDb + OCSO43a7vbu7Oz8/b106XMfJ89wuY4MRYywMQwuetfGbLePu7e01KzVKab/fbzabg2GvPdfuDfql + SjlN0zCOGo1GGIZBuWRhSkqrLM6V0ePpZG5uLorjZqu1s7PT8rzFpaUwDO3qTZLEymqXy2VCqR8E + SmuH8zRJLUxxNBkjgl3uCSWZwwspkiydX1woisL2mqIktjP0fX/mR9rvF0VRKpVsueGQ1ACHzgoI + 2bozANhL1O/3l5aWbP3ahnz1et2WqrMsa7fbW1ubVuBeSimEsGnkfwscJCvBbENSK9pWq9XSNP3R + f/Nj7WZrr7M/Pz8fhuF4OimVSoTRja3N9//RH/7BH75/r9N56NOf/vOPf3x7e/t7/9n3KS0Pr4it + BNg+DDJQq9WSJLn33ns/+tGPbm5unjxxYnt7+3d/+/4TJ048/fTTv/Ebv0EQ/r4f+P4kSU6fPn3x + 4kW/XAKAPM991/N9H2MspMxFUW81H/7MQ6trr/jLh861j8jO3rlSq1mIHb9BM53XKgjRaVCpbOw8 + vbV78fylC8xFtXqFOVzKAlNaqgRhEiOEpFYIY8IclSSDwfDy5cudTofxIAqTPM/RgUacOVC0O9wO + Dm+2/d5xnH6vt7CwUK/Xt7e3PceZn5+3wE2b9iBCJpNJnCaMUPvDs2fPHllcOvPoo6fWbzp9+vTW + xubW1tbnHnmk3+9bUp1QMoqi22+/vdVqBpXyt77pW57+0jOPPPzIfe94u8V4Zllm9aZ3dnbWjqxs + bW0NB4Mzn/v8ZDQ+e/bsT/zbHx9Nxu985zvjOHY4/8Vf/MXpdKq1JpSGYRhnabVaRQgVRU6ZQZgI + mWmhA99v1qpxNN3b2z/z+SeubHX+xT//n8KpuXCh/y1v/Ef33///ffaRjywsrU0mw1qFJvG+QcN3 + veu+J89cOXp07oMf/Kg28tjqqXZ7IXADQDqKpkqJRqNRrpaLotjf34+SOAgCSmmWzaIH8+L9jgAa + jcaJk+tX/Y7a7fF4PBpO7Hlghz0UpZQvFsaCzEFfQjPGrGKhzdKPHj3KOBmNRnmRjUajm9ZPLi4u + Xrp0SWRFvV4fDmf6igBgu5FKCbfEBaVWRFsqaYxhlGJsiqKgzKGYKmxkIW1fmzFWq9UwRdW6WxTj + D37wg9sXLu5uQdkDISAVsH6ioSnHGDuOw1wvF0WapgQ4ACCsABsDVtEJYYQJkMcee+zcMzqXotsd + 7nfCarWaCVxtNCmlkyQlBAMYqQ0YsLiCr8mwTxNgVG3W8x2ZpRmnLSFyTniShPuD/Ul336kEwEhQ + rQmtMlFIKg01Vmn+8Hn8Ws3/G+PLDmONjw7kGWx2ZP9Jm5mJqQYAbDDRlALh4DDgM0EWA9e/2pbL + 1Z9oRDkwBxjVlBiKDCAAAkii54oZm+t26K9gEMYplQQ4AhvDUQAMBoEmszc1BIwyBrAhoAEMUABE + KEUYA7IfWSPQaPbZkQFs9IwYBfiFFu5zU6hrRqVSEYWglK4fX3NY8dBDD00nozyGdotJ5Q+HGXFq + HmGe77i+G0djjCieleExHDwpdnf94he/uLTQ/JtPf3E6BWPg5KmAOp+zJJDK3MIgmx6WqPyyr3Ei + rZQrEIyxtS4EAlJKCg4A2MJ2uVzmBR8NRyUnsAWjbrfbbDbX1tZ2dq888cQTN998+sSJExcuXEiS + ZG1t7eabb97Y2Hj22Wdvu+22F/ZHYltbW1tbW0tLS9Yj0fojHT9+fHNz05bAkyTJ87xUrh4ezbMD + 2hhjTCYEKB34vmVfMMa45+Z5Ps1CgmilWo/CxHEqGNHWHIChgInBgLDUJjUoY1wzFwDJXg+wkx07 + vjocDqMoSos8GoyTVCi1m4nCcRyEzNmzz1BKwzhiE76/v++67mAwGA6HFpW0t7fX6XSCIBiPx8Ph + cGFhQYPe3Nxst9sIoSeeeEJosTQ3n+d5NIk4ddrNtkVDcc4noynhrD8cOJ7r+6XpdDqdTuMsXjm6 + dnT9qNZ6NBmWy+Wt7c3ecBBGE0wJwxoRgsxBGPYSGTo8f8v9eylUYdBaG+24DAFQz6k1q5STNAXH + cTAyShWF0fu9Lic04G6axW41UEbxwPN8bzIeqyLRGbiem2SFgHiuXCaAhJQ+50YVRZb4pZo26EAa + 6rBtBRjjfr/veR4hxJirgsylUklrnRY5wrjZbFKHd3pdi5BCCFFKbQvBOmpaS0yLf7P/auW2bSR8 + SJC2NjC2sca5a8k8ltRkr7zN0BzHsYpWFmuT5zlnTAihlOKcWwvXA68U63zIRqOR4zj1et32jhzH + GY+G9hlHCBFKLVX7MB679ubaV8qorfsrpdrtdqvVsibvtrNkfXXjOK5UKlbmzTYGsiwjhNicys7n + hrn0f00QO8/zXNe1vXW7zd15550/93M/hwCWFxbf8e1vL5VKURQNxqNKpWJFDLnjRFH0ru/73iAI + jDHT6XTtpuNhFMHBVoUpORQoU0rt7u+dvOnET//0T+9sXVlbW+t0OnNzc5/4xCc+9rGPNRqNra2t + clB6+ctfvrOzs7+/f9i0sV8kScIQrtZKPMC9yaVf+62fPXnyti88vlWprm+Ne/NLxydFUuKVYX8k + WLnIBs0a+vCnLl6+9MRO/+ntvd3Nyxfu/gd3c8Yn4VhrrZS0kbS1gQWA/mAAGEbDcRBURaEOhRns + LnMYvl97xez+wDmzH3A4HM7Vm4uLi0kURVEEAJPJxLYdMaVCiEqlwgjFiIpC1WqNMIxHw8mrXvXN + J9ZvalQaf/bHH8qjjCCaRClnTrVabzfn/sMv/0q9UfuxH/uxO152e7vdfvgzn3FdN0mSoFSaRGGn + 01ldXd3e3t7Y2Jhrt0ueX61U3/62+4osbzQaf/7xjyED99xzzw/90A+laWq3Y4xxEATcc8vlcp7n + nNO0iBhlBCFRZEJqz6kwRkGjUT/+9ff9fr228kv//g//6hNbl556sNdtrC99J3fqmdo50mxM2aXH + Pvfhk0e/9b0//z+fOfOR7/2uf/bO737H5sUr7rf44zxMwunKyjIg3Ww1CKWdfm80GjGHO45ju7GM + W6Wa5xaiXtjvyJubb13vdzTZ398HgwlhGFv5O4ExxtiyngDgxvQUcwO/Iw0AjLGiyJRSjnPgdzQd + hXGktT52dL1UKl/Z2Nrb3rWAUs/zbbAupYyTkFLqBW6YjjU5qPzqGcoU41nip0BZpW+r00ADRhDj + rhdFY5XiNHK0KFMcGgChYPUoeKV0FEd5QQoZmExoMIwxgg0ABsONRSIZAyANFEImhKBqtR6n6XAQ + 5rk8snJ0NE2Z4+lr0MBgDOgbK46g50WZL/lABpTQQonV42s/8/M/c1Pz5HAaP94/L5r68vgiI7jR + at71tpe9uvIqDKgL/S3e02A0GD1rHL3g28I3mEhfH+Mqv9FgAIytKj0CDbZHaYwhxhhkgGigQBBo + owAZY7XmrnlFCmZfEwCNAGnQVDNMKTBqCDIA2lyHoDP40CIMAJR9xm2rBwCuP4+vXSz2xxhAAxYY + coILwAyAYQANGlGCAZEDTyUEChNjwBjACJAEAMAaMAEEYAV2wGpLGoytkg3M/kcN+jkSdnZWCl33 + 7XPiBqWF0eB5zB444TQqlyrtmuYOVQYLBanIXK+VjI1RrpIYUQSGI8SNIUZLhCXC0pgYSN6ad4+t + L2TZOJxKUUASQdjrtNsLo4nmQcBqfuyEFm70ZW6xja5sddlxnFqthhOcTQQcVLsGg4EQ4vj6+tzc + 3Pb29rlz517+8pevra2dP3++1+utrq62Wq3hcPi3+SMdu3Dh4swfaXX50sWNA3+kxWv9kWzF5RDQ + AQdUYUqpAUUIEbmIoggZ4/t+UKoFbivOs8lUNptHxhP85JNP/eVfMqkAQAPKECG5NkKFnGJM0ygO + v/D45m13lIaT7PLWZcz47s6eUohwpzvoZ0XuOBQTmIzGp0+clFJFUZxlWSHFpUuX5ufnq9WqUDJK + 4lKl3O/3ASCKo0qWjqNJLoreoN8b9srVEiEsCpMsyxhm42QymozOnz8vjVZG50owwpM8KVSBijSV + WbPZ9I0XxtMnnnocsKnUytxlhJNqvUIdSghK8zwvUgDNHYywealiUNtIhAMHXgDAGOGvXL5ZfyW7 + NDbgMG6ksMJLUkoj1SgaF1leGKHACGS8km8UrVarSOnROAOGCiUYI9owIXIv8KfjsFmreoQkUcoo + hNMpp8Q4TORZq15PhNEIFBiDEb6mJu55XpZlZT/gjI+jkZSyUi6XSiWbadjfyfPcfpzl5eWNjQ2r + tocxTsIYACzj2vcCrbXjOL1ez9L4GaFpnBCMjTGM0DRNCcJZkiIDSinmYErpXLttcfiu63LGLCeq + 3+sxxjBCc1hvrhkAACAASURBVO12p9OpVauj0WhledlWCmzIaoyxKDD7bNpYy6ZbVj8QIXSoCCCV + 8jxPCBFFEeHshregKIrFuflKpdLv97M4cV03cD2lVJKkDmUEEMOkVq406o2dnZ3efmdpadH+RWyA + ANI2uPt7AqfcYLxkCZIlzGRZppRaWFjY3Nz8yZ/8yf39fS3kwuJ8kYsr21vVSk3IwiBsjHZdLwyn + iBCl5GQyXV1dKQohlIjzzFzvsmofIavLPBgMlFJBEFgWk+M473//+ymlc3NzFy9ebDWaQbnU7XaJ + wy0s0vbHrcSzlNL1+HZn5z/d/xtpNgaMAFzqVBQEGLtCG0ZZEuYOc4zI6yWu5ajf2yuXmtx1kiRa + XTqSqqg76FXq1azIEcEaDKJEaUCEFnkaR4lto1m6mv0IdjvANzK6MsYAQnadtVotKWUYhpY7ZJfd + 6urqpUuX+v3+/OKi67pJluYyt3bLa0dWwsnU5bwclJqVxqQy3L5yZW5urlGvR3Gstc6jZGdnZ741 + RwCdP/dsnmVSymq1ah/dPM8rlUqSJEKI5eVlI6QQot/vn/3Slz72wJ9//OMfv+eee/7mwYeMMee+ + dPb+++9vNpvf9Oq7fd9XWjuOgyixN5ow7DgcU8QQYwQwYK1SSkwQeCeOn9jb7hJz5F/+8++5+47v + +bmf/UNGjnrOkc5OWgvm03HqcYrZ2i03nX74rz8yGUOWym9/+3e8/GV3KKNAm3q9XvJ8xqhSajga + jSdjz/Nc31NK2VqLZebomd/RDDeFvzK/oysYE3zQqPw7+B0ZgJn9lPU7WlxcpJT2er3JdGSMKZfL + CwuLw+Fwd3e3VqtZWmS1WhdCDIdDIYQ0Os0y7jiIImW0MQYjRAgRM/sjIISYg1TQLnvGmFQqi4s0 + L0qloJBib7cr02JhoXR0bW5puVlv+635hY3d4dPPbG3vhga7gJExBhk0KzcjBAbBAaioVCq9/vVv + 0iL54z/92Nrqcr3pbm7tlqqtTqdTq9UopcYYqWy5G2yj+NrrYLOjWQb1VRsGae46qpApKYqy3M73 + QpWyJg4hcnzKCAujqKP65+GyKpTkurm+ABwTQpTtMWqjwWj0DQ7S1+mwvqgAgAzG1/L5kDZIawwK + S6QYgAYgttkCAIhoS+G1v3/wipE1/EIz1o7BgLCZKVwajAwigPBBl+Y5q9YgbZD5SuUQAEBolesi + A0lAK4MpgCIgAbGDE17bRw7NmloE2wbXtfV1dFVe0gA2gAwGBBpA4RsXTQ8xv9f+K7Z/iEBRFK1q + k9NGHI4Gg361QgBMHMdveOO9GvBTT1+4vDUaj8fG+FIqi5MHsHU9hAAsjVNrqbV8/evfQBFUStV2 + 60qWqvEkXlmZ709i1y3t7O3hsuMfca0jRZIk0pWYMgwYFNiuL8ZYXVNdPowIXdedn58fdgbGgCXh + TKfTzc3N1bUjx48fP3fu7LPPPru2tnbrrbdevnz5woULS0tLx48ff/rpp//u/khJkl29jPbVllK0 + MQBKKcKoXwqEVFmR73U7iVK+U+0OBv/5t++/775/stPZPnbsrltfsdbf73GcuJWE4DHJwlKZaYij + vPvIZzfv+dbv39+/tLu7yxhTBgqhONEGmXI5ULrwPOdlL3vtt7392+98+V2u4xNGn714/tMPPvS2 + d7x97cjKyROn77vvvle/7rW/d//vXNrc+OEf/uH1kyeapea/++mfqgSl3/7d33n0C5/HDO8OJwTh + WrkipCSMIYL3Ox2hVblanRZxtVkPquUkSQqZj6NJITLfKy2sLCLAw8lwe297OB7MH1kSqhCqMKA4 + p8YohI3MC8fhL9yb/ArGrM5nzOHe+/cDmjLSKBv6Y8Q4d3ymkpz53Hc9DUYYSPNM5UUeR0hBq1Jx + fFdrKVWB8sIjpFGtpZOQIdjb77rcabbmoJCFKjDG2GFJnhcaacD24xwGElrrLMsqlYqSKo5jyz9P + 0zRJEoqwrYpWqtXpdIoksXaXtmEihLCAKRvfJknicBpFUTwNjTGVoNTp92rlyjicetxRYEBpY4zI + 8tLcXDwNXe5hA3EcV4LSJM8D13N8r0gz7PBRf1BvNQmgTr8HSruua6SqBKWtrS3OuW1s2L9rMzeL + s7CUJ9v4yvN8OByWAj9NU4vwska9ttdkDjA410HsDHBCO53OoUafEGI0GrXbbdsmabfbAHDhwgXb + O7IEJ8vduhZiNzP2/FqMlyxB4pwHQVCv17vdbubHGONPfvKTrusGgV/onBJ+eetyo9lG2BS5NKAI + 5YSgMIwXFuaY71zYvDgeTwmllrU2k6s+6CHaDbdarV66cPGbv/mbe/uds2fPzs3N9fv9er0uhNjZ + 2VlcXPRdr9vvGWOq1aqFmR0EhVCpVBxC97uDn/8//0MWJ8rE4/GoVKkz7ipDojgjjBaFDPxykecc + EYQKhoQoMkr8LMuKIkNYOQ4PKn6v13vFq16hjDYY2SgcI0q5azChjosQwhgdbgfPbxxdOw5zv+l0 + 6nmehW7byb/rXe+y3JjFxUUNMB6PMSWe4xpjLO7O9nC2t7dPr5+YTibNWj0cTxISMcYQQLlU8lyX + AlZavurOuxihaRR7jsMIPX3iZCYFooQQsre35zhOc77GGBv2+p7nKaXq1Srn/M4771w9siKU3NjY + kFLOzc2dPHlSSKmUkkZbFCUiQBkIJTimBCGCAGsTh8lcq+65rFarVCsoHMF0DOEE1o8emwxR2ZtD + oK5sPOOXYlDuzafhYx+/+Po3rFfK5aWlJfsUua67uLjcbs9PRpPBYDAajzUCK+MhhLAtwVlT+wDq + eng98YvxOxoNd3a2sfU7GodaF9f7HWljFd6ujqt+6wDPlfOe/QbGNjtqNBqe500mM7+jeqNRqdQ2 + Nq/EUcS5y7k7315ACH30o3/2hje+0fOc6XTMGBEKFyJjPi2XSzZJBkAHT4FBCFHKjDYKG6RnLsxJ + lKbjHBG8vXPlTa+7/bv+8X0eoFG/19vv7exemVwaPPnU9rnLV4Si3GtgAkIKQpgSCMAAEhZiZ0vm + YEg4jR7864fv/qbb3vldbz1+7NTnzzz92GMXe4MUM14ul69uTxgAgfka+SBpZFIcCYqEB33e2592 + UiMFhf20ByWNKBujFBP9SPdzjDqGI74SCKasYCKGqwf9DLD0jZbR1/MwGB/cMA0AyGikDEKAldb6 + UCtSggAE5hqJQjiQajBgYHbbZ++jQCkQADOz1kNxGmMMNgQZfJh0aQQaaYMANH7O4/7lpD6R1rPM + SmnQGrBEoMFgMOJgD9GgFGgM2BhCAAixqjVKg7S9MkubglkWB9d2kAwC/ZUCSzQUuRwOpru7VzDo + +Zb7zu/6jqXFqsrTp5/6Upbj7l6UxMorVaJMIAwMU2OM0soAAjAII2OQAYzBKzJ5ZbNfZKHveY1m + dX5uwfMrp2+749/9r+/d7/YKTBEUxThbpysWVXHDYZFCRs5qPVmWjUajltsql2sqk4PO0EKGlFKD + wcAPXN/377rrrocffjjP8zvuuMMeebu7u+12+8X5Ix3b2dm9cOHC6upqe6557ux5dNUfaW8ymTDC + 8WGb3hirW2cLPYSQoii0kICRQRCUy67vceKGIgrzyQc+9Pt/9MEPFAXlvJ3nZNhPDHIsk0SJNMYs + z9Ms73en+iP3vM91gTm0EApjyl1cSJlkqePzvIiTnM6FrY2Njf2djsO9rZ2tx598fOPK1hcee6zb + 7T7xzNNpkiitL21uFEKcu3D+0S8+dubMmcl0evnSpYcfeWTl6BpChhhWrVZFUZg43e929jqd7/un + 3w9aE0z9X/Cn02kYhlbEeRxPSqVgHE/+6I8/8IlPfjLJ02q19sY3viGMp4RgAwpjRCkWSiFkGHvJ + 4sNrZJPQIQ7ty0dHL7SCbvzjmdwlmj0ns28BY4wMBoskYlQDjMJJvVyZTMaMMcfzjBCNUsmAzrO0 + HARZZ8QYydIIUeQxitKcCC3DZLE1N51GeZKXavVJMp2KolSrhElMDqlrCBkESisbmdhJaa37/f5N + 6+tpmhqpXMZrtVoURTJLMcZpmlKHW6Em+zjEcez7vh8ESqnhcEgJYZjVyjWRF0G5NOyP0ijliGVx + VnZLWitRCI97eVoEbjDY7zNMJtNwGoU+c2rlCgY0HgyRgSrny4tLYRwpbU6fOHnx/IW5hflBr7+4 + vJSmqeN7MwUFIZBWhBDCGcZYCBFnKaWUUJIWudLKymBIKcfjcRAEABCGoYURWlm1G973UydOWhEs + 3/dLQWm+PWfxrkleDLOB1vrkTSdsaBd4fn/Yn20RB+Mw8/yajJfyAUjT1Pd96zjUarX63V6z2ShV + g0KIarN6dP34YDCI49QqWoRxGJRLuS52uvtFUVDOm+1GUC5vb+86jgMACCGtlNUkscUerfXJkycv + X74MSp84ccIiJi3Ti1KaJEk0Dav1GmNsmsRpmmJGOePGmCzLHMdBlGkwl6/suI7PGak1l4o87ff7 + CCHMsCogThPH1YVMFcJZMvU9lxOa5hGhrN1oZFmqtEizrFqr1ZoNoZUBwIQIIQxGjDhgMAKilaXz + XwXXwfUNh2uHMcZ1XUxIFIZKqUa5ag4UUfr9voWWAoBVXUQEA4Bt3dpmheM4K8tHjNbVUtko7Tku + QsiqL2ADnNAkjTnnnb39zu5etVIxSodh+PTTT1ebjdFopBHYLuqVyxsY40a1duzosde99rUl3+eu + +yd/8ifdbrder29tbFqFk8cee0wbgzFGlPi+r5TSYPKiAKQZoUZkGGmfM5Fmly6fO/PYp++797vQ + AtRrMByMX3bb7RsXukY1HBeB1uvr68zd39mnzzwDt9y8Dgi0yZN4mqWxKDJOuMjleDyVUhe54Jxj + RqWUSZZyzl3XPWS74WvqFgcFqhfhd3Rl63q/o6upuLnqd/RiN+7DgihCaG5uzvf96/yOmDMcDqfT + qZQSKV0UxWAwMMY4rlutVmv1OhDsOA7lFDCKVTjQu4yxRAnQ+AAVZrTWoNTMtlJrixumlPo+oZw1 + mmUhsz94/+9sn98ZDwAAfA5xAcdv8kulitTMYE5dD+V5lhUUezNsHdJgDiVDkO+X9vc3HnkkTIu4 + XnssjFW93pjGslStMcaKNMMYY1AWzIMp/TKr+qs5NKZGgtTcXAm34ygjlDvlgGIEDGkQAsvULcYy + DNxyJpWsYNBKI62xIVaiDIGGb3CQ/qsZs/QAaWObSAhhpM0BE8fi5hRYvebrEiQr2GDM1fbyc37n + 8P0x2C7N1SqIsRkX+vLi3jeeLSOIEsMAKACZOcyiA+EJOzdDwCBQBCGEsTGgkdYgNWh9VSPCouyM + JSABgDGzrN62kqw234ubEwRB4FG/2Wi3m8F0vPPgg3+1s30FJHAGhPphqNxyWwhFKdZag1HGGAPY + itIg0GBAKcUJpY73mc88trRQ3tkORyNA6MmX3V7/zKNflFLW63VJeWRygAMOUu3GHCREEEazOpQV + aB2Px07guJVgdXVVpHI0GtlD3/d9u3Nyzm677bYrV6584QtfOHny5NraWrfb3dnZwRi/OH8kfv78 + +Z2dneXl5ef4I21cvqxyabltFuxkPzoAUEyk0bIopBDGGGV0IcXZZ5999OiZ1dXVP/voBylzDKLD + UXz8+PFz5/eXlxeUAkAqyyNQyvd9pbSUUhQpp4ZRACRbtWZQqkRJ7nIHGNYgKrVKUWRnz5/b2tqO + JgVjDndJFIW+7z/00EM25gmCIM/zMIq01k8+9VQYhg8++GC5XPY8b2FhYTqdUkrD6ZQxJoVwA585 + /D3/+88uLS0ZYxhhvUF/YWHBOtdjjIQQhRTVcsU2CixRfDgcfuADH8CUaK2EVrnIhRCcGxsN33BZ + /Rds/ocAjcO86Kt/giAMyGXc8oon4ZQgXKnX7n3zW8teUGSJMkgb6XulNE09TCnCMo4Dz89U7lcD + wChOY61MlhW1Sj3LxWA8oq67sbv92cfPgBDcDyCz2sazo9meLIQQP/CGw+H60WNZlkVRtL29vdCe + wxhPJhOLgbJ6IUmSOJ5rGcUHVl3INnAYY//wrW89eWxda80I9UtBd7+zuLwUh5EyGlvRf6URweFk + unbsaK/TNQi8ILi8ufnAAw9MJhPHcTzHDYIgiqJxNiqXy4PRgBDSbDZ7ne7S0lI0DbXWURRRSl3X + 9X3fhhaH0K08zz3PA4DBYGA5z4Ne7/Tp08Ph0EYgdp4AIMR1NdNZUASIMnrhwgXXdavVKsbYAlI8 + z7Omqe122+JpB4MBALiuW6/V8qKwb26RfpaB8rU6sl9ikQa7u03HE/vDar2GKdrt7iGKWvOtwXig + QXGXOY4jtYizuNqo+r4/nU4RxkKLjY2NICjbCjrnXCh5eLxZ0HCWpJ7nRZMppTSKol6vV/Z8m0iU + y2WKyeXNDd/3K4264zgGz9TlJRZpmlJA1WoV83K3O6yxsgacZlmjWcvzhDJsEPJ8rHVSrpI8z33K + jBHMc40RQmZJZpQShKLheMQYm0wmdgFhTDJRMMYQsvoqM3zX4ZGMroE13/Dboii0MY1Gg3M+HYwm + k8ltt9zytre97TWveU2e53/xF3/x4Q9/2FKAJuG03x+sLq8eOi47jE8mEwCwl93h/PCpQwTX63WG + iUMYt2o//W6WZRhQmqZZpyOECCplY8z+/v5Cq52mabfbxYB7vR5qtZIkKTE/juMwDAmjVqa82WxO + w7AoCiWlFRYXUknFMMYUYVHkRuYMAaXI81Cj6d9y21EtzUMPbX/4ow9otUJ5vRw4o3Evy0ODQsx2 + 8mIPMLzvP733f3vPDwsRfuGLD99y2/HAD8bTcPvKfl7oWrWFEHJdV4GxxFO7Nszz5MivaSLpG/sd + MVYul9M06XT2zczvKE7TtFb1bAZijLne7+jLNUkObp85+OMzvyPHcaIomkxHhJBGo+FwbzAa93q9 + SqnsOJBFcRCUrRb5fffdF4Zht99VxmRZqoxkDk9MVDiF7V9b4hocfC4ppcba+iBZdIobUGSI6wfd + 3s5knCBVIIBqBUQGCMPx46je8EexyNI8KzKc5ghjxhw0a51QDeogbFQAOsuTer2+sNDY2tna3+9S + Xl5ZOToYpwrQYT3YIk8sB+kl2DL+iwYyWGvhBb7RqFqqIUxSWQDSptCUUx4EAnRKilyG1A0AmJbI + 3iAFxnYkDp/Nb4yv44FgJmGnwXpVIq2x0aANKABtZgZJ2AGXw3Pg71dTGm3rmgYQIISIBClAWKgd + OmjFoJlGHAbz3FzooMeIZr/5PA6SueZr+4ep1lRrDoaDYRoAAFuk2uzdrUuxAcD2oTIYFEgNSoPU + 9mPaeRmMQWNzbf9KawTksMcFL06BXIM2UhsZBJ5FII+GoeuQ+eVqngnmVCjLMqk4p3GuEWCtrYIZ + Rhhb2zUEGiFAWFEK7Xbp2PFVKS6IIk9TCKfpXmezXm+lOdfcLfn1kE2y7P9n782jLLvretHvb9rT + 2fuMdc6puXrudDqhMxEygBCGKKAyhASI4tKggjgjF0HfvYu7jGAQ5Om76BMBkQdyvaCIAsEQICGB + kEA63Ul3kh6qq6u6qms68573/g3vj9+p6konQeCBPO/Kd/XqofrUrn3O/u39+w6fIfkuHV+llFCC + YlPv6bpxzjnvdrsOs0dHRwkh/X6fUmrZdprFQoijR49ec801lNKDBw9q/YZ6va45SE/lj9Q9ceLY + VVdd80R/pMnV1bX19fXt23aOjo4eO3Zswx9prLPW03ktQggI3sT26y9qvdDKSC3yg1an/clP/f2n + /uF/SsWpQQhjCwtnR+qjcSooMwsFW8iYiziMfIKowRwsbYIpJZgyiMM+xqhWGzl+/MSuPRcEUSiE + 4DL3ipaCXGGsZVQ1i7VQ9FzXDeIoSpMoijLB8zx3PdeyrBOnZhlj4xPjQRCstVuu6xYKLudcYSwA + DNNaObv82tffnCWJ6xbiOFZC5oLrdFwLYWOMEUUMk16vpzdBpdTMzEyv18vzFCFlGNSyDZ0RgUbU + P811/F5W32ZsLgnthSo3eGjf10G+72GTgjROsUGFENRgXHHHsq+89PK3/f7bPMO++2tfOXr0sdFm + vbXewTw/sG//oNdPg4iZhp8EdtEJwlAG6pJLLnv80cdqlZGC5UjA49OTd33rm4+dOJkL4YdB0XaG + 57ZRJmmFAwC47rrrHNPqtNsU4f0X7Lv5da+fmZlZa61rH6SPfPSjlUolztJccP2xAIAG4/m+XywW + bdt+wQteIFN+/33fKnvFw4cfnhqfePjQwxTh5vjYwtxpYjCDUD8KxxrNtZU1g9CTc6eIyV796lff + eccdBCHbNDnnuu+7bXr6Yx/7WKFQ0H3hG2+88eziomFZ4+PjgzCI41ij+2CDB6ghgtdff32e5w8/ + /DAApGkax/HU1NQNN9xw++23P/7448wwyEY8ncy3EnJ8dBQhtLCw8KpXvWpmZuaKK65YWlr6zGc+ + Mzs7+xtvecsll1yyuLg4Ojrqed573/e+ww8f0i1gvW1vSsT8p+cgmaZZrVazLLMsS9iZrnqllKfm + 5k7NnVxdXztw4ABhVFvWJBlHiBAM3U5fARYS/H63Wh0xbctxHE2z2UpD2hzLCgWa4x5F0fLy8r4L + LlhfX9fKiXqU1Gg0mGW22u1C0ZOg4ji2LKtQKOR5LoQETMIobIyODnq9KIpGKtUw7BIswjBmBjVs + Y2l5cWxsLM2CUrHi90OMKRDBEBJCpDwtFUpescCoCVhhQhRGw67YkG4kCCFSnC9Yp89cc+BgS40E + AAQAEYyV6vf7tXIFAHZu337LLbc897nP/fCH/kYhuPHGG6+55po/+qM/On369Pbt201mDAaDYrG4 + 2lqfnJ5Ya63+5f/9wQ/+j//xxS/fvmf/3kOHDnU6nV279nC/3/UHeZ7feffXxsfHnaK3sLT4+du/ + GEShpjadODU7PTNz+vTpZrNpG6YeSTmO89DDD33+i1+49+v37N+///G544Zljo+Pv/j6lzzrWc/y + PO+GG244cfLkww8/3Gq1giCoV2tSIMBUcAWEMGYoJKSUqcyzPM94+md//p7ff9ttc2e+PbkdFSzz + 0KHjkVgjJhltWEG8wMyBMpYXVg5fcdW+tr9McHb3PV977c2vQwC+7yOEHMsxDINzKXmWS2EYhuMW + kiTR1xQTojlIwzksYIQwwnoWhOAp/I4m8zyfn18QQliW3e32DMNo1Ec7nR6lhla6VBswiw2/o83Y + 0ktGSjvPAsCWBAkppUZGRsIwXF1dJZRs+h2lSV4qVgaDgWlQTOlaq2WaZtkyV1bXPa/gFrzV1prv + +7nghmEoh4esl+c5paYgKk+lQhhhhDEmmOUql0hijDdAhkLmEpKo6HoAsrW+XrbtPRdtcy2zUiuN + TTXcUuno43MHHzmx1kps2yWMcs7zmAPgoYQxJqB1IAARoNdf/wKLydm5uUqlkgt69uzZxvj00vL6 + pt6JGOJu4LsYQf6oQ2S5EoqaRpAMEoyVUlnGXdfVQwAJIk1j0ytkXDDDaLVbzXKdS5kpBAqk4mrD + YA0AtibECj0zU/rxB1ZIqvPgrFIiCQgkUFACgcRKKuASc4ml0LWPfMpKASkllcRKCYQIIAQIgCAK + BAFSGAkAgbACAgphIFrRDoZaCPr7N0XknuLoT5erSa607gJVCksFCCElEYIhAgVhhOSwtSIRYECg + ZRkkDL1gMVZAFCEb5bwWhNG+Sd87uO5cWUWBcw4UXNcVeei5RaUSQli3233lK1+JsHP48LFDR+f6 + QccslLWEj95vAUBKiUBhjCihUso0TV/96p9yHXOsOT578lQUZYtLK5VKzU+EbnsLnGITO46jlHoq + DpLCGAuQgksTK0SJVrLyPI/mtLPe6a12Lrvkim3bts3NzWV5niQJxoQQXCyWHn74kenp6SuvfM6R + I0fSNKvVaqOjY4wZ9933rX379j3/+S+4//770zSbnJwAAMtyntYfKYi3+iNNTU5OTI5pPIJSSkvi + 6GmDfkQ4luX7ft/vMUK37dzmmFYcx6bFBoMeNY2feP5VK6vruUD1en1t/SwziGEUpSpYzFSSJREQ + ZOR5KiWfHN+1trYCoK6++qrewC+VvJpZFjIlDGVpWmvUDWLyFJRCEjggORgMdmybLpVKS0tLelgU + BEGapnt376xUKv1+P43Rsy66ME3Tfs93bXu82dTjuEsvu6TT6ZQrJZGljmNpX8r1TrtULQ0TKi5y + nrqFImV4empbr9+RAizb8H1/anqiXKsmSeS6LsagEMnz3NhiaYUUKDT8HaTa/PvWxsF3yWQ1pkbv + 4DznCBAlVImnwcydd9ynesUTj7756xynUCGgBsWU5JwzRgGRKMvTjFuGTQF/+75v3XPPPb/8xl8a + rXgsCp0wvu9Ld8wff5woNQj6bqmIMPaq5V2XX7kaJCBa7//b/8coFH7nne/wfT9LklpzJE8SwTmA + xEAQVgRhiQTFhBFMKfvQn/3l5+/8wtfv/pqQCGM4cuTI/Py8aZovffnLxsfH3/Oe9/hBEKUJpsTz + PJ0xmqZJMcEYe67b7/f9Xv9bd9+Xp9nP3XTz5z73uX17L7z11ls3SfXa8GPg9258zWuxVG6xcOTw + wwvLS29729u0m1ChUJifn/d9f2Rk5LrrrkuS5E//9E9brdbKyopOSifHx0+enisUCrZp6mWPECIY + K6lsw9x/wb53v+vWL335S//y2X/2PM8wDETom9/85tf97OsOHjx45MgR1/N83w+CoFKpbEB5nsBB + UgBSqvX19VKpVKlUfud3fufkyZMnT57cs2fPLbfc8od/+Id33HHH8ePHwzB8wxvewBj76p13MsvQ + x9Gjy02Z7++6En6E8QMUSOr8Fa0AAKQUcRwpkIBUr9edmZkhCJ9ZnH/tTTd869v3S6kcyzaZ0Ul7 + hUJBcGVaJnWMKEps00mitOiWgkFomqbMOVaAMc6yzDJMAEjTFGNMGdXSFgyTfr8/PT1NCen3+xor + ZTtOGsMc7gAAIABJREFUt9fDwMIkLhjU8gphHGnCmWEYCBDnPAkjy/GUMoVQCCjBJI44ozYhuVAZ + YyjNgnq9qhSP47hZnx4IMeilbsFGWPZ6PcMgWZYBQkINxRMxxnnOhyR9hKjBlJCwRZ5hc9GcRzLb + HIAghESWA4DFjCSKAaDX673yZ1/xu7/7u3d/7S5MycOHDn/6U5/5tbO/Vq/XwzAEANNiaRaPTYye + mp+7+Zd+wTbND/7NX3qNck7lNfWfwJgqBReiAwqAc3nXA/dJKaFgfumurwzS+Bd+8RdPnpptra2P + VKp+t1erVqMwZBsqf7Ztv+/PP3Dttddu27bt8ONH//K3ft1xnJhnl1x+WZIkjx87NjU9HcXx8ePH + kySxDENJSTFGXHkFp9/vWDaO0rReH+t0WxFXEzPbTy6cvPHnf5og12SVfny4NkGVZAQbCoSNUkL5 + i7ZNf/zT7xcy+tS/RlLlOy7e14vDgYipzYgBSRpyniklEEaMEaVEHIcAYJpMKSEE5HlOMSWYPMHv + yCQDv/sEvyPHO+d3lEtKGSjMqKkkTlNhGg4AVnIoLAwg0TCJecKcRIFUUiiQCISQT/Q7SrNms1mt + VvuD7vpamxAyMT5pWdba2lqr1bHsgkRg2JbkAmFs2mSt1VpeXVVKMYNgjDnPEMKWYVmWRQrgVUxA + SsgcITKcZUkupUQgpZISDc18siwLe2GyluRCKAk/+ZLL/o93/PrpY8dlni3Mzge+f89dx3thEiYK + iGtQK4lyx6UA2DSpEEIoKZSQUiFAQ9COUl/84hcvO7Dnuhc+78pnX/vo4/N//hefPLPcpoalIa9c + yOEnRAGk1N3fzRW+8RCQ5Ec5nMEKA6KE0iRJKDH0+TCLKX6uYqOGqbgiCKdpUCpYaRYqJAEDOneu + QnEBgJEiWGn7WA0jeSoL4GfiPzQwEWzDLjYHJBWCHGEAihXGQpmQIYUQ5gLnGZEZiBRyAoRgbddz + 7tGqhEIECNHVBUKAJIAEjkFiUBIhDjRFhAMhCmElkUQKbQxwECCFDEmRhrdtJmoahfU0p66nRIqY + mCgDTIIoYARCIBCgEAIKUmn1GKQAhNgQ5JIUS0DEBEqAYsBEYcIlJcPFKJAmRBEiAcOmqp0+MQAF + ErB6kj/1ueCAEaXEOHVqNk8jy4A//INfLRWpytMHHnhg4OenTq0QbDYata4fRDE3DKqUkoorudnI + w1IqmQNF+P5vPSjyuN/rHjhwYNeu+k+99OVT2/b+xu++s91tp1Kislk03SxLDIPizRJro9wCkFJy + wMAYy4LMAokxxZQkSZKGadEt8zhfWlpyXbdaGen7g1anbVmWFEAwypJ8eWllcnJyx7adKysr66ut + 8fHxoltK42zh9JlGo/Gsiw4sLCycmV+q1auFgtvt9hYXl8bHx/fuvWBtbe3EiZPT09OXXnrp/fff + f+LksYsvvnhm29Ti4uLy6tl6rYEoMoixBeJBTGCbfXSv7JWqpcsOXLJtx4wQQuWcELSpuqQwQkCU + UoA2u2Zyg6mJsa72n9R82UBbyi0tNsAKg8Ly/1un5t99fiG1eYZy2CkDDCAJYUqJ7Tt3f+Ob99i2 + qZQwTbvT7xm2sckUIIAURkg+WTHy3PE1bOfJoY9Qq9XW1taSPLFNu9PpqETVqvVwECKENS9fKaUb + 6xiTPE+pwSzL8v0BY0wq3vf9mZnt7W6HEBLHkesU8jxHGHbt2pVEsWVZq6vrg0GueE4IA1AIQQ6c + KFWw7H4cKovmSuWgCBhE5SSX73/Pe8bHGssLc7VdO1vfeWzpc/96+WgdRwMCykyTJE39U6f+6dd+ + 86a3/Pqlr7v5N2755ct/8vreoFNrVILYN31qGZgxHIaxxaw4iUtuKc7yKBj89E+/7Nb//u71cDVJ + IoRUrVY9szj/1a/daVnW5ZdcceDCi3/tN94ic84YIzy3Hce0rCRNMUJhGHoFt+A4QX/gMLNarKhM + /ukf/2mWZYe/ffinXvTSr/7b197wCz+3tLRULJWyLMvijGH26f/56V/+lVte+PwXXv+Sn3rlja8i + CEdBaBjG+vr6yMiIpvNpvMztt98+OjoaDPx2u71jx46lpaWXvuR6odR9993HOR8ZGdGKdrVabWxs + 7P/68784OXvi7OLSSLWmE/KPfOQjB/Y/qxW1tOPTJvJNFzPnBgMbOy0GpLjQzrM33ngjpfRNb3qT + ZVme52mf34MHD95///3XXnvtnp17/uC//kEURSVr6HC1iVv58eLhf5gcJC0dppTauXNnHMdhklq2 + sbhwxnMKAGh5eTXoBwXbNagRpXGe5isrq9PT03MnT1Uq5WZzdGlpqeR6/X5fC3poMXi8IQA/GAzy + PB9vjgohXNedm5trNBq6jO52u47jaBusan0kTpMoiqq1mhCCcx5FEc9y0zRLpZIEwoixunxm147d + BiOzx49RhiwbW7YzCPuWY/oDv1odGW3MrK20KbXr1frC/KznFcpeMZc5xqjf9avlyhAEriURAQAg + 5xkAKCEZPl+yXWfSm4X1JlxVV9vaVoxiopSiGGOM7/36PXt375menOr3+y944XVzZ0698Y1vrNVq + f/ORj2gEHWFkcfHM333iY4VCAZjad+H+druNTcKloNRAQACQQiAFGCYVgnPOHcsGg86fWTh5alaf + FeecAgUhBRK2bZdKpTCKHnv88eMnTmiAQZwkruedmpv7r//tv2nAqAZFZFlGCWGUCiGQQgCQJKFB + oVqrYCJWW608zwmzfvGWNyIgFBsYUSmBc4mBUEpFKoWmJVOMKCgspORCcg3RbI7UH3nsyMKp+Uxk + gIVUmwDoc6JWOgca+h1JxTlnzNQtByk5ZHm1Wt29e/c5v6ORZq/X63a13xHRvwgBKYHn4olp8aZs + 1RM0tIYdKaQQKECSMUop4Zz3+/7Q74gx3/e73S5jbGRkhBDSbrd9P9SfswBQSuIhlRNjqhDBBOEs + yyTmANiktFwue54X46ArV7Xqmkbf6v0GY6z0qIdSEEPCq2mazGOWYzs2PXns+Lve9a6sn0YDoAQw + BqFgYsbF2Mg499x6mPLBIDDNYQ0PGGmbXaWUkkpKgYEzRmZnZzv9wX3f/E4u2J49U/0gR8SklCZh + xCgRoHIkQQKmVDcm9BqWSgIies77I1WxA51GfPdQGIboqWG+Ird0IjfGAwqpzes+FN7QWK4fku3H + M/EDBlIUQNONJAEuEShMFRCQjCqJIUdKXysp8IZcKCYSsASOFUYIpFBC5HkuTJMRakgllUIKKwTD + IgoDSAQCgUBEIAxqCJVFCgQ+V/8QifS3ye9Zz0Mi4EKlQuaQS2liACDnlqGe+GJEDASAyFAhBQhs + dNG3CodoByK9dCXSaSiWoOlYT+ZSPX0QoJRqbwPLqFSK7B8/89kkbjMshQBCrTgWOSiUxpxzk1lS + DnMd2OgQKaUUKNNkWOVnz56tll0hxO1f/M7Ah5kZVq1POXaBS9soFrv5QIhct42oSwkRGhO84SQO + FEOmVC4yLBiiw8e4UooSSiklDGVxklPmlZx6vW5YZqvVUkIJJJVEaZJ3O33HcYpeeTAYnJo9feml + lyZxtry8zHOZxFm5VA0jf+H0mZ27d0xOTi4uLmopI8uyCCHz8/MzMzPPe97zHnvssYceemhmZqbR + aKytrS0snIanUgvYKjx7++1fePzxR7Msc0wrCkOGh13tc9X4kyDf/7+N82Q/Nn/X202x8q1HH330 + 9OlT2tMvTdN+n23VQxp+41O9X10mbQpRnhdazur0wtz09HS9OTI/P29YzLHdLMsM29JVoe5oI4X1 + kMRxnCDydV84TdNStQQYn11ZNgwjDP1GozHod23bnp4YX1o64w8Gz3/+8xljJ09GFrM0TMs0GaU0 + z1MhBM9y5ljEogazMCAbWZON0VOzJ7dPj60sLtZqzelyuZTIV11wEWq38jBkBrFdjzvOqkKlQQjr + 7chir3j5yzDDPb+354LdgmenT58arY8i27Esi8Qoz9OS66mCOnTo0C/80s9fffXVlzzrwJkzZ2zT + rNfraZwIkX/g/e/ngl92yaXfOfhgLnij0VhdXxv4vlZCklwYhCKH8jRL4ySPExCwurTqeZ5ruc/a + d7FZMJHCjZEmpTQhMcVEgmmUjO0z28aao6vt1vz8fBzHaChsgIIg8DzP87xisWhZ1rve9a5PfOIT + oR9ccMEFaZoePnhovdN68MEH77n77rGxsbm5OYzxtm3bDh48uHvnzuue//xbbrlFcwolQgSht7/t + bT2//8EPfpAQYtu27/t5ntdqtU0nyaH2BhrSsYSQJmGjY2Pz8/PXX3/9Bz7wAcdxpqenH3744dHR + UZ39XnrppX/x538RJmGtVhsfH+dKaHbi5v2lM8//9Bwk7QuklFpeXh4pVdrt9q4dO13POfrIEWYa + lBrt9Q7Pc8t08ig1qUkImWiM+91es96wHevMwoJlGd1OS0gQQlgFZwid2pjGFIvFer0+e/wEAExM + TGguimVZ7bX1PXv2LC0tBWHoum4YhlyKUqkU+D7GmBFKKUVcWswgGHe6PdOW05P1UycPUkomJycI + pb1eP0mRZdUR4IJT6bbCasUpFmgw6J85fWzHtl3dbj+N0zRPG42Rgu3ahk0xkULIXE8kkYbvYAxA + EMFEX8utaOanxGTrJ44uOeq1kTRNW+22dr57wQteoMHZCkEURa95zWtmZ2f37t176tQpQrBlWYZl + fvVrX/Ncd35+7sILL1RKRFHih0GW8izLhFAKIymUYRiYICFEwbIHYWAbZspznmZuqYgZRYRgRbM8 + D6NIA0mzLAOCHcdhhCBKBmFQKHqtbidN04Jla0Le0OksjqM4xpgYhkUIDvzkzNKCaTLKzNWV9T97 + //85GASEMApMKaQnDRgQKEw2necwAFESSaWEQhIpoIzwNBNCjJSrAHIoNoo204FzZYxSSipBifY7 + AkKQNlqljBQKxUajPvQ7KlZq1fpgMFhZWQHAm4IfUmowBUihNp7zW2+/LZXY+X5HAEO/o0wIYZpW + uVzRfrv+IERAKpWK67rdbrfdbuufghAiSgHCQ6a2EHIowKUw1qpB2HFdZplJnkUy7ou+EAIhpl+A + EJJCbq4lwTkRWF8I27UJIoZlZmkkOLYNVxKuwcxJCtURKFfszoAPeoHl1A3DiNNECGGZTCklJEiJ + JNJ1oMBEMkziOBlrjMVpbhhW2E+YwTEGZhgaXZNzsdHaH0Kln4ln4kcQT9oIFUbD4aFCCm+Ib2Os + MAKMgABgDCAFKECYYEAgRMa5pFQRAggRJQFJqUUHhnyjDW0G3eEHwJuTIT3XIWqofPh9+a4AgMAi + hzQDkULGEKFIH1mBUpmUGeSUMEYpIqAfjICQAiIA54BzUDlSOZacCom4wkKX7YCwJiNhBN83tlWA + kPnoWKNcLK2cXVhfb5eKhFGL4nR8fCQXqBCpQYS4Uggx0yikaVf/xA1eFgASAEqqDCCdnGqONkYO + HTwcRUAxUGL5g8DzPNu1pGHwVJGS0gj5pzsdgxgSC8IM0zCJYRiGoTlIftQ3sJEnnHOecekWPW2N + SghCSmHDEEJ0Wi1VqZRKJds0u93uiWPHpqenS563trYWDAajo6NOwVJKnTo5u2/fvt07dy0sLKwG + YbPZrJTKoR+cPH7i4osvrpTKaZz0u71qtbpj23aZ86ek02hfEMZYHMdLC2eWF5e0HU2eZRSdsyaH + LYzi/xTxZNiafqpzJbUHfZIkk5OTGGN9Hcvl8pMFY3Vy8pTH/y4TJELI7OxsMPCnp6fxDPJ93/dD + 3w9dtwhCCiEYM5RSPEsNw/D9SAjhuIVCoZBlqe/7vV6vVqslWa6NLrTOW7FYDMPwzJkz4dnO1xFq + 1Ee3bdvmOd7y8rLrupVKZffu3ULkBjHinGPPCaKo4hUNIFJkJjWvvPzZgR9NTc+kftRZWysYZrLS + H1PMtGoZzwfrUUBT7rlLc2d3DEK3sf2qq65aypPm6DhgJCm+8uqrsjhzbSeO4zAMNXNeqxqYpjkx + MTEyMvLyl788GAyyLLNHrUql8va3v50axm233SYxfOnLdzDbao6NIoy17HWv3TEIRQAy53mcTk9P + 7969U4q8XPICv99ure0s7ZB5lkZhhlDKU9d2AKMkCgO/TwFVSt7o6ChCSJPNNJ5c+8XGaTo1OXPv + vfe+9a1vfc8fv/vo0aOjo6MI0M033yylLJVKvV5vYmIiCIJWq7Vv37677rpLu+kUi8XBYGBZllaN + ZtbwDdq2HcWx1gfWinx6QEII0XJiCCFMCAJ0+PDhiy66SGfpnud95zvf2bFjR5oOHWsee+yx51z1 + nJGRkc9//vNLS0t33/v1oUMo2lDx1Z5xT7eev98b4PuMH1qBpCmGmnpBCHne855323v+JEkjxUWl + UnIcN8t4nnEp5SCM6vV6kiR5nldrtdPzp6amJrMsy3k6Wh677mdest5q6RtyS0YrASDLslqtNj09 + 3e/3pZSNev3IkSMTExMLc6dHR0cvvPDCpaWlVrttOXae5zzLN20W9JRGCIERXHLRPr/fqXo7vWIh + TvNON7ALpWqtKaTKMlkqVtqtFvC82ahkSWt9fb1WqpXdKsbQ6a8Tgpu1kXa7nScpVgBDgyMAIZUS + hFKMMZLaamb4KNGl8CZPaTM2/2kYzDCMVqvFOd++fXuWZbfddpsmYrmu2+52KKWN0WYURYZldTqd + ctELggFCqtNt8Ty96KIL8zxnpjEI/CiKEBBmmZ7lYEKSJJVSAijDMADjSqUSxzEg5JVLXAhKCBcC + YbwpOW8YhuM4QLC2tNKDLy2hgzGmhOpz1rUBaEgbJRSjlKeIgOKKUloqlQq2myRJpVLL0pynXClF + KdEzNJFzAcPqUYGUEpQSgBHorrCiec6lFOvtVsF2JAJMCQCgIUd6OILTn5yukAnChLCcZ3mem4ZV + KhdrtVLO06Hf0e4LNvyOsNZeh6He6BYiyneNrTsfQhrgDFv8jsaHfkf9PiVGo9EoFArdbndtbQ0A + LMsZ6klsUNH0ItRlv95uszzRzyAhxPr6eqQGiZ0opc5z0NM/WgopYSiSo1ltSZjkghsMU4pt2wzb + 4jnP2VX07P3793nlAlf46PGFhw7PLq+uC0Qd16aUEqwZREqB2FyWCBEh8ptvvhnJ9CMf+9TOHfUg + 4r4flqrNOFWe58mcx2E/5QIAQEASx1vlbjDCQoFSSv2IIXbPxP/2oRBs4fgBHrLeMFIYg9IUHazQ + hu4cRjC8jQmimmeEAAxqIoWZHtUojfDS4yP0BE2uJ2aLWzvfSgPov++1LDFVmCIACRgEKAQSgcCA + JCKIgEJYYSRBYgCFkACEEJZABeQ5gAAssOJEcqIkUgg4RnLIlEPDATYG9f3VSAaSUoZh2G13Jscb + C3OrjcbM0uLJXdtHr7zy2Zja9913eKW16nhF12U5TzffyHlYQs4lw3DRRRdPT47ZpnPtNWT+9PLZ + 5bVOv2u5hCvU6XZJydDiPYZhcN4XWMAGBVcpAQBSyiRNlZAQZAYx7KToOoVGoyEN4a8GDBOrYAFA + v9vlnDdGm1MTk931VhRFuhpJkqTdbmOMi8Xi+Pj4Qw895DhOvV5njKVp2u/3bducnph85NFH5ufn + x8bGarXa+vp6r9fjnO/du/fEiROzs7M7d+6sVqtHjhzp9XpjY2OwBRW/9S96Qk4pdV1XF0tSyiSO + lVKaWXbe6/+zxNMVSBJUnudhGDLGbMfRFjSmaUZRBDAUt4Et7zdJkvMPpI+/oQd4XhBCPM/btm3b + 4uLi7Ozs1NQUQmgwCIZTIwCdW2s5WaVUqVRCCMVpkiQJxsjzvE6/k2WZLuFGRqp6PFitVgkhz372 + s7/z7W/v379fSTQ3N+eYjmbUcM7n5uYIUpZhR1lKnEKWZXF1VIEECYsLS4cOHr7muVfTOv7aPZ+9 + vDoZCMEMN+/7OXBqMmYyahC3MuIrBOMTK8srx0/NyWrRsK3uoI8pCpN4/eyqY1raklVXa61WS7+L + brc7Ozt75syZNI5N08SAjh59TGQyTdNuvzc3N9fr9WzhRknc7nTK5bJSSubcYoYUwiCUZ3mr3V5c + XDRNRggZ9osBAGBkpNrpdAqW7bpumsVxlidJEmdxv98ngHzfN00TMOIbBYyu8+/55j233XZbvV5f + XV6ZmpoKgkCCHBsbu++++zDGY2NjYRhq9p1mqq+srHDO0zTdtm3b2tpakiSlUqnT72ZZliTJysoK + Zaxarfq+n2VZsVhUGxYpcgsDXwq5d+/e48eP6yHSBz/4wR07dgAA51wD7Vqt1uTkZLvdfuihhxqj + oznnutDS3WH4rjOG/4D4oRVIYRh2u91Op6PryzzPH3jggXffeuuOqZmx0dFWuxPH8dpaS0oZxmmt + Vut0OpZlcCnGxppc5MvLy71e5923/cmuXbuCMEzyTGOodC6us+EXvvCFv/Xrv6GUWlpaGh0dda3C + xRfvJwiXvOK7b/3jlbXV3/+Dd7qelyRJJnhzpC6E8H0/ySJGaZamjmk1R+qvu+FVY+MjSdpXCAqF + GmYuZsW19Q6zmJRgErvoFtfOLltETI6XRJ61W1G/5xc85/IrLjUIfujood/8zd/EQBihDBOCiQCV + ZYkUmSJKAEiJYSiEhjdZa09Kds89aLSEt674kyQJw3Dnzp29Xk/fYAcOHDh9+vSRI0cuuuiijHPG + GBAcZyml1LRYdaS2feeOBx54YKRZb443O52OPwijJIyylFIqhCLa2gChKIosy1JKAcGE0kwKLoTW + 37MsCwvKORegkjxDHCmldPNAi6frGnWQBPqE9f5n2hZCiMvcj3yheLVSAYBup9/tL7q22+8PGiN1 + IQRgTBlSSiR5CkgxSqXkCG0IHehHo9JyJSjjuULIdb1uu00pTbJk05BUf3Jb92zNUwQEQuRb/I6M + JEn6g27B8Uab491ud2lpCWNaLBZ1UU0II4TBxl23oRL3PU5vJYDEQ7+jwjm/o/V1wzBc13UcJwzj + 9fV2notCoTCskHO+Oe9GIAmCIRqNKam4aVmFQkEhmeaJQlIpSSmllCKM9aCZICAIYYy5UIQQwpCB + Dd3eC/th0kq4lFLCi5+/55ZffO3iydk8So49NvvA/YdX11pRJnphKsBkhue5JWoQ3/eVAIQQkBxh + TClVEkBiwQEr9JlP//OzL9/94pc8+8Czrpg9tfLRj3727OqAMLPZbPI0y3V1hL9H8axn4pn4weK8 + mxFjhSRgrBCC4SAFae9UwAiAANKKWBhhUEpwgQEIxsRksNHMHv6hlAKpv4ZADY+zKcYA54YzujQS + GIh6ioTy3wkkgUoMCAFoAWCMEAWMMCUMGEKAlAKpACQohOiG5AtRgDkAx8CxEkhKzBEIUBKDgqFW + BJY/QDqeKQTELRRnl0+ury27Nrz4Jc+j5Mqw32m1Op0OXzrTkYKlSZbKiDBN5tK4f3munFSEEVfm + 8tv3H/nOtw7mebhv397J6drlVz7r8me/6C2/9Y619loiBOVOpeRpG4+nO52C6QAog9oVUpFtopQi + CDODWLWRoOeblEkpAx77vk8NVq/XHcdRQmrpCNcpcM57na7IeblcvuKyyx999NFOq71z585qubK6 + utrrdQzDuOLSyw4dOvR459FLLrmkUiwdP368FUZlr7hz2/ZTp04tLy6Vy+XmSD2OY7/Xlxvayued + ZxiG2hxGA/71wOQ8nA/asIX4vi/Kjy+eTudDwBDPYhiGAojjmFJqmibPc4QQRVhhtHVW9pQTJPX0 + jBEhxMrS2Ysuumjb1PSjjz4qsrxer09PTHZ6gyAIlFKUUiE4AGh6xWAwaDQaCqnBYAAAnufVavUo + SnTPNE1zAHBdV0Prm83mBRdcQAiJkiSO4zRKlUJRFLXb7SAIKAbJVRTHmEspJeLSBIpBFQruz/z0 + K06dmVtdXrr86uf2jp5oCxlZjiUQxliYZJBnPZCSsh4oABjds3dsaeHwwuyq31OAB31/5exyza30 + 2j1MKQmitVZHv/1yuRwFQaHg5bk4e3ZlZnLq6NGjr3zlK48ceXTvrgsWFxeTJHE8NwjDdr9HGLUt + S/vN2IYpcx74fqVYwhiHSRwloVdyl1fPEoarIxUBHECut1YJIZSxIBz4/qDRaEyNj7uGfap7Sl/B + NM/SNGWM2YVC1u9jjHV1aljW0vJypVTClGgG0crKSnNszLKsXq8HABLA87wkSebPnCmVSpVa7dCh + Q34YYkoVQkEU6UFItVotlUpyw0FHl9MbUsBSQ370fZHmWafXq9Xr/+szn/nt3/7tP3nve1mWTU5O + 7tqz59FHH33NTTf9/d///anTp7dv337BhRc++NBDtm2rDQ1JvXK2UlT+4+OHViBpCJyWMFYZLxaL + pVJpenr67b/3dsnVhz/84SziRBHTtChmFmUU0FXPvura51791a/eecstt1x44b5f/pVbDEINQtM0 + 1RwJAOCca6oDpfSOO+741Cc+WSgUsix7/etfv3vXLj2J+oN3vPPKy579+S99gQAqOE4UhliBBmLq + b7QsS8MlJReXXXzgzb96C1ClFKPmaL15wcnZ7uJyhxpMKRUFcdktFBgdKbNGHS0tnDhw4MDDRx5p + tVff8YfveM0Nr9y5bUfkh5ZhKiFBKkyQlBwpQTAwSriQUkrt86BJWRv9s3Nidzo2r7eu4iqlMuf8 + 7NmzMzMzH/3oR+sjdQpUgMhkLqX8mVf8bKvVEkqlWaLl6m3HBIBKpbS4uHjk24cKzfLVV1/jFAoI + U5LEXCgppZJCIXBcl2FCKUWU6MTaj8I0z4qux7CBFKRpqhseugoNgqBWq2kp8ziOfd9vNBpSymq1 + ujlk104XueAAkjAMguScazehSqXWqNULhV7oBxqipoBIyTnPEEKIMUyw1rRAWiBgSClBSknOJcaY + MGYXCpZlFQouYRQA6x8L54QThqMkjEH3iQzDqNUqhYKTJNHq6mrBtTf8js4wxghhG35HQp7nd0Qz + npFRAAAgAElEQVTJd4O2PjE50mMcbXL1ZL8jglmv12u3u1mWeZ4HAEmSEIQ1YF0pJTJNOh82RQBJ + Qkij2bRte+nsGV3BUjDCvJdlGZOEUiq1mJIUnHMFGGEECMkNgQTLsuyKTQ1mmTA7O3frre/OB6K7 + DkgBJSABJmbcRmMkEzTJqe/7mCLbtrMkBwCl9cKlHBIzEEKATIs98sjRJI++eue3vVJ5166dfiQU + JrZtD7o9RglXkiMFAHgjafiP5yA9E//bh0JKIgl60KLHxQAAgCRGCgMa8tD0owNvPA0AgxQyyzIM + wBjDlIKUsEEahnPP3o0bfoMNv/kfSAFscRAemoT9u2Ja5528GBrMnoMEK4QQAcAEIXbOxUhgRBAg + IQEDKKyxgpvfIRWSAFKBlAAanLzVqen7CAqWZS0uLnpeoVZpmIx/7GN/B0p6NsQxOI7n+6lRqDHD + SBOeZZllaM30rTKPWpFZEYp7vU6l7AwGwRe+8GAQwMQkfPofv2xZVr1eSBESNvh+P89zzjm1NQcJ + bex9AAAY4ziNlZAgCad5NAjb6+thEJRIyfW8oDNI0xQhZBmmULLb7vAsH6s3bNPq9Xq6YlFKcc71 + 5l4oFJrN5mAwGAwG2lyFELS6vFIobB8bGxsMBktLS9VqdXR01Pf9Y8eO7dq1a2Rk5OzZs4PB4MIL + L8QYdzqdguOc+9i3CHDZtm1ZVrfb3WRW6AevZVnnsY/+c9VITyuESDBCSHdFkzTVcsQGY+HA3+SB + wEYd9WTK1mbIp/k653x1dVW3tvfu3Xv27Fk9wXM9J0kSLrh+jd6UEUKVSuXIkSMz22cqlUq3282y + zC0VgyBgBHue1+l06vV6FPidTqdWrpw9e7ZULN51113lUrVSqVFEe70eYwwAG4axecsTQEoqmfNM + pAwRLtSLXnL9P/3rZ1c7rX6aF8bG3B3b7zl7eoJaGEMW5KlSpcnxsV0z27bPKIvd++1vffWb925/ + 1kXdPPb7A0aQazulUolijClNkiTLMs55sVi8/PLLn3fttddee22WpB/4wAeWF5c+97nPvfOd73zT + m970nQcefMPPv+H0mfk777wzz3OppEHIYDAwTFNJiTFO8iwIQ8MwDEI5zxGBf/znz15xxRXbdu44 + ePgQIFltVEmfdLtdokScxgoj07GXVpYOHjn46PHHEcWu62q6BDNNbakkpQyCIAiCT37yk1LKKAje + +973Hu8co5jt3rv3gQceYIzNzMzoZFu79RSLxfe9733NZvM1P/Oam2666c1vfnMURbfddtvoWPPi + vRe/8Y1vvO666+65995vfvObvV4vz/NNo1iE0Dl7SkDFYrHX6ZbL5fvuu29qauquu+46evToT1zz + E3/9kb/udDovfvGLX/GKV7RardHR0TAMP/ShDyHyFLPZHyOE9YdWICVJopdIHMdUIYyx7/tTE1PP + fc7zkiR573vemySZxSzLtGIZE0RrlZFffMMvXHbZJXfe8W93fOnfxsdGX//a11HL1McxHXuT9aXx + SBjjIAg2Ff3f+MY3vv2//BdK6a/e8ssHDhy486t3zs7OGoYRBIEe9rXabdM0LdMkhBiEWswAqXqd + bmu5JRL69t//w337n/vJT95/++3Hums7aebglCGkzDQKB35jslw16JUXTny985lf+5XfC9P2F2// + l4pbVlwShZWUWCGQUgkpKdeVj2cXSqViJnicCAREjyY3a6SnbKuoDWFH2GjYNBqNEydOvOENb1hd + XQWARqPBpfjrv/5rLas9NTPT63d1cuyHIed8b2Hv5ZdfHiZRGIaWYwVRkOdpmqZSIUIIoZRRyjmP + kzDluX7kIYIxJRWvKoWgBAvOsyyTUjIEDCFK6UilGgVhK4kNw9C7jsbgdXpdPfpEG/oBhBDGDMNi + nPNcSINZhimDIBx0fUpx0fWE5FJyAEENRE3NWpFCpABa/YeAQhtyFwgjYhimECKKkizlUdQuFasb + AkHnbz8IIak4YyyNE0LRSL3qFKww3PA7cktxHK+uriqFbLsw9DsqVwHwsMkhlNJ+R2Tz0jzpAqHN + zXKzstUtNFmt1oZ+R/0+IaRaGTENezAY9Pt9bRil21pKIQWahYCEkFxxgollmtqQkStpWRYm4Ad9 + PwiyLMOEIAPpqSnbELiEjYoUEySkkJxjjjQHibnMoBYmLE0imbuG7WA6MKgPAFJBvQmjE1bCZdgO + AHmmaQrFpZSmRaSUXBhCglSAkMIgMRGMyDD0J0ZrVu4iFaUpZ0aMMMHEyPM8SZJcy9jhZzhIz8SP + MM5jeCN996tznkhDcJ3agrvFSikllAKkMEVKKQ6CaTfkLb1MfTgJUoFUSJ6/5Q5ltfXLpAJ9d0jy + 74qCbAkMQCQmnGAgGBCWlCCElERazRuAYCAASCIEGBAGBHQo4E0oYAaEKoRAaovYrfj6HzwB56BA + jE80iq7X77bbrZ7JjHKJlT3WbBIByLCpxEaUCCVMy7KkDBACUAYoAooBCEC5QomAAKNgattYc6TW + Wl9PYnAdKLoNP0pt27YKhQQgJhlxlC6Qnu50HNMWeU5SJITwPO/SSy+ts+Z0adKGQuLHIpMIIcBU + KJlkmVKqVirbhqlbdbCRmutKKcuybdu2cc4XFxcdx7Esi1lsbW1NgZiYmCCEHDt2zDTNmZmZJEm0 + Q2WlUvF9P4oiTa1JkqRQKMCWFbJZI+V5rsW1NcQOALRDydAhfUOi9scrsfVDjE0uQ5qmeZ5TSg3D + oJQqLrQCKGjYxcYNtTV/3Yqpe7pcVkPjFhYWCoXC9PS05hRRxhyvmCSJyIQGxSmlCNImFvIb3/jG + dx58kDFWKBT4RqIihVJyOFXQ7XhCCAAO/Gj7tp0Y0/n5+YJVME2TEMosU4LKJZcSUp4zAM2zMolJ + ADfHx3KJfvOtb5tdmDMVNA17ZO+OxSDoKBUFPiLYsCzbUnc/8kD/6IPGt+9Zz9Jlvzd+8T6CsEVZ + Hkflcnl1eZlL6TgOIUSzGLrd7tGjR6enp49++MPlYjGKoi998faFhYW3vvWtO3fubNZHf+7nf+7s + yvLq+ppl2+VyOU4Tx3GSJNFeI6Zp1mo127ajMMSU7L5g75e/9uUzy2fW2mv/8I//IAQ3HWu6Wi7X + KrZj6u6waZqHjx4+MXdirdWZ2b5t/syCltrnUsZxnAuuEJyYPfmJv//k3OypsbGxo4880ul0qMGu + +YlrciEYY1+54ysSpAJFgSY8sah10803/dM//VOz2VxYWBBCXHrppXffffett9569TVXDQYDfcLf + efBB3/c9zyOEZIJvLgA5hNtLKZWfZJZlMcaCIPj0pz995MiRF73oRW/5rbfcddddnuf91V/9Vblc + LhaLnPN/+Zd/KZVKfb+vMNLJzyb86ul6EN+77cEPHD+0AkmncbZta1EEzaKr1+tBHBQMZ9DzAcAw + DJMZURTlSWqZpuLCINbS4uLLX/YyRvD83Omde3c6jqO5Q9oZVo+AdFXAGFtbXtm1a9fVV1/tOu7B + gwexgttuu+3DH/rQq1/zmlqt5jqFMI7We72pqSnP83R9FcdxGsWUUs/zBnmXEjI1PrNn54UWdiw8 + 3iwXRFhcHihi2E7Btq2I2aHfWfzq4W/83E0/Obh4rjnSSKWJJI7DiFEzCtZkLgnGhBCKMVKgJMeA + bNsql8u5FHYsFGBdxW16b2mg4OZl3jqa12+TZznnvFIqjY+Pp2mqef+tVus1N93YbDallM1ms91u + IwxxHCdJYlkW5/zgwYNjY2NRFLmuG/rBYDAIw1BIZZkONVgcp0mSuKbNOfecQmoY2ns3imO1cUoI + IdOyDMayLEviRErp2o5t26ZpSgSbAjIAYJsWIlgLA+qhHACkWTYIIsuywiBKqbQM03W8YDAolbxu + p20YlFAlpZASMAalkBD5BpRUavkp7eWIFJJK5UqlSWYxa3x8vNcbEMLyocrckyc5QyoOIOk4haHf + UXvNMIzJyak8z+fn57WGR7fb1e5YnU5viF5DSG1stFmWYfxUt8C5pvH5+5/a6ndEzvkdDQYDzrne + bjUu0TQtkfMsy7RuKUHYsexKreo4llKKK0kpXVpeXF9f1/A8/Tlrc1vAOJciz3MMlFJMKVWANBaR + EGIYhpbdjPup7bgYIUKIZVlBMLjuustcl+6/aFepWuCAHzh4bK31SL/ft92KbdtpGvNcAgDCBiEI + Y6KUUIILIZI8ueGGG4oF42Mf//jkxPZT80vxIChWGt1+WCgUDMNglORSCAWQQxJFz3CQnokfQUgA + pYWwzsm5bZQoeKN4UggAKYlAAQgAijAgJEEgQikhAFjJXGECIJHWpFegvUoUCAkgQUg9n9HS34Aw + AKjzqpAfcO+lQKjEGBAFCpqtrMdSfDiMUgoQwoDQ0HFJAEaAJVAsCUisgEggEgTSr8da/RkUBnhq + dse/ExiyLEMYLywsTIw1w8H6/v37FxcfdxznZS+/Poiye7/xwNFjSxJcSsuMsTjekJDRA3PYUGnD + CCO8e/fu7dNTXqEMisyeXGy1/fHx8ZPzy0keIdvODAGWLJfLGv/8lBwkwSVBSEqZ8tSlpZGphhVa + RVz018KpqSnEUS44z2UO0jCMNE1BKpMy13XHx8e1uUKe52ma6laUtmi76KKLgiCwbTvLk/r+/VEc + aB2dK664Qm2ggCYnJzWKqdlsEkI071z3ueCcLvG5z3czPyuXyxoD5nme3kF0gaRbt5uoih9jk/uH + EpsoEsuydM4dx7HMObPO7Y/D94jReT3frcvy6SrGPM8nJiamp6dXV1eTJBkfH5+cnGy12xjTer0O + AjScnnPOs9wwLAD42w/97dXPv6bX69Xr9dXVVcwopVTvtqViud1uWwbDiPZ6vdHR0W670+l0SqVK + sVg0iAEbsmEJzzEGbDCmJFci9qMzi4vH5k+yDMamZz78d387t7JUqVeAC0egzO9jyUuulacZxiBz + SW2zHcaFai1UcrU/mNi+ff7Mwmc+879sahaLFs8y0zSJlK7rBkGwsrKiXYzPnDnzqU99Ko7jWqWy + srIy1hzdsWPH4cOHH3/8cdO0GWPrnXZtZCSIo06nYzm2NllBCMVxrJSymJHnue/7CoFXq/zWf/m9 + paWlfVKOjjbb7bZXKARB4HkFzcJgjLmuo4c/UZoVS5WzZ8/6vh+nqc5Pgijs9/vHjh07ffp0r9Nd + W1uLgkBPC4Mg8Eql9fX1l/70SxcXFzHGruvqnFlK+fjjj+d53mw2tah3pVJJkuTzn/+8zn8YY1rF + RE9iTcc+d7E3JkiYYMOwlJCdTkeLV33jG9+477778jyvVqu9Xu+hhx4yTVO7kNVqtVOn5xpjTbkR + w4NtudHOX7QIyI/4tvuhFUhSSv0+fd83MSWExHGs7zTBhRBidHR0fX09TVO36OV5PhgMPM/LZc4Y + 6/V6QohGo1Gv1/WzDFGy6S2tRQL0ZZsan2i32y9/+cs//omPb9u2LRz4Fa+Up2mtVjMs03Xdyemp + 5bVVy7JmymXNcEnTlGECADzLZyYmLWZkSaxAMANe9aq9X7/73vW1jlvYJQQLB7nnlUUWO7bBZf9F + P+mOTj9HQUYRllIWCgUCKIqi/fv3l0olPZRMeaqQjON4emYiSdO7772HYOvAJZfpJ7gWytQtKA3L + 3iyIz10ASpMk8VzXcZz11VXTNL/yla+kaVqySr24V7LLH/r4hxzHWVlZcYvFarWSJ6lBqGUYgyRB + SH3pC1+cnJx07cKZM2eCKLQMmwjOCAn8CGPiMEtwzigVnGMFSZLgDb0EhRHGWDvfIQCQyrUdIQTP + c8G5YRgiy7WRtqYnWZYVBAEiw7Wvs3kFiFELYdO0CcMkCkKeCcdxup2259jMQEmeUkrTPDOY3ekP + Go1Gr7NeLpeXl5f37Nkze+LkzMzMysqqzGV9pOn7oW2axUJxfbVFCMsyXqnUOOfMpITSnKdZnjHG + MEZCSMpov9/1XHfXrl1JEi8unikUCo1GM0mSdrvLuaSUwVA5FKVprid1/y97bx4l2VXeCX53efdt + sUfknllrZlWpSiWVFiQwarGYMTZml7uxG9oet9u07R53j9242by03dAIj21Oj33oOTPNGPCMARsL + 24CMQUDTGDBCiNJSJWWtWblnxh4v3n7v/eaPG5mVKkoYuWV8eqzvjzhZcSpfRrzl3m/5LahhVyJ3 + Z0c0CcHVDiKCRm0kaJ/qd5QlExMTtXql3+83t9uM8R2/o1ar1bIsi1KuFAKAZdkAYMyOLW5lcdJo + NDa2txYWDhuC4/r6+uTMZLO51dpuNsYa/X7PtsWB/fuGOnDGiWVZwzRRHE0tBDrPssx2HMNpMGW/ + IV6H7VA1m5zr227e95M/8bq031u/snrl8upn7v9ys91a2YgrjaJXqheLJFM6DMNqtRr0I0oBqNKg + pEIA4GAx6tmMfepT9x9bmL3rH91x44lbt1vh+973wfWtIeXCtm3G2GiCxIG4lNuW8ZjfuZtH2SV9 + DmL3XPz3hb4uJxAJYywZDut1P4yCMAknCuVgdYCAKSjQlAHbyW4RAClhckeKEgBHFqwIOcMMZAYZ + tRkjVpL3mEcpZ3keU8J2FeT3HOqZBUEqiIXctcHSEhkFjZBnuW0J2CEbUYMRvqaPoFJO0QZC85wp + 4jI7UQki25leUaAMkBEAQPVMeVF5pjxPtNv95vZWrcxO3XrkjjsPpuHgkdNnvv7gGa0dVB4XNrNl + lLQIaAAGJMPRqQMClKCjc621euz05W987XSahIWCZ9v2Hc8/8ZLvf93P/C//LgjjYasFDpQLVQOU + MvnNbnJjtjxKqSaolRacY45RFH39ya9//o8+jwFuLTUFsY4vHCeMLj55PkiiYrFYKBQ6rbZBKR89 + evTixYuu6+7fv59Sevr06WKx2O12Xdc9ceJEFEXnz5+nDA7Nz0uVPfnkk9Vqdd++fUbC1HXdsbEx + RFxfXweA8fHxcrlsyC2FQmGHj3q1Uf0dRkPcstI0LRaLrVarVqtxzs1nMN9aKWWKqCAIfN8PgsCM + qkxNBXsYyH+PXtvXDbMbCsvq9XrT09MbGxuEkKnxiV6na1vCfIXxyYkzZ84cPHiw1WrZrgPXzI4A + AEDsJGyG16C1rtfrcRw/9thjjuPMz89nWXblyhXG2KFDh7I8v3z5sgK88YYbh8Ph2toa5zwOI0LY + 3XfffeedzzMjvn5/UCqVpdJC2Gmam9Tctm1ALYSgwA2Uw6Rbow+DIHOdpJnjuQpUGMcFzw+C0PHc + rU7rB374h8YK5TzPmWtHMtOCUIRsEJbLpQAjJJppIIB0pBhDFaGa0Fzrgl+SWeZYPI2TJM1qjXoc + 9Bm3hlGsgXiFYppLQojj+UqhmWtNTkw3m03f94Xreb6fJInMdKFQMF6uhnDlOI7Z0ylneZ6HSSyE + qNZq//5d/0ErZQrXq5dJq93b1fVGkKvbb7+93+9eubLCqA1AuBBcqXK5PBgGg8GgVqtFUWTbdmN8 + bDAMQCPj3CQSWZaVKuV2t+P6HgDkShJNmMUZgAUgtD0YBgBACQ3jCAAKhUKv17NtO03TXErTxSgU + CmrParmLAkBEc0UsW+RKakCpJNGEW1wDegVfKaVhJGMVhMPx8XFTeu2i93fRrX9fKNZnrUCybdtQ + L4rFIlWotbYs6/Lly4hoC4dysr65obX2PC+KIonaLxW7gz4hGCcJt6z62PhgGMRpDqaZAcyof6Zp + asaIZokxDJnbTt7227/924PBwBW2UkrtYNVyJbuDvhDCcZxhGEopLc49z2PmvpKq0+l0B32v4CvU + UQqVKjAgk+MT7VbueFXXIeFge3KqLtMmt5hScOnShYUjsxogzWLbdRQox3OzLMmyjHOeZFkUh+Vq + hXO+ubG1vLqcbYdHnrdgWFIAQAiJosgYdZts8tvPmxnfZ1m2tLQ0Oz1NCHnJS15idBocx1ldX9vc + 3KzWa/Pz8xtbW1eWlk/ddNJxnI31rbHxOmgsFn1BuUpzR9hJFKs8T6OUedy1hCucNE1t4Ugp4zRB + Sgq+PwiHAFgsFo2vVAoEtW5tN6vVqu1621tbk5OT7e2mRVm9Vut2u+FwWKlUguEwCoaVaiVN00zm + QogwDIUQliWiREIOWoHtur7rqTRptzbLlQK36Pb2lud5XDg656JQ9oWThJYrJrqtYbU8s/jElemp + udWljUqlgkKHw2GjXmtuty9dvnD0yImNjS0lcW1tzbZtoCpJI62V67lC8DxPtSZZljQajYX5+e3t + 7fPnz83MzIyPjw8GQbvVzTK52xFkjGkN3wHycW0QhJFIkTaAbCllv9//jn5HAVxNqq62OnYLh2Kx + uLGxUSqVkiR58sknu2sb9f2zRgO02+2GwdBi3HEczvnm0mrcDV6R/iDnHJjmlOZ5LtPIbMBmSCOl + TJLErKpO3eOWzVi+vr7+nvf8ls+gtQGcAmrwCnDy5P5BpJrdnuVUhLClzgeDgcwVpcaLCTiniIQo + orXOVGYJXFxcJBwffPDhNOPz8wfjjKVSWZZ11QcJNKbaDBK11oY3QXaMYk1L4rl4Lp7lICillKik + VkCRMKKIpjahQCwggnIKRBurMiAEiSEKjvCgQCkSIBR2RfuBKCJzyIAqTbRSudaaMb67PO8kyn+b + Ul9KmeRJChkFrpBqrTWTgjGCFGAEtaNg+EUUDBMKpaYJQoagGKGcUERgRABkAICaIHBEUED0M1Sw + AwBAMGzs/fv3M5JyGt93332MpjIB12FKCcviPnc04ZoAY4QCH+mfIwFi5CQAABhjWa42NjYcm+RZ + 1mxmeQ6XLm/d/5mv1usNxyc1zlNLSp6kacoY8zxP00gqjQAcKKVUgdZaI0VAJIQIIUhKwzAcxlHF + Ks3Ozna22ldWlmu12vTcbBhHnV5vc3u75PmusAuFQpqmF86dgyArFAq2bZtkwGB9r1y5YkZMURqd + O3dufuHQTTfddObMmfPnzy8sLHDONzY2VldXZ2dn5+bmVldXl5eXjT9Snufb29tACKPUiMDv4qo5 + Y7ijC7T31WwlhnexsbERhuH8/HyapleuXKlUKnmem90/y7JqtRqGYbPZ3GUKXNMY/VvcXX93YWhd + 9VotjmNTfJbL5ZWVlefddvvSpcs33HDD4uJilmXz8/MPPfTQ+OREp9f99uoIAEaiDpyTHbpss9ms + VCoveMELvv71ry8vL+/fv39mZmZ7e/vChQtz+/YdPrLQbrfPnTs3Ozs7PT3dbDY5577v9/t9Q0jb + Da20lJJb9silcITP3zW93Q26V88pjmPCiUStUOdSIsYWZVwwy3fjQaq0IoJq1BJQ2iyAPGJEUcI0 + NfItFM0BQQNoAnEWJUHIvILgDMCmlEqlKCWUMkM1N1dZa00pcxwHlA6CwGjcGQxhnudkh6rADDsd + cBdeaExUjSrJMI7MiEYBAa3Nk0ipsR5ApRXnvDcYTExMzI+NRUkSJnGt0YiCJI4TJCSKIoXadd16 + vW7wPq1WK8sy3/c5ZUmSCM4LpVKSpfDU2HuXUqPkhLhb3lNKTdeYc24JATv0ELievDsS4DtMAXNy + LMsa3SeGb8ZYnudmXGl+dl13d3z0HfoUo4/3PxDELo7jNE0NuCgcDKIompyc3G5t5yrTWlfqlSAI + KaWlSrnb7WZRWvUqlmMrgsKxmcWvLC9/65HTk/tmgyBYX183ACSyY4JkVhMhxNLG5rve9a7PfOEz + 3/zmN8cajWF/gEofPngoiiKgxPf9zeb2cDisVKvRDgrIsqx+r+c4TrVUtpnlFvwgToJh4tjw6CPQ + ajf7PQo4mSeDLJPCpmtrK0U3te3KNx5M19fDJJduwdrc3mw2txCU5zkrKyvb21tpmg6HwyiKuv1e + nqcnb77xxMkTSzeuDIMkiiLz13cJVEZnHK6H0LUsq1gsbq5v3HXXXavLy0KIbrO9uLi4tbXlum5j + fGxiYqLd7URRVK3X0zRFBFRwYN/B//KB/zNL0lqt4lgiyzIjx1mt1vM8RyRpLi1qBUGfMlKpVJCQ + IBw6Rf+zn/vcb/2n9/V6PWNnlCbJ5OSkI2ydS62U7/v9Vsd3PdS4emUZEcfHxwkQRmmxWDx7+pF9 + hw+7rhNFkWs7w+GQsgyExxhTuez1OhS14LRWr/6rn/1plWf79x9k1A6GqVS2bZcJOMEgBtDC5o7N + pmfGzjz2MOO6XivlWeS6zuWli6VipVarl4q1X/zFt0xOTNiWEIJncgRpMFPEPE9t2y4Xy+Pj41EU + bW1tlcuVer0RBMOtrS0lkTGLXuN3pI2pyW7s/VnjdfyONIz8jhKllG1blUrpO/sdGSbE3qSKACUE + M5lZFgMGXtGbnJx85Stf+cAXPrexsdHc3NJac0o83zOdSC2V7/v9pM0YQ8bCNKYAnmNTlEopQjgl + lOzIX1JKqUWZYzlOOY4GvjPl2UyGfS27ICBOwS6AV8xQ8Cjnlu1EKRhQiuNyRFSaaEURGSFIiCQ0 + JygXFg4PuttPnlupVDwpkVvZMIzHJuaeskhpAA2mTtvbE4XnqqPn4u8yKGOcc00lUsxBRVkEwBOI + ARTTiiAlRAMYKJfhDbLd5ImgKaAoYUqBzCALVRTrRDsAFKTOFShBkAA1/sJEUyCawjMWRUCiM5or + LiMILS40gGKKQJ4BgkUAmHmQGEgEVEAB0GKgISUgU0hySJFrRFS5JowAcgAARABiVihEqskzrJEQ + GCcacy1zYJjFuVaqXHLKJVIs+ZXa2Nmzl8KUFytT3W7iF0qEJACAWgAyREZQA0mBpgqCUoXNz+93 + LH7msbOdtnIdqJQaQZSnaYpoCyEimVJKoyhK0xT8pztFgASQjnJow0XxSl6tWCcStjeaWuvZfQcc + z02ybDAYZFlmWZbl2NwWMwf2r62tuQVfSmk5dp7nwrI0YrPTlqjr9brHoN/vnzt//qabbjp46NCF + CxeuLC/v37+/MTa2ubm5urbWaDRq9XqWZcPhEAEc143iGKkZm1HUqAkQjZqAypQmQI0d1nkhe7gA + ACAASURBVM4rAJjOVJZlBn3U6XQ2NjaklEeOHOl0OkmSmFouDMMkSUqlUqvVMjhAssdblhDyVIHW + 7118O0rTfC+DlRqGYZqmnPNOp9NqtSYaY69+9asP7j/QG/S1Hs093vjP3rS8vFytVvVIy+QphzVm + J0IIg6CxhGg2m91er1Ktzi8sLC0tXVlenpubm52bO3fu3NLS0tHjNzQajZWlK65rz83NUQory8tx + ElI6UlrHXW8MYORpexYjGRcAukeNEgiCI1ywCGNSuE6JMJXlCrSi2B32kGjBgRCQMieUWo7I8pxb + jCKMbMd2sCYAQAhwyojUjBIAlKi1lnEaAaNX1TL3QjQZlUopKR3HGZ+YEEL0ut0kSdhOWqtgp/tA + wFQdZlft9Xom4/WLBc55muWEEOPmDrtYUASGyrIsKbNytWLZ4itf+Uq5XD50aD4MN5hlGaQcUKK1 + DqKQxxEhxHLsarVKKVW5NAqNvV7PTAL3hsmCdifARiwNd2XGUBNCTKVnCWGmW4yxXZGGa8Lw8HfH + s2YiZL6pyeiyLHNd1/yfNE2rnptlmdrR9TaTsv8/qNgZ0pGRjZZ2aqgyFy5ceOvb3zo+NtYP+6VK + aXV1vR/2M6mzLFPbWx/6fz70kY/bjz1x9s/u/+TH7vvjxcUnXvQDL6MWF0KgNFpbI4Cv4X1qrWdm + Zl72spfde++91Wo1y7KC6730xS9561vfWrSKEcQ/8o/f8MCXPv/rv/7rV1ZXZianzFNaKBQ4Y+aS + RMMw02gXvMfPnn3xi45+8b99vhs8yb3cs3SWbdWnKssrF48cndzc2BifdVv91vr2Zi8YRDJL84Ry + InU+jMMojYvlkkLsDfqUUsbFIAg2N7c9z5NSasBepzMcDg3T0WwDZih83fMWhqGhIZ09e7ZUKPT7 + /SNHjrztbW97wW0vyCG/srr8gQ984L/+ty8Ziku5WASNUqokSR3L/dc/+/PRMJifn/dd+/HHz0ZR + JITIM0WB5koTBMLo7Oz09vZWbaxxZW315ltOveI1r7IYd2w7iWOzXre2m0XPR241m807brv95978 + M7VabW1t7f3vf//q6qpticXFxT/5xH333nvvyVtu0Vq3O50gCOrjY/V6vdcfECBSSsYJaGBAOAOZ + RXMz06dPP/pXX/6YxUqUeJTU+n0F2r/51J2PPfZYoeAFQZNAhBC5ni6X7FbzCmWyWqv88//5J47e + cDxNsmZrA1EplUuZSZkLYQnBkyyJoqHruuVyuVwt5Xl+bnGxXC4vLCxsbW2trKxQwinl9L/X7wjN + IGiP39HUVb8jzq/rd7RXlXLnlVBCCGO+77darSAIvvGNb3Q6HeFYJ0+e3NjY2NraEkKUi8Wi76+v + r6+trRXGXc93kiSxwXccJwwCydlos7GoQmWGzsZdMcuzKEiSBB3b6nZ6VqOYxukLX3jDqVPH5hfm + kKaDKD53aXvrCw+trKz4pTHjaiXzFJEiGK9YTQhSQEKAMVar1V74/Nuk/rSSlARxq92ZnD64sbVd + LpdNE1pqBA5AAb/NN3BHLYw8B7F7Lp7V0GBAcgwIB4VSgwSqU5m6gicQaUg0ATJS/x7JzgEAQL57 + iN20RUGWQpqDykAqKsEiSFFKCagIIUQDEKAICvBvB+jQBFOS6oLqQQ+A5YAaFAMgIC0QBIgGBqAp + IICWQBDQBaogpaACCIcwVFxrhpnMzfJBcfRRCCFk5Db9DAskCyilvuP2OonvOmES3HbbbcFgE/Pw + TW/6p1EileZX1rphnHtugTGuJDGgWdSwC5YhhKBW3W44PT15cN/c1MT0970gX1neXN9o79s3dWll + yyQ6RoFqZmamUCg0o450JGWCEgIKtNZAgVKqQVNKda6lUhYhGiCK457ugUUm6uMoodPpbGxtFsvl + crWCBHqtNomiVqs1PT1922237d+/HwAMIoOMtHCQcx6Goda6UPIPL8wvLj5x5syZG2644dixY2fP + nkXE6enphYWF5eXlbrc7NjZWLpeFEFprJqz5o0dwT8DOmvZ0u4ZgI3HkWq1m6Eyc80ajsbq6OjY2 + dvDgwWazWa1WG42GYfWUSqVdOtPu8XdF4b7HcV0OG91dugEMYrBWrRrfT8cSv/d7v+c57sbWppnq + +MXCsWPHuoO+bdt7SyPcEXuUUqJUQgjjXlWr1Yxy4COPPHL8+PH5+flz586dP3/++PHjhw8fvnTp + 0qVLl4yuXavVunz58v79++v1eq/X833fshgQjUh202vO+bftLteMj779OyORKNMs0siohYgKNLes + TEnH4loroMgpQzLyX2JIqN699HuEKAAYpRq0U/Btbg+CnpQ5kVQIgeQqdWIXt2JAFoCYZVkq1W5b + c8R/ple7ikBGyYaZIxFCbNsmhCRJkksphI0jwQO1V2/TcZwsSWzbJYRsbWwOVrYmxsYFt9rttmO7 + QRCkeWZYcySODMOn2+0GQUApZWQ0wrJte+89Pzr4U8XrzUfahboppU3hOvqCO1yvp5ORUYCo1O4Y + cPfPmSOYDNnohxkeym7jdfdk7v3F7308mxwky7Jc1zVgR875zTff/Lvv/93JsXHHEf/o+19UqzU2 + 1jd932fCNtMVANCIr77nNfV6TWudpNHs3NyHP/pRI/YAAIbUZE6l53lhGAZB8LrXvW5jY2N2djYK + w2EcffWvv/byH/pBUx9fuHABASampw7NH95c33AcJ0pipMSiDLVWiFxYVFgbzc33vu/dn/3i57/5 + 0AXLqbX6j+Y5c93CynJYLpcfPLs1Xi+ONQrv+z/eznl4/pf/Kkq6S0uX3vDGeywqNKhytdTutWFn + AugIt1qtr29ur66vK6Vm5vbl+Yi7YgaUZuD+dAtupVKJomj//v1mHDE5Oflrv/ZrS0tLr3vD69I0 + /aEffsU999zz2Qc+Z+4bpdSgP8xT2ev0S37RYvxNP/am73/pS9vN7Xvv/U2BRrxcoQJH8Fql+tKX + ff/8woHPfeGBd7/nP567dPEDH/pgrVxpbzcJZ47j+K7X6XRAICJubm7ectPNv3nve1cuL33sIx8Z + Hx//gw996LWvfW2n050YG3/hLd/XarWCKBwMBhOTk5ZlyTTLgSCiY7M0z4XFLUqpVpTIKBwGg/4D + n/3cxNiBX/3V93zx86e/9fDyzMLRP/jgn93/hx+YPnSs1To/NVkKk81Xvfqul//g8z/xid//mZ/+ + X0sV65d/5ZcunDt3y4mbt3sbviv2z02NNSpplkid25aLoLIs8QuukdiOosioqUxMTPS6g/W1TUp4 + sVgeDAb6Gr8jhYiGiA3XbwpfD9NPR35H3lW/o9bWd/A7ekrgVVITIWRp+crBQwfDMOxtd/tBz5Xu + 6uqqxRlntFyqccp6nfZw0Pcce//cXFaJjeAPcy2zi2dqhOlXWmkY+Q4rpbIsS5N8GKZKJrfffPCf + /+Qbhs3m1vryE0888ZnPfKbZjocJFKuC25WxsQlF2CAI0jSNw4RzTjlhnAKCmbOhJoJbDz98emVp + kTJ5990vHUb4/v/80a3WWcIsg2OhlBJUyIxqBu6K7F09i4Q8VyA9F896GKu0PM81qExmiigiKCXE + rfoK5BD6msRkR7R7t0DCb2s2E4Ac8gQyBRpsAsDAkppq0JKM6ntNkI6kCXbclp4R30dSSepgEdGG + bgJZBBkDykDnkLogRtA102EAUEAQUEEmdeJSFkI0gDi2spxjrtEidMcZVqvR4vW0Wch3ihziKCU5 + X1vf2ADtWDA+UTl4sMJBf/zj9y2eW/W8Rm8oLbfkuDRKAmYEK1ADkYB0xJVCzqhXqvqnH1783F98 + 0XVEo9HQCu98/k0vedlr/uW/+rft3nYOADaUvIrZyKB8/Y+DBCilOsM8zx3GSqWS7TpRGMedtOD4 + frEote4HwzTPxybGG40GBxIEQbvbAUoqlQoAXLh0caRibFkKNSIKS+R5LsMhs2ipVLrpllMPPfTQ + 40+cvfnmmw8fWbhw4QJSMj4+PjY50el0ltdWAaBYLBphtE6no1DvkjpgZx17Oo6QlgoRS6XS1nKz + 0+8ZjYc3/NMfe80rXxNn8RNPPPG2t73t/KWLs7OznU4nDENjj7F7cNhJRv8e5e92B/17722UyqSt + QRBMjI8rpdI0BaUbtfqHP/zhsepYmIaf/ov73/Oe99Rqte6gzy1rtzSCPRIntiV2pw15nncH/YmJ + iem52UzJx584e+uttx5amH/ssccWL5w/ePDg0eM3nD9/bm1t9dRNN1mCnzlzxnbEWL1hXGKNzJUt + +M4c6Rm7hVIAJjUBRqXO8sTxiFY5Y7xcKQ4HAYJKotiymGu7SZ5luXSEoJlkI7VWQCAAVBMNAJQg + 0ZikkeOIVGskRHgucAZAUKE2g96RT7XRq2TAiGVzpVSeK8Z4uVjK87zb7wKjnPC9kxlEzJQ03BDz + /i7Dx3Ec0DsIt51OLkVUSqlcOr6vcl30isdvv/Xo0aNa62q1Kiw7SmJj2eIWfONrZNB6mmlzJrVC + SohlWWmeaeOvvUc/DAihdKRXLJUyeSxlFHJJCWRZJoQoFou5lKZDkWXZjkzxtTEa/hBCd6YUAEAJ + tYSlcyCcWdTu9/uVSkUTKJRLw37fTFx3kIqjwuwZXfdnMZ61AsksKIYx5hXLX/va137+538eiG61 + Ng8cOpimuVIYBIHFbURgXCil4jh2XGG77iAweFNUSg2CMAxDoZ9CalRK9ft9x3FQKkSsVCrb29uO + bQOAkWDvdXuM89m5OWrxfjDorvd83zf1cZqmwC1E9Fy3WCitN7c+9qd/sr6y7HmexZw4ysqV8cEw + pJQL2223eo1GPQrDSslXMo3CnmtzqZJer3Vi4Xg/7T165nHLsf1iQaEmjBKA4XAoUft+0fddKWW/ + F8BO/2m3qaCf2nHfG8bD4cKFC1NTU0aSznGc06dPX7x4sVAonD59+lWvelWWZfV6fTAcgta1Wm1q + aooBSeNMpvnJG29cODyfR0k8GArKKGGUszhOlVIOt3/snn88Mzd538c/rpLMtURrcysOI5BqbHzc + DLiKxaJjCQKgc1n0Cwcm9v/vv/U7999//yte8YqiX9je3OLCev3rX/+RP/lDgjBWq/c7Xc6Ymcil + aZpnqUeREklAR3FAlPRsXq0Uxus1Buzed/9vBad65WKnuYYXz1w+NHfXjQsTK1c2ee1AvSQcXv/9 + 3/vojUdP9lr5iSO3lKpw4thx17UlJDKLbEE77e1ev80YAqUaZRZnjJNGo1EsFsMw3NjYKBQKhw4d + 6vf7K8trQgjGLINKv47fkWlyXCeu8+buSkG+a78jamg9e2oD87MCdGy7VCptbm4Oh8NarbK2tlYu + l9M0TZP4wIEDhlc6HA6nJibGx8cDNdhsrTqOk7M4iiKLMcviWZyOIMuMEYajdiyA4zh2yeWWawvY + bm6++93vdglsr4PFgDEolODWWw4NU1zd6CiglusXi0UAaixZCAFEZXwyKaWU8DxLkyQANSxW/A9/ + +COFUmX+8AHC/Wan6zhOFAwBgFKiEYGAZdtmPj76ps9B656Lv8PQQDRSShmVWiNVyIhUkjDdhqaG + oQAGQBE0AkHQ2sDq4CrEzrxSoBp0gnFI0lRnKECDVKC4oQKgojiSYCSEUDQU7WdW7SumNsItrtkj + W6dpZsUys2zKuE6TSGhGkCrCAICC1gBIuCLaLdhSZiXHSVSaOzCAOOM0lyAoJQgENCIFMiI0alTP + 2KaZguFSHj60wEjqiPzBB/8aMErDfGqy0evCxHjJ9u2l1Y2KEJxTGG1YhBh9ctjt5rJms6mUV6/X + mtud5eV1zmF5ZeOzn//q5ORktWHFiNojQ94TQjQaDWnh9TlIBIEAIUQBEkYLpWK5XM51nkbpytpa + ozpWqVWpsFuddrvbKZfL45OTBmHV6/VarZYRLDW6R5RSg1sxrCchRJjEF5cu79s3e8MNNywtLZ0+ + ffr48eMGX7C0tDQzM1MqlQyB1nQwhWNrrUcLIiF7uUbX5SABgO96g8HAQKx7vd7ExMQ999xz9OjR + 33j3b2xvb//oj/7o7/7u777zne/c2tqqVqtmMLK37oKdnPi6Rqvfg9gLg95bIBmedpZlpVLJFsJk + vbbvfeqTn/7oxz6yubn5rUdOv+c973n16177wAMPlCsVpGS3NNobSkoGJAzDUqnked7a2tpwOJyb + m5ubm9ve3n744YePHDny/Oc//8EHH7x8+fKBAwfm5uaWl5fPnTs3Pj5+9OjRZrO5ubk5NTXluMLk + 1hqlyS2V3jvW+5sGR+YLasqBUaAOs8BixVLZQDkcwaNoWPT9ou+pLKdSFoSTgWaEGHVXAECgAEQD + NaL/hAJSTBNNCEmSCClxCsUsy2QmUY/K/r1zQiCQZZkRmGWOEwQBQZBaiR1I225OOBouceY4Tpqm + g8HAPLCGk0M1U0oRVFenjhoVACPgWI5OVXtre7wxtm9mVqZZp9vvtbtcCMLo5ORkLxj0+/1CoQAA + 29vb5XKZUmowb0opQmicJoSza3bv3QKe7PCprjKCAFHpJEkMPQwIUUqZR+/pLsbuQXbfMQc0WBhT + L3HOTXpvuIW7v7X3V55pbfxsxbNWIJnTZOSGzV1y5syZYsn3Cnau8mZ7e21tw+I24VYYhpxZWmvX + 91Rb9Xq9RqPu+34cx+12e3p6mlKKlBgnYNyh7riuWyqVli8vzc3NXbx40SgYtlqtK6srlmVNTEwo + rTudTq4Vs3ihUABChmEoLItqJVwnjuN2p7O6tv7v3/WeIHgHwbxeK4dBt9/tVav1LNcE7Eyi4xa7 + 3XalXEjSocrjcrmcDCPP87RWhCJjxCv4axurAGBSW98vCMcNw9CQrxDRMD12c3Szjpsfdquj3YUS + AHzf73Q6RoSUU1qpVE6fPv3iF7/YqEi/+KUvMRL76+vrE1NTMpXtZqfb6qICQkgcpxYXHFie5r7j + KSkHvYBzzglXOW6ubIxVGhSBUzocBNEwPLhv/9TE5P65fZvtZqlcjofh7Ozs6uqqY9snT5587LHH + PvmZP3vLL/ziz775Xx5bOPaBP/h9z/PK1crdd9/94EMPzU7P/F8f+C+/+JZ/++CDDyJioVScnJxc + 3dzQHFxuMUCr7FYKvs5SInUwGDjCvXJp/ejhyX/yunseKGz9wQc/PxxkRR91Oj09Vo3jjYJjO+Lo + 7adO/fAPnspiXNy8eGDfwXKxlMuk3qj4vsMt4ByqtTICZEpKKWzXsSw+GPTDMHQcp1wux1G6ubGt + Ndi2G8dpkmTlsnvV78g8h4TRp7Gi2o2dPQx3rg9BVLVaZeR3NOgaBJpt24P+8Dp+R7gDq8OnijQA + DofDJI2mpqbcgi8YNaovSqlDhw65rttpttI0zfO0MjOrpfrKV78iuez3+4WpSo5KZhmno+k2IWQv + B4kxRgWlLrftkszjUrFhsUo26FASCQFpBpSBcNAmxC/YzCpHmY6TxHVdxxEAoAG1Bo1oEgNKCWF4 + 8NA8qOF2e1sIqjVozNJUGdTycGdVM8nCtZvBjk4DPkdDei7+boJSYJynEiVKQjBXSaKS1cHak0GP + UASkSDQi0UQjjBhHQLTJb6gevcM1rYoiWmSYhcqSuZIKcoszhoAaARUQwggAEkrIjt/rMwhFtKhb + lrSCMBSWlaPOOBKaaZZS4YIGDRwNi5QwDagYaWfbuUoqWEjzWHMrZhK4nStU1Og3AAAQ0Dt56LVi + 5H9zaMNBkqg045CmOcG8WLCLDWd6ZhKo3e8PBjFhjA36UbFc0spAEykgI8TY8CoArXRaKjsnblwo + F70H//rry8t5qQjVamMQqjRNkXIhRKRTQkgURUmSgPV015FqQMIopTRPcmO96lf8YR7FvdCAAgqF + wjAKe/2+lNLhwi8WHc9bX1+3XRcJsV2X7WYGlFJCQEokRAPkWZbn+ROLT546derg4UPf+ta3lldX + 9u3bV1Oy3+/3g0G5XJ6YmjTGboQQIUScJAZjAowyIAqQaDSv1+UgJXFs8MZa60ajUalUTpw48dBD + Dy0uLjLGPvaxj73lLW9xXfeWW24xjrSe55le0l6g3V4I0/c4kFx7D5HR9BSNySmltNNuVyqVWq0m + pdSgP/0X98dxPBwOwzA8ceLEN77xjVK5nCm5t0Ay0ySKI1J+HMeO4/i+73huu90OwqGjnPkjC2tf + Wl9avnLnnXfO7ptrt9uXryzNzcxMTEwsLy1prQ8dOgQAy0tXAODw4XmTMVNKjVWxOYUI5LspjQBH + FELMpcy0VJIhAanyNC367smTJz3HTcOhx0UaxWmSeL4vGcnznBGDOqdI6LXVJINcZZVa+fyli0ur + K1JmaZoKbiu8zmjXlBCGGT41PmGufhKmvuco1Eopk6Bc7cZqlFluoEaO6xJCcikJgG1ZmlCtGaWU + mT9DFABQIMKy4jDMpeo0O77vJ1GcyXx8fJzZ4tKlS8YZLAxDSmmpVDLwrk6nI4RwbHswGFCLOraT + 65EDzei07fnBoLcMPSTLMvO8CMsaGxsz9HtjW28y/yS/PqfOaPkiYp7nQgjf901vPYqiUqlkWhXH + jx//1re+xTk3DW7TviV7EPt/P4BUAHh2J0iGnWk0LsfGxlDpYRxkOilFFeCMCksTolVmO45XLAS9 + PlIQwvGUj5RcWV2p1Woz++Y219b3VlnmjjFLUrfbLZfL58+fr9VqSqnBYMA5n5mZ6XQ6Usowiggh + Y2NjeZ4H4bBYLEZ57nteGidZlgnGqxMTSmOvO/B8Z9DrbTcD33Gmphsb69tjE5My15bDgmG73ii4 + jmi2O4cOz/baHdcvDoKgXC5plK1OuwbK9/0sSzSgbdthHGutC6UiSUkUx8ZW1fO8PM8BwCCzvzN6 + MpV5uVZdXlm54eixTqfT7Xb/8KMfefOb3/wv3vzTaZoGQfDGf/YmzjmzLCmlJlAoFAiwSqUihNPc + 2o7DhAKnlA6HkSNsxljJL9m2HQzCZrPpFFylEsaY4Z84jrO6vHz48OH6WIPbAgHiOD58+LCwLJ3L + 48eP3/3iF+dx8slPfvLhR06fOnXq5ltOpWn6fXfd9Sef+ISU0rf9arV68uRJy7I6/Z7Wemp8grqc + c5pEcZ4lk43asNdFLT3fP3z4oOc5Gxv9WqlcK01gVpisLSSJpwiEQ5pE3LI5o4WxCpxf7Jw4WZud + mC4XSwSAAkFE27FuOHGcMVat1JnFU5lzzoVjtVqtbrdn2/bc3Gye56srqwZ+2ev1bNsdGxvrdDqc + c84sQkei3rt3EQDsrK27fWWzP+xkIqNXYjhIV/2O+I7fURANBgMpdcHzkUAcRoRRRzi5kqBxF4dt + Oo6UEAAQrmtZVtDrE0KCNPV913Ec13V939/a2goHAaV0GEQIlABv1MfrxyuO7YZhSHwCAIwxSkWS + JEC0AqVBcj1q8MhMYpxrZIB5a9CZnqxKhf/Ty2+59eYbJybqiqruIHj0zMUrq4+rJHT8SiAjrUEb + Sy4ChBJGOQWtldQ6cyidmZnZPzv2p5/80+np6a1m0G635w4c3dhqe56309cBsz0Zf+6ngFJ2/VKe + rQXl7yae+ihqAAYAuNNKvYo9R4pEfzevT1VS+ofw+sziGogawRFkjiKMUCPmfRj9E8nOnxg5wxpZ + bC2lBgZaI1DKiUUZQVsF2IudAKjpbgISYryFgDLEq6xhao6NwBXPo4SDlZKEUIpKEwRmUWPOursL + j3B1ZGQzO7pvjMKZuW+emjRfvbuITjDPaZbqyOMFykmuc6UTzaTmGpFIoFrrkVYDsRQFXuF5QrSN + GSjCGQAwDixDreUo7aIaTa5AkAKlgN/mcbvnVD/N4yeEGPT65WKltdU8dnQuS9sFz7rrru/TaH/q + 0w/0LzXL5TLhrlQopSSEEdBAKIAiOwsmaiZRl8vl2emJyVdPveqV8PjjT16+vDY1OX1pZSvOIi1k + JnLiEmP7GEXRdTlIQJRWI9JjnMb9YT/XqlAo6VAzTVFju9ctl8uua3d6OgzD7VZzcnKSMbaysrJr + 82qUEvI8N7Rb13XTPAvjiDFSKpdzmV68cHlyavyWW25ZXFw8f/781NRUvV7fWFuPhuHq8opJ2gqF + QhhHiAiEUUqRXlVRwqvm4DuX2LTAADhltm1vbm4WCgXG2OULF6ul8qXzF5YuXjKOHUdnF548c5YJ + K01T4164K9O8WxqZXuo118jcUc80kAAAmmnO3rtR75h7mWPDzqOHRGuiqQEP4NUvuNPOpyZVePyx + s57n3XHHHcMwunDuoqnxvva1rydJ0usOVtc2CGe4M4/arY4AAMysl7EwDIvF4uzcdKlY2W5umpHI + nXfeubi4+IUvfOGOO+4oFovLy8vr6+sLCwuC8+Xl5cXFxaNHj+Zp1m63oyhCULZtUcq1As55KqVG + CcRM3p5uIdp531icES0cobXUOclzmSSZI+y773rRL/zrfzM7M/XZT/9FMOgJxpMgYIRS1IxS0EhB + gyEJIUVCFKGKQCQlWsyrFib2zX7+C19Ya21HaZRJWfCKkigpJe6pLhihhPNiodDr9Tzb+ZHX33Pw + 4MELFy785V/+5cXly5QzQ+OhjO8C5nf4GrZlC+NBf9NNN73+ta97/ater3OppbpKxUFlzjYiZmla + LpeSJLVtoTLJbDFIo8Fw+Eu/9EuPPPLI+OSEK2yZZjqXgHj3C+/yPC8Igq2NzUuXLmVZNhgGpmLZ + ++FNGJcUU6MmSdLPJSHEd9xiwb/t1C3tdvvRM48nSaIJ0UpliPRpGG6EEJtbSimJ0rOdeq1OCBkM + BmkU+44bDgLh8Dtvf96l8xfKheL6+nq1UjbiauaWM4mHMR/77h6IZzn+FgXS9aVEGOPlciXPZJbm + vl9oNlu1SrVYKPeTwPaL/TCOksyAdymlSRbnOmfIkygtlPw4jiknmUzTlBmCmlKq4HpBFBo8IgBI + rfI8L3h+1fcoYyvra2O1uhDCeF2bnpDxujbWnEkUW4ynceI7bpambqHQbbXL5YqgOca9GgAAIABJ + REFULBkG5ZKXpxGnIktYpTKdZjrJh2kae4VKGPWTyJkYnx50u0JYicyJxQmjKtNAidx5/pVSSikh + hNQqlRlySpmVYU442xXj350M4o6gu4nde9F4HQbD4djkxHa3raXUAJ1B/61vf5sQgllWv9+fmpnu + BgOvXEy1JJrEacItSggJgsCy7FKpAkAty5ZSJzqr1hpJFMdpKqWUKBGQct4PQ2qLsBkvrazc/vzn + P/nkYpwmlm0bT6ogCCzLytOMMeY5xTte+hJGaKVSeeDTD1xZW43jmBD627/zPgJEgf6N33jXqdtO + OY5jFP0ppZlShHJGqOc4ZzYvEUzzLAzT8Ozio8LTDuNeCVbXN7ViKnUolril+8PO1ORYr9fWWvf7 + MOj1fbeWZvmg07XnZy1uD4etYZh8/Rvf4nbh8uWVOEm8YsG27d6gzzk3ZlnDYdTr9bJMUsoAwKhD + RlFkCwcAEAkqk9LhLrZhtOcRNIAV1ApBE1BKP9XvKM0mJiZqtVp/0G1utxljO35H261WR3CLEY4S + NQGb25oASkUJMQkfRbw2eVJAkWa5tm3Lc1wtsdwoGSeoIBgCIYi0Wh9PMrSFc/Op523iipRaWI60 + dJYkWmutJKWUUASNhI5uP0QMgmC4GSkNloDbTy38yGt+uLW2tr6yfP/9DwzDwcpmSCwoVop+sdHp + 5WEYFwolSinoEQlbK9QkNxK3DBgh6mtf+9qlRvHgwYOHDx3JJH//f/7Y0tJSP4iNRSMAaA1gAVgj + jVqzhEkzqfsfwx9Wm41TE41EI9mb42ojgkSQAgBFqr+7152q6h/O6zOoka4aYhDAkf7BqBAiCJRc + u6cigKKARFNNKQAiJ0goUEAKHLI0BSI8UdBacotkGAXhdtF1LMHCYawQhOMhEGAcGCRZtpu3UYIE + NNMALJOcIUkI1RqlQzkSqnMAYHtog0j0bi1EzP0ABAA1GtAZ6JHFK2rX8dM8zZPctu0sy6M89quF + BGOrxKWOUIEGSQWzuduPY2CUMpsQorVxV5EKUGUZYyTKYyIoEskIYBq7bM9AlhhxTNydJl2DzUKi + cU8Zan5EcvU6UUpbzU6/19vaXCs4cPz4sYkJ78KTj993331JSra2AsYrqCFNMiBECAcRUVNEhVQC + AiBHLSwGKpMPfeP0w5Bfvjg8dmxsYmLqjjtvv+HE89713vdtt5OcMeC5Z5dMPXCVPEAIIcycXJMM + WkykUWITt96oLup8MOyH8fDg2MHESttbLdtG33eb7e2C5yrUwyg4f3Fw6NCh2++47fz584Nhv1It + AUAQDFzXJYwmWcwUA0ooJ5SxMI6KxWK33cuy1RPHjy8cPnLx4sX11Y25uZnxeiPNEgPJS9M0jSPf + trUiAFQDaGqWhdFlN2eSGioawK5HFqcclHaFPej26vW6Y9sEYHXpykS90et0q36RgEata+XKVqsp + tSqWiyZVQEQChNKnsNr2woZ2egfXX0gzpY0KlEHWjHqvRLu+SwhijlmSUA2CW0BZJmWeScv1lNZK + ac6FUkpmilmU2oyAQo1Eofl25jOgRNtygjDyfbvZbAvHLZTKw2HUawec2DKXli0gpwyERe0sGZRq + pVxJbTKZHcQUABCi0yQtFOxSodzr9jhjszP7ioXC8vLy8tLKTTfddPjg/MMPP3T54qUDBw40avVm + u3XmiSdOnriRMH5h8dza6vpYo8EYN2SNhflD7VaXEDReGlKhZTtCiI2NDd/352am+/1+v9ttNBqg + VRRFjDGtpSZg27awCRKluDKkQmaJLMs4s6mih2YO2oQ9+vAjD53+5uTU2A+96MVbFy8Gl1c3Llzq + tZqYJ9zYTwOMTc86jQatVCdOHP/DP/30g0+e+c3f+0+k4G2HfVtYtUYl7ocEd4xRrwq6agIYD4e1 + Uun5z7vjDffc881vfvPf/MzPySRZ/n+XKeMGM6+01qiNBTMFYmhXnFCOpF6qrC0tj1Vrb3/Lv2u1 + Wu1Wy/d9Q8IHpRljtiUMdScY9suF8ktf+uInnlhUoC6uXPnkpz+1trLSqNVAKodbUkpG4OUv/8F3 + /8q7H/jyAwcOHOh2u29/+9u1lK4lGEKay2q1urGxYZRFer1eqVQKgkAI8Sef/NSHPvShP//zPweN + Sqtfefs77nze89ZX15rt1q8s/PJP/dRPLa+uVCqVTEmttUl7TLN01DlF4JQNB4HrugThp3/qX/zk + j/3kmYtnJicn3/nOd37pS18ql8uvfvWrf/Hnf+Hjf/TH25tbvusZ0N01wLy98KvvcTxrEyQppXFM + cxzHosz0VwaDwCl6UiGjVn2sIbM8VzIOYyTg+/5gGHiO2263BbcajYaWKggCx3IAMcvzXR1Ac6YK + ng8A/X5/OBweOHBgZnLK87z1tbWC6w37A9tzHdsulkrD4dAQTgycEeVIG0SmGSPU4jaDVLgFrfqM + 8TzDYRiGw7QyVi6VSxJdKRHRggyGw6joiTRNuCgQyuI0Q9CN+rjSOWgUQhC8llCERF+HY/RdnLda + o97c2vZcFxG5sD74wQ++973vffjhh80XX19fn56eDqJQSolajY83BoNBp9OpVCrlSmW71dQA/cHQ + 9f0sy6IkHkZD27a9UqFUq+Qg0ywZm5zodLtcWI2xsa1WMwgCZvEsyxhjxmiIc14oFaenpyOd1Mca + G2vr33fjiRjSC5cuHj9+/Ade8fJeMIjj+LGHHnv5K76fW9bE5GS3203TlAkrz6VjWygxibM8k44Q + QqDSUKnXhvFw+pB/77s+cv8nzo03nifjDug8SoblMbsTLtXGYfHCxlf++syX/+qP9h388Ztunvvq + V7+87+A4BaoQ+kFYrU2EYSQsW9hOKvNOp8sta6wx7jh2GIb9wcC4R5vLvau1orT+tqxuT0q3tzds + SNBEG8SvlLLfD76j31EIAGxk/QN0RJcERCQIQAjd25Db2QXzNKeMUMoRifFWAoDhcNjtdn3fB6TD + 4VBr2m53w2HijznEI4wxKfXu7FSqTGtNwdgsEqVUnqeUQrVarfCKcGwlo96g+39/8AMsU91WxACi + GJiAW2491A7SKMld180U04hxFBGkhCJjjHFCiSAj0iYSohzHvnRprdXdXlleTzI6OVl1/JrtJpZl + DaNYm8a1AsivUkuvuZkNHfMZPgHfo8AddbOnG3J9D0wV/qHFKOfb80+6R/DKBJKR+rXe+T8EgWmg + SEf6WhqQaKmQMaYJG4YZBU0QBFeswHOq2p22cJ1yqRZlMkoSToRUsSUcikgQEBQgakRkOkfckdoG + MFd8J/G97sW/5qPuDQ2Y53lfDVBpwYQrHF/4QtmJTjkVFIQGhXlOCOPUAkKRUc2YBAlIKQID4Gyn + Jbznnvy2kdS1f3dvkfo3C0gQAA6cibGxYrFQKPpWv7P2xS9+kdLQIiqKQWng3EllxqkrLCdKEqKJ + oTsBIBBFDHcGmJKotY7j7NjR/VquLC01z55t+oVHv/Tlb05OTntFHWktbZRu0ul0ENGyrJwpjaNE + x6wKlFINgKAAmNZSaskty3VtlWEQBZ7lGsdMA6VCUKgVAAFGNzc3k0plamrKcZxup6O1LhaLiCiV + Mi1UhZoQAowSBWEYW5ZFCFtdXfV9f3JyMoqirfWN8fHxWr2aJInMcuHYFqNSagbcnE8kWhPUVO8U + 7SOqPtHUFEhmSqO1JpQaSSphWVmWFT3/537u5xafeLLTai8tLQHgsYUj9Ynx2f37Nre3vIJndMOu + 4uo17pYT332BhJTBHoWxkYifzgdRSChwJDbjLrcJIWEY94OgGwzjNM1RC2EbGi63qNI5aACC9Nun + kEil1JzzPM89t+A4Tq87mJshR/Yd+ZV3/DLn/Hd+53cWDs1/9et/3W626/XGRmvbLxSAEkNmZoyj + 0oxSBOBcGOsL27bjKDU2qfv27VtaWnr00UePHTt26623Xrx48fLly+Pj44cPHz5z5szZs2ePHTt2 + +PDhra2tYDDYv3//vn374jheWlryfb9SKaVpTCm1AOMo1VrOzc0kSXL+/HkAOLqw4Lqu4CzP83a7 + DRB5jpPnea/fGcbDRKaEEImgtQZkFiOCWB5xCeSVQuUnfvzH73nta7/6Xz83X6ny6cO/+uGPTXue + o3KBSLWKIiTtYPymU0dvvPWH3/gT/+QNb3zha1/Z3myCJlTTmbGJjdU1lxeoGc2p3TsHENG2RBrF + Ssp3vOMdv/kf7/3sZz/7mT//1I++6Y1//Kf3ZVqpHabNtSLaSgMA25G8E4z/f+y9eZRfV30n+L3b + 299v/1XVrzZVSSpLlmQbYzAG4yZAWMMS43Q3ocMyaUhDJz5J9yFD03T30AaHmCYkIXSY7iRnks4k + mWRIQ7oTCEnAxhDMYkuWbUmWLKlU+/Lbl7febf64VSXZ2BBlTAKTufZ5R1XnV1Vvufe+7/JZXMf5 + wPv+zcTExKc//enJycnhcPjH//enS6XS1sbG+MGDaZpONRrj9bEjh4+++pWv8QL/p+58j80si7Kd + 7EJpUFqDciz7xGPH3/++fyOEMHpxw+HwJS95yakzp49ee+1Xv/rV6enpJIo6nc7s7CxCiJVKd999 + 90RhbLxebzebk5OT3W73y/fe98F/9++TKHrJS1/65je/uVQqdbvd9fX1mZmZlOfK1J21VnsCdABZ + ko7X691u901vetOPvelNL7jt+ZTSiYmJs2fP1qvV4XC4b2bmvi9/KUuS8XpdI7Td3LIsy4RJprKw + lwV8t83uezKetQSJMWakLfr9PkMYAIwB8/jY2KA3bG+3NUZ5kgqtHGYrBBaxapW6TRmllmvZm81t + irDv+3ty71JKAghr4EoBQL/ftykrBmG1VN5cW7ctazgYFIPQbFXGkDjP8yzLLNeJ49jzPADIRGZZ + FqWUK4kINlpwTLPRQFg2LZYrQYiTJMkVHwxGmOE4SoOg6IVeFGnHtrNcYUQQaJ5nSnGw7K2NTdd2 + TMPhKWUDUIatfnUBouu6Z04+ctPNN589e7ZaKheC8OSJE//6537ul37plwhjWutmu3XhwoVmp10o + FALX63Q645PjQcFvNreEFr/xW//1zNnT7WZLUxBcKq1TzYXQra1ulmUf/PCHcpGdOXf2ff/u3w5H + o8b01E3BzWMT46vr65RSwmixWGSMDQaDJEmG/cEf//Ef/8Zv/MbGxsbMzMwf/OEfjI+PLy4vOY5z + 6dKl5z3veTlwhPH+/fvPnDkzjKNKpRKG4WDQEzrPstSzHWZhTCCJ5PZWt9/PP/KRj7/nX7wvzdsL + R4KD+8MHvvpQllJta+QEm5uPgGVdc4M/eYBOb3irzbOdr509eebh18SvxECCoIAxLRbKWqEsy6hF + 8zwD0JVquVAM4zgeDodRFFFKGaUIYaUkQgR9Ny1IrXf6HLsfU1f4HeVSStt2SqXyd/M7emoz+jsn + xcy2MEYMwHaYZVHHcYrFkFIqpNzY2ADAtuuYRD3LeTtvpUGXc06JjTHWGJs9YufSrth/zTsS2xgx + EIAopRjpPBpFEbgMfAcqdahW3Xa/Pxgkrj9hOZ7QCittYXOXhNJIKYQBIY0xUgBxY7I+Xrfb3W6a + pqNY16ozUap939/18dgDGIEUYo9qudP6v6pJ//c/8B6QCnZCk13MOlKwFzD9DY4AsBuv/sM5fq8G + 0cAEYMCXsxgNEisJ4LqOAC1Bx3laCMIsSXOpGSVRlIRjUwL08mCgCaE25Rp8NxBZQnadTEznx1gJ + XWFv8rcbO2g9RhkjlBBmIRrHcavVYtQmjBLiKaWEApe5RKsszaJhxkG4xVCCUhi0VoBBaok0Igh9 + z0GpAnq9QbVQzfMcfOb7fpZ1MJZhmb7oxTf0B+naWn99K1WAs1QpwYhjmPHIcNNhp+ohKIWwFJSr + uFT2zmZxswUIYGzMlVKKNCXELRUKEaQDnTwFLvHtw3xACy2FoJj5vh9HaTQYlsaKjuMgpa/k6CKE + CMLD/kBLVZidrVWreZZFUQQASilj8GqCS4wxKI0A85zbtg0AJlWrVCqEkLVBb2N7q9lpmWITYIy0 + VoLbhO4yTxUAKAQKgUSgAeudDifGCiGAnV6iFI7jxHFMbQspLaX8H3/2pz92+5tCP2g0Gtvb2w+e + fKg/Gp5fWZJaFUulPM9M+Lu3k5uinuRPtf3YreShJ39vZyCEsmwnmDHYDcdxJOhMCY0QQYhqhPVO + zI0JHpscW9vc4EkuAaTklBDLoXmujHgi0rtxC0Zag0aAKeZ57niegS0wSgf9/sbq2vL2CmY0TtPf + /t3/lqbp6d/5Pwql4sbW5pHrjm1ubWU8d3zPSGdhjLkQmCBmW0JKLYXluHmet7udXPDZ4uz+Awun + Tj16cXHx6NGj0zP71tZXtlvNWq123XXXnXjo+NLS0szkFOd8c2Nje3vbwBENn21211u22+1Q4hje + i5TS933f9+v1+tmzZyfG6rfeeuvy8vJXv/rVXqdTr9fL5XK5XOZKIoSkMjBwZLTBhvEgsKxBs33N + of0yTQbN3uEDC5CQksJvf+6tpSTBUtrM4kK2BY9yNtZNYXHTD/2PvvffnWttbq+16lYYr7erVqAw + E7vw8iuXslKqWq1ub22Ffvj5z3+eEPL444+/6AUv2t7etjzX8VzDSzdtAEqpENwEvXttk50WilTl + YglpOPHQ8be95Z9hjP+v//P3uu020iByno5inmQqF57j3vrCF6V5lsWJQVEB7LgYmSlh/pbhEXHO + 5+bmLl269IEPfGC8MdFqtd75zneurKwUCoVardbr9fI8n5ubA4CPfuKjpVKJENLv9/M8/8IXvgBK + eUFw77333nPPPZZlJUmyf//+S5cuVeo1g0y5cpkjQNRiXEpm2y+89db77r+/3e1WKpW1jY1SpdLt + 98MwfPkrXvHud7/7wMLCT/zET9x5552z+2aElELKHY4hIQgh9cxx3fe6HPusJUiGdWMaZJVKZTAY + AAAhZNjrHzpyrUPZ6uoqo9SllHOONSRxTAjpxW3OOalUQtczbgYmdzQYHr2rocEYC1yPEDIaDi3L + mmlMIoT63Z5rO4PR0LKsNIqZY2dp6vu+xsiA7pRSxqbX2BjLnI+igW2HnPNqdTyORysrK8y2StWK + zqRGBAFVKpNCc+AEULczsixr1B8hhGyLIkQtyxqr1aWUPMsxIKOBakqeUuvdXvxV3DSsQUl53Y03 + njt3brxWHw2GWqrnPOc5hJC77rorTVOt9Vaz+YEPfMBoxiOE0ize2FgTWpUqxY99/JfyPB2vT4yi + wWt+5LVC5J4XIIrCoDgY9qJRUigGSZq+5o2vx4w6nhuG4YPHH1rf3IySuFarmbB7j8a3fGnpnnvu + sRn9xje+kef5Qw+fyPLMyKFOTU9fuHjx+S94vhBis7mNKJmZmZFSdvsdy7IQ0oKBJjLNeSJVMSiO + j03/+JvfevrUE2fOPDo+FXCV5ejszS8JmFWgdkFr/XJcyrKulr1zS19XLHrk8Qf7g9ab3/aWm15w + 81DErXabUVsrZbT2M54Ri9VqtUKxGEVRt9s1jxXtGgiYCWP+jb7jlL4yt0E7Tw+u8DuavOx3RKyn + 9TsSUl1pHLF3fCYRCM45QiClJHRnWm5tNT3PmZiY2N7e3i3aZQBYaz0aDYa8q69wADDviT28igkF + zMWORqO0lSILI51rlx5ZODCyWi9+4YEj1yzU6uXeoMW1dsN1dm5tdb0vUqkwCoIAK7OmsNZKaYnA + 6BprBGSyMT1RL3zpy/fNzsyurnePHz87PTfbHyaVSsVUBEBrwAAEQF8GLl6Zuf1gDL0TKF/RY0Sm + bH4FJEz9DY9/37nK/3eyIzBEaIXJFTmMxBppAAy9fo9rxSxHceRCILW2CQ1sv5v2hu3UDn3fq3Ct + LMY0iFE0sjHSykh+a00QACiMAIDIq0qPtEa7dWGkjXusuQtJklCMkzyxqKWkpEArhaKHw421VjWs + pKPIQoQhqDghLbJ+OhwlGVCEKWiKAGlklA8AMMJ7XazvxcAODQLP89zRcLC5uekwcfjQnONwz1bz + cwfWNjorK33OJbU0oQgRjJCGHe8lrZERh8BaI6Wg2x0ePXb0xuccq1emPTe8dGn5iSeWCuWJbz38 + uMaiu72NQ2Y3iGso5pxLJAEhvEO5kWAQdwSUBGqCtlRprSmljLEkjxihFGGhBdZgIkWMsZIAShvG + 0draWrVaHR8f7/f7rVYLY8wYA613oAQY53lOCGOM7clkDYdDpVSpVDp48GC3203T1HVtxpjhObiu + jRXH2vCPiOnhKIQVwhKBRFiajidgvKv5TpB2HCvluZQy9HzO+QMPPHDo0KHJ8YkwDAuFwp99/nMY + 42Kx6Hiu63nLS0uUEhOEoCtEGqhtP2meob126+XNFGl8Ja0M77p2GgXn0WhEKJUYI0yRBpGnPMkw + gVKpVC6XGcXlQmhRFGep5BkgBlgRrJTQ2EDCAMBUgsx/WnMpPSMGOIxlzgPX29rY/NCHPvRrv/Zr + 3W53rDwmQWxtbWmEKpXKiRMnauNjmNE4jh1mEUJEzgkhSksD/zOdJcdx0jQeDAaXLl2anZ09evTo + 6dOnH3vssUOHDk1NTa2trV26dOn6668/fPjw6urquXPnFhYWXMdZXV29dOkSIWRhYeH8+fOmR1Es + Fjv9nh+4WS4MFa0QhADAOV88dWrxpM7z3LbtI0eOUIyHwyGltFQqLSwcUgi0BMYYRkyl+eTkZNEr + YJkfPnjNgdm59ub2C2563mhp7dGvPUC5snujQsZVkji2bfuBra2NmKPuEDDzAK1euFhtjHuHjpw7 + fYYqSSySEXxlgrQXD/Asd5h18MCBXOQ33vTclaXlufl5DPiWW26J8yzNMyOBYPAvSikMCABElruu + K4Uwi6VerVXLFSQV0dBttgpBWHDDWqmyvLw8MzPT2toeq9X6/X57a7vgBx612+22ZVkmi9b6cqFB + KWVZ1tGjR7/2119rtpq33377E0884bpufXzsr/7qrz7ykY9sbm6OjY0BgBAiDEPHcdbW1t73vve9 + +c1vHg6HhULByCocO3ZsZWnJsqy77757fXNjfX1dKJllWX1iPE4S08LFO8XUXbVGhI0u3+Tk5K// + +q8fO3bszJkzQgjf98MwfPnLX14qlU6ePHnHHXfccsst5XKZMqa0NtH7nt63/vsTNXnWEiTTnE2S + xNjiJkkyPTnFBiyNk82VtSiKiIJoMDKUSiEE50JhVSuWbdteW1tjjPkFR1m2G/ijKNqLOLXWBBAB + JIXgee4wi2CihFxeXq6UypILQ2vb2tpqTE9FUcQsq91uz8zMdLtdhJDGSCEgCBk5M1D64MG5kyce + IVgFQRCniec5cTzKBQ8KBY2w52KMSZIkBb8wGkSTE1ODUV9rSTD0et2tjc2piUan0+r3+1c+MJMX + Xb3A0M5ot1qB6yVJ0mg0siT50Te80dwlUwZABC8vL0/NzACA5GLqwHRQCLr9zk+951+MRpFScnp6 + ZnKysbKyOhwOsixPssSybKVkoVA0mhmDwQBTogB83+8PB8DI7Pxcu922bTuKI99xKaVJFB+Yn+92 + u//hP36wWCwqpTrdrta6Xq9vbG4eue5Yq9WSUlquEyVxfXys1WpFUVStlhXoPc0RjRFokgn5xIXz + GFmHrj109vHz+/cfnJ1f2G61p6Zqrfaw07qEMa1Vi5QFw34yipuT++vFglvlpXg0/Pxf/mUYFh9+ + +JF2uz0x0UCgbMfSAGGpWCgU4iTpdDo7LoEGeymlUpcJtXs9ot3xbeC6b/s+3vE78i/7HTWb39nv + yERM6MnHZ+bXakJolmXGDS3PYWtrCyFkWU6lUovjeDQaCaEch2itPM8TlmvbTEiZ5zlBsNdfxrus + BL2r6yiEyNNcxYCwOLp/5tWvevnG8vLi+cU/+szn4ihZ2+4TBhojPygzp1gMCnmeZ3EspQZECDFm + cRJDppWUSlLC7rv3GwfnJ3yv2GhM1Maml5a3m82mApplmbE72JnrBAhje7pMsJsjmRLd32oF/L0N + rDHSEu3YceLdGOV7ngn8II+ri+avsre4k4AoBKZfqXa15MIwkEq7NMxIamvS2hpZTpDjZCZsCKoE + 6H4S52IkXcBYY6WpxYiB2GkNu+qSWuurFldGezWVnSzRXH/geRSTSMYUkOOG2kbxMN5e31p/cLlH + St1ma35mJoqGl1YuFccrXr2w/zmHcgcEU8IyCEIAJBGQZ1JceLaGSkWcDHrNfpokoFJhq31zM/Wa + 29pc+eY3TrZaab/DpcDU1padj6IYAdFaa8AIa0AKACNgCKjIhc2K3/z66Qe/fmI4yBqNEkJ64Zoj + t/3Qj5x47Pxms61tO405Ea55vz+Tih3ADjWXoF1fFIUI2gmqTGAEgA1nh1AGWmupmGVxznvdAUZ0 + vF4vBkWe8jRNBVcIIVA7fqKgkNLS6G4ppSjDWZblnANC4+PjlVr1SmwwMpAmnRGtiAKkMTHTDmG5 + 8z9SOw5U2KTugBTGwJWcmJjUWpsr7fWHv/Pbv3vjDTdsb2+PjY09/wUvmGhMNTtty7ZHo+ENN9xg + 1Mk45wYH+LQwBL0r7fOkrpG+DPI05VHDZQrDsNvtDgYDUIgBQUJjjD0WgOWDFCBU1BskQwiLoUNC + pCSXApSQeYaAUc0ACAJzt4wKCdJaCyEJs4bDKHA927azLKuUylEUffGLX/zH//SfSCkXFxf/l7e/ + 41c+8atvvP126trXHDq01dwWufI8T3HBObcYk1JiRASXAGCaPAghA9DodDpxHB89eu3CwsLp06fP + nz8/PT09M71va3vj61//+j968W2WZT380PFms1kulWZmZsz7pVAoNBqNS0tLS0tLMzMzhw4d2t5u + xUkCAL7vcpHFCZ+ennzOi2459/gTRsbWtl3FRRJnaZL3+/3tZlNTDBIIIQgo4rLf78b5KMRWa3t7 + 7dLq7C3PO338RHfx0vNvev7/AKjVS4VhNMziPE00gGAM2zalFKKRCuxCqZj+KvUXAAAgAElEQVQi + yJUmjOkclEaG5/ztk5wxFkWRt29fSMNmsxkEQalSGfFoZXXV9lzHc40qhlGHo5SC2hFlNEB686JX + SknOeZbXZ2Y9x203WyLIeJo5zFJcaKUYpdVKpbm5FY1GUnATMRrC546+DNr5MhecS/Gq17w6z/M0 + S803fcv/xCc+kXG+b37elAxyIeJ2GyHk+/4ojmf27Ws2m3GaBkGQC3H27FlGyL/8mZ/+oZe99M1v + fnO/3x8bG+v1ekIrhJ80b2H3puDdMRqN9u3b95WvfKVQKIyNjS0vL3PODx48iAG/973vnZubU0q9 + 9a1v/b0/+D2TN+6VvOG7gXS+p+NZS5AQQqZMkmXZWn9w4403/uov/0qWZY7FfN83XaB+v6+19n1/ + 70dWVlampqaMWgXnvFqp/5N3vOXi4qLZ4MwiMe1pjHE0GO6fm5+enOr1escOX/u1r30tHo0aU1Pz + B/bPze4bmxiP0qTT7a59ZS1JEpOgG4NepXccfy3XetELb/4v//t/Xly8VK3ULcfNBQRB2O6NHDvk + UikhfddOk1G9UlxbXnFdmxHI8kRKPjXVGI4Gq6vLH/zgBxlmBKFdM0GFFEZIgVaA0NUGEIZq4vu+ + RdmZU6fm5+ezLJudne31etdff32tVvvrv/7rg/sP5IJHUcSl3NzcUKAbU43T5x63KJNaxXk6SqNH + HnkEAIjFKKVciCzLbNuO4zj0wzRNC6VikiSIkizPpZRplgkpsRBhGBJCRJL1+/1SoVAul3PJm61W + sVgMw7Ber29tbe1fOHjmzJlKpWI5dqvVcl3XwNuKxaJUIJHcIRdSajsOQbS11frvn/3s9sa27/u2 + 7X7mT4cAKslihJDr+gz7vheura9UKuXhsL9vfnYU9bRW21sbtVotixOttRTCD4MsS23bAoBisRgU + ClmWmd6R8QfQZu3ttlnMXozRnvnJ32jG7qhDXY3fEXk6v6PvAO0zgFopJWjsed7U1JS5geYqOOem + 0kkIAdB5nkpiZHaBEAJKqCuVQHc9wYRQCBHf992qa9mulEmn3/vN3/otmWTbGxkF4BKYDc9/wQ2j + OOsP80EkOp2O47mAEdl1q9ypZBr9cKSUkoyRlZUVYuG1tTUvqAZBQCxCmMsYS6MYIYSRlhJAwJXZ + 0VNv6fe5UezTVOsN3M5Eqhjpq1d3/v/HszQ0Aol2u0dIAZIKKSOWxXkmc0Uo1rGIEp6u9RHKHjr5 + uA9OoVTc7LcSkk0sTNX3jVcnK+VwZivbEEhhZExPd3qG5OqtjQDgioT5cuacRDEoJHNBLBckgNSe + 4++bn76teNOc1/jLz/152ktxzl504KbbXvNSWnAeWTsTI55AlirNkdRaAdGA5NW+L656WFCr1YYo + HR8bCzx68YlHTp48yWjWbw+UAg2AUMiYq7XOc04pxYC0ETDZVQHcxbrAaDSo1dmxo4cfPfnI0lIP + ALa2H/jK104WCnUgQayUdEDhvNPpGHXgZ+AgYYQ0QsisRLXj0IowokhjucvG3tM3QhqU1kmSGFBZ + HMdbW1vFYrHRaDSbzcFotKdEDADGFskwoKSUUnLbtjEhURRdvHjRcRzKMOfcYI0QQkpJzCTSmmgg + CuFdfWcNO9pletdfHGkgJuzDejQa1et1KeWlS5dc17Upe/TRRxcXF4f9fpZlf/nFL7Y67Xpjotvt + 9gb9fqdrLpxSiggGACGEwYPsPaIrVRuepAh3xUAazGUSQgLfN0AbnmU2xVKBQhooIgRJDTLjSZLY + jJTC0GJWwOxUyjzPhZCUWWoXN6HQrgad1gBAKXVdd2tj06bM9/1uq40BhWGYC3HmzJn5+Xnf93/n + d//bp37jv443JhAlFy5cqI3VESVpmlKEjXWv0efgnGOCzSMwJVrHcUwP8MyZM3Nzc8eOHbt48eLq + 6urk5OTs7GyWZadOnWo0GjfeeOPq6mq305mfnzcmgSdPnhwfHz98+PBjjz22sbExvW/W9TypVL/f + tyzLc91OpzMYDGZnZ4thqVQqNZvN8+fPt7ebhUIBIZQkWRRFiOBcKimlksA0zgS3LZsA6XQ6L37x + i+NkUG9MVCxn5bFzNAzO9rbHhLSKVuiFObZSDZlFLc+C0I20uPaG6+47eeL84sVWpz1sd7wgEAQE + 7MQAV744KCZ5nn/lq199+Pwj9fGxh4+fuOWWWz796U8bK95mu2WAgqb6KaUkCAOA4sLzPMG5WQLb + 29vdbptSmoyiKIoC16tVaqbS2m63y+Xy0tJSqVSitpXnOaGsUqmkPM/zfMePdRdiZ97XFy5cME3X + LMvCMGy1WgpUWCz2BoOtrS3HcVqt1oEDBxzHOX/+vEHiHT9+fP/+/b1eL4qiRqMxGAw+/rH/9NJb + f+jG59/Y6XVnZ2dXVlbKtSqS0vjAyt2wAiEECMzVGWHnxx577KUvfekf/uEfrq6uUkpt287zfHl5 + +VP/5VPT09P79+/PssygmQAuF4L3KrA/8B0kg4ZSSrmuS12vVqt9/etfv/uuD42P1W970a3Ly8sX + LlyglCZJsrGxYR5buVwWQoyNjTUajaWlpQsXLvz27/1uuVDcu8XmphAj9qd0sVj8+Z//+aPXHgl9 + Xwl51113PfTQQx/+8IcPLBzUWo/iaGps5vP3/Xmz2Yyz1Nxo8xcpwpZlCSEA1PU3HH7H2398Y6MZ + hKWlpabr1QgqtLtZpdzIueJ5Vip4w+FWY6LgWPL8uVPXLOzP8mRra+0XPvLhN77uDTajre2mWFjY + ve6dnQxrAIQ0oKstPtuUeZ63urzSaDS++MUv/uIvfMTCdH117cS3Tmy1tyaqE9965MH3vve9m6sr + YRiWi8XqWH2zuR1nqV8ItZCC55V6jVjMK4Sc81wKSokGxagLGFcKY71Oj9ks5fkoTYIgKFcrvV4v + zTMv8LVUANDpdHzLmZiYSNN0aWnJ8d1qrRYEwfrW5uLyktGF9H3fdp12uz09PW3aOMVi0bKsdruN + KHUcx7Z80DiOc89BrmcneRSU7K2ttUOHrl3f7ti2e+DA/rW1dcaYzlMlKaXI85zBqL/d7nR7Pcdx + guJYbxiD0owiPwgw0sxCOY9d13ZcK03jbrfLeU4IllLJ3QUJYPTdtFIKkAGW66d2ANCV+cyTChII + Ia1VpVLd8Tvq9wkhlXLNttzBYPD0fkfo8qLd/Z0anrnIkfI8ExxhlPJ8dX0dU2o5Xi6UBN3p9zjn + nudprYGAlDLJ0pzkQgiELMaYyKUQ4ttr3mZ1EEqQi7TFQUigFAmtRQ45eD6wAMISTNTkhaXecDgS + qMgcXzMGhFiAlRJKCaG0kgw0IxhjlCrVnZ4p1yv+0upKcyuPRnmtOrm21TbljJ2MyEx4BeIKDtJT + T+wq5//f7XhqMGoYKbupEdY7ModXEbN+n1/wsz+uGg92FTuiRJBTDABol46Bd9uWSGmGiSPAt8KQ + eseunyuxYPStlUmvPljql0vVl77uFeOTM2ficyvDzcXWWbvic6I02rFGRhosQEiDuuoESQE8KWc2 + 24lFGcbUCW2CqEj4aDBUSldCf//EQl0VBptNIYRSIsMopA4B10G2BCSR4khJLQQgI/l0lSdz9SOH + XjcGqfM8BS/wPC/LMilSx4Nbb31uf5isrHS2WlJIlCUOobZl6T3FPK250RTWkDGbh6E1OeUGRZWk + aX8AYQCFsNQdZGmaUuqVPC9G2UhnAFcaej51KNCUEp1pIYQFzCKUAEJKw55vJqImpDMtCK2AEZIJ + gRByjeJwvw8Atm0HQWBZltkMDSRvz6HIpB+ZyCilhFJjS8A551IwxlzH3sHg2UgTBWDU6ozvDTYZ + CgDCuw8HgdqVs1MIoTAMNQBlDBAilNqeOz8/jzQcWFhQSi0tLdXG6t1ut9vt7j94IBlFV26VCCFq + W5cBMt/2/Hd7VgBPSZOU3NMvNfQbxlgSZzwVUiOttdSKKwEA1LJt7GklBoPIc2zfCSnQQT7QCAhm + ShMFWOk9nUYw4C5GGFHIs2zBObIdjPEwGlCLeUFg2/a58+c9z7Msa7pWW1y6FJaKk5OTg9FQaGUS + IdMpMlASgrFWGhAQRLRWSkiKLcZI4PlLS0sraPW6666bnpxZXVve2tycmBi7/th1DzzwQJ7nz73h + OdVqdXVlZXt729TEB8Oh0vrYsWOTk5Pb7dby8nKtPm7U/OI4NpHh/fffjzEuFcpnzpwxsLqCH0RR + lOe5ZVkUkAZEEdZ4Vw4FIwCU5Inte3d/9Bd/9l/9zPL2RhHRxsKBxXS0VvF7IoOUZ2k7jnK/Xq/t + WygcnIHJer/f/Q//6SMv+uGXVcargzgKioUoisCiRrrtygRJa53mSaVSGfb6f/RHf/SJT3zi/vvv + /5FXvPan/9XPNJtNzCi12FPEpbTS6ApjVvNNY16UZHG7356YHO+NerV6rTpe7Xa7XHM/9OSW0Ei5 + vmO7Vm/UXd1YdzzXchxqWWaCSa2l1lpKBRCn6bHrr2+3261Wq9vtTk5PKwBTESaEpGk6OztLCDl9 + +rRt21NTU0EQvP71r4+i6FWvetX58+eHw+GHPvShm2+++e3vesex66+7+eabP/nJTx64ZmF9fT3P + 80KxCKYL+mRrRM65Qnh7e/vee+992cte9v73v/9zn/vcwsLC85///Lvuuutzn/vc+vp6GIZ33HHH + G9/4xs985jNBIcil2Csc75ILnl4l9+9AV+nZ7CCZpliSJBSQWTC1Wu0Tv/wrF86fX7p0KU2SPM99 + 35+anIzjOAzDY8eO3XLLLZ/97GdffOut9/ziL77lLW/pd3taa1OK2ENnGlgjAWTb9nOfc+Mb3/hG + pPWrX/mqu/63D77wxbf+y3e/m0uJCCaMfvSjHz19+nSn0xF6h8ZnToky6jjOYDCI47jRmNIavfOf + /9SrX33Hg984/xd/eSIeeSdOXGqtp5Q4eZq42s1jVHQn73jTPzp+4r6fvfPdne7Wxz/+Mc/2EADn + xtm2dvnCNcCOfLDptip9NUVBrXWn05mbmxsfHz+4/8D6+rr5cruz/YY3vOHIkSMf+9jHVldXG+MT + jueur68HxXBiYgJj3Ol0DBU1SVMuhBv4fDDI0pw5tsKIEDKKI+bYCnTOObOsUqnUG/QxJaZraWpR + 6Sip1+s8TtfX1ycnJmbn5nKexXEcpYnjOJ7nRVE0Go0KhUKr1TLAts3NzVqtlmVZkiTUthDCCjRC + mFKWZ1kupNaa80yKvFavdDqtMPSpZW9tbWWCO46DiGx31ouFQpwNC4XCIE4qlXqaZRoIZa7LKM9j + pdT6xtr0ZEMpMTExxqVqbmz0+30v8AHAbHzMsoQQWu6sIvTdFBq+w/2/7HdEdv2ORqPBYGCQsgBg + XIBt27mMNHvyzP8Ov9/g3cMwTJJkZWVlMBjYtm0qJaYmKoQwpcE0TT3fsQoFQkjGzcsOCCHEuM4R + pTWAxhhTg0TPspwPOXJAyVRlcGjfrHDj173q6NFDB4ICW91Y4loPYrTZyUES5jj9eEQI0VIjpBHS + hBCkCVJIay2VBCWnp6evP3rw4tKlo0ePXlpqLi4uOkHJWHGbHq/UADYABiMO+aStED29+v8P1thV + a7iKmH4PBvMP5XgFHOi7Hq92qB3ihyIaGzCbwoCVwgAEMxdbOMF8lCaZmJ+cmHWmrVg7SjskTIaJ + NZDFSUv3U8z5zNRkV0aSyB3JDQHEINqQQoCuFMX+bk/3GfMpU8VL4yQexoFXbDQaaZxnKW/GHY3F + VtaulsoYrIFMEhAO6GEWJyBTorjWihCNwfhlfM/f8QSEEBO18V63vb29aTtWoRAwak81whtvvPHx + cxebzWgw2PSDQqEQDEeJEFprqYECUqCleYgIoTRNKRau646Njf34j98ehuXjD51cvLS2cPiGBx58 + TOh8MBpZZdctuqaN8/QcJAmaaIwIaMQ5t5RjDGG0qXlpxDAjhGBAFGGEMc8yrDGm1LFsLoUx3zQq + BRsbG41Go1gsGqYuxlhLpUDvCV4xxoBAlmVCykKhYCqkJuzewaqpXYMpgMt0RI2MNsPu5maoimpH + 4B0AAGzb7na7YaFQr9cBYGtzszE9Ner2DYzimmuucTx3dWN9YmLCsiwtpAlm9jpjT3ngT15fVxDS + dmutZrYyxpQSnHNTuTMIcCn0qD/CmCiMpFYSaQCQQuSKM4SavU61UpqaaNhgZ1EOAmmJFMbqyVu1 + 8aiQaR7JpBiExs0yLBWHw36cxQizYWc0NTU1HA7zPO/1ejMzM5vNbWZZrutyJRUXCCEMSElpCBHM + YnmSJnkeej51XJ6mWZZFg5xYZLxeT7LswhNP1MbGJupj3UF/bW1NSnnkyJG1tbUHH3zw+uuvp4R0 + Op3FxUXO+Q033PDYY4+dOnVqYWGBOfbFixcxYYVCYd++fSsrK8PBoFariSzfg+EghNrttk2ZbduW + ZSku8pQjAgIQsRmxGZY6FbwT9V2FMin+4r4v/em9X9CCh4Q5w3z6pus//fCDPiWeZVOElYIgpr1v + PbD15b+yP/37j1xanLn22kKhsLG5TgnudrvVemWYpDu3EWPYTam11rZv9Xo9rOFL9967tLT01rf8 + s9f+6I+0Wi3P84RWe8ixvYYJ2v1B02nYgdYjiLL039/1wcbYeC8afuSj9yilHn70ERM5nLnwBKM0 + B7W+svzX3/rGVx742srmep7nBs8Ju/A281cwxvv27fvJn/xJU0T4qZ/6qe3t7W8d/1aWZZVK5Z3v + fKfJuofDIUKoXq9/8IMf/PCHP7y+vu44znve854TJ078/u//frVa3djY+Nmf/VlCiELw+BPn7rvv + Ptu2LduWe/6KV3CnQQNjjBEqpfzmN7/5yU9+8g1veMPdd9/d6XQ++tGPGm5So9GI4/jUqVO33XYb + YXQQjSilpsCxdytMLvDte5tCO33d79141hIkpZRRatdalyuVXq9n/KTn980fWzh6z0fuAQWlQsk4 + wJQKJYzx6177ule/8tWf+ePP8Iwzwn74ZT9MEbGZRU1urRQhhBJiAmIpZLlctm37rW9962OPPHL9 + c2649/4vl8vlbr/nh6ECHRYLL3nhS971nndblhUUwsFg4Pt+v98vl8sE0ObmJmNscmJy2Muinnr9 + j/xTi9UWz51eOutsrVmN6u0sTx035PlIQ8/z1x/82tff/pZZLZ2xWqNcCuvVsSzLKFDT2jaC5owx + LoXnef1BV0perVaDQjiK015/iHY9hg2c9zJgYBcutRdcSimr1eri4qLneQyxra0tRmm73X7ta19L + CJmbmxNCHDhwoNfrmW6153lplmFCmGVxIRzHsSzLKORkWRYEQbPZnJ2fW1lZKZZL5m3he75BMJqs + 1TBtgiAwcxpj3O/3q9WqEIJLCUgXi8XVjfVarcY5r1Qqy8vL5qpt2242m4VCwVwapVSCllIqBUip + Xm9Ur9WklMYlPR5FdsG1LIfz4XAQ+b5fKITRaFD0nSC0MJFRmtm2V6mUms12oVgaDgZB4CslpdTU + 2akOUkqzLGt1unEcm2zQYDUNqA++TR3hqc2cy5xYjZCWUu76HSFDChofH69US/1+v7ndJoTu+h21 + Wq0WYwxjKqV519qw6wl9tWmAuVdGdqlQKGkNaZqbDMe2nOGoL6UsFIKVlZW5ubnFzQvM0wYdKrUg + GEuZE4wAACMKOgcAc+eVUlEUjVqREMqx0MJz5l/1yh9aX14+98SFP/vzL3U6aZLBxLRNnQART2WS + x0MHAex4Q1HQAFoD4ogIBJpoBcI58dATx79xfHZ+5rnPvUHok+fOrwug/WFyGVCnjUIvUNsytds9 + ECwyW5j+PqchPXWTNcHQDtLEMC4uizdcxdhTj/8HcFTGxegpRyXkYDQcr49hSvrdnu06BOFccIMp + 8H2/1+uRBmHMVpjkiaKUSNgxcd/BqZrl+wzTR2uttEIKua4HGUcIZZBRSoUQKpdWYBn8/Y6FqBBK + K431FVav5rc/azL0ezu57/tSyF6vZ1FHOnhJtZs6Lt48l6Z5IQhtgi9kW76XpwWcUp0QIQgSyJSx + KQIF+jsqvv2/Hxq4iKTi7XY7TUaBCz92x8t53m1trv3ar/6WZZe63cy1S5ZNRumGG1oyk+bCALRG + AjQG7YK2LFoCmR//1sVHjp+rlsvLy935/eWjx64bm1h44MHHut3uMMsgI4HjWdY+03XfgS8/xQxD + awYsTtOqE1rKGvZHNnNWu6sVr5ylqed5oHGn02PMJowN+iOXORhInnNMiBJaCFEslYQQo+Ho/Ohi + 4PumfjcYDIpBaLlWp9NBSFOLAUAulNbaAPMwJWmajo2NjQZDI5Yj8hxpDDloCZZjK9CY0TTLNEaE + UcaIkry5uVkshZRi0NK27SRJCLWSjBeLxU67FyUxAIzXxx568ETRDwaDwcTExNbWluO5hLHhKO71 + eqVCEQMkSZKmaVAoeJ7X6XQ45+Vyud1uB0HApfAcdxRHoHSlVm23u4xaSinLZoSQVqtVKZWFyE0w + Mzc/WymXN7MsjmPXtQuB5yCyvd3CmDi+v7y2Ord/PuqNsiihiFRKNTFKk17qBT6WFJS0LW8QDbxi + YLji0WDkWDZIUFw6Nsu5FCnnGXddV0gZlIqrq6tzM/MIoV6vJ4QwXbt+v+/7PsYYEby0tHTNNddE + w5FWCmuQQrhuQCnrjdr75uda200F2rWdNE8LYYgJ6bSbjanJtZVVKeX8vjmEUG/Ya7fbs1PTM5NT + 586dW1pampqcLBQKxsAUAA4ePLiyvvbwo48sLCw897nPPf34mV6/c+zYsVq9kqVxliUM79DdOOeU + Wq7rayHzXBi9QJtQpbVfKCxvrtcnJ4ajfi8aXlpfRUn2ujt+9BX/+PUCK0Qwk8rPtSUU05poBaBM + vqoAJMICE0lpJITt+ZfWVh5YW1dp7LlMSS4V12jXCFhdxtsLpRljWEMcx48+9tj7/u37d+a/hD0t + h70Pw25MaFKUQhg2m83Dhw9rrf/5u9+FEAKlpVZIA8b4XT/zHhNPSikJQhohkefjjUYSRUmWYYv1 + +/0DBw48+OCD1WrVxDxKqc9+9rN/8id/Yv6WCZkcx7nzzjsxJVyKbz304Nzc3FZz2yDrHvjG1+M0 + eds73m4Aq3sn+dN3/sxl5C0AAFi2ba4ZdrnZl69opwutc8GpxQKL3fvl++798n17WwGmRGoleU4Y + vbB48eff979ijBlhe2eIdmXQn0kB6+9gPGsJkta61+uZsElKGQRBp9PZu0LTEW42m8bMy7KsLMuu + u+66jGcGxSSlnJiYkFJ6nlev1x3HgV1NPBNiWoT2+/1z55+4/fbb77jjDpux3/zN33R9b3J2Jkri + KIruueee3/307x08eLBYLA7jaHZ2VinVbrc9z7Mpm5yc1FprqW3LXVg4tLXedB33zve87Ctf5JVg + dmuVWXQ26WmtMsJCL7Bl+thrX3Pkppv+dbvVcRyoVCqhX4h5nOf5gYVrJmemu/2+5dge9RHWxdJ0 + qVRijGiExzBtd3r9fj+O4yiKpJR7cgtX3qu9f9u2PRwO6/V6s9lcb228//3v/8jdv2DKIUEQ3Hbb + bY+eeiyO43a3E4bh9Oxsq9UymZJJRy3L6nW78/v3m3Q8y7JatdrvdD3bcSy7tbUd+qEUQkrpuK5h + cw2HQ9u2McaM0EzpNE13AAlZ5jjOIBoGYTg5PtEd9LXW3W6XUprn+f79+5Mk2dzcBITMqyLPc8/z + uMg8y6OUAVJpGucpxxosy66UG/1+P2cZox4L7bAY9PvdOOk7TCCEhOZ5nmEC/VbfcYM8i6uV4vb2 + dsH3bJvleb5v37zvh54XLC+vAr68ThBChFy1KD5CCEAzxkxE1e8PvqPf0XD3R8DkWFc+NfS3qYx/ + +8BKqeFwWCqXjPBovV53XffwtYe6aItSqpBWSuzuOWAuHxvh71xyzjGipVKphKqM2VrFnU7nU5/6 + lMxg0AWLQJJBtQrFYjHKZJZljPmU2VxJjGiWcYBdRC9Su8IPkhKilHAs98yZx1dWVoQm1WqhWGm4 + fux53rDXRwhhrOUu4G8v84RdfhRCCCH8/c5B2q3LXgFu2cVQ/W1P/O87Y/m+OFqWVQhCs70wxnzX + 6/f7QoixifGHLp5YOOIWi8WYtIfxwLUKQghmoacsJYQQAQCtiLwCYqdgF/UEHDQmOlMCM2hmA8xY + cd9YgRSQAOmqyFUb0IupTLDKc66oBqUBIQy7oCUKSGN1VQoc+ju1E03dBYzLIyCNVIZyYekREdb+ + wphf9C2n3e5sqa7FsyHJc6wEVRKBRsTk5qDJ337a/Q0HAt/30jSdmZnJkr7n6C984QuuI0EmeQ6E + Stt2Rxk3oNksy3a8oTAG2FGnREgjrPM8j9LuNYfmxurhw8dPKAWPPtpttu73gvOe5zXs0hhj3Xyg + aC6E4JxTn5Inc5CQEblCuDlozZb3yZ4c9IeO4wjOx8canZXWdGXq7PlzlUptcmqq2++laWrbts1s + mfM0TRuNRn809H1/c3PTUGQNrdzzvCxOfMdVSp0/e25qdobzLE1Tx3Fc207z3LAghBDFsMCzvNfp + IMNIUUpxPVaeyBOhJCCsFVdKaEy0Unw0HHqes392H4Bqd5q1WuX8+fOO4/hhMfT9drNJLcuxbM/z + NjY2KqVSEsXmjWxe5YWS1W13JiYm1ldXLcsyLaxhFMVxrLWu1+u9TifwvLFabWNjIx6NXNtGCA07 + g5JfGI1GoLVQOiyXG7UxrTVSemtjozY2trKyEobhxMREkiTb29sOZXW/vK/RWN3ayJPE970kSdI8 + ty1rZmJ6c3lV54IxGyOaCzWM87LvB76XJqnI8lTparmSxDEFpDFgqT3LRgjRsJBz7hcLZ84/fuz6 + 65YuLLuWXa/WkiTJsqzValUqlcFoGMWx7TovfMEtDz30UL1WowiXikWeZc3tdqFQKIbh1to6Yyz0 + A4QQBuBZTimtV+qB7ZbCgkiylUtLtfEapbWLSxcvcbGwsLB///7V1ZSDDi4AACAASURBVNWLFy/O + zs7W63VK6erqaqFQmJ+fv3jxYqvVqtVqk5OTrVbr3Llz+/fvn5+ff+KJJzjinuelaWrCg71XktZa + S1CZlCC1kKHnU8aGSfzl++//wDBau7CIEMptUBRLBEQrl4MlAYmd3cLIwzwJA6mx53lAoBf1pVaE + EgGaEKLRk1Dwe1D0K8feV88s5rTjbWNSesuyTp069Wu//p83NjaMmNveZ5DaMU3aU42XUk5PTw8G + g9FoRC3btu0LFy6YBma32zVGl1mWmWomwA41yOCz0jjL8/xLX/qSUiqOY2OZE8cx5xw/eey0QJ9h + u/oO1/W9Gz9IEDvXdZVSExMTALC+vn7dddft8iBBEp3EmVvxC2HJtIN4LkHjh0888opXvGI0jHku + o1HCczk+Pm7APFmWAYCUchdNlKU6nZ6evv7YDW/6sdtd1z167ZF3vOMdf/I//2er1SqUilrr5x19 + 3sd++eNKqcFg0B8NTTczCAJKaafVVkpRQhgmjOj+oG3brFj0Fy+CEm6vrRiuEwiTNJ6crA8iIXiT + ECI4nHn8kVtvvcmxUalUqZRrFnPyvEkp9b2wXC5PTk5aNo3imFlEA5w8eXJpZblYrh86fAQAkiQx + UtR76e/TYrGiNDGcSJtZ73rXu+66665TD59OdWoyk0cfffTn7rwTIVQsFrXWaZr6vh/4fpamRsyN + 57lDmeA8jiKR5b7ruq7b6fcsQofdHsOEaACpldI2oYIQnuW1SjVJEiUkYdhgT815GgCk4zhbW1tJ + klx77bW9Xq/T6fgF32jmOJ5rPLxBa8e2XccBrJ0g5CIfDfuu61oUW9STOSBl6dzyrVqv1/EKFqB8 + efmi5eiDC1P9XkcpAKU8nxEsHUv7Lgz6I8X1eLWwvbk5Pj7muyHBTGsUxynnkjJGmLHz0ztl4L3x + dGgZDU9y0tgLcRhjeZ5KKW3bLpVKxVL4Hf2O8FP+Ftr1HL+qdfHkNbw3AbTFLIEhi5NutzsxMXbt + kcO9Xi8cLyeDPhe5EZoDpTFCSvFvp/oAACEE2xQ7UipNwdEqwPnIwVAqALNgdl/x0HULK+vd009s + ZlxIrWPOPY/pHBASgLQGDNrSyrTZEo2jqZnK7FTtG9/qDwdRfXwfaGTSe1Os2gGHSAC+U7n4AR/G + CAphBLDjRmPmCX66OfWM4x8cB+kZBiKYWmwYjQghhNFRHBFGXd9bW1u79tpraxMTe6gJ0yBVSu0Y + I8BlwX2ige3K6BsJJgMH0gik1lorTYkQnDl0jXfjVAfHpizsaQSa6vUgb4v1tislxZnKMexqxSHj + agXcMJqu2gdpTxR+T95995L1zskjggCwBKWAD2WMNSZlbIcMYyACjWCAsiQjQmBQgDQC0zgCrdEO + Gvt7+Z7XQKktubQZLZVKkg+63VHuQbkIP/zK53JJTp9e4k2uFKQxDcIyghzQTm6kdA7a6MNxyxGV + iju9LyCYOx5ogCiCPIMgJOZFTyl1iatcAgB5noP/9KfjEIcW2HZru6BLczMH0g2ept/UUjvMKhaL + 5XJ5dna21+97nnfx0qVqtdqoj/uut7S0RCmtVCpxHDcajX6/b0ANGOMoihb2H7AoQwgpyWXOmcXy + PE+SxLI0KOVYFkKo2+3yLEvjbG52niKcRHEYhu12pz3qM2oThAhGWimXYWYRhFBOdRQNQOZRNBwb + G1tbWZ8Yaxw+fPih4w8DYJtZCONSobCyslIqlXawfwi3W21vasr3fSml5zi9TmdifNKAO7TWlWJF + StnpdHzHj2nEc95udrIkHx8fz/O822pPT093210HW2GpEMXDYbeHEFJIE0ImJxpcCqwhT1KQCmtQ + SmENjItKqRg5bisaMYRBKZsRnmZnz57xbCfw3YSnCfAMC+yzVGZSZCBEyXWTLEVCxN1eqVAKA7/X + 6mqtJycnVjbWU83b/d6++blerxcEAdbQ6/Ucx8nTlDHW6/W8wA+dYrvTuXD+/NTkJNaQRPH66qpF + 2ez0zObm5vj4eKvV0kJ3Wz3bYZZlFcNiv99L42R7c4tSGoZht9PxA7dar+/fN7e0tLS8vDw7MzM+ + Nra9vb0XoA+Hw+FweN1zbpiYmFhaWe4PB4cPH3Jd5/jx45bF5mbn5ubmWlutJEmUuNzoQGiHuCKl + ZIQihXieG1WkYrlEPbnZ3ErzDBHCKeFIcgJYaq7A1oQhAhpLDBIZ72AAUBhUOoocy+p1Y6mFE7qk + 4MRSIM0txgxMfQ88eRltcTVpgzl5vqtEZ9BSOedplmEl9yCaex/GGGukEQKCNKGE2BZiVIAe9LoI + oaAQ+mEwGAxywU0b38jlmYRqN+UhABC6DsY4y7I0TQ3DzTALxK5cCuwKdputG2N0VbnQ1SZO3292 + 7c9agpSmqRDCQOwKhUIQBJVKhXNuMRsDjqJIKFkMCxqBkqrT65aLpbGJcYc5UzPTvV6vUCqGYbi4 + uDgcjrrdroEe7kmhG12ORqOxsr322NkzDJOD1yzYrkMY7fZ7253Wy172sv/+F59dXV3tdLtAsOu6 + w+FwNBrt9EbiJAgCBEARiaKEIpsx13UAiuB5Xqnsb272y+XAkmKrueJ4cX+wPRr1KAXJpZYqGuUX + Ly4eOXbtMTiKMLmwuLi+udHqtE8/fkYpkSRJsRTW61WEoVwulyqVarWKMW632wCAMTZsn2fqEpqe + hkksF5eW3va2t1VK5YWFBd/3/+r/Ye+94y0r63vh31NWX2v3ffY5Z06dGc40hqGDgAWxUASisaAJ + MZREDQgWxCTX6FWU6xsF9Yq9BTU3yotRiBIUUEHpTIEZGKbP6WX3tupT3j+ecw6HEY344o0pP/is + Dx8+e++z9tprPc+vfMvdd2ezWcAolU432+0wDDu+PzY2ZhhGq9l2bcugerVcHhtdK6LEMe04CG3d + nBqf6OkpYY0szJfT6TQGsEwriMJquZJOp1uddr1WGxgYqNfrnDFd13mcEFNHS1r+7Xa7p6dHSlmp + VIIgcBwn46UMw1CVJ6U0nckEQdBsNimllOLGfLXUW7BtU3JeLZdtw5OMGIR2w8i2nKHBNfMLM1TX + hgbWVKrTu3buGxgYkkJihHRdj/zINR2RMCpZ0G5l05lCJmtSvZgvzM3NTU/NUqJnMpkwihLlmL6E + rNM0jXH+G+7GlRXFcrG0wu+o79/0OzqirEWLkndIit/0d3/rQIwxy7a63W4ul1m3bt309PRjDz3g + 9qfXnjrMOcegVBIBYyyYWBzYgJRLkndCiHbbD8sxNgET7ujoqMGBpNU+6Zyj1x81bFqo1pofn54J + Aj+dcifnA8mJYZlRFGkYwZKirBSAJAYQCBFKaT6fy+dzg0MDcYg7vpyamsC67XhZy7KUVwkILrAA + HZSnB1rCz6hTUyba5A8aYgcAcISQikQCFjdCBABEPu+a59+fF/QHcIzjmEth2zaXAmNMNBr6QRRF + hULh3de8d1t3FwBEUaTpmqZpkokkSYBwSSRalP9AIEFyQWAJVi4lAOYYJAIOAIA4AoEhEVzaNIp9 + P06sYS+MpcRI6KgZ+3HYBVMSgoBTCYJKZeoDgIAj4FJw+A3q078hVGdEPGPmuVgmCQEYg0CECCkx + SCY4R7GQoGm42amAkJpBESaJjBBWaRsgQKouIoKA5L9vETuQEMexrVnj4+OlnkynOffiM46Vspnx + 6MDAQLXellJIySVwQjTDsCI/XuyegFjq5whAIo7DJms1mubwYO/FF78liVGlXN++Y7dh5Xffv1W3 + cgkh3ATQFoWaGqzN8bM4SCrNaoZ+HCQ9Xq8r3IWFyrlnnL2lf9Mdt9x5z2131SpVyeG666679777 + bvzsZ0ql0te/+tWx4bUOtjlwDuLOe3783mvfp+m6UhbtdDoYIQ2TH9zyfSYSgsnk1OR9D9z3wQ9/ + MJvNOo5TazSDIOh2u9lsVqcaY2x0aPjLX/ySRmi72cxnc4Zj17qtbDYLEWN+V4QxkgJjTHUiNS2T + y++bODg0NHzw0GHDMHLZLABcfPHFC+Uy0o1qo96lnWKx2N/fH3T9RrVm6Hpfb2+9VhscGvKj0PO8 + vfv3KU6IasBXKhUAOPmkk971rneNDg0jhPxOl1Kq63qn0zF1I5VKV+YrpmnGcSyQMhvNIoL37dt7 + 1Lq1r7nwgiQKpZQzMzOWZa0dXR13/PGn9rPe5J1XXnncGaeBqXNAlJCU5UTNjkv1bqNlGprj2fPV + CnV0yzCxkCzmiJIgYRIjjKiGaaVcfsdfviMIgnK57DjOUaODnTCYXJiuVCqrSgORH2xcvyGO45Tr + qtcQhNuN5oUXXCAZv+uuu7rtzqq+vje/6aI/uuDClJNSpjoKfdpqtcLQD4JAdbq9lLss6zo3NxMm + 8dCakXdc+XaE0OHDhzvt9pYtWzjn5XJ5YWEhSZI1a9bs27dvz549AwMDfX19MzMzU1NTPT09Y2Nj + MzMzBw8eHB0dxRJPT08TDaspKCzJegkhAJSCNkqkBIKb7Ral1PbcJExs25YIIR1JApxwRCRgkIKy + GIMkHEuGF/mQCABLSPUUbMtMoqDj+6DjCERXCoqAYE25WiOE5P+PTodCzWmaZppmGIZBECgGjmFb + iOCVDV+17TLGQFnfSgEIA8GIEolROp3GGIdhODc3hzH2PE9NnxQzYjmxWUZ/tNvtRbQeIZZlqS58 + kiQKmAfwbBAg/vWkzP+k8UL6IKXT6Wq1miRJ1ktNTU2Vy+U9e/a0/bau6/liIUrimCVhHFmGaVim + 5diNVlMCNFrNoZHhar321a9/7Z3vuarT6UjGKcIa1ZScBaEUE+LZdrVW6+3pu+zyy7c+9tixJxx/ + cHJ8an425bgEyEc/+tGr3/Puubk5Tdc91223257nCSH8docxZpmmY9vdVrsbRDwmC/OtoCurEq59 + zxfrtUy5OV/oW9tqP0ks4tnAeLWYohFLff0rP/3+7V86/bRvzC/MLywsBEGAgRaLRcZYFEWWYyNK + HNMybItSrFtmT6mUzmQKPauUrIdt20qTGgBUvfec103Xdcb5voMH8plsX19fEAQJY3v27ZVSZvO5 + JEmors8tLFiOPbZ+3f79+4MgCDp+PpP/2PXX8ViwJBodXTM5OR4HcZJE2Wx+dna6p6eXSWYY1szM + lGvZmqZlC/nxyQnOuR8Gn/3c5/x2R8MkjmNqmIIQzjkQQjQt4TyXzvjtjpSy1mz09/fbtj1++LCm + 657nmZru+36VVSilpm6YpmnaRirlNlv1TqfTVyrRFOWJKPX3nvvqC3t7hjXN9NxslMSe5zQaNUA8 + 31OstTqcSRAsDDqWhgWPs2kHATcM7dChQ729vQMDA5Va/X/83d8JIWuNhnqqGWcAoGRSl/Py3yJW + +h1BGPq2bedyOcs2fhu/o2dCopXciN+pyXHkZyZJYkpdCEGpriD7x5100nRjwjAMTdMkxpzHgrFl + TaeV9Cc1y+Kcs5gnQUwIH10/+LKXnl6emZmYnPzpvT9fWIi5hMFRN2QQRKDhlGFZcZIQzim1BEgp + kBBMolgiQCAREkkin9ix78CevW7aPWbz5omp8lO7DzHG6vW6lDKKoihOBABQAL7oCv0fNyQSAgmB + hERIgkCLZjsYQHD8vH9fgQD/lz9KySVG6WxqdmEeIek4XqWy0NfX9/73/fU55583ec+8EIJLjjEm + QBiLMdYlOhKvsZxjLP8EUmIhAcji9swEFwSQxhGFbrdZcGmr1UYEY0OPaNyNQkszueQEIyIApJIM + l3KRKLU8mXp+98piO2FRvQMvrykIJFoccAksgEspQeqAiQRHN6LY5wkzDTOWPGQRNbRFvTAJSEgi + CZEAgJj8PSs/IuA8ltSM47jVagkmbNsSIuaM3X7bnUJa9XoYRtSwhZtCMasyEQAAIIKwBBAIIYR0 + JAilbjaVnp6oHtgzYeo7pqfjtWuzmzYdl+8Z3XNwZnah3fB9LWe7xFSOPb/udCjV8tlCq9bJpfOB + 37351pvP2Hj6u666evfDu0zTfMUrXrGqr394eLS/b2D/oYOXXfoXrBNKxt/45ove8KY33nDDjSkv + rZtGt+snCcOY2KZlGYYAeeqpL3JM67jjj33vX18zMjRUrdcbjYZjOZ7jZrPZOI6nJ6YLuXxvofTk + jp03fPKTwEW33XFSViC7sd8mfpyhxkCmIBNWrVYTjIRnLwRdX4JbyKcyhbGxdTd94n8LSE44/qTv + fve7qVTKsz0p0T/f+v1irqgBGZ86fMlb/3xqavqvrrzioosuGiwNdXn37p/99CPXfTRMkkI2FwRB + 6Idr1qxZO7pm+2Pb3nbpX0RB2F/qlVIePnRoZGQEAMbHx0cGR1jMgyDYctwx1Xr9wMF9/atWdbrt + T3/20ynboRjGJydNS1c02pTn1T1vvtEwPO//3PLdu37x88OTE+1qdf3wal5rila3L51xTU03ND/s + +nHQ9oNmtZPJ9YSCO7lcjNC7r3nfBX/02mwqU66VFR3acOzv/ON3/uTSPzswcXDdUWP1hfrLXvLS + z3zyU61ua2pi8iMfvU6NlYIguPySS9911dWOZRuENuuNc1/56rf+6cXdtj88PLpjx7Zle4xU2jVN + s9lsJkkSx5FSbWWMjY2NdYPOu//6vXv37lV63xPj44cOHerv71cYM8ZYPp+P43jfwQNzc3Oja1Y7 + jrNnz9OtVuO4406wLGvv03sPHjxYKpRWrVrVaXW73a7iDGOChRCCLzY3hRBcIGoZIow5yCRJgkaj + x0lzKUTEGBaMAEaIM0hEoiEkkVAewWqIpCbYlXaDdjEGwREgQaVGCLUAgEUSlhwCF1VQ5W+Sc/11 + 8AQ1vUEIKXaJErhrNpsCpJSL0MGVBdKyMJUQQoBggguQgFGt2VBUAkSJl0ohhBTVRTMNIUE8Y9go + AYGU0nKdOI4JwZTSRHApJaKEcx4mMSx1h5dJyBghIfgRI/T/3PGCFUiqfCeElEol17QUvK1SqXzy + xhtd2253O5ZlNZrNIAhQLscY6/jdH/7wh7fcckuj0bjp858Lb7yhWq2mUilVVCiNLEIIV3baCPwo + rB0+9I6rr/jABz5wyeWXaZp22Z9fYtl2EEerevvmygvbtm1zXFetzqosVmSnVCrFkmRhYUEynk3l + XddNu+41733X0MjmU04//atfvT2dGSv7hxMhNd0YPzyZStGBHrPafPrQ+Oozzjjtwx/+8PTMwZ1P + PfH6N76uG3Y7UdtyHERwNwzUSQrBOp3Ycc2U41quY9u2KFfjOFagWDXCUiYA8OzJBgAIBHEY6rqe + y+XG1q178Jf39xSK+Wx2amoqnU77YahpGiI4m89xkE/v22vphuN4nMkkSUYGR6965ztTjosQsk2L + UlqvVuM4LhQKjUajXq+vWbPmySefXLt2daVSoYaOCSn2ls486+WGpsGieKJQ0Ig4jqWUivr/spe9 + 9IwzzvjMZz5jWRaLk2pQBYCPfPjDAJAwds899zz22GOGpmONNpvNWq1mWZbtZhwzJZkMuqFgrNWs + GSZ66unt27c+RbDtdxPXyXe7rNsNR1aPzczXqK4RzCO/sXa0b37moEjaQvgaFcMjAxe95c1rV68u + FFpBEBRLJcdxWs22ZmhKGUn1OZCaI/3Wk4qlEdDz8zvCv8bv6IVSTVHqdpTSZrO5d9+e4eHBCy88 + /yf3//iJ6e1CCKVltDy8EkJQgjDCYskWDCHk2J5TQJqhc95tt9s333wzC3mjDJoGcQLFHqBU1wBh + osdcYyxmPEFAABSz4hlQNCAJICjREBJxFO7fPzE7Mx9EYBhGT99QoxW6rouE7LYJk4JrUpJnCEj/ + IThIWCK+tJavHB5JJAUSCAQgEEio9BcD50rp7Pn8I+C/yBEEgIRFIayVRyBY1/Vqo041LRH80OHD + mzZuvPTSS9/05ot8CJIkaTabZq+Z4KATdDgHxzI4oEQmXCqzL4wQRgRzwSQAWdQEBZBI3a0II4xx + LGKqUyYi0zCSIPJFO5YdBATxSNf1SAgELIkSoSYXALDEPwQATU1wnt/9iRY13xGAFABYrBg/CgAA + SRAICRJxZUsLIOKEU0xBIxijWCYhixkSlGCBpJQIKVNlyYjEEuD3axyGABDoBk2SqL+/nyVdL2vu + eHyb6yAehfU6FIo6JaZp6gjjhDMuBdUQSKxUdSWSAFIKKSXmXI6PTw6PZE4+6ZStjz3WbsPjj9e7 + /kN+uI0Qr1AopDD2USTQog0LNZ6Dg6SQPZWglnWyQoihoaGd9+588MEHe+3eRqOVdwub1m+oVCob + Nmx4+umnLddxHKfe8l98+ukfuvbvLv7Lt3Za7dnygmIpqx5oo1LFXkoIHnZ9HZNHH320v7d/cHCw + 1mhQSkdGRgghmUymWatX5yqNWr3v5BICWNXT+7mbbiKAdu14LKiN/+JHt1f2Ho5mq8ZsU4RxTyaz + asN6d83wn7736iqSEdVv/qdb5hYqScw93TV1M5/NKZjZX115xcLCwrmvPtsyzK9/9Wuvfe1rb7vt + tte/7o//6R//z3du+W6xWLzpS1848cQTH922NY5jy7Ly+XwURZ1OZ//efeuOGvvCTZ/76T33eLbz + yCOPfP97/1wsFvuLfblUDriY9qdNal71V1eksxnf7/zvz92UhAkIWVkoF/P5mMd1ZTZVoJl8oV5r + UtNaqJSv/KsrTj/l5Kmn967tKW294+4vXv/xfL2d1mmjsmDH/lBvceNxJ2049aUvu+BCX4rDC3Mf + +Pj1LAolZ4QYzVZrcHCQatrHP/5xDry/v//A4QNJxBhjl19++Sc/9clf/OIX73/ftX/3Pz5w2WWX + Hdi37x3veMe6gbG5ubkkinSqRUG4MD+/+eijL37zW/v7+z//hZuOP/74xx9//O677wYuWBRThHOF + Yirlbd68+ayzzlKqS1/+xldyudzU1BRCaHh4GAFMTk622+2RkRHFQdq1a1ehUNi8efPevXsPHjxY + KvWsXbt2YuLw3r17i8Xi2NjYxMRErVbr7e2VDgghfD9kjKl+CgBgjLmQaqgkMdJ0HSFEMU2lUjpQ + znkCUvknIoQMigmXUiQKK0IkLHVDBAawHAcEo5gARiFLOENImYpwpvCoR0Dgnq8YjKp2FK1OCmHb + tqZpQspuGEgEGBQGFLgUIJ5VfaldeHk0ZFkWAKTTaQVTXEbjt1qt5cpqmVAEAHEcKyEHNXdShD3V + 1l/ZiV6WqkfkDx4h8oLGC1YgqeuuhsXl2bm1a9eecsop3/jGNyzDzmQyf3LxxZZlBUEghDAMQ80Q + EUKu6wKAOs7NzY2MDF/3v66XXCQsiaJIMw3VbEgEB4B8sbDjicfPPvccpQOe9lJYowbG9Xr98ssv + n52dPXrzZkWyz2QyilTDotg0Tc45RTiVTXuOg0ny1ktejzWdMcwk/sD1r0nn+sOEC46pofMwpkTa + GMXBaSiJHVuXsKVer74BvfG88y+wDeOpfU9mclkOklKq6XqUxIwlcRzPz8/XSVViFIWHLNtVKazr + ulJK3/cVyu5XL5pqGNSbjb5S744dOwqFwuia1buffKp/YKDZbBaLxW63G7MEANR+oKBNGFPHcovF + Ur3WvOC8C1zbSbveA/fff2jfwWq50q632+0253xHfcdpp526es1IKpU66xWv+Pl99z746CMp14vD + iGgUU6pQB88ITWIMABdeeOGD9z+wZ/fT6zduUNLe777q6k2bNt1777179+599JFHKtVqX18fxtjU + dNt2TMNNEp6EgZA8my3yJCBY9pTSd991x1FHrb/skqt+es/27Y8dfvHJL77zjgfu+N5uK503LD0O + 6/mM15/JXviqS6uVg+ef/1LD4B+7/oOYg6e7M/4cIMFFbNsWAGBMMKEASHIOEgkp5DPGYb8KXFRf + 5zn9jnKGqXW6rUW/o1zOMIxWs/Pcfkfq7fJIkYbnG8v9muVzU6eNCY7DMJvN2pbWbrYO7j9w9113 + TS4cWqgvcM6xJAghvLROMcaoBsvfSC2FlFJkIKojYNgwTGLgGLe6WpJ2wTRhaHVh3cZNM/ONfYcW + RMI4kChmpkm5FLBE00JIQ1KAFBISAXxwoDTQl9m6Y2unHeVyfboBjAlN0+SS/bwQUiYAyb+nqswL + EWJJs06FBJX2IwFyscGGAQsk/vv4K0fAgAQSWIJAsPJIACUyCcKu5TrAYPXY6ksvv+SSP7sUAQoh + 5JwTSTRKOcZRklBiLkNGYUlfToLkGDgFAEEExstkIdUsAAxCyISbhtXxG5qmU8R42HY0SREEge/Q + NBKAmQwYB4IBNI5AACAs1UdhIeAIS6PfJbD6DCkXeTpcwqK+L0Ic4xgIwzIRnCBJqESIMSKRTgLE + JAKJMRZLr+fyhRF8+Q2hOFeIYkI1QnVNYhwkMRcW1g30qldvwcR58slD9bYMYxQG3LQcgjgsXiUh + EAIhpUBScsPAjp0dGOwxLWyaeiYTdLsgOFBKJaGYY0qppWNmIIDfNGE2sAEGDlpB2I7CKFq7du2Z + x56pBVq73bZt+5WvfOUnbvjUOeede+EFFzzwyMNTU1OeZp111ln3PnjvJz7xiavf/S7TdTqdTqfT + cRyHELIsiHzRRRf1FItEIxGLn9671zCMLVu26Lq5c+fOrY88umrVqk2bNj2x43GMkKUbq0dHc15a + JMLsBCOBeHL77o1gjvSt7SUuC1kzievVpMKmcjP10vFbwLJTb7ns+r+/ISUMKlHY7LabzUKhMDk9 + VavVHt+2fVVf/9TU1M6dO3sKhVqlkkqlJiYmVAMu7Xrz8/O+74uEUUotw8QAxXzB1I2XvOj0KAzv + +Jcf/uPN3z7n1Wfv3PE45zxtpxqVWspJ5TLZRrl63NHH9Pb17z24x7MdXdc9z4uiiGhUMzWEkCI1 + CEw4QkEQtqrNTaNrPQ7+galeO4+fOnR0iE7s7x9yXJLq56HvB636k4e2TTaPO/qE3PDgpsHVg4WS + Z9ka0SRA38CqRPC/ufZ9Dz/6SLGvp1GvT41PbdiwIfSDLes3w1iHLgAAIABJREFUf/qTNyzMzX/r + 5m9e//H/RQgpFAojIyO33PYd2zS/9y8/PP+81+TSmXQ63W21B1atmp6e3r51x9/9jw/2FIq7ntip + FPzSnsEZn52eZTG75r3v9RyvXC2HfhDHsdJgCILgqLVrR0dHZ2dnldCf6nRHUbRx89GlUmlyeqrb + 7WzevHn16tW7dj3V7XaPPebYwcHBuem5arWactOmaSYJj6KI4xWeUSCJoYFBfcYEgiQMReynkBZz + joTEFDCWWPmzcIGEpFhIJKjEEkDIJfF3EBCHhBAEjHOuCSAgADBHQCj+LW0D5G8cXi+OBDBWv6wC + uQVBYNoG40JICQJTjKUQKiMhhEghEADFCAARpCTqIUkSpXCrCBGGYXie53levV5fCYlfdulQnXHF + ZFFTO+VlsnJ2pM5QvX0ljHDlUvoCTpP+oCqw36FAetayvnxdEEJC8DAKNJ3axfxDDz348le8XGlc + ZjIZIcTM9KwqT5V1FCEklUqNj4+rMiAMw/7+fts2p2enASPbtiOWKIkBiRHFi7DLhYWF3t5ehJBy + WkAMgAtKSFirDQwOTkxMUEo101D1tGVZMaAkScIwzHgpqmtP7n7qgx/9QMbLuKl0pVo3LJNjoZlG + pVZxXBdjHARhPpMd33948/qj6wsNwYTrpTGBIPB/9LM7dJPMzE9PzU5vDkOqaQihbidwbNPLu2EY + xlGg8MQKg6esgpMkMQxDMd5+NcPGEqIwzGWy9VotnU57tvP000+rN2qaVq1WEcGu66rK3nGcuemZ + lO4gCRSThbl5yfkpJ524cd3Gudnpr37py2G7e8yGTYcPH+7J5nt7ex9//PGbPvVZy9TOO/+8yy67 + 7MyXvuyx7dsUsi7jOu1uV9f1mCVKTVtKKbkAQl528kuv+9CHL3rjmx58+KE4jMyMecVfXvG2K9/2 + 9NNPt7odXdfXjY21O51Wq6UQq5VaVaMGJSgJI85pEHQIYV5a5yK8+l1XFLJrsukFFjbu+cm+Rrm4 + ed0xYUJdz5ydfiqli0d/ufP8V553z7YfX/H2y7hsHnXUOs55zCND00xNbzabURRZlpVwlohFNwkl + TU6IcgWClayApRArjmjpiKTyO/Lbz/I7avutVosx4dqORBB0fUSwqZsJZ6pPs8jDBpAAqiWtMLi/ + miA+5xGWiN0raAyLDxHjQoCsN2th6BeKeaLRf73zznR/ihGm63qCEOdcLdsaxRhTRWrnIDWE1NIf + RD5rcaxBnHRiAx27aR0PgtecvW5koN+0aLNbm5yZXVhYCMMwTKjteinL8H0fRIIQUfwmtORnDxIB + EqmUOzIyslBdiCNpu8XHtu6u1CupTIFzniTRM+0kDJZlHclBAg6S/CFzkJD63RDElId61DU7DAmi + AZISYQagEnH8O63Pcuke+U9/hN9gFOv7/uBRgxMTE5lM5u0fePs5555Xg1oMQQhJ4gS9pVXVZJZL + 6ThOFLI4CSUSEku8KJKLBHDBpdQW911l+Kw0xCUCDMCllJzpQFmcIOAYSckT1/VAym63C4xjIalE + GsaYalxNZpSLNAIiQfliI/z8ZsDLScARhdUy/wqeEaXFEglNN4mpIyYYTwAwIRgBxhqO40Cq+w+Q + QEIA5lhIKY+QwHrhQwBjPG17C/Oz2ZS3sFB7+Zkn6FqcS+vHHnvswcOzCE102i0gVNdNQrQ4iAAA + MAEAwAgDRghhhIOgTR2wbds0zde+9rUY03bL37lrD6ap+x95Aojb5RynCJgCIWRZVp21FjlIQFZy + kPzIRwLlU/lcOt8Z7x573HFxFF/2Z5dls+l8PptN5e+///6Xnvmyl7/85bf96IelQjHphjfceGO1 + Uf/Qhz70pje96d3vfY9p267ntpstKWUul8tkMjrox514Qtj1Vw0NnHbaaUQnvu9XKhXLcnZt2wYh + Mwwjn8mODA0Jwf1uZ93atdVqxTaskZ6SOTueq7dPGOrvA1POVUGggWx2DkkS87knnhwcGSmHc1NT + sznHsSllAvp7+4rFom3bw4NDa0ZXc85931+7es3Q0NChAwd6e3sNTSsUCsces2V2dnZ+dm7DhvVt + vyMSrpAaoR9omtZsNg1ddy07iWIASQkRCVuYnzd1K5fOJREzdX12etp1HAxQLdcQQp7jdZod23QQ + QhhRQIRS3XKcWqum6VQwxny/aLukE9kR15xMc8/eF/UPj1DNqjTSUuA48ixvQoKZyuU1M/F96prF + Yt6zHQzQDjoZ1wtZ8rGPfSybz537mnNLheKJJ5xQLpfPefWrJYj5+XkMyDTNvkyvazuA0YXnX/DO + d76TINyf78um0mojaLe7nue5rlurNmzTNgwrDOMoiAGBoZkxSkwdygsLGSfDgVfL1aATEEIajVY+ + X8SY7tm7/7hjjwn8qForK6/YE0844ZFHH93+2NYTTj7Jdp2dO3fu3bt3/fr1a9euHR8fP3jw4MDA + gJPy2o2mbbtU1xRzRghOKcUEuBRIwxyLOAn9KHJSnmVSCiyne1G1SRDREQEESgKGCqFJSZSfD3Ch + 4LCwOHNGCFNMu2HAEpF2U5qmRUHMpYhA8F/ZMRB6pl5Y8V8rXvArTFdCSBzHQLBt2xghhZ8ihNia + lSQJYwwJhCTSAQEQhCTjQgqBMcaUIIQIQkhKJLltmEIIAsgxrXQ63Wi3KpWKGjEtVjhLWSgBBEuc + heXZkbpLAUBld8vaDLAM7VuCRYtnL4zqeAQ39fnGH5pCAzzvAglJDM+yOlveLaWQCAQhSNMIi2Kq + kzjmTEb9vSWMMSFaEfILC5W5erlQKFimVa83URSki/lap9XTU9B8f3J+2vUcbGhCCD8KMSGLss4I + x4IBAIuTQi4fh5HiosjFvExQ3SAS/CgkugYIsShGCIGUnWYrk8kEQZBKpyVAo90qDfTv2r8HAUGI + IiBKokRiLmWCsFB0bSQBJD08sx+EAYC5ZJpGQMo4jvP5fNDpcCEQxhiw5NKxHOCcRQJLahopzrma + rZqGoS6LrmlSCIKxVJvoEddTSg1jHkUGpSCl3+24jg0AcRJJKU3LYIwJzqTgrmUFnY7nuIamY0BR + 0M24DrCkXa85poE4Y2FQyucWZqb7e0q+789NzXqGYxENcd5XLM5NTgnOLF0nFI+MDDdazVTaSxgr + 5bOapvndbrvddmz7T978lh/fc4dg7F1XXZX6h9SDDz542hmnI4DP3/R5DLhcL7/jyit835cAcRzn + e4oCpBVGjuM06pVcIa/hhBBS6inGUSfterMzVZuil51xWrO8/ge3btdw1m+mbbMnrEa96Zxg49X5 + J47bMnbqqf8PE0w3aSrjBYFPCdV13e8EIz29ru0mSYIIJRiDBLFscySXUHMAAARgGfTFEZJcJEt+ + RySKojiKS6VSLpdrturP9jtaqFRqOtUIopJJgcCghkAgGVfeeQIAS3lE2YMWaZEIU4IkSMGVEDEh + VHAmJWCCJRcIo5SXyuUyCYt+FaqnKnwAWFkyrduwOdEiXddBIsaEYRtJFCHAYsldgQsukEAYY4IY + Y+1226/5gIFqcNTI6LHHH7dv1+6HHt76w3+5s+vH9SYctTEVMQnYsG03SaKIJTrRn1nYkFhKfAUg + yRjbvXv37l1bLdc69ZQX73hib7VaZ4KEka8uJudcyMUKQlkuqjoNADBGQkqMkAShfo4/nOBYCpAU + UeCSEAMTbba5sPGVRztDGY1rhCMkAS1eYaRkgZ7330ACJP4vcfz1obZzjPEWekwmk5lwxv/h0W8w + xGIcMj2BQZjpTGkOwqAJITDFUjKJAAFZ6khKAoAwAo4kArT0tyRS8z0QQiCQtmn5UTflpMIo1g0H + SWhFDAA0JxNwkJTGkmNKpBR4cQsXABJLkAAIE1gBLf3tQj570qi2cLws17B4lsu3DAKZRARUFgNY + AnABCImI66DLpWwJA0iE2RJh4fcdjDHG4mq13ml2LI3aZr5em5idmHrg/q0Jo5RmMHJ0w0kkhFGH + Kq4sxghJiSiSirHHNc3gLHrg/q09hVSndV+txrMZ66Uve1Uq2/PY43sWqs12GEKIXNtTph0CCSEE + wgpQiAAIgMASNII1SoOu32Ak6+Ue2/rI/T960EunZJuffe45DOJf3H2vD8HBQ4dOPvH4gwcON9st + ksmms5l9B/a/6PTTdF1HUgrGTV1XK/7UzHQgw4989LogCLL5LBAMGBGNzi3Mr169+iWveLltmkND + Q/t277Esw3VtSjEQKPbky3Pzjz320MkiMgkJ203dMwxLS/yYhW3N1j3TlkkAfkPTtWzOiXmAKEcg + JRI9PT2tVmt0dPSfb/3epz/96ReffkYpW4pkxDn30ulP3HDDtddc02q1hkpDIcTbt22zLENLGb7v + 68SwLLPUV9IIUXknAtCA+GHIYp5JZavVai6dI4R0um3DMGzLlSDjOM6mM+1GEyQiWCNU63QCz0sL + AUnCCU40xBrVecJ5s1wu9fSP9vUtPPKQZ+hR1CLYyZiEdv2spXM/sDSN+n5larIwcnxMJVi6nfYg + YkUrY0nN9Vw75VWa1ZTr2bbtmAbksiyMOGeFXN7WDCwBQFi68bo3vF4n2nnnnPtHF1wogb/qVa/a + v38/oTSTy/ndEEnsOSkpQadGp9XNZ7Jtvy0S0e34nu1gCZxxhFC9XDd1U8Nmq9XJ5vNU15vt6t59 + B1atGjRNEyNayBbmZ+bH1q6bmBp/6qmnhkdHXvKSlzz0wIM7H9+ZyWTWrl47tzBfqVXTmUyj0eDA + KaUdv205Jk8YY4kQXErBNSGAsyAeyBfKC7W0k0pZmTNPPsMCqut6Mw4ilNCUqRGZtNtpw0jaoWEY + QLBmajNzs1LKXCabcBGyJAZIFXK26915xx2NSo0AJxgFcczJInRtpREQEsA5z2azM5NTpmmecsop + 27ZuFUp9btlPVhUVCAFAwpmu681aXfG7stlst9UeWTU4OjzK40TVS7ZldTrtZrO1alV/zJIoimzX + ZYIjAp7nVeo1KSVn0Ol07r333lKp5He6BFA+k3VMi8eJ53oTExOrV6+O47hcLqdcL44TIBhjrMyX + LcuKokjpB6oefavVUiDVRqPhOE4chLlUqlap9vT0TExN5nuKumHMzM9lctkkSRT0TyzO6BHBGAAI + IiJhClGppJ46nQ5CSNM0RWpQrXbbtufn55VwACz55y6C+p5d1x0Rv+927O8EsVvaM1YWfIRgIRil + uNtt8ySxbdtxrWJPgRp03749npfWdEO3DRe8iLMo9AVG3SgkhDCQraDLBXcyHgYkpdR0nUqpSE1K + sh24IIaOlo2HAdRMRtd1LgQXQnCOCNYJlVJKtjhkUEWwEtbDGAspNV2PeAx4SSpJIgBFd6NcJEhi + kCCxABASEGAuEEcEM8QpIVEQTkxNuLZjWVYul1MyIxohEmMpgXOBMZYIP98t77f8gREAAsAAGqWO + ZSAJnW7LsoyhoSFCEMa4223zOOKJaDbrhGimaQrGCaWcM8uyMplM+fBBSkgmkzFNs9dz0pkMIaRW + qzHG+vv7XdftNFtbtmzZ//SenkKxWq2uGR3dtm3b+rF1DNgXv/jFXU89efHFF7///e//27/922w2 + OzIy0up2wjhK5XKUEqrJtGcSxAwNDEMrlUqpVIoQrd7orh1xMLKSmLpeKQwwj21C9G67YtlGX+8A + 1iCKE6LTOAk1U5NYjTYoALCEN2r1RXOUJR4kQmRFUYGOuNoIIUBc0yilhDHWbLZ/o99RFwCIym4Q + YLmYAEkpkVQN4Wdu8pW3uronF5Wv1bsAhzyCJecu13WVTGcYR1LyI2TTAAAkYKqSq2dbKBAmJRBC + EJJcucsTrHhigBQLY9HBgGo4k8mkSRoIMnQZJsk/f+8HQbPZqAjTgDAEywSNYIm1gOEwDDG1LMuS + EonoGRU+KSVakqXBGAsBKdednKn//Oc/T7jW29sjkCkQNgwjCQMAWKxMuZrjr7BCWgIqI0T+b2R8 + v3UIdcJysYBOYo417BbTw661evMaLDEFSoBoiGKMMWAAYPx3+AL/oQGHL0wsGzMIIXRdV+rwsYyr + YQAEUQKIIiBYKtIWBv5cKM2VmLoVIY98wdJrFIIM4LmXUaXQ8Byf8vziOd73q2vCc/7FFf/zSDXv + /3tPCQbLcqIoGh4eJEBckz780KO6HmHpU0p03cRIw4gEQRRxRnUNIyylFJJLRZGSGCOEsUSAwjBc + NdCfTVtzs41OBzrt4N577xVI13Xa29vbo+sN1mQkVsbolFJCuIRFDtLiuWBsUTNOIiwwIUgICKLI + D4JO0EEROvnUky/9y8t27NgxNDTyuc9/nmLyJ3/6ZpHAN772NULIS172UsVSFkJEYaiaX+l02nEc + hDBg5Hju5Mx0OpuCpaZ4vV73fb/T6WCMK7Vqo1YfHhrIF3M7tm+dL89RjQyMDouJQ9JzQ402kXB0 + zDhiJmEWjSgYngO5jC5F0mg02vWAhRxBq9s+ND7OOT94+HB/f/9Fb3mzbVobNm18//uu/clPfvLo + o48eOnRo66OPDg4Obly/4YLXvZaxuLZQV3Y06XS2Ua09+fRuHZE4SWzbrtfrbb/t2ilMSBCGGzZs + mJue0zTNtG3O+dTUVG9/qVgs1ut1RAml1PcDlEROyoviuO13x0wz0rQ2b/Wt6jVce3B4pNNs79y3 + 7/wXvzjUkU9RAyWWoemcEoTApMK2JKGFoVU8jkKbztUqk9PTZ5x0xsL8fBwm3U5r36OP9KwqSSm3 + bt06Pz9LCek0Ohom+Ux2z1O7TzrppNt+dFscx9+++ZsiYbqux3EcJ/GqVau++c1vxnG8ffv2fD4/ + MzMnhKAIa9SglEYs4VxKglzXTbne+Pi4EGDqWqlUMk2z3W4X8j0cZLlcBRBRwufm5jKZTD6brdfr + 9Xp9TaHQ19s7W144dOBgOpvZMLauUqmo5FDNNwCAUCqXlnl1m0kkQUghGOeJEJxIMT89pVEr7aWO + WXv09R+7Tpfw7W/dcnDqcARxnERhs5nWKLTavbrLulEr6mopb8h2NMOgVG/4Xddxado7WJ4//kWn + zszN/vhHd9iAE850yyRoiaK8okDSqSalLM/Nj4yMjAwPn3POOTu374g5M3RdLqraHEkl0gxdKXvp + ut5oNIb6Vr3nXe8xNVNyEQWB7/uubSlSRrVaRQjpph4mcaPVdNPu8OhIGIYScKVSHRsbu+qqq8Iw + bHe7SRyrD9+8efPZZ5+dSqXm5+fXHTX2hS98Yffu3TxhtuH4UaiMNzudjnqmNE0Lw7DRaLzlLW/x + ff/ee+/lnI+MjLzu/AstQnOZTLVe7+ktfeeW7976g++vWbOmEwWapskV30UIwYUAKbngBtV6enq6 + 3W5vb+8JJ5xwzDHHbNu27e67706lUgDw2c9+dn5+fmpqKgiCn/zkJ+12C57Fan6GB/XvEi+kSEOo + RAUQSqfTav1qtVqtljxw8PDY2Lq854EfCiH8MLRsh+oaY8zQTZ0bcZIIIVJpr1GrU4RVc0VJPgCA + EELTtGRJlx0tev8hIaWUUkk/SymX+9xq8sgYU2WxqpQU2i0MQwAAwZfejaSUWBIADYOa+QgpF2nr + gCRCwJNYCGTYespNA4BtWmHXT5IkiqI4jgWloJJLdWIICfm7ZFi/GvK5/hUAvu8ripHidDVbjVa7 + qetaJpdBEnTdCDoBoTjhMQPGJKeU7j94IGZJJpcVUs4tLIxPTkiM6o2G7blBEKRSKZGwKAwdx9ly + 3LEvPvGMN7zhDZ7hbdy48fNf/OLExMTPf/7zb33rW4BRo9H4wIc+yIU4fPgwxtj23PmFsptKSyzj + ILAMgmQcdVuUQLXSHJ+alpK7ntHxYWZuX6N9OOPZMYNM1orCdiqXREl9em43E7B799Z0YUMqrfm+ + 39PTIwCiKMaYOI6jLGKVC4q6LAghxV1QGixoxZKkuCWw6HcUc84Nw8xksul0+jf6HR1JLvq3Hki1 + 2cuV7Q0AgTHinFOKHcfJZDKGYXQ6nXq9znki4ZmaZCXr8Vc/OqahSIdJkqiMj1KKEQjBhBB4RSWl + hmNAMdIJ0jGgJGGMcUYwmAb0FsFxzY2b1lLLHZ9pzlX8VicEyQ1DE4xheIa2vjIwxps2bRroy7Tv + +UmSJIblSqAcKKKamrwTQoSSOBcAQiyWRrB0/f9QkXVqhkCIhhBKkiiOY6IT13WTMAIBUkgmOOeJ + TJRQA5cYPR+Wyn+XRovhpB0pJRZE+YEEKJRCcsksz5ZISOAcpBBcKlGopbvl961x/d8BCAghHHGN + Yks3kIyZCBwdp11v8+ZNfoAOHSqHnCWhVNKsRLEuF+2PluZ4kkvEiMZGRvv6etPtdtmyGrMzUKk0 + BoaHIEEsBIWsi41FzO1S3/fI0wl5FEXhcLofhag8Uz7zzDPfdu7b5+fmPvTeD6VoampqatWqVXEc + 3/6jH77o9NMsy3rzG//kyndcqYM+VZ36+09+sqe3d8uWLYZhKDGnVqslpay1GhKhMI7HxsbK1QUh + BCFaHLNqtc4Yk1wkYVKvNynR2h1/34FD23c8ETPROzRgbT56/+EDfja3ABoQ5ElDYMwICXS9TEho + uyBwud0pNzp2Okuo1Y06Ld8P44hSqhn6+OTE+o0bBoeHBoYGdz6565cP3D+6ZjXnnAlRazTWbVg/ + Pj4+OTlpWKYiSinevK7r2VQ65my+Uh4cGiK6xkECwbVmI99TDFmEdcKTBGvUzXpIpzFLnnp6N6U0 + Ecx27Zgl3W6X6sR17TCOOnFc6XSx60WEPjk51ZvKaP29MLiqmfb8rDuDidR13aRzcYJMknhelE1F + UgQs4YJve3zHsSef2o66+VJx76F9xb5S76r+3oFeRPDw8LDr2k88/ril21/48pfOu+D8/YcOvvXS + S+67776p2Zn+/v6bvvB5Qgil9LLL/+IrX/+aQND2u+s3bpiZmw7jgGi43m412w2iYS4ZYNkJOogA + 5wkHrut6Asn49Hg38B3PDYKASeG6LudJEAR+p6PydeXPUy6XCUU9PT3lcrlWq/UXS0IIgUDNq1XT + fBkG9kyBJKWi9KR0o1otpzLpYqHQ7IQzlblSX68PImTi0PThu+6+89vf/oef/uyuufEDF5173hUX + /+kqJ4UZmy/PcSnS2fz6ozcNja3rKRR6x8Yagn/rlu8MjIwwkPWgaxdLzWrZYCZf5lIuTYQQQo1G + I5fL5XK5ffv23X7bbTfeeKMiiXDO5RI+baVkdhRFiunQbreLhcLMzIxigN/2/R8c2Hvgda+9cHJy + 8pf3/UKlf17KiePYsm0uuWYaPb2lk045xTCMSq363e/ecvfdd9dqtW63q+yJwzDEgDZt2HjBa86/ + 9dZbLcP80pe+tGvXrp5CoVKrYYw922m1WohqWIKlG1jCzORUNpsd6Ov/m/e9/+Zv3vyD7/3z8ccf + Pzs7e/vtt1/8pot27979F2972/TszC9+8Yv169cvLCyMjq09cOAArDBZUr8LAtAp7bTaBOFOq/33 + /3BzqVS65557rnjHXx1/7HE33njjBRdcUCr23Pfze/v7+/tKvdVyJV/MKzmu5QRJJVq/TgX69x0v + pEiDaZpKxN3QNGU4Mzw86jhWFEWpbBYBYUISrFEqNU0TYlEZg0tB0GK+GCVxrtCzKINDKaVUcY2U + Dw9agmYqHUMFzVTSqRqhFBPl4U1gkbCkxoW2ba80EkZCLt6ZWGIpmTKzQFjw5SGNBMQk5giYBKBE + kwiJhEVR5Lpuu9FUT2Mul1N+cKqQU1t+zBJCfp8/JJLptOd5jm5Qw9C73U4Q+Y5nHzp0oBN0koSX + CsWQRzqRUZxwkPV2w7aMDZuPRpRMTE76SRREYRBHxZ6ebhS6KU9Jl3iel81m5+fnP/axjz18/4ND + AwOXXHLJvgMHpJTbH9/xutf/sW4a5XL5+JNOnJqenpyc7OnpqVarQRw5jiM4cA5IkijkGsG2lUli + H2MNJMpkMr199KorP/ezu/eNDp/ebs25WacTP0002Qynx45KHZqWDz/26F13/dMpL/7bhJPvff/W + 0TUjGzcfrZlGFMeNdksNT7gUy9WIugzLGfnK2gYt2U2u8Dvq/zf9jtiSMeVy+Q2LhLrnzn25FGhJ + tUYxQWHJ0VgIYdt2Pp/XNK1Wq9VqtSiKXNcG9Ayybpm085wPvKBavVsVQiBEl14Pgi+CVZQ6xTL9 + NPD9sBojHUsRWbo88egNrkaOXj+Wduwo7k7OTMRMdLu+enw4wiJhgnOCfu0jr5a2VatWFfJ9tUb4 + 5FPj1UbVSaUXB98KjC0BMBBNW9naWQm5/oMKLBfFl9VDSnWaREkQB3EcGpqJEUYYSSkRVZhdjBGS + FMTz+DZHOOT81z02o5aUHC0CK7CUHGGCkESLmhhq2CpBghQCIfQHXFH/5woBQRCkLGd6aiLten67 + 9sqzTsPY92yUSmX8QIkvJ7puZfQMAChyPGCV32AQSkpDMs4yXqrb9StluX7dxle9cvXURLnVDpud + 6L4Ht0rshQA4RZAmAUDRbpd9kFZ2hYhGKKWT5amCXuzt7/vXH9957a3vj6tRiqTPfsO5lVq1WCwO + rBr6yle+Mrxm9fbt27/y5a+HflAoFNTuf8011wwMDDSbTV3XVVbwkY985Nxzz7Usq1qtBkFgu7aU + kmCNI+53Q6phzmWz05aAddPIFXqoru16es/7/ub9KTc9mHbl+KHJudmM1FIc7ERIxhMgoUHnkZz5 + 2c+Sx3c8unffRK2a7+9vJIFtuG4mRXUtiCPN0Arp0he+/KVStjRfn7/xxhvL1UoYhv29ff/6wzvb + fmv79u0f+vD/jOMYU2JZlmVZjUYLa1TVdXfu2PnAL35Za9S/+Y/fDsO41mpQUy/XqwmIaqvW7QYn + n3zipz77mWOPPea66z9WqZZN23Qcx7DMqBtjjFRPViIkCCKG2fTDdpxc8b5rxwaHSq774IP3H9y3 + J1lYyCNZchydCRYGBGGCRDUIZr7/vfmk+5PHHiKuq5siCdPwAAAgAElEQVQmNswuJKlihgH/p+/+ + UzqfMwzruus/Vpmfe93rXl+t1x965OFSqfSD22/73v9766c+8+m+vr7xyYn+3r758kJvT6nSqOZy + uSiJTdOcmZ/7i7e/7RWveEUs+Ze/8ZXJyclmt2MbZsIiLjgGzKUACl/79tfm5uYOHz4sKYqiyLbt + VrejODC6rtcqlXK5XK1WHcdZnJ5hedSG9UKI+fJCp9OJ49iwLSW6q3qFCrjxDCFWLir0mrrmGcbq + LVvK9QaxrARDY6GKTdoFP63Z1fbCHf/6g6jbXtvXc/ZxR+9/ZCuZL0s+n9b0oq7rltOu1Od+fj+Z + q5x6ztkvPenUEMubB4cMQCnPI5ouDarZNiYYL7U7VxZI6XS61WplvJTjOBa17rrrrmOPPTYRfNeu + XaCwGCvyGQCwbdswDMxlq9VaVuvFGE9OTn7v1lvn5+evvfaaP//zP1+zZs1Xv/rV6elpxmPOOQFi + Geb87JxO6SvPOitKkh/e/kNd17vtTq6Q73Q6QohMJlNrNKrV6vbt2z/96U/ruq6yvk6no1Pa21Pq + Bv709LTScqhWq91uV9f1008//bN//9kY4iAIBgcHH3nkEdM0DU2/+uqrzzzzzHcS65M33oAQcl13 + Ynpqx44dpd5e+exQ14ExVigU2u32H//xH4+MjJx55pmGYXzrW99SD68Q4uGHH/7lL3/Zbrc1TVNT + lpWt53/3IdLvUiDhZ0MglomqhGjAAThQojcbbSlQtVpNpYbHxtZ1/LBarYZhKDhomoYAMCBV/4CQ + umUoeW7P81TZo1JAdZerq6MTqp4EzrhAjBBCEZZSUoQBQMMEhBQJwxKIoWFCjCWfWYRQs9kEAMdx + KKUkUZs3BwxIguJVYESkIAAYJFGW7gITDAmASGLEGEc6kUwgAUE3sB2r024znnDBmFKOV7LACOHf + r6UFAIDvd/2g22g1JBL5nvxTT+3SNPLAL+8nGk44E0SGPCZIlxS6UXD3vT/LpL1de3Z/8MP/s9Fu + ASWIYEJps9PO5LJBGOqmIRHELGk2m4yz799+m6ObT/x/7L15lF1XeSe6xzOfc+e6Nagkl63RloUt + YwzGhjA2CRAgITRkIMNrAqYXyUqH8Jpe76VZSSedTjoJySJk5i1eOun1XiABko7DEMDByOFhZGzZ + llQaSlKphjvfe+Zz9vT+2LeuysZ2yMCQbn9L6yytUqnq3H32+fY3/L7f79FHAULr6+tKqcFg0G63 + f/EXf5Ex9pzjt/78f/pPOudkjJWCY4yFhKzkSCAEISIIQYCgyDJhmu7P/MxPv/Oe97YXnNvuWKlV + vJNfuYRtBwgzL1NiRF8+9beF3Fy+oXZTf/+5tTN5OgrjyPFc2/LSktueL4SSCkopZ2xXs4I9fLIo + 2269I5TnueO49Xrdtu2vR+9ITv3aE65PpwC9++XXKbdOkjnnGkorhIiiaDKZKKVc1+WcIYQgRFLq + E01nX0o8ldBtKUvT1zpIkEmmhIAIIgi1u5yJ+V5TfFNC5oxQsbzYPnbzkSsXLt97732X1jaKEgAM + FvfV4iQDxDKdCkSEMaYggPKphVCVUidPnjxtCGKSudaSJpxUSmVZpjtyfAY+Q2B6P99q5/V1GoKK + sYIxYBBimFQpBRXMWI4U0IK8EGIMIERQIZXxXH0tJPKZ7dtBqPVbfTVsUxctIAJSCKkEUFLrNOyI + NgKEdV407fxfW79da/ntmWn/CzYF9HkKAU0TzgpEkM8YH/bD1bNfGgxThLw0UU7guJ49mowBRxBC + iAGEHGIEIYJAT4J70SQ++/im79Fhv1+WJyBAR2++9YZDRx49u9YbFlGS2JbjEltLn88UeXeX9rUc + s2XZhNi4JGmYUkqbc61ExJvnt5Zv2VNmrCiK/mhIDHrhwoV9+/aN+mNK6frGVSnl3Nzcf/mVX+50 + Oo1GYzKZJEnSbrd934cYpXmGCN573b5+twcBAgASbDDGESSQgLIsbM/rDfpetfLyV31ntd4CUhRZ + QQXf+13fWY4GPjJwVhhcYAkUNkoEhpzb7flunq/ceXdYljccOmBSdyvubXY74zhaXFycTCbbnc53 + vfrVOqU0TdMN/HqruXFl/TnPu6VRq3c6HcMwFhcX87IoOY/jeDweVyqVVqt17KajGwc3lJCB6xGM + y4L/zHv/vWVZSZ5ZlORF1qg3FZBSKC7Yv3v3v6vW60vLy6UoJpORX60UrEyL1DRNhAEQwDTtLCve + 9ObvH40mC825uuOEm5u33nac5JkPYcNzgOBRGEIIie0VyESOKyyy//l3xIp91+teWwABAORIKaje + 8H1vTLI0qFVG/UF7bk5KefTYzQ+feuT+++//td98f5akEqjx5rjVnitYOTffjuPke7/vjUWWM8Fb + 7bm33/Pjru1VPL/ebFQq/oFD+++86wVFUSwstNM0RQgJzgnBGONKvXrjzTe1FuapZ+vTs1qtTiYj + CKHrumVZdrtdHVtzKUfjQZ7neZ4LIUzTnFGr6YbM7CC+hgdR0/TDMa25WoNnWX9769jzbndaNU5R + MF8TAEQyau9pf+7znzmwtEgZG166YkRJI85+/DteUmMij5KsKIE/zxx7gqh5eRtsdIhr3n7DIVdB + C1OEwGa3ZxqEYgKkmsarWitdi9USfeKDe+6556Of+GgYhj/xEz/xe3/4BxhjtRNmPAlilySJiYgu + R+qPOZlMDt5wcO3CxVqtBhV6+UtevrS09H+89z8URVGtVnOQc86hgiJnzUr98MrBlOVahBdj7NpO + lqRCCQghkLJRq9mm+ZIXv/jUqVNJkrQaDcnFZz/7WcfwvvTQl37913/91KlTna1tz/O09pQS8o0/ + 8L0veMELLMvKkrRRqxdFwYpibr79wQ9+sATlkSNHHnnkkTNnzlx/w/VbnW3d/tpx9roappRUkite + lpLzV7785b/+q7/a2dpqt9s5patnzqysrDz3+PHDhw8/9/jx4XD4wAMP3PvJT06iyeyBzvwGfPoZ + pG+0/YMTJKjQUwYQWvc3jmNKqVZQNgxj7eLlyWg8Pz+XJGkSJoJJjDFBBEhVFiUAU1lxoBRnbNhP + XNdN01QI4bqu3v2WZemln0VjUkrdDgIAIIQABooLoQBEaHfaqtMnhJCOJjXecdgf2obNRakUB0RC + gHW4CyCHEAOFdi0Ih5ABIE3DwRg6pmViUuZFEASu5ziOE4Zhnudop4HAldTiXEB8Y1E3GGNCkG2b + jBWv/e5XKwQ3trcM13z7Pe8Ik9iyrM52z/crCOHtTodBsXrh3Kte8+pqtZqWRaPdcnzPr1b6w8Eo + nEAIm82m0LUWx27WG5cuXGwE1TiMHnjggThNwjgqWPn9P/gDd911FyT4sTOn77333kajsb6+bhgG + tcxBv19vzhuYUINAACQr47yo+VUp0Xe8+KWjcfTVR75Ucthsm46dvuSVB9MU5Dmv11cwzqRcDvy7 + H37sC6N4+zOf3TRM9No3vP76A/ujPD57/pwfBIZp6k0lgZJTboZnekl0B+kfqneEn0bv6Bl+y+xf + tWeftfU1M95wOByPxwgh27allEIA3fPZXRdBCJk7NB67jVAYgkQIrf6FhNC4TSSEQFDnSlP+RgCA + 4zg+8DDFQOVxHH/kIx9hSTnoAQMDpYDrgj2Lc3HOBuOk5BJAzriAEFMIwVNBX4QQlUqF5aNOJ7Gt + tY2tkVLGyspKmKSmabKsALruhaYh11NmR98qL/a0BiUEEBPCpOCcCwghgbqgQUw01QBVUkrFp89d + EgsLBL/V6ca/vGvBCv13KZUSUiiJAIQSWtTSw10Qaq4lKHcNpTxr3wTTBY7FxcUkirxm8Miphwgu + kmi4sFB1XVspwwM0L8t8XCCMDMtSSkkglBJSAqgkAhAAiTCACrbnG/Wq2+91+wMAlFxbW3v0zCp1 + gna7WgFgzCeMsRninWkdJPmEGSQBeM6ZUWLCCM9BkmdpkUdJXK1WmRBrly8fP34cYuJXKsP1K2WP + VfwagQhj7Lpuv9+fTCYLCwuTycQ0TYxxmqa9Xk+j6Ofm5i5fvuxYNkKYc04pZYwzKQkhXClsUNfz + v/jAA+fOnVNCuq5rGWY4HpkYESgtiETJCOMEYUpNqRBxnJSJkHGvVu+MB+T+L/yB8UcKytOPPwIo + jtIkytO5hfk0zwKvOhwOeZ5BqXzfX9q7vHb+wsLCAuecmkYURQBBxrnv+wcOHCiK4t6//usvfelL + gesbhGqea0pNahhRFAGslBCcc8/ziqLwfb9erw+HQ2rg3/jA+6M4dgN/NBkjOp2qxwBCBWTJPvaR + P8PECuqNcDRJJ5OVpQVUpA4EWPJ6zQcAdPs9LgGhJgeoKNhkMrEc++Cxo//m7T9OXPfUqVOm725u + bi4uLrnV4Orm1UajjhASQj788MNz8/OWZWFKEcFSSsuxvSC4vLZGMsOxrM3tbds0iWG84533YIwH + 3SHn/IYbbtjY2JBSLu9d2tra0pNjCMF+t7e8vOz7vgLi0qVLQbXSGQzzPK/Vahp/WJalYCwIAsuy + yrLUk41KKV0355w7jgN2+pz6VNVU77ODWymF0ZQDA2OMIPzy332520lby3syig3LJAYWgHvIBkD6 + vnvjkSNnownr9DyKVSZBt0/Sog4IIQYixiQXWZJbaQ6YICW/+eChzTJrBpVjR28Oi9QwDANAqHaQ + 8+haTC8YNwwjjeI3vvGNn/7Upw4fPtxoNO66666trS0AodxJkHajMIQQBsQYY4IxxlgWjBBSq1bH + 4/DIkSN5nusiqe95jXq90+0ahpEnKcY48P09i0sQgGGvP+z1LcOklG5tbWGMbdPSY0X1ev3259yu + RTvuueeeoijC8aRqVH7o7W899dhj3W5X1xqklFmWTSaTkydPNhoNx3EQQuPx2LIs13XzPF9cWHjt + a1+7sLT4f//hH93/wIlSitOnT99089Er6+twB1MDd/B1UAFC0fb29sGDB/M8L4picXGRENLv95vN + 5vr6eq1W6/V6H/zgB2+66aaf/MmfDMPwM5/7G03SMEu3vtnu8on2T4LY7YaiWNQwCdXx4mQ8rlWr + r3nNa8bj8VyzUalUOp1ekiQIEtf1lIJFWUIIFQQ6iGSSSSmLMt+/f//HP/7xzc1NOBPngTOlOSiE + QAh5nmdQWhRFlmWCcwQhUMo2TNOxkzTVZQYppYRyRwALWxBSSsPJhHN+5OajRVFwnkMCECQCQK24 + PB0U2UX1i4AAQPKSIQTyPA8aQX/Q9SvBqVOPsDuLIPA8z1EQCP0ulkJKoCBA/0y0rQoAAKfKx1IX + XiFQAHDFmBSMsd/4jd9QSnHJhOJc8ouXL8ZpQomZ52VcZJbplIp/+atfkSVL89z3fdO1Hz7z2Nql + S5MopIZRqVaZFDkrwyR2TAtjHMaRG/gFK9M8+9OPfmQ8HrfbbcMyT58+3el0AEaj0SjNspWVlbW1 + NcMwKn6AEMqyBCEkERCMSyY544KDrzz4Vdd1MIGbW5f37z+apHBj40KtvuhUTVqKQnUaFXdr8zyH + gGLemve7nQ3br0Fi/bc//uMkL7rd7ubm5vXXX7+0tKSUABBATUm9s+3gToj/NHpHDT0CNNU7qjVN + ww7D8Kn1jnac1BMmap5+EglCCICEECA0hRQTQnTviBCUZUmep0oJhJAQrCzLLMssy9qhH5Vw5+XX + ZJpPspJnKECcc6UoxlgJpH2iEALhKR/UE9ISiBXGJUMEW4YJMGNVV+1ZAEGF3HDo+np7ce3ysGSi + M+AMAIkxAEB3XJ/iQ0F4+PBh34FfevBLEELDMEoG9F92ZpCQUlJPwkkhZp30J/+cb7MUCQGANTcl + AhhDpUTJOAC6j42BZk5DuqEBJYRMcankDofhs9ev9wqRghhhAAFQEEOMCAYQAKS4AhDslheEAEMw + 3Sff7s3H/wlMAYINqTgEwjAVNRkEiWkJ0wIvfNFzRuPy/LkOG8M8ZmXJvMDHUCmlgERSIqiQLsgr + KBRMIYn2riwvLtQmUcW0J70OyMvx/PzKOGF5XkLTpJQiCmZiDE9pJWcGoVRRAxqG60zbTRgVnEkp + 77777i984Qs3Hr1ZMz3Mz89vrG95nscYG4xHjuOs7L+BUnrp1Knl5WXH9zTR88LCgo7nGo1GGmcI + EZYVluVgLFgpCDYUQGlWOF6w2e2dv7hWr9bKLKeYZEWuCFAICsERAprC2MKmEgoIkGUFQKRaryGC + wzTKeMklK/Os1qiVnNmOs93tIIwxxrbrWJaVxokWiLc9tz8aNuda4/EYUWRZtgSg3+9TGgEAulvb + ge+bxABSZVmGMTZMu+Asz3PbtTzXSdPYwMSyrGPHjv3N5z4TBMHlK2u1Wi1O0yRJLMcGGHHO9ciH + jXGYF2cf+mqUFot7V5QEne3tUacz7m6bFAmeLy4uWo69vrXJISSWnaSZa1qk4LZlffILf2vXK3Yz + KCAvysKvB1mZJ0lSrdQwoWmSBUFgWBY1jfXLV7zAdyxbCK5DBdt14zRpNBqW57Ki3O52NKWW7/uD + fp9ukCRJOOfFxSwMw06vgxAiBEkhOv1tSEAUTbr9zmZnu1JvmKaZJIkencAYAyk1SkUppRWBdArk + OE6UxHpjIIWm+c/OuBHYBe7QO1AIwbnEiN5x5wu3h32IqZIcIhSHkQYPxWE4Ho6iMBRCDIfDKkTN + ukcgsSi0JUniFHLg1KsWh0xwEE9KWrFtOxv2N8NRf3u7UEIhWKYZAtOi544cmo4eIeccSjVXn/uB + N//AW978FqjU61/3+g9+8IOzGaTdCZJSihBSJlmSJARjwzBa1TpCaHt7u9FoTIaT8WBc8QMlZRJn + Ex5VggBhzDnnTIZhP00SKYUGJYZhKLkIPJ9LAQDQLGXdbvdzX/zce9/7Xtu2h/1BpVI5cOBAP+6P + x2MM4fXXXVcUhWaxsm27GgRJFMVhmKfp3Nzc/uuvH41G+k7OnTt33d59Z8+ePfGVE9VqlRCyd+9e + XTHfDSlRSgGpgFQIoUatHk3Cg/sPPP7oY5ILoUASxZ7j7t2z/MM/9NZKpZLn+fnVc6965b/inJdl + +WSKhp2+yDfaUz6l/cMSJKTg0wH01Y74lE5g9u3b9+IXv/jc2VUgZCXwPNPlXGZZjjEGAgCEhBAF + K33fF0AlSeR4rmWZL3zhCx956KsbGxtxFNm2Tcj09jTeVJeFWq1WJQgmk0mn0ynSjEBkULNer1cq + lf5o2Ov3p80cCKGm/wKg4EUURWVZXrd37zvf+c4sycsyBxBDZCthCIUgxADyXR8TAKAAKiAoEQSW + gQaDwcte+TLHcaIkfM1rXg0AwAByzpngUkpECCEEYqSU+kaPbRNCOC8rFf8Tf/Ex3/fLsmw2G+3F + hfVLl8MkxogAhIuCcSEsywnDsN2aI4R0+71S8Pb8fG84MG3LMM3uoO95nm5tVzw/iqLt7e2D+w9s + X15fXFzc2Ni47vqV9fX1IAi0KjMkuFqtNlstnR1BCLe3t33fR1AiDKUolJCBH0DolXl27733AgAA + AqZp/dWn7/W9erXavnD+SrM9jwmZhAPTwIRKyTMEBZACKGFRW2cQRVlqGemClb3hQPsdzYwppk2Y + Z+pUKK13lCRP0DuK4zAMOeeu6wIAdLvfNK1Z/2e3PSNm7FopdNYFNk1Tr9L29raWY9OnvkZ4zs/P + 27atEbc6w9fNTw2bfpKVNItI3zTNHCqlFOccYqT3su5X61NB7+o0TYsBUwQAxe2Wf+fzbwdpfOOB + 6xpVO0mHZy+c2Vi/culSP00ohhYmBjQQYwyCp+5WE0IGg8HC3HUrKyuUOF5Qrp7bPH/+vFepzmaQ + pJxWDzAhs0660piGb1egna4+lmWpyUwBAEwoCKGakpIDCZTGa84SY6hZDDU39LPXr/OqAFaaB1gp + AKGEEgApueQKAzibuJt1UL/lpcH/VQwCKSUxjPUra41aJQknr/pXL6SkqFdJtRp0uptZlo1GGbVq + zWolzbO0yCGEAGKECIQQIgiB0ioXlgGTJFlfj/fu3fuG1z9v7cL2xubAryz8yZ9+vBQWdl0UYCUE + hFCPEwioZ5CuoWX0DBICWEpZMEakBAgahoE8NBwOpZSPPv7Y/oMHKKV5WTQajcvrV/bML29tbe3Z + sycMQwhhp9ORUh46dGg0Gmn+VULIgw8+2Gq1LMsaDUcGMXX9S3tayRjEU5c7iULBuOf7CoIwieuV + KrYMaRiF5FkpDdsAAHDOC4hUyV3Dsi0HSdXr9WqNallkrm+PJ9nC0kJRFHlZEkLmFxbyPA+jaDos + AAGmlEhFMC7LkiJsuY5QvCxLrfAuJfA8L09SwzDKrNADS+NwEiaxYZm1VnM8GZajgho44+VCc+m+ + +7/QbrchgYiQcRg6jpMVuWmaTApKqZCSMcaKDCtZa9YrFXX1yuV6c65RrXa73Ua9CqWIUgVcG3h+ + MTAzLihGzDG7UbLk1bqDfr3ZtGvBdjhQBBEFyyxr1Vqcc8Mw4jimCmcFoyZN07TVniOETCaTWq2m + WwFcilar1e339Ou8uLjIOQ/DECBYbza3Oh3bthVUnX5vbm6OMRbHIWDg9uO3McbCeJIX+U03H338 + zJnBqO+5AeKMUkopBgAwKcuyTNNUo9YRIWE01q0kTTlAKcUG1cG0jhL1+XgN3obxFGrEGVewYNL2 + Kqbj9nrdzqC/b/E6ArAQ3Kb2jTcejZKEQbh86GDn0dPnhnF6W7A9nniQKrMiEUqR6ptwod0E1y0p + KBkGbtVD8ajf2wIUS6VM6uygD9SMdEGnB4ZhsLy444V3cMYopb//O7/7yc98OooiBYAA10gdZueO + YRiyYJZlVSuVq1evirxkjGV5zjmPs3Tv3r2TKIQYLS8vr66u5nmeZplhGK16faOTY4wpolAB3e3R + DLoAANu2QQYqlQpjrNVqQQiHw6HjOK7rrq6uVryKhvbpLLTZbAIw/QmUUgDA0tJSr9e7ePGiZVl7 + 9uw5duzYA1/84qUrlxUEt912+wd++4P90VB3maZamvoRTKl9p4mNfmof+tCHfuqnfuojH/kIQujg + wYNhGF65cuX2228/derUFA/pOLpnJXZCi91+4xmjsm+gPdPEdlmWUkpNGK2Uch0XABAmEYTQsiy9 + ppRSybiU0nQcIZTn+Hla5KBozy2snj3/Wx/4wM/+7+8tkmzj8vpkMrnvvr+FABNI0iKvVqtlWWKK + 3vHOewylnnPLre9+90/b1NDbhVKq6dhn0aQON3/nt3+7VqsNur07nnPHz/3yz/3+7/5es95401ve + 8j1v/N6ClY+dOf0f3/e+oFbtdrv1ZqNgJUuZBunpTzQej33X+6Vf+OXhcLi0dMA05kw6F8fk4oX1 + guWWZYSTMTXU4nxj795mb3jh0KGlcNT96sNf5pzX6/VXvfSVw6KvFT90gEgplhLmrHBdlzGWp0Wt + 1phMJq7rZlk2azjqhsYsOJgt8j8CklSUJcQIGxQghAi5+cbDSimI0dzCPN/eSuJUSolNw8CYMeH4 + XiF5lpfYoFXLj+PYsqw0y0zL8mxHMk4QglJFYYgx1tQLpmOHcVSpVSeTie/7TAqlFDEN3fTgQgRB + MEM/Ml4QKhECZclt2y3LPE1Tz3YgRkIIDFFZZo5LpUpGk6u1Js7KLayAYUsAFJcSIakU0OvBFSPE + KNKSEJIkieO5+omDaWUIAKB7RzNKTSmEMIjWO4KaVLDdbtcb1clk8kS9o36/36eUIkSE0LvLBABo + +PLTdTz0u63LUfqb9RcRRoxxjXMTQmgUBKW02+0WRTGrYGlPTSltNuf0uy2EQOgays406VP8UgpS + oPMfpnkFEIJSit0zSNqklGVZlkWOCuA6qOaaihdZzj72l5975JEtBAAxwHOeu5IVZhiHpmWYhkrz + FCuoIFAQ6RVVYKZSAoQQq6ur/c6lJE+O33oHQnwwGHAui6IIgkC7PwAAgADgKY3ejEdotmIAfNt1 + kPRdaUBjwRkAWgNTyR1qQQnBVDMHAgAQkuibMEn4P6dxAGa8+QAAABBAkuhq09QUAGgKa37WvimG + AOecIywF3t4a2RRBGQx7G6dOPhxFWbXeHA1LzkhQc9M0VRAQbEEIFZQACAk4AEBJiABGwIXS+upX + Lh3cv+/S2uN/9qenPBfcsH//rQsHGo1Gf1QOBwMoaKXi6z7A7GjT7m53uCOgwIACADRU3jCM7rCr + lBJCeJ6XpqnnBZpv1vf9yWQSBMFoNDIMI0kSy7IMw+h2uxqc02630zSt1WoYY83cYJt2Fie1Wk1T + 9diOE0WRRqyZpikpLYoCSGlYJleSGnSSpEbgxmVaCGk6BlccAgAxFEAZBMbDSb3mE6QkL2QJ51r1 + wWgECbY9N8uySRwppfQ4a5okhBAOIMaYGkY6mcRxvGfPnjiN8jx3fT+OY4zpDDWk62ijyVgDELgU + URTZjlMWacGLO++8c/X0mSuXL15Zv3TX3XcfvvHIww8/TAU3TTtNc8fz9OlTliUxqePbw2Hf8Sq+ + 7xZZ4rueadI8T5WS2EAlY7IsiGkoUQgAEyFMx4yLzA+CUrDhcGhVnSTPDNs2MeG81K7SNAzJJKU0 + y1LLsuI4DoJAo3gMw9CFRY3LSpLEtu2trS3P89rtdq/XlVLUGjVNsO55nlA8K1LbdYqiQBR98i// + CnAOCvWmt/1gkiSYGtNSIIRSci2VA8AUl8451002SqkWVpnWyotCKWVZlg5TdawIIbRtO89zDKfa + GCUTD589RyntDwcLy3uyvLCAyaLCB5aSBeTwHW//tx/+v/5g9epGxTKe/6K7xVLwuXToI2lJDqWU + Chp2s37Tjcn18yfOPIJajff91q+94S1vRjaBSElR2qadlzlCZBbU6X2OEMIY5Xler1TDMAQAYIw9 + z7t69aphGFIpzbykwPSbZ7gSPUF05syZPXv2yIIBAOqN6qc+88lXvOIV1KJMCsO2trtb84tt/UZY + tjGKRq1WqyiKnOWnT5/2fR8h1Bv0l5eX0zTV4J9C/mYAACAASURBVNWiKBSEfqXyjne8YzKZ3Hrr + rW9/+9vbC/MciLIs5+bmNBV+mqZ6uqnVas3Nzd1xxx3Ly8vHjx+nlBZFcf/997//V9//2c995ty5 + c9/3r9+02dm8dOmSpnqv1Wp6rECXjWfuHkIoFciK3HGdk199KE6Tj/75n504ceLuu+9+8MEHf+EX + fuH//I8/2+l09G1ft3flU5/6VFEUsw7S7Id8s3zlU9jTJki6YTrV1i04YyyOY6WUxpHr91MHSRRh + sIMK1TNIAICiKJaXl2+5+ZaD1++HSn3sox/jJZ9vtPMkNU27TZvD4fBd73znoSMHf/O3PnDnC5+/ + d2Hp/f/1VzuD/ng4klJ6ngch1GTNCCHtcw8fPnzzjTe/8Y3f0+12X/iCO9/znvc88IUvRpPJT//U + T731R37k9NkzXIrFhYUzZ88uX7dPux5KDQihAsCyLIwQRQRCRRB83/t+7sD+5/7eb3/i3Nl043KZ + R9dTak7GUeAfGo02N9N80Fn/6ff86Ac+8HMf+9ifCJ6++93vHvZHOS/KrOQlp5gwxgyC0jwnBjUJ + TZKEUtpo1jrbnVqtoV25Zqy3bXv3zMbuo+If8cAcx9HY6yzLbr755slkEqfJ9vb28573PC+JuZBJ + ngnBIdYqhbIoSyllkiQlY8Q0kELaxWCEpJyGhbq5ASGECmCEp1/ZYbLWT1nfM+N8dzGeC44wKFnm + OG6Wxa5VmVLKQKmUVIpDos9KrpSAGNkUlKKYCnMCoBQCAAoAkEJc8CwtgqCiJCQGZYwRQoDkOi94 + ypWilBJMOOeTSfiMekcRuPaaXeufTCs3Tw/zQV+rd4QQQmpWLHFdV3PWTSYTzcpACNH/S5M6atKR + MAzTNNW/USPrtB/82t9Y0kxWkiRJRBXv9hG7Tc9lYYwrlQqmNZPSsphwzh966KH+VpjFemVAycBg + MJAA1et1BZw0KzTYnRAiAVQKSKDD1GkLCALg+77n0a1uePLkSYjdhYUFrgwBIEIoyzIh1dT1caDp + Iqdah3pKavqDJP62EorVCqd/n80IOZACSEGokJ60fPb6T78CKPRs0rP2rTEFMKZKqZWVFQwhz5OT + J096rkIILu+dKxnFWDSbTQVhnue267CCQwj1a7ADQIYQAIxxkoTHjh2Ow8FwKAwDDIegMR7fd999 + juM0cVBpt2OQSMz1PD3xCdYzSLsKwNMxeik55z6liNIyLzjnpmk61HEcJx7FGCKEUMUPmODj8dg2 + XR0Wa28ziyaTJJmbm9vY2NCU0ISQsiwd3wrDEAOYJIntOprqNgiCJEkAALriVuaFQEjrFkRR5DiO + ZKxiuyXgZV5gCIEQSnAuFSLEMikGSrDSd2wFZGfjatBsFkKWWY4x1mRFWphel3Rrlerm5qaByYED + B7qdztbWlus7juMMBgNNvSuEKIpCClHxAn2slGWpIDZMQwKgSZIVQHmet+bb4BQEjGGMdRQ7HoeO + 46RpGoahaZoQQoCR6Zp5mfEiGyajaqXFGIvy0HaoSSkXZVGWAE9PfKUEhBADaFmmZ1KQ5aZpMqay + LE/TBJScMxaYTpEWAqggqBCCAFKu604mEx1DB0HAGNOJaKVS8X0/TdPJZGJZ1t69e/M87/V6AiiC + ph28ZrOpTwqtBwoAyLLsJS9/+X333Scp39jYeO5zn3v+4tp4FNquY9v2aDSAELKy1ECPZ7DdEdQs + u9CmgxN9PHEpkGEogrFpZ1keTmLXdqqWTwExKCGQHD5y08//8q+Mxl2sxMcN++bvfFWcxSUXloRI + AqFkjuDqpC/P5PmVc8I0cS2otBpXtq5alCIMlFQGIULtBBW74PpSSUJIGIaEEIVQkiS/8zu/c/Lk + yTRNIUJghw1b7Uza6Ho6BaharZKFBYxxVsTr6+uO550+c+Zzn/98lmX3/Nt3VqtBqcT6xfP7r79B + SJYkCRcCAPA/7v0ff/3Xf72+uSGEyIp8bm6u5AwgWGallDKM41OnTp04cWIwGgWe9+EPf9h1Xcdx + XvPa1wwGA8bYJz7xCaWU7/uO46yvr9fr9Te96U1333336urqyZMnCSGPP/74mTNn3vaOt0GlXvQd + L/7whz/8Zx//2HanU281meDD4dB13RmI7JrvUYoSWjBGCNne3n7Xu9516623Hj58+POf//yv/dqv + lWX5ile84pd+6ZcWFxfPnTv36te9uj8ceoEndmyWbX4LEQdPmyDBneEfHarato009Raess9hjKFU + ZZYD7fiksgxzOnGBSb/by9Nsfn7+6NFjSKjtzW1elK1Wm5fMNh3BuGWYi3PtW28+1tvahlzKkp18 + 8CuGbemFjqNIKaVRtrrAoJRaW1sjgLzuda87d3b1TW/8vr/9/H2rZ8782I/86OW1S6//7u/+4doP + /+mff/TBh062Wi1dbEAIMcA1u50OSSXjBAvTgjfddCTwqvtXjq+dXau6TVhao2G6PL8Qht254BCE + G9u9/09y621ve4dt2HbVs21b0zXatg3AlKDcsqw0z4ESCEJRFhXPvW5572J7sdcdZHGCAeRFaVFD + c0hMZz92MgPw9+HvldLh2hP+CADyPGeCQ4zaC/OO5166cnkw7Pe2Oy+4807TNCmlKkulkmAnvtfU + EYgSQohlWZoDYzQcBp6PEYIK6OkLIaUCiiAMIZziBjGmhqEbKVwIPdA12696byAEoBKSlXa1MRqN + PKcCocIGZkyatiUEm70tQhZKAkIxhgJAqWe99PkLFFIQIYSEYpiS8XishKSUuo6l3xANhVI7gqSa + U1rpNmMxZbapVquVqv+Mekdo2jWZ7fApR/PfE7vJJ+gdAaWUEBJj7LqO7/uGYaVpOplEjE3rBUII + AJTneb7v62Nb9z9nufGTuoi7DWOcFYVOShFBTDClpNrVuNc2/SEYI0wkoUWGcg4CyxcsbFTAwhw+ + cHCvV3UPHL7p4ceufPmhC1GSKmRLDAA12JREROtd7yrSKHDs2LF6xYizWAocpxxhaBpmwaewwGvf + rsAzs2V8+9l0tTWfu/788KnUrqECOjtCCkkAnr3+E69iusjyiQ9CI0uuLbt6Nn/6xpncaYYjYZok + SyZxMhEKBB54/p13MG49cOLR/iiTSmtvSEwUhABADACSSldEpAIFIoXtsSNHF5SsYDoOJ+nqWTkJ + +64/lzPIhYUNg0BSKPakIOlJpqv7jDFlKISQDmShVHmSirooioJz7mUZ2HG8hBBRMgIR44xApA/T + wPUGg4HvuL7jttvtsizDMHQt27btLEndIEiSJMsSwzAARhhDCBVjDACJoDJMYlLKSyZ5ORn0G/7K + cDwyHAsAzllhGAYQkgAEJU8mIwMgVsrJZFRrVP1qRQJhWjaVKoljyXiRZlJKzphpmpV6Xddzq36g + pFy7eHGqMShlkmVKqX379q2tXSaEVCqVIs+VUgahpmkywZlQughVxLnrmL7jXjx3fmFh4c4777Ys + y7bt8+fP9/v9VqsdxTFCuFoNRqORaVtFWUqkJqLgBi5LLijIizIpU9utbQ86Fd9mipUshSXiLEeS + qzIzIJR5mZZF2O/PtVuuZZsG9rygyNPm4hKLixxneVFAKV3XHY8nRVHYtg0h3Nra2rdvX7fb3b9/ + f5IkW1tbruv6vr+8vNzv98fjsR4lWllZCcNQB2C+5yVxHEXR8vIyxQQhVBQFpfSBL37xXe96V8Wr + nDhxYs/effqU1Fho27aVUhpQ9wyGdvhjdUa0m6QBADClOxZCSiURLhgnhiUBQgDb1Nq+uv3xj38M + 5ux5tz//zpe+dPXyquFbnmsVo6FvWqJkSAEbQK30mvAilDyDCrpOzsVtd7/Ic+zNzS1KkCjyOMnc + alPKnQmZXUGCThHLorBtm5WlYRif/vSnuZK2bSsAlMYEyh1QN4Su65qmmYzD8XicpalhGIuttuu6 + L3rhi7Ik1TwWnHMFhGNahmGkaWrbFmNMSunYNqYoS/LBZOxVq5/9/Ocsxw7DMEmS+cXFOI4BghfW + Lv7Kr/7XoigqftDr9SqVSl6W3W7X9/1aEPxvb3tbs9kMw1A/gm63Syn97d/93fPnz+/Zs2dpaWl1 + ddV13ftPnJifa5386kOmafaGg6WlpSTPOOdRFLmuq+vsSikNrsMaea0UNc3BaOT7/na3+/G/+Iv7 + vvAFPZ9WbzS6/f7v/v7va9hkv99vt9vdfhft1C+049LDNbNxm2+yPVOCpCE0RVEQhRBCeZbneV6p + VxljWZYRQkxCdXvHMIw0Thib4tnKotQhoOSCQooIaDQavCg1+5ZSamtjs9VqtVotpZRmRg4Cv9vt + fscrX37u6uXtwRTYqgCY5Y4QwizLLm+sveF1r3/kqw/fdsttJ+6//+Uvfdl1y3tvvP7wAw884Nr2 + f/6FX/z1D/zmqUcfHUfhkZtuzPNctzsgl6Zp5lmGAXQcq9VqZHlKEPieNxz92EeupIkjiurKnlsn + k9C1apiMmeRQ+W/9oefe9wULIRxN4ufednur3hpG4yAIVvbu81x7YX6u3W5VKj4k2LIsvTMMQpWC + lUolyzL9zluWVRSFroGBXSRp//i+IUYY0dFoopTo94cveclLoig6c/b0ZDLRQshKwikzl4IQwlJw + URa6iRRFEcZYB+5IAQNiqaQSkmJMEZZSopm2D4Rah2p2zwgh3fTQe1fDLw2KueCEGEIICLEQTCrh + ed5gMIAASQk4ZwgBQgglpoISQLW7cQKlFp5CAADHtgihAADTNIssdxxHs9ZMpxyeynbpHS38vXpH + swoEvKZ3BCGESj71PPGs6glnawLAdPKTc8uy6vU6xng4HOrpJr2N9T1blhUEgWmaURT1er0dldUp + 6O6ZH71edoWxVFJKCZXEaFqt0KZnkJRScRzn/ZIr6TgmAOTYsWO33rj/tluOTPqbUmVfPvl3nW73 + wuVRFEIBa45jmRilaYo01S68lqPPPmYcx57tLSwstJqLFy9tP376Spz1IaGtVss0TYxRKSTAAFCA + CdFNXbgzHAW0yBhE33bSqbqJ9LWtJIUAlEghuevr6BrxjHz2+s90/XvsWbDdN9YQUgoyxlbXLzXr + nkHK17/+VYaRQRUDqEajgVSiZFwoiLAeOkIAzBwEADuVqaLITCo/9/nPHL1x/5Ejhw4cODLsZxcu + bDn+3J994lNxluSDAa4QamJCiGmanI9nOkiz+FVKCTDAAJZSCCFMAC1q2IaZIRylsWDMd90oijBE + grEiyy3DpAgjOp35tG1bQ6nr9brv+3qyv9fraa8YBMFkMkEIlaxwXVcCmTOGpIqiyHFtzgyCUZKk + Bsa8ZErwoFYXjDvEyBGhQrmEeBQhCCSCpmnzomSS69zA9/fkRbqxvo6pyUFEbQdDRAjSIwYIQqVU + GIZKyCSMPM+rVasaeOa6bpLFzbm59fX11dXVsuQLc+2yKCxqRJMQ7lDycqFMxwYAmIZhECK5GI1G + tmktL+9jjJ07c3YwHlUqNc45wbgoS5mkGGPLtLlUyKR+sx5FadIP4yxBCAZB4LhWEiKDEqCkTalD + qUcIVZASM84yk9CK72LODUJH4zBiueE5lNJHH3ms5gXLC4smoTkrx+MxtUzLspIkmUwmhw4dStN0 + ZWVFKxRpmr4sy2Y5ydzcHIRwe3ubENKsNzvdbU0h61q2lBIIkGTJ1ctX9ywvvve97927d+/qmbOt + emv1zLn5hQUJga7CK6UMw9Cn7TOYPrPkjoQo3FHI1FHKNVkkPeteFLbpEEJswySErK2t/cGH/nCw + sV0ysR0Nlg7s64YDQuBcxb968ZJnewhAChFBWAIhlOQYS4IAoXlZVKvVcDyKRsO9S/MQS9fSCkjT + c/Da+7ID0bdtW99AEAQx441qrSxLqRRXUqPcZ8AiDTLinPu+X6/VyrK8evXqz77vZz3HM03TcbzN + zatLS0tpmg57/etvWLly5UoQBILxSsWvVqsYY8Mw8rJYvbjWXljY3t4mhlFrNPKygBgBjBqNRqff + cx0nzbPlfXv7/f54c3Pv3r0XL14MwzAIgjAMy7KEEGoV5s3NzXa7ff311xuGcfHiRR3PlGWZJImU + Mkxi23EAAMPhcO91+3RGquOTJz2mvCz0wyIGLcuSS5EkyTictBrN7W7n+PHjX3nopEmNoFoJgmC7 + 2/E8Vz3RZoM23xJ72gRJ7ZSUpJwq0WjMGJBAcIEBMjAlhCoFJJeFKBDCcZwEXlBkxWQyef7zX9Bs + tkrGO8OebVl5wQCAmFADYkpNYpiO53IpTMO0bVsg0Bn29x86eOnK2mA0HA6H+h50jD+rSB08eHB5 + afllL3spxeTyD6x992te+5ef+IvXvfo1D3z5xEf+n/+XK/n9b/2hF9919xdPnMiLfDwezxIkJJRh + GEWeEwWzsuCCCVFQExgYCMGKosyKsmQyL7hUEguel3kUJnEMNPWZ4JlO7YQQTDC9jaIo2traMk2T + c1batu/758+fv7R2qTG3+II77+r3+2maakb/WevgSc3Hf1yOxLkEGDWaTSHZYDS8dOVymRfNRmsy + mcRxIoSyDAMopAAWUiKMCcWMMd9xsyyLosgwzDLLOWPYMDDGSkohhKaVFLNuCUIEIV3Imc3hzFzP + zCFCCIWQQAKT2vEkdSxXK/0AhNM8IwaFCBOq5cAgQgBIKISg1AYA6LwITXmjAQBgNAypgcfDUb1e + kxhTjLMkIU+sDGmQgP5+hECep47j1Ot12zG/Hr2jXZsb7m7goadKwKTUhR6o+bUR2NE7EtzzvGq1 + ihDSRHllWWrVAo2bD4LA8zyMcRzHw+GQMQEhBgAoBZXSrRc1HX/6GhO6riSl4FwJJaUku2d8wBP0 + AYQQkguDIp7FgKM4HJdp/Cf//eOPPrwFICAmOH57zfYaRplhhco0KjgzLQdhpCACQEqgJNAD2Eoq + STB64IEvLbY9gIFtTeHmeV5QC+5U43biXQi+hQ7rH2pSQ3vAtZ22+9ahuvZJdGqkNQz0Rn72+k+8 + apHYHTHZp3jRZtnRbpTjs/bPaVgTB1sYk8k4FUxevLCRJp1Br2vbABOv181K6QW1SilVyRgUEEII + sQRAs2xhCACECCMXARyOJptXo9UzZ7a2vmJbYN++6gvuWjAMwxAo03VA9UzRDFJACCGhMjDR46MQ + QgQgRqjiB7xkhmE4jmNRA8jpiZmmqW1aSRRDCB3H0ZCFMi8oJnEWa/g6Z9y1ne52R0p53cq+fr+b + FZnjWmVSUIoFF6yAEGLBWDyZ7F1eisZRniWOZRsIDTodh5qQcVgKA8EptqfkaZI6fqCU6vS67XZ7 + NA55qW697ZYHH3mkYJFJqOd6URQRhKlpRVFkWDTPikajAQGYDEcYY8bYlcGg1qhubm5S06CUVrAB + AEiSpFmrG4ZhGSYAAEhlUAQhAghCiHjGIFSNaqPf6SsOCSFFVtaCWlkwYhh5VmBKbMtN0ghC3O8N + Kw3f9wObBpARkTMlhRRqsNmruo7MmcxSEjCDMpRmMC+ILT2JBt0ON61KpeJaNpcC5tiv1DY727fd + evzc6TNXLl2uVQOgJERqNBrNt9pQgWpQSaI4y7IsSefn509++ctHjh5lQiZRbBC6d8/y+fPnsyRl + Rem7QRiOoVImMVhe+r4PTZvlhUkN33cn4/Hm1a3FxcXHHz2dJSkT/NixY91eT4/v6iqz5NwwjGfY + 0TMMxSwVeVLpUAddlFKKEdJtQ4J4mWdl5ihLIrnZ2xKSI4sszl23NewxLA1ILm1urly3wuMScimB + klIoCQECJiIYkaIUecxLkGVRujC3gBEehwNqmYJLiabnsgTX0KQaZmXb9mg0ooRkWaaJmoqigAgp + NGW9240K0S9rnudZmlqWtbi4OBgM2gvzURRNkkmj3ZxEE8ZYtVW/2tlotpsQwsEg3ttcrvjBqVOn + KKXzS3scz109f77VahGDplkGCS7L0nHd7V6XUKoQ5Epe3dp0XXdhaXEcTm44sH80GvmV4NSpUzfe + eCMh5Pz58ysrK4Zl9no9y7JUEkdJXK3XwihyXRcBtbCwsNnZjuI4TVPLsjTkUs8TUkwAAHBK3iR1 + nT3O0ornj6OwSDOvEri2gy0jnIQSgs3tresP7Gd5QUxj0O1paoPZg4a77NsOYqdjON0UMhHlnGNC + CCFRFCGE9NSjrhAgAKWUlFKdUKVpahiGUmpjY2MymTTqcwiAuMjGw9F8az5LUsFVpVrt9nvdfj/j + 5dmLq3e99EXNVmscjfes7NPtqWk+usNfB/T4B4Rbna3V1dVbn3PLyZMnX3z3i1heXLq49tBXTq5d + vFhtNvr9vkQgTVMmxYULFyil0wYUE57nyZJBBcIw3Njagki5HvjzP71EbeAE0Hasre0z7fZ8lkbU + ZgJLr2L95V89lhc93z9u0MpXvvLQocMHW9XGxY0LV65ceU58rCiKJIoRgnEcp2larVQa9XqSpEeP + HdOPU8eUcGeucffLDP4Jk2eO4wCAer0e55waGEIYeC4hJI7jLEkBwgQbSgHBSsY4xFjmshTcJFP5 + sHa7fWltTRfGMIBcSCAklEopIRgDALCdqZsZw4RucWqInWEYuiKih9OKosCIImjkeeT7PoJY30m9 + 3ozj2LZNw7A5LwXjUk0n+Fmpj08dMMEdqI3Uda+h6uvfNR6PFxcXNQu8mgVSu9ZMvzZfv94Rehq9 + I/z0JA2z75c7ekcKAoPQZrNpWVa/39fESlqZQROS+r7farUopcPhcDQalWXZaDQ1bmSWZE5xj0+1 + ASCRxWyfgBmuTwkhMIEIIgmnHWfdlPdVYJmUlaFtmg888IBFUL8jBQcAAxOBjY1tAYnjVLKCAIhs + ZBZlSYmpINAQOzVbVwiVUu12q1azTq+ul8XjEliU0pWVRYUwQigNY6XAdAaJAVaW/zJmkADYtWlm + m23nqwoBAJDUG3IaxCuoFBBql5Dfs9d//PXrk9x9OlHmZ+2fwabC62BlZQUpqXi2vd11HeU6qFoL + uDAjS1kkwJjm2dhxfZYJCBWACkAJAAJKanAyQijP2Mr11yEogoAwxsMJsG37b/7mbxzHMWzHx3gi + QoGYrqMR86lnkBBCggvHcCjCrChZUeoyU43WgJBpnFNKlZRJkhCDYkIkA7Zth2Goyb61RpAOgrU4 + klJqc3NT827VG7XLl9dqtVqUxGFYmqZhmiaEOeccAQ4A+I4X3/1ffvE/Iwht0wIAsDTnUT5XrSIp + yiwmAEAIuRQcAcPzxnkBqVFK5fs+RcQ2zHE8eeu/+bG19StAKcXFsNevVqv7Di4PqaHRGXEUHTly + ZL41xxgzTbPT7527sMo5bzXqRVGkaSoZf9nLXvaD//otZV5Wq9Uyywkhhu0kaSoxxBjKskQYVPyg + 2+/dcN3+vCz6/T5C6NbnHH/Va76Tc+k4TpKlGNHJaGw55qQ/RkL9zE//+1tufk6ZM99yHMMskkiw + jEJFsaoHVYzpeDAsS25YdlnKWrPFENCV6CNHjnIgVy9deM9/eO/5ixc829kzv3h57YLlOrfcektz + bu7gDQf/+I/+eDweZ1lWq9XiOD569Ojx48dPnDiRZZnneUmSJElSrVbf//73V4NgrjU/Ho8RVJrJ + UJcOi6LAGCmlxsPRXLsJAMjzvNVqbW5vrW9t/8x73sOkwBhLiWzbzpLkKUUCZ/a1CdKMM8kwDNM0 + JRe6qksxQVJaEEHBBGeQKAYYsWkuuWnT0WTsuQZyTNsiJsVhkkZpprJSScmU1OSHUAGeMykL13Id + w674VQghIrQ3GkJquEF1HCdfe4dwR5NG59utZrPf7wuIkjzTEDsxPS2vhYL6I2hcRpHnRVEQBYWS + veFgEob1Ws323KwsPM83TbNgOcAoK1IncFzfu7h+ee3xC62VhesO3FAKXm82qGkkeQYxAhCalpXn + uWGaAACIkKYCppSOwkm329Utu/X19YMHD8ZxnOd5u93udDqWZdVqNcuyxuPxoUOH4jgejUao0XBs + 67Ezp4MgWFhYiNKkUqn0hwPNh4wxhgjvKuhCBQA2KOUUYCQKUWs2GGOXr64HQRDUqhq0ArJsMBjU + ajXH9yqVytbVqzrRnZETzvqE/6xu8eu1ZwL2aSRlEASAyeFw6M21l5aWhsMhhDAIgn6/r+Xn4jC6 + evUqxljDyUzTnMbrcRKGYT8aQQiXV66jpgERMYCtEKK25Rpk7w0rmBjVRl0gEGbJpz//2R+94cd0 + WuU4jk7PdAvIMIw8yy5evJjn+c///M9fvbL+ipe97MEHH6SUPv744x/6vQ9dXr9CbevNb37z733o + D7Isq9RrYRxxIciuD6KEIAibhiOlOnnyJMbtr576u/74/2fvvaMsq8q84R1ODjffqrpVXaFzoukm + icCIigq2zqiIgJhmHF/e0U9BHMGAojA4inEGBWRkREUcdRQRGgkSGmhi09A0DQ10rK5bt8LN9+Sw + w/fHrmqyo6Pz6Xyrn1XrrFpV3fecOnufffbzPL9Q83q9Qi4pDKBmr1oZKLneNJA6Cxf3FUu5Sy/9 + 5RmnvT0KHQCA7wUpoIJ8DyEUYpdyKJE0JWlKknRsZHTpkmWLl6zYeO8mSoiEMUlTjDEEACNECHlu + p/b7ZUfw5b78IEjS1MrmLr74osbstOu6uUxWLEa+7wtBFUYBQIikDGBAGAEA6LoeBAGjNJvN/us3 + vyW6qJwzwLiEMIYoTVNGqG4aqmmESSwQdFxYmkJZzE7RTxfnEhBbAACCMiVIlrQ0YYoiKYrm+f47 + 3/lOoVohSyqcR7NhjCHAgALwvHI+AABABiClaYIlnrVtz3ccx9mwYYOhKYymjDHhhjefLTw3OQuF + gqrJnu/M+R0VCqqqOj3v5f2O5pg2L26Fv+LNfx6+7jm/I0PXdR1j2XG8Xs+llAlOmjhLJpPLZDIQ + Yt8PXddPEqIoWpIkApsucs4DidnLizTQGCkIY4wkiWJKX/j3CkQvOKCVjDHCGGGZMEAYM0099sJc + BvSVtbGxBQuGB/oGh7bt2P34k/t8P9W0jB3XmwAAIABJREFUHMMAMkgIEwxsQQE7EIyxVatW9RWN + dq+tyKbrz/k7xeSAVfm8lPf/Pg7S3BZcyNPBeTU+EfMtCwQAZJBzyNjvt60/GL9PvHSivBLh6KCW + w/9cMMYgRKqq+E6v57QBwLrKjj3uKEL1Tfc+NtNIWRrLkso5xxhCBDiAACDK52yQOGAQM91Ey1eM + ZWzJNJE6MRn4qec7jGGSphQQJMsSlDh6TtLmZQNDBABTFSX2Y8DkSqVSLU62xptpmr7xjW/cv6+a + y+UURds3Pp4yunTp0pGhMVPX6/X64sWLN2/evH37dsswfN/XVZUxFnhep9M58sgjFy1aZFkWhODa + n17r+65h6Iyxvr6yLMviTe37fhzHkoQ23XfP1y75qm1aiAMVKwP5PqfRIK4XuT0VQk1TEpIiw7D6 + yzHGPmFuGG5/4qlT3vnOH1z5/ayVW7tm7Wyz4TtuGAQQgNWrVq1fv/6pp5667777MrbdbDZPOOGE + t5z05na7nctkd+3ZfcGXLgRR6Pu+ICOoulEul2+//fZ7Nt4zUO6bqtU4AFCSp2dmrIxdKOacdidJ + El1Vc4XCYYeu27lnt+M407MzV373e4wCCHEcp5RwJGPDsALPMRSpN9kqq9kN/3H9b278Tej5LAkX + Dg+169Ner61gYGoqpCCOUgRwrlBQDDPkfKrXgqocRcn5n/vcX//13ywbW1odr+YyWVewdgk98cQT + zzrvHIbg09ue/uHVV3/uc5/buXNnqVD4yY9/bBhGf7H/Xy/715///OcIIVWWXdfNZ7NpHJ955pky + UoaHh3c++6zQN/M8zzA0oSph29bM1NTRRx+dz+enpqYmJiaK5fIl//KNdrutmQbGOIpoFEXibfs7 + JvNLS8yiaCs2nwgh0ewSeRRLEwgATWKEoWFoKSCc8zAMLNXKDhRnui0jZ8VxHPupbVmu2zNUgwLE + MAYSxliSIJYSAFOaxilJac/1up6nISORpKyVSxAASHqulvo8kQbxkyiKVFUVuyYMICWp0GdnjLJ5 + FgSYl+yDECIGRLNBEHt00+ASsHO2bmq16UmEEOWk5zqKjDtOh7K0v78/iPx2t2UtyC1ZttjK2Ema + 5kslz/MEBa7T7ZbLZSxL4sYiCXMIiqVSkiSUsVcdffRUrZZQoplGmMQQI1lTU0YlVcGK7HpemMQA + wdlmI0mSweEFEkSzM9OFQoFz7vt+p9vJZrOVSkUAX8WuRsiMiBB7eEmWIUIQIc/3FUXp6+/HGAti + AsY4jKKxhQvDMHQcp9VqjS5YIHLFA9MAzisR/JFr4H8vXjFB0jQNAOB5HiGEJcSyrL/5m785/fTT + LcuilBazxWa3KeTmbrzxxmuvvbZarQZRCDHK5LKNRkM3jXVHHbHh1pv/+ZJ/zmQypb5i/0B5pjZT + LpdpygLPV3XtixddWCjlywP9G++++8FHHu7rrzAOFy5cWJuZFrwdjPEBAxlFUVzXvfzyy9/73vee + dNJJTzy+7UuXfIWmaRzHX//2Ny+9/LLZVvN7/37Vz677BYVgYrJaGRwUKYGiKBRhznlChYikJMvy + P33pomVLf8NIv+OFALebvSnGEVLQvlogS0RWoqKtffGfzhkdy735rW9qNKez2UxpoCgK6AihJEky + VtYwLM64pupJkkxNz3h+kMnle4891ul0ROdN6OQIT+g/VQasKoplmm7XOebIV//Lt76RJIm+SHXC + brfbjeMYIYkxxgFUFAUAxCBL0xRJCEJIOatUKuVCsdvt9vX1AQAIowxwRVGEahxCyDCMocGhlNEg + CEQPBEKYK5fL5XIul3viiSd6vZ5pWaJVCAAAAAKECaWGZfY6XYSAoiiQsLe8+a3VfbUgSpOEQCBB + IHMOGeMQyJAqACAAGIAUguTAUZFAmkbvOPltuVym1+vdc+e9ElbThHLOOaAACg4Jnq/0M85BqVQK + fPfl/I6YZZgcgtAPIEaaoqWUAMYP7MBEKwEJHLMYUw4YfJmjjBDACFLI4JzfUSaXbbVawo7DsizG + mLC4Ngyjr69PkqRutyvgvAIu0ul0VFXVFJUwyilDEoYcEEYlhMX1vOCIcSTAbHwOa4cxEgsE43yO + lTTv7hWGYdJJsSwhSLyAv/bY4zGNXn3UYa3ZmZQEDzx0P969b6LWDhMkSTaDACAMIJAkBAAAiHMI + 2Lw+oFh9pqamZFRcuHBhLlvet382ilvVajUmtFzqF2dkYgRUICmKLOOXcJAwhPAvjoP0CsHncyQx + 1s/vTvL5GXLw+Cc5vqAa8spxMDv6HwlJUhQl8tyJ/eNDlTKJ3Te+4VjLBApObDu7a9dMHKecgziK + sKoJjiWcK0ZBACThLYAgS9MAYLr5kYfGRgYghG8+6S3esWm12igPjP7i1ze7ccAlWcqpgHPIEYSY + EEIRhZBhKCGEOWcAAMYAIRRwABGK47SgZ8YOHY2nY2/SZQ752Ic/9vTTzxQKpThNHn3ssepUbe3a + tW9b/zYhYHDUIUd959+/s2PHDkppGIaKoqRpalnWqlWrfvrjnz605SFd17PZzPHH/9XZnzibJKmd + zRQKhVKplKbpvn37oiBEABYyWVs3Dz/k0K9++SuKJG9/5JGZnXvv23CT43nIDw3ADca8NDZswzKM + 9559Vv+KFdM975zzPh0nhHKQptTQ9MQPQz/IFfKrV6w87bTTTn7LyT//9c9/9atfYYxHR0fz+fyN + N974/e9/X1c1zdCDIBgcHBwfH9cUdWRoZP/4uNPpjgwuuPjCi4YGB5/c9kS93qxWqxvvuQcgbEtG + At2MbRiGsXjh4sPWrHvta143ODh4+XevyNh2uVhqtVoEQUWWCaWKKqVYUbEUhr7jOHv37j33Hz/5 + NyeetOmO2ywM7r/t1ntu/U1YnxnI5zQoha6vYGnR8CjO5t75wQ+V1yx7enLiG5deqiuqDuSAxnHg + c0DiNOor59MwuOmmG+964J5Wp1fMFr500cXvPeM9F138T8e++hjXdd/5jpNHF45d/e/fv/XWW+sz + s7lCvtvuCNJU1s789Jr/CILg4osvLuTyAIA777wTQl4p98kIu6576MpDeEKOPvyoQz6wmjF+yTe/ + FvmBqqqQcUFrxxhLuk4Ief5rhM+XsZ6/RByoYIoXZZIkcRzrsqZpWhrPUWUgByymiiJRzkWhOkwj + jDFUsWwqPb+nKJIqyUkcYgCz2WwziDjGHCKKaMpoQFKZQ41LCsMQQU3XZVWL01RTtTgOhdKAaRic + speKNMiyzDlPCTEMo9ls6rpuqBqPQqFiJwLPo/JEuVnGUkISEicZ29Y0rRO1dF1vux3btsfHxxcs + WIAQajabw8NDs7OzqqpCpDAKGu3W6OjCcrkYBMHE5KQA9XHODcOwbbvZasVxDBBkhJqmGYeRbVqu + 68ZxrCrK/vHxAxwKzrlQohajQAgpFoutVktI7YseUUxpNpsVaLIkSUr5ApKl+swsxEiINHDKAAAM + Agzm2QIAaJrm9HrCDdL3fZKmjDEJ43wu12g0+srlmelpWZZ1TbMtq9frgfnCNHqeePr/Byvly8Yr + JkiC6GZZVrfbVaCUzWY3bNjwi1/8QpZl27Y33LjhxBNPhBgbhhFFEWNM0TTCmJax2p5DEGh7zp7q + /g99+MxOszG6YKjXc+MgBADIsowA5vP1cE3XCSFu4FuWBTGqVqtihkVRpOs6nYc2IYQY54zSe+6/ + 75777xNXCHVF1hWPJlf/9Nrv/+xaJjZ7EkYQ2JmM4zgIIVXTPNc1dYNz7rhuqa/Y9dvnX/ApSVIQ + UDnXGdEBMyDQAJfmN+4pQCFAIYQ+gDFCCEvQ87xFYwunmrVqdT9EQEYySxlkkpjfCOthxCLizrYc + /jxJAyGw9lIC0h8TCpQwgyxhNEzuv3PT3//t3x126No7fnv7aGnoBz/4gTivoupeGBiGkaTRu089 + zY+Ck9a/+Y4773x885Z8Nrdo0aLazLSm64RS07aazWbGtnXTSKJ49cpV53/q0wAAzTCuuOKK6667 + TtW0b3zlq8PDwzP12WXLll1wwQV3b7q3026Pjo42Wy0GAYKMY+BHnqxiThMSMUs3vJb382t+GSd4 + eGjZ2NiKKOIz9U6z0du2bZeh9kVRks+rUVRfMGSPDRcLedXKyLfesiEI3cHi8Pq3viWrKwrOdDuR + oWdlGTMAEkowgAjhOIkxkkvlQl9/f71ebzYasiz39/ebptlut6dqM5qiYyhxwhkEqqQyCDihCELE + gQCLvCgFEqkjgFCkLpxRBoCAgzOSpoxSmjJCrYydzxckRa7X62EYgnnwp4DMappWqVQAAEIJQ2j1 + CAiipqiAA04oQhBByIS99HPX84Ij5AABDAASKdKBIhljDCKIESaAIAjFeecKLZADQC2gy2pm+6PP + XvfLexAHjAHdBIesW0p4EKWJYauEgSSlmqKxNBEfyCE4cAIOIWe8Xq+73WkKaLkUGka+09mNJROk + fL7NLZ46ADg4IMo3h3YAiAkgDZD+0tBS6CXPHX/JJdLn/4QjOLcQHDz+aY4vSpBeqll3kHf0Pxic + UJpyBjN2MfBTmpBeN+QU73hiy523by6U+jttnwJN06wgoZIiSzICQBiYQMAAZxAAwBhCHAMu+a7v + uUl9ZvbWm/eWS2CgMlIaHMvls2HTDWkSdkPdNjRNBwzKWKE4ZZBxFnMoAYAF7xRLCqes1eqY1NQM + DVP0oTP+7q7/vN3GdmOm/sXPX9Tr9T5z/vlnnfXx//uR//vjH//4hl/ewBi76qqrntn/zJVXXilM + bwSyi1JqGMbU1FTK03POOQdCWCjkr7nmh8uXLt25e3chl1+xYsWlX7oEZ8xSqXTY2rWPbXkUEqYy + aECpkilM1WorSwP2nr07qvveVOzvL+Qlx6Ms4fmyo8mT7Zlsoza4brWk5q/8t8svuvDLMpAkhDKq + CSnL25k4iDLDtgTRtb+41nGcUqlEKW2328ccc8xUdXLl8hWdTufXN17f3NkKQz+fy7jd3vTUZF8h + X84XnXZnaqL6mle9+uNnfvT22+5ACL3hDW/w/ZBLiQV1DWs8gds3P/HPX/jnfKm45bFHB/v6nVYn + dD1D08Mk4JxiCXJAMYaeHyIsAV2rdZtHn3DsVKf27NOP/cP73//A1VcsT8JDlyzJUorDKDc0yOI0 + aNTdkC7O5OJma3l/pS+TbdamQZoYEs5aagRS3VYmpvbLHOUKJSbJGGDEASMkCgIZ47e8+c233XJL + MZ/fu3u3jPHaNWturU463W65WIyS2NC0/kKp22yRJN183wOPPrLl0UcfvX/jPYCyslWYnp7uy5ei + rl93ah/6yQdTErdbXYlCCQg3RKYrahAHQvlNVOfZy8m8iN282BbOy5fPuWu0221TNUqlkkC5E0I8 + zzeNjKIoEY1Tnvi+r1laL/AqlUp7tiUjNWdaoR8MmIXa9FQTOkom3244lp1ljKq6EkWBF/lQk7Em + aRx5jlso5mLfY65vIYkDnsQRMkw/iU3TFFgehFCv15MkCXBoGka70RTEBFmWp2ZnFi1aVJuoqqqq + SLIb+MMjIxO1SQhhLpfr9XoMzdk9xUmia5pmGh2np2ta4PmLxhZOT0/n83lNUT3Hl7EiI4wwcLuu + YWrViZpt24SwvXvHM9m8KsmMMVXXJycnB/r7KaUpIRLGjFBVUXrdLkLIMs1CNjdenbBtWyg8i9so + 3umCmTI1NVWpVIS+l/CQTZIkcBxFUSzTjJOk2+3m8/liLu9HIUnS52N8GAAAQgAg4iAJI0s3wjAU + UswQgEK+4DiO2+1JHCoQ50w7TdPYDynGWMZwXuaXzTvRgz+Ck/JHxismSHEciwvNZDIaVgghcRQL + VcFCobBr9y5VVWvT08uXL+92uwAARVNVXfOj0I9CVVUffXzrnv3jjBLMyciCwW67JzQEIYSAQcYY + SRnnXNFUSmkYRoZhGJYZx7HjuwAAYfQWhKEA7In+BpiHyhzY4kAOOJorVR74lXjbCl8CAQZ1HGdg + YAANoOnp6fMvOJ/ESZIQziDGMkKYE0QJgkAGQBh3EABTAFMAntNvlBE2TbPT6pYKxenpaYyxIPAj + CABCACFCCWScMYbR/+BAIg7CIJ6uzaxetoKlbGZyJm/nD125pmDmPvh3f9dfKAdB4CV+1rQQhL7v + 33zzTQOlvr//Px+svL/vPWec8fV/+VZfqTw1NSVk+DmC7V7XzNic8Y7T02Tla5d89aILvnDvxrvX + HXH4BRdc8MyOHYODg4evPeyYY4+Jkvhr3/j62Weffd+DDxQKhUajYVpWmMTihkOEMeSSKDdSCmkC + OfvUJz71V8cddc45/7b10f2S0je+t7dq5dtrNT+jK7MTOxWVPzW7/x1vffttt/7HFVd87ZNnf+Td + 7z2dpomloaeenXBdt1guCq0LBlI7lwUAtDptoSsqy/Ls7KzjOLIsCyci0e8SVRnAIYBzO2PEAecc + cgAgFPuw5x/n7upL/Y4AghiK9QJSblj/td8RAMBxHDHJxfoyxzviz43d3FGINrzwSl60R0Rz0ubo + AEaJMQYlKMTiBSHVMlWzaCFVhpBxEt334AOp7yYU9BWA44AgBM1m29BtqPAoFaho5ie+behzxQkI + GICMAzxf8YrjoK+Yna5Pdzodp9qwbRvJlp2VFUVJwghjBAAnnAM2d8cOBIAAgnkr2/896LtXulAk + uEni+4PHP/p4MP6cwQClaaFQ8FzX1GXEM41GY/fOaUuXR0YGg5DbNvJjSAVMA6lpQgDgEGEAEOAS + nNeGkaDCWVypDGCMG41UUcDUDBiogJtv+Y1u5vL5fJ9pdEKXKwxwRAgVRG0IKIAAcsYBghxwhAhJ + wzBcUBrCHo68MFsa2bJtS7fbzRaz5XL/vj17i6Xy8PDwlf/+vUKhABAkYfq1r319zco13/nudyRJ + GhoaGh8f55yPjIxMT0+7rjszM6NCFQDguu7o6Eghm6eUFnI5SZIeeeQRe7DPnaxX1qzBGC9bslSR + ZUPXD1932OzMTDGTS7rOmGYsAnhhRPpTbhOgKIZH2ETXlfLZvB/zet0HaKrV6y/3qRCkHDRm65X+ + AStjO55Xq07+8Ic/XL9+fSaTwRgvXrx43759SZIUi8XxvfvGxsa+853vvO20kxEC5XxFGxmL/Iik + aX+57Dmuoen1en3h2JiEpSiITM1EDLKE6qqRxAnGmBOOoSQD7HR77WanXC4DADigSZKYthpFkRMF + tmlhSRFbNQj59ie3DWdzxx1xpL9r1wCHBpaXAilHmZTwHCA0TnsYzOrAefSxzDvfGobuyNCCw9et + RQC4rpPJWH3FTBD5A+vWRF3fc8NuEK1atXrp6NibTnjTV792SaVSEZRgWZaXL1++d+/eSqWyePHi + fLHQ63S7Tk9VVSyhvlJ57+49uqSoSGZJaml65AeN6RlL0yPPhxxYqok5UGRj58yzfs+J/PBAqVFC + 83CJP5yRL7w04jj2PC9j2dlsNp/Pi/K074cpSlVL1zAjlOYz2WOPOlri2Nat6YmpvkJZ0zTGIZBx + GMYZLStL0v7a/sHhwSgJKaCGqk1OVHNmFhCatTO9Xu/xJ7bWZqYMQ8eyRCgVPO2snanVasVicXBw + cM/OXcVikRAyODgodmKmaTqOM1ObKuTyCKGe6xSyuce3bh1buNAwjL37xzOZTBiGuq5nMhkMoOt5 + CMLXHHtcsZiHAERRlM1mKaWapjUajXw+LyQcdV0XPBTxxy5dshJiiQF+2223AcowgEL9IgzDXC4n + NBU0TSsXirOzs+Pj40IWLwxDYVojssoDHa3R0dFarWZZlqqqQoNhqjq55pDVnuft2bOnUqmoqhpF + keAcZgt5wSZgjEGM5kBxjBuqnoKUEVrpH+h2uxgiTdN2PvPswMAAhmhgcHDnzp1C9UpCqK+vb+/+ + faIRJzjbIsQ2/k+/Nv4e8YoJkngYhH1V4keO4/QXS2NjY67rLl++XDwbY4sWVavVdevWTU1NTc1M + c86FZUE2m22327MzM4SkYyMLsKwCCTMECeXz+Eyu6BpjDMuSrCpAkjgACSFYljOZjLjRQvtcbFJf + dG2/DxhDAPMgALZte47ruq6CJQhhbWpGlRVV1SGCMYmFPjWCMhQ+8AAAwAAnAFAAGAcAS3KaUEWR + PS/odruKolSGhvLFYsooYRQI6PyBbi/6k+FE+Mt9MQhkWV66dOn4+DildGCwMjAwgBDSTSMlpNFs + IoSK5ZIo8KdpWiwWO26v6ziSJIVR0Ol04jRhjBVKxTiODcNgjEmSpElyGIZ9fX2NVvOY444FAJx4 + 4ok7d+6s1WpRFE1NT51yyinV2mSpVNq0aZPIRiRZliQJpimniHEIOYCAc8ggJ5SFCXVi0sRK2OmC + 8z/7D9/+1oNbHqkvGzlmag8tFV+lqCCvj2Vy7t0br1o4uurKK6+MyXRIgiOPXtZX0SgIDAtUhnK6 + gRUVWZbBITNNU6AWhUa5kM8WPEJBr2q323Ecy5IKXtKQ/a9qD2ItnuMCzldBGOdAgDxNU7dtW1Gk + IPB7vU6akuf5HYEDfkeO47iuKwQhDkBm+StoFf4JO4oIAQyBrEgSSKPEMXVwyKq+Uqm0fNXqgcGF + d9y1+f7Njzs+y+X7+wulXq83rzYx30GaY4ihJEnWr1/PiTtx67T4N4Zh6Fa+54YHFinAOeAAMPDn + kpQ5GAfjYPyhMb+scUIikvRkGRCWSrKyctUSAPWtW59t729IiqooCuAIQQkiLnyQhAcbB5xziiSu + qvLiJWNLFg8WC1Z1YvrZZ9oYz4EjKKXS71fohRDKsgwBTNOUBjSMg0cffbRQKAAAGGNbt25VoOZR + X5Rgkjjxeu7NN9+8d+/ej3/k4wihK664YnBwMI7jmZkZRVGiKBodHcUAf/3rX4+iaHCwsmffnkaj + 0el0gihaMDL80Y9+dOPGjYsXLpqcmJAUmVBqWlaukOcAuFGw+6mn+2st2CEZTc8hJMcMU25wqWJm + CMVkxoEeW7hgsOMRv9VKwlTX5WXLlmzbsW1ycrLU17dy5crJman+/n6syEuXLt23b9+CBQsuv/zy + PTt3McYQgP921ZUnv+3tt991JyEkpAwjLElSu922Tcu2bQhh13MTGic0SVmKJYkTgjCmEBimgXXV + 8XqarRdKRQ6A47kpm9MeEAxbBamAMRLFNI6ZH4z1D5Z1uyAbMZeCqTbymZZKcgDUFKuJrBDIU+zL + OAYAWAaIg4Cmkqq0Ot04Ta2MLStaGEfZQn7L1scWFAckqCyoDK5Ytfqzn/7Upz//mW1bH8ey5Pqe + oql9A/3V2qSdzZT6yoZldp1es9UslIpYlrqO0+q0hYAQmAdKCYxWPpsTTBXhc8g4oCmxbbtYLIop + JMlynKQ0TTVFEfClPyjmQOAJ7fV6jFCRsnLICUuhgiVJTTkL40jW1Fcf9qoLP/15E+ub7r53ZmAm + 8gNGqCZJmAO9IEdeD4F49ZqljXYr5CmWJU2R1x376tpskzIgadob3vImNWdcf8MNXhQruua2WoZh + dNudgXLfiqXLxsfHv/HVr914ww2bNm1qt1qGYRiqdu4n/rGvr6/Val144YWian/EEUe8+93v7qsM + 3P/AA5dddtnw4JAXBkmScEIhQoQxWZKylv2Od7zD77m+73c6nUKhkCRJEATWiD06Ojo1NSVQfN1u + d/lhywUF3dJtCsHhRx658c67KKWibss40xV1zarVF1xwgeB67N295+qrr56amkrjRPj8Cil2r+eI + HMzUdQDA3l27zz777Gq1+vDDDwdBEMfx4sWL+/v7v/nNb55//vmTk5OGYXDOXdctl8vtXlfo+wMA + KGeCcY0QjKIIctDr9dauXfuJT3wCIfT0U089/PDDO3bssG27Vqu99rWvPeyww1RVvf223z7+xLaB + oQqd91MSIyuEtP/iRBoE7UcYfmEIFyxYcMapp73rXe+qFCoEkCiNfvCDH0hQ8WLvkUceOe+88wTl + xjAModCAEKpUKnEcxWkaM5ICRjmjCEChZ4kg5ZxwRpIYSxKScExS33ch47lsBgAgBD0gQiIl1TTt + ZZ8ZxF9AthZNpLmGEmOSJAk7gnw+7zhOmPqmaWYzhZRRTigBXJIwUuYwo5Qmc1AQyBAHDGLEEeAg + Tai4Hgjh6MKxNE66Tm98Yv9xr3kNxIgxRjmHXJT7IQYY/A9nuhBxx3E6vW7fwEC73Y5Jqqhau93u + rwx0Oh3DMGKShmEYxXGxVAIQ6qa+cPGimKSdbnfJsqUIoZUrV5YG+qtTNU3TEEJBEBiKmslkSvnC + xo0b//a973vjCW9QFOWZnc8Wi0XKuW3b737PGfliwVKsa35ybTabNS2rVCoFQUABkCSTcgAplxDA + iAEakdRbtGRo1epFZkbuuM2h/tLKlUfcf8+9Eugr2EW3jYOok8sZgV+XlMLadeVHH9t63PFLHXf/ + 0hXDkkYo8FUTGZZSKuczWXN0dJQBGsSRpmkDgxXOeafTcV1XluVSqWQaRqfTaTabjDFVVRGUSMpE + B+BA1iqOr7Snp5wJsQL4Yr8j+gp+R+bL+h0JrSGhDCE+mc956ULRxfojxx0hRDkVFsCioBL5cdgO + kSIlqVfMWCe/9aSMARctGIAw3b59++aHt0xO3+IlIJfPaRYK4miitsc2M3MA15d4FcuyfN999x2y + cuzEE1+fsYuNln/Nj2+R1BlJMUR7nVJKOQAKANJzOkIHuurzrfCX4tcOxsE4GH++wAAAUK/XKUkk + xCALT3rTG5YsrjRmqrOzDcbVXs+VsAwA4JxLMuIMAcjACws4nHPP84icbN68ud1ckLHtVasOOfKI + vOMkhxxh3nLbXc2mlzQbXMWWaQoaw0urVHC+MKxKquu5OtchhPfff/+WLVssy0o6SZQmn/3s57Y9 + /sQ5n/zEOeecveHEDXY2k8lkbr311htuuMH3/WXLlimKUq/XS6USxjiO40qlMjMz04k6P/3pTwcG + BlzXuXvjnQmJ7Wy2Xq/LqjI+Pm4od+lpAAAgAElEQVSa5tTMdLVWg5z3lcotp/vbu+485ZRT0jgx + MlkjGwLV6FFkS7KuGhAQJ0l8GRBVUTQLmJk0jjGAvusputzxnK3bHt9fncjlcvv3739yx1MM8Hqr + 6fv+tm3bstnszp07d+3aRZPUNE3AeKPR2LHjmTiOGzOzlNJKaUCWpChJ0rSzvzpx7F8dF6cJwrJp + q81ehxOey+Vm200zY/cCr1AsKqYOMJI0tdnrQAlruu7NTGJZppQmScIhQADqiixzPtOYES9BjPHu + PftOeM3xHElcVn0ODVmRkBKkBAI5sQxfUzNrVvpxKNtWo92ZaTZUXUs5abRbjaAb06SvVIYIT9Wm + jlgw+qlzzz3rrLO2bt2qaRrxycOPbC6Xyzt374rjeGRs9J++dPGjWx8rlUqqqvZ6vf3ViYSkpmlG + XuA4TpzGtm23Wi0FS4VCYWKyKsvyQF9/nCYQwtlGXTP0MAxF1oRkCQAAMQacp2n6UlfQF0GHXhqi + ikcIT5Kkm3YJIUJrF6mSHwZxlCiWoZoWoylLaBZbKkCbbr5j0/33XXzxP3WbrfFnnz5mxSF3/Oq6 + Zx99WEUsDIKR0dF91eq6V71q3ZvX7376GZqSrbt23vvYto9+8hNhmsSc+lGoEDI8PNxrdxaOjHY6 + nT179nzly19+3V+99re33VYul4Xr0Uc+8pFjjjlm06ZNp596Wn+577zzzlu4cOG//9tV3/3elVu3 + bv3MP54/NDh4yVe/ygnVNE1TtSAIKCGiDJ3GyeaHHqrPzL7//e+/4447Tj755HPPPbdcLj/wwANL + lixJ05Rzbpome3M6NDQUBoHrez/9xS9ue9e7bNNsttsYY0mRJYQpxgtHxyr9lX/8x0/kcrlqtbpl + 8+ZisdjtdiuVCkDQ932hSShkuxuNBsb42GOP/fCHP3zDDTfcdttthUJB/OoDH/hAvV6//777Vqxc + 2XMczrloaXiep6qqruuitDFPykKKonDKVq9evXLlytHR0d27d69bt+7MM89cv349QmhkZOTaq679 + 5W9+qWnahRde+Hd//8E0TdmB/z6/+Py58HXgd/sgiUKyZVkaVhqNxjXXXLNhw4ZarZYkyfDwMACA + EJKm6fTsbC6XA4yncSKWxTgICSHctOI4llUpJSwlLKWcMIYQghADiISIIonTNE1VFUmyDCAEnHd7 + PU1V5+S55/k8B+7X74lWhwAgjCVJardahJBysWQYRuB6acoAlFIKGYEMMAI5xAAAxjlF6ICVJGJA + 4hywOXPJRJYxTUkcR5ZuMgBkVTFtC8sYYwQh4JwBCAhnmEPOfz8+8h8RlLNutz00VHHcrqxKgwsq + Qqi763YZZBRQ1/cURcEAd70uAQwhPFGbJIxKqtJz3a7Tc3yvvqPFEez1eqZpIoSE9Vuz2XzjG9/4 + hQsvfPjBBzOZzE9//vN8sUgpzVv5D37o7yenal/5ylfOOuusM888szI4ODExkSQJlCSEVUI5IEAk + SJyElPi1mdrUbNX3e5bBkgT4fsgopEzqtUJJzi5ftsDzZuqtBCHNMkGaMEXVimrZ87xut40BjJPQ + 83tR5HWdThiGKSU9z9U0zTBhr+c0G21VVQcG+hVF8dyg0+4lMdE0TcKy6OrM5ckvPL6SlPBzRJo5 + rbZ5vyPyh/kdiZfTgfzq+R/LAAfzjB0wl7Nx8HLX84emFxyAmARcAvlyniF08633jO+sAwooALYJ + jnj1qmBmcqY9qZlZ2TIzmkETqiKFc0g54RAIlSrGOAQsZSnG4PHHH09oUiwM5ItDo6N9fsS7TiD0 + ZOY2PAgABP5LI7+DcTAOxl9EcCDLMtakJEIkiV0neWbH/tmp2X27nwqCOJsvNpseUm1Dk5OYhKHP + GYEQQIQB4IArCCLIEULAMvOIe6rCw4Dt2L6tOgFyWVAslY874XVzyOSXSHi9bDBGCGISxIahqUzf + sveRrtNJW6Sk9SGEHnnkEdOwHnnkkZPWn5TL5Tq97vDAAkp7+Xy+0Wgcc8wxkiSZpvnss88uW7bM + dV3XdQkheS3/wAMPaJpGKQEQlkv9jXZLUTTX9X99402FQgFDiBBK4oQwnhL27J69s612xrLNgbLb + 6JDBgYascQB0mcsYumnsqbJr6EkuA7K2E/r7ZmpUgQlgmmUNj42qD6sCt79m7aGHrltbKBQWLVr0 + qqOP3rlzZzabvfTSSx/YdN+OHTt0XR9dtLDb7Var1YyZkSQpDMOG6+7cufONJ5xQKvf7UZjJZZ3I + lbBS6u/zfR9pUrFSzmazW7du7fg9AjjC8p6JvY12w/U8DkE2m/WCwDTNOE2SJNEtk1GCFS03UDZL + WZenRFNCXQI5288YbsaoAUQVzUI4dn1EeWRpMypohx4oDkx121t37Dji2OMgxF23BxA2LJPFUDP0 + 3fv2nvqO0774hYt+8KMfybK8as0hO3bsUFX1xt/cdM0118iaumrVqgc3P7x9x1OqoddbTU3TKKWa + aViWtXv3bkPTFy1d0up2kjTtHxgIfF8zDUXXstlsq9PueS6WpP6BSm1m2s5lG+0WY0xCKEkSrGBJ + kpxuV7Q+wB+C0RVkYMiALMucMkGMt2xj8dJFE9PVZrdDAdU0Iw05i6nMoJpynYBf/ew/MUabH9j0 + f049vbX96S0/+9WhGdVIYts0p+974oi82f7NXdfd+9BpZ330tf/P2U/t2XneVy9hhDAIJE2XOI/i + cHp6OmOY9dlZzvk/f+lL7zvjPY3G7OT+iV6702o0BwYG3njCGz7zmc/s3Lnzsc1bzjjjDF3Vli1b + tvHujddff32j0Wh1O6eccopAwcgYc8YkjGWIJEmKEiJjqTXTuOLSy3zf/9mP/2P7Y9s+e+6nL7vs + smOOPNr3/ZBwwzQwxrfddMvb3/72t7zlLVbGvv6GGzFEkxNV3TQMTXM8D0Oo6jpgbOvWxx55+GFR + WRhZMByG4eDg4MLFiwkhtVqt1+n6HCAATd0YHlpQLBa/973vPfjgg1EQ5rO50A8ghLlMtlKpXHHF + FYeuXXvkkUf+9vbbRQrAOReQQjEKWJ5DfjFC4yRK4zi0bcDYk088cdllly0aW7hk0eI1qw+5//77 + jzriyF0TOy/58lf6+vpuun7D6PDI7v17AXzO7xHM11b+XDoNr5ggiQ6M0GqkLBV9rjiOxQrV7XZn + ZmaGhoZUVTUMo7+/v1qtUkoFxKhUKjmOE0URJ0yxNUFYF9tHCKEgeTMIsISRAhNKKKVgXu4wk8kg + CIMgEF1XUUtI0/Rl92Twed/MccjnvV0ExE60eh3HEXoJsqw5bqTImqpKAAFCEsYJhBDLMqMJAAAA + BDjjAECOAQCAIwkrCHFZ08IwrNfrGGPD0DnnSZIklABxXgQhn3s3gJfd+f7pAkJYGRqkgDuuyyCY + qc+Ojow0uu1MMd9ut7u+axiGqqqYkPHxccoYQhKS8IIFw52nn9q9e/eb1p+Upmmz2czkc2EYCkX1 + 5mwdQdhXLPX3D1x33XWapqWUxnG8ZcuWM977nq07Ht/xzNPZbPb2u+780MIP7d+/f2Z2VgwoR0hW + GKWUU0ARgJxxmnCeUMr9MF66YqWp9d11+96f/mJDEJeG+scUVYIo3fbUE0OD0opVQ9P3Bbf8dnp8 + /+7DG6O6RSeq9b7+QQpkygAhdKZRD8Ow0WiEcQQwIoS0O50DOF3TNBuNRqfVFmAwAECSJAAAjJTn + P0sHoG6/434+v5l7wAlXdKh+f7+jbDYrimECXycanqIJ/qIL+O+RDgUHCUEE2BwHSTFVgxmSicPI + icNo48a7wm4XS6BUAu02QBjsn9hrZjMAo5ggBGDbcUwty9icsYmQBTrw+Zqm+X5r8djATGMmDMPZ + Z55JEknXM7qZlWXZiROMEeeMEgCSeZjs8zlIc72k/00cpINxMP7/HwxQSjN21mG9fNZaUMlxQPfu + 26lrypIli/yQYKx7MSSEJEmimwYlTIBugfCVmH+akyQBNFq0eBiwuNsDhSJoNUGxxG+55RZFNYpF + zchle7HPVSZWvxetb3Nda84BAL7vDxj9XtvLqLlD163Ng8Jtv/itVbJ6bvdVr36153mnn376U08/ + 1et1rr32J9u3PXnfPZsGBwff9ra33XDDDUEQ5HK5YrEohHBc1zVNsxt3c7mc4zgYI0lS2r1up9NZ + sWpllCTCWMYwjDAMVV1jnIckafY67/7A+0YWDOexnE5MO1PjS/MFyfN5r6tImMkoxFKgyVuv/2Vr + w6921GfrcfDq449vxR1D1SdnpurNpm3bmVz2Na89/tRTT3V8r1QqLVm69MYbb/yPn/zkk5/85PW/ + vM7UTQjguz/wnmq12tfXl0ZpEARpnCyoDC5ZtnR8YuJn//mLq6++utXqfOe7V4RhvG9yAgAgSVIU + RdK0ZBXzg4ODmx5+8Omnn9qwYUMYRyNjo81OmwEQxhGSsGC6eqFPacohSACd7bU//pnzpJhkALjz + 9lube54Np6pmmpZ108C40+hAzollNlRl+2WX0mJhf6e97cntQRw5kW/bGT8IqIZkRZ2YrA2V+1// + +tfv27fviMMOf/0bT5ianu602+eed97aQw/97PnnX/D5z8/W69/+9rf9IFi6ZMlEtWoaBpYkTdNa + nfZnPv/Z1ctXub53+XevqFUnvTCYbdRrU1O2bTeaTcdxbNu+/HtX7t63d+PGjUbGGBsblVQlJURV + VS/0DMNQFOVFcI/f801JCMEcaZoGMBcdpDiOm60Z09KQVq7VZ8Je28CGqRom0iBLD1m6asuDD7/m + hNdYpr732R0lPxrB6P3HvMaK/JnqdN/yNT0/CFWVlku8Og0mp5YNDh556KHZjDXd6zBI89kc5DYN + ozRJBJBkerJ2/PHHX3vttStWrHj8sa0DAwMQwoHiQBLFcRjt3r178eLFlUoll8kWcvlOq22a5oLK + oGEYrWZT1TRCSBiGhmFgAIWxbD6bNXWjNjm5eGzhnt27r/rulbpm/uRH10zNzhRzeSH1ZFsWIHTp + kiVrVxySAFod39/pdEzTVFU18HwJIdM0fd8HAEgIveMd76hWq/fefU8zSZcuXXrDf94w0ag+8NBD + d911l7hdYRi6rhsEAcb4Qx/60HHHHZemqed5lmVNT08feeSRixctfvDBBz/2sY9lMpkbN2wwDMM0 + zXq9XiiXRFZzYOxEu1hTFKBpjUajVCoNDg4qivL000//7Gc/O+2003bt2rV+/fqrrrqKUlqtVhvt + xvLly/dPVeF8diSSjj9q9fuj43d1kMRDSCmFHBmGISEcx/EBoeHqvurqtatFk27Prl2FUsmyLEWS + OWWQA8A4oWmapohyTgEjHFAAKAAQME4Z5xRixIGEsPAwTUjKOccABnEs8iihYifEHw8AEF/0qIiG + 0ss2BxilgHNTNxhjvuMSQmRdV2WlXFTjKImTgPAEY4wR4oCRNJUwn5elVQDgaF5AhRJCCTMMI5vN + p2msaRqAHCtyylLCUjGQCEjzuh2c/YkSJIGAYvNfcP5tJUCfAl3gOM511123bNmyVrtNGTNMM04S + x/fkJA7DMF8s7NqzGyGo29btG+98/IlthmV2u91msyk00AAAwuSnUCgwxoZGhh946IELvviFu+66 + 69RTTzV0s9Vp73jm6VNOfddZZ5315JNPvv/979+0adOq1aunpqYcxyn2lcMwTFKfUgoY5AhADjhN + IUecq7peuuqqH7/33R+tTe0eW6pHHty98xbbHHTcdNm6crO+Z3e1lS2mhsWb7VahVNpf3VOvR4zb + GNicqlEMLFvJZHICsy4Ya4nv27bd39+vKIrAvAk1bSFrM2dH+LxC5oGZDF45IRGMIwgBQvA5vyNd + +B1Bx+n2eh1hiQ0AIISJnnImk4EQCs06cQ3iSRZw/AMyLJy/wAzu+ei7P8kkgRwoXOFQtVUVEDcm + NKODNStNO2Me9aq/0szCAw8+fe+D27yAF8rFwVyREJJGKQXkeRwkgBACHAoOkiql1duqgMuid69b + Odefex4hnN8tcfDnYkwejINxMP7Q4JwDyCCkhPk0chUVpczLaNKK1UMAGo899mxrXx0rWVVVAUcY + yQAyADHnkHMGAAKQchBLElE0sHDxQGUgZ5jEc6PtT8wqWipzJMkyJi9YdX8HYeDAr3zfj1BSLBZJ + hZi25YeebdunnXZaf3//b37zmzvuvqO/v/9b3/rWSW9686c+8xnbtn/yk5/cfOut3/yXf9m7d++h + hx4quvrlcvmmm27a/tRTjueput7tdUTtTNO0Wq3GIczlchCjMI46va5lmFY++6pjj/nl9b+KwhBD + BBknUSzF6YBpMKeHokiXJQp4x/Oxbaeq6iEMTaPlukMjw6ZqIIBWrFpZvLckyq+XXnrpj679MULI + NM3Zen3x4sXj+/f39/e/7g0npGmqYEkzjTRNe65rGcbAwMDsdL3T6YVx9M53nPye971vdnrGNG1d + 1wmlb1p/oiQpnHPD0j3P0zRtcnKyXC6vOfzQ49/welnGuWLOsPTp6WmxJQ3iSJEVyKgsy7KqSKry + sY+f7XmerRkZRQ6ajX7LAL6rcprVdU3WGo0G5RDKWkwYM6yq2wWacc6nzEOWr9ZUsxt0dct2WSAr + ukKYpCrnnnceYFBRlK7v2vkcZJwjOFGt1mZnTjv9dDfwbcMknDWaTSjhKI4jz/WD4LzPfHqg2JdE + sQSRqqqB7+dyuenp6YxpCbtYXdd939c07bg3vfb0D5whyfKefXsxxkmayrJMPSrwdf8NSBVCSJIk + xJ6bhGmauq7ruk55sK88VFFMHSiKCtRCtkBSKhOQBPHIocs6gbtg8XC4d6I1NalTFtbrWhKtHR7p + dV1LMV2EJurtJYeuARzIirpgaNDIZxcwOljuy1iZMPBliBQsCdDj+N59Q5XBxmw9DqMTXvf6Xq83 + MjKCAOy228uXLl2zZk1fsSQhtGfPnk+f++kvfvGL4xP7Tz755ISSZcuWHSi/2rZNk3R2ekbTNEmS + hgYHLc1yui7mGAGMAOq2e5DCOExUrDq9HmdQlmTEEAQo8L3h4WEJYU1RGeeiis0IVWWl1+kes/bV + mqJOTEwEjrd169b9+8ZDFl5++eUPP/xwvV6HEKqqmrVtVZYRQlOTk61W6/RTT63VapBzwNjK5ctf + d/zxG27awDmXJIlSOjAw4HmeSKuEF6VQViCMihKJhHAchhgiRZJlLE1OVEVaKGA4jUZjweAQTYmp + G61Wq91uC30OzuZ4BOB50Ny/uA6SqqpCy4IQQigTtQ1RKSeE9PX1PbP7maGhoW632+l0BgcHu44j + XKKSJBH+Odls1vd9zhikTKIcc6AgjDEGjDPASUIIIQBhSZERRBBJEkIcQsoIQkhIczDOhb1akiQv + emZeoEIm9JoPfMMBh0D0+ASHyrIsWZZ9z+t0WhhgLEEFAxlxxjnnFEEoIYWxaE4Uj3PIOQAUcQYg + w0iOUur7oSxjhKQ0TR23xxhTde2AR9PvBhX8aUOkrAihRrv1Dx/+cLfT+dG1Py4Wi2sOXyf0T6Mo + kmXZcd1KpfKtb1+6ZOniQqn46NbHWp3Oe9733q7rFIrFdrstoKKiGZi1bFmWn3nmmat/9MOLL7zo + LX/91tnpmY+fe87ggqHt27efddZZn//iF05+1yk3btjw/e9/nxASRVGpVPKDACHAAYOIQgggxohC + BjAGWJFNDM0HHnzkoQc/punFKMCM6ItWFTrtJ0aGyoTM9I1GqgpGhg//18sv0nV4+hnvV1QIIcXQ + SABS9SylIElSCHAQRFhGc7a8slwul3Vd7/V6U1NTuq7bti0cymVZlmVZDMWL7tjvXG3noZsHdAjA + nN9RNvtKfkeZV/I70nVd0zTRcRa9oyRJEIAvyo7+q0t65XF/CQcpasWg06YkthcNrX/Tm2HSXbyw + P416W7duufvuu2ebfhDKmUxGViVCWNfpYIwNVQOAMwCEih2EgDMOAYAQbt68efFY/9FHH22ZeT/k + 1//69nS6zYB0gIPEBAdJBeIddpCDdDAOxl96IAAh7PV6jJA48kLPO+qoI5ctHfK6zfHx8ZTI09PT + EEqShEgqcGgEItETxmCOnClM2mhKkoceemCgP4shGh4eXrF8bacTFisLb7r5zl6vN9tpEwloijon + wzDXUX7BzgYyThlTZYUxZtt2FEWPb358+snpNE1bXufMf/i/1X1Vy8wMDld27doFMCCMf/e73/3m + N77FOS+VSoVC4de//vXq1at3797teZ5hGL1e79577/3tb39rmqYsy4ZupmnqeU65v8+pOStWrep0 + Oq7n5fN5UdJ64skn/+EjH27M1pcsXFSv12NCjWwWQiizJHVdlVNDkRVF88KAcMwkmQIJSJhzRgix + TL3TaodRkqZpHMeqruVLRUVROOetVsswjP3794+NjQEAPNfVdV2TFcf3OOR9fX3TtRoAwDAMQsjm + zZtrtVqj0bRtOw6SMI5kWQYAICRpptZqtQCChVw+ThPLMHOF/OTkhOB4CAhPmqYcQQFrlBEGnCaB + /69f+4ZpW+2OU8hlw8C3VSl2u5oMFUkSiJJGp8MwxhyjkMma3ghCK5fjCeu12hnD7LjdmCYU8tAP + IedOz1N0LXJDSZLsTEZ0Y8rlMmOs0+lYlmVZVhCGxWKRCnQlxiQkX/7qJU6na5u25zi2bYd+QAgp + 5PKNRmN4eLheryuKokqyFwaHHHLI/uoEI5Qw2vF6lDFZloXUG4TQd918Pv+HTnOBeIIUEEI4ZZIk + ybIMIWeUVifGtU7XS2LZNGECu5mKjCXASbPdHuUM6/JMs9eeqa0ZKBEAdNPWoDQ708IQq5DLkiID + MDM1O5IkkesEkd+eqk23243mLEuT6Votny1IEDmOo+t64Pme5xWLRc/znnjiiTRN0zRlgBFCZmdn + hcNPo9GYbTTe97fv+/gnzlm8dImqqk8+vmP2/2XvPaMsPasz0f2mL38n16nU1VndrdRq5UwaYxhh + 8AUbW7YAY3NxwPFem2CYGYxNtA0jsE0wg1myLwvD2HC5JBmMbVAwEpIapVa3Wurq7sonhy+/6f54 + q0pNKwwta+7gu3qvs2rVqu469Z0vvO/e+3n286yt5XlulO4sy6IIi4JPT093Op1up59nmee6W2Zn + 8zzv9XrlctlYPtoY+75vEt04igAgiqIsTRFClmV1et0gCIyKg7n/v3/4+7/4i79ICBHF+pSXg53D + hx5ZWloqlUqO4xgDpU0tu61btx45cqTZbJbL5U6nI4R4yUteEoaln/qJn8ohZ8AwIX/8x3/c6XT2 + 7NnT6nZg3ZAaPTFCprRJ+AEgSZK9e/dalhXH8XnnnaeUqlar3W5337593/rWt8rlshHKdxxHSHma + SAP8CMp8G46QkY8wrk9GU87ciJxzo3ywKXYsiiIej5HW5TBMksRi7O1vexvn3CbMdV2RF91ud2pq + Ko5jJSVlTEnJLMtoh0VR5AZ+NBzVJhr/9c9unj95wiSaSmvbtk27elM3LE3TZr0RjyND6nU8N8sy + YrEkSRoTE51+jxBSr9e7/Z5lWUJyz/PiceQ4jm3ba8tL1119xfRMExPV7fcYCwO/JhRptVrVakgZ + UVzGUTo5MZXEY8kjvxSOx7xab45GoygaVRvVhYUT4yOjPM8JIUVRYAxFkYdhOM6SSqkex6nUYNa7 + oihMHmmUQ54SPXgW82dKSUKwF3jvfOd/Of/88+e2zTUaDSHE7NysQfzMiao0ahjjiy45wDmfrZQ5 + 5361PD8/f/joo+ayGjKY8ZsyvDJmWQ888MBPvuqVcIriBSBYaa296dd//YkDQMAcO8kzhJDWSimO + sfE4xQSj4SCentp62+3f/T9/9y0AFDRRgAHwZuKsgABgrBUChbVG8CIwUBlChCBR5EeOPn7vfXcH + YZVQigghhHieHyUJJdb0zIzruq1WZzQa2barFHApMaYYU621lM9Uoxrw18C+ALBp0owJ5lwYTyEj + /FKr1Rg7Y78jUy2bSt4UMIYIh58jRFEpJbTYFEiglEqsKGPAUB5zt1R79Nja0vHHP/6XX0cCEAZM + 4Ypr98Wq0xt1qRNIbbHAJtrm0kgvros0PAGvaVhdXaUokyC3bd29vDpACAkhao0GpZRDvn7bKgD+ + v2y1Ohtn42ycaQghSl55eWmpWi6BI+MRuvWrd3Zb7YmJcDgqlLL8sJRLGI0G1XqDWWQd9QYNQECD + kma9oFJRRnwl3Pn549/4+kpzAgjxLrvWXltbA3AIIU7gUocYLxAzyYmw3mgAbx6OIhRJKSzCCEGe + 5wzHA+YwnkqTWhiivuu6hSzyNNGaGGmsKIqiKOp2u/fcc89pH9DMwCRJghAChB3XH4/i5sRUp9MD + ANf1s6zQGiGEO51eZ61jWdbRY/MIobzgq8MIU4KRxEpQLSgASFjXzSJMK0wwVrzgovBdh1pMSmW7 + DmAktDLMAi4E23CtHY/HYRgaLspqu9VsNtMs41wGpUrOhQBFCInT7MjRx/I8X2u3TVNYa7SeCWCk + lFAI+v2uxmhmcirK4+W1VbNBc84t1zEdUsZYlmWh67qaSInT1e5gaY0yZ5BkeZ71ZIGAU4o1Un4Y + NKam5/uDOOcglacZBlKAYvEYuBZJlsYJdZkEbdu2BVAURZELjRRjLMlSAdoLA6PSEQRBqVQaDAau + 6xoJQYMLGTnZRx55pFwudwd9Qkg/GYNUWutBPMIYP3LsUUOssCkrpDi2dKLT7xZ5buoro4Ble26a + xkaY2+TEZzTOTQjhnDNElVLE8J5Mca60TeiwP6Ce2+12HeQMh0ONAGHItdyz//wYpX2eXnDN5Uf/ + 7msQeJ1CEuxgi4Z+abnTFYo4MxPgh+D6dhiUGo2gGpzoriV5IruZZdFer4MxdS17OBwOAUzl3+v1 + NAJmW0eOHFlprdz02tfefPPNN954463f/Ea72w3D8NChQ69//esnJpv/9A//9Pd///eAkNI6SdN1 + FVzK+sOB+QhpntXqdYxxFMmcYYAAACAASURBVMfjKNoyu8XwFQGhOEkopSaLJpRqAMO3opS2Ou3J + ycl2u12uVrzAl1pJrTQCoSS1mO06nPPA8zlw86xVKpXFxUWDWcVxXC6XB4OBQfMsyxoOh9VqdTwe + v+pVr4rSWGv9hje84cCBA29729vK5XIQBP1+/1TiDGw2TJVmtmuGyhzPXW2tYUoCK7jhJTf89M/+ + dJqmjx17/JLLLrUcGxFcqpQ7vW6appiQU32QfkQRpKcLIzxy4YUXGl6Tbdu+7y8sLMzNzdVqNSGE + 4S9edNFFYRh+5pa/adbqSOmJiYlOp/Odf/wnw620LAsA8jw3WESaZ5VKJYqi17z+F6aak+1e13T0 + 1YbF6qZTzY7t23/hNa+df/zY5OTkn/zJn9RqtTzPd+zYccMNN9xz8L5777vPtWyuZLvdVqABoMhy + 27YZY3mee5XKpZdc8vtvfev8saOUwo6de1rtrNPOkA6nZ7YWPKEUD3pD3/UYQUrFhCVSFeNEjqPE + 9/2XveIGhsj3HvjeH/7hH5iyx/fd9UYOY1JKgzYSwhLOTQPDnC4DQZgM+7R4dommYdnd/+ADDz9y + KMuymZkZoxxoUvZTW/tCSUKIZVl5ngutfN+XSiVJYts2JmRzhkSpTcHn9YzZuO5uTnZtxpPZjJRa + hKA8z3lWEMexbXdhYeHvvviFr379HzcNIjWWAAqQAFBCK9iwmkEaYw2gKdIgpWKMZUnqBZ4G2W6v + 7dmzO8syADwajRzPq9frlLFerxdF0dOdyWd+ip7C7whjjJ/wR/P9/6HfURmexu/o6Q7pad12zjAQ + QgQThbXi69Wa53mBpthm5XK5tdZpLy3ZCEsBlQCGERAJ/d4QYxwEJaGpljTLBdHEsy2ttTYe3rCe + uCilhBTnn38+gfT+h46ORw/lnExOTiLqD8epOTkYI0AgidYA61rhZ2eQzsbZ+BEPBbbDlFJzc3N5 + OrZLpcOHD9drztatzVKptG17da01eOToQqUxPTMzs7y6Vin7WmupFIAGLQkiGGOKqE1dnqeNRgN0 + vrQElgWra7B3r3fw4MF6vZ4rWzMay1xqAQCmg0MI0aDW81207v8onzgu0OiUF4AyvF9s9K8UIHWm + TlrPgF8TQhhjiIFSimf5YDCghDDXRWApBUaxRmmsQBMEGlFEKcYsL4pcct9zmGNlIuNxFscpoiQM + Q6Px2+l0mGWZHMMMqZs2nGVZQRAgjDNeIMEBgFLqMAtjLAoRx/F6Q219xAKbg9dCEoKNOyZIlAtO + QZt2fpZlvu9blsU5Nz8JwzCPY4daoBBjxLJsXshsnAIoQJoyhghSSnGNM6G4Rog5zCZEE0ppkSRp + lpXd0Ma0yPM85VGe4JxQ17aZQ7BWXGBAFrUFaMM8N4w1rfXU1NRoNAIApZRlWa7rmkah2QuyIt8U + KwKtESCQSilFEZagkZJcK4URB5Xywnwuz/PMTmqatmEYFkXx5Au/Plt+JhkT0hikElJqKbEGizKH + 2I7vKYQQkjT0bvzF133w4x9uR8N71taue941n3jvHy+X/e4442lRQ0LVy6WZKVEJ+0idh8Sjx+cP + HnmE1ku9YU8pMU6ysheWq5Ui43Gazm2d+/mfvbHZbE42p37+NTflafbXf/3Xrut+4pN/+dY3v+X6 + 5z9vot545zvf2Wg0lldX3v72t1940f4tW7Z89VtfPzY/Pz8/b+TOEcamLDFMUaEUYP2Zv/3sFVdc + ccFF+5M8S3m+beeOVquFKPFcJ8uyYTSuVquW63RH/eXVlbwoLMeu1+tREiOCtdZxHJerVYzx7Ozs + Bz7wgSRJdu7c+Yd/8K67777bAsvx3FKptLKy0mg04jg2CTkAvPrVr7744ov37t1LKf293/u9OI5v + ueWWpaWlaqNmqmKl1PT0dBzH5jbAjJpcSEqppDYJPKGk0+l4jlMqlfr9/utf//osy66++uqHjjy8 + sLCgtf7iF794/fXXf+xjH7v4gou/9LUvHT16lDEmN/rRZKNS+l9VHcGzKJAczyOMHTt2LE1T3/eT + JHFdd3p6utNqj4cjJaTnuEqparlSZPmRQ4+8+Jff1G132u324vHFxRMnGWOUEK01QjpN0207tu/b + fY5CcOWVV3784x/DSgFAkiQGuUIYA4Dpl/CiEEK867+8k3PeXmu99sbXJFH80Y9+FFPy5je/+cIL + L7zk8suqtdrLX/5yN/B7vd7ExITWmicZAURtuzUcxsNR0Wj6rPqNL99278F7Zrecs+/c6yYa+/75 + m/+ap3f1ej0huO95UuZZ0rnqqn1z25xHj91//Quf96WvfOmee7/3V/anXvrSH98+t9VINZjBu/F4 + zCxCcEAJQqA8x2W2U+SiKIqs4IQQmzKEkCz4marbaQ34SS+1Qco0IGZRFAo0sy1CSM4L07QwUInW + GhDChCZpanpdBedCSpPucyUtTTaZgeszTqARWvdxMgjSEzjS0wYucmFZFgVHao4Qq1RLnl9kWV7I + U8qYJwbtlMYKTHIOgMx6hwBrQIQojJElcslt22lO18vVirEJ1lJVKpVyudzqtLvdLgCQ9Vvo9IN7 + Yk7m6eMH/Y7M1JAihPi+F4ahZTlJkgyHY87lKX5H+ln7HT1XBcNp72/SDqQU1QwjpXhe9iyeduam + 4MD+2W3b5vaee0Eu6P0PzH/33sO9VhyWK2XKgGDJM4XU+gzSxskyrJjdu3c7TCwsL0iB180HtDbc + FZEXWmupQEsAWMdIz8bZOBs/+sE5xxg5FDkuwloXxTgtxoTg/QcOYBL2hz3LJhhDmuaUWBhRwGbY + Fa3b7yGloUjzoeTDXedcPtEIdu2aOHLk8cWTbdsV46HQCCmtQJ/eZXuG2Phntfmtxko/uZuElNbk + TDH40/Yss6UVWa6E5JybnN51XdMZ1IJqsydpRTSioKlGGpBGjAtFXZsCcKQU0hnFyGJz09PRcGQK + AM/3ldbG2sFIBBnOiNY6yzINYOolajHXdoqiiKKYUsoINXy/zRn0zR65AowxIiCVUlohAkAAKEJA + iFOpmLc1/VbjS0MoTWSBMBSSgxR5zm3KyuUSEDQa94jSlBALEcoBJQJLUJQUmOWFkFJrCWkaI63z + LMOUbJme6Y9HeV4owIwywLjgvJCCUJoWuWVZhs3e6/VqtVocx5VKxdhdMMbSNDVn1fO8rMgRxiav + RRsaSFhrgrDhKxBCbdehjCnQRpdPKZUkCWHU9T0MyJRMZ3bJnz6QRhRTmxHQWmR5DDopkk7UawYV + 7LE4z37lN95ULvt5a+3vC+xdcv7Xk67v2NRiHuWDbs9ei8WQdaT4yp/1jrZXEwYvfsXLJijaMjfb + XV1VQg6HQ0osQuljjz/+hS/933v37v2X274jhOBZfvzkCYTQXd+7+2d/7sbf+p3ffte73nX//fcj + hKanp0+ePPnAQw8Oo/F377prOBxOzkwbFNRGoIVMkiSKoowXrU57z7n77rjzjpX2ysmFk+96z7sa + jYYAsXPPTkPXNM3ZPM9v/+7td917V5Qme87d1+52MCXxMNVaY0o1QoPR8O577vnMZz97/PjxMAw/ + fcst/W53+84dey7a6/v+cDw+ePAgxtjBDgEyvzo/OTl50003pXn+0KFDpVJpeXnZkN6CUskY8Nx1 + 112Li4v9ft+gvsPhkFgMbQitrXeGtZZK1hr1arly8ODBNM/Pv/BCRMgtf/M3t956Kyjl+/7hR4/c + /JEP79279/4HH/jUpz41Pz8/Mzern0SzehZMq+cqzrhAyrKsXq9nWVYqlWq1Wrfb7XQ6e/fujegY + Y2zQcCHEeDxOonjb3PaXv+wVg27vve997+KJk45tW5Yl8gK0Vkq88z/954suufgNb3jD//aqV05N + NF/0/Besrqz4vu+67joDCmNDVcIY25730pe+9MB5B7bv3rZj2/bW6tprb7rp7z7/+Wuvv/55l19/ + 8TWXKKW+9g+3/vIv//KnP/3piYmJU4ltRmcvT1JGrCJDw7749Kc+PzW15z3vueVvv3bnqOtPNndl + w4aWCkgpi9tIl1dO4isuu3BpceUFz/uxF7zgBR/44/cVGQeFJqoNnudS8iDwmEUow0EQgHFkUtrz + nSjJCLUMVcBcZnMHn8qyg3/DvH5RFOtEZAQAQCxGbavgfBRHQkpKCCZEKSmkJBgjjIMwVAgsDc7G + wWiMjF6KaeltHth6zm30Q37obg2lDABRypDE0TiNILFt23GZ2TAAADQ2uuugMSDFVbZeMCGTnytA + SiFASIAGy2W8yLKca0Va7dUTCwuO45TdkhnyabVaRplQa82l0FqD/mFRuM2Phk73OxJP43fkPY3f + Ufcp/Y5+yMzgWYfW6zJQZhrVdIbydsFFm1HYu2PuRddfWqSt2cmg01ro9lY/9hffxQyPE0atRr1W + S3KZZrnr2oCUQgrwEz5IBvmRUt57773bttTn5ub27b1weXVw2+0HW4ttoXClUsEaMMZYG2vk9ZO5 + GXB2BulsnI0fzbCQWTEefviR0MeurW782ZdgFIPMlpYXjs2vpClpNGpRKkZxVq01eJGY3QkhDBoj + jZTioLnnWNjx7rr7Xy0qHduihL3ghc+LItGY3v3FL32j14/6cUR823FsI3LTEx1JpaHYYYzVuqOA + gg2s3QBHCp1SJAEYpw2N1KlNtTOKp9uzXNc1mzJINR6P160nFQ6tUGtdIIm0QlorUFJhDUhgHudF + qVrTWg+GPWQTHDCk9Gq75RJmxoHMLmDm0Q3dAGDdXtxwrbmSgNfpc4QQTSljTBTckDg2G5R4vTep + JShK8RMZodamKw8AMi8UAs/zsiwzY8ZpmmLGkOUihGQhQCHMUCqEjmKEVZZnVCHbtikhjFCHMoUQ + IFIoKZVyHEcTKYrctW2jVNzv9zVGjmUTTIqCF0VOAJlGGGPMFDxmlzQ2tUZY2IyIa63TNDVar0op + bcw2lEIaNjcIRYjZNUyLVm+QMHMNAOD6nlKqKLIgCJKnYog868DIkkogpIpcEERDz2WOtdhaHo+H + Vz7v6qte8WOrw269WZHjsVxpnb/znEdPLjLb8ohV9r00SWzLzbVaGQ68ZmOQZ4Jhy2F//98/v7S4 + LPPUtT3bddM0r1QqmNFHjj66urYWx3Gj0Th+/Hi5VCqXy4sry4SQX/+t39yzaze1GOd8rd366//r + bxqTzeFoVK5VM15Yjr28vGzuUtu2Xc8zNXwh+MzWuf/YnGjWG8Ri0XDEHNuzne6gz7Pc8T3PdoRW + suDlWnXY6xdS2L777dtuW2u3G82JwWAgtarUqicWF/rDwef+++eNVUmn0wGlK5UKlxJTWq1WX/zi + F1uWdfz4cTPmbViUx48fV0rVarXl5WXHcYqiqNfrw9EAAB588MHDhw8LIcrlshmditKEEGJwY3PP + SymVVAlPlpeXt27dWp9o/NyNN27dsd21HUOjzTkPSqW/+8IX9uzevbSyUg7DmS1bsjwz65V5lNbJ + lhj/u6HYIYSyLKtVKl/4wheOHj1aq9XKYam1umYWIKRh0OtXq1XHspHSW7du9X2/GpbnHz+WRKnv + Bv3ekBFkyl/LciqVCmOM58Xc7JYsy9xSwAgNXE+ahBAhhJDRJKCEHDx4kAP/6Ec/evL4idfedNOd + t98hpSyVSt+6/VuMsUql8o1vfMMQ/2qOax5di1DjY2PbtletUuRYTsmySkE4rZX3Yy/6uVH74InH + aRr5U/WQUXvYb5ecdHaG3nn35977vpdOzlS3zmynFg8djwFmmC23F4qiCD2/yDKQymEWAei125SQ + aqVcq9ZTrpI0N4oclFKDOT6HF8z33VI58ENPKZWmqVZIgSQWCcvBOI4l5xqAUMQYwwBcyihNuBQU + E5NVb9YGTxc/TIaLTrlXKcKCK82I67oaoziOiqSgHDDemGHSFICAtrDCAICJDYgYL18DiQFgAEUI + Bq0VUkmeMMyq1cbkZGNyqhmGISZkNIr6/b4QynFcjKlS6xjU+p/4wXhKsyyltNmGMEIYYQwbfkfy + OfM70huMUL0x2KO1Rj+0eddpJ/a0MAUSnKLrYhB5L2BZlkikFtfWHrn/vtZSP0mBEaAULrp0Gtx8 + MB4XMkNW4Lue4IoSjAFrpDYpdoZlyQhZWFhIxq0kT/NMdXrJeDx2HLdUadi2nYwjKZUCMFfsyUZ+ + Z+NsnI0fxSh0nuc2dnzPb9QrRTpYONFZWnw0jcaWBb0+IITdkk2IQ4jUIKXiAKABIwQICGiNEEMg + RsOR70KvG59/7s4H7z82GsF37/hOWIJLriIrK6uFtimllm0zxgxXCp4JY9aATimLkFJYKXhSsXTm + odAPGMyZ78zym8UppVRxWapV1s1JMVZcMUzWKceYENBYI6SQRFgDsi1fghZSuF6AXMqJ4DKXvAhc + L89zhUBj5AZ+mqaEUkPGzsbjIk2MVBIAKKVcx5NaJWnGi8Jou3me57quEsK0JhFCoLRGgLQGjIoi + UyABMMHEpgQIJhgrrYPAH4/HRZq5tj1OYkqpxRyF1TBPJGisUckN/bKXJSkvMkqxxRylpSRIYaQR + IIQYoQBYcmnbHpIyywrGiOPYhZCqKCRXlmUhjUWWS9C27TBGEUIiL0LPH4/HrV7f9MTb7Xa9Uh2P + xw6zlFSKC4dZBFDo+SZf11qDVHDKxowQ4pxLDQghDAhLzQBblJmh33EcGdfBKBqZzfffcAv8YGgs + lBYKgUUxaJtAr9f52q1fPXHs8ZUTS47tSovYJW9lddWiMBWWiyQNarU0TdM4oZSKvKC2RZg1znJN + SFCp9oZdxhghRlGjJKXMihww6vS6GGM/DLiSCsHy2qob+Bqg0+0aDafhaLS8tlqqlE+ePDk1NeV5 + 3uLSku25heBJltpJ0mhOmErSiFsgpReXlj7+yb9MkmR6ejoajgop8iTVGDWqNQla5IX5OozGvuNe + esXlvXZntd1CmExOTgJGSZ4RiwmtlFL1RqM3HCCESqXS8YWTu3btaq2szp84fv311x89ehQhpBEs + nTheb9TDMIzj2At8g4X2ej00HHiBzxgTSiZZapIfrTUhpNlsxnFsRh5M29rURSY1QwhhRgkilXpN + gX708cemZmck6N6g73lePQzMm1RqVcC4OTWphGS2NY7HJrlCG3NHm8nVc3ZXnEmccaLj+/7q6mo0 + Gn3yk5802OhEvRFFUVEUppWyqRNg4GylFMIoThNDbcyyzG9ULdeJ43jLtq02s1dXVx3HObm4UCqV + 6vW653mVSgUI1lqjjWa56aZoreMo+vEf//G77rgz8IPjx4+fd955s7Oza2tr+/bsHUXjer2+ffv2 + 6667bmFhYWp2BmNMEdZaDwcDQghFWHHwQ2diusZs7IRw5ZWVv/pLlcQuJbNxRBi2HatU8NUoipMc + LrsCgqMXJFHs+njHtp07t2+ngEDrfXv2NhqNIAiazaZlMULIcDCYnp5WQkqloywOgiCO4yRJNvGZ + J0OEz/p6G5V6ox8gtAKNuJSMsSTL1kEVpeRG1i61sh2XKmbsIIosN3sDQmizg3UqgmlIq2d0PKYC + xBiEQJZlWVYVkFBKcG4QpM0CCWOFAbAyJC1AoMm6zbvWgJQUWilhW5bjgCx4FI3yIsUYXNcdDIed + bsewrqWU4/GYEIKN9vSTjufpqhH0VH5HGoFFz9TvqHpGfkfkOcJUEEIYYY214sq0DMIwLCHCbKo0 + j5PRnd+9w9IiK6BZh+HQOLoipQrbxUSzOMukxFICwRYAaNDmtfnmSqnzzz/fpvzgA4ePHTtGWLhz + 585xIkdRttElBYKRZFpryLLs1Jvn7AzS2TgbP6KBwPM8BGjLlq15OrYs64EHHqpV7TB0t2zZsj9o + LC31H370ZFBuVqvVdrdTKYdaayHXAWsD0SMg1WpdFv0LLtjf767kOeQZZCk0Gu6hQ4dnZ2dyZStK + IpEJ4FJKKSV1n2oGiaDTmoUGR3puw4junRZGIY3nRVEUcRy7ruu7bq/dByyF4hJJRRVGSmlASitE + JcKU0vFw5Fhuo1zuDfppMQ4rgVUqU0qLonBd1+AqZijIcPaMiq9pItu2nRU5JYwwqpSijGGl+/2+ + Y9nGl4kQQhDe7KkhBAhrY7oACG14wWgCCBMcjce+7w+HIwBwXTfLMkYRKBQEIZcSSzQaRiMZBYFH + CClkYTtECwUEEAGhBZcFUVopraQmDKJxBFhT12v3ukKqcrkcIGbU6qRS1GKUUi5FmqZIrM/rmqGp + 1dXVJEmMEoDWOs9z4zBpxtG73a7rOFrrdcYBbLD9DflQI0qoUkpkOZLKoYwDarVaYbk0Ho8ZY4a1 + 8RTTR/+GO0EjrECBwlILz7Vdu5G6SZRGmgK1mWWxIs6nJiaTJG5FqedY80tLjuMwxyauW1jZIM2x + LjShAJhzSRUTKQeMmEUIwoCx0qocVozt5ziKQOtyudxut2dmZvI0M1W0QoAoaXc6mJDJqamTCwuN + RiMXXBc4dOyp6enRaLR+P2CMMXYs21AWMcZhtdLqdY21UalWEUKs9DqlUikXhdba9d3pahkABOhu + NBpEY9f1HnrkEGOMWZZI08F45Dmu63sAQBgtOHc979GjR3ft3DkxNXn3vfeUg9BAiDMzM0YsUWvd + 7/er1aq5vYuicBwHIWSEA0zOY47UjJAVRcEYC8olI80CGxMHJkxR4AY+H0nzDGaUKqW6g37o+Ssr + K5VKZTgemadGR9r3fdO5Xp+yQetg1L8bil0cx/v37y8FwZYtW3zff/DBB++7517XdUM/8BwXIRSG + YTwap1FMCBkMBoRSDppYtuW4/X7XDwNCreEosj336GOPTUw2zrvg/KzIvTBYbbfq05OdTmd1dVUh + kBuqZJsI0u7du4UQBw4cKJL0Z37mZ/7T299x7bXXXnPNNWG1cvjwYfOYpWn60EMP1Wq1O+64g1Lq + WrbrulII13UVF0rI1d5jh4/ftdJ71PLLlme5JTuolJMhUdoqJK5NhIPhMMrW3ICdXINHHrvv6isu + KrI8y7LxKIqz2MwU5VlmFCaUFGZIRhT8/vsOrrbaQbm27/wLAt8v8lwKAQCUUrKBp5xRoCe9ACAM + QwPWEUIc6nEplFJmAIlajGJilNnNSWMICSGV1jxNAQAjRBg1/2czlX8ip984Rn3KATxlbG5pSANl + iDIspRhHiQIwjTSlxRNWGOtQjwIQAAoRg0MQ0BQ03WDfQZ4kCFPbcoKgxItUSm5ZlDEyGg3G40hK + aVmW2X7WxeieCsTQT2/Ueyq/7gm/I8/4HbHRKBoOx1KqDb8joTUqlSqlUgkhEsfpeBwXhbAs52n9 + jk5xW3rW/MkfMsyfU0phpTGiAFqqYqJW4lHHmYaL9m/bsXPb3n37gFq3/+vB7913qNPu217V9V1J + Nc+4QqCRAoxOpdgxynbu3FktsVa3lcQ8zaEoCqVQEASUUllwADDM9bMI0tk4G/9uQoOUkiBFGLUd + ihQVvBBSEKQOHLgAU3+tdY9tM2PjQQgjmCktsNZKwboPEihAMs0ixaOdu7ayvTPXXUfuvefg4kIX + YyeVWisthACMDJEMnWIW+WwC/ZusIQ1tDz8JjRcFF0KAUr7rRqORKArkuAxhhhFSING6TgQAIAwE + AcOIKLCErrmOn0I8yGZcz0N+a9zr875j2YZxQCkNwxA2hGE3k0iM8cTERJKlnXbXxZ6UstlsUkAn + T57EGFcqFSUEBnR6MYAUxlgZuoCSouCAkdaSENZsNouimJiY6Ha7xGJmN8zTnPAClNq5bVfsJIsn + l2wBiOAkSii2uOSEIkNnM+KBFBgTGitNEQ7LoQKltW406mFYWl1YQRoYs12XFFqOo0iBsizL9+w4 + imzb9j0PY9xqtS6/7LKHH37YcRyllGPbSinBeZqmuy64YGVlRRW5luu0KALIKJIjhAjBQiuH0jzP + 8ySWRW5hpEF7nsc5L5XLaZoSDJZlqYI/wc//twbSgAExapEsLfI81yCl5ON4xGxKbbK6sFSp1QEh + pLAXlrMsadTqBOlxmgx4hiwLGCHMsqkjc5EMoqn6hBKi1+toIZTNqM0KXiytrlBK6xON8XgsC54X + RbVW63S7YRBwKYSS4zjasnVuPByN4ggpPTc3VxTF1NTUWrtlhspK1YopPGTBjXhgt9/zDA3Kpk7g + zJZmOedcccwwsQlQoIgaXZD+uJ/nea1Zow6dmJrM07zeaNi2bUQaMKNJlgqkCcG94aBaKperFT8M + BsOhbdvlSkVwDlJoBMyxO/0eQmh6ehoINiY91UZdCDEYDACgVCqZZ8rkTpxzLkSlUjFkyzhLN0gt + T8wgaa2FlJTSTrdbFEWSpmEYGq9nqRRmtNqo1+v1JEniTtpsNtvt9ia/6VRQ4ckAw/9nccaJDkHo + Hb//+9OTU0Zz8zfe9Ouvf90vLC4uRlFkZssYY1EUhZVSUC4dfvQRCQIDCUp+JvNxEm/bti1Jkk6/ + s2XLlqAS+H74/Yce3H3unmq9dsFF+7uDrtQq48V6T2VD7M/oZhy4cP+RI0cm6g1VFuWwNOz1jfnA + G2/4j6a3cd11133mM58xJdbMzAxjjGd5lmVFnhNCFBeEIMKA2XTfuXtGg+y22xdPnDiI1fkaZ2G5 + QYg9f/wRP8yb0zyfX7v3/keSfISwUlKtra2NhsOy43OeH3ro4d27d9nMSpKkHccI1oHCQsvJ6am5 + Hbtrtdr8/HyapkaHHp50sTdP5rO48EXGi6LI0wIRsG234LnMheUw3/LiZJwnGcIaaYyQRhhprRjG + AIgrBQCWa5vuV5qm1FrnQCCMECDTMFz/ycbfejrG12aBpJESWhCCsdaWRTHGCBEhBBKYUgsANgS+ + N4aOAGmFFEJYEQMrIU3N21X8utaySJJkOGaWbjbrk5PTNmOLi4vMcnzHlaCzJEUEh36gQJ+2B6/X + JxuqEqeKTJz6lWEMBCOJFFr3OypVns7vyHsGvyPXdgrBQWnKGCid8wIDAoyQBvPVdDHRc6TxDRsI + 2CZJUkoZjeKsk3Ehvym5cAAAIABJREFUKFU7tkz97M/d2F06NjUZHj50/9LK4tdv/Q7XIAFsr+6X + ykLgwWBAieUwR4LWCBnhpo1Tpznnd999946tE9PT03v3XHDk6MK3v3NvnGlArFypgFQYU5ACBIAG + QhHCpk8oAa+z9LQ2093wrOcHzsb/z8I8jKd09NEGwHvKyoIUaIxgPRdf16r6QfmyTVj4tMfpWbQf + EKiNg1mXDpMIndoSwnrjENb/xg9gwArpUzHqJ/5FG5UbUGj9909dPPHpK+uzO3bze3ijhMCnQSWn + HOfGDI8GYwyACRx65FDo08CF177mp2yL21Q+9vijDz18LM9pEFSE1kKIcjlM01hrDYggRBCiGCEj + zKK1LpVKX/ziV7ZvnRAF37Jl65bZcwCcyZkdn/n8/9PpdIZxalXcIAhth/m+15M9KbXGiGqKEV2n + 8kq1eQcgjcxXpDBojEFiM66qzQtjDdic7Kf+jD8Q5jzgU//Lhgbr+uIPWipx2WWXvuOtvz87PS0K + rpQadfo7p7YLnucyAxAYKdBSI9CEFohiaheJ8Jmbj1KHsum5qZVO6y3v/8/3Pvj9NE05565l79q1 + 68ILL8yy7MEHHlhZWcFKW5i4lu03Jy8497zuoC+lpIytRePhoI81WIy+4idedtNNr5msTyil0Ck2 + 4us5jxluV0ooWS5XEUKdXs/xXEzJq1/96kJI13WlUlJKSpXNCAjh+8HrXvPa6657XnethTEGJbN0 + WKuV0myEsPYch2K6cHzBd0s2tbBmXKqCQFAp98fjsFzas33P/NL8b/32/9Fut7MkJUpjragCr1ya + nJ6aqNQOP/JIFEVpmk5MTOzYseNXfuVX3v3ud3c6HUKI7/tRFCkuts5uedub3xL6wcz0pCz4hk73 + uoiRacganC1K4lKpNI5jxlhSZO1+76bXv44Xhes4aRorIeG5FQHCJC9SzUEIQR0LABGLKS4c2+m3 + Ovt2nTMYDIejxK9XBkWe5dxHhFkYA9JIM8YUVkqCVJIojLlUmRh0Oxal1Wp5rbvGk6Q6OYEoYZiA + VDzLwzDs9/vNZtOx7TRNDXMHAHq9nud5KE3L5RJj7OjRo1u2bS2VSlwIrXWSJEaKzNQelFLP86rl + ymg0itOECWbEMBBCZppdb4jxGiSzXC57YdDudfM0VRwAQErZ7XYbjUbgehnKGCZZllVLZYzxYDAw + iBBjrNvtTk1OJkniOM7JkydrtZrrumtra57nLS0tAUClUgEA47ZkWVY8GtsWU0q5rlsUxXA0MrNJ + p41kn7q0mZaByZ0wxsPhsF6vr62tNZvN0WhkMdbpdHq93tTUlBHnMPwg02fZJDcZq5Xn7JY4k3ja + Ammz18459y0XAIo08zxvpjl15UWXv+DHXrS4uDg3M/v2t7/9l37hl97//vcDKIqwbVtFkVcqZcxQ + t9/1S8GRxx8t8lwTWGu1ahPV7rBLCAmr5Zjnjy+caMxMbdm1bZCMvvKtr/7RH/3Rm9/6Fg4CYayk + xIQY8M4sHgyT73znO7/9m7/14Q9/+B++/vU3/eqvff/e+/I8v/3OO9/4a7/6wQ9+cGll2XGcj3zk + IxdceOHS0lKe54PBYGqiORgMCMaGVJbnBdJeGuMv/N0/XHPVi0/M30/ISQDh+k0tfanptp10afVQ + ZxjtvaDELPW9b9/10v9w/WPzj2MCSslCCcuyLGI5lpOlIs8kxlRj7Qb+OE8vueoyxmwEVr831ACW + bXMh1imCeH1bOB1SOPOyWGutJNi28953vzvPC4LAsd16o7a8uCylEDm3LOb7wWg0rFZrnBej4dC2 + bc/3VzqtydmZ7z/84Ef/8hPVeq3b6zHHtm273W6Hnm8WryxNQz+wbbu1shqGYeD7aZpShOM49ksh + IWSt1fI8z/HcoiiEkpTijBcOYZgQ37MXTy7Ozcz1BvHP3/ia7dv2JHEqhApKYZLFiGJMURQlpUo1 + STKHWVmSlr3SeDRymONY9njY932fWCRNo/6wd+jRh1ZOtkSuXdcHhAx0SxFWGhQXEjT+QbP2DTQE + ENJSK0AIU4I0aGXaWQghrITgSkmplJBBKaxWq9Q6Y78jx7K1VEIUCCMMSAmJlKaYEEDrO/GG3uDT + ZXXPEM8wraSUmXNe31DNhBslFnFcx7U40C9/7VvLx46uLmeBB0kCpTKcc+5ElMpRVEhwqWNjmyqh + FTIEO0MVxwitk/ewxlEUHT8er7RGqyvdnBNKqU0BEeY6ThonwqxQGABDkeWiSJV2FFUSS6Ek0phq + goEo4PopC2t9pjqOZ+PffWgEEisN2OiCYY03lJuVUpIQggkghJHGeZa5lkstexANLNcTBdcalbxS + nCWcc9dxZFEQvA7Eb2ycBGGkzgSkRaCwkljqUhgOB2NMWQ7aCoK1fjesVC1EpchVUVCkseJScUos + II6QSCOllCIWIVhnaQoA5SBIkwQjorW2LCvPOCGEWGxYxJ7tDaKe6zgWtdI08R1XS0AKkMaGc5Lz + ghBEbQshBKdYIv7Qp3XdXE0hwIABlMGEzc/0+niPAqQAAQhzuoXj0GZzqr2y+C//fOdwsIw1NJte + FKVS2GHVTsaFUrooMkIwACiNtcJGVm4dZgYWjZOpyS1asGOPzd93zyDPYNfu8r7zs16n6zBXlRkJ + bYR0zvOsSLUFCjDSBDTGmmqtsdSYGHccjRFxLTfvc6JwFqV5lIXMAy63b9l61eVXTE5O33zzzYQ6 + WcGvuuyy3bvPWVxeuuyyyz77ub/9/gMPzM5tMbpHWZb5rgcAjUbjwP79zWaz1Vr753/+VpQmlVq1 + 0+sFQXDhRfsfe+yxJEmIhjxLJmrVxePzN73yVZP1Ruh4FmaQIZRzWmTpoEOwUIoXWCLHqUzNateN + ChhE6Wqrd93V137qY5+cmmhO1ybTcQQARMM11137kT+9uT/qr62s1n7113/3d3/3jjvumJyc/PMP + 3bxn39677rrr9972VmKzNIt933VdO48TLXgp9D/9yf/28P0PWJaNNayutrRCQghKrWq13O20eF40 + Gg0/DK644rLl1ZXv3HF7c3LyPe9/n+d4w7UVYjEtlUKgQCnNLQJ5EbPA+sM/ffddt98902jgLJ/y + g9UTj5UcOrdlamX55KDXQxosYjUnppRATq12MolWozjP1Edu/jNZ33be7N61ldUCiagYbW3MFsPI + te3xMH7PX/zBicWT7/je9wwhvyiKSy65JEkSMylEKV1eWCyXy5VSub3W8l3vHb//dpuxWqU6HA6j + KOqstU2HMQzDJCssyxrH0fZdO8879wJmW7fffnupEr7r3e9yCAMALRXFRGutpDxtcz8tLMvqj4Zm + VMlxHNgYjJdSuoFDKeX5+hABACCkHWZZllXIXGEiAZQWSCtUyHoQ9NZWbT9ABPrjkd+oK8EtyojS + SoHtuQhTkAWlVGScAau4pXQwqoflVr/LuWdZVpbEIJVNqOd5q6ur1UplMBjMTE9HUYQBiYKncVKr + 1ZSQtmvxvJBSGnGsarVqmIqO5wohjJdxHMeM0jzLLMqQhvFgKJSshKVOr1upVJIkcW0HE0YpHQ1H + pVKJc87zohSE4+HIpowiPMyywC1ppZRSlVJ5NBjW63WkQUsFSiMNFmUYEEHYtq1oPAatC86jOA5L + pVK5nKRpXhRGod7k3kWaUUqTcdRsNo2SU5bJcrnc7/cty2o0GmbcY7OgXV/KNpQ5AIAXBSHEyHwT + Qsql0ng0KoXhaDjEGBuNeFNumTqtVCptmlJu8vT+JzFxfph42gLJMIkN9dAAaoyxUhDOzc11uq3O + Wmvblrluu7N4cuGKK66wbbvTaVHbIoS0Ou1yJUQYH7jkon+9686vfeOr5aB8xdWXCS5NAupYbiF4 + liWPHZ8/8vjRUr1sB97jJ+avvPbKiy695Etf/7rGSBbSqCwghKRSZkHsdrs33njjT778Fc9//vM/ + 9KEPffvb37ZdZ3V19cYbb/y9t7xZaPWTP/mTu3bvPnr0aC749tp2Mxpo23a1UomiKE5i1/WCoBL4 + 1T/7yMc++ue3iIwxUsnidnstmahvjaK4Vi87ZS7RAJPsTz/0noceuvvO2/7RYlhr/eKX/AeK6Wg0 + sm3bcRxD05IAoHSWF3I8FkoRQgmwOEoNRnwqOvRcXWNKmW07Ssid23Z85MMfZowRQL7rReOx1poi + bGzXjE5IkiTn7NjR7naZbXVHg5379lx+7dX1SnU4HtueO44jwmitUbeZpbVOxlEQBCUvePGLX/yV + L385y7LxYHjxxRdXy5WZmZk4TUx748tf+6pxNhAFx5j5vp+mMc9Th9nNZpMRq1FrXHrg0seOHBcc + grD26KFFLnRQqWZZ0ZiYevShAaWsWnZOzi/OToHDYHW0XC75khfLC8f/919+4+T05OLiyTve+p2c + Z+Nx5Fq20GrTXNmAuBYmpqPwZM0ijQCjJ/kdAUYErRerUnvBqX5HI/0UfkchPI3f0WYNY77BGozn + /A/85FlVR88cGGMMWCFltPUAwPM8vx5ix0nTmGDW7vTSIicUKAWEQCrw3JIEPo5HSmqRc6mUxRzD + CQYMGGMArLXUWkslHYuWyxP1ip0W6WAwGEXC92uNRjXnkhCW6BhjjDQSSoIAhgmlFGPQWkqQgNF6 + P9iwW87G2QCADTQAkEKaYG34Luvhum7GM0qtJEk8yy64DF1r0B0wxwauizh3fL/b6welkDEmOKeU + gpZag9HEBISUQeDPEItRCDAjSZpyzinFQkiqtev6WZYJhImUVCnCMGZUgxZSZkksFfZ9l1Ja8IJZ + hFKaxfHyaLRlZjZJE6W0kFITxLWM4twN3FE0rAQlqWQyHtqWJbKcYkYJE4VEmBo1ZAUyFzzLUodZ + Z3pSlWkZIkAaTrMK2lwPEcC65iQF23I1L2ZmZrRU09PT3W6/XPJtmm+ZmwnLotMuOoORG9RllsIT + s5oYIbI+hgQakLYsS/FienrWscj9B+ezDHwPhBBHDj8SBIFEbjUsj1SU41QpkYsc+xRTqaXWmigJ + GGGCtcZYEZLnuVBCamlbTpGLndt3PTZ4TEf652688drLr11bXXVs79Zbb73syiv279//qT//b5+8 + 5ZPXXHPN4/PHms3m/v37l1dXoijCGPu+n2XZ9u3bv/y5L332i5+1KHvda15z5RWXveuP/qDf7dQn + 6o1GgzHSnJpQQp48caJWq2gtJc+vvPSSP33v+0WWH3v4cPf4ypdu+Ws/StQ4ARH7vs0popRZGF54 + ww0HfuzFQ6W/+8BD//St7zAbxVnOLJJE8fbt25eWli67+JJ2r331lVft3rnrjW94wxt/8ZfaK6uX + XXH5gfMuvPzaq7Is27Z75x133TE1M6OViMfDcljCSmZJOjs9efn+191www2f/czntm/ffuzxk5// + /OcZsxdOLOzYvp2AXlxcvPrqa6+8/Ko0z970pt/4rd/5HYdZFBMMaJOTYpZuQqnjOEZe6EM3f3Bb + Y2p8YvGafed+5s8+fPvXvzK886DPsypBPMsZpTsqk6xcedPb31G6cN+R1fbHP3ELlsjGVp5lRVFk + mFebtW6/JYbpVHP6fX/8wUvOvXh1dcXIlymlOp3OK1/5ym984xvD4XB2dtY46szPzyMNru0sLSza + jN38of9qU/Ybv/GbL3zeC44eefTQoUO7d57THw4ocbwwwJSFXnjBBfsbjcbP/MyNH/iT90vOpRCA + EN7ooKFT0uvNmxk2QEKktNnCTHaXpqljewZ1MVk1bGhCmFLEzMYrJIqioBYBRrAC17Uhk0gBQmit + 1Zo6Z2eB0dH549Uw6PV6rmMRSixmJULkScZcFoahJbFKCymlULJUCW3HGWVRWCn1+33GWGt1bXZ2 + 9sSJE41Gg2IyHo4mJiaazeZgMDAzWsZON3A927J4UUw2m1Eca60JwklRzExPLy0vE0IYpUIIz3F9 + 35cFR3mexvFUY6IoCp5mZdcvVarLy8sUkMss17YZITwvsiRNotixbN8NBOfGibRWqwW+zzmXQniu + q5VK4jgMAiVlr9s10/6m1KnVaqurq7ZtT0xMIIQG/f5oNDIzdWmaGcuvfr9vagHXsYfDoed5tVrt + 5MKC4ziu60ZR5IUBbLpobnRytdYGrTp27FitVguCYDAYeJ4XBMFoNJJSDgYDy7K2bdu2urpqZr/b + 7bZJsI3mpLmgz1ww/0+Npy2QzJiB3hCa1FpLIdI07Xa7k/Xphx48lMs8IJ4AQYB885vfHI0GH/mL + P8/zfOvWrUIWi4uLt9122759+yxCKaOzW2eVBACQUoqcG+wiy7LBoP/CF74wzbKTSycnpibvvffe + w4cPi7wwaKNpziGMpVLMsdM0Pbm48NGPfyz0AwzQ6XXTPK/Wa0mSvO9974uztNVqzczOTkxMjJM4 + iqKsyA1dSiqVZpnWSgE8dOjBj33io4IDwQ4BdzzKKfFsC3wf+n2wLJAKAKmwgtudTuAyi0hQvNvt + Nqeb7UH7kSOHPM/bxPsQQkppzjnnPM8LAECKSKE3H9TN6ZTT2HT/wzEV/VQvAEiSJB5Hnu1MTU3d + fffd73nPe5r1xtEjj46Gw8997nNpFJvWAgDkeb5v374DBw4sLC297OU/8d17v/fNb//ztS98fqvV + KrSc27q1KAqKSRzHa71l3/cNyfitb32r6zif/qu/mp6ezpP06iuvetGLXnTs2LG5ubmdu3d985vf + /No/3LrWalHb8n2fy6LbGVcqFYcRznWeyWzUcywbQN12+7/0u8k73/mBJHFOnhjs3vrCT37ib48c + ub9an0nT2LFlGq0RMn7nf/6dpRPLr/7pn0JQ/OqvvfGlL3vRvj07YW7q+PFju/ec4zl+nhdGLvTU + h+SHwVufyu9IGlaAeeaTJBkOh5yLU/yO4Fn7HT3Ddfzh4xl01dclEMx7bgRoxIglqaBE2xhGUp+7 + 17lg3649e3eXKrUkU/fdf0QI2h3klhVq7AyHI4vR0yhwhl2Z5/mll14DMnps/jGttW3b9UajKPA4 + TqmlpRZqU9QBA6WUYrJxYhFsuD1KeC4FG8/Gv/cgGqgAvDFXopECAIlAIz1O4jiNgnIplwoK4fgV + AVQrq+k3ueDMtgK3ujRecakj1f/L3puHWVaVd6PvWmuvPe995lOnxqZnuulmtAFFCCao0cQpGhWT + fJ9Kks8bo8Ybc02M0c9EE6N4zTUqiEQQBxKIojggIIqC0EwN9Nx0V3dV13jqzGfPe6/h/rG7ywaB + SJKb5Obxfeqpfvo8XV3nrL33Wu/wG7Io9jXbkkJKLjhwBAQhBBKk+Fkax7MFR1goSCqKEKBSRVXU + NAik5LaqKljhnBEsJXAAnimIY8xBGIaFJCIIoiBI40hBuqMbBd3AgPvRIGaZ4xRSwbkEjZiUx5Ck + WiZtIFGUEEYKlh2GcRzFio6yOGUyIqkCIBBBRCWmqYvsuUJHnoJBECdekBhyt4kcvSYxAAYpIIMo + SnSFaJrK00RXCdItTWNpHLzoRZf2+umDDxwYhk3OJaXawBs6liklAlAAEAKMkECAAISETKECULJu + /cY3v/XlYRju3r17MIw8z3OLjTDFQ99PSCItmVujAIjVPfPkFErkYEaMsRQghNAp1TRtdnZ2ZWXF + YsbrX/n6d/7xH/3oBz+86KKLq9WqZVmNRuOBRx+4/vrrgzjSDN0PAz8MqaaOjo4OBgMhRK1We/TR + RxNIvvzlL3uD4dVXX/W979z2pa/csLyykps03nrrrUngP//iSzZv3rzznp9wznVdr1arpUo5HHjV + glUu0tGke3qpWCmMKGFAFUgITm3TJ+jMijNasQsEtf3GXT/wJc4UTYRxWCwW5+bmLMcOw/DrX//6 + +vXre90eAOw/eMDzvFe96lV3fP+OD3zgA+Vy+QN/9aHzznveUnM5TSVVVUIoQiSO46LjbtmyJQiC + nTt3vuPt7xgMgzvvvDPL+NjYmBDCdu3qSP0nP/nJO97xdolg6Hvbtm07IaCcX+oTOAICkjMmAj8p + OYWx8si60TVjTuEn9zyobD1THm9uEurYyForyywFm7lcllmak6QAGsRMJ9QPhl7sW5aWgdA1zTDM + Vr9NFXza5k0zR4/9aOdPlgddqioY4yiKGGPVanXLli3XXHPNJZdc8prXvOYtb3lLwXYmJyfjMNI0 + bXx8HCFUdNzjs7MH9u677tp/mJ6efve7391ptTVNM12z2+9ZmsHj9PW/8RpN01rtrt8f5kJWJ2YO + JyHfz95NzhuauSRAfiJTSvNMD3LKOuTMK4EQ6IbKGKZUIZSAocZpFPiRYTphlDDAtuvoCrQ6Layq + tqrUi0VuGFmWRVkax6lCiGPZkolmsymSzDUtt1zgkvf9YeylQRqM10ezLMMSRqo1SzemxicAoLPS + ci079Pw0in3f55xXq9Ug8izd0CxraXHRNM0wDOsjI57ntVotiUBVVYyQrmmGpgvGJeM9r5MmycjI + SJqmvU7fcZyRWmN+9njgBiChUqp0W90cewYEV4sVxAAymSUpT1mjVu92uyxJsyyTUqpEScIIpFSJ + oiBcLhRVVR0MBq1uz3KdOI4Nwyi4LqV07vhxTdNq5UoQBCxJVVXNknTYH1iWlUSx4zgFxw1CP7eu + euSRR7aecUaapsPhcHx8vN3r/vQCnZLGMMZCzx9vjBaLxZmZGUVRJOOHDx6ampryorhgO2EYzs3M + NhqNTqeTF2l5Op1f5VwVk/9LQ8X/7+IZC6T8xj0xEyRS07QsY71Bv9/vv+J1r0iSLM8pOeeaQkvV + yp49j//mG17PpegPB7quPvzorsf27K7VamkYbNu2td8d7Nm3X8GkWhsZ9geGZflDT4DUNJVQZeAP + ikW3VCrd8o1bu92+SnVVVfM2+eqjwoXIOHMtM43i6WNHXdd1yyU9TaWUXuCrQm93Oo3R0d5wUCwW + CVUIVagUeRqXzwFcx4mi6ItfvO5DH/oQAtV1yu1WzzRd1y4EkT/sDzRDNXR14A2KRbff79u2bVuG + 329rqhKnUW4+UK6Vu4NuxhnVVKJqSDCEJMbk5FSQ85QTTE/1AF6FRZ26vE/74s8TxWKx0Wg0m800 + Tdvttk7VibHx5tLyl264QUo5NTW1tLRECDFNU9f13//93z9jy5a3v/3tv/+2/3UhJd+583bBuGPb + WKVxFOV+obZh0ipxbJtzPnP02Blbt77nPe/J+YIszT772c9+4xvf8DzvyiuvfPzRxz70wf+t6lq9 + VpP5OYegVh0VQoR+6Ni2rhGGEk2jlq1HkfePN91UrVa+//39h/Z1d93/vdZKaeu6C7rtQHK/pCsb + JsT9998iMre14hOsnHHGpo2b1hBFMAiDYJixxLHcE7cBQavec6tL+kxL98x+R/wZ/I6sZ/A7aj+t + 3xHGCMv/BGtnKaWQQiK56hIQBEHcToOFRaqi9VONV736NX5/yTXIvr2P3nvfg/3eEAhttr04I8OA + q0ZcLI04js1ZKiUSwIWUQooTEDtMKKY33XTzjnNP37Zt29Tk+mOzzdvv+MkwELqhVat1jLGUXAgA + CkAgt3LHEqNV4BwSAEKi5+zq+Iv4bxxIgspzeLEUCEkJHEsEIJEwLYPoikp0m1J/GNhmsbfSL1u1 + 7lwfMk4MLWahgQwR8YjFACAkk8AB5eU45IOTf9kW+skhETCMozQ1kIIznsYZ5kL4kSKRrhpREIIE + qpJE8oSIRAMuIGJDKpEKWEN4tDxCEHQ7nWHkU8uiGtVtZxD5SKFMyCgbGEjBYTZplpJuRNPMUTUl + 5C7SNIVKQbCmYJVKLBMWZTyVXAjJAeF/hY7bv/gT+bwOJABBAKDr+vT0YSwFAfGWN/+GY4tqWb37 + 7rv3HzjuDREo5TgcIKqOjTUG/S7IvM4iJzr3CCEgUTSkKDt6dCYOPce2dV0vuOWtW09bt377Z665 + YaUbxoC5ITWbaoZ+op2POT6RR2B0cv/MbRKAQZIkFcO4+OKLH73r0TPPPHP72u133nPnrl271q5d + yxj7p3++2XXder0+PjV55Sf/7+PzcxLB3/zt35oAtussLi6Wy+W8w9VoNCjQw4cPIwkTE+MI0MLS + UhAEE2vX5G6qECa+71NFed7znmeaJpNiyxlbZ2Zn106tQTxF3sqUIdapYhyUEi3ILE1UZSFKlkDS + QT+dn+EjNYWwkbF6AoGC1Gq1OjLa2Lzl9OXl5fn5+d/+7d/+8d0/qtfr5+3YsXf37g0bNkxOTpYq + lQceehAr5Nprr33T//itqYnJWqWyMDePhKyuWTNaH+EZm5mZaTRGoyjCgEtuod/vZxkvlQosS7rd + bhAE9UatWC5Zpv3gow/7YSAQCJACJJK5WQVGKMdsEhDgmG6n1e00V8YMu2iawROHFT+oJHyT5tYw + GJwrCQ/CZJgN26a5cvioU7LLjXq5VtVtK2IMITkyMqK6Oldko14TMTtt/YbHdu8em5qsG7XTTz89 + jmNFUV760pfOzMz4vr9mzRoAePGLX9xZaSmK0uMCYzwxMVEqlZaXlmTKNqxdV7aLxwFbuhENQ7tY + iqPYsews43PHj5fNAgA8fnwOA+ATrT/0s4Ojp438n+UN9HymQSnVNG0wGBBCEFEBQAiRvyilTNOI + MZZlSCAsBSOErJ9a99IX/QpNBU8zL/YVU/ezpOA4qpDdVpvqRsoyXddzaT7GGCZUVTWqGU8cOVyt + VifWTNx00z81m0umpXa77XKxzJnsdjqLi4trTzvtyPT05MSEZdu9bhchBFJu3779iUOH6vV6lqaL + CwuTY+OMsZWVlTRJkjiulsoCwd69ezesWz8cDvPRqGEYWZYVXPdVv/6KRn1kYmIiV6WXXCCEXNfN + faswxrppSClt18myzAsDQggCvLC0+NG//hvHcZCEMIps02KCU6IwxOdmj5cqZdu02u321MRkyjIF + 4dxaE2PcaDRExjzPy7LMdd3543Pr1q0bDAZBEOTvQQjRarUcxyGEnH766Z7n5ZXq8vIyUgj6mYuI + AWEJtVqt1Wrz/YOHAAAgAElEQVT5vl8ul3OEDqU0DMO8k5Lr1+UTqizLkiRe5SDls5n/ohwkxk4I + keUbHKUUC4kQsk3r+PycFChvaQRBAFIurjQlghzPFsexptEtW7bMzs6apl6rFPrDvuVYbtHimeCS + AZGEErfohnE00misdNq6rmuG3u52er3e2NhEGMRJkuSQ7izL8vuVMWaYZq/fLxYKU+vXDrq9OE3y + zkHKWdV1bccZDAaGYay0W5quU1VVKJVCIIwkRoqmUlWN4njvwT21Ws33kmZnXjPMmPdolkQsABpg + 3Yg5QxoDSvykZTjKYrNFkEgFtm2bmqoQIoxDzdDCOMzd04QAwARjJYclSCkB45wfAiePBHhmKfd/ + RZ7tDYbNxWalUlMUFQEpl6tj9YnWVEeler83FICxolJV9YJISrljxw5dUXPdi4yx9evXa5pmWVaa + ZaphrFahGCFVobrtvPR3f2+sMjbs9T/795++/vrrh/3BynJz5uixD3zgA+edc+5XbvxqFEWGZVKq + YULCMHQsmwPmnGsa0lQr43GxaBJgYeJPrR3bs/fxTRsu+Z3f+q2t67JPf/JbllKnbCPJgrGyGafz + Bx59ROGjO849/8WXne8UkoXF2YsvuVBTEQFhmJpt20tLSwpSDMPgIHLdx9WJ0LMYh62WT+iEoMpJ + vyP27+Z3JEDCk/2O4En2G0+Kn98E6dnj1L7aTz8dgXKjgBCkXDz4yN49jzziD7w0AUODNIXt5zac + imphOm4Vh17U6qxoqqkjXUokT3nD+Y6WZdn4+Nj09PTcYnb2We2M02q16hRRGCVUUzKeIpLrWQEI + yDK+ijAlgLgUIKVAUsG/0Gf4RTw1TqLgpEAgkJAIBBKeP9A0I05CFQxd6mk7prEyWhmNNEPVCTHV + hW6zWqlGMsKSOI6TpCFCJ1DpIESO1pIYCcmfzT7sKSER54RlXLcskUWU8dFi3esNhu3uyIgbc5UQ + grHe57FkqVAVU1cNgXGWuURDSZIudYWECqFVs0JNKwQ+DOKibicgGEECpMFJGWlqn+FUTpRGEULt + bodadtExF9qtjAAYEqmAcK6Zyzjn6DmNwJ5+yIxPmQnjE7OjE18CQEpIuFB0XS0Xir12c/++Q93O + bBr7a9bU00RQahYq5e4gFAQ63WWFSEAYIQGS5riFfN8xNDeLvaI7Khi+8/bH/QBUBdac1p8+1g7D + 0DCMKAoNw6IayZ18TqZKSIIQCCMh8/yeS4FzQ/kY4jguG5WRkZHHf/L4r77wV23HEUL4vk/UXrVa + RQSvXb9u5vjswsLCnj17rvi93/2TP/mTj3zkI71er1gs9vv9QqEQBWEQBCmw62+44bSpqXK5dPUX + rg6CCCGy0mxrmnbBBc9fai4TQn0vRIYpEaaKpps2pmoQxu12y/Z6aNjROdYEwUEsUma6toNRpFM1 + ZSJKeJLmx0eSpUAJYOx5nu/7dsFttlthEn/u89e4hkNB+drXvpZxhjH+8Ic/fPCJQ7br/F9/9qeX + X375d7/73VarZVlWFicIIccpeIOhlODaTqVSGQSDIIjcgh1Hqe/7lm3EaWQ5Zg5AUlRCFEQIEqsn + 4Km3s5QCIU1R4zjttNprp9YE/lCheGV5abxW7k5TPYzUJNVSRgXDklPLXsYUpZJnAgAYyESkDHEF + E55m3jC1TUtRtYX5lfGxsW6369pOjkuP47hUKp1z7rmqpr3jne8cHx+fGB9fWVm56cZ/bLVatUrV + 87zp6ekkikdq9T50Qj9otVc6Ky2eMdcyNVWJI6liYjtG5Hu+18NU0RTiGHpehOQN0NUT9tkrJc45 + UWne/UzTNJ+BlMvlwWCQ8wvyzJsQwkUGSGAVCGCJSBSnVNc3rVn/e//jrWNu7YabvjzfXl5YmaeU + +p0Vm4OZZW7BFRolEgZLTZCyWiglSeIP/AFGO553zrG54+s3rzv3/LPvvWcAjPU6PV9ShIim0C2n + n95abm7dsuUDf/7+L331K4/1+oyxNROTe/fsKdjOF6+//iW/ctnk5OSwP2CMvfqVr7r4ly6ZWDP1 + yb/7u8OHDzfqI8HQ42mmaRohBKTkjFFMNm/cpKv6l6+7oVgo5DVSEAQ5+GVycjKO4zCKwjBsjI/F + ccwYMyyz2Vr5+Cc+cd3nr+32+uViEWPM0tQtFDZt2HD1VdcIyTBSnjh88B3vele33fbDcGxivNVq + GZpWKpUGgwHPWMF1wzDMknTtmjUf/eu/3rdv3/XXX99ttznnL3/5y+++84cYcMADzvmvXHYZADiO + QyldVbHLE6TVXAVjnCUpJcrZZ539yU9+0nXdL3/5yzt37ty7d2+tUt27d+/69ev//u///rOf/ezB + gwc5537472kT/G+PZxNpyKUzdF1HTMZxjLjQNM227U9/+tNzxxdy/YpcOv3jH/94oeiEcaQZerFS + 9v3h7r17KKWaoY9OjP7TjV85//zzx8fHhkNvMPAAoSxL0pQFcdQd9DPGCFW8IEqStN4YSxMGJ1PA + fEfI6zRFUTBVLNdZbjYRQrVaDaQc+J5pmmvHRvfs2aOZRqPRCKLQtKx8KKwoShgEqqq6tpMTcoiC + qk6x1VqqVEZiLAoF3ffD+eWZeqNWKJUYS/v90HGtKB6WyraictOlmkKjKPACj0vGuUQIWZYFBDMp + ckAqnEBzIYQAY6wQZVW+ffX9rz788G9UsZNIVfVqtZokCSXKpg0bms1msiUZGRk5duxYqVRKksTz + vPHx8TiO5+fnqWKsdJvlcplLkYNlB4NBo1anuiYwEiCTKK5Wq7mYfa/ded1rX/vt792qqurWrVsv + ev4LHtz5wBlbti4tLbXb7c9//vO/9mu/dskll7znPe9RdS3O0qnJyWEYZkJapkOJyhnrd9q1shuF + PVUj9dF6oVxUVSXF0O2koa+Mj5zRaSJFFhShFU3iroPD0y1dA84hL2MMQ5tfOM5hB+eZqWlpmkop + GWMCSflkUOKzrBt6Or8jAKD0ufodFZ6T39G/Yhj4XCPvtK1OnG3bdrArVBwEHkJy9vgxITPOoF4G + xqE+QuojtcWVlfmlpkA9RbOoohmqkYYpQgTwKmpRSCEBZK4zs2bidKIe9jyv24/TTDXskmk5iOC8 + kUMIcAnAgGAABkhiAgQBgZMGjxKJX/gg/SJWY1UjLtd2E7klKAIJoGuaTU3GeebzUXek2xuQSBVt + hnqI6IrX9zEgSCRgpCrUJGoghxjltp4nhBr+Fe8HSYxSsKRlMoMwFHe7vZXF3fc/dGTv/rA7AC5G + RsfOvvCCzTvOq42NNbOo2epSKUiSEh3PH5y++1vf6S11i0WbqBTZ+u+87Xc5VuKIY1WRqhJGSYEa + TsRv+YcvzR6bYYzFcdaYbJxzwQVnXrhjrDzqiTREScKyhCcSZ5qKVUoz9u+85qd82PwPMAwrTdNq + taognDMlXAeVSqZlWevXl1ZW/NnZ2UJ5RICklJ7UrDt1s2UIOINMSl4fKVdKhUMH9yUJEAWi2Fta + XlR1Koi+YWK8l3kJjnJ7dKpTRriUILkUQuC87EIoT2oZMIqoFFJV1SuuuOIjRz6ydu3a3GIhE5xz + Dhj5QfD5a69lqZhfXBgbG8s423HBBZTSlGVxHE9OTnY6Hdd1i8WiAsp3v/tdlqYHDuw/dOiQ6ZiE + KtPT067rbjlja5TEOVjo4YcfnmiMZpw98uiu177mNd12xy2VRiYmU4EEVQgxRAaqSZmu66ZGDd0p + j5CJtVJTiBI0VzoKMSWQ2dlZJkUcxX4UDgaD173udWeesa3b7d7zwx8vLCwsLy9bjtMb9A8cOmjY + 1ub1p3/8Ex/rttv9bo9iUioW+xmbm5vLVcKDIDh27JhjOa5VBIDcHoOxtFqvpXEyc3zWLTqaYuSH + o5RSIpAYSQCe15lCSCmZ4IzFSZZu2LQ55plr6K1B76KLXviDG65TgAnTiGSGMSGqKgVPDYU4Zm3t + Gllwj/V7i82l3nBAiYpAtFpNL42IqbXbbUXgmeljLBPzx49vOeOMxcXFPK+48cYbi8VipVJZWFhI + zjzzn//5n1utVhzHaZwUi8UoipaXlw3DaCbJ4uJivVrfvHlzlmWqonieRwANcr0o03QcBwOuloqt + 5soJ49H8dPs5nun8LFY0NU+rBoMBy0SxWBwfH2eMJUF8YiWFyLJMAhAVI0AgwNA0AKwgQlJZdysp + zwbd3h133HHdDf+w66GdD/3wh6+79CXXfeozjz9xm65QE9PYC9aMT4zv2GHVqnisUFm/tg/8yis/ + umbtRLHoNpvNkuMUCq7MUBRG5XJ5eWGRUvpbb7x8x44d3/ve9zjnLMuCILAN84Ybbtg8vnHjxo2h + H/CM6Zr2R+98V6vTvvmmm6++6qo//MM/3L1nz8AbmKZpWVYQBFEU5Z2Cfr//0E92/u6b3zJSq99+ + ++2apt1xxx1JkqysrCzMHMcYI4JN01y3du0Zm083DMMPgnvuuQeE8DzP1PUsyxSMqWGEvn/aaacd + m5l+//vfPxgMAGD++PFaraZp2qDXr9VqCwsLiqKEfqDrepIkg8GgWi5fccUV27Zte+yxx3JwTa1S + Pffsc378k7vf+74/m5iYmJ+fn52drdfrOdAmz0lOwKZO8VdMkgSEdF33sssuO3LkyHXXXXfhhRd+ + 4hOfeMlLXjI3N7d58+Y3vvGNZ209a/Pmzbfeemuj0bAd+1SIHSEkz+L+K0LsVnUkuMhy0xWEEGD0 + d5/6f84+69x9+/Zt2bLl0ksv3b17d7Vem184LqWMoiiKomKxqJtGuVwGgF27HpZIMCF0lQZxlHFu + 2+5gMFBVvVAoAIBt20mSCCTyJeh2u7Zl5TM1lmUIIaqpOUc/ThOM8djkhJSy0+koCOdV75EjRyYn + J/veMAiCOE10w0g5o7qGMVaZRgjhUgiQGctUBQPiukGJwiWkXjBAWKmPjAqAxcUuAChURchgzKcU + hVGfMRYKpOs6wVjTTCFASkk1ipBkLOWSISAAIAXiTGKMADCgUygiJ+dvuR7gzy4yQuiZaCcSckna + E1/opBGSlDIMYwyk3e42l1u25VKidbt9p1BEREkZdwrFIIptt+AUPCZZoVxa6bSTJGmMjwVBMBgM + Wq1W3xvGSaJbZs5ZysX4x0ZHc8rd5ZdfPtEYf/GLX/zDu35w++23F133wL59tuv++Mc//uCH/vf0 + 9DRWCNW1vXv36oZpuoX+4AgGAlKqCnRbxPfbA6+/d/+e171W84JQpWauRLmwODdWP7O5NFxe7qh6 + 1y2lnX5LVeHBhx5+5W88DxTbC/yJ0igF6nkeQqjRaERRlCQJEEQUZbXOXB0lPe26nYqv+6nfkZH7 + HZHhcDgYDDjnp/gdSdd1XddFCOWadbls5TP6HZ0izHpqzfZMz9Gz0Iqe5qL/SxykVS3EEzcYBx10 + wJmGmE5iIuKzz6Lbt2zYuHFjsVj1I3H/zt1JCIMht9WqQq3eYEgQFSDyWmv1Tec9wnPPfSGW4RPT + TwghVFW1nXLCUJxGmCpMMiZP8oswKIhSTBWpYA4g8psTSyTkc/q0v4j/7iEBEgXgxI0tBBISiVyq + ASElCSMlwbKfzM0cqai1W77ydQObIuGqZXTCzmvf8vr2QgtcLG0OKiOEAAYuJQaOQEEIgcTPbHv2 + 9EEkdpFDUkjb3rpi1TAd8KPx0y8qnfViDRFD1QTgQZb12zwY9FVLnVQrFASwuOjRor1u+8ve2iiU + HdtMBOulSTJAlkpQwRz4McPYAcuKcClGv3T6C859wx8Ui8UgCKxSYW5lpTXwJZZMMOpoVqmUQjgM + OmkUQcqoqj/HUu+UYdGTAiMJCDCW+CT2FYMEYDjwM11RDFMFzjQVUYVSLY3i8OJLLowT8tCDB5fb + qRSUarTVbju2idAJDwYADJAhhACYhFjRYg6D8anRy3/npd1u+/HHH/e8JE6HhUItSMlwOExJghDk + /XuJhPipCrlAGOUcpBwwAwwopSxh/X6/eaQ5MzNzyy23/N1HP6GbhuSi0Wjs3runWqshhfh9L88T + VF13XTcnkWKMDx48ODExkYPnM8huuummer2+uLQwNTXV63UyzvJ2YW5AIqUM/WDNmjUI4+XWypGj + 081O2zSM8uh42OvK+tRxhmNOTM1yLGsgWDvlyyIdS9BapPeCbHF5qBs1BVtSytHx8flbbykUClyI + IAyft2NHwXU/8pGP7N2/98f33hP6wQMPPPCpT33qHe9650te9qsc+N69e3OBKwJoOPBBSF3X/aF3 + 9OjRSy+9dGRkRIDAAO32imk5umGkLImz+PjxmTPO3C4QZJAN/eH9D+58O3rHKhINVjHeGGFF4SnP + BNcd4xvf/tbrfv3l9ngdxqpBxUl66jFTKTmOQ7BIk543ZDo/Ena38bjmWEk/VE3dsI2IR5JzDhIA + NKJEUZTG2dbTt1z2osvOOucso+C84KKL5ubmDh069IMf/CDPEF7wgheUy+X9Bw6USyWJUZTE2PdU + XavUqg8/ssvS9PMvvGCptbz3wH6sEFXXvV7XNq2x6ujx48d1ywzTkHPeGXRUPVeHVVa1KH8eAlLe + gM6hWZzz4XCY9/FLpVJIgiAIVIWeOPopTkSasiQOsoItiVBUVSMc6aACkft37//WLd/0wn7U7r77 + zVcc+v49M3f+aKvpamFSsVwWZdHs7j337bamxi56/Wt++ddfzQrmNes38jjijBFCoigqOAWiUiRx + GieGpl9zzTXnn3l+y2stLy65tlMoFB577LF9+/YdPHhQglicX4iiaNOGjZZlTTWm/vCd71hYWty6 + fds555yza9euRqMRhmEYBHEUVYqlklugRKlXaxSTC5934dLS0j0/uvdjH/vYr77kZR/9yF+LhFNd + y6kB/XZ/z67d66fWXXLRJVhRvvTVr1Ci8IzlAo9ZmgkhkiRRMImC8PjMbJIkvu+7rqsq9N3vfrcX + BKOjo5/61KeeeOKJsbGxLMuWFxe3bNnyzj98x6ZNmwLP11Wt6Bbm5uZkxqempp448sSmTZvOO++8 + a6+9dsuWLYSQ+fn53N11NePNC6T8Xi04rjcYICmRlMN+f+d9900fPjw1MfHrL3/5d77znf/zj/5o + x44d+w/t1VV1zeRkuVqdPjadizTkBUhOp/+vKNKQ544n2tUAhmEgLhhjQRA8+uijjzz8aKlUuv/+ + +y3LOv/88+fn56u1aqVSAYIZY1wK3/dTxtadNlUoFC5/05tWmu0TsELJACAHoSGEBoOBputhGNZH + GwB+EATFYlEKkfPpyZOdmCFXeBz085I0SZK+N6SKUq1WvTDQNE0i4ELEcawaev7+dV2XUg6HQ1VV + HcdBkne7Ldu2EYKh1y+V66qhtlZ6hULJKVSkBENTfb9PCE7TxLI1389001SI6nmehrUkSRhjAAVN + 07h8kl3p6s1xqn4DnMzUnzabP/niczgf8wRaUZQwDB3HyU08U56FUWSaZsZYEAS6YYRhqBsGFyJm + qUm1YrlEVXVudnFhaeniF13qeZ6halJKU9OzOMlV7YMgyEXS65Wqqqr7DuzbtWvXli1b5ufncx8q + 3/fPOeecHHVKNTVKEkVRqtXq/HITEewWCv1uh1JDIIEJKRaLg8GgPlIFpu+8Z/Zjn7hmw9QvI8QX + mo9Va+NxGLpFJUy7po2PzsZ79j/+wks3Klq2b/9B13U4AKVad9AfGZ3KkcQCSXEKWHG1VnmWhToB + fEcI4ITfUaHwTH5H9rP4Hem6njcz8tlRmqYnMNNPHhn9B4yP4OThgU/1QWqlAiTBcqJReP3rX99Z + Orp+XeO7t35t//4DBw7AxBrImMqJY2hGGIYSGCEKJZgDX+UgAYj8ExFCvv3tb0+Nl0dHR88+a8ex + 2eb379rZ91LN1Mq1qqqqCIFkAAQQAiSQpmgEKVgoWKSYkPxicJDkP2AhfhH/PwmBckmGExuXQAAI + sBQgQREyDqNRqzYyUps+fviXf/mFn/6LT+rERIhIgpe85Qu2Xfjo3K7YSAIZJElCTcqllIJzAQoA + YJSLJT2nAgkJrKZkZs+xe79x26jmqIMwWemdv237/7z8TRbVVKrNLy49sevxx44cXvT9IUZCUxQq + TIRF1xtRrde96KWnT6xP4yRIw4rbeN/ffjgztD5LGFVAVRAQkrBCLM5et2HsvBExFHEfy1Tsu3fv + 7Tvv4Y7eh6i0rnHWRWdNbhwzFFuRSCiCA/7XeiIJgBNPG5an/he5qDrg3PsUYc65VXBnjh1O41TF + 8PY/+E3LEjrNHnzwwV2PTqcJsZzJJOM8g3K5nMQhQgjQCddwjDECjAFjhDnjR48e7bYXotAvFt1q + tXr++VtrI5uu+9I3lpdbwzhWSrpdsDBV8vyVIUaAELQqz35iB9MVncUnBvLFQvEff/iPxWJx7969 + 3/ve966++urHHnssTdlHPvo3l/7KL7/wvEv+9L1/ftttt3me9/KXv/zGG28Mw1CAtG17ZGQkd5wM + wxADnpiYOHDgwDnnnHP4yBOGpWOEAQAh1O12Oefjo2OIi8FgIKW0LOvY3PF3vfuPdFUrEQLzi8RL + +5geCL1s6MVZKlU1UDAUKnPfu33wg7sPtVqznc455z0vToSpkeZyqz4ykqYpJQQA3vrWt5ZLpcXm + 8vve+6eqqjoj9qc/+5nOoPe2t/9BGEVvuPwNOR8mE6mu6YLJOIzypvjdd9991113DQbe+z/wF1EQ + Uk3FGEdplKRRu9fauHnT3v17v/zlL+um/rErr6zUqqvnHV/FLyGEMAaQuST7Srv5yCOPfP1rN5c0 + +rd/9Zey0+Lt5pRtc2+gSYmkSJnQKtUe1nf+9Yf6Op7zBkvt3itf+SqJQFUNLoVhGHEQVsrlQad/ + 9vYzzzzzzJGREcXSrrjiijvuuGN+fh4APM8bDoeWZeWgwRz4V6vV+v0+Qqjb7//N336UIBwEwWc+ + d3VrZSVI4r431HS9H3iL7RXTNPuDwT/ddNP09PQdd9xhuPYJrYWTt8fPA7HLicFhGAKAaZqBH+VW + HOPj47qi5Z4cOQmcUOq4LlIgDhKTmmnAFaTwjBEAwcX2LVt/eOf3zz1764axSTkIFD9qSPy67eda + Q9/VTZFwRdWGACuITyAF+sMo9i5+3vm1YvmJo9Pr16/ncbqy2DR1y3XdTqfTaDRuuOGGt933tq98 + 5Ss5S2dpaenSSy/96le/etddd938jzdXKhUpZbfdfslll93ynVtWVlYIwp2V1gUveP6NN96Yf3zL + skzTREK2221D023TFEzOHj02Pj5uqFq5UBxrjM3OzDiO0+31hBCu6xqqBkIW3cK6ibUpZM1mM2cQ + 5Q3fHM6KEMqybGJi4oMf/GC9Xv/Yxz527NixVqv12le+dteeXZ/45Ce73e769etnZ2dzsY2ZmZmr + rrpqdnb2j//4j3O/0/Hx8ZXlpuu6b/mdt1x0ycWMsTe/+c2/dOmlOfsmy7JcBzinJOQeIjlr2vO8 + XG/d87x6vZ7rfc/Pz09NTQHANddc8/GPf/yqq66an5/XNO3QoUNj46OM8yzLctDKahb3H8/6zuPZ + IHariSBCkGUZkaBpWrFYfPOb31wqVgzDwBivXbv2W9/6Vi4XGARBXtbHcTw2NtYfDuM4Jhh3VlpI + Quj7PM1YkgqdEUCaQqMkValWKpVzjh0AUKpyzkFKSmmappgqGGNMSH6BV8XE8r9altXtdt2inbPH + bNse+h5jzLIsrCgAkKN4i8UipTSKIslFwbE01dQUfXmpVa81koxhjDCGNMsAMADKOKeU+r5nmiol + RFfVIAgQxDmeMJ9r5QWDlJIQwpnIgYi5Enw+JsqLurwIzO+YVeWAf/tlxhgnScREphlqytObv36z + xHJ6etop2POLi6MjIwtLS0KIdq/tFJ27f/zj7WdscUrFmbnjV33u6tV5ZV6sRmF4YlQipaaqCwsL + 8/PzeWvkFa94xezc8R/+6O73/tmfhn5w5513FgqFP/njP/niV24oFoutThtTRdO0heVFy7KDKExS + nyjARaqbqudzCVjVjf/1f7ztyo9e2+rOTq0zJMwRq7+uPtZaerg6UZyb37vtrLFjy91DRx5SKG92 + lsKo+93v3fWbv/kGAM2wnNyUI2HJUz7+qTwcIQQgtEoTyl/EhORyGfl3x3HK5TKlz9nvSNO03Ijt + xBCV8/ya4v8kFYL8twtg+VBLSqlpGhggVWGaOiPKrbfde+zAQZGlkQcCoFQGw2lEw2EqM6Iqio6Z + FEnoA9IAcM6NzfXQ834kIYQQdWVlJUxSlqHj822E0Pj4aD/0ASNVV1fvXBlDFqXDzlDzNa2qhVlk + aHoss8iPyoVKFASr+9pTgKb/Kev2i/jPjdXq6CmR79hZmnm+VywWKeSypRyrSpwkuq5bYPm+rxhU + VVUwSJj5EnEEEiG82kFH6OenHwEAEIk0oT38g4eeePDIQgjBDEwVYAKa6QpvTFSGfc8i5cVDrdv+ + 6T6mAlOxHwu1QhHPiAd1ii5bd7F+ukuTVOHW8uxg120HYw1SBXwOSAOQWIRiBEM02Xvji9+kKNqW + sTOPzh9vHenv+dHBIQGpATx6aHJycvOmTZmMMxGzhCH9aTIA9HNbf+RiDBKBquqCpQgwBpQlTFHU + JEqtoh2s+IZJhIwJQevWntZrL+28/9HFhSOaAuWK4dhuKwrC0LfcSiIyP+zqGgUAKamUBKSSj+oR + UMGZYEYa0fLk+p37Hl5SOsvLsHZdMLG+GwSBaZrDMC4Wi8OoZ5p6yk+aoWEspOASuJBCkRIjIUQK + KcV6GqVV09yzZ4+u65VKZfGJxR/96Ed7d+9/29veduiJIy99+cuopu7Zt/eBhx40LPP0rVvu+uEP + vvmtW9/3/j8/bWpNsVjMJUar1eqnPvWpe3beKxGMTYw/vmd3vV4TQkghhZSKoiKEpCLb7a6maLkL + 17btZ33pKzf6w6GualF3MFWokjCmcUwkR5gzJDjGAlOBlUiSlKhaqbw06LnFkq6RjucNfS9vrhm2 + Fcfx+w5kDF4AACAASURBVP7i/aam+56nKZRLESVxtLh45ZVX5jhSgYAQigHFsW9qJueplDLwwzde + /ib6O8qq2QsB9IbffiNIjAhGWCAkpUSYYiRQxvk3v/nNgTfcdsa2KIo0TUsFJ4TEaSKlRFhynhUK + brfXevd73h30fcyEgREkUdHSJIuAJVKkWAKIXOVQjTgJBaglN5XAGFSrVRUrS90lp+CGcawoij/0 + dKp++9vf/ua3vyUJyvHtJ55ZBFTXqK7dcus3JeNhGE5NTgZBsLKyMj4+LqX8zGc+o1Ptp6njqo8W + QoIzy7E9z2OMlYulOI6veNvvNUZGdx3YzRhTKCWERGFEKcVPVqx9SuS5HyU4x4DkGPj8pJ6fn6+X + a+vWrcOAcqJaqVQqVysZsEpZVbGmSI1meM2aNSzjVCFUIpfqJqajbrk/O1cAqibclkmBMOT3Hc3w + Bp7jFiJK2sdngKeO5hCETd0484wz9+97YqRWrZdGsoQBQKFQqNVqc3NzGzdutCzLMIyJiQkAiKLo + nnvuGRkZUUCpVqu2bcd+YJrmaaedtm3btmazmWMOx8fH80wm9zNQiRIEQY7DzOkSGKFioSA47w96 + ExMT09PTpmnmSU4URU6x4LquFwyxqnDOVVXNadUYY8uy8qQ016laXFz8/ve/v3///kKhkHcW/uqv + /mphaYlz3mq1dF0XQgRBUC6XW60WpTSXLmSM5YTtm2+++b1/9t7l1sro6OgXvvCF888//5FHHimX + y+12W7fMPDMByG0nEQBIIXPALWNM0zRVVfNMYHp6+oILLhBCdDqdnEKWixcUi0V+iqELnDJ4+C9X + IOUpDsY4yzLBZG5JBABRFN13332XXHzpzMxMuVzetWvXF77wBcMwqtWqpmmWbriu2+22B4OB4zjL + y8ujtQrPhOd5vd5AwaReHeFcKpjyjEdBUCwWlxeXcinJ/JIzyZMkyR1U85UlQgEASmmSJFEUaVS1 + bKvf72MJCsI5ENkxrVarZZpmvVbzfB846/V6Y2NjrmVLKTFCjuPwjEVhAhyDVCzdAVCiMIySjmm7 + UiBdN5MkybIMhBypN4b9zsL8cqVSsQ0VERJ6oWZqQkC57C4sLDDGkIQsy0BiKeUJOUVVzdPrVQTU + qRyY1epuNU72gZ7D1UIguWSqqjYajeFw+IpXvbLf7992221usXD2ueeu37ypUCisyxekWDhw4MDu + A3vuvf/HYRw9+PBDlVrt+Re9YN2G9fV6fbG5XC6XOedJmjLGuBB59U8IyeGejz/+eL/fX15evu22 + 297wm6//8Ic/3Gw2r/y7TzzwwAPdfs+PwqJeyhsVXAqqYim5RCzlWdDup3Ey8P2zzjxnpeld/8Vr + jjzR2rR1o06tmdlWo26Nn6Yw1q2tcThfeMVrL9h/ZCfB4ec+/7kkHf7SpZeVa2PdYBiGke04TGRS + ckUhHCQ6BbW4mhthjDk82e8IY4zR6iWwrFP9jgbyufgdPc3i522t/zyKzSq4In//mqkb3KC20u40 + Hc2I41jTtOVuWrIAIVAUOHP7WbOLi0dn5jPOsyzBBCuKwhnPmdeAkfxpBwQDwMjIyORY6d77dy4s + LJRKDaIIL8xqtRpg1Ol0AEBVSZpyINCojW1et0UtKy2y0l2ZcRRH080EMS74qjzJ6vVCzyqq8Yv4 + bxxYgiJ+ihbGMi+WMEiQGAkhY57plDANdWCgVUwWSS5S0MB1nBVociwUjAUS+CQBD8kTmgbPrTBa + DYkxJzroEELQgroFlKM7vr133yPvGPpJyqBSszsDP/WgMlpMOQmjLuthkYEFuNsWV3/6S1/69I3D + bherFBkaC4FILWUJAIiQaKqusAwY3/PA/B/8z3f1er1+LzIKBnUt1gdq40yRsiP33L9n+9nbkSV0 + y8hSQTDhkj9Fo+nnro5+CjGM/EDTqed5GNzRcqUtO8Bk0POBQL63r1+/vt/pu06x2+mPjNSxDEZH + RxintZp+ZKYdRYkgMlcegnwqITFIDEIKIRAIU9MQFVu3bqQErbQBA1gWCCGmp6epTgm2tkyMBhAF + wg+CIMsyatAMcwlcSoIQQhhJJKSU+WgqrwCHw+Hi4mKz2fR9HwB6w8ED9z+067FHFVUPotB23UOH + D3/wLz8EXGialmWZEOL+++9/5KGHCSG5zpjv+/fee+/+gwe63W4upCYEl1JmggPneV8yb3L7ns85 + v/f++/r9fnNpWUpZLpb8/tBRCzjlSGaIcK7wDHgmBZZIQxpPpR8kpZERqSqdXtfWDGqQo/Mzg9DL + +6EIIcZYilJN1wXnuR6PRLBaHQFAbhSBMFYN3bbtVnPl9u/f+dBDDy0uLmKMyUmF6xzyIBAIljAp + 0jSNoujcc8/t9Lq97sAL/EqlkglOVTXoDammnvhoEmU8anfCL33pi9dc9bl+q1cvlg1CfG+IKc8g + y4hACgKMFEmwwAQIQlhV1V53kKZsYmzS9/0kzSqN+sLSkmbohq4RQDzlHIQkGJETZlo/G7mcgO/7 + WZYVHXdubu4v/uIvwjCMoni1sX7qbSwEz08oXddLhWIURb1eTzeNOE3yVnKuEqxpGs+y3EbyOUWa + ppzzXq/n+76CSRiGnud1u912u53KTMN6GjHEME5F2S4ykZFMHD8286IXX+p3h0kQer2BCVjXaBT4 + jmCGpqkaESHPWAS6pVoaZKkX+Oecc85MMHh8z77F5Wa72S6XSqHnIwmaprVareFwqOt6v9+Pomhp + aUkIYZpm3pkVIPbt29doNKIgPHT48CWXXnrw4MEwjjr93pEjR+bn5wEhSmmSFzYSEEK6qjEhPG8w + NTW1uLR09Ngx23GKbtHzvHxmwDh3LUsgWFlZ8cPAtpxBOBwZGclzb13Xl5eXh8OhaZqEEEppq9W6 + 9tprgyDo9/u1Wg1jnEAictELQtrtdrFYHBkZ6Xe7SZJ02516vQ4AYRjmCLp2u/3YY48Nh8NGo3H0 + 6NF+v08ICcNQVVXLsk4F15+6eeUwrnxlPM9bXl6uVquvfvWr87FwpVIBgE6nk1dQMk2jk6VdDjFb + Vdj6L8dBWk1GpZQEY0qpzFgURapC7/7BD5uLK81mM4oi27Zt06KUtporw+EwSRIpZbFYXL9xw2tf + +9ojTxxaOzWuUZUz0en0GGO27fpemDvQKYo6Pj66sLTIWFYoFX3fT1h27733Th89mk9pMMH5SmVZ + lsZJtVJptVoKwkkY1cqVxYWFSqWiadrS8nK5XK5UKs1ms0CKrusOh8OJ0TGWsWarnSSJbVqFQiHy + gyzJLjzv+Zs2nc6lEABusZByFkbJcDjMhyoKJmkc1muVOPAF443RerO5PPD6Z20/e+b4sTCMDx8+ + DBw8L3CcgqpoAjhVCWMsTbOMSSFEmpJVje+nWPHA07UGn0nlTErAP/Ml8kILy+6g+5cf+ct6vV6p + V3ia1Rq1zgoIIuMsTkTa6rcFkVbBilmacDY6OTEzP0cNbddjj972/TuOL8wjhJaWloii5EUdpjSv + /jPGKKVYyk9f9dnBYDA2Mf7AQw/efvvta9asYYwBRhjjvjcsFAq6rnPOwzAUwBGBjGVUJY5lp6lh + akaa8QsveqFKrSgQazeE9eqklOT8YNx1y14QE4KpikAktqN22kuGqQ4HHUqJZZvH55cPTx9L41DR + VIkRlzzLspyZuhpPmb//3H5H2b+L39FzZYc/JxW7Z0/7VkuOVRUQngkcipFCw+stKhYeq6HTRrVX + vOyl9Xo1iLKllcHKMrKo0WyFimZionEuNKrkVJBVOQUpJYAUUjiOMzU1NXL08PJSp9vtSrA0TROZ + oDpN4wQ4IIWA5CCACGX3I7vNnnnGpVuHjSBS4nxx8vEvPHnQ95yW6xfx3ykQgHaSeikR5vin6SMA + MCIyyXTblalsgXfGr+yAkOtAAQR1dJ/7xFQQxYxzSBmQkz6zctU+geel13MKRaGuU37hRZfYMRlT + SknXX15a4pzTOJIILNOx6pLFHFMVIa0xDrhkDDyvpltKzGDgJ17gjDh2wQ0ZO2/L2e0wYARhSpFC + BAeUJFaWKWmWJMmayUa5EBBd1V3brYwyHXMX5oKluBOZxIiyAAFVFGUVHHfqnvNM+8/JZc2rqVMz + BqyqqqXa1FIKuCiGbGFhyXLdoB9oqs0ZabeGtqW5rq1TCIPlIAwtg7/q1S9bXBr85N49YRBzkHax + xCXLkhQhBIgihBEQAI6wRMDDaEhJYth83Wljf/a+V2Qs2bN730qr3x5kKrUGQZKFoS8C4pAkSZIk + IRpBCAuWQ6MFJligEwSS/LuiKCIVnuflU4W8BFIUxff9UsUwDAMwKpSKaZZhhNrLSwihTes33Hff + fQTh1ZF+vtsfP368UqkkLBMIVjptVVU1TXuKkWOhUMg5qIeOHPY8L++cttsdlfhSSkAMUWAqy5CQ + UhIurUyxqOF5/iDu6wWn7/fn4sC27Zhxy7IAgAlOqAKcx1mqKRRyD+InXyiJQFGpRlVCyNDzQIBE + KMnS7qCfsExVVSRlxtnqsjDBCAasIKopI6NruBS5mrkXiDgOTcfuD4a6rueqOUIIwblhGJKzwBuE + g8ggVEOURQmWSFEshlOGGVOQkAgxIAxhKTlkNsZIoTjlSRAmQeglkR6YTrkoARLGJOMEYaxTDiJh + qYrJ055KcZYqisI4N0zTdGwO8ujMsSzLnEJxFTsg0UmjYQCV0phnaydOUxRFIcQp2kiBTrcbJqnj + OLkmYSYyxpgUIq8/n1PkvzEXj6bkBEgkjtNeb5By5hiCpdzUHY54JhnVVAzgONbU2EQSeQePTI+v + OY3D8lKSqeViNOwNw5AKHhFJbZ0VLGwZYOhIV1cG3UEUGLbjlsuDbm+l22VxjCXomd7tdtetW6eq + arO10h8OBt4wDENFUQqFQpKlIY8mpiZDPyCE7N+/3zRN13UFyLe//e1f+9rXPN/PdQ7yegMBUEpX + 2i3f9/vDgaIr1UbVLbtRFqlMjdMIFFBUohI1k5lEArA0bQNAzszNREnsFgte4PcGfVVTFUWJk4RS + ygRvdzuIYNO2bNdJslQzdA20NE39MJicnGSCJ1HcarVyzaoNmzY2m82BNzQMI4hCxtjWbWds3749 + TuOvfeOWrVu3jo6OLi4u2radpmmWZaqhr+5dT3kEhr4/Oj7ebLXK1eq6DRvK5fLGzZv/4brrTNsm + lEqEkixzCgUBsLy8fNq60xhjOcRuNdvJTWOf6y3x7xLPWCCtYskwxipRCfl/2XvzeMuuuk7091tr + 7fHsfaY737o1pjJUEkKEANEgYRBBHo8WcWgQURq6+djqR0b181o/3djxIw4EUPTRfvDJqNBBUWRW + JAEDJGTAEJJUUqnUcG/d8Yx73mv4vT/WObduQoIGafG9dlV9qm7dOneftffae53f8B14WZRWsWRp + aekpT3nKU5/61F6v98UvfvH+48f7g8Hc3NzC3DxHlo7GjsMZ4OL8ws033egQMqPjuKUqdfbsWhRF + ABhHLSLqJ/1hr7+5s7lv377tzfvb3c4Tv+fK48ePP3Tq1ATPpjVMm4NhGNZF2Wm2Lrv00ssvv/wr + N39JlRXnPBmOXvIjP4KIK/v3P/jgg393043j8biq606nY4yx/E7f9RDR87z52fmXv/zlZ0+fM8RG + 4zQfq053qR35Fx5pjoYJZ8x3RF0Vqi58zBcXZre315fm919w+ILBYPD6174xakS1rJ73vOeFnl+k + 2Xg8dhweRg3P8zhHzp26ro2GXTUPmIbvsCfh/GcumDKqrEvX927+8s2tuJWX+bA/vOwJl506dbqq + Ss/z41Yspaq1lLVCBlEQGmN6w8HBgwe3t7dH43Ezjlf279/Y2Nidnm3CWiKKvdq1lFJK5ojl5WXO + uRUCIoZ5WbY7nTAM+6Nho9GQpWFEjiuUrLQ2eZ4Ph6MyK6/772/xHE9KAhIzM7N5nvd6vbnuTBiG + 5za2hBCAxve9nZ2dTivO0mJ2dtYYk6dZWZbCYZ1OK82S2dkZ68lLMGGnwjTgpokNHAJ+s9+Regy/ + o/Ax/I567NH8jr67yNdvHhOsGtBuIpemabaTa1JVKZ/8hIOveNm/y0br/c2zX/ryF4bD4YMn4LIr + 5rd2SmX8KIzTXPmBYDRpjhlbyt9zcoi4sbFx+uQ34nb8ute97q67T/z5X3xmmNTCcxeWl4gQCFSt + GTLGcbjdf9tvv13P0X/7o1/jSzzLczfymlGLiKqqxofz7mwc82+Z0v+GAwk4EaNJUoSaaQbAwKCx + H32Km9ql2tMbevt7nvPkALyYu6qqjYNjlYCHJIwsFWglGJt6H01AO9/GfBhAlqTpOLng4AVRIerN + rDTlsSdczR0najelkaqqGRMMWJUrpRE9p/JYXmYtN/AIRK19wT3HJaJMVqWWh5ExzyFCqZRRdOkF + F1y4vMCUvufr39ja2unMzNVKZmWRyNxrhzJQm2o7D4puo71eluPxWDS5UhM02j+J04iPqqLPkIwy + epAMoNZ5Utz1qbs++76/8WUEylRVrprt5eXlE/cfL/Is8uEXfv6nHVGOBmff+973bO8U/Z4J45Xu + 3EpvNFbK4ETCjoAQ8Dw60nVdWWVf//rX77vnTiDtOFxKfdVV33f02NN+663vWl1LMRTGUY7rHzpy + +BHQxz2nY2xKYzWvXNd1XdeWJgGgqirhOhYdpIwp0tTxXM/1iWh+fl5KmSTJmTNnFubmgyAoiiJN + 06WlJd/3DdDmznYcx1Z91B7NBjAwJQvkeW5BKI7j+EEAnI3ytJC1Zp4xhqBSoCVpzTQiugZMjUDk + BE6SJ+Ne6kVe6HilKpkICKGqKus5yzkHQ4oMn96Ru+0je+0QUZNBzqq6dLkTNZtgTFXVru9xzhky + xxLJGAKQIE6gwZBSynVdxpjreUvLy9uDfqvb2en3pDEeiLIsXc9DRAOU5zkDavj+3MysS46uVJ4W + wEhwDuBMaovIgSF3uEBmZJ7XeqbZxkCrsmpEkd9u1qSzLHNcVwgBjGljlJaa2Vk9+rChgicc13Wt + eF0cx41mnGfF7k+ZaYKEiBxZ2GjMzM+dPXu2t7V99OjRTrc7HI1mZmbSNLX9wOHOMIoiPQ2ZHtew + jqJgwBhDjOxnkMtF4IRIhcMcZYwBqkhlqiigcmoDnD3z2c/6+F9/tJ9mxSi54uAB3Y6+nqctABEH + gjul0m7kVaEDnE5ubWyief+HPvS0H3wuY2x9cyMKG8lg1IljhiiQzS8tvvSlL73goguf9ZRrZxfm + 09H4wx+54Ys33vR7f/DOA/tWQh5dd911Wus3/9f/1hv0b/nqrW992/Xtme7W9vYHPvjBMI7KLN+1 + aiRjGGN1XRNCEDV+5/q3Xn755UHUeOjUKSLyowZxtra+HgRBWVftdntmcX59a/OvPvvxv/3831Wy + DhoNpdQ4Tffv24ecDwaDuNXq9/tPvfrqG264Ic3zhbm5Zz3nOb3t7ZxKJvjS0pLjOC960YvOnTtX + l1UYhjs7Oy94wQte8PznL3eWB+XgZS972Z/+6Z++//3vV0b/xlt+862/9bbCFKurq8Ph0HXd8Xhs + w8jdAu7eQURBEJw8eTIMw2PHjv3u7/7uysrKRz/60VtvvVVr/YY3vOHo0aOXXXDZysrK8573vBtv + vPE973uPjRZsm8Ee8LuVHcG35iDtFuYtigwAfN9fnF/47bf81oMPPjQejZ76lKc84fLL3/+e9376 + bz6bjhNLc/c8b2amY5lbyTi77BmXVkVx/N77t7e3v37X3Yg8y7Jms73T62kjjx49+sMv+eEkSZ59 + 7TNf+7pffMHzf8hqK9vOhm2bIqDLha5lmuc33nhj6Pkf/9hfv/+977v++us/8IEPPOc5z3n1K//D + vfcf73S7L37xi2utvvSlLzWbzYdOngzDsNNq13WdV6lSqq4qXckjhw6/821/wERwySVXLi5fpKvw + Qx/8rKyE0VxKWeZpM3YZZFHEXvrvX/jQ8bUf+MFrfvetv3luY+3AvgMv+ZGXPHT2ZBRGDneEEK7r + CsHA6ForpWrHRw02o5uQjnbBRXtj7n/OIADgrFaVcAUi7fR3mMBG3FhYmk+yNMkTpUxl6rKui7oI + gkaSJLWUiBg2GqPxWDhOd262t7Wtzp6xiLKJJ5ftd9l5kmGOmF2YB4AzZ8922u0galRVVcq6qqp2 + p6OBtvs9YEhodyVulNYKEclwNje/HIXxaDQ20hgyRTEWCTLUcYsrM1xdP7W4vDIYDX3fl3I8sxCE + vlfrvDa5rIk5GHkNIQQTnDuiPxzUqrKU3z29jvPwLSIihvgIvyP9HfM7sl/shYoREX7nrI0e70BE + hPOsHkRkApnjLO7rntvavuEvPrVx5vSoX6sKECGKYGMrz5VOi6rZWVyam93cGgo/UKo2CAY0IRCw + iTISoFIqSUoO+syZrQ996EMPnd4sS7OwMJflpSwrXUsuGEnjuq7reKrWuiYw0I46LGR5URpNSikp + FZ+yj/61ZZj/Nr4rg3Aqu0YICIwYETAAQiQEEliYIofi9PBsZ7brcsfU2tSGHH5uvCoZMY7cZYSM + yAAgs2GobSJx6zn7uG4w0202I99jDAbjEVMs6izMLB8ap0mSa2NMnlahj80owoAIkbjgRkeRT0ob + KQujDWCtTV5mTHDiotVqD4dDhsLn3sr+lZe8+CX7lhfq8WhmceXd7/5/WFHJWjHhxqFvSKladRst + L3SS/ojHWFRF2+/mScbELrn5YZvP47rOjHHOWDeecSP3TnkHbUHcahaQuqHjB3xrayPLswuPHM7G + vRs/f/Pa6gnfhYWFqNuZ9z02ymhjc9UJ/FomzTgk0oaQjCZiQATEAAQZoWreipZmZ+Jbv/K1LNNV + BVree/d920qppaWZ9eHAj6Ko1bj88kuDhj9SKQkChkhMawICgwR7xJ8mZtPT2pZFpwdBME5T4Tqu + 7+mKuOsM+sOqqma7M8aY4XDY7XZ93weA0PcdzqWUZVn6YWC1EJI0jeNYa220NmYXf4gAEASh4zi1 + 1sC5IUqzHBGd0A8aPhgizSVVkilgDAC4Yb7HtTJuEIQiLrRkrmDGngdYDDYXQk/YlWjNi3dhdedv + fitLXdccuRWZB4Z5XudJaukWytTAEBGUNgDAGAjBsmx09dVX9/v9v/nsp6DWL/qJH7366qfeccfX + NBnfD6WUtpxXVVUQeugwNITIsywfl8YBwXyH0CitNWjUWnAEQiQmiBij0A2UqrMs54iOKzSHtM5r + JYNGY/IxxxhjII0GACEY7BHf3UsmZJYWDuh5XlVVYRgqqayZCjCkqSrH7us9hxtSSZI89NBDw4fO + hQ1/cX5Ba23Rla7rFkVhudx5mlpa/+MaNvFG4HuDASTmGs7AZxp1rXMsUHDeDCSgcMXSwZXmTOsV + r351q9XKR0myvnnR1U+5ebgdomFkVFkYIqeAvBwXw60v1PlGkZ3a2n7e7Nz2cEy1anQDHVaWXoEA + Suubv/Llex+4/9Of/rRUSnB+0xe+EDbCj3/iExvr64cOH5Z1/ZWvfCXNM2X0W377t+YWF6688sq/ + /dznNFDQCE0OiICCG4SiKJCxuN3yw+CJT/6ef7jzzsF4OLc4/9nP/60x5uixiyyqjYjWNzc6nU4l + ZVYV2eoZQvrRH//xe4/f5wVB1/c0kaor4boG6J777vvF17129czZMGp89ZZb41bz8iuuuOwJl8dx + XCn5uc99zvKaZtqd++6779ixY7/6q7/60Y9+1PO8oihsYK+U+tznP3/Xi18MAL1eL8synHYCDh8+ + vLaxDudpI3sBU2acJssr+z5/041nVs+ePn06y7KNjY2gEaZp+p73vVdrfezYsdFo1Ov1HnjggUmi + O1Wu2xt9Pd5b4jsyvhUHSQhh4W1VXSGix0UUNkI/eNJlT/q5n/uF48ePd1vtX/u1X3vuc5/7pS99 + yXVF3IiisOE5rlaqSLNzZ1eT8XhlaQU0fegDH97e6tVFGbXaulSVU860O7/4utdeeOEFb/ylN73g + Bc+/4tLL/vN/fM2J+++35SWYCt4j4gRui/jTL/+pfa2lCy8/uji/cP89977mNa/5yP+84cYbb7z1 + 1lulVuMsvf7667/niif+wx13pkV+YGW/1Moex3Uc3/cpDGVZFdlI1vmbfvGX5xcv/L//4ENf+fKD + vW1xycVX/8OdDy7MLphsWNQl4s7G6ZOg4sF2euTg0b+44S9e/epXR2HsgnfsyLFbbvr7Zz/72aRN + 6LnAWVnmWZESUeQIIRgBajXRht61BKaHi1PvXezH+0HIOXLXKctcCGFAz3bnq6rqDQfDZJiXheM4 + VVHb2pIfesjB84KyLBthY21tjXM+Pz/vBn4cx1YFe1dyfpKyCy4QAeD48eOMsaWlJSml7RRbDsA4 + S4XreIFviLI8931fljUReX5g97gsK4yC4WDsCS+O4ygOx+MhkJqd7coqT/KhO3KVUvlg5HkeSiaN + 5C4qU3PXMQryMvfAM6iklI04CoJgkhQ9/Fo9Ivj+5/kddR6X3xF//Lb335GB9hmgib00AERRFEHk + hHx7e3P/0vL2Tn80rhEgbkBRQtTEZzzzmWsbO/c+8FBZV+VgwIQANGZa1wT7a6KJRK7jLC3N71/u + 3PT3X9rY2FhYWGy1RaX4/MK+ja3NupK+5yspjTSK6agVZlkGAhp+o58NGkFYQFVX0gAFvr97t+9u + bd9GwPdv4/8HwyBIZvOjXVKfBdgx20oQghmSQSTyNC+NKEpDsgYAwZyCKSaE4poD0xrBxly0W58w + Fqv/uOaDBGU6MlWx3T+nB+Zw94KQtWppykLHcQxGC3K0VONhorThwrXQDtd3i6p0XQc4r40mzkBw + dESVF1WRm7rinHzXueyCo/uWF4zUbrN51TOu+cKtt6dpXg2GnucrXRdZWbJcoypNPuz12nMzDTfk + yMyUYPmIDtLj/1zgYCjJMpFVjuMBARgCxDrPEbHdbs502+kodR1/c2N7374lh5X7D+yTyh2P1Tjv + 2CLv8gAAIABJREFUe55X6zqKGwSKgGiSd06k7BCZ43hhd3ZxcTn0nTSBJAPBYKc/3ugnTLAgaFy4 + MFdi7c14x44dO6PPaK2Jn6dI0a4sNecMUJCginaBNIg4Go26caeua9uWKYoiLwtiaP3oLNXbakQl + o3FZlp7j+L6/vrnZ6rTrul5YWEizzKrsTmY8Tb1sK8kWWy3yChGBM6u9OU4TRHLJEGnGtJWO14Zq + Awx4kaa1MU7o6VqrIkfEZqddVLU1kauqyhjDhTj/EbX7UbW7mJwRkJ1NWVVE5Llee6breV5d10YB + IQISaiAiYCCljNutoiiCIOCuK0Lxmp/92euuuy5qxsaYWhnHc/0gGA6HGkgr0koZrV1gHDgIhzmu + 6zvKyFzmBjQDMzESMUZpDcA4557n5zI3Rhpp3MA1gpWlXGi1kyQpihIQucMdjhqInU8yHzksjt0S + w9rtdqvVGvUHiOj7vuXomz0QBURUdekKR2t9YN+Kw3Gm03Ucx3U4F640lBcF53wXZvltRMN7u4U2 + tjHGgCGsgWk0WnmuW3MUDXcr6X/qK3/L0qq12P2FN7wuLwviwgvClh/21jf2z7Z1UVZVUVWVcHkQ + N5OiHNWlE8ajoviJfUs7g8HGufXA9/rb261W7HI3SRLHcVDKv7/55mazqZSyrrVBECRp+ud//ufG + mL/8q7+yV2k4Hvm+73pekqZ/f/PNw2Tc6rRPnjy5vLxMRJxz13VrXiqlOGM3f+XLuqyjZvPc1qbw + vc3eThAEzW6n1+sVsnYcR7guCK7rKikyz/OAIXL2zne+0wB5npflOSK6vieE2O7t7PR7RVE0m825 + hfkgCO4/8YAXBgYBEV/60peeOXPG9327jlEUWYeVRhA2Gg2bk9vlLopidXV1//79eZ7Pzc1JKVdX + Vy0c6VHaRwjMESuzK8ePH6+q6uTJk1YI2vKRgiCwPJ2dnZ2iKOyiB0Fg49K9uuG7zIh/+fGYCRI8 + PAy1gb7tjJ/bWp1pd5521VPGw9GhAwfTNK3rOk3HWZZZGKjS9bFLL52bm7v04suuvOJKj7lFUggU + XqMZ+g0dKke4YKAbty4+chQ1LczODfuDqixZloRh6AY+aGPtFFzXNZaFr/Qtt9xSQflzP/ufZVVd + ctHFrnBC30/zvKqqdrdjrXzt9R0MBohY17UnHIHMaK2kjMMG8TrLBq12eOGFF8x0F3/4hT+1tfZZ + n1zXXDnXuHAu3A9hXpSnhXdm1B9fc/VzmzF/8hOP3XP8/qNHLuTANekHT5285pnPlFL2er26rq3b + UhzHQRS2220hnLpQVSnt7UVTcepvHudj/W9x/R/ttyYjdc1dzjjzmJeVmda6kmWzHRc7peM7qixb + 7Xbdr/MyK8u6qpX1YJ6dnzPGGKAgCKxiG+dcuI6wLRoirbWplRCCcR414yiKgCBPy9DzwzAcjUb2 + ZJGxoigAsdVqjceJ43jGGDKsrrSSBACZLr0gDDx/MB4FnsM5JwNpmjsc968cUYZmZlvbO5udTufc + udWGKwAxCL3xOG34oYduUaTDcdVqxnE7LupqcgH31CRgb4b5TX5Hfuh9Z/yO9tQt9qLF/vFH6psW + 8Z8+vkVviqZyc7jL6DXGKEMFLczMZ6NhlY4W54IDKwvPesb3hqHv++E9x08WyZgjy8ZZIwo5c8tS + +q5j0BBalN1EosjeqHEcHzx4cOnB+9fP7aRpynjT88Kqqkgb27kiQCKUpXS4Aw5ACeNh0jO9eH8T + GEPkzCh6+Pg3ZN3/zkMzqBloBG6s8PSUTYiGGSKlfdeVVR2FkSCNptaoMSDDsNCV1wnrStUkraOO + lZdHADaNPQ08bq0GBuQDBUgu441OJwob9VgO84QRl6lUsgo5cuEDADhcI5NVjWWN2rhGd9qttMwK + WWljsiKNWIO09Bj6ccxRgDZQVmBAgiLDev1xhaafjmuluEuIMNPp1OhnblLzPAg9QuP47rgaO855 + o4jdUsJjfWp8i6GU4si0NgJEs9mEAIqiAk2AXCnTT4ehH8Rxg4M7Hq6Px8Mo1D/0Q89bOzf8/Oe/ + mmclOug1QkSoKwWABIjAp7sfAVCep3GIeTFa2Xfota9/WZGX9913//0nT2elFm5QVLVMTc3rmagD + AFVVQTSd2cPXiDMOeyA0MN1U251Op9M5MzwrtbYdCT9u1FImeeZyYWOMOAhHoxHpiUgs57zVagVB + MBqNHKXSNJ2ZmZkotUppAUuIKJA5wqm10lrZmi9MW9xlXSkggVwAY8AQGCLTDAzjZJjjR1VRSqUd + xxcAvhcwDnVR16q2skaIaFV/AAAeY8nsZxM6LGiEvgYtldY6K8u8LAAAyVgVdGKICMgY55gkyX0P + 3H/o0KEXvuhFP/7jP37dddcdOHDg+AMngiAQrm+lkxljfhCkaRI3AqM0N47vhQAsybOtJDGgHZcx + IwWCxzhjCAy1wzkwU5FAIVyH+UE/GZKAmXZXk1k7c9Z1HNf1GGNSK1VLYQPTqfLEI4Y98SovyrK0 + eWkpa2Jo9PQ2RiDaY3liiDs8GQ32LS/OdNuB56fpuC4rDbUbhL7vI+I4G1vHQotXeryDc86R75Y4 + HcdhhD5zDZiszr2Gl+myyNWX77x1+3e2ys3+TKOZjtKsqpcvOPy1b9zTbHeaQaPYHvnc8Rs+gSY0 + wFApRYhak5RycXnpzJlTzShe7M5ubq7l41FCUEkdRVHQCCslKyWVUhvbW2matlot7jpa69mFecf3 + yrIkojiOjTGnTp268KKLer2eFQa76qqrTp0+TURAhLJWZJSsOeBHPvKRwHUaYbi+vt5sNq12RaPR + sIUbG+La0GhucSEMw9NnVgeD4ShNlpaWNJA0WghR15Ub+KDZaDyem5tDxF6v5wa+8NzFxcW1tbWw + 0RiORjOzswCQpKkXBtJox/f2Hdi/vrp2bmPdpnabm5uc88FgYHkriHjq1KmFhYWLL754a2sLBYeH + l7B30XEb21uL+5ZHSbKyslKW5enTpy+74gn33XdfUVdFXXmet93v+b4fNSLLxbCbg5WAtlGEfZC/ + jVvinz8eM0HaTeCIyHNdz/NkUY6ScTIaf/WO2//kfe8dDAZHDh5ywT32xGOdTidNDSMIgsBz3Lqs + OWNVUXNEMMiZyNOi3W5nWVFkueM4gnEiCv3AczwGJk9SJevQ944cPnL3gycOHTrkCWfXTFcrhYgc + 0HYzXvWqV915++3XXvOMd/z+O5YXlwxDx3OTJHnj617/xMuf8Ltvu/7I4cP79u3jnBsE33G11rKu + jTHtuLmzvbl///LifLeuijSFKy6fcfksSe/sSTXXvrK3nrfakcN0w4cycy84AlHj6cfv21heWj54 + 8NDC3KLACfW/0WgcOHDgyJEjjsuLunBdxw89IVhVybEExc/H3LswNisYDXtunW+rdWjsukRR1Ov1 + bNYaNWPGWFEU9nJZlCMRWdBCnlbdTqff77fbbQvjbsVNrXWr1TLGaDJaazBk+xNMCGOMNsYKo1dF + aTWv0zQNooZdEVlXYRjWdZ2mqQ3TGRNaSyIKgsBzxXAwFg5H0qHvcs6NIj+Mx+NhUhaz8wtFmtTV + EAxLxlkUt5CRNKo/3vHdIKszjqLZjBlrAdHW+oaqasdxaA+VSwMxAg1kcXf8m/yOmu34MfyOwsfw + OxoFQRB4fq0kGBKOA4YqWTNAYIgE9k9CQLItl3+J8c2Gq0RktFFoLHYfAMZJMl5LNCkEOHp4+XWv + f5OukpMP3Pt3X/zyaDQ6ft/AEOQS2l0vimZd11caJzU/NMB24XUAAIhMGVxd3XrwwQc6nc6bfvnn + 7vyHez784b8aJWsIPG41rR8UgAmCoKxyRAAJUMN8d8F1/corh6ORH3rcD6q6ADAMOAIAMrtkQIRI + 5xnMky/3lMyBCMBmbgCAxDhNPpYJJ/azjADQ1gkNEGPEYGp2S0iAFgvCgNj0yGT2cDYYTRgs08Pa + F8Cu86Z9gUFjuS6MEAnsrAmNZkTTF09DvsnLzp8F7UF87aWL2Lem88aZD0fj4J7EeK/XLsIjc+aJ + IBw8bNiXnLecnvzbTh4NTa7P+QiO7VkK+322Z57n33taAT5//afSvXt/fPdLY181PUsGBmmyHFbs + m8jCrIiBYYKBBA+cKkld5E4dmUo73EUDGmFUZu1Ge6saKGUc3wHOjFGMGKAxFqE3KRh8K5rEow7G + AIwOPX/GaWMFHND3PSQmAIvKOL5nSOV5zpWHjsPAxM0map3XBWgzHo816s7sjNbScXjQbkpZ9Ta3 + FmcXtVJ3f+Oupz/z6eFsp9Tyq7fdMhj0CY3f8Ku6JK20ro1bVboin8IgGqZj3VZplnTbTa21MRqI + 7QJTHxOPTcxecJzcq3ZRDIBxBEdgDnqswDqXUACiQSYQHVLU7c7ef9+9YEwYiP/0qpcJoTa3T739 + 9/9olNRAUaPZEl5cVGWWpaHvAQCgY30vgAwRaTB+EKbl+Nbb7/7kp+5aWoZut3vZJZd9/7OOXnLp + 97z17X+4trYBgaugXrh0sSxrJCZA1KR2K02Tm5OYVJWqJSjugmtF82xLXBXaVpdbzabjOHmaEcda + K26Y4wo05IRh4Aebm5vz8/PIWaXVsJfMdLq9Xi+KIg4YB6EjxHA4tKGVFWkw08EFV0q5XCBgnuVa + 6yiKfOGjMQ4wTgpUjWSIaUJGYIicSudgWOSFRuqiKhtCMMCiKt3AIwSLD7QtKY6MT3cz+4BwM3H9 + 8oSjAI1SNaCsaiBqRS3f9WzbgTGwouQMAQi1lsgwCsI8L/vbfSPNX3zkI5vr6ydPnmxGsdTKGGPd + uDnnRZqFno8ErvDypCjyygtiQB74sRcKrUrSNWhlyGhliMgASUAP3TzP+6Ph4spS6IdZlo0h0Yqi + KOKMgW0NITmuiwRKKcbEHsPf85mSjVntda6ryrLEjTGu4HvqiTi9RYG7HgNcO3vOZQKRF+M0SZI0 + TZdW9o+zXGnt+77NasbDYbPZfFwPtV1ozh0issvhOJ4Vs7XGU0op142gLqNmLHW9tbMtKpmPk0YQ + NTqtB9fONGbafrM5TPJ4tluXtUa7XRojjTHKdV1N4EbhVm9rdnZWK7l5bi2OwlpJcL2Qi7IssyL3 + Ah8APMcvimLf/pXxeFxVZbvZ2t7etuy4UZoIZIyxlf37R2kiPHcmnG00Grd+9atzc3Occ4ZIU4nd + mXbnxIkTYThblGUUtxzX4UJYUKLneUprAHAcp9PpzM7OAkBd161mxDmfXZjP89wqvzWbzZ2dnSzP + Beczs7NFURhj5ufnd3Z2oija2dlptlpWVcW65mitZ6Ya3ydOnGj4wdGjR0+ePGmMscXlIs/iOF5d + XV1aWvKDYDQaDQaDOI5pLypqz7pwIYz19mWs3++XVbW4uPgPd90VNRphozEejYTjBACM8yzLbDfS + 1hQeRiX4VyjzDRPLncp1XYYsyzIBaCvuv/Kr/9eRI0eklKEf3HPPPe1mq6gLN/CBM85FVdWO44BG + jnw0GHHuGII4billfN8vy9L3fSkrKWUYhkk6drgwSqMhjjgaDLJx0uv1hBBW9V9KiYZc15V1/Zxn + Pst13ef/4POEEFc96UlvetObPvbxv2aMFVX1ile84sornvie97zn1ltvRURDZGl/O1kmpey22mVZ + jnb6WTqUVdHv74RhWGYqCoTvxXVVREFc5DIIwmTcj5qYZUUjbKUJrJ7dvPyKRc7M1uZOmmbSmEaj + 8dCZ0895/g9UdbG+sRbHYZqMwtA/eGj/1+64bThI+oP86u97hiPEIM9932eIDLHI8/PCLDTZZL69 + nFgwPj87d+bMmYWFBcaYTbgvueSSEydOCEeMRiOrTjG5vbThBoQBVMZUMvB9WdXKaC/w9QQYTmBo + AlUhsmUerTUSZEna6XQ456PRCAW3XB0AcIUjqxp34RecF1WxvLgwGPaNLnvbY44iboXjccqZQMaV + groygodO1FAVuBDoSrZarVKXhazcQDAXGUO/6WbjTAheq1Jo7gsn8iOSBGAMGUBgwuIltFbayq7Z + i1nX2gqOd2c6rutube0URQHAhBDGgJQagPl+sLS0DwCyLE+SrKqk47hCiKqSvuuRNkrVyJAB2ltR + MM5hsrmbqX7gbqj6XSll2GplqUqbLlpi1fx8wB0BAMSc3/8fHyAt11Y3EMEoQBuHAlTaCR23kDUA + Q4FgkOHu6lkNZiBgTDRGOSHF61vyd97+bqOh1ZmPm0CEUquqKpRSQrBMJtyBpBoAB/CgKupSyQLq + IGgYqGtZMBfQEGpj5X0JdyWejQHDCJAYIQDxCeeeGIBBMICkmTHMADBmQCjODDNokxNtJ8vAEFMG + iREa43LjoWGAClASSoOGGQ+Ao3EJwbCCmJoIfhEzAJwYEtrDAgDZiaIBmCwxAGhmCAENZ8S4Edwg + AEiuNSrFNaHhZJhhjMAAA0C2R2MRCQDMJBvB3bwLAAENt2fKiAwazUAzIGAAyI0wwBgZQGNQ24YJ + AUNijJiZJC/W0tc6r9oJT87MAABwjYymr7Rnyg3jBm2WqLjSzGg0AIwRADGbrREaq5dwPncCsGC2 + XX6+ZrtpEnGafJ/QUkoMoNmdCeHkpJCAkwEywoDQDiGzi6iZMkwBEhEYRYJ4NSjnxVJ5smAZv+F9 + fxnzKBaBIrWebv/of3qZiF3siFrXTuRWIAGJE3EwlnrICQ0iweP44DQIJSfpCEd5piJZ1YL5SAo0 + aUS/4aY6V7p0As6ZUUYTgWIga5nr2ifTarWUkbKs0FgpZE3atGZmJWPc8xJZvuNdf8h8v67LtD8U + jHmBn+WlZlq4jtaKcVdrdBy/rqWI3Zp0sxEpVQMYRAbn2Y6AOHWPtXfRnoLCZIGIAYBioJG40QjG + GGAgykq1na5QDuTgNhgoDcA8x82ybGnfMmnjB+4n/+aLUha1LB3HF6KF6EgDZZ4wxhpBOJkB6ekE + 7F+iUIaLNvMauV4/uQoPnu3fftcXDx1e+tTffK3IKA7bXjOAmN97973z84u9Qa+qxoyQGDGGwGw+ + i2AQEWwzv9qqgIGtITabzWEy2lVrkFUtGGNcNLwgHSauISHE2tpa84ILOrPdrCqV0VEcZVVZKj0z + M5clqcdcmdd+iwvCOs87nQ4yVimJCF7oj0YjR/N2u72xvn7t07//3JnVIAiQKBsXQaPpCFGrUqqi + GfuGaqWUkiYIGkpSVZswjgghLVLHE5pMuV46yGop8zQ9cPjQyZMnW62W67p1UTqOkybJ0tLSyQdO + HD58eDgcCs49X+wk40ajUZal67oz7c4Dxx+49JJLBttboRC61p7jFGXhN0LkrNdLWs0GQ/S4l4/z + xfmltbPn6lKChv3L+0+vnvUdd5wknHPf97RUVEkFirizf/++LK1mOvOgcDgYLM8vllVWVLkbB2Vd + COESoS4VYyzLsmbcOLS8ko6Ti/Yf3uptec3GOE/GyXAwGh45cHBra6OoyqMXXfTgQycBWMhRANR1 + zTiP4zjJMysbvb6+3m63k+GIiFqtVpIki3PzQRCYWrXiuNfrMcY6nc52r8cRFxcXz50757ru4sxC + GPpx3JJVGfqjRiPeHAyQM5pa6Git/1EJO9d1J+mB8KpSak2+7yulABgjFNwFYLJWUioA4C4qVTda + cW88aC7OnFo7Ozc7F6LQMg+DZlGW42wcNKMsL0yZ+9wU1YCRQUWCoYscBSkDUpcoRFoXjusnOi9G + yUyrCVrnadZdbhW1NESe647H4ziOCUBpneU549zUNXJmgGwl2nVdVdUW7bKwsPDgQyc935ejUXdu + ljmCcT7s9VutlnAdqdXmzvbCwkJZlmRM4IcoWDoaRVFUjhI/aHicc87LsoyCcGdzYzAYXHHFFa1m + 8yu332FFiaWUrudt7+wwxuJWc9DrE0NDxDgfJ0kUx0SEjNnCvVXMt9w2K8lNSneaLUQcDAbdbhcA + yryQUjai0MqISymrqmKMWQPc3nBgpSMnjzqiRZwRkDI6zbJWp03apEWe5Xl3dmY0GJaydoXjBX6a + pkxw3/UMUVYWVuUcHq7I9a9O5tvSMMIwJKKqqIjI8XwAKKrqc5/73Atf+MKiKBjA7PzcqZMPHTp0 + qLe9U9d1lmVRFKEhVckqL4aDMREhA+E6p08/NDe34IdeUeSMsagZExrXdwxQWZcXHL7gxIP3bw37 + AJBlmVLK9tmJCLTJ85wztt3vnTpzWhk96A2DRiMrCmPM2traS37sx57//Od/4E8/+Pa3v33/oYNz + c3PHjx9fWFxM05Qzlud5Nk5c1203W5w743E6HKZVKS86Kv70g2cfePDOztzFuhwWdR42WlGYB2Ga + FP3h+Mzx++tPfubjFx17ed5Ler3ecDRijHFHZHl+6tRDyyuLUlZposMwvP2rtx/Yv/ykJzzxrm/c + +8Qrn+YFscUQ7zaLHtVX59saTGs9Go0WFhbSNA3DsN1uC8bW187FjQgYSi4s/LfbavcHg3azI3yR + DEeduGkQ0FCj0djZ2fHDwPIgLVjLKvAQkSEyhgTjiDg3N7e9vc05n5ubs+BJxGmpe9p6MIhFWTEU + Z86sGpKdOIrjlseZUTUp6QRu4DkO473hKPAbYRgmw2SmMyOrWmmZJdnc8lxvtG0YFUVpNDb8Rpbk + zSDWtUTmyFIhMoHCgFTGkJQTSxWGnDEDxBhDmjhOWjfY0Wg0Go3p8fgd7aY99gtGAIj8Ed/5l8qO + zGO4an7zmKABOUPkBoBAGCRNKIkhoAbNAJFQg9EoCKy9rUHAxyC1Mz2JkTkAIALjhIwYJySmSq2M + BgRAYzQwDlwg1AC2R0E47UiYaT+BABgjY5AbAAOM0BgwfAqvOq9QTDZ9YLshukEAmgAqcZq3TFs3 + 37RFnm8WmWkHaZfxYmzyQMwAsUdE0dPDklU8BwADjBNMy/OGAUNi3CA3DNAYM3lrnCQqds67d4XN + kR6JsSE0SGCQnb/HDEMwDBgDQwTaZrHErIi/rTzbNNLg5AJMxbAeMf8pdoWAIeyisw1MZzZtIgEg + w0lVm5gBAgNs72b0iLvBWJa6raJ/cxtzr1TXdF6W4rD7lJjpcnICBsANM8A0MwYByRAjg4oBOMKN + nFAYz5denhZPPfbUD/ZuKHVdjTLHd7jHr3nC0285dYdhAAINSWAIaMDQxHr2/NI/jkEAkoFmAJP8 + EwGMTd1Js5p0Lou8GjNpjCJZC60MGDMY9N3AvfPrdzGBiGiMsv5vADCVnCYLCHc8N2q2kTQVdbMR + Rp0OkGHcEY6jtLLvZsDK8ek9t67Z27t77MEADBBDMNPHmAwajgBkpr1TxgiZ4WBsOQAAtN0GGXM1 + SkBukBELCLkmjiCAgB7dcff8/UzAAJgG1MA0AAISkAHUELjCdzxssYYTOiWv0eDqmTXRdl0mNFME + QGCmJcHJrmUBDjYmFkLUda1zbZknWZIywHar24zija1Nx3GaQaO/3bvw4ovyPO/3+7VWjWbTd7zh + cLj/4IH1s+ue4whkVVHuW1za2twIomBxfm5je6uqqoXFxYfOnF5YWFhaWtrZ3BoOBk+45NI/+sN3 + rZ46fe7sajOOY79hSjRauw7mxcjj5HBSSpWybrZmagOFIuL8wdNnLr38sv2HDwzGw99+y1tu/MJN + qM3C/Py5M2evuOzyK6+88uKLL/7oRz96/PjxlZWVIs3e9tbrb7nllplO99bbvvq1u+7cd2B/UZV+ + MxoOxmvp6s+84qde9cr/UCa5FZPQWjMUXuCXskYkzxHj8XB5cWmn3xsOh4cuOKK1VkaTwV/6lV/e + 3NyMGg2rMxt6fjIezs92i6L44z/+4zBonj25Gjh+1hu0wkaejQxK7juDZNhttwVzylHWbrc1R00m + 2Rl1Wt1CqcV9y535+bM7az/8I/9upt295557Wq04DMPbbrvtp37mlfNzczNx64s33tTv92+78w7L + D7/qqqv6/b5FrVuW8vr6+srKyrve9a7e9g4nIG3m5+eTJMmyzGpRWGqZVdSw4bjjOFmWaTJLB/f/ + 2L//iaIsPc+ThbRxxT9KONlFoiIiY2jNRRGNRSDg5PMOiCFxRgS1UcgZEPM93wVRJKkZlV6TeV7g + eKKXJYYo8Ly6LBtRREYZpUFJZaQ9CHKOgjvoGqP8IBBx7HAe+H6t9OrqKg8Cx3GIYdRqImNKqSBq + GACHC9d1V1dXu93ubHemKIp+v39g38poNBqPx4qMBd24rrvd703ojq4bRVGR51LKIAhqKYVwiqIY + p4nDhX1N1Gq6rjseDx0hELHdbjsOv+3vvsIIllb2h0FQG2Pxq/b5snWHzkw3SRJgGEYNMGStTZrN + 5q5p5F7asDHGEcJ13V6v53leEARZljWCcHZ2djQettttqwY+v7CQpun29rbrujMzM1mWWTMrJiaU + Ic45AVrMy3g8FkLYYHI8Hs/Oz8VxfPtttzmeG0aNTqdz7ty52e5MVRak9C5+DacSXN8G6vg7Mh4z + Qarrepc01mi1pJSmlmEYXnTRRaT1yvLycDisqirLsv3792dZ5vpe1IyZ4MrodJxw12l1OwZ0mifH + z67G7bibdzXoUppS1o7juKC/esfts4uzg/EoLfIv33bLJz71mWuf+5yNjY08SX3fD1xPa70rtsYA + 7r777iNHjr7uDa//whe+8JM/9fK8LLZ7vde/8Y0/8zM/8+lPf3pnZ+dXfuVXjp944Pbbb5+bn9/a + 2rI1DMa51LrWapSMZVVXNe+0lj/28U9ce+3/+YUvf6yik0SV4qdbS4ujYWFURoZa8/kToqUz61/v + jc6lxTAvBp4vHJdJUoPxwPOdMGo86UlPyvM8BxqOB8957rN2+v0iyz/wwQ+++brf2ekNq7p2pvwW + QySVsrCof/qgR/sNAERUFzUaVJXSQnPO3dBjxBp+Y319PQgCjbSzs7O0tOQyhxkqs2J5aaksy/5w + UPHK8/2ZmZl2u721tQUAZpKmT7Dvk8eDqKzrivNOs8UYGw+GxhjmCIBJKIY4tTIB9LwgilvBtVyi + AAAgAElEQVSbG+fiRituhKPRaJwWiDDT7abpeGtrtLi42AiE54EfsM2tVG7JZrOFCL4fbZ7baTQj + x3WxTkLR0BUyBVB7ySBvLoWe24yjGWMILenTGEMTxTnGGMKkmW4djazfkc1/viN+R4/V0v3uNHof + 3m/cpSHhHilM2KOC9W0cf3c/2sX5wPT6MOvaZ9MhY5DAAQHGRlCMEUPDGDEzCfd3MSY4TWlwIoRl + Qy46D9OaYLcmAlcAu0C4CXDO7InR2eRPEozsPznY3AmBcNJpMciA2NQtxyYYD/t5mL7vnoMzpPNp + MJ/uw5wUJ1tAN5yMayYQPW4Ys1nNdG706OLLgI8W9dqMC4Aj2kYB42QmLRfQXJtJVwcZkKHziDsb + RpM9o/Noul3MH04yt8nlRWOQacbYBDRICIDGBtA2h7GXmE2xdLtTnTSG9aTJBjDNG2GaZJ9vgp8f + 7FHS18mlNlOwJAAwIMNAIIExVGtlJOUlS2W6v3kgM5lwGLmyn4/GZd7xOpnMIifinJJ87IUOALLH + b0T2Txy7FKApzxuFEJwBR2y3D//GW36Dc84dFgRBmo77/f573vdeKSUDrOt6MBjZyIA7YpRkggHX + U8r4dGv9XzHnf9J5ARER50wIodTkTHd9GOnhukGPd567dCnf91Gg1oUx5o477rjkWRdPNmELINvz + LogoGJd1zRgDA7Ozs81mc2NrAyU+/elP/+mX/fTW1taNN30xz/PFxcWyLPNx+rSrn3L4yFFNZnNn + 20hggLqWDhf9nQmF49nPfvby4lIYBMaov/7Ux0+ePjk7O6tUkBfptd9/jUVcC45BEHa6rRs+/Gfv + eOv187NzzSj2XTf2/QfuubsJUPb7jiwD3zVASrjQiBaOXkhxc3Ocnnjo9NO+75rf/PXrZptzRpnR + YHjw4MHt3s5znvmst7/t9z7zuc9kWfaeP/mTN7/5zX/2gQ9ed91111xzTStunj19+o2vf8N//PnX + JFm6sbHRarUcx4s7nSAI3v3ud3/iL//60MGDnnAUmSwt1jc3yrLsdttlkXPOBbInP/Upl1566Sc/ + +elPfvrTBugzn/mM1jrP01orW+lvxFHUjJMsU0Z/+ctf+sQnPv2Fz9305MuuWG7NmGxMRXby/nva + zYBkjVoJQhfE0v4DBTjUCAdFQZ7797d99bfeev2P/uiPLc3OolYIfLbTbTabKPiBqw7+9Mtfsb66 + lo/H73znO1/5ylceOHDAcd2DBw++4+3v+M23/OY999xTlmXDDxqNxtzcXJFm51bX/vubf/3iC46i + ofF4XBTFmTNnbOF/NBq1Wq26rqMoWllZsRT/nZ2dXFY///rX7uzszC8sJEmSpMnc3Jzrut/6PrQ9 + it2mk00AprYftsA3eeIMkEYkx6mkIsQyLwPuRcIvoJqb75ZlMUxyh0cMeaPZkAS9/qgVNskYAmCO + IwKfMaytcQiKyAvyNINcQS3XNja67dbM3HzNmPB8pVQyHLVarTIv7GnWdZ0WRRzHi/MLnuedevBk + HMcrS8s729uNKLLyHvPz81KpLMvQkMM4IirGqrIc9gfGmMgL0tGYOU673SaiKi+IDAG4njcYjxhD + 4ThlWRqgq7/ve40xi4uLoyQ9dXYVONfaZLK2pIM8z9NxMhgMms1mu93e2dkxWs/NzUWNRlEUltc0 + 2fQmWx8DAK30RK2RcwbocKG1Hg6HSTrWWlsNve3tbZuhKaXsBmg16LQxdgmUUkCoatmMYiQYj8cO + F65wJNY7W9vra+f2Le9LRuOlpaUT9z8wPz+fZVlVFhwmBEUb25iJVuS/sg6S1a8DgLIsa10ioqml + 7cctzSz9l//yXy666KLBYOD7/u+/4/fs02uNYrXWXuBbdyC/Eb7rj//H8vLy1U9/6s7gaF2UwvWN + Uo04Hg+HD549/cfv+ZPvvfb7B+PRRz72l61up9luzc3NtVotS3dhDK1hszGmktI35mWv+MlXv+pV + v/DaX/yzP/uzGz70Yc7ZBRcePXHywWa79bKX/yRwNsrSWkqOsH//flvksEx9pVSapq24NT+/f25h + 5S8/9rGv3/PAyZMbBy88JBzd217LkrW5A53Zbvvc2skKRkcv7fzVp95/+tTdv/ZfTypdra+vXfsD + z2DIpFLCFTs7W3d/464gaBDphYX5L978pUsvOba0tPjrv35dJZll41nZNNsEs3jo78gIvdDzPM6d + X/6lX3KENxr2A78xvzDb7w2rukAmDCnPDTzf2dnuB0Fgd+qo2Yya8WA07A0H//OGG87luS3jWXw2 + IQKBRVFbmkqr2RwMh1ZELs/zpaWlcZbaCZwHggAYImOqPE8RuJamKnWelXEQPOPaa6KG1+22bRUw + arTTtCDk/8cLFoaDTCklHDY7O7O+vgZoDh06tLa2Vhc1Ao8bcaPReOD4iZu/eFPgeVprqTXi5PFg + eN6B1wDVdR2FjW63K4To9/uj0cj2PB/D72jnUf2OGENG/99To7b4b7Ca2gDw8HX5to+5Nzuy3wFG + 3GGu62qp0KAAEMS44TZBQoN7I2MiQnBsdE+INtMhBELcDcFxkt5M+0Jo+4KEZLiZfJ/RFGJkf8Qw + G90DADdi0l0hRmj7TRMA5G7aMCU8CEZkQME06rcEGMOMfT/bZUI6n94ggW1z6UmCoTgxJANISIaT + QcMQdpMo/KYey/RQtJuZTHKPyduRpegwNAwZ8N2TRAVEDBSAbbURgTYTABuY6QpPjo3nL/g0jTGT + BHXPIDTEzG4l1maeE3LQtB1nEJD47iR3z2E39zufGJ2/sAQwyS05TTCT9h3Q5oR7Mi77NgbN9Ehs + gqgkQGJSSt8LyFDQDdZhc6B60khPCNbmzWZrDOMaykIzAsMRkAySRppyq2BKyNnD7PrnDBsgCCGE + FhyIORwoQGBayhMnHmi3244rbME/jmNbYGKMNaPYOlJYbTS74QsGrNYWcKKUNMZ8F308YJL7Mc65 + MWxXimY34LD/C48/O7JjV4ZOSilJCkccP3784mdetPuCvfsJA0ACbXRVVW3//+XuvaMku8pz73en + k0/l6jTTPTlIGgGSRgJsEyXCtSUBwgSTTbq2QWDAWHAxYNbCYAyfseFiQAj4QCCQuYANV74gkAQo + IIHSiGESk6dDdXXlk8/Z4f6xq3tGIiwjy4Z199LS6pk1Xd1VdWqf/b7v8/yeCsvI5o0bO+d0BvMD + VajX/PGrfvD92849Z9ell17+1re/7f4H9liGKbl4z3ve8+Mf3fPt79xoWVbBOUYoz7lpmlEYbpyb + s03rve9973333Cvzwvbsi59xyQte9AKdo7hpbhOldP369QZlhJATR4/ZptVoNC447/x3/dU7Xdu+ + 69bvt44dXL7ntlquzDSyssLhKsdYlizkeM999rM3XHTRidFo37GT3/72Ta7lMSC1cqVSKqdxooR8 + 3EWP3fPAfe/8H+/gSnqed9555+3d88Dc+tmP/uNH7rzzzjSO4zSt1qvdfm/Tpk2lUqnb6evpQcUr + XXPNNVs2bLzuuuuklEtLy7fdcce66RnbtvvdFdex+71BxS+5lr1ueuarX/nKK1/96pPHTxiM6PNu + qVqxbZuZhmUZK72VMIyDOPJd54uf/9yjt20/vucnk7b13a/+c7bvXqcdkCwjeeoQgyFcRjgr1d/5 + N++TE7Vb9+3p/sOoVPUAhAUUMl4g7rnucDhMonjY6//5665cXlpybXs0GL7xyje89aq/xAh9+MMf + RkqlaToxMaGUSsIojiLHtLIss21748aN7373u0Ve/PVfv+fSSy/t9wdf+9pXPc/fsGFDEIRCcIRw + mqb/7b/9/sREc3m5/YUvfXFqYtL3/eFwqMcLcRxLzjUG4JctIYT2660OIQljrCjEmf2I8VQElMQg + MeF5RhhFQjIgPE7zIMkLggFKjpsUQhYyiVIlZMUt+9hVWHEkcyKFUrkQQoCUKBrFyLAwBwDRrE84 + tj0aDQZhYFkWYCI4T9O0Ua+nAJQQgrFtWSIv6tXaSms5GgW1Wo0SkqWpbdt5llmmiTHpdbo5LyYn + J03TjKPIMIyiKHQSkWNavu+HYWjYdpIkmj4HQkgpkyQxTBMjJREIIY6fOGFZBmDUarV6g2Ge57br + GYaRpqkseJokvCjKlYptWf3BQHBeKZc1jV3khcJIN+7H7dEzWh4IIM/zarWaZVkcx7rk6/f7zYmG + Rsw9xDDZ6XYdxxmfKmF84hVCaC9DMBqZltVsNEZBIIVwPc91nE63Ozc7e/zEiflTp+bm5hYXFmzb + np6Y1OI9nT+LVnGUv3UTJO150OzmJEnK5bJfKsdx3Gmv/MGzfn/r1q2u6x4+fHhmZubWW2+VUpq2 + RRj1Sj6XQkr5wN6fbN++/YlPflJR5N1g4Ja9Sc+KgtByHIMyLoVb8bgUE41mKQxqleqphflhf2B5 + Xqff0/RPjLEQUtsYhBCA0Uqvu9Bayj/+8UOHDpXL5Uq9Fobhm//iLXEcT0xMSARHjhyZnpkp16on + Tp1MkmRtVsAYM7VKFZH9h372vOc//xWv9k3HHoZRmsko5o5brVUnoyBWEhVZxHAxPV1dap30PQOj + gvM8DMP1G9Y/sP/eu++9W0pZn2iWKhWhFMZ0fmlpw6aty+3OUqtdrzeWV/oKsG3bQozvkXEcwyrW + /T++kiTJ0yIO42dc8szrrvtSlsQjFISjURqnCIFpWlmWAgwKUWBEThw5WvZLDJNQqnar5ZVKF513 + wbe+9a3BcEgplVICRmujA316YpRSQrrtlY1bNm/dujUMw8NHjrRaLa982jcpV89qBACkCqOR5/tR + EJqUEUIc13rKU560tHgyTqILd18QhSnGjhR0ablXKU3PzPiDwUDJAoCftfWsUdA3gUzX6wRQo9F4 + 3EWPn5yeWlg49aoD985tmBSQKpBK6tP26cG6lFIh8H2/7Je0rno4HGpN5sPIO5Kg4MF5R+M+/C9a + v6kQpF+4xpvUGVOjh10jPeT6HN9hkBRCUJPatpmnGQhEARtAQawWSOrntDmK6u8HpTSkQY8gFEJS + YaoAqzHMgGskA1JaVIYBiMJYwJpBaFVctzYR0qZ/QpSWcuHxRg5j2d6aj2j1ZI6RQnhsiTk9z1Ha + ezOuxGDVCnXmBEQqBAKBQkCU0H5rddpTdBo8sDbCWWVLAKxhDNRDP/AKKYGl1rqdHm0hEIhjUIpw + BJIoeboyRAJAwyfwmjVo/FBn/rL6F0P8jL/CaPzIq/9EYSzxWCGLpDzNokDjX+aM5w7juuv0q6uv + +dXZkTwTfYEUYEmRAoFXZ3ynHVkYACRemyAhrLAECkpiBQSIAMEslqRpfbaxIE5d+LSLPOYbBSOU + Io8ey47ZdYuZhFNpIaokR6uDqzN+n0dyadltURSikEhhJRECbDJWLpeZQYNRyExqWZaUHADq9bpu + viZJEkVRURSu6zJmjMIYKEYFz/OcFcWaHP83uG2s7WxrqiT4lUPyX/Ygv/Dvx/oOjIUQhBF9qmOM + RVKunWkesjspLrBipmXyiM/U67t3795/50+Zxbjgn/vc53heXPGHz3vKE5907NixCy+88M9e/dpG + ozE9MylEIUB5nrfUXnYcxzQ8s1YbjQaLowBj/MpXvnK6OVGqlv7X176yc+f2H95152WXXXbs2LHv + feMGKOBJz34GUsr3nGg0NBiZnppASjiWefamucnRykGen22XpgzPKzjDZIgg8pyFQs0xc8r3nMkJ + pz5x003fRwIhpJQAg9I8TZGCYX/ws4OHQKqS5x3ct98wjEGvb9v26173uuc973kU4//+p38ahqHG + Ix08eNBzS77vm6YZx/FwMBiUB/t/uu/TV3+6kOLSyy8LgkAJQYEWWTG7bu7b/+fbV731qnqjccut + 39t9/vme7+gmr+vZpZKHMe4Nem7JrdRrS93u+rl1P7z1ts1z61UatU8c/d0rnvWN9x+8qFR5zNSU + HUV2zgnP0zDyJ6dPmmUrjIqK9buPvfDR55/bHXQJKKQ4cGHZFufCd30KBCPcb/ccy1ZCbtq06eTJ + k6PR6N1/8Za3v/3tr33ta5u1+vLiEmOsXCoxSos0swwzjRNRFIpLnvP77rn/gx/4u263/8Vrv6AE + iqJgYmIKMUMIFQyDCy+4qFIqLTWW2+1/GI3GknjLsoI4wBjbrquPTL9ioVU+hLbjagg1AIB8EEgN + ISQQBoQVIqZhYUq4LIo4r9g+EUgUXClI86Q53cAGGw37PraMoSCIcooklrEsOFHMNHyHmTaWSUYN + czToLaVL1WbNqZSA4DCIALDrupVy2WRGN8ts09J0K4pwt71SKZeLogjDkBqmYZqtlVatViuKIk7T + Rq0WpUm7tdyYaGJABGGkACFU9nwpxHKrpacU+qBCKR2OAsuysjStVqtIqqIoLMvq9Xr33HPfli1b + FpcXkyTxfT9NszxNXdsxTTOIQsVFkedIKtdxQMgkjrU7w3NcLoW+3+AzdgMd4q1TlXle8LzIssw0 + TcMwGo2GntdpPIF2D0opu93u9PR0kmijMmWU6iMWIURwnmWZbZiUkDSKMUKe60mA5cWlQorlxaU8 + y2rlihRi/fRMq9VaWFjQ821CiHaj6W35t26CpNnnURSZplmbrgRB0O12tdbw8OHDKysr/X5/YmKi + 3W43Go2VlRX9NDSIZt26dcdOnPjbv/tAfaIRRcFjHvOoLMv27t1nmqZp2lE4VlhKKZeXl+fm5lZW + VprN5tTU1PVf/6phstFo5DgOpVQKLqVUarynT6+bOXXq1OETx9Iid5UMh4MkjCaazYbvHT1+fOu2 + bdt37Nh/6ODUzPTU1BTSUHJAWZb1er1SqWTbdprG11zziU6nl2TpMBj4lTKzHcGVQqjXHTmOJwru + OVYUDniW1eqlJApBCSG4aZo6P9v1nf5ooIWberAruCKYuX4FITQK4+l1M1la6Jmj7qtJKTVL/hF5 + wwgijuWUPN93vP/zv2941StfOTs7e+r4CVSCz33ucwsLC3p+PRwOp2am69UaWje9efPmcx/1qP2H + Dh4+emTTpk0WM/Smpo0Ea+IKpRRIFWV5yfW2bdv2iU98YmZqptVtB0HwN+973wMPPDA+kZyBjUJI + UYYFL0q+laUhM5DFWRRFRSG+//3bs5RfdumGQwe6CMSusy/af8+tn73rG5mQ1Vo5T4fBYHnTxokP + /O27rr/us7sv2PWtb9+w54F7PvrRD2+dnS2X7E53wS0bYdTHGORql0KKMcsOY4wpaTablmH2ej2d + d6RNX78876j8a+UdPTyh2n/xUkrBz4n+HnaNdKbMZtyBU1IgaTCDGAwhAkphwBgI1vGf6szJgwSQ + EmFN+1NobMoGGLMiMABWiEhFJWAFAoOAMcyAAGDARGIERAEWeDycWoWZPGjKMQajIcAAQkvLkNCz + Kv1/geRaZiNWGBQgUGR1qKSxdVr3BQCrMw3Apx0XUmAoMEgshQSigK6KzSRgjEAAJmjMAVz1RT20 + ubVWIwGsFTDjOoqPKz2pg04FUhJLjrmeUIEcG5YkSIm0anGsSxxjNwAUIIlOv+sIsNYoIpC63pPj + nyV1HUgkBcBEEv0wguh6VcsaCVYYAJ9J81NojBNEShEFY38UIF0FrbqP5Cq2W3MsMEhQGJCSDzG5 + naGvA6QQVmRsklKAMUlFMUxDzysNxfDpf/g0xyhBCkVRpCo73jlu12xOci5SKXKEFEJqPAdb7Qic + +eD/wSXluPDDGBOCMBAlKSiU5znnXHDplzxAUBQFYwQAtEyAGVSPqbVvNufFWPu6lhbwy1st/2Xr + QTs8APyctPhh63JhVe6r3SnYHBdL+s642nB66Les6XaSJEUebN+ylVJqKGPQ7x/cf2Bqamrfvn2v + fNWrvvCl67rd7rXXXnvWWWdRSoeDQb0xkWdZs1YPk7jT6ciCU0yq5bKDbNM0+/3+7sfuzors+PHj + u3bt2rt379zcHK35PIp3nX32vr0/XTc9Mzc7axBar9c9zwuHo+78QoXzjUDO96rrBWVRTAgJKGkR + 4nse6g4GJ+Zhbn2ntVTzy6gQpskm640tmzZ3+z3f97ds2nT2rl2/9zu/OwqDF73wj2665ebzzjvv + dy78ne/e8p0jR47sOvvsm2+++Q9f/HzDMsMw3Lx5MyVGe3l5y5YtqhCc83XTM/1eT5+M4zDyHJdz + Tgg1TTMYDDfMbozDpF5Xc+vWR0Eo8gKkiqMAE5BStldaP7vvp6xZesoznrZhwwakwLXMTrtVmZh8 + 9Fk7YP7Uxkp5eFz5/WGjEGUpLQFxyuN21/RAraywuWZrsGJZ5sREAykwET17x05JcVRkpmnyJEMI + EcO0LGPHju27z7/gK1/5yq6zz/nnL325PxoyShuNxtzcnGmaJd8v0qzIcsdxpqena9U6xrQoYkrp + RG3q2LETCJH169efOHGi1+tRSl3XVQrVSrUwDcMwjKPU90tFUQBC+vSoKdi/WnFDCNE5trZtZ1kW + RUmSJJRqC8Npt5u+MqXkhDLKMC8y4IRismnDxssveYaPjYJn2DJGPBMGSfPMMYwac/PliCEmLZwb + OCUiRzpwVhGJeZrXSmXC8LdvvvGB/XtTWeS8cE2XZ7ltmFLKQbeXp9nm2Q2Li4umxbyqs7S4qKQ0 + KfNsh3Pe7/bm1s+urKxUq1VL8JV2e3pmhgBSQhqGMVa4SBVHURzHWZZdccUVfqlsWZY2m0RB2Gg0 + eJ4xxsJRIITwSy7nPIniiy666MCBA3GaYMQKwW+44QYlhBKCEeo5bm/Qxxg7jtPr9aYnp6YmJoMg + 4JwDgizLYFVcd6aEZIynR8j3fV0saSPZ0tISxlhjG8IwzLLMsizLsrQ2Rx93TxOwhcQKuZbtu14Q + hXEYub4HUg2Hg8nmhF8u7dv70+bkhGs7C0uLnuO6ruv7Hudc77p6grRWCT+8Deo/uH4VpAEAkiQx + DCPLsm6369uO67rdlU61XNm1a9cHPvCBwWhYKpWe94Lntzsr27dvL5VKiJAgioIoKlcreZoZpmna + tBB5t99BBBSCKAlN2y5EYbsOxrjebCwsLJQq5ULwn+7fhzGu1ioAUHBeFAWmhDHGpVBKcSFWOh3b + carVquu6SZKYtoUx1rDpWq3W6/UIIevXr6cGC4KAC0EIoYTYji2EkAi4EHEW3bPn9lKphDElVDJG + wnDU7wWNiWa54sZxVORZpWZWDG80zAqe5EVSrvhZBrZrdXs9jDExmG3baZpmBWemRQhJ836n16/V + anmeLy23MTG5koQQnRokhACCc+3Q/XWWvrXI1f/WwGOGYTDMeMaDYTh/cmHb5m1n7di5sti+9nOf + 9xx/8+wm13UdZq+fXMc5f9OVb5iYaL73ve/945e/4uyzzvrQP3w4S5JBr4+phhGAxi2Mp9IASGNJ + 8+Lxj31cq9V62cte1un3PvrRjz7hCU/Yu3cvrJ4UTzdEES+KADDvDRYKXhScAijLsgzi9Nv5G668 + avf5T953z+dvufHAnd/Zv3DCJeSZDjVHi7FBk41NeuDu788fMFrH0DPf8kcveu5Ln3PFM4edAQJu + ULBsEqd9x2WaUzUWpEohpWSM2bat48+CIBgMBlJKy7J02aMU/Hp5R/J0OfTvmcM84n3rB73p/+4H + HzeDH3yw+XmN3K/xo3/RU0YIEYIl0j9r1foKGAPRBZIEpT3zD3lZJKzSqFeLHP3ciER0TE2Uxbhq + 0eBuSiShkinABZECFwqBwnzVdw4AGIFYMwsJJAFJQCCRwCCxkuO6C0kAxccccECAx14opUc9ErDi + eFw5aAMVjCnbWJdkHIHAUBApMBAEq4XUGD+gFEaAAFEFWOhJ46rnBwDOrA3WKHAK8BpiWyEpCAfA + lGNAWAESWHLNxdNRh4oSRZBWzwOXiGNdTcEaK0/XSFjXSOgM3xGRY32gAhAYxCr9TylMJDBBkSLj + v6FSwEO0aWNKnq60dEIkIAABWAGToF1JSsGZmr1VjoWBFOIYECiMc6W0JhI/mNKu9w582pemgDAk + pLRLVi/pMWIgilNeKCDUNtyyG/QjRosgHggoGEMEa4K4Zu7/p3wI9WeHEEIBQCIulBQSAXieNxgM + 6o1aEASEEMbIcDjUUuQwDDnnaZqPpfwEM8MyGEEKM8YIIVJJ8R/oWTxSzwsA1qT82jz3EJL4w/4N + xyYBKfSjpWk6Fuf/EsutlNJihkxknueEUCGEX/b73d45m3a5rrtu3brRaHTq1Klms5mm6Y/vvEs8 + OpucnNy6davneVmWcCXLbq0oipLr+b5PEDl04MAw63/y6o/X/PLZ28/5n5//p0qtqoPON23adN55 + 53320595xcteNtmcWFpYPPessy3DBADLsgTg44cOX4jBHma+wR3OYRAKDNSzLYNYhBjD0EwymXE+ + CjHnNmAGEAbD4XCoM0+vv/76Z+X5R/7xIwLkyZMneV4szi888SlPIAhVq9WvXH/9333oQ7t3777z + R3dpNHORh0mSDPsDJKRLzTiOi6LgwAGwYRj6EJxHGQAOw7jaqNu2zRBbXFzUb1a5XJ6YmCgENy1W + Lpdx2UYIBYOhbZhpHM+uX9+sNzjng8Hg9nt+DFLxOCXIqDKLhCMRJTbBUmGq0KDbqxJiWjYjpF6p + YwHdfnel0xMExUXiOE7F9aMwjHrdy551+Z/9yZ9ceeWV3X5veXnZtK36RDPLsl63axqGjuKI47hZ + b2jT0cLCgii4KGS1XONSDPsjJSAKYiXAtT2lVJHx5aV2VuSO5RJE69VqFEWUUsqYvrMrpfIsc133 + V1zMug0ax7EODuZcCiEw1r6Xh16cSAiiqElIGEWIMWIY6+ZmXvrKl1WZ881/+fo9P9nj1ypLpxb7 + K52dGzaeGoUetgBAYJlhVSClKEaUEMLCtCCG8eOf7X/q0y7ZvH3bj/fezywDU0IwEQC8KNIoLvL8 + ogt2X37ZZV/72tdarVaR5xYzppoTz3ja06+44oo77rjjne/4qzzNDMOYnJy85OlPu1BaxeoAACAA + SURBVOyyy4jBnv+CF+zbt296elpgbBumkpJzXi2VKaUvfuEfnTx5qr3c4XmBMa5v2d7pdKhXKopi + olJTSmmNTK/XkQWfqDfckj/oB89/4Qvuv/e+haVF3SyulsvCL+3evftVr3pVmqaVUunYsWNf/vKX + 9+zZoxByXUei1aawPAPVIJXvepdffnmn07n91lstwwiCQBTFE57whBe+8IWzs7M33HDDrbfdplnt + WZYtLi7ats0Yy/NcgtK7nxKSZ4Vt20cPH37qJZe84mUvC6Lo7h/96L49ew4dOIAQatRqf/Hmt0RB + 8OSnPvUdb3/7A3v3SinWZHVnKoYeOc7Zr7d+aYGklNLKOtd1Tx45vn79+pe96MWO4/z/13wmSZJH + P/rRd99999f+5euvf/3rX/rSl1577bX9fn8YBKZplsvlbr/neR5hdKG1VG/4XAmJwCt7QqhklDke + UxjlgsdBrPnrpmV1u91StUII6XS7lmnqjwrXrw0oxtiaCOrEiRO1Wk1/UEzTLBTEUbRh48b5+XlE + SckqtVqtRqOh3+d+r0cI8VZdcY1GDZSbJBECVPb9/qBnW369UcUYh2FAKW40KysrSzxP1s/OLM4v + TDaaURzkeW45JsLK8R2lhNYc+r6vowCkgFq1gREeDrqPvejxh48d1Wl02oakoT2PWNCVQlmSF0Uh + Cl6v12emppSQzXrjwgt2X/PxT5bL5aQosjQdDAaaCvKUJz1VKeHaDgG0sLCQxcn09PTMzMzC0mK5 + WtHpG2M0nPaMK2Bc8bxYP7Pu4P4DlmFazDj6s8Pr163TIDix6s9W+p6KOEKIMRoMo2a9QhCLisix + Xcum27dv970aSPjT17zMJye/+bV7697s1NTOk0srZVsZbJgMj7psU8mefd97/s5hEPR6z33O5TMz + jZSHo6BLMJTLvq7SNY2AUooE12+667qu7w0Gg5Xltk600K82ADiO90vyjvq2bWuWjlZdKqXyPMeA + HlIdPeTr38K1prFWUq7Wto/MY67VV2viQ8yobg8rpRBgCVIphQjWBASpBVc/RylQSCoQZEz51vUJ + RoCIwkxIqhRXwIlcla5hrBAWlAlDAkZK5lTw1WEJKIkUA1hrDko9vhBYSASAOFKSKmkIhBQQhHMi + BeIAFAEQiakgZMwW11DvYjxBQgAKI7mWXioFgrHnZwzTG0vviASksNRzJs1PUxgBoRKDnsOM5Wry + l9a3Oo9o/FoJpCRRTNtnAIHQwzcAAhRLkwiGFAYsJWSAMEAOD2ZZy9UaSek5kpIEgEhgErDCWOrc + Erk6KwMCQCRi3MQKF1gqCkIVa339NS6fRALU+OUFAIklVkAUZhKYwFiB1vAJDALpoCpMBWGCUsFA + YVBSIs4VBvh5v41mrJEz85eUEkUh4yJuVOqtlVaz0gyDmBBmul6YBEkWFyzPQSGqDEwZxSA4jCGM + CsZ9ijVk4iOwMMYChJQyy7IkyfO0iEKZpbkoiqWlxb//+7/fsGEDM+loNKIU53l+8803c871wcU0 + bcMwTNO0XcdyPGEZlCuTktPjbox/M/3P1Q4YrJZAGGNyBlV5tTv28IdImgiKEDIMQ5JcF0hnVl8P + 2Z2EEJjhrMhzlFecEsYYAWq327P1Eed8eXnZsqzzzz9fJ2OGw9Hi4mKlUiGMGqaZpqmQIo60fE8e + PXLENKypqSnHdD72sY8xQvvD/pGTR7Mi1YbvxcVFx3He9KY3zczM3PaDWyeq9Z/97GfHd55FCGm3 + 21MTk9t3nm2cOqmImSGibEtwGRcJt5i0TaCsUqk49WZmGNPNRjjoMwOBUhjjU6dOlUqlxdZSs9l8 + 97vf/dnPfrZUKl37+S986K4PnThxwnVdBTA/P+84jsZba47Z/v37QeG52dkTJ040qzV3zkUI6QQb + 7ePSPpOS7Wv37MLCUqVS4cBt26GUmqa5vLycpimXgnO+devWiamJxcVF33SPHj1adrwH7tmDn/di + jGAlGD3j8md9/od3OVNTXKBOxiteSWLKlZCVyoDL6s6zoiiKJO33RkcOHH78Wbvr9XpW5GGScySE + kicOH51dv+6ixz32yjdc+ZpXvmrfvn1Jlk5MTKx0Ot1B33GclZWVVquFEAIhOefD4bDVarmu67pu + qVIOwzjLMi7Fjh07XN9bWlqq1KpxGAkly35pcnrKYlauivn5eYyxvhFrBroOLLIsS7cbfsWK4zgM + Q9crlcvlJMmSJBlf7ej01S6lVEJQgpDIKSKUIMMxhBBBEjFmcZD3/3TP4SMH/vI5bwXJf/aTn1qZ + vOF717ePHHUoRQRnvABKJmdnz3rMeRt27ihPTdvN5ns++Hcn508SRqM0cQxHcg5iNVJFylqt9uxn + PevFz33xPffcc/ToUZ0N9dGPfnRluf2JT3zipS9+ydVXX/2Od7yjyPKXvvgl23fueMc73hGG4Ynj + x3fu3BkEgRDCtqwsy2TBqeP2u73uSueW79xiUjY7OxvEcRFH3/jGNwzDaC+1JiaaQohOp8MYO+/8 + Rz/ucY/zPW9pcfm6L37pJS95yfz8vFJqw4YNJ+dPLS8vA0Cj0ZiZmfnnL3/50KFDQRAcOHBACDEx + NdXt91YD2BBZbbECAGVkZmbmyiuv/Ld/+7d//frXZ2dnAYAQ8u53v3v//v3f+9737r///oMHDzqO + 4zhOFEVacqxBfIDRmK8GiDFmUOr7/pOf+ETHcQ4fPvyiF73ota997aWXXtrrdK6//nql1J133vnA + /fdfffXVT7n4YoRBjo82cq3h+5uqjuBXU+x830/TVMPd+/3+5s2bW62WDiTtDwf/+s1v3HnnnU95 + ylPOOeecKIpyziuVShiGiGCqqBCCK2lZFsY450WpUj5x6qRp2IBVmieu7wRRYFmW7TjD4ZCZTILM + iqzu1Yo0xRinWabHNWuJUWma6tGN67oY46IoGKVREFZLZYLx8ePHtStpfn5e151ZlhFCGo1GlmVK + SMuydOpznvWDcHTurscstrpSCkJIvz+YmpyxTJZlmVLCMClebX8prHLOMaVcSqEUAJimqUeNaZIL + rqQobNtJ8pxz7pZKJxcWtOlNC+rWnGoPu6mvVnOyxkMeKXUbhiKaJzkjRprmRSFcy+W5UAKiUVQt + Va2mFQRBxa8UaWZbZp7nSZLMrluvZy+NWr05ORHEEcJY+xokrP4gQDjjBOFWq/XsK57zve99b3J6 + 6qlPfer+Qwfr9TqlFDMqQY2NuRhThqVILcuAdSpPUtsywuGAYEyNXEJYrTmjobAZmZpcX/IWFG/E + AcZQLrKw5JXzxAyi4ZOfbN52+4H1s1skTmp1CipwKTYNeu6551qeLxU+99xzhYIky7IsI4zqajOK + on6/H4ahjk1ACOkPp2VZ09PTAKBnR1mW6bRcLaLVemX9kVvTyOLfuALm3710A1inL2rAC9ViFYQ0 + 0DOJYi1uoZRKPn6COspQ42Vg9Zo8U2+jL841Gt6DJHYgsMAiLzRZSI1xCjJKI+bTQnLLM9M4Kcyc + o5xZTPCMFwXCGGGJsQQlAAABIMSUBJVLQzCaCZEmEzPNI8NFZSHHL+VpUfHK4WKEJLOYMUpGjfW1 + xeikQBwBJatcB4UEgJRKxVnilfw8i12vFGdp2bTjdr/uloskJ7aRJ7FV9vOCY2Aq5yZyokFYrzai + JFQGUkoSh/VGnXK5AgohiZHEcIbJDQMwhAAwl6DiouqUUZHzosC2yQEJDEpgQ1FZEIsYgGEQdgzX + MCxSqCKIAtd3FEJ5khvUeNDrjADGvL4cMjAy5jKXK8glMEqHaUgIs4kNMS1btd5Kv1QvxzISkBZY + AuZIWwSlUuMJDwKEACORFxgjLAlPCptQjzm8UISxUR4QAMmw4EUSxw5xacZEKgyXcpUhioUo8jT1 + /bLkHGEAQAgLpICAFFgiDAopJSQS2FDM4iAKjigBmypECiwZYCSVqRjKEeRgGEbMM4IpQTznAlFA + SBFi5HmO9fhp7TVYkx0ihJAyLWOUDZ2SHWQhGMCBcxWChSXk2ESZzDBFSEkhirF17T+ZIskYs8EW + CjNiOLYFClGMt2/f1m63l5aWkix2HEeHYj/mMY/ReXFKKSlB5woUgmNqUAxMgN6XGKWcqzzPTUIU + 8P/UX/4XrnE9KeXaTUTfm/QfV29MYxEBnNEbGrdIzoD/arenVrzoNpMutJRSGKGCF2CM749njrIR + Qme+aYSQKIkcyzeUEXbCRqOxb/++DZs2zs/P69RLjPHc3Fy73UYIWa6TZHHOsySJ/JKLEMK8kJKX + fHc0CrWnf7nTxkDuvvtux3FM05BSauM0pbTT6SillJBIqXq9nkRJo9GwbfvIkSMTExNxHPvNBi54 + OrPuiKSZY4IhiVnuizTAMsayXWQbp5qd5daen9yvsOCoYIiMojDNM4sXGzZtOnHixI4dO57+9Kfv + etS5N33vpvvuuy9Ok2/d+O1v/Mu/Li0t1ev1mcl1d9xxh2lbnU5nbm7u5In5kydPPnrXuV6pdOBn + h3adfQ7nnAIFKgHA9/0sy+KUM8bq9XqSZ/1+f3JqgjGmk9k9z+sNuoSQVqs1GAzK1bJrO/FglPcj + mfKNcxs/9ZnPvPoVr9hw7qOk5Qz9Eq7Xj/RHA4N6gFnZVRSPMO4Ayymm5apt4NEgqpXqUgKhbBQn + OZGWb7dbbctkV77x9U+4+OJvfffGrdu3rV+/fn5x4Y477ti6fdt5uy+o1WqXXX75xk2bvvnNbx45 + fLjs+VmWab2G67onT54scuH6XpbnQRQKKf1yqeAcU0KJEadJFMccBEUsSuLeYKALJA2w1tek/gIA + GGOc8zMVd7phihCybbvX62U5bzabjUZjOBx2u33GmFRSy3Z03Y4BUckxIKG4bZIkTwuQ1DViSAnQ + XtT7nx/7R5SLn/z4x48/6+zj9+w5+f3btlLi8ULmyrWsQqjo4KmVQwvbno2e+ORLEoL/9l3v/Jcf + 3KwwOL6X56lJGQGspAoGQ8s0P/eZz27ZtKXdb436A99xLctanF+olMrv+h9/tX///v5K501vehNB + aNu2bZddetmrXvvq/qB/4MCBmZmZ5VZLx1eOr94wSuOkXC4jBVSht735LzzPu+KKK/77a177pj97 + 48f+6aMzjQklFJJoslovl8sHf3pw68atT3zyOb/3+N+77otfEkJILkzbWlhYsAxTH9gk58N+/8Mf + /vCWLVuiIIyCsFarJVF0/mPOq9SqN910E8JYYRyGocmMarW6bdu2T/3Tp46dPNLvdnUDOsuyyy+/ + fDQavfe97y2VSvPz89VqNc/zpaWliYkJbRMaz3xWGZ46QEDnJukj2TXXXHPdddd9/OMfv+CCC+65 + 556rrrpqYWFhenpaQz5e/vKXX/PpT+HVlGe1SozAv4VBsZqbbNv28vLyuTvPAYAvfOEL73nPe75y + /T93u91vfetbURT5vr9tx/YbbriBEOK6br/fT/OsXC4rpQaDgULguq6UuRIyL3LLMPWOFkcpUKUV + t0Jx02BIwWRzotPpDIfDKAyrlYpt2xrVgMZC0rGGShP0kzjWqc8TExOt+QXLsrZs3nz8xIl2uz05 + Mz3pub1eD2Oc57ngnFKKAAVBgBV4Zddgotls7jtwyPcrRZ7nRbxj65bDx47WKiWpVJZESErDpEmS + uK6rewA6YMc0zcFgFATR3NycPpuCPq8phTBZuzge8XfozIOsAjAMo1wuSyk1tG3Tpk22YQ+HQ9u2 + AcD1/TCO8zRlphkNh6Zlx0moTVCnFhf0d917771xnpaqFVjN0sFYJ6QoJJVDjXAUjEajN7/5zR/+ + 8Ic9xwNADzzwQJokcZJEUcSV1Kh7LWlDCCnBQfIkDUuO6bim55oK8qXWiYXF4486a2vVBUIRxtDt + dTHBXmUy4yLP+qVSqeyXowgQUl6JZbmdpmmSFxyUksb99x5sTq9LYnns2CmFpJ4lEkYZY0KINE1z + XmhHoCZ6I4RKpdKvyDv6+Rd2fBT4bYIuPIylVgsafQWuNXTXrkZtedQ3G10ywRkzqJ9/tLXDjZQS + AdLu86XBsgQFCBuEpCL1TFZEHOogDA4CuWUvT9I4jr2SG6cCxoA4iUBgAKWZCrmwkGUIUiY+MUyc + KpIrw3N6g4FIJVXYkyUSIUUQQ6x9qmNOsJxKAIwEQoggibgEhZRpMZsajGHIZJyP4jAsKepguyTd + tMDIZMMM0mEoKfFslxg4WgptZKMEO8QdRj276cUiYIwJJZAEqhnlY5oeV0qIQmCFCWEGMFYwPzZw + ihAyk0SmiAPGSEqUQ9YNkGJAsVtxkzDCyuDAPc8DgpI4JpQAXsU4KKwdWQBAlLIlthBzwDZyFqe5 + QhxjAGzlmZB5xgIaLA0NwVzbCdPAalgcp5IqrBRapUYDkkrhTGSACJJAMDEQBpAGJ4QTEXFqYa3A + QyaimBmUQYqSXmYjmxkUqFJYSCJBIo0TR0gppDV3Uq3BSpRSQmIONqI+MqQSmcRRplLBC1RIoShH + RS5xQgknykKeX0pUkhaJaRFJVJ5xpAhjTEC2OjaSWse45lvT6HG5KlBctYlpuxVgUEit4e/G/x4p + UGgVUf5If5QQQowyYrjM9giiCBxQCCmFEKRFWi6Xg2hkmiZjpNvt+uVSnucgdQ8C9OGGS0GYSZAi + hSSgCKUCrZIm1W9qhvRL1xkQhfH2uLYnrO0eZ959GGO6/aePrXrD+XV/KCGEUsYjWQhe8mv7ju2/ + 7bt3BEFw/mN2d7rd1135+nK5vGHj5pe8/GXVeu3sXec8Ztc5W7dv27x583Oe+9xWq3377befOnWq + KArLcuI4bjQajUajH/fL1Uqapsw0bLAlCClBCK7N5xhTgpRSCAgm1ECU3HnXj5/zh8/Nk9xHiHaH + 66k5QPKuo6dEEedYDNLIbzaxYd3yyY8lX/7CsTjqEzj3ggsSJAQgw7Wq9Xq331vudrZt2/bHr3rl + unXr9uzZc8011yRJsnnz5le/+tWve93rLrzwwsXFxQt/50LdlRNCzM/Pl8vljRs2OI4zGAxu+Ndv + /uiHdx7Yt/8v33EVQiSMo6wokFKTzalgOPrpoQOmyW68+bsLC6e++i9f6/Z7L3jJCwvBmWlESSIy + IaUMwtA1raDbdw0v6A2ytNj70/13/PCuQbu9odlcObDPS7O6kBVEqJJCCI4hIyQ13e++9c19hy4M + R73+6OkX/77BzLDIkckU4lKpSrPmM7bQbh04chCw2vWocy3DvOWWW7bt2P6il77EL5V+snfv/Pz8 + 5s2bN27cGIxGWZZleT4zM8OlOHzs6Bv+/M/r9WZn0P/41Z88ePBgkmcCVKvVmpycjEZDjHG1XnvH + X7+r2+0eO3bMK/ndfk8XSFLKKI1M01xjDukbmXa1wepxWVtTdONPO+Qty/F9HyGilMJCIYQkH6ey + 2o5pUEIp5hIoRYQRjoE5RgEiBVlp1O6+7+5Jr2SAzIaDCiabPe+5jzqnnhemQAZiPFMDXiSuu9Hw + YLmHPfPfvvH1+qa51vGjWIFF2GRjIg0TxUWlUomi6I1vfKNhGO985zstyxoOh2maTk1NrauvO3Dg + wOzsrP7sWJZ17rnndrqd97///dV6bTQaPe2Zz9i0aZM+YeomrzVpaPO84jKPkzxK2sNg/eT0855z + BWPs2muu0fLUmKeglOTCxHTntu2/e9HjGLN6vZ4uICmlWZHHcex4rgZzdzqdt771rbfffvvh4chx + nOFweN/992OgDxz8SbvdPnbsmGVZjDEMqNfrdTqdi5958WV/8Ac60kpDyS+77LLJycnv3/L9Tq9z + 4403/n9///c6+OfYsWPUNGCt7TJmdGMMiGFGMdb6HUJIFEWWZd1yyy2XXnrpAw880Ov1lFKHDh2a + mZk5//zzv3XjjaZpCim1RVybFX/hQeW/bP0qiZ0elWzYsOHQoUOGYcS1+nA4vOYzn77vvvv27Nnz + 5Cc/efv27SsrK9/85jdt1+12u4wxTdUAgCRJTNNEUkmlDMIIUIbZoDvI8xwURhhlccIYMxhNoyiV + ynZMz7EQUlNTU3Eci6LQB7uiKBQ63UuwLGu51ZqenIqiyKCs216ZmJhI07Tf71NKN2/enBb5yspK + FEXr168viqLIch0GmguJCclzniWFkpQAJZht2TIzGo2OHdtvW2YYdKjBlALHthgzB4MBAAwGI4Wg + XC47jmeapkEspVSRFr2VnkEYQURIMYZRrQ52H6lZoFKAEZKrJmk8tjqgoijCcKRATE41kyT6yU/2 + nL1thwBBGB6FQ8u2bNtmBjFMc6m1IEBatoMIlgh6g3530E/TtF6ve6KgppEXRZZlRZoCABBMEcYI + DaPENq3FxcWnXnJxs9kkhPzTJz5+7733Hj9+nFBKKQWC8zyX6ZgAzKhdFLJRm6hUakF/ZXFhCSOZ + JSlI+ahdZ0824VMfu+/zn7nNxNsnZ+akMvvDnutZUvA0TftB955746PHTuw8MWVZ2c3fu/uPXvxC + DA3JuWOur5Y2+9a6XneIqBgf28kYja+z8/TuqQVgnueVSjpA7dfLO/p1Y1X+Uyl2D4N1tVYgwer2 + BGv1zzgEZTyE1K01rV74+W6xfq1ON33XWr8SJJcECGOMZ7zgCVAgHgIKQKDVX5K+4AgkCEopUhgh + oeXMOkxS23sUgG36VkpQxIFLLBRPU5WL0qQnEDUcs5T7k2xaYJLnYgg0ykdKSIm5UgRLigUoBYgi + hVWUD4uiUFRiDJZhMQd7xHEZcRKLZqgfhwCi0ZjohKP+Sm/an15XXd9wGnGUDOLeoD2su0Q6quz4 + sciQJCARWaXRAQBSqmRakCvFDVNYRow8gVWogCDqEs+1cqySrCgRzyhVZ5xJSeFovrDSW5lwHMRQ + kscYiGGxohByNbAVodMKOSqRr5iTEtIXJC88hQ2DFRY3mcEMiyB7nbuRxTbitDPqtTqpX2uGcigI + oqDfWa3owwDSNE0FGAlpEsssACtkFtgQlGYMKVyyS44rE5aM0tBlfgXXJ9atoznppO04CmIeCVsY + zDENM00jhaSuYZWSoKHiElnMZBh7HDk5xUNRxDm2TMMzLMaY4aosxQoqpl8rT5DcCJN0cWnRnXEN + YkQ8EJITQolCUsjTH7uxfm9cF4H2Oz2kOgKp8OqnQGsXV51Xq5FZY3ShGjMaHrG1Ng+RSODxb1YI + LinGvu8Nw2EQBAqpIAiEKLIs80p+nudKSEqplh0TQiyDpTkHpBQXUkmOkMSIK4wQIr9lg2rdSXnI + yeMhm+SZG8LaNgKrOZ5nssL/nUsCcCkUUkhhbRLet2/fbbfdJmOZ5Xm5Vm21Wp1O5/PXfnE0Gq10 + O8961rMatWohi5tuuaXZbBZF8fFP/lOvN2g2m/Pz857nUWJcffXV/+vrX6UmU3naWmnbjgNI6XRP + BEQX5EJJWQjDtPrDYPuOs754/T9Xy74opEvNrD+aqVZlt1ekQ44y0zNSJQAhlSvDrYwAxQbtI2FX + KjGo+bB94MTRQomJqUml1PGTJ/7qXe+0LMs0zSRLvZJ/9Pgxx3He9dfvVkIGQVCr1VzDTdNUt4bD + MLzvvvsqfum1r37Nn772T/Iss20bYyy4etNVbx2GAQHECJVSlsvl0WiU88L33Re+/CWlStk17DCK + lFIaMS+V0sMWiollGcQwXnfl671KPQiCSqlsgFDByJPSLnImJSACoArJC4QyQrtJUts41xkFnlVq + lGocoLXSBkoQhoRnRMn2YPCJT35SfPpTSZZO1SZsw+z1eq2V9sIHlxSA7/tBHOkjLAhpMJYLnvMC + EXzV2982u26uvdRCCFWr1Qsfu7tSqWCMB4OB53kA0O12y+UyQsiyrDRN6xPNpEg06dfzPH3/ipNk + cnJyrURf86KsrTzPCSVSyiTNwzCUEjzPm56e5pwjLgkhkkuNqK5Wq5s3byZEZVxkSuUUc1CVSsUC + S0HenJzAhGzeuqWL8ODICYjjuDc08xSGQ8gUBrNqeRXLOZXmg6PH5tLUrHqb1s3Ghmli+pTf+92w + P1xutUr1hmVZo9FoenqaWWa/35+cma7Ua094whOSJHEsO1HJzp079R12586d23bs2Llzp5Tyb97/ + vlZ7+Q1veMNXvvKVq666yvN90zTDILBtm2HCOc/TbGZmZm79LEg0M7UuGIa9lU6z2cySnHNOHeI7 + bhzHcZx4nletVoUQYdbX9UwYR5roixCilFar1XK5vHv3bsuyHv/4x7/tbW8bDAa+YVhgveJ1r7zr + xz/SGpxmvcHzIkmSer1+9PDhdevW6fHAjm3bDx8+HI6Cc84558abvvOWt7xl9+7dX/rcl+65994v + felLs7Oz9XpdnCn81+ZuKaVUeZYalNbrdcMwTp06pdlsx48ff9KTnqRFao7jSCmvvfbao0ePfuc7 + 3ymVfRDaOvsgbtbDE2H9x9cvLZCklI7jtNvt2dlZPUtRSj372c++4IILnnrJJRdffPHtP/zhBz/4 + wZPz8wtLSzt27ND/3jTNKIp0L99xHASKYpOnxXA4RBwMg5bKru5bRTwiCogCzzJt215aWqpWq1zK + hfl5x3XL5XIcx3mWUUoJoxopiAEZlE3Vm3EQVsrlpcVF0zQJINeye71eIUUYBHGSVBv1RqMx6PU1 + /UNDBg3DMBiL4xhJaltlKUa+U+4sd3LBp5pTQRS7rosICcNwNMxME4ocPM9rNrw0T9vttmPbUkKe + ZIbBhJCe5yulPQo6HR4KKcdzwEf0jVw77+qvMUGuZXqeVy6Xe71enuf333//4y567KlTpwopCilU + nsVZGkWRbdvlem2xvVgulxqTE3f9+Ec/2bu3XK1Qw4jTZBQGxGAYY0yIaZrat7s24UUET0xNanvY + a17zmr179/7gBz9wHGesmtDdHQpYgQTCC8QLtNLu50XoWmj9+o1ZPMKY9Hrdj33sI5f+wR/Pbao8 + 8alnhQO7vdQdDQdzm2eECFe6x3adM3F8kQs6mm8fLdUv6XX7D+w7+CJiK4AoANu8MwAAIABJREFU + EUkqjh1ZDIOMMVPhTK5ek2uIBUawlFIKqXHqOis2DMNOp4t/Ud7Rma/k/0sLIaST6teeoH6ya4dy + PejTLbe1CdJDHgFW0edr36K/wEBMStIoLZVK4SgSUAABt8JCGYECtpMmEAkCWc6BIAPpNJjxCVcp + MWZnS6SAFIWM27Eny7TARg6IoXyYR900g4TZlgFG2sumzLlU8tbyslm2uRxreEAqEOPCTyIwHAtx + pDVgRZEnQdyPOeXlOAAqMQKgJrWQ5ZkqLzLfKNmZRVNq5CYP5HRtHSYqllGcp4hQDEij2GAViIcA + 0izGGaYFgVSUcr8sbRXTAhcnui1Vs1DJQgh7lsPD1CgADNL066dgMRom5Sk/F3mWZ9gkiCKphAKM + EQKpAGnCNRCJi35syLKdWJQ7gEgokkE/zmgsGLcVGYS9DeXNvlXJVbrOnwIJBDOu7UpKZyJJDCAA + p2ksFKACE6IgRmYsKTYtaUWjpFA8MpNgGGaVAhgqVUpsyMzCpAUhKa7X66yEe6qvhAqDkLK1z4We + y2AMgDCK48yWRjFSWJpWTlCCFHFQRvtFgDxKFXWJQTKihFQcIMNNf0Jg0Qla4CnDMjjnOecWMYUs + VmN/FSht05JreUoPgqcDAIwjrTThQ8vqxtck6LfpEf3wPHhp+VlaRCotlADBDV4IyXkUhZjhKIr8 + socxjqIAYzwYDbV4GyGkUwMMwyCMWo6nJXYmJQwAMapOK9l+i9aZoyE44xazdhZZa9yuVUFZlmVZ + dnpzwJgQsoYx+XcuKWVWZHXLB4nzggdRVHDuWs7+/ftf/NKXBL0gz3O/XD171znHT578yMc+4jtu + rVbhXOoc8FML875f7na7rVbLtm3PLX3nO9+5//77kyQBhMqViobHIgQIxuhLve/p7udNt9x85MgR + pNRwOMzTDITy7bJMEiXScsWJRYhNbNhWMBqpVFqm1w0jYVqFTQ3X45xv2bCxs9gK4igpcqWUWy7p + rn+SphkvTCn0UbXdbhNCCKOWY7e7bYSQhoZpJvK+gwf+5v3vmz9+yiBUs8KoaeVFQSm1XWdlue3Y + pu+XiyIbBqNSqZRkiWEYaRqbjh3HKUqSWqOub/F5kVmO3e51//ZDHwTEhlEchvFEvVFEkWdSG0mV + pjJPGTUAo0xyiQm2rDDLlcHCKCk5/qDX92xnduvmKI8lg6JIXdNyK6WiKACjslUNoyjLMtOx5zZs + KIqCKxlnqQ660XJ32zAVwJHjx9781r9YPDUvubRt23V8QDIMYi5y3yvbjtnvDWv1ynAQcJE7tjcc + 9V3HJwZeXm5V6jUA0NBqrdnR6hg94dRahrX7vm6J6uBjSqWUUnOYOp2eniARQkCCEEIzo/cdOkgp + lhIEhv/L3JtH63qVdYLP8+zhHb/pfGe699xzc5ObkVESIDJYEMREQcHYq0BRtCy0xbJXoy7LWlZJ + 2aVdspZay7LbqRWtlohgF7qo0qq2RUCGGBkCRCDJTXLne8490ze+8x77j/c7JxcQylixYK+s3OSs + dd9hn3fv/Qy/wQqJnG0ev67yVYS4tbX97Ftuy8viiQsXgrKMEVBgb2nQ4yI0pOdVXpZEAjnnMoSi + rPM8SuKrs8nqysof/uEfqqpeX1/f2x9FUZTnuZTSIVRVNZlMiqL40Ic+FIbhM269jZAQ8eLFixvH + jkkMP/jBD25edzLtdj75qQcR8Y//03t/+Id/+PKVKy08qsjzMAydNtZaycVoNNrf32/ls3XTOOcE + 48Ph0Hu/ffVKnCSMMeOf5Oq0qBnibHl5uf20kk46mUyI6ODg4Ny5c9/7vd/b7XYXpGKkBpqLFy/2 + er329M/zvA0PGGPD4XB7e9sYc/78+atXr25ubmZZdv/993/oAx88derUZz/72Qc/+6Ax5o477tjb + 29vf3+/0n7SuWpheICKhDMMkirIsG4/Hm5ubrdrkN3zDN+zu7rbrIgzDd77zndvb2z/xEz/R6XRa + cs1RIcZfw4v+qoyvZBSbpikinnnkkec953kXLlxowubkyZMXLl36f97zH3/1V3+1qMpn3vaMqqk3 + rztZVGWSJEVREOCg13fOccauv+6UburVleGNN5y+dOnSbJqlaSqEaEljg8HAGFM3JSJKKb/u2c/i + nA9Xlv/sL94/m+dHNSpoBXw9gPNxEE5HY0JcXV0djUbH19YF422Tbqk/4FLUWlV1vXd1J0xisM4q + DdaRB0ZktCYuJBPPfe7X3XzzrYiY5/lgMDwYTZyB5ZW10f4kCMPW8i9J47rOS1UmSTidT7q9lACV + qqu82t66/MjDZzpJarU5wjLBorHgifDpKmv+rZ8FItZ1fTDaK4rMe/vG7/ueg4OD+975jrW1tVe8 + 8i7G2MLPOJB1XU+n099/1x9MJ+MoTR76/Od2D/bufNGLgiisqmp5edmCb/cda63SmjwwxjiRB78/ + OhhNxvfdd9+pU6fOnj37sz/7s3Ecz+fz9tx0h8C0dvcKwyRNe840Rek4802tm8Y0jb7jjuc9+tin + t7d3r17J81wm4Zr3aTxcnZUf73Q6K3F2bufDL7177Zd/48eHw+ANb/qv3TRKepGMeWkt477bi5iQ + vX74BRibQw06AGCCK6UYUrfbjeP47+J31P7HF8FI8GvM2uipjmubYEeHSvuCnHHiDABafPAioPlC + ltG1s3E0S0fA37Z6x8lxLrVuAKG3HJdQ6gpgDV7zhm/rrvf2zYHzlnPStfHeMymsa42vWx05IM/B + iUD0auAvuOnOTegKUBZM9cRHayIep+TZ1hNX/8MvviOcdRFZEZZv/BevSzak5o1zRJ4zYODBeHRg + s6pE9MgZEWNMDJLhZrp2R/ysE7BEADnoT2ePfn73nA0w5t2rF/ff+QvvUBMVhlJx/V3/7DvTOOr0 + ehbAeodPKi4AegsAllwUBoGQqV1aigbPjE9v8jXhVInNA5c/tQ0zFREQ37+6/59+64/rx2eNtwdL + 1eve8j3La8tWaeuNjLhyWhkdsqh1yW3TsMWZ4fgwWXt2/9aNzesj6ChwF+eXzppzgZ8GSeQL/se/ + 9UdbD+8fbI97a93X/NNXLXdW2BJHsLDA6DnvLSAg+UiG1iOXPKUo9XwpCG/obazCQJ4KEfgBjD8z + +pv9YGSYH22PP/rH/+X8+y8nGOu4eeFrbl970SoMMI27XoLSDcBCRRwXTR5inqVRGCreD/gtg9M3 + 0XEP1IDcgczNH8uxxKaGxv/1hx741EceKsc26qYnbrvu5fd+w/DEcI7TrJozLokxbQ0DInDgwR2q + /B0qcxyqn/tWaZ3II4Bn6BaGV9cMf5ggHX70Dp5stz49/hjXfv/WWvREREKQBYii6G2/8DYAyMtM + CAHgwjD8P3/tVxljgnFjTMtKBwDrXdUoz5A8oeBSSpKCgHnvwX01vWK/dBydsHiNSMMRQPeLNsl2 + tD2Qtsjy5Nb6FO/LBDfOCiEtOI8gApl2O13obD2+3TvRfd4ddzzwwANFVZ6/eHHzupOPPfaoiMKd + /T0i6vf7jdEfvv+jg8FgPp+DpyzLOOdRGnlCT5jlRRBHUl5jgL7Y+RdlCmvdyrFjBnxZFMq53spy + Nss1IkVhECY5NDZMS11AVaOQYRjNGxsMlmUn1QzmZVGW1aWtK80sF5Kj4GVRGO9meSaECLjo9npK + KSK8tHUFAAbpIO6k5y5eOHZsDQBavV+tdNM0LgjOnj1LQEEQzGfTKImZFFo3XDCUPB10kGBSzAB9 + Z6mXF0Vd12VTLy0tEcfAO6VU02jnoGk05zyvyu7a8iTPp5N8MFjZ3DxZZ0WSdCb7O64bcck1sgq8 + cxaQSPCsrpKlXlnVneWBQAY5aebOXnocOLRJPnK0Doxz6Jnztq5rw3lV160qL2eiqirnfb/X45xf + vbgjuThx4oT3/mA0Wl5bbc/frSvbSRrH3eRgVARe28ZacpN8xgPR5DqRBII0mCyrTp2+oSxLxrm1 + djab9Xo9q3UYhnB4TrUYxRbSuTiPOHeHzSUAaH1LAYgxhsYppQio5R4D44qhaeuFxhtjASxT2MeO + BKyzZuP4SZJBd3Ul7OhKb+koemR7d8WYlbjPk6QmTZ1URaHvxtDvh8sryXhncvnSqJj3h8v5dJKX + RdrpaWdJ8Gk2Hw6HrYJFGIYiDLKyeOLc2Y998uPf8upX/fqv//pL/9E/+r13/97p06f/+q//+kd/ + 9Ef/zc/9bEvR//SnP52m6e7ubqWaVly4Ug0iChSN0WVdXXf9qYODg6iTAnFioqjKvb29Xq+nrE2S + CLTaHY+yqgqCpBqPlDVtlyIvi16vR0R1XfcGA484mc06vZ51Loii2WzWmKaoiuPHjz9x7uzezu6N + N954/vz5Xq93y003Pfjgg/1+3zm3vrrGj28wxra3t3u93nOe85wHHvirra2tbrd7/PhxIcTVq1cP + Dg5uv/32C5cvwVHUeqQaDmCdq5Uyzi2vri6vru7s7d1www033XLLD/zAD0RJkmXZv/vlXybOf/pf + /2smxHwyAYIjq4AnGwNEX3My303TzGaz06dPN03TSiPs7OwsLS8D4RPnz9128y0A8PCjj7Tc/bNn + z950+sZWVRAAlFIveclL/uk/+Seffehv0jB0xq4P15aXlx9++GFr7TNuviWKIinlaDQCcGknHo1G + J0+eOH/+/Ou/+3sqbd73Fx/IskxKGUVRURSLtobzYRDUQhxfW3/Oc55z/0c+ureze+rkdeC8d+7G + G06XdXVle2u4tGSMsc612UIQBIwxKcRsNuNIvV7/da973cWLl8HzQX81DHqdeJOzKBDdE6vSGgcA + 02wchKDjIop5Vk03N6/Ly1m30/mmV9x1fHnjM5/71I//2I91kq7Vzlt3KBcNAMDaEvQ/DA3JH4oo + RFHU7/eNMe9973uDINjY2OgkaRiGa2trxFme59pZIvKMnnndyfl8vnr8WBiGeVkMV1bysrj04Cc9 + gtZ6NJ20ykuc84AL55y3zlsHhMPhsNvtvulNb2rt26IoevTRR1dXV1t6Lm8rOuDJgwc/n454IMGa + MODg7PhgGoeBbuA77n19ECRR2C1ydXzjhqZ2+wez1ZUTk2nhnCur2fqxJfC11lndFP1+Oh0fxHGc + Zdmjj3/+zJkzV7Yev/0Fz59lO8Y2rWdKq3d0BEc+NJiKW/Oy6XQ6nU6VUt1u/yn5HbGny0jlqzfa + HOnabIeIECkIAiZ42ztq46E2soEvEQT33nPO8RD5fdRNcs6BQ8mDvCyIMwc2q0tnYPkFyT3f9y13 + vOKFuZlrUM4DJ4GIqmqE6Di04Pmic+TQAgcn6srMR1XndL8DPQGNAwcFq3yDUjDkMY/NXKeiy1lQ + NvWws1zbsXNtb6yN0hYPHEepA+MseI+6dlRDrbSIgxAS4Rmi7mBHOCm4TERXh9pVWE+boBc67jdX + TxS8qGyRlVmcLpRk2wzZts1gwlE1D01odRioREZRCl1OniC3nmsEw7kIApmKprb1XClnIaEAgiZX + 4bIMIGhcbZwljtZbAk/AWkZNa1kLgHXlqZ9K6EXQD8AHbKwKX5hGI0nDd/ZG1vgoSsIwXF1fA8k8 + MUDmybVySQSt6h3WTWksCBcICzinhJhIeczSAQwsQA0KFRFnoeRBJyXFoEQPYJ1d7gzXh6sTNtNa + l0UdxgHAk0ol5MkhY441leWKXAFBGnSCLoFsQOZAzawxwkhHIUqVmcnOrJ4a9GJtuB7KKC8OXGyk + FA68UTpkIZnDdlGr6b6wt3pS+bAV/kaHCylzdIBP1izcoVjfF+nI/0O4Bh6tCERkxBhJ8Mij6OrV + 7RtvOq2V8eiklErVrec9IgKDFrbaKhNY31ZnkPkFCB8R2y63pK+tfeYIUktEcBjRcM4XtNJr9fec + a2398jxvJZro0PjIGCPYV7Ks+dJBSFLK2iqrbJdj25GIRbyxsZEVxYc/+pHbbrvt/MXLHuGJc2eP + bRzfvrI1XB4YY1rphZbJ0O12VWMQcTKZAIAxsyAKoyQu6+rQ8ax9I9Ym/IjeGOeckYBXd3et9UEg + amMdQjLs5/k8R1M2dSClJq61FlwykijRWD/dHQdRiN5GIGKSrJO2VVQhZb/fV01jrc2zXAih64aI + br3ttsuXL9d1Xdf1bbfdtrOzfQR2KssSEeM41lonUaKNGQyXatUUdRUl8WQ2O5iP19dW67oOO3Fd + 16PZVGt94/U3lGU5Go2iKFK6bqFodV0zxrQ2XsrK6rCb9kXoLBZlOZ9MNtZWeCgMgeNeLxQOCD23 + hFyGeV1NZrMwrDljXuLK5vrW1pUoiudVxrgs68pbIItJFA66vQNjPWHrAzmdTtu3JqLxeDydTrud + ztLSUlVVRV1Jxvf294ER5xwEkRQgCAXXYOdZvry8fHBwkKapRdibHGxsbBRFQUYdHBwAgNK60+kk + SdKWJ9pDCg7z86PDCxGVUkmSlE19mBct9FGUMkTkndNaEyyWnidsgFoXI7JgtXXW2rnyykgZ9qPe + vff+47e//Tc//tBnA6W+655XHzBXra1sT6dXm4oxociFgTepcKaJ93eKZvbjP/3Wf/ym78emmmeZ + cfb0yZOPnzvftm5WV1ff8pa3nD59+qabbtrc3HzDG95w33333f/hj/zKr/zKu+9717d+67cOe0vf + /cbvns5nw+Fwnme///u/n1flc57x3B/6X37o3LlzvaWB1lpw0ab3CzGqqmSB/MM/fs/NN998xwtf + 4BGyulw5tp5VpfYOECujjLPdXg8ZXT7Y/vyZR/M8d85pa9qSjXEu6XRad6nnPve5P/MzP6OU6na7 + P//zPw/OEednz569dPnSjTecPnv27NLSUp7njz322J133vmsZz3rrrvuuvXmWx5//PG3vvWtWZb9 + 4i/+4rve9a43v/nNL3rpS174whdOJpOPfOQjnPPTp08/8sgjg+XhUYh1BLFDD87YfJ6trKzM5/PB + YPBTP/VTL3nJS9pUfD6f33fffc++9dnveNc7Xv3qV5dl+dnPfvbi5YtHqrnt/nNtWfx//Piyu1ua + pkqp0WjEOX/k4Ydvv+OO46tr5y5ckEl00803X7h8yXs/WB4mnc5sPFldXfXeR1EkOQ+lVHXNEC9d + uPibv/4b68srr3n1t2qtH/38Iw888IDWuv3En3ji8TiOX/GNL19dXe71evPJ9GN/9cCxjeP7+/vK + mihNtNYtsi7gQjWKEZVl+dZ/+a9e+uKXhGHY+dn0zW9+82OPPhoFwQ//yI988zd/89rK+kc/fv9b + fvzH2r2DGFNKJXHc1LVAimTAkLy1J6878Wu/9mv5TP3kP3/rB/7iY8fXb3r+8178kz/xb+rKWwdc + gHVl2kML+be99pWfO/OJe7755e9657vPnz27+rtvX75r7fj6xnwyH+8fSMYZcr+ogy6cOZ7eXgTC + gnnw5D+I3nul1P/2cz/b6vJZa1tn2KqqZnm2sr4GiJzzxmgiitKk10kPxuNbrjsZROH29vbS8vBt + b3tbp98ry9J7D9Y551qYBAEio1o1TAoAKMvy2Opaq3OfJMlgMGjv0voVtDpp2pogDZFjNs1CGaRR + 6ozb3z1IomGR0e7VgyDIOp3O33zuE0opAPf4uY93u70oiauqys6R1hq8RcStqwgASRJtb10+ceLY + 8nrn53/pXz77GbcBzogcEPOI7YJhC20MZ5ztdDrdtNM+apZlWutWqg6u6TUdJVRH1Yijf8PfC3H3 + tRXmHCXP10Bl2pYsAQZB0HaQWiG7dt85SoS+KEdaGLkcspUWV7aADjjnTaWEEI21roGVZ3a+8/te + f+e3f/2IT8flhLrcOmstBUI0XgEgeAaI6Ik8MGDghLciFKnhjiBAENyBIsV02JVxYSshpAOXhj07 + dw60lMLW1mrjuPGI5D16WjB6EHNdOrCoWcCSNExSTFPbHcJqCgNEbGAWUbrUWR6ZfJYXXd9JZBL3 + QkR0zhTzSgutatXr9BqrwDlqm0iHM2nBQxIpw1UpleEOQwsCnDHEK+eVFBmaJm86JnCBSAcrulGZ + HbvGJTJ23lV1BTGGkWy08gAe0bmjzkx7D+4pMZDUIMAzgdxSqIlpLpSzCWLY67mikZ7vziYX97aW + 11esA7/wEiIC48kDeg8QBqGxIGwQmJDAMy8EhAEEAGS9EigjCkHDtMw6npvKbixvsIZvlRdt7eqq + algVBV0hBCJz1CqOE7n2URGASRbEPAqJSx8RSNAkRBhCErKEh5I1OvI84fFqb6VGJ2WSTzLJpGCy + 1Jn2TRDEwLlVhh0Shw5dqgDQLCxZ210HAD2hp4XeOraaGYtfCiG6Q8yGh8VUHs6ne3oTJX/IAgfn + iFrpXqjbfdIDIgopAaBpGillu478NQQ/IQQTPC9rRGzZf8YYAG8ceu+BvmpKtX/raKO6Q+mwBVez + rTrhoXhdW8ptHTzbzd8f2qO3F7HWPtUESVlDRFob7xegbqXUqJhcN7xusj9JkmQ6nd5yyy0XLl0s + 57PJfHbrM247f/6slPLSlcs33nzjmTNnPAAiLi+vlmWZFfkitZNMBp3JaCKQEyAxQgIE5sFaj97Z + IEqcM8YBMr62skIMZtOs1Nrlk7IuwlDwTmi8JaJAhgz5dJ4t9Za7SYe7DL2TPD7Y2zEoDHetPpA1 + JiuL6WicpqkMg+XlZWfsfD7/2Cc/0e/3V4fLjPOHH354c3OjldRzzqW9LmOsquuyLDkTTdMsLy0V + TU2cZBhSVTBktWqqpqxVJaVcXh1mWba9u51l2cbGZpZlTASzrIhkkMSdsiyHq8OiKS5euZz0ljpp + n2ly4DuddDIdhUlQ27o2jSPvmOfEyBrfuDCMnTXD5SXGufEuy2cHswMNimsG1sRxt6iQAQPtq7wi + i1IGnqAsSwd+MBjUTZPnednUYRgeO7ERB+He3h5nrNPrJlGslCqqMorjwXBpNBpxKTq9Lue8rCvi + LO124jiWYbC7u3tlewsABr1+N0m3t7Y6nQ5jrJyXS0tLRqlrWwdHqXt7ihVFkaapJ7TWIro2l7DW + trAR9EhE4A4PemINAOdSkEhIhBgy61fipchK1LDSX739eS/4nd/7fQ8N1M3jZ5645ZV3/U1Z9I4N + YxEGcTSe5prIRpBXo8/c/wEbBUunrnvW7c974k//hEdBVmaPPPHY2uoxpZTWOi+K33vHOwaDQctZ + kEK8//3vZ4Dnzp27+1vuvv322++//37GWNM0Fy9e/Mmf/MmTJ09u7+781QMPdDqd/nCp1W1jQtRa + eQAuRJHnDvytz3jmb/+H//v42upoNHr0sTPdbvfy9lZ30FdGSynzMvNIcafzmc999sHP/c0TTzxx + 6obrd/Z2r7/++vF4nJelZCwIgivbWw9++lP/12//1t7enhDiEx/7eKvX9fKXv1wIceL4xvv+7H0A + 4MCFEDZQTSaTV7/61caYj3zow+vr6/P5/OLFi1EUve997/vzP///vu3bX/sHf/AHZ86cyfN8bW2t + 5f8fKbMjoj9010SAJEniODbO/uc//ZMwjtaOrf/HP3rPu971Lq31sWPHrmxvfe7hzxdV2e33brnt + 1k8/9Jk2I7o2Wvt7BGlP4/hKHaRerzebzQaDwQ2nT29vb3PAJEkqo0ajUafbDcOwyPPpdBpHUVEU + rbN4URTt11yWpZTy2c9+9s//zL/5yIc+/J73vAc9NUWzvr6ulNrb23vFy+667bZbb7rppre//bfu + /Y7X3vva77jt1luLRrUJQOsjdC0HFBGbprn3Nfd+092v3Lp85Y1vfOO//bmfu/fee0+cOPGd3/W6 + 177mXhHyP3jXHz7zmbddunRlOp9YY6M4iKJoOh0LYmka68Zc3dkCr9Ik/J3f/l2C/gMfOfvbv/kn + v17df/PpF18a7RMKBog4q2bj0Wy0trz5qU999OUvednLXvLiH/mRf1bnNXoIw9AYY8EzyRgD4zw4 + R4TgF3L4RE/twHiqw4L/6be+9e677370zJn19fWiKC5cvjQYDlrs4ur6+t7evvWulVxPkmR/tG+M + abSazmdRFHU6nZ39PXXhfBzHbYIEC3XjQ9kiBOSsVXGti9I51yZFZ8+elVK2fs/W2lZDExnUO5UI + gyovAhF6zwRKRLG7e7bb7QFAfbCfpOH29qXlteHa6jCrrzrKs4qNprMoiqxxxrggiJwF51yjquVB + f2t3a2f3Sq+b/OmfP/HY42eJgQc07R2JmODtowrGl5eGUsrJZJLN5toayUUg5Gw2j6IoCg79jvjC + 72ixYPEL/Y6+5vIdcACWHKDxaBzpNtNAD4gLjA4COMBDlx5quwr+MGrEQ+dphiSEaB0J2lqv954h + V0qRpzZC9d47sNc0nbwQQdsz5JyD89Z7dEBEwKBxNYQAy3D3d3/L3d/9qk9e+CQuYzqIFDNo0Rlt + jQ/DsG1ngSfnmUXywDwCgbOqCpkFqDwIIqyh8EyHUTIplOc4n81EyossEzxI1xJtFcdIgAFgCALI + e+/QE1hiXErGgZBbXuaVzQ3LVbE6K4AJQADHA1+Pc0dNGKZk8NLelSXWNd5Ew6C2VRpFuZ5LELVS + AGDRMCKHbc+CvEcAbj04Z5A08lrDDMkhOBESCvCCAaINKfdKuMZ5LUJi3AG4qsiiONZcK9XEMmmU + WthKACyEjj0AgHOOAyJ4g0UDbqantVXISEjhKuO52ptcTsM0iIkiXFoeZGrPWyAEILSeAMCBA0/W + aK+Rg5XeMgRODpl1oCyUBrWD2jqFgDGLurJLgrbnlxOMa8hZgKEIpS8ZAVgH5P0hps2CJwC0BAS2 + Vlr7RnFLGsCBAIDGQoGuccpoVTOKiqaYllOnUHR42gkZR2MtiYAzb53zqol5CMa3Gc6TLSDfbusL + 99tFUQkdePIIuDChAmgzzC+PWfZI+NSPTvJEC1MsB+jRASCgJ7/w7nLcMyAMuPTIrXFhGGSZr+sq + jCJvHTJoy7EMyVvn0BERode6MUpzKQA8IkdGnhgAIDJJCIzAWQBvybVQD1uXAAAgAElEQVS39odw + ZQL6O6Oyj0Ami2Ox5fi1tDQA58G1cPSjaWnpaq26Oh66gbWeXU3TVFXVMnaI+BcFJUeWAESglKmq + wlp/lBcdQXDRE3kOvrVsbqe0RT8SeELHPFkA8odpNxw2CAXnMgqh9nEQJkmSXZldVVsnT103HU+3 + dq7OsuL4iQ0ZBnt7O1fAt5K2HmA8Hp86derK5W0hxGQy4pwvLy8rVe8djLIiHwwGSJAmsXMLnT3v + rTvsg9V1Wdf1YDDsL6/kRTnL5mmcbGyeuLq/1xsuNarUxlijpZRgnTEuCuPRZAxdQsTZeHrj9Tc0 + Re49oIdW6avSJuCi3+93Op35bLa7u9vyRk6dOqW1Lpu6ruuNEyem05lzZn39+N7+vnMuTGJnzNr6 + ellWYRxVTWO9k1KOpxPG2GDQOzjYT5MYkeX5vGl0v99VtUZkxpg4jpumCcMQiWqtPOEsyxun1o9t + xJ3udJLpUgUoVrrd0f5UkvBIUoSeY62V8V5yhp7Kum4F6OfZNIzTMIrG08nKyopRDRG31mdZ0Ut7 + cZrkzRwIG6MAPBOcAXgArXWn0zHekYcyy9sCa9zpaK2n02leFqurq/MsK8qyKMv+YNBaUR07fnxr + a6vX6+3u7QHA5smT0+k0DMOyKGbjSa/Xa8XNkiRp3VnAeY8MEREYIhITkklDzmpX13W321XWKKU8 + UHuu1XXdxl0CiHPurW97nk3TCOKEzFld1rqylSmqzz/yuQ8/8JF8Pj114+nByXXHnPHNcr83ubKz + 3OswqwWjqqq5lLOiFmEU9XvjPHecz8rqea+8azyfXbp8USm1sbFxsLc3n8/b0EJG4eOPP94fLrVl + a2NMGz+HYTjL5vc/8FdKqbKuoihigp+7eOHP3vfnN918c3fQb9OMq1evtnAnrXVrpNmK9T3jOc/8 + rXvuKavcWhtwEQRBUWRlWS4tLTnntLNBIPOyTDpJ06j5fB4lyScefPCRM2cGg0FRFB4hiqJer7ez + s/Pud7+7KIrV1dVz586trq4CYVmWMaEQ4mWvfPnS0lLLVQ5l8Oijj66srHzgL/+yzPNOpzMej9M0 + LatS7+wAw/vuu280GvX7/fX19VbOPo7jyXz25EZ1uNV7hFmeJUnSqOb8pYu/8O9+KU3T7e3t48eP + 13WtrPnxf/4TjLEgCLz30+n0xhtvnM1m7esflWnaPPmrlSZ9JRU7rXW7JplDKSXz4ACkFB7BeVtW + BTJEwMYoHghlFBOs1k3T1ESYzWadJFkeLJ04tvFdr3/D7/z27zrtenEXDZSTrB91di/u/NgP/69f + /6IX/+c/eq9ToBv3+c+dObZ5Igzjdp20XryMMfQQBAECvPKVr/zAhz7AGOv3+7u7u8dWj+3t7d3z + qnseffRhbZrti5evXLl0772v/YVf+KUoCoxxPOD7o72NzeP5vFBW9fo9Lpxu8o1jPYQSsft93/s/ + f/7TYTnbHO/Fafh1g+7Q2WI6P7t6rNnb2nnh81545/OvT1nY6Q5f/Lw7YxEFjI+LIu6ETKB2TdwJ + Ll++fOzYmnOuKOqTxzcHw+X9g7Endvny5aZpWqpcURRtXgFf6D/z3xxtSnCkUm2MAY8XLl954vyF + hx55JMtnS0tLk8lYMP7MZz/j8uXLs9ms3+/v7u53Op1WL3I+n7ciIS0o7trOyRe1LJ/EtPydUwby + 4BAsulZ+t/3ZQqLXU/vwnHPf4pi9DbhgkhlzqJG/GAuM+zXJsAdwdBgNaO0InbOOMSaYKKvaaRN3 + 0rXVNcFEVdRFVupa80AKYrrWkQzAOmMVIQKgN9Z7L4g9eccvnP6vqQzJIyhmfUilnXNGGBivHWjJ + HTqpEZA8gOfguQeGHpkHBLLeIaAzlgDTOHHOcWJRlLT2ea0r+Xw+L8uSPKFDBhwJLFjjjAHjwRGB + RyACqqo0PR6HUZ7nHHkUBHVT17qmBEEBLMFr33LvK77/no/tfMqveSuNB+OdZ9aTR2KADBuv2nq/ + JWr524ycACVV01viF0afCIabOQRb9U60ZifNQUCiaUw4iL73x97IrciLqrR55rMhroRGGLTOe+MN + AKDznKRxzAFwT+AcdxAGfqkfXoYzFXQDYAXog3qfy4Z0XRhV2+Jn/o9/VU2rRteG64JlplLpsKON + lrYBAo/GiDY0d8wLYclaH4IIUCOMdrOH+p2NAGBfzdOk6aAfNWXZWKfEG37oDStTAaY5kLNC1rXN + 4lTOXWkqwwOhG8MRWwNW8Is15RAITMJV1pztBCUCn0GloixCKEtjZspU/ju+/a74NSERH1fzKasv + X764dP2w8KVx2gM6Eg6sBYuAzEAIKLWySkU8IMEPmksuGKcQOMAtc2BFmVJQOZiMx9/42m8M7gnA + WOUrH1tTqKV+15LzCa+8ttQqfINlAJ6Yc9z5ADHiEPToiroAslqCbuGLrdkIKPcAlvSoqb/urtvv + fOmdWHutdSPsrDjAZQnknPMMXMjBNiUK6fGwRwfU+vAede1o8XMA9JYMwKHE9+GCgMP12u427f8c + KTo81TMTPTILnJh2unFqGHX0XHvkrSiw8xAa5r20YMGJQ+Cq0qYKo8CqmkmpVU0eWCCd1W05IM8L + b3QYCPJkvOOExhtiUnDyKDhy8uCMdWAa01Dsla8NGs8hbwrRFc44at/XPzkZ1+wI17bIFqlk+2cL + DXUAnpxGcA5iRsU82xwcU00DAsizUEbeITrPGGOA4OGwFc8d2rqqsqwgAiR+pKuL4A6hMk/eFQna + 5IgQEH3bFw1l4LQLgggYNL7WVgGhQ2eMacpaxJFBr8gAGgcAzhIAoJMkrfJlUfbiHvcsFlEsgu7G + xs75nYODg+Fg6Jwbj6fZfNrrdqsinU2nvV5vfW1td3d3a2vrxIkTGyeOjcfjosw45520J2Q00N2q + qnRTI9pZPhUiQETrLSIRY4hgrcnLvNfrFVWedjvG6f5Sr8yLqimjKMjmUyklAZNhx1pLCI6c1qbT + S7VXxutoKd6e72JITBAaFwAvtQqZlFzm8xwSCGWIiPP5XAhJxMpyvry8PBqNWZb3e4O6qeazzDnf + 6/XH43Ecx/N5JqWUUVjlBQkO6BhDAKiKMo0ScL5RzXCwPJvNZ5N5GiWSiaasrbVMCkSsW21exhul + oyia5ZlggVMNetcfLhVlyWKBQphak+XZdAaE/X7falepKooiRKyrJolS77EqmhMbJ4uiaJSTInYa + QxFabedq3l/qHuztdzodImrNMIus8M5bbUMRqLrhxI0y/W5/PpsfO3bsypUry8OV6WSWpqmUUnK5 + v7s/6A2UUns7e6EM67KOwzhN053tnTiOi6wQQrCElFJt18UZxzlXTcMEt9YjE7U2VaOI+GQy84ir + K+t1mW9vb8eddG1tbTydjMdjpdwi3uOEFuu6DoMAPTBGEeN9FFprVdfdleX5PAfOd/XoTf/izcPB + UlEUSinGF1q4zntigGABwEOLA+YewbbbF/kFxq+uVNNIxqvM9zrdsmo8IRIpo8MkzssCPSAjwYO6 + acIoKuoKAExTE4CMQgPeIaim3rjuZNnUHkGGwWQ2bUWwdN2AdeB9kedpmv7vb/u3R2hDALhWAOwI + Uc8FIbDTN5++enU3yzJvHOe82+9Z73qDvrJmXuSIqJ1FRB7IyXy2tLKsnQUAEQbGW6MsABTb5dHF + eSQn+QwAIOCZqkQaNWBZHHgPCNA0TZqmxhhtTLfb1VrPZrMvF0jJINDGEGPEGADUTbM0HNZNA4hF + WYZRBAAto6zX7+8fHIRheBSkHuFi4KlzHZ+u8bS1O9ocoNvtijBgDo4fPz4ajZy32lj0kIQJS9jB + 3qgpmzCMgyDQSh07tpFn+Xye9/sDKYPV1fUwjAeDwcbGRlsFb4U4BDEAcNbu7u7e/bK73/GOd2xu + bt56661Xrl551be96s4777x05XIQyduff0de5XEcp91kc3PzytXtNE3Xlld6vd5o/yAIgk6STEbh + Un85m9dBkBQZDnrQ792wc0HG4c3ORPnMEYUBd02xHYr1Fzxv+OjjDTks53knSTfWjwtgAO6GG08P + lwdpN1laHqwfW0mSZDIaK6We9YzbHnvi7I033nhpazsIghZF2jqLH2VH//3z7BCAkUOw3htvSRBy + 0k5XqlJWVaohQcBIqYasseAteNd+X9fc/EsBnX/3vOjav9KGK3CIgQGA9jYWLTIkTtpqY42Ig/Bw + D3Lg8VBj7aipQ0hfsBEAOlggUtIkgUNsodY6jeLOaqcN+ot5lmWZaRQRofMOHOfcaL24xJd/2a/l + gcCMcYwxAOu9A0JaSGJYd/hOHsHTIasDXSuc4cAzjlwybxky8t5yydCDMo3VRlt1CMZDD9Y7dK3K + BiC0POy2JAy+aRrXsUII3TSN9iKWlStqVkMML//+b/qG77jr7PxSvNFpMDfeeuvQeU6MLayKHJA5 + DHDJHFa2ORpijklbwPhCqTzCtCoyVmpCLmMe8mglXE6WUkgyyLfGO6N8UtaN5eA5A7KeeUBLHsE5 + zgPtjXcgSHDpvdLjcr8pJzskIxECYqaUIxUkXEZSUDjkKxKlAJ75bGt0pVDzpgECDFloyQN5D+5Q + Dh3AE0MGHgGNJrOvdyCfSQtzrSbMl+RJUDdOB6u947B0Aroh4BRmn9l9aFrO0fdFEDRag0XGGXoL + 4NAvCup+kSP5Uhc7860inAFh4ezcqanVijkZB2trwzXZ70AoQI4gu1iMD9S8rkvlGwfWc/CMPBIS + EiA6t3h8cAZV4bK92mZ24nVl0I6yIms0T7pB1EuPDXrRIIaQA3Ng5nY8KkZZPVPYaGFBMrhG8MCj + c0gMPYIDhoqaAztqimpXBGRcDsqyCrhgoUiH3UHY70MvBmZAZ66+ku9dacaaPAsFOuNcIwTTX9IC + oi8UYFh80tc8xJcbTwuAmZB7jyS5c77WihCJM49onG3VSZx2tTJKN412ja6taebZ9L773tHtdtM0 + baOBLMs++MEPHYFRGWNRFCVJEoQhMiLBWcA8EHhEB+i8cw4YtKZPwBaLsPVt+Ftn4yuPo4IUAhA4 + 3W6/hMqapaW+00ZKCQoss845D0eQlWv2aHSMsSgJjTF10zi7cLB1zj7528L2AcF78A6QoN2rF+nT + 4Z5slLXeWemSblqKvDF10okHg8GuGhmwxhvHHJInBI8eAcGB5G0KitaY0Wg0Go1WktXN604eXN0H + B8Ph0Hvc2dlRSm0cP767T/P53Fq7sbHBOb906dLa2trKysr+/n5Zlh5sFMbE5s6bQAYyiMqsQfSI + QAQtk8xaa6weDoftA49G+4PeUqNV68pirU47aZUXUZpk0xkiLi0tTSaTNE1b00wR8N3d3WPHjuV5 + LlBopaSU1pgoivZ2d4MgmIzHrTtfW4ssiqLtaaytrTlt9vf3W5OcOIysta1jZmt23+KdWqJ1GEVJ + kkwnE6dNkiRgXT7L1pZXqqra3d09fvx4C8lBvmBWW+9anm1dN7EIm7zshHE0iMqiKKsyjuPRdBLH + MSc+6A0ZY0qpplGMuGp0GIaC87KoiCiU4ZlHzqyur4EDYyw53wmT9le8vb196623Xjh3vtPpCM6F + EG0QYbSezmYnNzens1kUhlmeSyEODg7SJCGiwWCwvb3d7XZbf1vvffv6URQppcbjsRCitco0xoRh + WBa59Y5Z7w/VVvFJ7yNCIgRWV8q7TIRBC4GZTNRsNmvvxRg72B/ned7t9q214HCB/z9E2jutBJFC + V9ZF3mTEWOHYXOd6bgQxzpl1zljrORkii5YvQhnyQA7xyE5AVw0nYAiMYxqFAGCtNa4hIo/o22JK + i085xAw4BPYk+3IRLzmEvzXYb1E5DJBzzohaOJL3nji6I70KeFKBiRh5D96DZwQIyAgZAKEn1G4B + GGldIoUQRKQP46LF+7Q8Q0T/VLrwhxKjT2E8pczm74EI+IceTycerCxLIcR8Pp+PJrfffnvr4yl5 + 4MFlZRbwAAg9oAgDZYxS6vOPPHLPPfeMxuMwiaez2aOPPvqCl7yozPLpaGzBt/RQIhLElFJSiOl0 + +u9/49+//e1vN0pHQTSbTd/73ve+4AUvYIIYY2fPnn3ooYdaQZW9vb0WGOaNzfN8fDBaWlrSTTMd + 50Uux3ssnwZh1JntQ12hEOF0Ou53N7TXBE4IUZW2Kqgu4MzDV1/28uckHV7X9c7u1do9s9aqqqpG + qaqqdnZ2kiS+dPlyVZYbGxvGuw/85QfXT1x3fGMziuPWrHZB+TjEbf/35kgIHjwQegSPYKwlzrgU + 2hpkRByVaYJIMkGush6dCLg5JOXDYab+PyZhOAL0ty9+5O925Px1bTPtiCz0pWM2m7UqHS1SrtPp + HHnF5nle1zUcqtksLnjUJbvmIke046/14UmC9IWLMVamNtYSMo/MkzfobStnhgBkHTrLrCUkYAtz + TwTiGMaB1c6B11YRZ966sqqaqjbOtk6VDA87mW2YRQsRPETQ2vV63TCOlNHOW+2N8w4DMt7Ms+nX + /08vvfu19wzWB3mez4uZTDh67x0QIAJBa1ADwBx4dA6BPLRfqQewBEaARj/RxWyceQTNsebQIDM2 + YyCtNXVZO2OV0dpaCAEkAreOWjaK82Cc85bAWm3BEZDngETOYaW10o2CJjQNIDbWlWgdk86DsThT + c9DgwDW+1E63GtwWrPG2hRgCHH0riIiW0HjQgmqE3aY6mOcerCWWAeQeC8esapSrCpjvGcnAu0BX + 6MJBFwPR2NqBb4HzcEiwORrkySIUEq7a8qCqPaIirBBqwTzjhW7y7OrlejvwnHNZgZ2CcRJ6QRB4 + sN54dBbBeNsKdAliDrzmCECKkfeuUgVrnECPHG0sZRKhCDNV67qa1WU3TL11Stdlnde+hMBTJMOI + V0197VJx7ZdAAICNd9b7yrhc1RKIIVmkktnGW63cvC6zojrAA9F4pZQmqEPP0sAEVvvaG00IwBmY + f+Al8xQHMm4dghCeWGlUxGLOGBK1fFcUksU+EJaMReuYDeuyWO12/8uffzAIgjZSbwteN972nHZn + a0O6BSYNIYoDIhJMMuTee2eBgDgxAw48kUd0xD1z4LnnzJOFL6xd/bfHYR9pMdqmXOtY7omo0co4 + AxI0qsbVhNyCRvSWyHqL5J1zhMyBlaEMddCYxhlABkQEzlu74NMCwcKYt82R/KE9rwcAEIEUgQQG + KMlqVZtSINOgrbGVKSvTgABPgIyA/CIkcwjgNNpQSEveGCVZ2oKRlFKdtJum6Ww8Y4ytrKxYa0ej + URAE/X6fc767uxuG4XA4LMtyMpkwxjqdThAEZVnWdd3r9YIgmM1mWusgCltAjjPeGdvSazmxIs9b + 9qzkwigN3gdCikASRfM8E4zrulnqD6y1+TxL46SpavQghWCMDfr9XrdrtG6appd2qqqSjKdRbBqV + JIkxxhgjZcA5n8/nRNTK7fZ6vTRNiyz31rakg9aRYqk/YILv7u6uLA2llFlZCCGM1qODAyGECAJw + XnLhnNvb2SUihhTKoBVYV0oZY+IkqarKWxeEEQBEYTiZTMqiqKvKadNJUwDoxIlzrn0MHgRt2pam + aV1VRZ4LYt65MIoYY7feckvTxlezmWB8f3evzQbB+b2rO3EUSSHmdeMSi84bZyXjS4OBVZoRmUYJ + ziXjRV0xxuuqKstyeThkjIVhmGVZ0zQIcOXy5bbqPVxaKstSaz0YDFTTjOp6OFyy1qJbaAK1YUPL + H26PbCEEAFRVpaxJkkhyStPU5lmWZTKIOmmvrtQCI2MtoycXY6tOBIw0eIukjJNBJMMgiiLnwGoj + hODEnHPWgScEhtIDV7ptVjskD+g8tajRTtoFZ6zVLYXEWttYC95xzqH1ovAeEI4oNF+K3m8vu2ih + f8loGywLRwTvjwKn1tnA0yFYu9VkBHDWtavSgUcEZ7wz3lsniDHGHOOtzoHTBgCYEF/Avm4BRC3D + 5+k33P6C8fexdnxK13/Kl39q42lLkI6UsuI4jrgkoiiKDg4OGlsFLKxVo7VeXl0FC1rrWTbvdDrX + n74ByHf6vUuXL9/1ym/cPHny0qVLSqn5fF7rhQoZY0wQa5rGGrOysnLfffe95z3vOX39Da9//esH + vf7JzVMf+8THf/AH37R1dTvP8+uvv/6//tn/O51OiWgyn3HOORLn3Flb1/V8Oq0yaxSTsru7N3/2 + s5Yf+hTsHlzM6mHc2dT+iuwIAmvsBEVloHnf+8cf+8SDL/j6W1ig9w52i6oSJISU5y9euPUZN1tr + x+Nxnufeu06nc+rUqaXl4fOf//z+8poHunTpkvc+iqJ2929Blk/HNPuF2aK3nDMPFsARgbU6igJr + dVmWUZRYq9u9JgzDqmyupeMvVu/TlCN9hb7TUUFICNE0TaugsCC3XNMgfvJSX0bGsZOk6BYPzBhL + kqSt/I1GoyP06iEmxLd61vDVJvb9vQcCCMfrWdOHjrPaWUZCegCL4Dxa7z2CW1ShjEd0oNtKb9sC + YZyHjGmm21wUAJS11moHrew2eO+sd4uQzLfMJY/YJqicOK6srACjeT6P0yQMo0LllSsghdtfePvr + f/D14Wb6+Na5wXX9bDbP85xxLxkjRHBonQdAJNZGUnSNZY1HsASWYWWdcQa8I8EhkjUH5TwhKtN4 + MmhBG8s5l0kAANo7QPDoHWjvPaBHdEjoneWEhGC888ait14QE8ICKUAAUOSVdxpqpWpmm6bRvvYG + FA+ETJmUcQ1N0ygQ1+C3jlbEoduSRVICrCeD2pIHQT4OCbED3DvODHPazrEBb5smkxHHgOemqmwj + Q8kFq6qKE9LRgXdY8rcEKuLGOLIOCC1jDceagyOI4tgqY4RnyCyRcs4SB+bypkQwrRq9YwBt4ErY + GvMhI0uEHp1DbwGNI2uYI90KmPtGgdNgtbG61l4bazVyFFGEgVeom6ZGv+jVLnpcABaBABQDbSx5 + EIKMR+W8d9oiiDQRBODJaFsb7a2LOPPEtNdekiWrrbLkZCDAmkZrRPEPfAQ/pUHeodHOtRwZ8B7R + gUMii0CEjHjIKHSInAPxtiQchnJvby9JkjhJhRBtsSYIAq31wi4TAAAQkTNyWiE434p/uLbBy+Aa + thQia2sKzFFLL3xa4COISITFPOOWK68hBmud4+CdtWgJkBbq6ujRe3Btvz2IgkDJsmjNo9s13M4T + AbjDnYIQHSAtbBEQpJSdTidNU8EDS0ZbJRLRsHq/2A/W5NLaUmkyjAA5IHr8gpCQAKz31v//1L15 + uGRVdTa+1tp7n3Nqrrpz39vdtxu6G5oGZBBUEKLEWZwwDp8apuRRiH5oNIlofkkwggbzfUZwiMYp + DhEVY/wcAkaiQWlQlFEGhZ779p1vVd0azrj3Xr8/dlX1BSEJSWt0P/3c51LUrTrT3nut9b7rfQm1 + NULKUrlQHarodjozMzM9uVGht7i4SCTHx8eduPDo+EilUgGAmZkZa+3mzZtnZmYWFhbGx8fz+Xwc + 903/KhWnE+1iZVdxM8a6lVAp5Xm+J2SWZZVKZf/+g8VikdkKhvn5+SAICqVyq9WaXTnkIB2dZWmS + BEHgCbnSbGit5+fn8/l8GsU94Yo0a7VanlRhGJYKxaWlpXXjE5nWAomEkCQqlUq33SHAWqWitZZE + nTQ99thjZ2dnoyiK6lGtUu10Ok4ko1AokJLtdjsJo9HhkTRNPc9z0oibN2/udDrOkSZXyLv3p0ni + +rQdzbKz2lJAxXLZIVcE6Ewv/FyQz+e11svLy77vlwsFnWUSyQBOb9h48NAMGLuwsFgqlVZXVycm + JkySbpyc0nGCxnokjtt2zK5du0ZGRpor9Zwf2EyvNprlasVkmoGjLCwUC51Wu1KrtpqrnpA5P2is + NivF0sTk5P33/pQJp9dvaLZbnpBHHXVU3A1JKV+qmChQnpSyUixl1nQ6HQBwcdqjApVBI1wQBFmW + xWnaarXA6snJCS8XLC4uLiwsVKvVarWaz+cXFpYAwPXwmD693wJnYC2ADHzhKZtBGIbdTmRNViqU + 0zROjJWeYhRplmnNPvUk/5CdVAxQv3yRdENENCazVkvpMYKGw6aCvadO9CQl/gvhh8PNHNrsCtnu + goh+vGSA0R7+6QnpfgdBxOCABE/IJEkHodQgFnJIA6yJi9aWkn99xq/dAR3BBEkIUSwWoyjKsqxS + KM7Pz/u+7yTprA1Hxkab9UYYR3EYCaGCQt6i3T87s2Xb1spwbWJy3Wqn/f2dtzzz2c9yGvCe5yml + XG1GI6rAz2Hu4MzMiSecECjP9/0XPvuFZz7zTAu8Z8+eY4897g2vv/Thhx8+/fSnfv2b37IWVhqN + Wq3GzFZrRJHP57S23U6UD8r5ci610V33/gil/0/f+DbLuleK/LxttyINJBA1tIZG6Pjy2MGF+0s1 + BYpvvf1HP9+z6xnPe1YKGhGNMZk1+WKxMlSz1kopkzR96OFd9z/w4IYN09pCuxM6G7VutyuEcH1c + RxC3ccmPUsolpdbqNEuCnK9N1um2jcmyLGE2WqdO3vp/hGPmqixOVs6B6aOjo57nOeL+YB0cHNvj + HWSWpE77xX0IIq6urrbaLc0WgNB52KxRphaP43f0qzrv/9Ygi9LIcLmjzCSiBEuopAFjBRgES8Dm + MChh0QDiQMvLRUg9uQ2wSZw6gf4ss2tpM6AGkD+AAbAg0AHx/tBILZfLrbTqWrDIU6KTDnRkkY86 + 6egL33JhfrJch04is0bYGKoMLbXmXb+7YMEWTZ9L4AzBzCMLaAYhlWCZLRCBACWMgpg4A5t2mwQY + UE4ApkYjp6iTVCfkKcts0boiu8vvEIXCnvMmG5OyATaWmIE1o3SqypKYFBAQgeuqyeVzIHKak8Rm + aZyCMCJQKMA4MyRmZrTMxMAGURCysZZjsKjABl4qrCbITCgsKS2kkcBCoxBBgBIVFdMsNibWZFBI + zdZkbjc6/Mj1KHaMDBChJQ+FRWutlVZ7KiEb66yddvPSK/pK6//OUnIAACAASURBVJRIY05ZNu12 + q6I80a9HIoMgZFfgtBYRNYIF0MwgGAQKKTADJNbAGjOSKHyhWGKvyUoSo0WwoFOTpZixYR+Fo65Z + p6OAwAjGMUYkSIEghQEEY60GC5zGTRDEQgGgRAKhSACB8HP5xESJTaxCImFYp5Yl/ppptwGwtoqE + NUYAShIIbExGJCUhHBY5sGwtogEQSRwnURx4OUlK5pTneU63Jg5ba9cuKSUS6cywMUIikSAQ0DPk + MZYNk7VkHZ/OzVLJghiPkDsSKYGQWeUHbGx1tAIpJDJiDzhlJ59gkaxTW3WdgUIgoqf8fK5gtE0S + zRYAQZBgZmDqs/IQ0WG4CATAJKX0c4UgXxRKWbBd3e5yWCtVE5NCDp7+7DOf/uyni5xMbGg41WB6 + IDMDgWC0KCjRiScDaSkN4yhJ8vl8GqedpNVsNkdrY8w8OztrrXXSuCsrK2marlu3zmUpnueNjo4i + YqPRCMOwWq0GQdBoNJIkqVarnuctLzXTNCUi3/d9XyWJddobxmrrQbfdqZYrR22aXlpaSqOonM+d + uH3HobnZxvLK1NSURGLm0dHR+fn5Ur7gILVyodjpdHKeLwCr1aoS8uUvO++Gb30rjOMo6vq5nNU6 + 8Hydpu1Op1apGOZmvb71mGNmorjTagkh2Fjf90eGhhorK+3VVaWUVAoRy8WSo+SFnW6pUi4Xim3b + jqJoYmzsvPPOC8OwXC6vrKx861vfUkJMTkwY5nq9jkQmzXJB4Hle1OmaTBPA6OioyxU9z0vjGBFr + lUqUJIHne4EfLi8NdMarhdK73/WXux56qN3t3nfffVmSEtFJJz5p3759YHlxdi6nvKjd8TzvWc94 + JmjTaDQIcP3kZLPV8pWqVarddrsbRZ6UYacbeF7Y6Ubdrp/LnfP8Z9z2ox81m81777r7A+//m7vu + ufu6f/hCpVZFBqdoEnW6K51uqVLO5/Iri0vlYqmULzRaTWbmtSA+okOB1uqFCCHIUJqmaRw2m83q + 8EilUlteWXHi0cViMYqSOI6dIhEyOGFGJkwtgxRE1I0SAACSSoh8sQSGLQgmYnSMdCREJoy0cX7c + wL1OJLep5YK8Ek5yOrNIQJz2mWzc990iACElMyM/drA/AJHcWFtfdvL6aNmhAp7nIYAxJuurw/Ea + TWMBmCaJg9uQCAHSKE7iOE0ST3rMnOrMTQEAcKijKyW7hb2/FB6WTvnljV/DnOcJjSOWIEVRlKap + w0Zdz+uGDRs6YXjVe6+qlqsW7fi6CWOMsdbzgiAI4jj81j//82c//5koST5/3Rc+/bnPMljncKq1 + To3LjNClSFJKRioWi6eeeurFF19sM33JZZf4vh/H8dzc3AUXXvjhD384ztJrPnjtTTfdNDw66qRa + jDFZkmit2fS8xgrlfGN1Sfr6i//4yXsevOeu+/cVStOlnAbuDBVlHMfFQoEgQ0w4bXzjxs8tr+z5 + yb3fTZJuotPR8REGm+qsUqsJoWZmZjvtMMsy5QnHAVteXt501Bad6Var5bi2uVwujuM0TYMgcGSw + I5KrOBRaKjImYzaWTRiGhUKhVCplWeZ5XrcTKqWyzDAbEo/QajviydLjlT/dumaMcaosIyMj1Wo1 + DMN9+/bBmnUQ+lWNx8thPKkGGJSTEHRK/5VKxSUATpPHfZ1Siu2jP22QJh3J0/6lDTLYXF5FA4QE + KBExAyQkKwQjW0QAQT1Z5N4Wgtzv22ZEQAJSQmm0yBkyefKwbDcIm7ABAuGkrCwIFBI8AaJaqhYK + pVa3Y4iHRodacXOhMV+eyG8/85jnvup5taNqC0ljKakXJ0qRjhY6y+ViETMDmWEAFCRQZMjGWgeb + uB1iUB1nhMxalGQlMWJqTayTRAIpqSqBZBbOCQ6ZgUmg8lScpEyAPSU47vFDbeZ4e5aAiKTnoWLU + NjVaWyvRaf6xSyYNElBGBAiQZVlmMo3GokViD9GwHQSCzIBI6JSYDQMja63BWIlWUkysrVHS8xjz + LASDtSZDG4O1FnUSC2QQICUBW51liOgrhUb/IruAHbhAZBE0gEVmR3Qnk8sFNknDJAFtkEGwjzm/ + WMorBgnIbDRbYNvjcgALFz0AGLY9o0+SkjDwfCSSaJmtRTbIWic6zSQIl046MAolkqd8z6Mowz5p + azBDHEpJQliilMFaw2BAghCohGeADSABCSusxiRJTGa7nVCU815RWdSZ0cRGukn361SaIAYwplau + yC6w1UJKNFZDSmyRDCISsEC2CAYSY8kwer40xhSL5SjqtttdITCfL0rFxlqlhJQeO61Hm6WZTXWi + FGrLTn0LmRCRBFhhLBottBbaCnPYSPG/enEOB2BMvX5ygDSLJypjURRPH70R8pBZ4+Y5Ys8MGQCd + fh85JQ1gSSrn58GipMhaEEKkqQbrpgQ58zFwSZIQJEAIoTyvUCh4vg9gY5MYqTGHs+1DUOQzzznj + Ra86tzxd2bO8m0oSRE+vsCfq7tpPFaepLiglrAzDcGZmJoqinJebnJxcPLTAGYyPj1sLKysr1trh + 4WEU0Gw25+bmpqamEPHAgQPr1q0bGRkBALf+53K5XC7nBGny+fzIyFC73Y2iyBhDAqWUYICZBZEx + ZrhWe9IJJzz/+c9/319dXef6MVu3veZ1r/3jP/5jX8gDe/ZOTEwkWbZ/956hkRGrNQAenD04Pj4+ + VK0p33vgvvs3bNgwNjV10QUX/Ot3vkNEK1FUyOVWV1dHh4cBoNvtOoRqtdFor6567kJJ5fv+4uKi + QFpZWh4bGwOAYrG4d+9eP5dznAhjTLPe8HNBrVKtLy9PTExc/LsXf+pznwKARqPR7XZrtdri4iIz + SymtsVmaMrNJszRNx2vD3XbHJFmn2Wq1WtObN+Uqtdn5uVZzFQXFaTri+4HyHAXNWlsoFE468cTG + 0vLRRx998fkXXHLJJYuLi4f2HyDL66fWHzp0iABHR0bq9foFr/3d5cWlr33ta0Mjw7Mzh1KdVUrl + mQMHcn4wuW5ieXGp3Vo9atPmhaXFUqFYqpR/97Wv01rfdNNNI0PDL33hSyuVyrdvuLFYLM7PzwNA + tVpNkmTiqHFHEZIkiGi10SwW89ql8GsYLr3ZSoSIzu7FkfOVUlbL+fn51Njx8fERRNcQmGXZ6Ojo + yspK3OkSkRLSZUpCCCTSbK3lKIlLpXLOD3SUoIW43VVKkRSZNZq1REJEtkyestQzqnapkRND6na7 + yKyEJELDGSpJShLZtdHFIKr5dwqy1Nfs4TW/Qx/tAThs/jM4cUQUgKLvA+m+i5Q3gIastQU/KAQ5 + tNyNYiGEJxVQz0JqUDt+xALyXw2KfjOiqCM3jliC5PrnnLrF7PLs9PT0+vXrr7jiCrB2eHj4hS96 + YRzGCMIYYy042cEgnysUcs12SykhpcyydOsx2z573T841qnWWuUCJzBCREabLMvuf+CBpz71qVNT + U06dbHZ2dtu2LXfeeedLX/pS57bm5Car1epyfUVKKUn4nicQgVDm88ak37/1xj/7y7cw6+V6+9Wv + HSqVprLMR5AANgxDJaQSAqwtFQllJ+w2O+24Uqk0m81CqfDDH//otttvc4vy+LqJ6lDNIWZILKQn + lf/Azx48dGhubGLS+TgxISmZGWPTxO0OfSLP4cTgiQ9mQgMM7LZAMsyr7TYIGBoaGvPHlFK4VFdK + LSwsJjqDnpgYAwDSkWfZPd5wqXIURUIIJwTZbrebzebaXqy1Pwdo9aNGO4p7KwJRGIYOshuY+UBf + 62/QwqR5jUreI1T7foknewSHtba9umo1s2AkBELDFkgCIhAJTcJKNEJYQkYXmVsLPeMBRraMVkqU + Q9WiLuqBLWzvjhNrShFZgEJDZARZQSBQkGHWmY2TJBjK2YDrrXpxsnTqM0956gtP2nTq9J76Lp0X + IYVCeFLIucXlWn4jArtLC0yWyFF33Fn8YtrMCIyoEa21idUZWAPIrNmYME1MZpVSpXyJkJI0SZNU + egGg7d9liwzMDBbRWHatUwJBEgpCIjbEljUAWOP6MbRhA0xMUsmEteYMAywVioZNO2y3wrarroGb + ldDf3hgws4JBIBkEjRBrA2SArY46bJVg6YNy783QWKR8McdskiSJktgFQ2xMlsRe358RnCYSAwAh + W0mCAAQDEWlgk2olkVAotlma5aQqFUtsdCdLdBIBYjczEsl1CyOCRMIBcQLAMltX6SdkBAPQ0ZqN + sWiBLIMRAqWUXl6gRTYgwBnMk7E20Vkap8HjbXlogZDRamuMdSAeAXGcJozWglSkGKRHEgQQYuDl + 0RexsVpHjBz4nm/ZJCk8Tm/h/8xAK4GnJoZbhxom6iKR4z4aRkHEAASMhAKRkDRoBDQmYcHNdpjL + +7kier4MfErSyCM2NomzkMEgCCHR97xA+olJMrbWauh7GyuXSEKaYZLKLJPGCgNCgLUATxhgs2id + rgNDj7vpxL6NMShEBplFXZ2onPz8E3f9ZE+70QENAqgnbs6MTm2ByWZOlUv4ShKowCsgCufmtEYM + 4/CzkWUZIqAUQkohEYksay0pUxoLbDPzlGee/pzfeXZ1XXUlXOnqsKhKSIA9wVQklyOxdo1S3Hf/ + 7Ha73W6XkEZK1XK5vNpcVUqNjY0wm0ZjhQiq1SoiLi8vB0EwMjISRVGj0UDESqWSpqkzB6/Vaq7/ + h5nLlRpJIQR2Op0ozZRSSgpmTuOUtfFLsloubznq6E6rbTLtK29ybAIZJsbG2+32+skp6akDykuy + NI6TLdu2bly/vlgstlqtn95//zFbt+7YsWNqaqqYLzTrjVqtdurJp/z85z+Pw0gJGUXRli1b7r77 + bqvNU047vdVqYW1oaWlp7tBsqVSqliskxSmnnPLwww876vu55557xx13PPjgg9PT0+VqNY5jZOhJ + PJNoh62Pf+zvWq1WuVxOkiSNk8DzxybGp6end952W7lcPmb7sUSURPG9P7lzpDbkS3X6qU+Oouiu + e+8JlLd+aooRth6z7ZZbb200GiSFu+wSSSDm/OCTH//EyNjo96f/7SMf+vD555+fRLFAmpxYNzE2 + vryw6GSgWZtKvnjCjuMf3r1rfHRsbGJ8fHTs3vt+enD/gbDTTePkhB3HD1Vr1Wp110MP5/wg5wfT + GzZu2bJlZmbm915/0Z133pnL5WYOHNiwYcO6deuyLFtZWZk7dKjb7W7atKlarRYKhb3794dxqNkK + QBfoQ1+W/RfjE0R0xLk0pVar5fu+U7Zw0JlSfi6XU0idTqfnWYLWMdOs5SDwfaUFyE6jHXe6Y5Uh + H5RgtIYBGZiJWFjQwCka3efWIYNgIEuWoZDLmUyXCkWSYqVR77bbXj7nghYBSEiIDGuMm1zzz1p7 + zLXi+9DXbBjkSL2OKSkQ0WiTpqmSslwuu0AXrLNls1nWw6xcB4rD2Zg5iWL3r1wsamuTJNFpNkjb + eh/uGPVrpzb/e10SR2o8IXGdJ3Q8R9Z39DHHEUuQkiTpdrsLCwu5XG6sNnznnXf+1m/9lhAiH3jr + 1q3zvOCOO+7wvUAFuVajNTo+3mw28/m8Zc0ADC7NtanOStWKE/t/BHZJ5Mjft99++9T69QhwaGF+ + uFo76qijlpaWhoeHNdt6vT5VyOcKBYfLlytl59UDAFEUIaLy5cLy0le/+tVPffrvKkPl1dXVam1i + tRUnMVdq1XanEQSByawAYTIjBAlK4jiqlEeyzIRhaK1eP72+Xl+2bJzE/tLSSi7n+76fJEmj0VBK + zc8vbpje7BB/lysaYxyWdaSuM/TiXWMZrLVKCQAIwxCJh4aGKpWKlLLbiRxBMctMLigA/A9wzFzG + Yq0tlUpjY2NxHC8sLIRhWCwW4ZHJoVtQHu8SKaWcbo9D4dz1ZGanCOJO0xEOXdFlkPutXWF/U+Aj + ALBgunHoqj6I6ITUBTETIgi0gqwiq5AFsQEg16UNAITEltmyM8szqREkBjxM7CdQTk6KrBAgCRUS + EQgGq1FbMLKgtNJzy4dSPz3zt8981nnPmDxh9MH5n5XHakutFb9WSiBN0mj9hg1RN/KAPJCAkBnQ + rFkSCrLGrI2Isb83IAMDZ1a7g1FSIbDOMqnQy+etNsyYmtSkxjEziQCArAWwBgAIBCIjkFSKGbQx + qdY6SZhQCCEJEQjYQo9ChIJISiFRQgZECIK0ybppZMGggEK+5J63noaY7aUcLq0QgFIIpfxEGG1S + y+AJkcvnvIyDlFEbjYwABITESdoVQggCKXwliI3NdIbMg+4jAIA+kuZ2XNYGEJUQbI22mg1IKQUY + IlKWddjRWntK+sqLrSZfoSB0f+U0JWyvwCEAkIGIAJ3JKjGz9FWWZYpAKkyzMM1Ca0hKqUgBoWXO + LFjN1lpgFgMB7l+YH4gI3FOEICIphPNnFhJRSAvIOkszrQ3m2FNS+lJ20jgjzgc+Iug0SY3xybP/ + YwKtjznYSl0dLYXNZrcZSkUeBFaQMQaRgC0Zp9lLTlmEAYQvgyCYnZ0NChMpdBPmbrrabDY3bNig + 48xoTUQMOkxTtgwsWJElBAAkEEAAnNnEgLGkY0oyL8tklspMkTVkkNipCDyhYdEKoEffM2uLxUKz + 3Sj6BWJ82WvP++Tip9r7OpACouhDzeQ2D2ApEZVQiMIYQ5LIIyEkIiZJDMgEzsQJB+t0PshZsBaB + 0WptDFsAa7y0i90MsmNO3XbBG8/3Rryf7r2nMF6ojVW7umPIpYDCtVQgIqGwBiQJneg0zoq5Yj6f + ZwPtqCuzxuTEVF3WnaHQ6OiolHJpaQkIK5WKEGJmZkZrvXnz5tnZWdeDFARBPp93KVY+nyeiJEnq + 9brzpozjOEpiIQQIwcyB8kQgXNtYe7W1bdu2seGR448/fnV1VZKYnTn0zW9+8+gNW7qmOzc394pX + vCIIgnOe8cw3XHJJmqVf+cpX5ufnP//5z0+vm95zYHe73Q6CYGpq6qKLLnrXu95VLpcbjcb27duv + uuqqa6+9Nk3Tj330Y2EULi0tfexjH7vpX74TBMFNN93kkffArgff8IY3vOMd7zjxxBNzhbzv+1dd + ddX1118PRJ7nOZ0xScIY0+12n/SkJzUajf3792utC4XC17/+daXU/OLCXXfdddIpp1xxxRUW+I4f + /+SKnz7wkQ9/eGxsbGxs7OcPPXT++ecHQfAXf/EXp5xyyuzi/O23395utzdNbZ6dnW2324VCYXF+ + wWR6fHz8zjvvlCQ2TW2SSDnPv+GGG5RSzqbm4osu+uQnP7lufOKSSy7ZvXv36y+95L3vfe+xxx7r + Wn8vv/zyu+66641vfOPlf3T5wbmDSqn3vOc9Rx999MapjReef8Fzn/vcV7/mf731rW/9xCc+sXPn + zu3bt//TV/6p2W5GUfTQQw+97nWvO+mkkz7+8Y9nWTY1ObVUX3nhi14oACWSi/jBqcO5acXsQLMg + CAAgS+IsM1rb4eHhMIlnZ2drQ0PDw8NCiFar5ZQGC34QRZFOM5dlGZMRQ5ppLycypCxJrDY7th37 + she+6EnHHNftdkMTsyJQyNpIY4goFsag02pjYnTuYQAkQERhnMsVmu3W92/9/l333BNmMQCg5cPe + pmtSu8fuqAaA/p5Ih3WAAQB6to1Occ5m1tparTa9fsP0ho29yp1rw+7HNgNBC/f71q1bFxcXO2EY + p+mhudldu3bVm01nXOl8jR8vBKInkiM90YTksM3dL2dYBPFLDmyPWILkbkAQBM5ItFKptFdb5XJ5 + eno6DDsq8I/eunVm5lCUhIVyIYy7QNiNwlKp0Fht1oYqrVbL972RasWJK7j+/kGzXZIkLAQAFCvl + MImJYXhkBAHaYdfzgjTVFqFWG+52IkBChFyhGMeptbZcLKVpGkepUoo9LJdqqRaAhW5H53O1dqsL + iIWSjOO671vmthQSWChPAoMF8oJCnKWe53nsEXmdTiefzzeadXeE+XweAJIkYxSMCCTL1Wq73XYQ + xwAYcQ/x2gf0v5muOCYqs5VSMltjrOOe5XK50dHRL37xi7mg8OQnP/mUU065/fafCCGshQHM+qgj + +e8PR4OUUiqlsixz2qOe5wFAGIajo6Ojo6P1et1p+jk6AfdrqwMk7fEUGtxw66Pr43KfDP0LO/hD + 1y39eFJ4vynDIpCin95/7ytLv5OK2AqLiNITcZZgILJUKyNtwjmZB02+n5MWs9i6WWyMe6gIkBzH + zVh22QW4OJgBDINBAAQrmMkyI7MBw2iMNEbZiKPUpGpEbT9p+/Ne/dzxo4d3LT7ABdOyHb8WZKg1 + ZyAwSZOcEGiopzxOQIQWwAALZIPWODFTBEAQFpBdt6sBAEnICGCtQFAo2VgwTEAABBaU8JQAss4N + F4gRQcDapdlYBJIgkIQUgyjROvECGDDFGFhzBhkyapO55isLxqIFQYPeeu7zsKlvr+mM1zK2nKXG + WEHgWSQLaDO2oC0AgUFwTVZkLQGBMeSwNGMRwCMFtIZeCI/gJTCzQEQGq41A8ElKss5lRhCSYWSr + BDFbE8coyAo01hAAIQvobdiCAbj/FQYsWrbAYC2QsRoRga1NtURW0u9dDaOhJ3+piUkguiqpxZ5u + Kw8qmmAJoW9XZN1Bo5tfAIxoDRMCApEkIUgYYDZZZqRAQjTaAFiPSQKB5f8Gj+zID0M2FklayLpe + GBZjUjIjjqMs0VmgArBGGBaAQggm1OToiwYZxRQtwUKW66kyiHExx4fYY1Z9RpAHiGiRSHmp0Wgd + KRSt1dKT0pcy59WqVS6gX1URdDUoljo2Vghl19CK3Pj3WTprBvVbEC0zE1BmtQzEarM1dfTUhW+6 + 6Jq5a7o/66ZG55RvAY01ge/FYeR5xGzTVAMYcLfaGtAMYJEcMMngIrmB5RSyMVmumGt3O92oUxsZ + Fkq10lbmZ7/9snNOfsrJckg1koYse+CDcbgVAgFxf2nvtZpY9L1c1IjGq5N+6N9y8y07po5Pm3p+ + /4KwamxkNE11vdnwc0G+mB+G4ZWVFa31+Ph4mqZLS0u+7w8NDQHA8vJypVIZHx83xiwuLq5fv75Y + LKZpmqaJ2/fHxsZWm03HtcuyDLUtFotE1O12T95x0jXXfCDn+4cOHQrjxG3Wl1122fT09KZNmy68 + 8MITdhx//4MPbNiw4d577nnTm96UZOm5557bbDZf/OIX79h+3Cc//on68ko8ObVty9bVRvMzn/nM + pz71qbGxsb279/z4R7dv3LjxrKc//Ywzzjj22GP/16tefcv3f0BEitTvX/L79z/4QKVSOeuss97/ + /vf/YOctX/jCFzZu3Fgul12ErZTqdDqlXD4IgvWj6y+77LJjjjnmt3/7t9vtthBCa33NNdd87+Z/ + i6LopJNOOnTo0KVv/INuu3PWaU+RUr7kJS+ZmJj4249+9PTTT7/rrrs6nc63v/3td7/3qiRJSqWS + 0wPMrHFReC6XAwDf9/fu2r3ablSr1R07dozUhl963ktHR0evvvrqjRs3nnvuuTfffPM73/nOe+65 + J5/Pn3rSqc9+3rOnp6ff9773nXXWWQsLC2//o7e/9oLXHjhwQGudJMnXv/71Zz7zmV/+8pf/5abv + +J43c+DgULVWLpb+9xvf9JM7fnz55ZcHQXD99dcff9yOg/sPFHL533vT72VZ9qm///TExMTc4oLO + tDtHRHTi1C41ci0DDm9kZq2153lxnGptlfTTVLfbXc/zSqVKLpcuLMyNVGvr108eOjjT7XaJoFAo + CEBfiLgbCkBCkS94m6ePesPFry8gfebTf//tH/yrzPsq74WtVdGJRivVMOp4gnzPS5Ko0+qOjo8B + qvpqx0op/Fyo9Ute+Tvj4+OZcZU+jrtxLpfL5/NRFKVxkveDL33pS29+85vn5+fjOBZEw8PDn/30 + 349Vx7554zcuv/zyYr7gAMCr3vueUlD58jeu/78f+Btmdv3qznqUpQSARqPx3iuv2vPQ7nvvvXe4 + WovjuN1uV8rlcrk8NzdXzOUBMIqS0dHR5eXlbrsrUBRzhW4UXX311S94wQtyvh8lied5Tp/zKU95 + ypVXXjl3aLbb7Sql3vrWty4uLhIRCnSd4a5bIcsyF1M5Va3TTjtt7969s7Ozzpv0u9/73q6HH86y + bGRkZH5+fqVe/6u/+isp5b59+9atn3J0PgBwzdAO3wBAsDw8PDw1NfXKV74ySZKDBw9+/vOfT5Ik + n89fdNFFExMTIyMj+/btGx4e/trX/98tt+1EPMwkhF8V4+nxxhFLkJz8vO/7URQ1OyvVanV4ePj4 + E46bGB256aab8mHkNHMgSRnAss0V8potSeHuiru4q52221UG0bOrJTwe/8qNAafT9nd66PsyuRtW + KpV6uCdDpx0Xi1WGLE0iqZS1No0iVNyvlWnAHrHbRWZaa2bjxHBmZ2eGh4cznZVKJauN4/5BP+vo + 02d/NTeSgHvkbjccqNJut7du3VqtDLn/LJfLURQRyQFszf1xpB44t6IN6OCFQsFxZ5l5cnKyUCis + rq46apzzrl371YMg4H9wAvwaDrcxEFF7tQUKyuVyO2qVchUr0GM/5+cwJs22GYcyhLxf6YtVDy6j + YX4MigIA9BAnALTk3O7Z9SIRaGkjClOR6lx61AmbX/cHr1UVua9xQFY960FGWlvWRIhCoBLIjikw + eP4O5y+ulxt7k9FhR4cbk6D3Ijl9LwSDfY+gvii2o33jYWbC4RMhht73Ijj5tT7s6My4CMFCz6rY + BWPQ93mwAGDRAhwGc/oHQ2urXJoGbwanrCCAkC0yMdhUgJPbNnRYps99Aq75zMFY+4LjbdhHZ0yP + fD+Cq19aHBT23JLSszIbrC/uGvZ7z3p/a9EykmUgsOKRMkVO/xAAev0o/dqew0lwzaEOPnYwBq47 + LolC52jD7k7Z3oLpXIydUtrg8A7foF+LYdCaAla2jJ4wWaUMPPSMxihJs1QLImTwgZSQnqfQcxoK + FtGJG4M2aZpobVJCKRUVC2UkRhBIDEyA1gl+xHHW7XaT9MgdywAAIABJREFUKMae1JCUUgolU84o + RzFHqcz8qmeU0YnOdOYLepQc/L83+gv+ox4DC0REiY6EotgkRmaZzCa2TDztWWfc8NA/54eKSZRo + rXO5PAtWBT/NUi8nAfr5zxqsncECGuC1+T0AQJiEwhOr6aosyvGJiUZrZXWlXZ6uPPUZpz/tuWeO + TQ3X03ojbsqCQo+StGvJuqtHSADCupIeoxIqS3Re5RvL9UJcKgalJEyI5eT45OpKM42zDRs2eHV1 + 6NDM2NhYtVq1lh/TBwkRH/P1xaWVMAxdGtAmstb6nu95XhxG3W63Wq5NTk7+5L47XvWKV5ZKpbPO + OutVr3q162D5yEc+4rwoRqoj9WbD6YYvLy9rraMo2rRp0+233x6GYavVWlxcrFQq+/fvj+P4xS9+ + 8datW8877zxjzB133BFF0Y4dO75+/dd3z+xev379nXfe6fY+Arr1h7eNjY3V6/WKX7n0jX9wwUUX + 7ty588EHH7TWNpaXncuWUirsdrvd7szSzB/+4R8uLCwUi0WHFZRKpW4UZllGUiZJMrcw32w2C7n8 + 0du2zhw6lKTpodnZhYWFcq3q2qF3793T6XTyxSIAJFkKTmANsFAstlqtlZWV8fHxidEx3/cPHTo0 + NTVlgf/xq1/dvWvXLTt37tq1K80yY0yhULAIhVyuG3dvvPHGRqPxuc997tZbbz322GPDJFxaWkqS + ZHFx8eijj65UKrVardlsxnF86NAhx5drt9uNRuPmm2/udDrtdntpaSmfzw8PD6dpGkXR8vJymqZu + z3IxnlJKCKGzzIFpg+qnuwKuG8e94j4/SRJrbbFYzOfzQRA4LLFYLE5NTdXr9SRJVhsrOV9ZoxBA + ZyYzJtPGaoNgiWnu4AEP6corrrjxphvHypVCbD5zzbXU6iRxHLJVSuWVGsHchq3bxk9+2uYnnZgo + ddmfXr5v3z4LsNxYKZYLDDCQEnHH8La3vW3d8LpKpbJ7925EZGuvvPLKxcXFl73sZZ/7zGff/a6/ + vOLP/3z7Mcf87d985PIr3vHzhx86/6ILr/izP7/sD98yOjqqtQ7DMEkSh0p1Op19+/bde++9rUbz + Fee9/J677yai737npp/ecy8zDw8NaWOCIKjX61dfffXuvXuOO+bYv/27j62sNpM/iJWQcZoIRCml + JxUjVCqVer3+0Y9+VEp5xx13LC8vb9q4sd1uq8BbWFxst9tDQ0OtVitN05GREWNMuVzesWPH+973 + vuuuu+66665bWlpi5osuumjdxIQjgr7//e//iyuuSNO00+m4OgUMeENrKj6IyMAPPfTQy1/+8i1b + tnzpS1/avn37bT+4bceTdtRqtXvuuefAgQNSyle96lXlcvkd73hH3g8M9Ihjayvpj1kw+k2i2Flr + 0zR1z7orh8zNzlWqpb27Hj40e/CMp58thGo0W8YYP/ABeLWzatimnk9SoBCFUgkJGo1GqVwG6CEe + KAgAtDWOKQT9rdquYXY+Hj5orEWiMAp93/cCP45jkxoihUg6YyTy/YKUMgw7CFAICmkcAVrn6ALQ + y44AQCjreVJKKpVKgSfL5fL8/JxJMzCuII7AhOjeTNxT4v2ljn4wMwhqeoVz0Vhu2MxOTUwND43s + 2bOn240KQS7qRMBESITIlvuexEemedoiOHKz48gNsi8n8l4ul530apIkAODe858ujv4Xx69gzvzy + BjGgxShMHrr/4a2nb5GsSsW8tdaXyoI1WZrpLNO6m0SUk7YoyBPdJHRPwCNYiyAeh7LomFiuf4n6 + eYU1aBKOIw6xiFtP3fq6S18NRZv5WWjDQs4DQkfeI8uCUPQDbzdb4PAc7O9nzhOpTyQg7v2DNTNX + MAgLFgERDAFjHwDqzXEiO9DEe/Q4zN7u9XAQAwBay0C9mnovrSJgg728CHqH02c49FKLtbGptQQa + wHEEGa3LAQQDIGkEADD9o+0dCJOwQE7+rZ8SAJPtG0CtXZ2I7SDteaSQUS9FNGwF9u4R9JMldh89 + wIseK3XprTnMBtGiBQTBQGypryJtEdwiYIEIEHq5DQ+OoXc8/Qs1IEYCHsbWiMEiGdf0z4ePs3fG + lvrBeo+42Pu/v04ydlrYBneFj7m8HwjfsDBMoIVNEgBBDJoRSGgBKKwhrYGBLREQSWZhNBrNBkgj + d80qgCWSiAxAzAaA0HK+EPjlwLPeAMwmQaQoJwNWpDOTWWOUMc7++HEWq//MCtnXju8/8IjGGCVk + lETFUrFtOkPF4bNfcPYN/3DDYrLoKY8lGzQ2MxIlSw7XPJprvsxaygAtMSG6+9h7m1cNZCCbnbpU + IoF4NlqojpWffM7Jp7/8aePbxtthu96tcwBCiW4auY5ygEfkfs5QiUCC5ZzMl8qVB+5+sOiXmvW2 + n6nN64+mDJeXlxuNRrVajaJwtd0ybB/PB+nxXi+XCoGvwrATJ6HzR2o3V7PMIKIM/OX60tzCbHWo + VqqUjTFCyepQDQjXTU2OjI2+4AUvCMPw+uuvT5IkTdNuFFprtTXr1q1LkuSUU07ZunUrM5erFemp + er3+ox/f/o4/fefevXufesbTAOAD114zNjF+xtPPfMdfvvOOO+44+eSTL7jgAkbIFwsZaM/zFhcX + a8NDXQ7f9ra3Pfjgg8r35+bmHFsvSZKVRt2TKsuycrVi2K406toaPxcUy6WlleU4Ter1ehiGQyMj + hULBEc8M25nZQ89+9rOl7yVJcvyTTrz+a1+dX1pcNzV5YOZgoVDQxmitGUFJCQDW2jhNlO8VSsVm + szkyPrb3wP5yrQqCWmHnjDPOKBeK3TiSSOunN3a6XSZ0ug6FoDA0Ujvt9NOVUs1ms16vI+JDDz2U + y+U2b948MzOTy+V27949MTFRq9UqtWpteEj5XrvbKVcrZzz9zBv/5dsMkCvkJybXzc7PGbZ+LoiS + uDY87DTWTJo5KopTUXcI0qCBtkcPkQJ6hGt0Bd9UZw4eIaJyuTg0NNSqN2ZnZ0eGhoeGhiqVkud5 + aDRoBibQ2jVSComCBAJFYfieK68sFHLbNm2CbjeZn2v/fM+WjIJuLIGDQhCl2dLu2eT+vf45yZaz + n1kX+N6/vPLW++9tRd0Nm6aXm8vEsLq6GoXhunXroij64pe+lMvlbrvjtiAICvl8u92uFEvjI6P/ + +41vypL08j95+8c+9rHA8wmw0V65beetCytLCwsLG6en142NLywvORMUIQSzkVI6skzU6b77XVfm + crk/esvb/r93/unvXfT7//SPX+10OkvzC1mWlYvlkaHRy9705re//e1HbTzqQ9d86PkveoETELbW + Og1hZvY9XyBZbXbu3JkkyVGbNq02GmGnu2vXrpf9znknn3LK1772tTiOx8bGms2mo7Zu2rTp2v97 + 7czCTLPZ3L9///DwsJRy7969ux5+uFqtTk9PM/Mtt9ziEtcgCBqtVSekMajCU09uAnP5PBvjSfnT + e+751je+8cNbbz1m69ZnnXPOXXfddesttwDAOeecs+2oLW+49A1KqdXV1UGqTP0+q4FM+a9+HLEE + yaG3LlZ2PgntQmvdunV530uzOAmj1LQznaQ61aERyncrlPsT95QLpEHHTs/2SwqlVJIdVnZ/vDFY + 4gflZqcunaapo3UlSeJLBQCVSjGOU62zOEmt1fl8UAhyzfpqIfAtCmSw6AKGHgnH2Mxa6nQ69Xp9 + qFptNBoDBKZnJEaI/CsulLraXj+kZAvIRNRoNOI4nhhfx3a5Xm92u918vuh7OezLZbp9192j//CS + /icHG2sBHGofx3EURfl8vlwul8vlVqvV6XSSJHGqhk6t2ylw/JL4fr/pA5nAUtqxt9y0c/rY6aIq + VGRp3+Le2litmbaZUSgwxrSSFue5Q904CcuFCvTwBbuGctNnGzqfu8FPMC5z6MMmLpS3hkyKiSyo + LScd9erff3VhsmB9vXd2z+TmyUa6qKV1YZ5giUxoGU2vRdwOZtxAqerRZ/SIPGdgtoMMEkAwMKCB + wyVxl3Qhrz2X3k+L5OCJXmjXj8IZLQKAY/H1vxT+YyT3sRdcQ1YPQlt0TD8kZotokCyBIXdAVvAa + d5tfGLzGNH3t28xjvdg/bjK90z98uVzb0iBjsQiH77RLYGDtp/WSMgTu1e2BLAABGSQLyA5tQGvh + EeztXtq25qMGSdGjjpOY1txjcmeEay7+2pz216v/CMAiG5+jLI1tJnVXZ5akJOVlpD3pk2HhHmw2 + GVhttbbWWO0J6XoDWLEVvVU0KAXMwJytrZWSxTRuKV8q2WuByLKMGcmSTiwaTFlbMMIQIjOxAKep + /USKOr2JDP30w7rfjGUh0BpjEfyS31xop4ke2zT+st97+T9/+Z9TmxBJ0EbmvMTEglS//Qx5zQ22 + aAFTN6mcVKbLwRihGS7ITMQUCykyrb314oznPPXslzyjsqWy2F1uduoyp4JyPtVJFMbFUl70KDaW + GS0wAyACEoFhjz2TMIO95Xs7czJIScfdpLHSrNVqnufNzMzE6dD6jRtmF2aX6ktEVC1X4Rd8kJj5 + P/RHygeFSqXC2qyurvq5ABn84SHpe/NLi4VqeWl+odVph3GkrWl3OyuN+usveUMYhsPDw8r3DFsX + pCZJEobhd7/73QsvvPDSSy/1lZfL5bIsKxaLO3fufO2rX/vBD37w4osvnpycnJub8zzPGPOc5zxn + /fr1Y2NjjnCeJIkA4ecCC1ytVu+///4zzzrraWeeuW3btmuvvXZxcbFer6MgKSVJMbl+/ejoaLFY + vOiiiwqFws9+9rP77rvPiRKNjI3lCoVUZ7PzcyoflGvVVqP58N49U9Mb/u5Tn7j55pubndY//b+v + ja4brzcbK426cb1fntJs0zRFosD3A0/k8/lLL7202+2++MUv/pM/+ZOVlZUf3/GTUr589V+/b+/e + vRdddNEpp5zC9ZV7Hrjv5a/4nXa3Mzc3V1+tf/66Lxw6OPOc5z33ec957tDI8N69ex/etesr118P + hJ/+5KfmFxdmZmZed/7v7ps5cNtttx08eDCO43w+/73vfe/1r3/9m9/85lNPPXVhYeHuu+9eXV11 + VCMAcC3rxWIxixMHCnFfmc3F2f1WIoOIAgT0xZ9IkBACBTnQb3V1NcuSsbGxSqWytLS0uLjoDOXH + RoaVkAKtQEn5PHjSIqrAN6DTJK1WK3v27Np09Ma401lXLEbKGwdx9tTGaRUEQnmBiq2dT6JW4E96 + ASCWg+DHP74dS0GlWq2vNkdGRqJOW3hYKZddKnLFFVf87Gc/+/CHP7xhw4bbbr11/fr1WZxsGN8w + Nzc3NjYmpaxVKqVSaahS3bNr96c//enp9ZtSyN7xZ3+apunGjRudfwAiSqRisZjE8dDQkOcFc4cO + jY6ODlVrp5365PGR0fe8692CSAgRhWG1UjFJeszRW8ZHRo875liN7CmV8wOwvehQ90ELF4A9//nP + 73a7377hBt/3R0ZGdn731uV45Rvf/OYdd9yxurrqzLWSJBkZGcnn86edcdprXvOaLVu2eJ5XLpcf + fvjhfD5frVTCMLz44ou/+tWvLi8vDw0NIWK73X70KtWP67TWnSzTWpdKJTebVlZWfvCDH+zYsePO + O+8sFApjY2NX/9XVYRw++clPvv/++8dGR7UxrlfQZchCCCnlkYpXn+g4kj5ILuV1OaUDVRcWFop5 + b3p6YxhGcTd2Xklpmimv973uKoSdtu/75WJxqDbs2FmuhDDIuAbfsnbHtW4z7iMi/Xf03iaEJCJP + +YJkGHU9z88HuU6n4wS08vmC55XiOAawnpJDlarVlnvCxL09ElwTGCkhaHhkqNVqjY+PHTo4U6tU + kyj2pEck+m2sjieEiPTEdrsjMwjYMkOaZAi0uLicJAkzGsPtdruQL9k1NkFrqIBHbGRZ5gIIl99W + q1UnUOEkON02M4CP/gsI0m80KPSEBjKJzKsVhh+6c9fue3af8NTtzcaKDVMJCKyV50khmJnKdMLZ + J2arnbjZJeWM5B6dIPUQpEcnSIe/ag1dzTKyX1YQ0PNe8hxVpi50m6uN8emxA4sHVF4ikCLhnEeB + nTA2OMMcxv66hfZRiZDtw0eDAMzR0hhBuE4efnSHqOnnSC6SR2B2vCW0AE4Lls0AgUF7mPoFh5Ol + waVkl3b1YKVfvNQIj9QXwsHUPbyYDKAidGpGBsEgAVhgeozyP/fofw60WbNYMfUTRU1gqEcHBHhE + +rE2exzkJ/IXJotrIiNeQ7JicKLqhsD2P3HQXkwABpxhJ9n+9UKwjADY01B4vOrcACSEwd0B2c9m + LQP1bt8jj6d/Oi5v/TWavYzAZNBHJSQaazjTpFVOSFDGGKvcZbKILBBZCGDyQLo2Ks1m4ECKgJGN + B4C5+3BHJwsKKsqSyGjBJD1CH4EhNZnD1RAFAqBACwZRWGv/K4zsR8xlVwdxSu/AyELJMIvAwzhN + 2tx+8gtOO9jYjwCCFGdaKR+MJZRGa3APAjAcZqdbhsxBoy5BAqcGgayU0GiA2C/6KSflaunkpzy5 + dFRhPl7q2G5+pMQSUpsasirwdWaFRLCAQMw9iU1DVlhCLWwKJVn8wbdv3fWz3RvKG0YqIxHHS/NL + tmbGx8fjOF5cWfJzfq1WI6KVlZUsyX7RB+k/54+0kiT5cq0qfW9xcRGMHR4eveXWnf/2/Zu73W6h + Wty9f9+bLrtsaGTk/gcffN//+T8nn3xyGMf/ctN39uzbJ6V8ePfuJEnyxeJqu9196KGr//qvK5XK + lqOO+sIXr8sXC0mS7N67528+9IEf3/GTIJ9jZiBcWln++89+5pWvfGVmdKvT/uKXv1Qsl3K53DUf + vTYzxguC+x544N1XXXXOOecAwG0/+uE9P73XGdSMjo63Wi1EbDab3/nXfy1Xq4goPe/U00679Yc/ + rDebn/z0p2fn5xghTOKf3HXnngP7u2HYicKZ2UN/+Md/9PQzzhweHX3Buef6udzcwkKUJLPz8z1C + 7COfncyYL3zxOmttuVx+zeteu7S01Oq0j9kw9byXPP/cc8/dftz2l7zspaVKWWt9zQevPfvss8+/ + 8IK3vOUtL3zRuX/wpjcatu//wN9Mb940Oz/39ndcfvyJJ0xOrKsO1fbs21uqlD//hX9odlqnnXba + gz//eScMZ+fni+Xyt264YWFpafv27eHOnV/5yldWGo3jjz/+q1/72t333js0NHT33Xfv27cvNVqR + cJ3GRGTXaMG5MVBBcF5DRARAxjAjO7Qky7KVlS4AjNaGpqenG/Xl5ZXFlZUVAFi3bhyZpJSkvFRQ + nCRBtQCglA8y8Gu1Squ9evppp37hgx8+bWxDuLg4MbVlEilutZKVOFcobCwVlqQUYQhLi8nYUJxF + 1drYlIcbp9ePVqvNRqNaqoLF2dnZbdu2tVqtZ/zWbxXy+ZmDB7dv3x6G4fjIqABx3HHHtdvt448/ + XoHatGFjoVDYvHnzDd++8QM//sCfvPPyl7/85fv27yclLTAbq5TSSSqljKQsFYrlYmlkaHhsZLSQ + y7ebq+tGx4ZqNTA2iqL16yZnZmZ83/d9HwGSOO7EURRF1lqllGEbx7GUUnmeY+xPTEw84+yz4zi+ + 7+57ut3uQz/7eWi6Lzn3RRah0+kQYqfdrtfrQ0NDpVLpx7ffbq0dqtWWFheVlMtLS+smJpxyehAE + J5xwwoc+9CHf990rWZblS8XeGstM2L9fzIGUbCxKWG00vdHRWqXa7XZ95Z1++ukfvOZaKWUaJy9+ + 0YuyLPu3G/9/9t483LazrBN8v2lNe6097zOfc+ckNyPEmIiRQqRouqVkENDCJ+IAooVidXVrt21L + +YiiZbfaBiwHUAOWokJFFKLEgsQAGUhIKgO5Icmdzz3znvde8zf1H9/e+5ybe2/MDTfkxsqbPOs5 + 59y11/Cttdd63+/9DXc99NBDX7n3bkyIIeyY627S15d8BwnGzVClFME4juNSqdRptgZEHziwv9fp + nlpd0YjVphppmrXb7VKlagaXMeZ7LsZYS+l7XrvXyfLcaJ3lUky2fNasWu2YcJ1kWpO8xPRq8zxP + 07RarVJCkySuBD5mLAz7UmrXsUDjNM4t5hhzdUAKQGng2ky2AkjQgwEvBgFSut1sdbvdoOAzQikm + BGGttfFa1loTDQqhF7hAQjuSGbXdRAIwpEaMKee81xvU63XD/JugOWHcVZhM0nzzR4M1KCPcnGUY + Y8OgNQ5F6+vrpvSHMakmz3Pbtic3/eSwJ5XbuXbxP05gjTFnPvOag60nHnjiNd/9Xb1+a2FqLski + rYQkONcJVzIoeje84YZaIVBCMEYUMgyIbR86hYAiepYuzAg/psYgKASj74uO8hjbyPMdsOHk+vGp + 2UZz0K7WK8MkJppiIFghLZVp+wFCCibto1HWjcf2RwCjlsJOMJvBxQk8qp2Y2u6lmGOQWCtDb9GA + QUk8JjmNKrHR7qRJ8o1HrRqdAjpNC2zsq3rWeNamBjJ9Fbnd5MF6XPZgQAoDAaIUACZaUTV+8sCo + f6Im5zI+YBMGZYbOyFbQM/ozGk9U78zNgLSiWsKY/AOT6mvM9Zoc5ORzoysy2qyhemHzvxxfcAAA + tA08POuDYDJO8rRjHuMLNWiE1Y7TnPS+th9Pp4MJL4ZAGqTIAUAKiZBmlgEhCgFS8AxpkBITpBXS + imipkUZANZkIco5g3jv8YU32Zn7VWgNWsYgBKY0UAY0FwthMnWEgBAHBGGNEFUiDrjfOpM+9RBrd + YGc9tbFYDqaoM+hU/bpT8QetQXm2+PaffhvDhBJLK6WFtpklpSJj7p+GUccWtglkkwIJGaYiAHCZ + aywzmSsqpea5zphndWg/zEOwEXVpmIVRHNu2XfA8nmY84wYVgjECjAVoBVprZQNTqa7OVB57+NG5 + xnx3bUBde6Y2SwSLh+Fytjy3MEtdtrK+Uq2W6/UppFC/2z/TBwlj/Jz9kbDnebOzs6dOner0egp0 + NAxn5+eyJO30O51On2FSrlbuue/ef7j9877vG5G3Vqt1991353k+AUd88pOfdF234LqWZSVJYlgi + t956q1LKcI0cx1FKtVqtX/zFXyyXy0mS1Gq1jY2NSqXyB3/0R8VikXM+Pz9/7Nixw0ePGHejYrFY + qlbSNG02m4b7rrjIsuxTn/pUGIbGRzGKorm5uS/eeQcAaIy00EeOHoWjR7XWtuMI0I8devzJw0+b + OsGmDFNy80c+7HmeyZryPNcY2batAfI895j9iU98YjgcBkGQpmmapp5fOLG8bFnWn3/yk71erz7V + SLIMU5Jx/pm/+7s//tM/XVpYyLLsD/7gD4wpZbfbXVhYePrI4SRLn3zyyaWlpdn5uY2NDdu2P33r + rZubm0KIj3zkI4aKPDMz8/Wvf/3pp5/udDpmlvbYsWO33357vV7vdrsf+o1ftz3XNkrZ4w6SlJKO + PBJG03xm5l1opZQimGCMFUCe5wqkwaRZlkUp7nQ6mov5+flarWbm1nu93vrqmkkwMq1DLbmGYrHU + FwMlcKfX7kXDK6+47N677jywd68XKw9gYbpaGIQ4077tClCtQZd7TgFpsBlXvNKoHj5xfHVjfdjr + d1bX0jA6ialSYOg0cRwfPnz4jW984/T09OOPPw4ABFAn6cRxvLGx0W63OfCTJ09edeWVJ48dv/nm + mwljv/d7v/e2H3jHyvpau922HNv3Co7jhP2B1lpwvrq62uv11tbWHMtOwmh6aooAwhqWl0/VarX1 + 1bWlhcXhcKi1BqkKjptzXg6KWkghBMVE4dF4aq2Hw+HGxsav/MqvNJvNoh9QSnfv3s0Iq1Qqj3z9 + sd27d6dpqrXes2dPlmVm/I11lZEwcV1XCMEY29zc/LVf+7VHHnlkeXnZdd1erzczM2OENCZ55sTn + DZTO8xxpMCsYQ8vV1dWlpaX7779/amqKEBLHcRiGvV7vjnvuMJpeBhdqAiH0L6SDNCnxtdYI4bm5 + ue9/y1uzJCp4Vq1WTZI0SmIuEXPsJMkJYxkXRihDCOE5dpYknU5ndnb2U7d+emVlxZSMO4uinW+F + UTaATk8vTg8DsZNa0bHxQpjEtm2/7nWvu/zgwWJQ6fV6PBMWcxBioIlWdIRbQRIQB5QDkhopRImU + Eint+/7G2ubjj339wQceSKLIKEo9Y/8veDbwjO3v5CRocG0vSTKvVLCZgzQmiDqWqwx5zrzUJ5pd + FwjZZnZuGGIY40qlUigUDJPVvKLMHIMpmyeCFgageMEbWSYuMlDPeYYmTFhiAAEpnXzy1IlvnCjP + lzHLExFqLTXiAmVS876yUQnlrkjiAbWIRkYxa0RTGZFV1Cgh37mUSEmsNRoZ4eER3A4AANuYWvTw + 1mFm08Zs4+mTR6ZmGhhTQhgGjCXCEmGFEWCNkdAIsNJoRNchY+0DBIDViMlnnmfb/QQYib9JDBht + g8cU0qbfopDSYHwsQY+Mj0bJuymupNnjuHQhWgFgosZ0l9Mv/CjV21EHjv9hLAUxOjxT3W13XahW + dPzvO75bGBRopJAEACAaEY2JAgDIiZKGJn9GDbMTSocAMCilMVXbxzNuK40OSQGedN6QBqIwAsU0 + gJGnw9tbVmZYxoc3VsJQACDHAnp6JDJh+F9EApYIS6wAFIHRAaPt3Hjn0G1XTGrEUpv8YTTgAKCQ + Moa8k56VaVEZZQuFtp/PF0+NhDUUqa0klzlHSDPHRRingnOlfWohpQkAKCW1kFIJUBoRLJE1Yofj + 0fNebwsr7QQJa60lKMEQIZQA1iCVEEorBARjkmQCQGBNKcJaCYSAYaaR1Ao0XACJGpNJKC0VxoA1 + B2GDlkx2VMcpO8N4QBEhBDPHkjhP03y8x5Fy93b3EiEMgDRGCmNt1BMRACAbaQqKqEzlgKVmeChj + BAn2Ked8kA0yLojFjCknxoQghca+tDBSENEEYaSxy+jjDz8W9sKZYF4w2e/0A1KcqtV7hK5trnW7 + TrlR6cf9wWBACKmUaxjwmT5I5/JHKheLeZ73en3IlMMAAAAgAElEQVSe5eVqhTA66IdK6yAIlnbt + 6nb7XIqqXesN+nEYlcvlXbt3dzsd1y8IIQrFwA+ClZPLGoHjOp1eFyEklJRcaK2N8niv0zH+S9Vq + VSllUOVm8BFCeZ63Wq1Go2HAFN1ut1gud3q9gwcPHjp0SCPwi0GcJgsLC4yxOEsdxzGnYNn21PT0 + cDDottrVanUYhUqp+cWF+++/f9euXRnPnzr8dLFYBII9zyOURklslKsopYzQldXVIAjq9XqWpsyx + bc994qknS6WS7/uMYJNragCMUMbzOIwsyyKMqTQtlstKqW6/hwgOSkXebq1vbU7XG5utZp7nlmXN + zc2FcWwaC4wxTGmpUsmFmFtYGITh1MxMs92emZnBlHZ6vTqljuPUpxqHDh06ePDgiRMnoiTmUoTd + qFavmTRsMBh85Z679+zZU59qnDx5slQtA4CUCgBMQmyEi03fIMsy27ZNsRcmsYEsmu8axlhr0BoZ + wJFlUaVUr9fRWk41GtVq1agacsWllErqRMoYA2YUe5ZDA4eCQHJuca4/7JUqxZlaHZ48RSk+2Vnr + hpGPSbkYAJeCS1qgLLCgYPn10m/81v/zrp/96cU9i7d//u+n/KAXxvXpGcv1tra2pJT79u07cuRI + rVY7fvx4s9msVquHDh3a3Nx8wxve8NE//KObbrrp/vvv39zYePTRR7//bW/L08zCeGlpiVKaJEmj + 0UiyNIyjLMtElvu+b1kWs608z6+77rokigeDAQAMBgPPcSvlcjEIHNse9PtKayM9J4Rot9u+72ut + DajHsiwuRZZlBsfYbDbTNJ2fn0/jJM/zp59+mgHL83xmZmY4HIZhaMqYPM/X19f37t1r2IAGYmrb + drlcbrfblUrlLW9+y8/++581j52JHqxxWzEPIhiLkiOEGGOM0CzLKpWK1nplZeWNb3zj937P9/7E + +3+i1Wq96lWvevDBB00h8F03ftc/femufr9v7gGMMaEGHTNSM/8mn5DPL77ZAmkbCmJZWimktGc7 + jmXXKtWDBw8++MADq8vrzY1moRA02+1hFAelkgIQXFGLSSk9z+t3e37gKSEppZceuGT/nr3tdjtN + U8qYmVfApug6Y49gZnxNIjQC2Bg68uiNbkpnx3EwQpzzOI6na7U3/Zs3P/bIo8cOH2dWAaSV5wmG + ACNXCo2AAFIAAqEUcIRICogLlTGL5Gn2zne+8+3fd2BjY+NH3vXDACBBaaSVVhohggErgDNSjbPG + zoT1QsSoPYAQEMKiqFOr1YxoIwAYtDTaoaZt3ugT47CzHt75hm3bSkjHc8vFUpKlWxubXIqiH4Rx + 5Fi2ZVlxGGFKCq4XxzGxMSg9AmudJrP1vM7+X1ZgDQiTcBAWGn53feuLt33x7T/25o1TrWDBLxHI + sAKEJZK5joiFcwsykXMLK1NOIAN6QmM2/xndCo000gqL0b1naqTxD1oppFBpNpBSbvU3l3YtChDN + TtP1fNMr1wAIEYSRBpBKaIQmPVuFFVEThNhpDYlJh2H03TytZWS+qmq7E4VgpBOgR79O2hcKANC2 + yAEZs23QWDRve7Xx1tCoIYx33FtookNgALo7Ne4AtsuM8TpYI8PxG0nQkdHDR6MJSg0AYKQdB2OV + vNPxdaMnktKj3U7AeeO22+hTpoKadN5MN1sZve3R1D5sL3e0aExtOt7+6J8kwmY8FYDEGJACZIob + DaA00hIrcvZHljKiDoCUHrO/Jj3I7Xpgstjp+TG63OOmCjLi42fpZL4oSwCQQliEEqqUUkhJLnIp + FaGEID3CIY6qakDEuFEjBFoZsrvWZsYaY2QwbaMZwXGxpJFCWudCSZ4jDZgAwUxroYV0PVcKjRBG + SnOlQGoyJnspAIKQWUqtn/34x0W4wnpSaCuDRnVsO0pCjEhQLkWDYZqmlm0xx+pHXcu3qc3CYegw + mUiFPOMYOa6LDKp8B7LUPB/wmIMEAApkJjLPdRMe5yLzvUAz1e8Ny26VYEtp7TiWR71+0k2zDLTy + HHs0PFIrpQEBxdjWlpU7FVr64z//+GAzlHrt0t2XrT69trq6CrPIC/x5On9qYyXmya6FXVvtra2t + LarZWX2QDAfpzL/PTE090x8J0SzLjE4X51txmlTLtTAeGrnwreamzaw0Tfv9fr1SXV9fr9VqAKCU + 8jzPKK+mKvE8b319fXZ21oD/FxcXm80mAJiywWQaWZYVi0Vj1jccDovFolKKC1GpVJ566qlisViq + lE+cOFGv1w0T3bKskh8sr65UKhUxHK6trgZBsLi42Ov1isViGIbHjh27+uqrW61WGIaLi4sIIWNM + r8dzIoQQLVW7115cXNRaN7e2HMfhnA+Hw8W5eYWAp5nGiGGiEEgpldZKKlNy9Ho9A8cqVcqiLXzf + P378+N69ezdbzTRNZ2ZmBt2eQcUDwPT0tBAiDEOMsRnbNE3DMJydnZVSdrvdWq3WarWiKJJara6u + Xnrppc1m06DmtNbFYtHIWEspXdddXFw0Xk/T09Oc5wghhcc+P4TwPDeEdqP7ZcQGCCFpmmLjry2E + QmBZFmAkpZSSK6WSRBQKLgcUx2mvO/A8j3NJCFFCaqUIobZNESUKYa1lAhED6paCt779bX/1l5+8 + 78EHD1Smvvfq67q+c9TC1ZpPc54Pu1xJb2Y6LZeWw2774a+tE1xqNBy3cOzQIVAIABcKQRjGUbuz + MD+/srr64z/+49/zutcVLO93b745CsPXvva1u/bs/pmf+Znbb7v9R9/1o+ub6z/x7vdMz891h4NU + 8n/4b/84U587tnnit377t6WU/eEAACZ6V0pK0xMWiv9/N//u//SvX3/5NVcJ0MVi0Ox1vLK/vLEW + BAWpTbcNlWtlZrHDxw+vbqwLrSzHzvMcASaEAOemO7d///4//fjHsyQhhHzoQx/aWFvPId+3b1/z + aw+U/OCqy69YXV2llNbKlZIf/Ksbv+sHf+idRgHluuuue/e7393pdNIsu+7bv/3hRx+54847K5WK + lNL1C91uN5dip7+lgtHct1ZaaizzzLaszebW27//bX/1qb9+5TWv+KvP/vXXvva1K6++6oMf/OD6 + 5ka72brmla9otVt///d/v9MBdVJxPaNZ8q2M8y6Q8DbM3/wKYLIvLhmmSCPNlcKq5BdPHD3+mVs/ + 8x9+5n81ndwTvZV7775HgS4Wi61Wq16vK6Usi950001xHL/61a/++Z//uW+75po4jLIsM48hjDFI + RQAhjRBCWZZ9xw03/NL/9YsLc/OfufVv7rrrrgfuvc8m9D3vec9b3vrWqcbs7/zhzV+69+5DTz1p + u07OOQC4jq201FohhCxC8iStBMXbb/scYPShX//dL/zjw+srw0v2v+rjt9w6HCaMWAwTBHkct1wv + /qX/+BP33n/7m978v9zy8Y9trK3ecNUNV+67fK4+Ew1Cz3MkCGwjSukwjgTXSkqHWb5f7PQGjNlK + a8dx4jg2yh6GSmgUWjRGoLQ0tITzrYuR3i7Dtttno3Qky3i5XB0MQhij6Yxc5uTemqBBnqU6Opcq + IDqH3xEGiIbhdL1Rm2r0O91Wt0MRRpTxNKOYaCG5Ug6zFAKZc4tQLeQ4T35OSnov7Y7QeYbCUqpI + kswhXj7gjz74xP4rLznwyn1JJypNNzaHW5ThomMnYVQq1ZrdZqkcZCLTMLrGaCzZDGdCUidMJADY + UTGYwgMAjG68UhIQWK4V8RAACoEH5kFnPqXH9kEE9IQhPs7RR0g7OG0XagfSDANQBWTcV5m0g/To + X5XS2w2NZ+C+MIBSmKDTlBg0UhKfSx9lbNF07mmLs97qO9ffIX8Hevt0RydlUH2msIHTC1KjsDf5 + edT2IWY7O7aJnnkMekfHRmow0nzPONpJQ+YZnRk8Xh+P68+RpgVgjTgGTE0HZMTdUs84wdMOY1SJ + KeMlYLY8pnduf8R02XYexvhnBTvKplE/DV78pTllpXLAABgJLRUGjLFGOpf56IwMng6B1go0INAS + JGBAlimvpQK5LR86KfjHS6QVASCUbd/ACAECmWdmBQ1ACAABU/qOtElAawD5HMZqVISbazcS6VYm + j0g5J5QpQBlPmcMAQEAu8hwzLICHOQcbcp2N3/mn3c87Lv2OW0Lj7aIJANkq0aFmijGSyhhAl7wi + 5Q5WlkJKaZGImGGmkASEEuCMsXAQ+V4QUKfb71PMAuzZoft3f/k5K/SiLYEt3vOGjZnpxIuPrxxb + WloqVUqsS7vdbqVaLRXKqqy73R7P+MLCguTi1PIpRujM1DRBeHN9Q0tVLpdLQbHb7hRcr+gHoHQY + Rghhx3Esy+p2u2mcNBoNBKrT6Qiezc/NKNDrqxsYaYKBEJKDRlpqgQLPy7O0WChoJbXWUigAwAjl + aYYx5VyWy9U4jhHGGul+OLBcGwDCJAIAIEiBVloKLoEgroRTcHPJAQBh4CL3Ci6A7ve6lXJJCg4A + SioCMBz0y0EQD4cEoFgogFJxFFqMZmnCKGGU9LodSjD1XMFzAKAEawCQ0jbGJ1IigFKhkMcxABQc + BwAIY1oICaAn62uFNFAzqU8JAGR5ShkJmA8A4XBQLhWTOKpWyr1uxyJEKxkNBpRgIDhJY0DARQ4A + tmMBABe54ZAXS0EUh5ggTGicRF7BBQAKmBLc63YIRgRTJQWjRApOMAKtCEaC52a+VkmlpNBaI4wn + ACSMkJG1MNaOoHW/1/M8z7FtBFCr1ZrNZhAEXMk4jqnFMMZmdoIxO45ThzJKrTwXSdIFwEGhPFWZ + 6nRawyylloe07Lbb1UIhjgfM9vZceuB/fvOb//TP/wuWetA7+dSxlWt/8t09JROpqVIGqb5JIGJk + aNF7Dz3CStWrvv36qy+/prm6BRyExhJTatHAtfuDQala+ejHPnbr3/1t4BUk6HgYzszOrqyulkql + t9/0g8atK00SANgYdt/z/vct7dolQR8/eaLValFK/YKvlOp1uoFXsBirlSvLy8ug9N5LD5xcXfnt + 37/Z9/1fv/n/VVzsuepSLvKpvUtJGhdcD2vgnH/j+OGvffihw0ePXv9d3ykwENuKw2EQBHEc+8Ug + SZJHHnv0N37zP01PT/c63aeeemplbY1zfv2NryIIgdJf+Mcv2GCHPAxYEMmIEfbWt701TbOlpSVE + SLvd3rtv/8mTJ7FlnVpb/cUP/FJ9espA8phtgdKWxM9QzZ2gkJVUruuGg8E/fuELlUrF8bxP/G// + 4aGHHwaMDh89+lPv+3ev/LZvW5yfv/PLX3rwgQeGUeR6zujxNFYfMA5Az+7088LFN9VBOo3ijBAo + PfKBHgy11tPT03v37N+zZ18cJh/53Zt7w0GtWI3ShAg0VZ0CLl7z3a/5juuv+8Kddxy85FIt5K9+ + 4Jc3O61apeq7XmqU6xAyemtCCAQwOzPzJx/56H/+6H/+u1v/5qd+6qf+7//zF975jh/43te/4f3v + fd8P3vTOOEs/+Ju/wWxrc2trs9OqVqtxkmRZxjkHpV3XBUoBQArl+6Vf/dCvVip7ttbvv+O/feOB + r5B4sIsCI5KkcUoxrwbzzfZjInePH1657MAV/8f//gu/8HM/Vy/VPeae2FiXXOR5jpBO8yTsR8y2 + SgVfCZknabfbxsTGGA/6fYyx7/utVsvzPDPJBOZVpA1HwmQceiKa/FzjWWCFFyjOCopHGBFG0yxL + stR1Xc8vcM7TPMNSL8zOFQqFYa8fxzFIlUvpOI5QmsIIwWVm6rXpjL8sW/esQRgpYA+EbgRTGysn + /+HW229q3HTlDQcfefrhmT1T/biHqXZcq591FZGpyNAkiRnjJwFMOrNznMe5lMZIPzfymX62tSY5 + 8fn6WD/b+hobze5zfha2cWvPIUbb2cmKOa8PwlmwYWfZEtFnH6sL4/Ctz34w59z4aAxPizFYUBHY + 2afFO5ZnbmfH3ncuz4hnOc0X2uP8+cZpJ4Inlc3p62D9fHvaZ7sEFzLOvBDPeoGeNcZw0+0i/LRp + lFHp9YzP6HEbEgBpisdF2ugmQ8pQsDTgLM9ELmmBIIFwDI5joQziteiJB55sb/Uqdpkhe2u9WavU + PcfZf+DA6spyv9+99MAlzWbz6aeemptdmJ2ea6KNsD/YXFufm53VQq4un8IaZhpTSOlBr58n6a6F + xV6vt7p8ampqaqYx1Ww2O81Wo9FgjFGEEaB4GBYKhdz18jzvtTuFQqFWLvX7kEYhxthzPMPTAK0B + EdBaaS2lJJjumL2c5Ht4x2/fbJzeDz6/T53v9p/fp741gcY+SBPMqqGOG4wiISRJkqmpqZmZGUOJ + ybIMM2rbtlCj1HnccECgsR4J/2CCGWPMtexyuTrsbMRxXK7XhM+fOPT1P/vELUU38Dxv9949SZJx + zh3CKCDJBSFUKa2UUqAUaEkAU+JQmKeO7XnWysaJY8dOHj9BAGmtpVY8z0ac6gyyLGv3ujDO6bXW + mNEoTVbW1zDGcZokaeL7PsZokMZPHj0MADnntuNMJKwCr+C67qDT3dzcJAinaTq/uLC0e5cRLaCU + Aug8z7MssyzLsmi5VNpc33Bd1yK00ag5pWDfwYOfve1znV43KBWjKMIEU0rjOG42m+vr60Yg2kij + jYj9GGNAr33ta9M0dayRLDi1GADcfffdcRwTxkqVshAiTGKlVKfXBQCllEYw8SwljMJZHhUAANRi + aZoqgCNHjvzW7/yOYfQhhHIpAWB5ZWWz2WSM5XkexzEAOI5jRm/igzRSC3+pdJDOFWYKwCjZI0pT + nud5XiqVrn3FK/Mkb21tKtC+V/BsByNtO044HL71TW++4vLLfvmXf/k7v/2GA3v3ffQP/3D3pfuN + bQ6mhBCitN7+5gAUi8VTG6ceeuih9fX1fr9/+PDhwXC4sGvp03976+NPHKo06nfeeef+Sw8kSVKp + VDqdDmXMdV2MMc9yjDEQwpjFua5VZwnyoxD/6Lvee+ro3zfXqp314aWXXJ0neae9PjfnUbZ55MiD + r371q2YXSblW3r93au/evZZrK6UopeVy2RgRWJZVcSzLsTtbzVqlum/3nn5/MDO3dOTIMYRxFEWm + QQ8AZlgARuRcM2IT5YmLNJE4PfQ5/I788/Q7ekmc7IsVSCMKVGvd2epUF8pT1cWNJ07c9fkvFyvF + vXN7kzwGjkQuNFGu4wFFkksbbKIm32I1GfNneBNN4uIhhDy/OFeH81xxvud73pnBeSamF5vy9Zl3 + yD8XL46a0IUKdJ7n+8J/Xc53PF/Q66XUBOb6HEIDSMIlyjRSCmmN1agnrcFhlkwFJT5NqcrUvsY+ + n/r//Z5HPvrBP44301qlQbHFgHLOeZzmCoqVasEpDPrdqD+oV6ppFGdR3G93GpWqQ9nq6ioCmJ+b + A63brZZWqlIuY4RarVav2y2VSnEUDQcDgnHg+wTjKAwBoF6rCSGazaZZ3wDtkjgOgqBcKnWVmuRh + o9MZM9phnM+cOT5an6F8/3J8E6F3GN2Y8TcFkuM4pVLJdV1jYLqxsbG4uKiUmp2d7XQ6Kc9NXWTK + hjzPYZxQmctmNiWllKDdglNRtWa/m+eCYbayvPq3n/ksT3KlVGfQ1xqVy2WkdDKMKMYF28IKkJZa + S4VAYZ1jJAmRCDNmR8Mw8PxBr08QEApewc7FGJ49ns03kaapZVkGbmOUzY3YwEQ5LAxDAHBd1zB/ + RrmTUABg6DqtYXjLLbeEcawApBJGI4ExJoRIksjzvDSK8zyvVkp5mh04cKDX64Vx0gsjr+ALITDG + xWKx0+kIIVzXNfDIcZUFAEAIcSw7HAxc191sbs3OznbbHeOGZOy5PL8wkoVME9/3TV4Xx/FEhB2U + BgQII3Tu56RSKhfc8wu2bQ+HwyiJbdvmUtiuYzhmUa+rtfY8z/MLWut0nEPCmN6pDGX9HNt/oV+n + F7JAkloRRimlaZqa600IYUAs1y0UCgDQbDZLpRJXvN1u12o1SkiSJHt27W61WkkUK6WKfrBv375h + EhGLaa1zzicmygRjKeWJ5ZM333xzySlK4J/+609dc8011157bb1ev+zgwShNrr/+ejco7N69O8mz + paUl46aktdZSeZ6Xx4kWamF+yQ/KG1vdyy/di3IoOHOPnGzPTV2VDH2eZp61lEaDKIsI83bthvrM + 9d3eVhjpV3zbNQihOEvr9box+bEce25uLuV5rVGbn50TeR4mcRzHr3zlK/v94cnlZVMdMcYM0G5U + BO8ErL9INfGzxLNknwaVd6bfUSl42e/oQoYSSGtgYOcDWWnU0yB77KtfB6x/+CdvopZddisxJGE6 + IExaxBJSohzQiFmmwdiqAChk5u+1gcQiPQLGGkbBS7dGMuf1DDmKZ1maeB7nO2EJXvDlxTdD8NIu + eM4/DMPoOV+viy5ewOulECZaPfddSCI4ySQWEzVLAMAKY42TfkQFq3pVWzlhGIXDaK21+sRXvyGH + YrY+61guTyXDVEiRDOM8TFGmZhtTgescP3J0bm7uwP79K6fW1lfXKMzWq1WV8ZPLyx6zD+zdd/Tw + ka31DapRo1pzqXXy1LLOxd5du7c2NttbzUatPl1vrJ5ayQW3EMEEAsdLwshjtu95REF/OBBJVih4 + pATDKBxGmUkcMcZSjvRdKaUjcc9RsWRgy2Nw8Mtx4cLkFRMBfQAwZYCpZsMwpJQa+lO322WMFUul + LMtUDJNJ2Amz2mRWEym8LMuiKBoMh9Sm9XpdM7KxtYkBOZQNe8MoDCvVeq0+JUFblsW5YErbjJk+ + qNZ4RKZFmiJEMJIKBM+rpTLBuDA3hRDq97ucc8IsqUcdMHMiGGPGmOu6cRxPCFSm6jOlkVk5KPhg + ILVSSqVG4hNSx3GMACxCa7Xa+uYGlwphoJRSxrI8D+MhADBC0zRlDgOkiuXS4vzC2tra/ksOLC+v + pEJ2u93p6WmjWWLbdr/fL5VKhgNmiBJaa5OnSa0UAOe8Wq2maVqqlH0p8zyv1KoIIS4lIqQ+1cAY + R2liLIMVqFHhCUaUc1TWnksV2RyD2an5wTBoMMZG1tj3fVNSGttSrdXk+ziZpHgRU+ULViBNbm4F + 2hTHhWJgWdYwGlqYDHo9z3MKru17DuckJTFPkyyOykFRccEw4Wm2e2kpz/OVlZXDx46a7oQRRAcA + hBDP8/n5+ddc/5oP/uav3P3lr7zvfe+7/jtu+P3f//2bP/Lhj370o+9973vXW1uXXX7wi1/6p06n + gxntDwfb/j9Ku66bxwlIvby6cu89X73ph38yS6Feg0E/KRXr1VK9uRlblletVldW14gNlxy4rN+D + //rZW7/vTf86jLpJmnIpAEBqNQiHSZL0er12ux2lSX84kFzMTc9oDYcPH/2Lv/iL/fsvMUWdkek0 + 3EQz4XGhRvtFibP7HXHRHYz9jmxLmxaT8RgcnzBCI8CXful3MF7gwFoCAjJTm20NW5vHtwq1Egh4 + 8oHDfwF//Ya3vb4yH1SKHqV0deNUdbo6fohIw4cYOwht+/eA4XNP2CYIiDrvJsxFFYa3g+E5LQFG + 5qrnGy+cVMDLcTHEy9frnHE2WN25QiMtSS4IN78SNULwUoV9p+Ao1wc/6+XT1pSl7eVjy8Plwb95 + /ZuSbiq5opRRZGkJJT/gPFNS1moVy6LLc/NS6rnZ+bnpuXAwjKJhrVa76uAVq+tr4WA4Oz2zNLfQ + 7feSKC5VytVyZe/6WjQMF3ctXbb/klannSWpXwwWZ+c1gjzNEMHB5f4wCnmWO57LCE3zDJS2HBtp + iNMkysREldgkZEaNfaxsdFpyhp5trvzleD5hqposywqFgoF+mU7L/Px8wfdn5+ZWVlaKxWK1Vkuz + LIpjIaXjOMRiSZIkWWqYMI7jmNJohMgaN3PSPBtEIROU+oVisRjHqeSCISyFmJtdiLIsiiKhFaIE + AcFap2nsMIZAKZDmjYkNlVUCASKyLAcURRGlmFAUhsMgKDLL4mNIjRHsNYoIADDhaZu2z0jPRUiF + FKaU2BYA5IJP1F8AwCCeojDsh9FUvWFZ1v49u7eazX6/r7SwbItYRAiBQCEEhFGt9dzinNbq3i9/ + CREol6t2f6D1wJDPCSGFQiEMQ1MdmSLESAWau11KWa5WeJYDxutra0Y9fzDo1xoNzjmXQimFJJ5M + cHMhGCWmZzT54zl6raMQWhFC0iThMXddV2ttwJNCKy64hREGnfGcc04ppbaFxlYKO81pzK8v/M14 + lriQPkiEEIMrm5qZtm17a2urP+gWCgUCEGdxLvOZmZl2t0sIKdeqSRJFaYIJjdJkEIXUtkqVSj6e + DAcAKWXOeZqm5r5nlDYajYeffPhPP37LJfsP3Hbbbdddd51Q8tATT/zYj//44u5d113/7c1m8777 + 7jt27JjtuVwKI3SIMRY5lzkXQjjUopReec3VMzNTw7780h3d9c0jpepVh4/cXy5NK0xXNjrUHjAn + f/ypR+5/4NTd9371R979NscnX7jzi5cdPGA5rNXa6vR73W6/GJTDMCYWy/O8ubl1YO++q6680iLW + /V97aGFhyfd90440tfJODcSdNTFCz0mo4FsZ54IAoXP4HW2cp9/R/2CyC+cbihCKMclS7hBXa61i + 5dg+T9NH734Ma7jihsv3v2JfbWYqL2RY4TzPEYDEI60hhEbuNpMJXT3i4xv+m8IAGpGX9AXQYyI7 + wD+/RPp53m3yPJb6vNa/+OJ8R+gie2Cdd5iEB57j8oWP8x3PF/Z6nRcEVGKhkYRxaxppTBSiklLJ + ZKKEkNgieSdPEb/qymtf8Y5rv+87m4tTC1gzkBppjBTJ85wgAqAJwlLxLEuq9QqlVrPZZIxVKpUs + S3q9HgY0Oz2X5snG1ma5WPKLAc/y3qCvpZqZmRWCr29uBAU/KBXN313bIYwae+w8zSzHtiiLkhgD + cjxXS5VkKdLAbAsxK+MiTVOT2lJKQePJjPiZid/LSIgLHoZlVCgUOOdGdFdrHQTBPffcI4RYWFgw + rRhCSLvdNiUERdjMxZt8w/yrSR0xxpSQ7fEFNFQAACAASURBVK4g0kqJ1Y31xtTUwtx8GsfdjXY0 + DH0/yPMcUeI4bi7UqNWDkBC5QsYATGMApBRRmGhghDqWiy2GKWGMACilJMaIMYbIqMwbkdkATKfI + 9I6MP5WZMacIU9sGACllGsUKgTECHTEXpOKKM8YM8ijjebfb7Q0Hlm07rkUIyYWQUgJSGiGs9HA4 + jKOhMUoGCRsbG7XG9PLy8q7de4wBJkKIc+77fpIkAIAQsizLIBKNNr1lWb1eTynlOM7C0mIcRhrB + 7Px8lMRpnhUKBYRQHMeZ4J7nAUJRFCkpTL5njnlyvue6uKbOMTrjkx6aOTyzkTzPzYS7Ga4kDHeS + UOCfK8Be6LhgBZLUWgEo0IARsy1mW1yKdqezvH7StqyFPYuDwUBT6MeDYrHIQXBQwMggi4q1il8p + rbe2/uCPP/bTP/v+QThM03QC0JoQ+NIsW1ldrU01rr322sNPPX3jjTdutZoZ5zahjx16/KsPfW0Q + Rze+5l994xvfaDQawziamZlJkiRPM40UAWSU9RUAYfDV+7/ykd/7nf/4gQ/87Pt/d3HvQS06bpFS + 0hZCdDobu5bqSSpX2ujwsaeXlnZ/7aFHsnzQ7naIxTAiGc8ty1IAYRiGYYgZLZXLu3bt6XR6jz36 + eLFYfMc73nHkyLEoimBcDuV5PpJkAQC0XSONEJYvnQfuBfE7egmd77c+MIAmUoKOwqhQDBqlqfag + xSNeKAY2th688793Wr3N1c2rv/Pq0nQJCRB5F7lIIgHjHtEO5d8dv45+1kgDUuQljam6+BgzL/U2 + wwvNgbnY4mK7/V/oO/r8tq/Oa/tIIU2oJMY0iWiMFWHCopJ5tGAhJ+2Kpx47dtfnvrx2fMOlro0t + CowASeOMEFYsBGmaRmFSLPkEIaVFv9+dW5ifm5vb2NgY9EM/8BqNWrfbPXVyeWpmenF+YXV9rd/t + BaXiVL3RHw7WVlYb01MzU9Mra6vhYFiqlGuV6iAcRsMQMJqZmuZSrK+uOZ47Oz0TxlEcRoTRguul + eZYlqeXYjufngsdxHEWRUmBZ1ihbPe1FtfPnl/oEwcUVCCHGWBiGpoNk2NpGDdyk73meGx+kNE09 + z8MYR1EEBFNKXeoaqJGaQNQMo2mce2BCAGOEUb/Xd13XJpbNnFJQysIkS3LH8XKsB0li6PEEcBiG + yMIag8IYYY00UAMZVTpJcmqxQa+vMLJdi1HseC4oGA6H5lbB4wAAKRUBZDFLa53zHGvAmJimCyVE + b+MCASFEEMYYU0wkllmcRFGEEapUKhThhYWFMAkxJYbejxFilmXYVYBUtVqemqq32u2rrr46jKLX + fvdrvv71x+fn51tbTYyxRRnSgAFlSSq5IIQkaWJIEJRSgkY90iAIgOBer6cQYIy4knGaJHlmu04/ + HBrTXkII55xQGvh+PBwipJHSxurAqKziM+RYJ2F8ZgEgTdM4jimlhULBdV0zABOFOlOJSSkJwQgQ + HjcCAWBCUTtz498CONIFK5BMLWiadE888cQVV1xx1TVX33bbbZ/+278JgmDfJQeiNJE5LzVqs1PT + a5sbFqH/dM9XvvhPd4JF777v3vsffmhpz+5U8HK14rqumRUANPJXFkI4jrO6uvq5z33u039x61Zv + Qwn5nve8J8lSYNav/OoHX/f61zNm/cS/e++xY8cAoXK53Ol0AMCijFIqstzQolzHyXl607ve+fjj + T/zwj71n38GDWiTLJx9bPHBJt3sKAHZdUh4MTzHa/dH3vOnIsa+nYuvjf3ZLxgdXXnPl3MKsACFB + FoKC7/tpmpZKFWKRYRjGCIX9QRLF0/Xpdve4sRcQQti2bYq9yTV+BvXo4mQinStMFeS6bqlUStPU + eGYHQRBFkW3blmWZTq7neYbJN9FyeJmA9BxDIZ0B1xrcoiMUb7ebiGDf9kHocDCsBvWVQ+vryxsy + hX2X79p/xQEPhIBcY23KHwl6bKqDsQaFxv5I2/HyhXg5Xo6X48IEVpQohow2psZEIaIoVtQSTKYo + HCaf/JO/euyeRxrFmWpQRxy5theFISG4WKqEYdTu9iuViuP5aRqnaUoINGbnMs5X1taKxaJEOIwi + 3epMTdct1zlx4gRmdHpuFggOw7AbDirViusXTp06pTFa2LXU6XS63S4a9ovFosZIShlmCQDUZ6fj + OG71u0EQQJYO48gL/MArcSWHSYyYZXBQcRxznmutGWNaa2NdupM9O35Tv2Te1y+JMFlynudmCtvU + SyZd3tramp+fN809ACgUCkqpXq/HOS9VK8VikUthGjVGpAHG2gxKSgAYKXAg0BghjPNMrK2s10uV + +ZlZAqjd62cZzylyXRchxLNEclWw7aHINIAGjEBTY/anFAhkEVYolHJAdsHJRR4loWdbWIPv+1Jo + AxGa6K2ZyWIzX2xwblrrOI55nitMTPPEc11AKMmzNE0n8leU0iAIBOec81anOz09zTnHWhGEAUAJ + KYQgCDAlSuhOp2NSsuPHj5fL5WPHjrVarTjmnucZirhSqtvtVioVU10EQbCTEy6lzAXXWuepKJVK + g8HAsiyCSZQmhUIhSRLbtm3bRmP3LSFEBuA5jhBCCiHRNjDVJLdnrZHk2NAJIWScwSYsrEmdaESe + EUKu61oWm0y1w7iLcC5+yphr/QLGBSiQTAZmzFhzIdI8rzUaK2trX33ggR/+kXclUTQ/Pz+zMGca + 3NRioLRQEgNWoD3HfeV33hCHUSHwCcInVk8Z9lie567rSqVMh87wXqSUf/Znf/b5z3+eUjro9owE + JGL0Tz7x8Y//+X9pddomL885D8PQoszwwKSUJT8YDAac88WFhS/ffdeu3UtXXn1pzpVSBaQ9ISwA + gtAMgDG5n8MaAEmEGhpnCmeux+L+8BtPf+Pk8WMnl49blhUlsesXlFJ5LDCmBGGl1HAYDQZHJ7K6 + RrtwUieMW/bbvSOAF5N8dq5A5/A7AoAwDBuNRqPR6Ha7nU7HkBEN5c48jMxqBk460cV/uTp67qGR + kkQBqFxLhDG1CABWQoNQASmKTFZsi+f8S3/z5S//Axy8+rIrXnXZ3lftdgoOVxJAe5aVZGmWJZbr + YPxMoJ0JpC+u62FujyzLtNZGHHIi8WIeo5O3zrMX25OvkjEcMz1b87MBqRtlEYNe0GMfOsOdxRh3 + u91qtRpFkVnTzIBYltXv9yuVinmMTGjEk339i+Ak/MuE2Jn7xKRWBsthgC6GpGDeL2ZSj3NeKBSe + 4ePxLYyLC2J3ru1PzOwnsA6EEJGWC0HYS2zKQCGe5qVyLexHX77r3rvvuJdHEmJdKk0jyaQA0JAk + GSZMapTkOWE2Y5Bm3EiEY4vlIh0mMSYQphlQFhTLhLGt1qakemZmpjLdWG82iWsHtQpHutnv5qCm + p6f9anmr1bJ8zy36juTtXk9gmJ6e3tzc3NzaWFhYsF034lm73xcYpudnNzc3j60sLywslBo12en0 + hgOTlTZmpo13qlDK3CqMMUBYjHXVEBolIZNGwWQ0XloTnRdVaIBcCtcvaACECQCkPAcARElQLg2i + EGCHeQVGWGPP87IsW1tbKwR+qVQSQgwGg263GwQBcAnj776hTAshjFfvoDfwnQLF9MjTRw8ePNgf + PqWQ4ioTWV4uBe1eN7A9ENIBpBFwKRHXFJCNSAHZmOgkybudvlvywyRVBEqV+qDT0TyvVjzBU8ZY + r9crlUoAkOd5nueFQiFNUyNOFkeR1rpcLrdaLctxEUK9Xm+6VGy2WvV6Pcmz4XDoOA4hBCnd7/cD + 36eUGjNf13WjNCmWS8M4MtriSKNysdRuNl3bwwTarVaWprt27drc3Oq0e75flmKEXuv1etVqdVJv + xHFcLBa11pxz13Vd1x00h4VCwff9ra2tvXv3rq+vD4fD+fn59fX1UqlkXn+2bSulgiAIw9CzHdDK + s504joWWjDGe50EQAEJpmqKxd/zoHY0RAGCN8jyfmZk5efKkkcKLomh2dtbUY1EUVavVWq32xBNP + zM7OOo6ztrZqWZZt2yaHnPDKXqzv1wXrICmlJpkHADz11FO33HKLlDJOot27dw8Gg+FwmOf55FEi + uDS6FlJKU+8WCoUsy8JoCADGpjdOEjNSBplq3mdbW1umDDX9uDTPtlpNIcQwjgDANJ1sxzFFLcY4 + y7I4jo2WYrO5eeuttwISURRyLiynaDGXC6yk1qBAI6QxAMYaAwhAUmGRc04sQqXGgDyLEUJW1lcv + v/oqMCQHgpFSGGM5ZhZdXOnn+QdCyFgNGOycQQab/HVubq5QKPT7fYMJNjJ9Uukz672Xu0bPOySW + GimiTC8IE6XMDcksG4lM5Yop7Kli3B0c+sqT33jsSes2fPn1l19yySWVaqle96aK0+CBAim4oYVK + KeVIws5AtME8trBC6mJYIoW44j4rIYp4j5ufiwxroZVSwEGCnKyPkD4XnV4pIAQRwrSW8SBNtmLb + dmt+CWkSZ7Gd2ww5RVxhmKlUhUnoEEuCJECAAMNMcF2VDcwZFZRpxx7aKkPFQlHmUIiLhKdIIYUU + AWKO2RyPxtr4Cb70l8/9ql0MR/tcl1pICdqmTCGwhVQICCBisSzNqKZYY6q44spClhb6rOdrrvgL + +S24iK4XAJwLwvdM6iyAlFLniHNVt6eYsrTWzV7rts9+/r577ht2wqJbhAxpjpEmGjAChIAijLVS + GhAABmT8dwFprBEQAhjbiGCpRJrn/eGAMIs5VmN6qh/2V1ZXp+dmbcdZXl2ZrjdmFubx+kZ30M85 + n12Yp4ydXF2ZaUyV6zUN0BsOhJSzC/OE0uOnlmenpqtTDUDozL/XG43WVjuJY0OTMHAV2xqhISac + FjNTYxLNiUryM0bm5fjWhClNzfSWcQTCGFuWVSwWhRCKj/RCjAuLlBIzigUAYMbseBitJqv7lvb+ + 0A/9ELbs5qDtloJme2uu3nAI7q2uE4yDcpBrqZQEQFgolUnIFELECUq44D2xfOLKa1/x6b/9r5/5 + zK0z1XochUkcm/bXrl27Tp06NTs7Wy6XKaWrq6vVanU4HHLOK5XK+vq6UkpkOfZ8KeTC3Hx/OFBS + njhxolKpmDW11g6zzKRzlmWe57361a+ulismg63VK+EwnpufWV5eNjylOA4txyYENZvtK6+8fH19 + XSPCuc7S/PbbbzcJcJZlhjo+PT1tyEgGzWSyuAMHDnR63cFgIIRYX18PgqBUKiVJEgSBwT3Ozc0d + Pnx4//79m2vrcRxX5oub62tGdqJY8Dudzv5LL2k2m6dOnZqZnQWAndMxyjwxlM6ybHNtfWZmpttq + I0ou2bd/o7klc55pvWdp19ETx33XW1pa6rba6+vru3fvMlOZRrjCwK8MP/AsN8PFCbE762FpBITR + NM+4FIa+PwiHWZb5pYDYFFsEKJLcCFNghBB1LaUUYtTCFjCiATIlsM2KpGhKcPMwmkAYR11IpZLh + CBnJGCMYayHiLOWcA0ITMJvigitpnnqEEMGF7/uWZUXxsN3rWjamDLu2ozWPkxSAuo4v5QSNJAAp + QBxQjgFcxxVSWRbTUvaGgyAIpqamFhYWlAKtkQZAiBjyiNboeQDcLypVN4XO6Xfknqff0bniojrf + iy0kUgBKIyExJQprpBTCGJQCrNJMgUYSiCKU+QXX5YqnURIe7T/aPnToC08hpH3f933f8zy/6Dca + DcwwY4wwapzKzXwMIQRgJPx9kSy5zH0vAKz73QGmqFapU4tEw1hqoSUIxUcpIRCEtVbGYxk/Y6kV + YhbJUk4oQkAIRaViZYijtdUNjVQpKAPWPBOAtchlLjKbOWbvCiQjVi6ydFp0em1QyHYtyVWcRo3a + VLO9ZbOtNE8oZhopUMh8yiSVGqkXThD8W7p87tfrYjja57A0xbSSUgMwSjWAVgoQYpQ6rjvsh5Mz + UkIzmyqhX5z7/2K6XgBwLl+vnc95UyDleS4ylYX66FPHn3zySZnn1XqdMab6GMcWITaWGjRhQJCm + WgFoLRGY/8Y7wgAKEGgEGgBhrECb93CSpYPhsICCoOhnKt/a2LQKbqNe78dhd9AnjlUoBoqg5uaW + 1XXrtdogidq9rqa4WCkji25tbLKOU6vXhmnc7nWBkbP+HVESFAuOzeI4TNO4VCoZWWSeS8dxJo3r + iTmSYZnvZNVOSLYvTwh+a2KC6TJeQxhjx3Esy7Isq9vtSq0JIXqs1W46fkJJU28oRPNhPN1ovPrG + G4njfP6L/3jXHV9oNTdRmjEupgtFW+tOp+U4lm1ZPM1sxFynIBSShPWF4I6lfNctBaNJZC5qtfrm + 6qpNGQG0sbpWK1fWV1bvuuuu97///RahDBOR5UuLi3/5l39Z82qf+tynPvvZz953z72XX375hz/8 + 4T1zezlIDXDj99yYhFGepBhjq+A7jmMgdoSQV93wHUmUPPnkk5fs2b+2vtprdiggh9g2Y1mWe04h + TVOu5dzMfNEvhYWo1e4iRL7/LW+575578jy3GWOEaIyVEHt27frABz6glBoOh0qpn//5nyeEnDx1 + qhD4i3Pz/XBo6F4TPe7BYJBl2ezUdL1SPX78eMH1MCAlxMc+9jHPcefn53/jN//Tl770pScPPUFt + q1wuj74RaHsmxThtUERmp6b73d4Vlx38wXf8ACL4n+6484t33uHb7mZzq9vu7N21+2N/9NF/+0Pv + LBdLnuc1NzYZYxZjZrZCcQEAFOEXC7hw3gXSGayGUUzwKqaqMQNNLMoYAYy4FLngCjQmGABrrRVo + qZXMMkopJoRzngyHoHWlWIRxexRhbMhIxl7X7MjsYkKmJJQCAKGUYTxBhQkhpFZCCMNI42I092NZ + FiNU6VxKI1WCMQEllRC5EP8/e+8dbtlV3QmunU68Ob78KqikkoSEIiaIBglMEBjb3fPhALZJDUYG + G7BbMD09tsftz8zYbaAHsNuENu02ttskQ4tgjQmWAIFAwVJJKpVUqvDyezefe+JO88e+79ar8Ioq + oVIV/WlJ39HVefees88+O6zwW7+1uTdsWkeAuAbQCnGhMR3pYkIIzrN+v683l0mlN4nBEDoF681P + mmip1KnqHRUKp653ZNFn6h09xYI03sTEKY1MehEARgRhrSVPBaSKMOowx6ZOya/2up0oGjqOwx21 + mm5I0Pl87jF9SILgmeSKg1Iaa4ooEJBSnjMK6ydzJIQJkSFEpOQIkUql5Pv5OA7b7a75zglRI6y3 + vRohKAhCx7Ecx7MsOjk5TSl+7LGD1Kb5fDEMg06nB6AotQAUY7aZu1JyQhiAmpmZa7XWlYJarTIY + DDlPJyamlpYWhFBJEjmOp5TQGgEohIi5o9Zy/PmZ4wV1NO9FSo2QptTSWmqNCEGW5RSL+Vark6Yx + QgRjMOel1Oe9zef9OPZebWduYSDmCFhLrgRXCNFatTFbnBsMBnqgFQVPeRZ1aEq11hgIAYI0llph + DViP9ByksT5el5BSAgbFBWDku56QOgyjOEsBlRzHmZiYWF5ejsNodna2tb5x9OjRZr1RqVUZoQtL + i0rI2dnZlaXlVqsFShdKRaRhYXFRS7Vz586lhcW1tTWon/r8VKPpu16SJGEYuq5fLBaNE9Co4Ggz + C9oorGPuqK2RtGfwdU+njMmfTC6A4WczNpLv+xngUeqPqQKMsFYIYxrHqUNs3/WI0Ib+QQIcPnQw + Dgb/7SN/cfuX/yFd33jB5Vf+3m//DuFpnKaJUFkU10uN2u6LihPTlZ079jznOlkq/Oq7f3Nuz+7Z + 6SmkodfrtdfXdszMBL0+53xycvLQoUNf+cpXpivTjUbj0KFDq6urjuN8+MMf3rdv3y233PLpT3/6 + lS9/xeP7DxTzhR1T8ze98kbX9zIpkjhOs6xWqyVJYpgMlJSMsTSKLcvad98Dy0cXXvrCGy1MLr/4 + 0ttuu21lZaXTaVPb6LZWp9d+xzvese+Bh57//Of/10/95dp667d/+3cAIE1ToyQDgOd5u3fvLpVK + v/Vbv5XL5brd7uLiIqVUZFnezz300EO+7/uFvPF3Sylt22aM/dJrf+Hd7373Z//+M3/2Z39WKZXC + IPiD3/+/HMu+8847h8Phf/nAn7/4FS8eDAPXdTVGgyAAOEbVgNDIEyKEaG+0SqXSC19wQy6X+9zn + Pnfddde9973vveaaa+rVmu/78/PznU6ntb6Rz+cN3dfYBh77Hc7jFHsKIHYj3CHGXEmNkUIwjCOT + 42Wc1kpyJblWQksFMEIqUkwwoCzLeCZt27EYwwhprfv9vmPbIy75zdiRGe4AoDHCjCKETIBo7LzR + WmshMynQZkEuCiAQBjlKYeKcR2mCkC4VCnGssyxTHCgGgm0MWAigxBm50pDQhh4YIYVAI0IJ0hoQ + QrlCzne9IAiYbWFEEBohI7VpKUII8E8KRv80cup6R0L0+5v1jjat01Ga3mYtcrTphnxmv3hygjRm + kihEAABvVmxXSAJoQrDWGhA4jgUAQogkSqRWSStljFXtpo1trIgNqRAC9VAcRYiAxRybuhRhqSRI + EFpQSs+0zOrTckQCKy15JijLVSu1gp9Pk4wHwk/zCAMCjDCMv69BEY1PeR2tAJBm4BbsfDSMe/1u + SaXT8zMX1ZkGpRXIWHmJcD2HYYuLjHBqULVCciwIZaQCNQkq47zBJjVfl0rUcCOQwzTNmLDszNGg + lNSAtGmVVqBBYUTOex8+c9zuqKRGGIiiZgwwi9rSqZEqlzriFkYEEwQajThYz3drz/8RRhH+UxpI + gDXSWGphPmMgmgAQvHRgoVgsVsvl9dbGMIvL5TIjwFOBABHAGGOkAWkCABiw0gIAkAZAYOj6kVnl + lCKESKW11syyCNFRGKfDuIeVl/emJyY556tLy57n1coVzvmg21NKzUxOZVm2sbpmWVazVscYd1vt + LMumJyaFEOsrq+Y8QuiU5wmgbrcbRVGpVLJdp9Nre5lXLJWYZbVarSzLMKa2bVsOS1OltJQaIUQ0 + Ml0FhpMWAED/5O/6PwmC9KYjHhOMsVDS0DMYfdr3fYewIAi0UlJKkxVjWRZQnCWDOI41FiITGiGM + acqjbrv97997a2tjqeY4c5defteXv7Z67/0XlQuqOyjZjoy5OLJx5LHD5Yt2z1Qql+65qJ1Ff/nn + H7njX+6964c/9BzWi4cX79796P79F+++6ODBg0KIu+++O03Tb9z1DQNmm5ycXF1dnZubu+WWW3bt + 2vWBD3zgD//gP/6f/8d/uOKKK1KZVqvVfLFwz/33aa3LpVIcx2OiAtuyGGNJGOW83MKho3/5F5+M + 4/QDf/yf3vrWt77sppd99rOfzdzE8zwuuc2cyvzuj37oI29521uLXuHW337vm//tv9Vat1otU+Em + jmMDQWSMbWxs3H///QDQ7/cvvfTSfr8/NTW1c/fuq665+hvf+IYW0nOcIAhsy4qC4fN/6rnv/I13 + bKytp3EsOV88urBnz57LL73sYx/7i9tvvz1N01tvvbVcLivQi4uLYRI3mk0AU/ES6VEKEkIapJLF + fJ4RcuTQIZuxe37wg8NPPDE/O/tzr3nNt771rYUjR/7zBz/4sY997DnXXYcQevSxx87r+DqFPGU5 + SGOQrsliNHR+nDOktUWoZzuZlSCVAYzAcloqRghmlhBCCwkIYQ0IYa9QMBwmWmvKmIH8Gv8NAADB + xnPAOTckAWP+A2OMAQDGRGtt/D0mW8lkfck44jwdDHqEEN/PIyA8y7QCShmlWAoFAIAUgAIAAAya + AYBEGmMihVRcEA1Iw2AwCMPQsB+CUlorhND/Gusj1qC2qXe0sk29IwpY41PUO3omlPQkBGsMysIA + m4MQNFIaYQCVKQEAFBNkUQIEMGCKMeBysYIQUlxFUZRlMSEjFqB6ocGl4JyLKMsUN0h6RmyVqAsA + AHXsKKTEGGziFtxcwS5lg6Tb6WdZSsECqUzERuvR9xHCWm2n3mFACgkiQqkThDLcXw9ALEdRgpAm + hCXDFGWEWgwkFrHUBGstCWEG16gFYE5xRkSY6hTFvRRTJCPNQ6k5osjSCVIASBONFJjsKY0BYa1B + I4TO7LjpQLjQjmf+vi6E1p7NUWtASGNk8AdKIK0QFlQnSCWAMZZIIW3+wRqd+M+5H/8X2vva9G1p + 0AhtPSJAgJFWIKXWUiCCKMaAIRXRjun5LEk7a+1KoeTVvTiO0zS1wVR4x6ABbTqWkdLI5DuBUhpj + baJXSoGiCDNEOMZSSsUFQoghSmyspUqG4dLi4kSzWfRzi4uLWRRPT056lt1qtRYXFmZnZy1MVheX + dLNZLZYYwr1eb1WvTE9PU0BLR45OTk42q7VTnq/XaghgnHDrum4cx6Cx53m1Wi0IgjhOjdpqONa0 + 1lqPVuZn5OkXjUArPc4NU6BNgophunMch1Jq2zZGaFw/EwC01oxQxiwksZSGE0/5zHMsun/fgzde + f+3jvR4h7ObnPf8LCbzmiiutwWC6WFWRHAzj9STrY1ZIUwgGjoW/+sUvWPXK/NTE47Vqwfek4rt3 + 7FxdXX3pS196+PDhN73pTWtra5/85CcHg8HU1FQcx3v27EGAbNteWlqamZmZbc5ee/XVl196KQB8 + 4hOfGA6Hk7Wp33zfu+6+++5SqWQClZRSrVQURRghjLHvekceP0wIKfnFm154Y7lS/NLnv1D0ClJK + LIFgzFPeqDV2ze940fNfGMnUcAgDgKEpQgjZts0573Q6QRD82q/92sLCwj333NNqtebn5z/5yU8O + o+grX/nKgw8+GMdxt9v1PM9wM4TB8I1vfOMv/cIv+L4/HATT09OPPXrgPe95z6c//dc/+7M/OzU1 + dWD/o1rrMBgWCoX5+fl2rztaOTZjPVprpCGfzyOt11fXrrnmmmq5cujQoW67c++99+69+JLvfve7 + jVr9kj2XLC8uXX3tNc/7qee+79//74MwoIyxMcTuPNWHHctTVwdpk+XJWCZRFPX7fZ4k5VKOZwlP + M55mPE0QQsa2xJiC1oQQzJgUSowo5r0JhQAAIABJREFUhhDPUkOLbljs4jg2Bb+MgWSSZDQCTAnG + GBGMN0OujBIlpNZaKz2mvzAUVcaIsm1ba+m6LEmyKEwRIpKPyh4rbUptKuMI0ghAE9COQpDqSKPU + woQghABjSkw8V+rNuAkAwGZRo+0Q3KcV9BS9gh9fzPM8JfWOtpML52EvRNGIKTxKjUAjogaNlEaK + MKy1lpoPk0RypZRixGKMyVRxzjEQwrBNLK2lRkppPYwyIBgTbDHHkK0ZIcTw2GGAC+JINKIUFwql + XM7Lsqwf9LjMMEWEUAOLAkDmOAKzKQQIgcanPmKdycz2nMnipBS622tHYYII5PNFZlONALDWoKhF + zMRnFqUaSakxQYRhahFMEbUIIsBsShjWSFGLEMZEJjEmSGPAGBTSCJnk8rPy0V+ocubv6ydPRrhf + obXWhGHCMLMptQiVhBFq4iEaAQay+U6PHc/9+H+Sz3SOrj8eoqekfDCRJEUUUggIUEQVUnnbj8JA + ZtyxmRJybWVVpMLzPMe2tcnqMhs2ANKgQAM28wcwUggw1uPmIq0U0kAQlgafrwhjFJAQQqwur7i2 + MzMzMxwE3U7XtZ1SsailWltb67Ta1UolieJepwtKl4slDKjVarm2U6/WzHkMaLvzxWIhy7Jer59l + WblcppQO+kOtdbFYJIQQEg6HwziOGWMm+0iIY7XsAY6R+z0jT49spriMkqVNjrrxg/f7fY/Ztm1T + QgDAcOoKIaTUhomXCCQZ01pzxQFDMOgF3S5FcPHc3EPfvLOagatgBhOIkkLcjgbRrmJt98T0Q1Ff + rK3qlSVeyV9/xbOWokGUhOWCl4T9UqnuMGei0Tz42OM7duwIw3BqYnJ+dk5LNT87t7i4ePMrXmkB + q1WqzXojiWIOPI7j++6778Mf/vBd3/9ekiS/c+u/+6M/+qPXv/71UilTHahQKEgh2u2277ilUumi + XXtAwszMjBIijWOHNkUqtJAiyxzPDXtDwBpR4tpumqYpTw0rQ6VSGWcTGeXZ87zLL788CIJms3nv + vfdalvXAAw/U/Nob3/yz/X5/cXHR932LMkaoazsxje666y7LsobDYRgM52fnwjCcak7c8rZf//Yd + d9xxxx0TU1Nvf9PbO52OlJJLsbi46Ob88Qs6ttFpCPp913Zynr+0sJiGcblYsijDgK675to//dM/ + fc2rf+bLX77t0MEn5ufnZ6dnJBfNZtNkdghD0kCpgY+dkqThaZCzNpD0NquBlJJhgpQGqRgmDBOM + se37hVzOpVaggQLyLJcQohWSUgohOc80JtRiFGNMKcbIYOcMIi7NMjMHDF+2ed8KAUKIEoIp01oL + LgAgTVOswRidhnHGwMNMJSJTWWzUxUoRRLHkCBihtkRca0kogFZIwxZANgZgoF2iwXZInAUMMMFY + ZhJv1pzlPBOCa0AAgMiTjLBfmIwFtm0rIR3PLRWKcXq6ekdxGDHrmXpHT5lggHECEkbHkgPAYPTN + dzC2PEaJhRHSCimuTDBTa63UiOR0VJcAYNMHs3WcqZHacgZHQArA3PeMj6Pl8fjzSAFIfarvE8Io + tTzHFUKtr65FSexYtkLA0xjwGGSHNAJQYstTnHgdIUZ0RlLKNE3TFMyUr1arcRyb2Y0BSSFAYwCt + lVBSaiW01lJwJZHgKedca4k0aC0pdrSWkguFNGDGOQeMiMHyKZOBqgyTKQBspYtA22dJHcuQebJp + /T/yyibeeMJ5w4i4za+M8SbP5Hj8UD3xauN2nvCk5zhzZlvZmq4gudBaS4QFFVoqITItlUJCis1B + RTQAmJCGNt1iLq7Ocvyf9XHb/vzx39cW4/xMx89oFGmt0HFHIYRhbTTMfqOlXqkkyxzH4RoLLghF + lWIlyzJkOO60BjmmBafGqtAwKjqANIxzFswZzjnWwCgDgIxzqSTCzOwspXxpfWVVpGJ6YtJhzurS + shayVCkzxhYWFjjnc9Mza2tr/U6XACoWiwyTo4uLioudO3euLi2vra0hpU95vjHRcBzH87wwDMMw + 9DwPI5qmaafT8X3f85wkibIsIwRtFmNRsPn4JqYEsA1cYotT5AJxoGi0mQO2eeaUNz1Zx7tA2j9q + ntZSKmSQdpucGVrrXq8Hfr5Wq1FClFK2bWutJUVKY8RQwjNIJNbaotTBlgLtuu41113b2uhEcTo3 + t8PuDAsUxZ1+LpO5vKMhzYYhR0jJNO86yGKlUvGf//avivMzvX738MGDALAQHCLYtm13OAju+v73 + 5mZml5aWvv3tbzebzS9+8Yvlcvm22257wxvekKbp0tLSTTfdhAG12+04ju/8znd27NrZarW+/vWv + 54qFQ4cOmbBJp9OxLMtEwGanpp944on9+/ff/NOvjOOYUdt13UEwMHFOv5BP05RSatk2ta1Bv+/b + eQVaZBwhxAjt9Xqm/lKv1yuVSlLKw4cPv+997xNCuK67sbGxa8fOSEeS816vl8/nTaq/wQpNTk7m + cjmRZY7jeI7b7nZynr+6sV6faH784x+74447NIKrrrl6z549jz52oN/v54qFzbJFxyB2Ztgwk1OE + IAiCvXv3IoSSLL3yyiv/+c47SqXSjS+56eabbn71q16NAAklPvzhD7/pLW+itmUykaSUhr/aqNxP + 0/A6Xp5EBOn4GtObWj7BmBKchmE5n0/jpJLLv//97z/w6CM8iWdmp9qtbr8fTE7P9nr9LBOlUml1 + dbXeaAyDIOWJ67r9fm9mdkpI+em/+x9HFxY8z4vSxIxyk9okpMzn80kYSSHL5crGxgbGmKfpRL0x + hCFPs5znY4w7/Z6pgoIAlJQ8yxBCSRxXKhVCyOpS+1+//Gf27N7TaoUT01NRNgzTQaVWXFpdKXhV + Sqx+r1epVHSW2VZ+eaG7Y3amN1iWOnJdV2sdBH0g+K/+6q+UEgiUazPBFefcvEjL96M4yhcKphp0 + mqZGVXVdDwA45wAjEO24637kOz950dnOQN32CtvYbmMHAyHEfDbwOZHxYTqsV6rlSjUeRmutDcEF + YyyNU4qpEioTmUUtrXWWZIRQzo+prWcUQbogbcIzlVHrz9VcVYAxSLN9KQ1g4BwIEADZEnvTUnHI + NluEQSMhjzVJKWmABafatTWAiX6c0RHO3uJFBqGPjLo5PosMFb6JMzOLYIylUlojilm92kBKr66t + 8oQ7lksRFlpRREe6qjJaFeDTDn2GGQDITAIABgwwIoRNoxQDPnZeKTAKG8KEEJDAMw6gLNsmgEBI + EDxNIt9zQGsphO/m0pRLrghiWgNoDciYa4A0HIPbaACEjh03YUt4y2cDtAMAdcaQvCcB0jNNO+H8 + 5pZ13BHp0eGsImCbSKzRb7dec9zOE+/yJIFkZ348hSCDDVMaYUQIAQxKKYIQBaQySQGpjAshTWSA + MZYk2WY+CT4+GP60OX3O9HmfbIbR2bwLPerA8REjbK6EACGNtNBSS40AI5pkAgAhwpJMGKvvmONv + 5PbVEja3CW3yjk6xhJopzk2FVkIwMbklYNkOI3Q4CIaDcOiFhLDp6dm11kYq1eRUs56la2trlNJC + oQAArW4n03Ki0UwkX9vYoK5dqlYUQRuddqrERKNZF9laa3ReYmi12sVisdlsSinX19dnZmZyeS/j + SZYmaijy+XyjWet1B3ESSsk551ojxpAeLWXEoF0MV9pJ9ZGwGYKwaXP/yOO5FgUgR9VpAJnYnQak + R2/JvBKNwHjURpkkJg/8wmg/AJgtCW9i58bzFGPseZ6hq66Uy7ZtO46DGE0BBAUilGU5YT+cb0yq + TGRphm1KmZsKjZTmYO26+Ip7brvdLjUHmjInt6GB53xmeSHBuOBKi4Hvttut73zvOy/b8b8B1lxx + lfEoSqrVyVan26zXo7UMMK41GvVmc3F5mTGr3x90u717773v7W+/5ZZbbvmV1/3KV776VaVUHMc3 + 3HDDQ488nGXZ3r17syyL4zjPGEKIUUoJsSnL4mTQ61uWJRQvVYpuwQuzaJjE1UZjtbWRz+eDYWjb + NrOo0FokWZpwBLjV6uQ937Wd1vpGrV7b2NioVCo5z5dcUEwGvb5j2ZLQLEnzfi4ahgxRzrmW0vP9 + wWCgMPZdVxeLoJTIMkqpMa7iNKnVatDvub7X6nWGSXTRRRfN7d75yOMHwii0PbfT7ZZKJa5GJXoB + I+OcUlJZ1NZa9wb9qZnpMI66/d7LXvaynbt3/fXffBpT8v9+5MMf+NAHCSEvf/nLf/7nf/4dv/lO + 23MBwIADDQRMa30egXZPGcTOQN0cy07jxLHsXTt2gpCHHj84Wa8dPXiEMTseRPcs/kAK7ft5EfM4 + jPevP2LbrFotHzl0eHKq8d1vf+eml944Ozu7vrHBlTSpFCa+ZnT3drvdrNVvvvnmu+66K8uytdXV + uemZRx56+D9/8ENLS0uWY9/9wx/077vPcNlZlmXc57ZtF4tF42XfMTd/44tuPHjgoJZ63/2PENty + C7n11ZZQDve8wWA4WZt75L7DjGnf5cDVoQOHC0Xk+/bioYU3veVNk5OThxYPf/aznyUYF3N5kXEh + hG1ZuVwhTVMhRLFYDMMQE6KUcl3XeP0RQqaa6rivtjqcTm9UnDsDyRSYMjljnucBgHkEy7LqxWI+ + n+dpFgQBUiMjypjyWxtvWo7Ptj0/0QYSwCi/+NwLGR+O+3CC4NMPiFP9URMgAHAmRwCCzs4axFij + UThlbEciDUCE4oxSs6VJKcMwBISq5drc9NxwGLY7HZFyShkGjBB2KOPAyZYn3+75f6QcN96QHl+P + EGQyFTEgAMUosyhzHStJMNJKcSGlFKmQnEupAIBRGwDMc22KBmPHji+/tSOOuck3zwCYPjEv5eSn + O5PjiU90vGgEZAuV0HYtedLtGetSsPnbE25tvrm1AeRcb23bdMcIkr1ZDc9sT+YtW5Q5li1d71jy + qpBoxMtDEB7n4I+Csef4Ac5OtvbzkzjCU7oCm346Ky8K1uQM22DiWVqJjfX2RLM+NTXT2WitrW3M + zMxogvP5JEnTpeXliYkJy7JWV1exhvpEEwjuDfqc8+npacbY4uJio9GoVqsAMBgMpJTT09OU0qNH + jzabzXq9jhA65fmNjY1oXB+JDJRSts0s2w2H6TgHBmNyrD4SYce33ejumowy2UbT8PRHOPdbi6l5 + Nf5sZvRWzMJWQWfQ5qe5/dsNnFERCwVqMy/DYJo8xx2mscoEY5hqlESxQRZo0FGWveWWd3zkP/3p + Hd++syjgF2985X/9s4+2SqVe0KcSBlmEJPfr9cCi4eLRx7/4P7914KEHHj3409gOwiQMk2qh5Hul + KM48z1tZW3Nd913vec/OnTsvm9/73ve+d25m9sYbbwzD8Ktf/eqtt976yH2PHDj86Ac/+MHhcFiq + VD71yU8trS/XGvW11sYb3/jGyy677N777iuVSptRSjB1QTHGpUr5c1/6h7179+7ee3Gm5TCLa5PN + fr/PPEcjFIZhlmX1er1UqQ7TcHFxMQxDpKFQKIRhaGDkYRiWqxWl1N69e//wD//QaMIf+sAH9+3b + xyW/+OKLEUKPPvro7Oxst9sdDAblcllr/bKXvewlL3nJNddcEwTBdddd93M/93Ou6/7N3/3t+//4 + /4miqNvt7tu3z/W8ldVVrfX09HR/GBh7RiklR1wAmDE2CAJG6NyOHavr65c961lf+drX8vn80cXF + f77zzjiONUIGE3j46NGHHnlEKGU7lj5eAM5nXc2nMgdJKUUpHQ6HtEBqtVoYhk88fujaK57d7/aG + w6jX7j687xHOZW/QF1wRQgCpq655NgU9U2/+zCtv/i9HFopejiLMOU8FN2R0JgnPLEmm9vnb3va2 + o0ePHjhwoFKpRFF00003vfjFL779n/6/SqXyy69/3e/+7u/edff3DWGibdvmt1rrKIqEEOVcYXp6 + 6hN/8QnHLf279/3+V79y16Ejnb2X/Kuv3PbP+x+9HwDxaF8hz6TqOi5/1zvf8MMffuNVr/7lw4f2 + v////uPrnnP9rtnd9XozHMbBIIzCUGa8Wi31+/3FI4enp6ejIBJaOY5njDqLsWGaAoCWWmQcba6f + WxkdEELqpCm/dfP48fcyvc1eZNi6Dfgwn89rrZMkYYyZXNUsy1qt1nA4RAiBxOP4EpxkII09yWfa + nh/jWS4IubAsPHW2BtJ2BvO2V3gy7RkN6i0G0qhqFiOEECJBjuo1+YUoitbX14MgYIyZ5DdTvGI7 + xRSf5RZ8XPu3vLtx1FQppbU0mb5ZljmOY3CkxrdifHhmFQI4hYEECMOmWmCujrc8+8mD5WzV7VPC + XU7/5RMMJNjGQHoS7TnhGicYSMfOb/mMzpOBZN7X2EAyiC+lFICKoshkdZvzWZYRQkYYMKPxb5qB + 6MJjcD5bB9nJ8pQbSCeOtx/xG0BnxvlmBo7rusVisdNuJ0nm+36n3zt8+HCxWmk0Gr1+f2llmTG7 + Ua+anGcgOJ/PA0br6+uu61ar1TAMu90uxtiU71xbW3Mcp1qtGiWPELLd+Xw+b9u2GSrH6iNxfrb1 + kc7WZDino83QrJPN2NGxm26dsNpAY4+zjs5cztds2exwGNdHklI6lJWZTZMsk8ISyiGYgpaKr2ys + TE3NX3H1VZHmf//lL+YJbrfb//0bX37JLW9eWDhk62qtUtVSpVySWlUA6kfRuuAwMfMrv/6uG170 + itY//IOF8lo6iEA/6GJKSqXSYDD40Ic+lMvlwjB0XffA/v1KqUaj8fef/cz+A48Oh8PhIOh2u14u + J4T4xdf94qEjh8vVysLyUhRF1VptcnKScy6EAIQyKcI4klIur674+fy9999zdPHIMAr+6q//W61W + 83Ku49nNZnM4HGKM8/m8lHL/gUceO3hgcXn56uuuHQwD23XCXowIxpRIrTq93r333/fhj34kiqJq + tfrNb36z02o3JprPfe5zLcfuB8ED+/bZ1EaAGLD1YL2Wr/3SG36pWC5//ZvfbDabtm2/6MYbv/vd + 7/713/zN3ff84MYbb8yy7Mtf/vIgCDzPM3uoMUoNXwDAaNmUUlarVdu2NzY2vvDFf6jUqoVC4XOf + +9wDDzxQa9S73e4wCgkhQsmH9z/CpRBKsk2C763gVYTxduvwuTabnjIDyYjx2xlaGEOzuHtuV9fv + fPzjn1hYWMKI1ut1iigAlEqlF77wBXsvv+zzX/j7WrlS8HI7Z+eRHHU0sZjZwIzaZDx5Qohdu3Y5 + jnPnnXfW6/VhELTXN5IofsUrXkEtRil992+/54Ybbrj7nh+6rus4jrGeTUnZLMs450LJMI644h/5 + wJ9wnj/0ePf731v5/jfufvxAVK4+u1auLbcPN6YngvDQwUe/RagfBMHszPzc7MTzn3+DEjrMYgy4 + Ua1XyxWCsOe6K0vLM3OzYRgmacQYK7iuQtiUK46iyFBECC5NiVXTRSe86ZMjME+xBr7N5YxR7jiO + eWucc9u2C4VCqVwRQgRBIIQoFAqEkIRnUsqtEbBjjdcXmL3wNMiF9sDn2EA6W9kKhNtqICmQSZI4 + jqO1TtKkXC5Xq9U04cvLy1nGfd83tc6MR8Pwy5/y+j9W9x/3Y6yUsixLay0lN5o0ISRJU1Oo2iw4 + mxkUGCMKcAoDCSF8ghK0VaU4ZQTprORsDSSFjgvyjNtzmgjSWclpIkjHzm/5fM6XiNNefUzVY3RZ + xhhj1NCojmlOzQZhku9Hz6fRBZtReUEZSLA55M5QnsRgCMPQ6BLVahUjMHCSfr9fLOVd1202m+vr + 6zxLJicnu+ut5eXlWq1WqVUppYuLi1LK2dnZ5eXlVqultS4WiwCwuLiolNq5c+fi4uLa2tp255vN + pueN6yO5P7o+kkZbtDqAkcpu0g3P7pHPqZBxqGfzwylXgAuqzWcixiIyMWHzjrTWBGGfMDdXDFUg + U66FUKBX2hu3fe2riRZcqyuvevaRQ4eLvt+cmgzW25Vm0634SnJRKmmtw2EYMaaJhZS2iNVQGjD5 + 1re+99hjC7ZbFFJryXOFotYyl8ulaXpk4WjO8zudzu7duwljLqX9fp8xtrKysrS0ZNv27PTM4uJC + Pp/f9/BD9WYDCFZa5wsFCTqNonHdToxQLpcr+DlC8E/d8FyRZmnKKcVaI0rxv5l7bRQN8/miYWfl + PI3jtNmsB0HYnJt6gef+0ze+vrSy3JiYCPp9oVS5Wl1YWtxot790220mGYQREkVRlMS2YwdhmM/n + X/Oa11BKH3roIc/zpqamVldXK5XKE088EQRBLpdbWloqFArFYjHLsscee2xjY2NjYyOO43Klwhjz + fb/b7ZqddMQjTQkAmBK9/WEQraw0Gg2M8Z/8yZ8ghIxWefToUYOJNZTUJmA7XqiPs47O6zr8lBlI + xnY0y40SMgxDxtjc9Mz1115PFP7ohz7qW165XAbAicg45z3efv5zfurKq6761Cf+wkJEJGkpl5dc + MEJd10WUZFlmtjTjVDaZRa973eu+//3ve5736U9/+jduuWXPrt3tjdZwEACA67pzc3O33357pVLZ + t2/fFVde2W63hZQmFm7YGlzbAVD1eq3VXncs993v/I3feeLLraXqS19w1cpyR6Ri58QV3dUjlXq+ + 2eg/67Lr9l7WaDSqy0tLey661GIeo/bRxcUoDDEA57yYL2DQn//s5wgh3W7bKIfMdim1MMbtdrtU + KjHGup2e7/smB8nI0+mV3C6CZIJyxvo3Wqmp/UopS9M0CAIAyOfzhJAkSU7HIqLO7ll+/A3+qZaz + fRcX2gOcFIF8iq9+Ftc7AYI1AqEZBBdBQghERjXNPM9zXZdzHgYRaGQqa6VpCgDnMCPzuLmgxgaS + EJmUcr453442guFwotFcWVtVSk1OzrbbbdAEY4yQcRAcZxQoBEodw89seysAeLIGyenl5Nl0srZ6 + 3g2kcyzb3sBstCcaSBYpe+XV7rIQwlSJMMOAc4kMF+Imz8jTYyOdX3/LWZnfZ3iF08vZXp/ZrJ6v + /+Gf/MePfvSjO2bnpqdnwzBotVoYYy/nT81MAsDK0rLr+JVKRWjV7XalVlNTU1mWra2tWZZVr9fN + dpxl2eTkpBBidXXVnEcIbXd+XB/JcZxut5umaalUsiyrtdHLssxoFLbN0lSZIYTQVpqCMbUdOjln + +/RyrucLOd4uOuXrOw7DcqFtd9sIxlgIgQlGCIEe8RhzkQLnvusSrQb9ocZokEb9hSP/40tfWFpZ + xowCgE1pGIaNehUhdOjxg3t27U7CRIiMKym1kgq4BEwYYy4i1HG8OI4ty4o5T9PEsqllUSHVRqfN + Bc8V8hQTwuhGu2U5NkFYak0w3mi3GxMThJCFpUXLtphtOVotr61GUTQzOzuMI41AgiYWIxbLOMca + hBCPrjz20T//szAYlkqlJEkBtG07AJpSliRxHCeOYwshB4N+Lpe/5pqrV1fXWq2WAt2cnESEDKMQ + MFagtVKlaiUIhybfMgnTQq0OGMdxPDk9LbVOeYaicH19vdao+74/GAZezm93O3EcNxoNwuill1+2 + vr5OGA26nYlmw9RWuuSSS7q9nhBiMBikaVquVY2po5RSQhsnFKWUYuq67lprw/jf4zjuBYNCoeAr + 6eVzSZIAgOU6WZatt1uu6+JNTJ15rWOj6yceYqe1NoWNLctSeLQb+X5OpMKynfXVjXw+H/SHYRzl + /IJrO8NwYDK50ihmmPiOizXkc7n5+fn1XsesKaaXjfpuokBXXHHFpz71qYsuuihJkmc/+9nfuePO + 2ekZNals237rr79tfn7+B/feU6/Xn/e850mldu7cafo3jiLP8xhjFOFqo16t1xRShVI+I4B0wbFm + 7717cXpyF5bCcQjkcDw8KISzc7e/3ioePLiIMN+xY6fjeBa2GtXG9NRsqVBqVBu1Wu2qq650bev3 + fu/3MsFLpdLKytrrXv+rf/6xj/d6PUppFEUIIUatfr9vzGUjZ56D9BS8l9NGkADALPe1Ws113TAM + W622oc40RtEYKmA4YU74LcAzBtJ5lwvIQIItG/Do11sMJISQ1Cqfz5dKpSAIWq0WAPhOPooi4wEx + g21MH3/q9vw482XLXFDqGMROSq61np+f32itCSmnZ6Y21lta62Zzem1tjWcKAPRo4J4YQdquOc8Y + SFvvfi7l1DcwLuRxYNAoTIwx22HVanVtbcXkhZqFjlI6yiwGAlve6dMAsXvGQDq9CCV++qd/ular + XX/99YcPPtHqdubnZwXoJEkAo6WlpXq9bjNreXlZJHFjomlZ1ka7tbCwMDs7SwhZWlpqNpulUglj + 3Ov1VlZWpqenEUJHjx6dnJys1WrbnYeT6yMBeJ5Xq1WCIByX9aSUKnUcW8CFLCOjbVQTeBT908d/ + AV/oNQlOLSO+VjLCcpt5naZpLwwkVpQyt+RLhodJGmfZ4vpqkqUV17UJjeOYIPLYocOakdrs1HIw + oJhIUICRZ/tUa0gFRtSyaBCEhoG6Wq8SGzuURdGQMBc2gaAmI6harQZBoJQaDAaz0zOU0oWFBa11 + HMe26xbzuVTwVPCZ2dmNjY1MCtf3nnjiiUsvvTSKojiMlFK+4+ZyOd/zCCF+qRDxlFgkCIKIpybT + oVwuC6QRpbZjNfKeZVkSQ3fYj3hiMfvgoScIo47jRFHUHwYGNK6YklIiBJVK5cjC0enp6XK18vD+ + R3zftyyLc16tVo0Ob9u2YQM3a+bq6qpt2/V6vdVq5fP5wWDgOE4+n+90Ov3BIJ/Pm+huEIabyZ5I + ajUO3ZsypJOTk4yxVqtl3FKtVqtSqQRBEASB67qVSsX4Rm3bTqNoHD5Cm6Dn8zi5nsocJADQWmdZ + hjSY/J9er2fbNkLUy+ULpXK303dsjxCiEXi+TwjxXE9jpDGK0iRM4v5gsLa2trS0JLQa43pNn3LO + JycnG8XGm9/85l6vt2Nmx1vf+tbvf/euBx98kBDyB3/wB/V6/bWvfS1z7H379l18ySUHDx6sVqvG + rBoMBsZ6ti3r8NEj995//6+YRXgjAAAgAElEQVS+AfV6g6lqAUBtbKy96EXX3H9fhzHWH8SVut/r + 6yAIEIK7vve91/6bV3MRHz6yMDU9nUrOOV9fXQ3DsL3ROnjgsWuvena327399tv3XHzR8tLiP/7P + ry0sLpdrdaXUgQMHGGOO40ihDNhva3eN9T+0zbs/WXEZZcSe9Qa27Q8QQoZ6oVwuh2E4GAyGw2Gr + 2x2DT3iSmgLnCCGKjeqgT7jC2Y7d/yUMpAunIIzarv0nd/MoN+Ys+1+f3fOqEVvySRJnKcY4l/NA + 6TAYdjqdbrerlPLc/Li69Cbg7ZwVPdgyFzAeVdIwdQMppaVSqdfrDYIAM9LpdLXWAHRxcTGJuZTS + sVzzgCde7bQD+uTHeHIGyXarwcnyjIFkBG0SMW/NQWKMOa6FEOp0OmEYmg3YLHGj+jabbBTji/yk + G0hnO36envZsle1GkRHbtj3Px4AOPXHYsW3MaKfTE6ANOHx5eZUQNjM1ORwOu90+tZ1SqSi1Wltb + a7fblUrFlL/UWpdKJYRQq9VyHKdWq5nzCKHtzhtAUa/XO1YfaTDQWhcLZUIIIWg4HMYxP7E+kkHM + 6ydfH+ls1+ezkhMmo7GOtoIkTZKSWfGf3Eg4p+2H7deTMRZ6K/e6VGrAoyQSru16ft7znDQYKi4w + QNn1VZQuLi1dsmd3IjgjuDjZWO5sSMQRRUgrxKUIU6yQRyzbttqtNcdzCpViN1BR2o3SeLJZV4hS + RkDTtfV1U2HC9/0wiRElUutLLrt03788AAA7duwYDoeMsSRKgyg0UHNjLRS98vLqyuzs7OraGqWU + WcxxnCSKO2urrmWbcZhmGcW4WCqZ5+r3+91eL01Tg1c3u2e5UrFsu4BxFMWO7zmOE0YRpgRrlaSp + DcA555wb1MYVVz37wQcfTJLk+uuvP3DggE1dk3PbbrcRQrVaDVHSHwYYY9d1vXyuVCotr67m83kp + pKnyYpDJtVqNUmryOdM0ZZsFXgGQeQvjWjurq6vmr/V63WAOe72e67oTExNRFBlAu+d5vV6PMTp+ + o9jk92Kkt8lafBqAoE+ZgWQgcCa/HwMyHG6dTocrSSjTAMMwTLK01mwMBoPhcDAxMZEpKUF1el3L + sV0vt2PXzpCnJjtNI8iyDDZDbMbBPBwO3/k77+z1erVa7dZbb33/+9+/tLpSK5bf9a53vezlL3/B + DS8Ik7hQKOTy+aNHjzYajeFw2Gq1yuVyuVweDofD4dBvNMrlMmBULJcUtz73pcOZbJXr7u3f/KdL + 917Z7a41G9WjS/fPzJHD69F3vvvE935w98tf/mKLoh/+8IfXXns1xjSXy/W6g0G3VywW0yR69NFH + 4zAsV0pCiD179rz8NTffc+8D1xZLZriYkBolTG+WUh13l/E5GVc5bHHqHPvCST2st/vDaWW7hFij + HRJCCoVCvV6PomhlZSVJEjeXi6LIZKOavKOtcaSTDaSza82FSNLwJFp04TyEPvPGjIBDZ3+Ds/mR + lnBqzL3v+wAwMzPDGHv44YejKKrX60pBt9PL5XKWZZlaZ2awGS/gKW/w44Xaj7VMCGmmQJZlGIPh + 9kGbRL2GKIJzbqJMjDFtyjgd1xUjcN1pbIxtZ/FZtvjMr3OKb25zie2ufCbtOYvP5zWCZLaPMcRu + rD8Zbgbj4xw7KbXWaOxk3wySn3Noxznun7MdP09Pe7Y7c/JfgyDQWufyec657zqW6wghtJJa6zRJ + c7lct9slCKampjqUraysKCW21keamZlZW1sb2zyEkMXFRSHEzp07l5eXxzlIJ59vNpsn1kfCeEt9 + JC9JkixLxm5c09pNs/y4JIoLStQmW53etI4kPv6vAKBPkcp4gctmCcxRprSZ2kAwoiRRQqQxci1m + +8AQApKEseCZp8kluy/qt3vYwpZtrayscKowIbFIbK19x8JxJnhKNQac5D1bEAiDXppFtu9SpSTw + dm+jWmhYzM7n88VicW1tzff9OIps2x4Oh4cOHdq5c2cQBGmacs4ppa7rJnFUKBSiOGaMGWPJtNww + kCVxrLUGrfP5fLlQ7PV6a62NYrE4CIee55k0Db+Qxxgzx+acCyG9fA4AmGNL0GESE0bN4jYYDKq1 + mseYyernnNdqtSiKAOOjR4+Wy+VGo/HAAw/Mzc31+30z/vfs2YMxPnz4cLVaNQH24XBo27YhPDNJ + wlkUmaaavxoFMgzDUqkkhDDWGoz2cGSQRyZKMTMzkyTJ4cOHJyYmjDJgWCKNK0FKOb7ROIXMvMrT + uKgUOgGxcg7G1VN1oTRNTTTNbEjmxS+uLGegAp7k66XWsFtolDtBlzjULxeHWdILAwlQmWh894d3 + L22s/c1n/74bDExxK7FZv2+cJ2OCMHfeeedjjz12//33K6X27duXz+ff8pa33HzzzZ/57Gde//rX + v/3tb7/66qtzuZzruuvtVsKzUrUyjKPBYGBYrdvttlkU/vSDH5A6+tKXP1VphoXGyvNuzIH7wCVX + cXAfnNw54OgxJz88dHS/53mdbvcH99zTHfTDJMYIJTwz7qjxoCkUCkEQSCmPLi54nvec5zwnjeKF + w0cKfs61bJlx33V5mjJCQCnPcbSUhVwuSxKbsVwuFwbDarnSabUZoRiQEtLU/8p5HkHI8JUjhAzF + XBzHCCGCUN7311dX874fDYdI61KhAEoxQjCA5ByU4mnaqNVM2CpKE0RJnKUaIyBYgq7Ua3GWJjzL + l4qlaqU76K+srykEXj7HOfc8zwxQ43klCGupEEK2bUfDYSGXE1kmsqxWqfS7XYqxEgJpbTOGtEZa + KyEwgM2YY1me4xCElBDlYjEaDs1TYEYRJRojheDC+Fed/C9XXIIUWmisJUgJElGUSSFBS5Cn/Mn5 + +BdSwbnig3Dg+A4XKaHIdli3167VKoQgrBXDSPGMJ3GlUkqSiAAag1pFmtmUxcOwVq50W23PdixC + RZqJNGtUa2kUG/XR3Mt0wunbAwBKqWIxH8dhliVZljBGjPHjWna1VG6vt9dX1pMwaVQbMpM8SQ3r + TRyGNmPRcOhYlhlOSgiKsWNZjmVRjG3GfNcVWWZRWimVBr2ea9siy3iaeo7Tbbfr1apr21mSFPN5 + i1ItpWvbGEApVSqVDIllFEWu6w6HQ5MLa0gyGWOUUkPiYhTorRn8CCGRcYJwlmWMMcm5Y1nDwYAR + UsoXtJAi46CUzZgSwnMcz3HCIHBtW3JuUer7vjG0CoWCcRyapSCNYzNfCrlcGsd53zc/j8OwkMtZ + lCZRNNls9jodz/MIIWZGO5YVh2GSJLlcbuyixhhnUhCLCa3k9uqtqTovhCiVSv1+n1Kaz+eXl5dz + ngdKmdYa+qEwCHKeRzEGpbSUNmOS85znIa1hE7E2HA4ppWmamuxKxtiY0WuMizByvia1ASZQ29IY + Ca0wo2bpQ4RgShOeMcc2J4HghGeYUkRGi5JZJ80VzmkjTyPGJ2hw2oVCQSmVpqllWTZjjBDJOTVF + S6Q0o1FLCUoRhMwcyZLEjFWjgpgvS86VEL7vm2yB8Ssbt+f0kVvjDDa+A/P2LcuKwxBpTRCyKAWl + kNZmFNmMWZQOej2CkGmbltKilBFqaoFUSmWzrVuWlaap3iIStDQJfggsy8IYR1Hk+77WkCaZHhFk + aaVGenC73d3YaOfzxampqU6nY7J/a7Vaq9Xq9XrlctkggrrdbqVSaTabGxsb5nO1Wm23251Ox+iL + 4/OVSqXVagVBUKvVHMdZX18XQuRyOYRQlmVBEBBCGo1GqVRAaGRsC5GZArtCCA2KUEwo1qCEEFsz + Ks+v4aQQKNACNAeVKSmUFNpUXCXjlCSNjZsIKwT6eBlf50I0/JQyXFNGfRpXdySERFFEKe22OyLN + ms1mLpcTQjiWbRZ8LaQS0qLMQBiSJBl7UszugDEOwzCfz5v6lmbwe563trY2OTnpuq7ZXNI0LRaL + WmvXdXu9njHVzGgRQhjjxMQbB4PBiGTPcYQQnueZLcmUcAUAw5TY6/WklKVSyeStRFFkEBaMMXN9 + xpjneVLKOI5N1U0TJnIcByHk+775CWx6i8IwNLPezCnTDAAwfVUqldrtdrfbNeCvXC6ntR4MBr7v + mwFsesNcylh9pp/Nd0wgSG8W5gYAE9Azi4wBqSZJMj8/H4bhcDg0BCcAUCwWjZZr+nCraXQhCPkP + v/97GuA7d337oUceFloigpVWgBAcB5NAYLj9AQCdGOsw/8cYu/baa33H/cd//Md8Ll8qlV75ilds + bLQOPn7w4KGDzGZ7L9s7Ozc3s3NuZn52Zm5manY6TKOvff32IBzO79qxsraaZOkrX/2qr/3TP5mE + sDEhydjRixAymrplWblcbv/+/b7vz83OAoIgCC665GLXdf/lgQcef/xxjLHjjcxcgJF7kFJaLBZf + 9epXdVqdpaXlxeWVdrtTKHqEpZp0d+7JK7yqydreZ1Vn5p09e2vd/tFuf+XhR/Y9ceQgJfRVP/Oq + 6YmJTr/zmc/8XbPZuGjPRV/84hdn52ZveOELPv7xT3Al4igRQqyubbTbnfn5+VarFYbh9PT04cOH + y+UyQiiOY7NSjydezvMZoYcPHZ6bmwOtbcsehqHruLl8/siRI47jYEKMXZ7P5y3beu5zfmptZcVw + /O/cuXNlZaVQKJhN1HEcM87CMJycnOz3+3Ece76PMJrfsSNNU5NoZCiVzXpXrVZLpRLnvN/vGwyo + WWXMAGWMSS4QQq7jpmmapqlt21IIznmz2Wytb4CGYqEohVB6RO+ulDK8Dp1Ox0wzKaXRStfX16en + pz3f7/Z62ebebObSOEL1tAz4E2RbN7rRocdRe4M4l1JQyhDa9JBskXHo4+kVsCxqCEi01r7nmazH + Uqn0xMGDUkophOd5ZkE8cuTIzp07O52ueenr6+vFYtGsuUmSTExMDAaDVqs1OTk5Nzd36NAhALBs + W2kNm+sd2rIvnvJ5MQKCYTgILMvK5/Oe5y0vLyOEL7/8cs/zjHZ14MABg3vudDpZlu3atSsMQ9NC + M2Y8z1taWtqzZ4/RwpeXlw0N/dLS0vT0dK/Xa7Vas7Ozq6urz3rWs3q9XpIkl1566X333VcsFs1m + JqUcDocmZG9K4Pm+jxAqlUtZlhkbCaGRskIIwRhprcvlchRHQohKrbqysooxnpmaRQh1O71utzs3 + N9/tdvM5P8uyubm5jbX1xYWFHfM7tAbP9aIwtGy7vdFSWpdKJUO9KqVstVqGSuvIkSOu645hhKVS + qdvtTk5Ojl2evu8HQWAWOqN99vv9crnc7/d7vd4ll1ySJEmn07nuuutWVleTJFFaG46BLMuYZRkG + JDNKTxjJ5v+lEGabNxx99Xp9ZXn5+uuvf+KJJ0z3Tk9PLy4uVqtVs54AgFly2WaJ9zAMa7WaKQ9w + 8cUXd7vdUrm8sLBgXFrKaFoIoS2V1M+7mMlrPpgFx7atXC7X7XZgE5aDDMoOGzDF08qbpNG2KBHT + cgMkM7mspVJpOBy2NjZ837dte2pqypBTT0xMGG3JaCGG9JVzfuWVVy4vL7uua9v2YDBACJkk6WEY + +r5PKBlHz8aVjKR5iadqz5i9zTgUACCOY6313Oxsu90e+xpMHRWj+hgI0NTUlOlnw78cR7HjOGEY + RlGECY7jmFBqElwRQqaiNGxdWgCuvfZajNAPfvADbIobY6RAE0IQRoRipVSapEopizJmM9f1ojgM + w3BiYsK27aWlJULI1NSUySaP43hyctKsKpTSSqVitL0kSaampjDGS0tLBjuklDrl+SzjBt1kNOM0 + TS3Ltm3b0NkhNNI4TYdwzimhJ6yc51kQMqorQghhrBGA1kpJrEdwBKS0VpueDoLxSSv/KNa6XY7o + OX5QtPUuJyiiCGEwcxkDwM/9zGv273/k4UcfJghZmKZRahFmMUcJSRHO4tSz7CgIlJbIou1+Byxa + qlYxAptYIFQaJVII3y9Yrp9yFaccM1aq1jOu+kHg54qFXL7b7qVJNtGccF230+lsmsqiWCymaVqp + VJI4NiiyXq+HELJtO0vTycnJTqfTnJgYDoI0ywysbmNjgxBCMA6CwITdtQmtCJHEcaNex4B4luX9 + XGt9I5/LiYwLziUXFmNKyqmJSZFxrRSjrNVpSynL5bKS0pgchg7akC5opRYXF6enp81WaAwbAPB9 + v1wuG9yTcYFhjOfn59vtdhzH9Xp9dXWVACrk84YjOssyDYAQMgqq5djjHKStldEQIK31oNsjjNqU + BcMhw6TeaCRhZDm2TVkYR2kUU8ZAKtfzhOAnmOKnmT5YA9qyYv/y6375S1/6kskPPHWBTnTsv3jL + 8DHF7c3jIAAK+Fl7L33xC16klXrKIHaccxMfqFQqWZLu37//wQcfvPSyvcMgTGV2+TVXdjodzqXr + uoPBwPU8jHEQBE7Of9HLXjIcBkEY1qamVtY3CCG2bUdpYiz1sW9yhPiSinMeBMHHP/7xQb9PCPn8 + 5z//t3/7tysrK/WJZj6fD4ZDxhixmKnhY1ZtzZAwlVXC8Bvf+NYL/tULlfz/2XvvMKmq+w/4e87t + ZfpsL/SiNMGIMfZoFKJGjUaNGolKMIiVxBY1RkWxG7FBRFFjoolgFHsQAQMYQVCks5Ttu7MzO/XO + 7fec94+zu6DR/F7fx7TneQ/z3GcYlp1bTvme7/dTaC5XGjF8lCiFFSme7S1Gw1HPS/g+4XlsGqWq + qmHdPS01tcdke1O+7yCAfCm3+PUlllUORSJY4FluKQgCEgDGfOCTomNYjlvMl1RFz/Vm33rjTY7j + quPV769e8atf/cq27L+v/fDUU0+tra4plUqSKAHAY4899vMZl824dPrMmTO/N+Vkdhsfe+yxTZs2 + vbxksWVZnu/zPK9oquXYl1xw/oRx4zesX18qFMeMGXP66af/7ne/S6VS8Xh8zh13Tp48WRCE9957 + b86cOa7tRELhrq6u62+84bgTvyupyoYNG+bOnbtz584hQ4YwrtHo0aNVVXUcJ51Os+WTLXsIoT4n + tX6KM9NsUCRpzEEH3XzTr8LhcDab/eMLf1i8eHFDQ8O8efMqKio4jlu2bNntt99uFEt1dXXPLHx6 + +vTppVJp6tSpF110EVsjf/zjH/dk0qqqDqROB/CTtJ+a/9/TWHTI8rgDaT+WQfnSn/9PpT0QBwEl + AMC2Rl4QmKaZTCYPPvjgq6666tijjvZ9/7Zf//rdd98dMnjwn156qZAvKYoSi8WuvPLKDz/8UBal + d956e+rUqelUjyRJ991z7xFHHOF53q5du375y18Sz2cTDTrgkbE3vu/948lgCkCDSDzW1d6hKMqd + d95ZW1vLArgXnv/DggULotHo5k2fTZhwSEWioiJRkclkdm7fsXr16pNPPvnUU0895ZRTrrzyStu0 + Gusbrv/ldU8//fTOnTsb6up936eEjhoxMpfLzfvtIwihO+64Qxalc390zqZNm95+++2d23cMamh8 + /tnnKioqAGDVqlVz5swJabqqqql0+qKLLrr00ksB4N2/vvP0009Df8S5/x5+/j0L7ACgXC6n02lB + EMaNG/fQ/Q8lk8meVFdNTc0vfvELI1+87dZbly9fvmrVB8lk0rHsSCRy5S23Ln3j9Vwud+vNt/z+ + 979fu3ZtXV1dMpm84447ZsyYwdQgHcvmOK61J93Q0LBs6V+/f+b3MaDO9o49u/Z86/BvCYKIMa6r + qX3z9TdikVhre+tvfvObXbt2Ne3cxXHckCFDLr/88m8dNvmTTz95/c03Fi9erIVDAtfHsbE/70b9 + hcbzPLN5sW178ODBTU1NuqpeeOGFxx1zbBAEV1999Zo1a+rr61/644uu6wZBEA6HH3744TVr1rS1 + ta1bt+7cc881DIP4wWWXXXbaaafJsvzss8++9Oc/67rueV51dXVPJj3QQwZGAvrv8xH6X2kYY5YO + F0WRISBYLnz06NFnnXXWGWecUSqVXn/99ddee23nzp1PPvnkpEmTCoVCTU3NokWLFixYkEwmjzvu + uN7e3raWVt/3b7/99pNOOknVtVWrVs29995UKqWHQ4QQjwQAgAAhhMg/fVIsxmKCSczAQ5blSCTy + 4x//eMpJJxcKherq6nffffepp56qr6+//PLLL7vssnSqR1XVjR9vOPfcc88555yf/exnf/zjH598 + 8skVK1Y0NDRYlvX+yhVz58594623SqUS2wpS1n8+F9xwALj/BQAsOu6rnVKCSAAAYNt2qVTSkBYK + hbzATaVSiqIkk0nDMAqFAsvXIPRN+COFNUkWTNOwHfMAf6Tg6/oj/ZOY71/XSB9DCoAxdhDC/cUh + rn/9JbRvK7w/3u1v/2/G8n+VPjgCCHMK4il4ELjUzRYzRUuLREYPHZ7uTrW1tCqiGE1WGGY5qiVc + IKmWdow5DmFNVaSI6phWzvWQRz2KeE7w7CCztyMej2uyYJV8UeCIhwcPHdTR3o4Q0lQ1EonkcjnX + srGqiRzf25PWVa2tpTUcDh80avSGDRs0Ra1MJJubm4cOHfrxunXDR43ySMCLgm3bkXCY53kMSFPU + WDjium6pWIzH467rioKQz+WYU5+qKKqiaKqaz+dZzkJVFEkUSRA4tu25rlm2BtU3pFIpu2xiCp7v + E0IkTctms4qiGKWSY1qHHjJx69atoVAoFovZZZMDlIjGAGDX9h2yLA8ePNj3/XKxxIlSe0vroEGD + mpqacpneUcNH7N27FyNgygoAgDmOgeUO9C383PxPgcdcOtVz2KHfyuZz7a1tWkgXOL69pbWuprZo + lABTz3Z0VRMk0SiWBBAhYIm2/m057S+6/if6D3yDHCSMsWEYVVVVxWLRsWxVVR944AEAiMQjycoK + nue3bduWyxYqq6scx2WimYZpRiIRhFB3qqumpiafzz82/0lN01zXlVRlIAdDKWXJUegHinAc19vb + G41EgiBIpVKRSGTwsKHZbDbT2xsOhxk6U9d1jDHbTTJKGaW0ZJYXL1mSSaej0agsKT4JenoyyUSl + 67qFfD4aiUuSUiyWOMopikIIESW+bJuE+IlYxDTKpWK+Ih6zLIvnud7etOXYPM8ruqZoKmDkOS4v + IE0NEUIuuOCCfD5/2223EUIuvXT6vffee9VVVzU1NY0aNWrZsmWHHHJIe3v7CSeccNCQ0enuFABU + RCuGDhq8d+/emqrqY488ZufOnezCY7FYLpcjQAcNGnTppZfePecux3FisdiCBQssy1q0aBHbcCaT + yaeeemrNmjUPPPDAb3/729mzZxNCpk6desEFF/z6jt/s2rP7pz/96Y9+9KNnn32WceMYqqFUKjGJ + RlVVEUIsN8zmTJYYGCieCILw7cMPf/DBB5e8vPiFF14gflAsFkOh0HHHHJvP56dNm5ZIJGbPnn3v + vffOnDnzsMMOa25uTqfToijee9e9D8176L333jvvvPMefPDB2++8o2nfXoK+GJL+RxNsX77hQQix + tW2A5M1OkuMYcYzrB2z3HSkzSD3gk3/PMaAkCAKB50VRtCwrGo0WcjlK6aOPPup53owZMzo7O797 + /PFVVVUMzXLdddcVCoXvf//7jz/++KGHHtrb21sbr62trW1vb7/lllumTJly880379u374knnvjB + D36w9I3XRVVBiAKw7O3+K+U44UvPh0N8a2vryGHD29raGDXzsccewxg/dM/DiqL84Q9/EAThmGOO + WblyZSIWr6upPeWUU9atWyeK4qRJk4YNG9bY2NjV1TVhwoQTjjzh9ddfZ1E7c0jo6uo68cQTDz/8 + 8GOOOca2bVVVTz/99KFDhy5dujSRSPi+P3TI0JmXz0QIXXXVVZdddtkDDzwgKfKcO+747oknXnfd + ddlsdvYvrh08ePD27dtd11WU0MDmnHEGEEKMZsCUSxgzyvM807Sz2ezgwYNnz55dyGePPvro3z70 + 8PTp0zdv3nzttddu27a9u7u7oaEhn8//4Ac/2Ldv38tLFjc0NMycOXPjxo22bZ911llDhw51Xdcw + jFAopOs6kxWeN2/ea++81tnZKcvy9OnTy3b5hBNOWLt2bTab/e53v+v7/lk/Omv8+PELFy6cNWvW + +vXrFUX56+t/fe2d186/4Pzvfe97Rx555PLly23PdV1XkiRJkhzXDYKA/+o9UiaTqaioEEVxx44d + VVVVG//28cqPVt54442ZTGb06NHt7e3d3d2VFZU3/eqmjo6OqVOnXnLJJStXrmRTemVlZVNT0/z5 + 8yd969Abb7xRUZRrrrmmo6tr9+7dn376Kau1Hvhd/yQE/P/bge2fKIbxPJ/P5+PxeCgUchwnn88L + gnDllVdWVVXddNNNjY2Nvb29mUxmzJgxhxxyyBNPPLFly5apU6eed955K1asaGtrGz9+/KefflrM + F84777xjjz32xhtvLJWNm266ae7cuTNnzmQAJAr7H1Pfm68OcAVBYEgElkFTFIXH+NuTD3/88cc3 + bNgAAC+//PKSJUvy+fywYcN4nq+trU2n0+PGjTvqqKPS6TTrQrfccssnn3xCCJk4cSKi8P777xdy + ucrq6nK5vN929sBA/Cs6EUsSs2mZAY3K5bLlWgDAqmqdnZ2maTY0NKTTacZJZtXRb9IfSdb+T38k + RPdr3KH+Uvx/KmuAKQRACaVsgyQAxhhzTFmCkU0pJYAJ06alAAEh9Ivr4//QuEYUHNMSMUcIiSih + gIDtuMPrB/3skp8hgfd9n+d5WRR6e3srKxKapnV0dERU3XV9RZEQz3mBTyiiiAfEKUrIJ9QwzEgk + 8sQTT7zxxtJYbY1pWJme3nA4TCllGvGuZVuWNXTo0FQqlYjFHccZMmRIuVxev379yJEjS4VCd3e3 + Kskte/dNPPRQJh9COS6bzdZUVbuuyzYHjOqma9opU6ZWJisGDx5s244g8KqqmWbZNC3PcysqKgGo + 5/mOY/O8IAi8bTuiKNqu09za+thjj1VVVeWzOd9xE4mE7bmyIAqYcy1b07TW5paaqupisei7Hgak + h0OpVEqSpIaGBibVUOEPAXsAACAASURBVC6XKyoqDMOor6/fsGFDQ0ODoiiffPLJ4MGDgZJEIsGg + EB2dnQzNxEoRB9z3fsgJAKU0Ho11d3c3Nzc3NjYyPILv+z09PclkMpfLibxACCF+YBhGXIwfmOOj + B0h+/6d63Te5QWIdjjGRamtrU11dtQ21qq4YZsnIGoOG1HMCdhxLUbRiuUQQlRS5o6tT07RQOGLZ + juN6oVA4Ho+Zplm2LbarkSSJsZvYLMMjDACO4yQSCYHnPc+TBbFQKHgkkCRJVhQAKJllSikn8AgB + AcrzHAoQQ39ijLvT6ZCqBoQ0t+0Nh8ORqJzNtsfiEVWnPs3ZpV5J1gSeN62CIAi9BRNx4HgeKhEa + +JIidvV066qq6griMEIoCGgfxphCAAg4nvguGyEfffRRW1tbqVRqbm6eMGECkzEZO3bsvn37Xnrp + pRkzZqiq+tZ7b6mq6th2oZy/++67p02bdsMNN1CgqqqyvJfrupFIZF9L8/PPP//SSy+9/PLLGMA2 + rZAWampqSiQSrHDHcdy2bduy2ezs2bPfeO0NVuT97W9/e9PNv9qw6ZOiUZp77z22bYejEdu2Tds6 + +OCDTdO0XcdybN/3BSpihAEjjuMBgHV63/dVqQ8LIcvyaaedtnTp0nnz5kmCyKo9pXxB07T29vZ0 + Ot3V1bVixYpx48bF4/FcLlddXV1ZWTllyhSXuK+88ookSffff/+7774rCIJPGWhrP2prAOXyTXXF + r9H6Bt2XfDWhhOc4IJRAgBD4xAu8YEDTD2MeIYoQR2kAgCkN/nHL9O85IoR83+M45PteQDzMgSBw + yWS8oaHuvPPOMw0DYbpkycuZTGbUiJGyKLW2tsqyvGDBglmzZk2ePHnlypUlrySKoqIoxxxzzOzZ + szdv3pxIJJ544onLLrts9do1vUYeMGVXN3CNX3W9zBZo6NDBZbOkh9RoNNrR0bFn9+6Ojo4XXnrB + cZzW5tZyqSzywsjhI/6yeMnkI75dV1e3dvWa6sqqdKpHkeRLL77kmmuumX3Ntbly1ne9SCjc3dnF + EDKlQnHOHXfeeuutDCx0/PHH79y5MxwOR6PR7u7uaDRKgfb09Gzfvr2ysvKKK6544YUXvMA/7rjj + TjrppHA4vGnTpq3btgwQMA4E1h849/Y/XzxQSMGUZ0i5HTt2hEPaPXfPnX7JpYZhfLxu3U033JBJ + 9Sxc8LuFCxcahWJCTyxfvry2uqYyWcHz/KhRozo6Os7+4Vmbt27heZ5JxWBZ4Xl+6NChgwcPnjZt + GstKTJkyZd++fVOnTl21apWu6wBgmmZnZ6dhGB+vW3/C8d9du3bt+eefv27TujvvvNNynJ6eno6u + Tl3XRUUGgICQsmkylPxXqSJ4nsdQfJqmVVRURKPRkl966KGHOts7XNdtbW11Xbeurg4Ampqa9uzZ + s379+j3b9kQikd7e3lAoVC6XJ0+ePH78+Mcff7y5uTmVSk2cOPGEE0748MMPx4wZs2PHjnA0MvBd + By5s//JI8KvXTdqPnYABEAXaj6Y4UN6Ewpd8+G9o/2TNZ8sfSwsKgsAIzYlE4rjjjrvowp+kUqn3 + /rpMUZTKysod27bHo7Huzq7mvftuvfmWn100PRGLr/v7Rw119a7t0IAMHzps/vz5a9as8Unwi1/8 + 4g8vvjhmzJhtO7ZjjFlsTCgl/1eaNuhvkiSFQiFCiO/7XV1dpmmmurqNYgljvHnTZ9+efPiiRYsq + 9OSoESOHDx9+/PHHX3PNNadM/f7999+/p2l3Ihavrar58bnnLViw4NVXXxWROGjQIN/3GZ4H+uF+ + CPXtGQcC975G8cDfCaEc5igNKKU8L3McdTzDNM1sNquF1JqaGs/zOjs7VVWNx+Oe57GM+zfsj5Tv + dVy1zx8pk3FdF2P+AH+kgBCEgcP9vQr1x3n/loHxlQ0BYEAcAUwID5QDhChQQthJ8RwmGFOMCKUe + IQMyu1/Iaf5PVIYpYIKwRwEREEXZc1wugKQWPu5bR9ng/e2jNQ888jCmQB2np729OhInjh2NRgLf + JYSUTRMJoqRpphuYfuBSrEdjuULx0kumVybiIUWRERdT1e7elCjyiUSiuqJS4gXXdg6dOOnWW28d + P3p8AJ7ruWEh/PzLzz/++OMr3l4egM8B5oEPILjh1zcuefUvkWi0mMvHozHqB4hQjsMACCMk8HxI + 1cYcdLAkSH/58xLH8TgOSZJiGMW6uoZUqksQJNe1KUWWVT744LG+7wYBJYg0t7bMufuul/74x1xv + VpVlhJBplAVJrKqte/nll5mBWOB5Z599djQcxgiFQiHHdnjMRUJhpmUSj8c5hDmERV74+YzLyuXy + Aw88oCeSjfUN48aMvXb2NXV1dbt37/7ss88ef+IJhr4rl8sB7J/zSf97SqjIiUjApULh8MMOe/bZ + ZxVFefHFF1977bV0Om2ZZiwSWf3+6rZUWywWC4Lg0MMOi8QiAPtzCvCf3pB/kzLfDOAeCoWMYmnr + 1q08xvWD6ts7WigilmVVVCRlWSwWM4qu6LrqurYkq8xKFSFkmiZBIGvq3r17FUURZInBHNnWiJWq + AYADZFkWK+jn83kWxIfDYcRzxWKRF4RYLIZ4zjAMhjgCAFEUbdNiWoS6rquqVioVOR6FQlq5XIpG + 6wLPxxjLskQptaxSNBpPpXp0LeR5lhaSJU31A89zvICCqqi2U2ZKsLquc6Lg+J7l2IQiXhSJ43qe + 59h2OBxuamo66qijxo0bx/P84Ycf/uSTTw4aNOihhx667rrrmpubfd/XNO3www9f+f6KcDjM7MBj + 0eiECRNOOuHEfCFfLperK6tKZaNQKCiKcv3118uyfOedd9bV1JqG8ZMLLnzrnbdaWlqmTp163333 + sctsbGzcsGGD4ziGZXznO9/58MMPbdvesGFDOpcBjMLhMFtlZVkeMmSI4zhbt25lm8YBbABLqGuK + xnok414zkoOiKCNGjFj855d910vE4n0+faqq63ptdc3RRx+tadoVV1xx/fXXM7GUffv29fb2mqa5 + d+/eQqGQSqUOP/zwdDo9YsSIptZmJi81IDN14GD472mUUkmSBrgxAyc8kCYcGLf/HDLxr26MmcrQ + //FYjDHNJk6c2NzcXCwWC7mcLMsjRowYOnSoUSxhgJEjR44cOXL8+PGU0q6urvHjxyuCMnTo0Kam + JpZBKJVKCCGWUuJ5nKyIDySEDvzeL93QIgBEaG9vryJJrFoSi8Wqq6vr6+vPO++8WbNmVVVVLVy4 + cOzYsQcddFBUix539DEnn3zytm3b/v73v59yyimFQmHixInf+c53hg4dunv3bt/3E4kEQsh1Xcdx + Fi5cuGPHjrfffpvVNA477LDf//73P//5z7///e8vXbo0l8vxwCcSiaqqqi1btlQmKgVB0EJ6SA1R + Stva2oYOHSqIfFtbW2NjYywWy2Yz0K/l2BeT9T9cSimTQy2VSuVyWZYVRVFkXj7i8G9v37bl+eef + 54ArFAo08FVFPeussyZNmnTTTTctW7as6BRZTjqXy4XCoWnTpmWz2b17944dM5YFl6FQqKujs7Gx + 8blnnrtw2oWMH4IxHjt27KxZs+677z5Gz5Blube3VxTFjo6Od955Z9q0aZFIpLa29pNPPmETLIOz + K4piOjYhhBcEpizk+/5XVZBY2ps9YgA45phjMplMe3u7Y9nsaXqeVy6XJZDGjRtXWVl5wQUXUKD5 + fN6yLDZzrlq1ilK6devW3t5eQsi2bdumTbv4qquuam9vr6qqcn1voBSMDtwj/e/km/+rGruBmqZx + HMfYPrquJxKJiBhuamoaNGgQIYQJT4fD4UwmU19fr2laMpls625jTJtUKsVQnY2NjZu3bqmoqOBF + gYmbNzc394npI2aM2zeDIYS+aoPN1gjG52aADga6I4RomjZ69OhQKDRmzJgXXnghHA4v+2DZ1KlT + o9Ho2LFjGT198+bNDQ0NhUJh02ebLrroopaWlnSqB6qgkMsXCgXU3y0ZBmwA7Ee/WkWKiYUElBEt + fTYnS6LCxJxYn9R1vb29nd0NSZIymX+NPxLFqqomk8lSqWRZzgH+SIRS2uck/V/TOEbzIpQLKPIC + 8APiE0T6vW4xAoHHkkB5jAAoQsEXxHX7x/X/RB2JIuBVGSHkW54VeJ5lQ0CcUplSz7HND/+25qCR + o84+8wyNgNObc7qz7yxevPuzjSIiPOYEz9f0cPWQyJAJE8YdccTBRx6xJ5W6/te37dr1WSQSAWI3 + 79sZi0dGDh+WSvewaBMD4jhu8+bNV1xxRa63NxaLzZ49e+TIkfPmzZMkadiooVVVVb3p9JIlS2zb + XvLy4rHjx3WmusOhkGXbjh8wwTcmKcEqk7lc/qMPPjz5e9+bNGnSsmXLbNt+8803t2/e5rpuVVWV + 04+p9obY1dXVsVisbFnvvfdePBpzbQcD+L5PgwBRCoQeduihbS0tt99+u2VZ6XRalZVisej7vuXY + 4WiUxTlsTJmmWSgUXNedMmXKaaec9urSV23b7uzs7O3tffjhhzmO++EPf3jsscfOnj17+44d69at + a21tZRH7/vs+QPVByLZtTVEEjj/jjDNa9jXPe+zR44897sknn7zowp8AwNk/PGvtx2ufW/Rs2TJd + 26mrqSnbJvl8QPifTKB/gxsk9nTz+Xwul1MkuaqqKqRpsixu3rxp8ODGxsbGUjGfyaQxRgKHeB57 + nu8UcqFQpFDIB4RGIhFBEPK92YqKCt/3LbdPM2DAGqVP8UaSmWMJU2Fi8DDDMBzD0TTN8/2Ojg5O + FHRdFySRZWeDIDDMMs/zvutFIhEmTRhAEI5FEeJKRdtzEFAom5au6yIfEnhVlkKRSCyd6QxsL1vK + SooscGJAfcd3QhGdekHJLHX1pBzHEXhJFGSO4wOfEEIBYbapAIARI0bcddddoiiuX//xpk2bmHRB + dXX1lClTgiCYMGHCid898bcPPbxr1662tjbLsvbu3TtnzpwVK1YAh9PpNBNFoAjV1dVdPv3y8396 + vqZpPM+Hw+Ezzjjj3nvv1TTtxhtvfOaZZ/L5PKWUoQhKpVI6nZZluaGhgc3aBbtMESCEMplMVVXV + oEGDCoVCe3s7W3qZKgsb3jzPs7j/QCMajuMwIMdxwuHwvn37dF2//PLLD5t06OLFi5966ild13me + v+WWW3K53JIlS9ra2lh4x3ixDKYYj8c1TWtpaeno6GBCJQdSFAbe/LftkSRJisViA+TjgUoXoL6B + +nXB2f+iRgH7vi8JoqIosiQRQniEo9Go67qDBg1yq6rmz5/f3dk1d+5cx7IN01i0aFFPT4+u63Pn + zk0kEtlslgLds2fP9773PdM0McYHHXQQz/MjR45kyvXpUpaiL5mbvpQzhiiInDh86LBiPs/zfDab + Pfjgg+fNmyfx0qOPPvbJho2hULipqek3t/56w4YNRcuYMGFiNBR95ZVXGhsba2pq1qxZc9BBBz3z + zDN/+ctfhgwZwpQkYrFYR0fHCSeccOihh06ZMkXX9Ww2W1FRcfppp8+fP/+VV1455phjFi1alEgk + MOB9+/Z1dXUBQACBoihDhw8zLMM0TcuymI4cxpihsVmX+8dl3nEc5hTO+And3d3pXFoQBJe41113 + HQm8IAhmzZrpWjahQdPupkg4LEtSY2OjqqrL3nm3Ip4ADldWVv7y+uuuvPLKKcdNmXbZtLn33tPe + 3s70VIYPH37nnXcue39ZJpNhMgxTp0597rnnWlpaWltbR4wY8emnnw6w2BVFYTp4uVxu5MiRu3Y3 + sTjP9/3BQ4ek02ks8JIkUQBCSNkyBe4r53PLspgGBqtF2LbNqkaxWOydd95Jp9MXX3xxb29vyStd + c801iqK0tbXNunZWW1vb2LFjbdu2bbuqqopFhMlkslwuFwqFto42WZY1TYtEIgMcpC8gZv+7RvX/ + TmPzMFOFHWBpyrK8r3MfAOzYsWP79u3FYnHSpEkTJ06sqaqZOXNmOp0eNmzY9ddf/+6777IMSDKZ + 3IUQpXTHjh2FQoEXBcMwkqE+dVNCSB/Ejj0yjOhX20KzchYDNbC9dDgcTiaTqqw8fP+DnV2dlZWV + f/7zn1cuf19V1UyqZ1B9w5AhQ2RB/Nkllyaj8R1btzU0NFhGmVWw77333vvvv/+ymT/v7OyMRCLR + eLyzs3OgfPe5PvNVfk39MRODrlBKOYp5ASNMg8Dv6uqSZbm+vr5UKuVyOUaXIuQb8UcKu66bzxf2 + +yMVDNqvFc5xZcMwmFQGQ2gTnxw4IgbEJ7/yuX+dTvL/oSFArGSE/YA4Hrg+F1BMALOdD4cI2yyJ + POI5zGMAYHukAaTTP1/s/tXn/7XmkwBBIfACBBwQQgMOc/FwTJVUGUmcwvOAZvz0kpH1g95b8srI + ZHW+4H625PUREh8iXljSCCFekCtu2ZPevo+Kcuzgg75VX335tHML1P9062ZJB1XVEYLuzo5wNOY4 + TrFY5EI4FosFnue7rixKI4ePOGXq9xcsWCDyQiGXpwGprqx69OGHq6qqzjzzzEQiwcCfjY2N4VAo + 09vLcZwoCK7jlPwAAFRZiYYjGOC7x50AAG+98fbZZ5995ayr3n777VQqlclkOI5HFNm2/fG6DVOn + Th0/doIoC396+aXA84HQUEgvFotM6MW0rUgojAHt2rGTdUvPcYcPH37pz6YXjVI8Hl+4cOHGjRvr + 6+uDIGBp05/+9KdHHHHEhg3rO9raErGYJEmJWExTlEceeaRQKLz44otTp05ta2tjKFbLsmzPZfec + lX8HIEKSKDqWbZsWDUhXR+fK91fs2LKtoaHh8MMmv/7mG2MPHmMUS6ZRNi1zx7btPgn0WBg+34uY + CcP/PAeJsSRjibiqa4Hnu76XyWZrG2ovuOAna9euDoejCPM96axt26Zpe54ny30ChZjjFFU2TXNA + 5woARI5nyoYMakwREKCu70mSRDEKh6OFbG5AYJGJYomi6BmGqqpqSPd9P5vNAoAkSewYCYWLxSIA + +L6n6loxn8MU80ikBCWiFdlsNqLFRUH0vXJvb47lgAVBEGVBJKrl2LzAIYzz+XxYVykhgLna2tpw + JEaAmrbteZ4gSRwnYIwJAGAkSdLb777z24ceLpUN4pPBQ4fs3L7DC3zf9489/rg3lr7+80tmOtTe + 19I8dsJ4VdM833/o4YeXLl269M03Tj/99Ia6esdxOIEvl0pz5sx5+rmF7e3tTK+iu7NzZOPIuXPn + 5nK5ZDxZU1MDAEx3Mp1OR6PR4Y3Dd+7cmU6nm5qaOA4rolQsGyIvVCYrqiurisViW1sbSySzDART + JaKUcghToExPVpMVTCEIAoHjeYwdx+npTo0ZM2bLZ5sfeeSRX/7ylxMmTQQOf/rpp8nKip9cPI09 + OMMwwpFwoVTEPJdK93T3pCzHzmQytbW1zJtZ13VMMUGkL2fPlMQo2r9sINoH2aLoq00p+80P+34Y + EMUACChTW/xcNI/6jO/oF6bu/hQlE0H5kljfdf0goEHgG4YxAMpCCDGDUUr7oOUIMWFUChTDARuJ + AxIg7AwPkPehX5YVRWT/5wO/h4r9HAUCyP+K/4sZeorn+ZbeVl3XA88jBH560cUff/TxhAkTrrnq + 2oULFm7duh0ANDU8afJhXD+3slAo6LruUi9RkVy+fPltt91WLBbT6XShUGDiCmvXrtWiegABpYhA + 0HeNX3ZE/RYavuOqcp9wnKJrK//2wYP3PRiPx7PZbCQU7uzuEiRRUpUjjzn6b2vXTJ8x442336AI + wtFIa3tbY2Pj7xY+9dhvH1vyl1dmz54dioQtx44iqKmrvfueudfdcL3l2JRSRVMnT57MA//SSy8p + iuI4DpPutQKrsbGxWCwqiiKDnEql8sWComiCIAwePDiTyaiaEo/HFUXp7u6OxSIMJgQHZNA5jDVN + k2WZeH4ul6utqg2HwxziJVHmMPrROWcxOzXXdiorKw2jtPKDD268/qYVH6zQdf3ss8+e/9TvAqCZ + dE9HVycB2tHVuXX7tp5M2jTN4cOHu65bKBQmTJhQU1c7e/Zsx3MZIHny5MmHHHLIWWedpev6rFmz + Zs+ezTIpiqKUy+Ux48Zu3b5VFMWt27fW1tZhjBKJhKLKzc3NFRVJx/cdx5ZkxXYsWVIAUUoAEEV0 + f58nCDAFzHPQXxb2fX/nzp1XXH45K0NdccUVCxcsZFKzuqCfeeaZmUxG1/VsPjdy5Mj2zo5QKOQF + viiKFMHw4cOXLVumKMqoUaNCoRDP86IoZvM5JujCYOcD6yMwji0CBKz38gAIKFt0CEVk/3gfGAf9 + I4d1/AMj9i9bIynQ/p/8x2P/b/uS4wEzAur/XjpwBEoR/J9ifBT1/fnHf/r8eD/w9wxcKfnCJwNn + 3v9PlAL1A58AkRXJ9V3DMCilXuDHk4lSofiTaRc9+8yiCRMPaW5u7smmf/Pr2zZ8sjHwfAL0oIMO + MsyyIAjd3d2iLBGgQ4YM2bp9GwCMGDHCBVfXddf3WM4xAMpiGkoooRQDu6KBO9R3pAhs11ZVjRd5 + VVaNcp/Ob08mfeedd7a2tnqeZ1mWGtI5jnvtjdcXLFigyMoj8x655JJLlq9aIWtqd3e3GtINs/zi + n166+eabX1n66qyrr6yoqe7u7i51tHMcR9HnA6M+OBr5MmgxAIDneRRRnucRgOu6NKAc5hClAQ3C + 4XB3dzfDyImi2NnZyXS9vgl/pMov+iMh/gB/JNm2Tdd1Oa6PwkoQQYjjESIIICABUI72rRhsYB5w + 7GNf/etiQUwBA3ABRQEhnk89H/kBUIQxx2YOCkD8gFAChAiSyCPk9WuSsadB/mnt6L+wqMSJgu97 + lMcBUC/wAkpKlunTgCJaKhXbWpojHBeRpRCgYtlsFNSfHDlZK5VEjwqIF2W1o1RMi6jCdKCYT5fS + djFrEJcGdmVlwjbKpmnX1laXbUfT1WRFgiWaRU4vlUoVFckZM37W1LTr3HPPaWlp3rp1a31trSSJ + qq5jjF955ZVzf3yeKEsjRoyglAaENDQ0sJw4w61YRlkQhKqqKlXW0p3d0Wg8HopccM75mqLcf/c9 + kXhMAMzzAiEkHo2VSqURw4ZPnHCIT7z2tjbmu1rMF0RR5EXB8zxEwXXdysrK22+/vbGx8RfXzu7s + 7Gxqajrl5FPaUu0Lnnpq3759FRUVPT094XC4srJy27ZtL7zwwu23337ttdfqui5wfDbT63nexLET + zZIhC6JFy4eNP0zgeQBg4NJoNMp04QmwfswQdjQglFHcPc/jRaG2traQy1NK6+vrZVEyDOOHp/1w + UEPj6BGjl72/7K65dxeN4hc46iwP8p+qWH496TAMgCjCn38RhAhCAaEIc0WjLEiy6/sUIS8IOjq7 + HYcOHjLSsoOWtm7T9gGLihYqlAxF1QlFpmVp4VAAxKeBpMoA1CyXA9+XRNEum4IgEOhLXLgkwKKA + Bb5kljPZXj0StlwHcxwjxjGtaoQQx3G5XM5ybF3XZVkOPF8WJR5zhWxOV1RZECkCWVE0LcwjmfrI + tzynbOmySgNAFBuFYmUiDuBLMu84XuABRzhwaEKPUJeokiwKchBQXhCKpbJhmoKoKLKGgLPLtiKJ + RrGkhUMeCQCjRGVFvlgQZCmgQW8+q4W0sm2m0ikM3KOPP+pD8Obbb2ohbV9bS94yIsn43raWn185 + a9WqVQDQ1dUVCYWNYunKWVf4rvvUggUcwlbZRAidffZZqz/+24MPPjBnzp2vv7n06KOPMoySJIme + 51JKLrtsxt83fmgYJQCaSnWfdtppAsLge0cfeURjfW2mp7uQy7q25bsOh0ASeAzUdx1ECYcAiA8B + IZ4vC6IiyYHnWyVDUxTHssN66IOVq8790TmVlZU9PT2FQiFXyMuqEo5Hs6W87TmiIhlWWdbVomlQ + DmmREBa4rp7u2oa675743Wy2d/DgQd+aMGn9R+uoS5APHKIcChD4zDuCIkwRUEQBuYA8BC4CiiiP + iAhUBMof0FExYgYlAIgSBB4CD4OLKcWUR0REiAsowRwSJSHwPQyEAxB5TH0fggBTiilFhAKlQNiU + j7/UowQDJ3AijwWrbFtlGwgKPEJ86trEc6jvAnER9TBxEXURsYE4gDwOfI64SOIUx/Jd2+MQ77tB + 4BHikcAj7DcEAWXUtYFX4O1/+T74HvF9z/dI4AiBowSOGLhc4JHA8wOPBB70/zCw90AQojjXmwfM + OY5nmQ7xadOOpmuvnv3Rh+ty2QIHgiJrPakMB6JhmU7glyxTUGQ1pO/as1tG6tYd23lR6Ozu+vEF + 57d1tFNKzzzzzJdeeglj3nP8wA0CNyA+HXgdeMJ919X/QpS3bVcUZc8nkqzm8kVB1/KWiWTJ9L1E + TVVHqntfW0syVvngww8gQGXHisSilmMLkijK0uq1a07/0Rk7du3kBL5olKpra0pl45Zf37qvpXnd + x+sxzxVKRczzRx591H3z7v/1b2674qor9+zZc/rpp0uSJHIiK3I+8ui8Z15aRBGIovjZls9uuukm + AAiC4KijjmJy1UwxmYkRs8bm3yAISBBwCDm2pWsaooABBYHnuLYAok98L/AkRdKjIUERfSArVq2k + ACtWvr/+43XRWGzT5k2tnW0VNdWcJLq+f/c99/zmjjv6ZDlNEwhVFGXBvAW33X67IIk+IYZZVjT1 + xOO/d89999586y1XX3vNkCFDOjo6KisrWSZvyJAhJ530vTfffkNSpD/96cWhQ4dcOO3CdKantrYa + Y+R6tixLAISSIKSpllnmOASIUkTo/iOliBJEEY8RhwgQL/AQh0KR0Ifr/n7ddb/MZnt37tyBABEg + pXLJAUcLaV2pLkVTKAbTNhVdLZVLBFHXdzs62o8//riaqqpcLnvaaae+tvRVXuAM08ACBxyiGAii + BPUFWogQID4GgsDFyMLIwuBiijGRMJEw5RFQBC4CD5AL4ANyEbgYfAw++00YyAG8IWBTk8QLxHVl + nucDggKCad+SilZ5bgAAIABJREFUhChCBIAAIkADyj6hPhE4gUdc4AWYIh5zxPeJH+iK7rsBj3gM + HI94GgAQAoRiIBj6rmNgrjBLhiYrxPMxpYHr+o5DfZ9HiEPAI4SBIkqABJQGlAaI9p857X/1y671 + TVx0/wyGKQaKKWAKPAGeAk8AE8AEAXAQIE/SOE6ipl3iJd713XRvpq2z/axzzo7Goy3trV2prmgi + livkwrFI2Sp7xOcETtHVolEkiCqaIsqi67vrN6z/9ne+nS8VEI8vnn7Jyg9WGOUSCXygBAG7RgKU + YAQ8h9kT/MdjAAQ4sFxbVMSyVY7EwpzAtXe2V9RUc4rkAnFo4NGAkwTTtfNGUZDE91Ys375zR1xP + bPhkY66QJwj0cKjsWms//uiMc87ygSCByxkFLPH5cpFXRE7gAANFzIwHgBIIgn5eGAFEge2oUR9Z + jOPxgEacIAiiKCLEUYJ8jwDFkqgUC0a6p1fTtAP8kSLJZCKTSefzuVgsGgrpX98fqbdUMj7njxRS + EaauZ5eMAsejyqpkNBpGmAaB57q2F/gUkQACL3ADoJjnEM8RoG4QBIQShCggghChQABTzGxav8br + azVEgXODmKoLFBnFkud5nCRWN9Y/+cxTb76/bOPWz7oyPZjjYpGoV7acUlmimCfAB8AR4AhTVe5r + Bxp5of7Xv6Wh/a8vMgs//zkgBCAGIHi+DFjCPMfznCIFHFf07DJ4ejSSzWUEDiZPmrB61fuOmROR + h62yYtkJL4hZtpYtNHJinKJSKgWuw3NIFPhQKFRbWx+PJzU9PHLUaC2sVVQkamqqksl4dXVldXVl + RUWioaFO1eT5C5646+47P1r34Q/POiMgXjwRxRzcfc/cW2+/LW8UT5hyUiyZCIXDdYMaq2prEpUV + 9YMaw7FoVXV1sqIimojHK5K+78ciUZmTNEXvbO/qD3uwgAVZVAI34ABZRjmkarIgQuDTgEi84Dtu + WNODIHAcx3c9Qoiqqqzw29PT88ILL/T29lZWVrK7efkVV7z59luI47wgECTJCwLP8+Lx+K4dO3nM + WWWTQzifzWmKqqvaAw/e9+C998++5tqNH2/gAEVDYddxREGora31PO9Ayy/aT+xEHJcvFnhREGWp + K9Xt+l5VTfVrry8dNGSw7To9mfSVv7xq+oyfnXrmaQTo1KlTmQbbgIkI/KdFvL6xCpKqqqFQiCJw + PFcPh4Mg8EnQ0dXl+p6u67ZdLmQL0VDU9lzX9XU1VMjnTcsaOXJkS0uLbduRSCTfmy0Wi4MHDbLL + ZtDP96BAKSE+JcyO1/G9cDisKkp7a1tjY2Mxl3cchwOkR8KlUokQAhyORCK222ddDDxlPyBpmue6 + qVKJF8Vi3vAtz6VBMpJACpTLpXw+X1FRAUASiZjtmJRSSiAWTXZ3dNZU1cqcZBm2aZihkI4RYpJN + XhAwdilz5gqIJ4qiVTaYM11HdxdBEE3EmV6cKIqggwLax59szBbybuD/ddVft2zfJqlKKBLlRbFp + z+5YIr5jxw49HLIsiyXyDznkkMsvu/yqX1ztOI5PCDP5uuGGG+bedffTCxYccfTRmUzmuOOOW7x4 + sa7rDz/8sK7qCNCMmTMsyyqXy/fdd99dd9315JOPDxs2zPG9O+64Y9u2bWzfyHKHA7Vy3J8qBAAg + 1HFskRckSeIQ4hAWON40yuvXr5dl+Z577hk2fPievXvmz5/fm8v25nK1liVKUqFYRBgTBIIsZQv5 + 3fv2qpq2Z+/eP/3pT9f+8hdz5swJKfq7773b2dnJ85ggQgOf+ZwC5THGgDlCCEDQtxYCBiBMMJRQ + oJj0LZD/kNPFAAAEgAMARDFG4HgBL3Ku63qOK4u8LMvFfIHDsiTyAIAIIgiAMjwJy4x9pWw3IgyQ + gAFxPOIoEA5xTIKW5VgxxdC/MARBAIAwopbtOpaNeQ44jDHmEQ9AAAGmlAEZKGL1igO5IgPlI4wo + UIQROADQx6ftu8YAEKut9Z8zBQBMfUKCwHU9SoHHvKaHSl6wZ/fep55aOP+JJ38+7ec5M9fc0dLa + 2lbX0JA2stU1NQHQbDbbu3dPVbJi7Lhx7dn2QYMGffLJJ7+4/rrXFv9lxYoVjcmGPR17rrnmGkmS + bNsEDiMOY8CAEQBHaH8akZ0zW9UBs0w6xwkAgDgcBMFnW7fu2rMHc9wAi6lklh3i//W991LptE+C + rU1bO7q6MtksxrhsWa7vI47r7O5WNK2mrq65tTVXKBx77LHfmjz57LPP5gTBtu36xsZ8Pn/aSac/ + 8+yzmZ4enueXLl06Y8aMZ599lgNu5fKVRau0ZcuWV199NZfL+YRcd911jzzyyKp3VhmB0dHZvnHj + xlQqxWC6vu8ziiNAH8GM53nbtplmNzMW6+ho43mxri6ZMTOhaKQ3n+N53rSssm3JshyORvLl/MZP + Pz3yyCN5EDZ++kn9oEZBEts7O9o7O7p7UrqqUYT6iqt66PHHH3/9vTe3bNlSKBQqKyuTyWRtbe0H + H36wZ8+enTt3chy3b9++CRMmfPrpp+edd962bdsIIcuWv7dt2zZKg87Ozj8v+fPFF18849IZAQR/ + XvzyvHnzMpmeqqqq3t5eQRDi8ahhGKxSRPvqlqxvY4rAdV0kSbIs67re3d39xhtvRCORq6++evXa + NTQgf9/4d9M0a2treeAty2poaNi+a2coFHID3zTNRGUFx3EB0Btv/tVrr/xl/NhxIweN2tu1d/78 + +a7nJRKJYtlgkEtKgz7rUYQwBow4EgAGzAo3ffx0igEwRQGmiLB+QTFlHRtIn4xZX7/HGAgBDMzy + guNc2ymXy5LAy4LoUUIC8AlBFBBCmALqZwYKLO9IKMYIE6CAeIoQQhwL6/wACOUoIAocAKLAA2Lv + AQ48EgAsiRKiQPwgpOnFUoHJrpbLZT9w2U8ghCgi+63Rgr4RjfsZNWycfj6AJIAIpizuB6CYIAJs + X8QKToj6xAuIh32KMUY80nUtH9CWlpbnnnvu/vvvP+2002qraynQ1atXNw4ZXCqVDMuUZdm2bdc0 + OVEIh8PtXZ2O7yGee+W1Vy+66KLVq1eHQ+HPNn+2du1ay7KYEWTfQ0GIA6CEsilqoP/017IIAPjE + QxxWJIkQYlgGWBANh4cPH67qWr5YMMwy07ayHMcnpFAqrV67Ztny9wyz3GtlV6xYMWzYsJ6eHsdz + V6xc2dObURSFE4XPtm9NVlYY5XLZtkzLAgB8gJIeBwhxuG+RQl8CvvvSxnGcgCQmJceAT7yARVFk + +POOjo6amhpZltvb2ysqKurq6rq7U4VCwfO8uro6QRDa29uZ3h0AFIvFIAjq6up4nm9tba2qqmI6 + DV/6eTqdZuBVRVEwVySESJIgSkrZcBiIEffRpjhGmuI5YeB6KGAASoAiSrkDluJ/RdMUdff2nY2N + jRE95LmuIAi3z7lz9KjRiqJ0dXd3dnXdc889DLGcz+ftsklVgWKgDO6B4MvtZQAA+upg/1UNU8Ak + 4AFDQBAihBDDMimPRVFDALlCvqGxkZfEFW//tbahtgpEH1GCMGBECQeU+L7vBpyoyaFYFCQ51lCz + 9+03y7JoWPa27Tussq1pGdY/GS2N53lZlgWOI4T4rseM+CZNOmTMmDGua1tWefPWLaFwnBBCMSoU + Ck27d/OS2NHdVTRKbDwiChhj4vkAEAmHTdPM54uiKMuyrGmh3t5eSaoePnx4a3ub57iJijgDQRSL + RdM0BE7IFfsmKN/3Y7GYZVmAkV22GcUonU4//fTThmEUcnk2Wn3w+7YiHDbNcjQcEQShp7ub8dIZ + m465ayqKksvlFi9evGvXzqOOOfr3v//9hRf9ZM+ePaFQyDTN9vZ2XddpnyR3334GY4wAgoBEYrFC + oWA5zvixY33f37Nv7223/2bFihXhaOSBBx7AGOu6vmvXrrFjx678YBUj1xy4Oxo4/kfa19sgEQD6 + FRbgLKz3XS/wfB5QIZcbMmhwJpPxXW/YkKE7d+4MPJ/4QW93T1VVVUjVbNvmAWV70pZpMveSwPfD + oRAExLIsWVM1TfNIwIAoIicCgBsQx7QkUTSNcljTjULR97yIHmKmirIsO66LEDIMgxUW2e+0bVvA + nKIoJAg4jh8zaoLjeMV8QRElDnGlUmn8hImZ3h5Vl7K5dEhOEEJ0LczzIsa8JuqJRMJzbEAkHNIE + gc8V8ul0W+D7sUg0EYuLAocRpcS3TZPnOVEUKUa5fP6Dv/2tqqoql8/zPO8HQTqTYSzSxUuWRCKR + fKHw5Pz5uVwuXygghD/euGHLli1Fwyjm8+Fw+PkXfr9nzx5Jka+++uonFjzx1ltvVVZWKpqmqmpX + VxeTAJ5w2Lc+/WwTLwrPPv9cJpO5ZPqldXV10Wj0nXfeqaqqCihh69YFP7nwxBNPrK+v37pj++rV + qxHGuhYOAspxfBDQ/bI6tL9Oh4BiCCjpY+J6nut7giTatr1l29YNn2xcvuL9SCSye/fudG8mGo22 + trb+bc3fAqAYY14SOY6zbXvTpk2lUqlsWyLPL1q0aOPGjYoopVKp3buaDMOora/3aRAQLyDQ95WA + WQ0EgCBAACzgJgh8Chiwj9iuqS/O+DzWjqJ+owwA5ANgRZEczyaEKJLsOm65lI2FQ8zUiBBCfEoR + YMzsg3EAlP+KGiql1DUtjDFxPUoIAKKeTwmlpC/MAwDKNkgUoA/vAeFoSAuHWOKwO51CiApfLbs8 + 8H+/UMhFQDAQQC4gC8AD5ANyALkAlAAD8tG+zRIgQejX2KCU1ehlWS6XjNWrV5966qmjR45qamrq + 7knV19e7vnf88ccrmmK5jq6ouqIKgrB3797p06d3dHSEw+F8Pv+DM35w8MEHt7W17d69m8dcsVSs + qqpwA9/xXN/3A9/vW+Q5jkFhP3fH+qHq7FYHQbB69erdu3cPCOByHBf4vqZpy5cvX79+/datW+++ + ++7Ptmyprq1pa2t756/v1m3dUiobTLNk5qzLi0YJMLruhuufmP/knn17McaVlZW9uawgCCefcbJl + Wd09PYSQbTu2X/CTCxGHz/zxDxlq1PO8pj17pH6LzHPOO3fQoEGO4ziOXS6X9VDI9/2yZbKMlyzL + PI+JSwnQgBBFVTHPGWY5V8gPGTx86PBh5bK1s2nXOeee29XVhTFWNY3023Z9sGb1Ty+5uLW11bbt + VX/7oL6+vrmtVVbUyy6fKYpi2bZKpVK+WPjxBRfEk8nvfPvbyWTyiquuCihRNDWV7tE0jSJ48OGH + u7u7JUmKRCJz771n6/ZtY8aMeeChB9Opnh07dkiK1NrR2dDQYLne/Pm/27x5q6woH3/8MbNdTyYr + i0VDllWMcT5fVBQloP4XMF8DfyWEGMUiIaSxsVHX9bffeeejjz7SZEXTtGw2q+t6d7pn3OQJ5XJ5 + +PDhiOdKpZIky6qmHTZ5MiPAtLW1nX/++ZFQOJ5MrF+/PpVKDR02rFgsZnLZ2tpaQgghHKUUiA/A + uPYIqADAAQ0ACAXcl/zt7/aYiAQBUKGfjOgDeF+lvM/zPCVBOBL69mGTR40aRfwAADRFO3DMDrxn + tQU26zIWK6POcxyOx+P5fL5UKjHnN47jmDnvP34jReATQiktFAocxz3z3KJsNsscexgsmXyesN4H + J/yqxipcAP0lkb7tK0CfghvbCiIgQAFjDBQTQgkJHMeTJRLWdOqTNWvWnH766ZqmpdPpIAii0Wgq + lTrppJN4nmdJXCackEqlXnzxxe3btzP7lEcfffTdd9/Vdf3DDz/s7Oxk5qcD7iUsHOkXy9k/px2Y + jyIkIISVvr1wOCqKYj6f7+hK3XDDDc3NzaxGShFgjsNBUCwWFz7zTFNTEwa46667Wtpaw+GwT4JF + zz23e+9uAGCerffdd9+mTZt0XVcVhfED+5iNX6Bpf802oDkEDPlfLIdCOBTWfd9PpVKKoiaTiW/e + HykUYjeBZXv7/ZG8r+uP9HUrMV/3LlmOPeqg0W0trY5p1dfUPnjf/QeNGGmZJgoIcT2OwjVXX71k + yZKurq5QNGLbthqSAfcHfkDpwCj7h5h1v73h1zylf3XjOC5wAyzwkgSO41BKvcAhmOrhcLZQzBtG + sr5+bHXtR0vfTTteQRCxGLgBJwrYcwNHEkqSTIEDirZs2rrqw4+O+cGpLuLzhhXS9FyhGImEEEKC + JGohncecaZqKpo0aNWrcmDH/D3NvHi9ZVd+LrmnPQ43n1Jn69HC6m25oBqFxAhOHCBI13uSG3Dxj + YmKiRp8jUdRMTwlOuUa5mESfQ15iDAiJClGiiPqMIsgg2N3Q0PR05qmmvWvPe033j1Xn9AEhefg0 + H9eHT32qz6fYtatqDb/hO1x//fVTU1PPfu5zZmdnS0Z//TeuPP7BE8pueHp6GkIYhmF9pKnrum3b + hBAlsQMhZEWp1BqY4EkWJ2VaYQUi0LB0gOQgDrMyG2k0VtZXqtVqpV6JoqjSqHDA5xZmAUZY19a7 + nWazKaWUQhBCEMElo+1uBxHseK7neXlZGJapg6FGtKHpUIIsy/I8x5rmV6tQyjRNLccOgsBy7LmF + +UajASA8dOTwoYePXHfddd1ud3FxUSLYaDQkAJqmcSCFECpQ2yAOQQBAkiQKyr66vi4hfO6ll+7d + t++666/vh2G1XhsfH2+32yPWKECw3+/rur51/mxurT8ebwxf8LOdO0+/gyQ2WB1PvBAhEELHcYAQ + lNKLLzr40Y9+NI2T0UYzLzLBpZDcMm3GKcQEQpCmmes6iJA8zxjjRZFXKtW6V3vD29543wM/TNIU + IQQJRggBKYuiYIwZuk4ptU2LQBTSwLNsSrQ8z5vN5iOPHdu+fbthmu1eV+W7KkpTxxjBRO1N9Wrj + ta95Q6MxwmlmGSaQJAwjx3P7g77rmWmeVvyqYFIyiAAsimzb1NijRx+uVDyiof0H9msIHjn60Duv + /iNCSBD0syzN8xwACSEoysyFlmVrXGKR56urq0r0XAhRrVajKFIM6cXFxZMnT9br9VOnTikJCkK0 + MAxX4qTVapmmqRPyyLFHIYSXPvcS23W+cPNNrfHxlZWVkZER07aq9ZqukTQIIITN1uji4mLB6NjY + 2PLaKuf8R0cOa5pGBeec57TUGanX6ydOnGi323OLC67rVqrVIdcCY6X884TUXMUTqsUEAKCc8yyz + LMty7Cgc2K5z+PBhx3MVzSPLsh/84Adc8kq9pnSWAAAY4zAMDx06lOd5Y3JydWn5/vvvr9fr86dn + d+/erfV1JjmXTAKAMAQAAwmFcvJGG7UpKIYzDFIAAQJMDDHxaJgjPa7ns3UCCwAFpUIwrhPNMa1+ + mk2OjX/qU59cmJ8fbY4IIeSwZK2QDEhKKfiTGJ6qoaT/ut2ulNIwDFUi2hRTQcOEbdjZMgyDcg4x + yIp8EAVr7fanPvOpbtAVQsAtESuEEAw1057kHdFmSDLkHRUAUgAEgHTLuYPAFjoQwkBArPjTjLEk + SSzTdH2vyPKS0bvv+YGyb6aclYypI5xJoWOiKAS+7x89enRkZKQsy263G2i9JEkGgwGl1G80NUPv + D0JF4MYYq/quSn6e3NhXIqVpURSFAPLU8RNr62tKZleZzasgNQiCNE0rlcpjJ06UjPZ6Pdu2e72e + squnlKq78n3/oosuWllZueGGG0ZHR8fGxh5++GEl4KvU1XzfN01zfn4+DEPf9x999NF6vZ7muQLR + +b7f7fcYY4oBlSSJphFV/scYN0fqSo9BCEEp3wyg1Uax6Q6cJElZMsdxTp8+XavV8jxXcUxRFCpQ + Xl5ehhjFadJe6JiOXW80dMtaW1trNpvVatXUdMVP0DTt4MGDf/+5z0kINKKpsplS3Oq2OwAAZabe + 6XQajcbJkyfvvvvu/Wfto5wVCVVcc6Us/Mgjj6h4ttFohGGY01LpkkMINU3bnI2bj5tDOY36vh9F + kSJ8uq5LGesPwv4gLNLMcp16vb6+vr5v3765uTkhRKPRiJMkTdPR0dE0TdXqbrfbSwuLcZqUZbln + z55+EGimoUiGavcgEEEEEIAAAikglEQCAVRlfNgIpQBAoFhJACKJBEBAYgAkkgBC/qRNXSklpZTR + 0nGcnTt32rY9d3o2T7MyzeGGTtjWiFO1BDcTpM3dCULoeW4cJ1mWYkwgBAhhzhnG5AmsGwCggACZ + OiKYc37FFVc0qrU4S4eYLqwrIqIQQj7urfGTLYytPCuxkSmpLERs0CDRljUuMIASEcXswggkSeZa + Tq1WE5wJIR5++OGdO3fquq50PsqyVMeNpmmqj5Sm6f33359lmed5lNJer3f//fcbhuE4jmKOKdWH + IVVADIUEhhzaJxuKZarqj8pJT9O0ZrN55MgRpcS4qYPCGDNN88iRIxhjyfkXvvCFnTt3rq+vV6vV + e+65Z2xijCCoxBhPHj9hGIZ6681pDAAYSkfIn0QtbcMficEt/khFUQAotvgjJVv8kVo/fX8ky/pP + /ZGGQIItNgPqUBBQ/uy8ViUETIpHjz82PTkVdnrLy8vPeuYzo15AJGzUm1XXD4JQQrC0uHjOgQPL + a6sFow6EAsgNCNsZYZunuseft+xISokwoEIAADRNY3lRq1QtbBWgDMPwz993zfax0X2tyYt27V56 + 9CgYrZ+UzMOAsNLRDKhpJcFtmpdzs7N33HHr/ffce/jhZ730Zf0oTbNi2+R213LjZKDrQ3pnP+73 + Ot0DBw4cPHjw1b/96je/7s0U0Ha3fc0118zMzECM7rn3fg0ZTLJ77rvvlltuqdfrAIDV1dXRsVZR + FJvdEoUxy7MMAKDb1udu/MffeuVvJ2VKLA1A3An7U9OTy8vL23fuWF5eHsShYRhZnnf6ne/ffdd6 + u+15XrVaTfOMc27aVqVW7fb7vV7vmc9+9j//8z8rlZ0XvvCFnfV2JjMAwMjISJakV1xxRRiGcRzX + arX19fWLL774Va961WhzNEqiV/3O73zlK1/50Ic+VK/X//HznxtvjWdp8oyDF1mWNTE+0e/3gyBo + tkZV+wts5EjDLx+iSrU6Nzd37rnnTk1N/e3f/u3B8w9e/4nrjx49alnWNddeu3///oW5ud179x4/ + fvzU7CzbkghtbubqRP4vnTcb4yeB2MnHN4HVBqYsYjRNMwzDNi2C8P333vepT3xyaW7+v//qrzEh + jx17pN3uhmGfCeB6NkZaFIcl5a3WyMzMnigKT548/eGPfDjLMmV9qGmaKl2rK2Nd930/HkRREBIA + d01v/8C17x+bGGeM/dONNwySWAiRJommaXmeCyBN04QQ6kQjhGAAlX6aRsqZ6d2vfe3r0qw/NTVF + S1ivT/TDfH55pSxzzTDLjNGc1r3a+Hh95/RIu7PYbPoPPXTo1OkTH/+b619xxS+ftWdPd70NJeCs + ZLSQnGsEGSbGWHJWlJRlBa/Vm8otwXVdJauqNG02Y0eMsW3bSleqLGlRFLZjD+IoHISGpgspfc+7 + +54f3PfgA2EYWo49OtZK07Tb73meRzRtfGKi3e0CCOM8nZicPHXypOf7S6srJaX79+17+OjR8bGx + mmX1e72du2fa7fbswnwcx0KIfr+vTi8NYQYYAE/ie82B0Ey9zHLOOSKIUVpyahgG0YnpWEWRp0U2 + SKL6SMOr+nGSuBVfucQ4jhNGA9M0R1qjq6urlUolSRKJoOO44WBQazYKRjXTyMtMQAGAQAgihCGE + UACkdHWABFACKaCqsyIGwCYEjiggAgBbhQrgBiJGTUsVc0DTNNMkzlBGCImiSJTiQ3/xwaWFRRUD + QAilOLPRa/gpD2DFmFRauqZpZlm2NUGCGwmS+gqLoiCGHuWxBOCSX3juL7/sZQgh/ATNHygAhFtr + tGps5kVwA2g3xNFBCiSSUEVUEEiCpAYkFgq2B4R6X4i1oigsx/b8ahzHWZYaRJNA9Pu9IsvHx8fj + OF5YmB8Za+mGySUnUgohVL5Xq9U0QpQU5K5du1hRLi4ujo+P53m+srZar9cRIhKoaBduqRoOK83o + x/YBjBAhw+xLuaZgjCEACELKmPoaXcPSdb1arRJdr2kkKwvOmICAGHrFcTqdTq1Wq+i1IAgOP/zQ + Ve98R8mZSBM9DMI4MnqG67pxljqOs7ayqpSybddV8DwmhGlbAEEuxeLyEoSwXq/HcaxkGMuyUGFT + URTz8/O6rquYEqFhpUoVYjbLBBDCXq8nJWy1WowKZcWrtDGV2kGtVpOMx0FCdG3HzK4HHnhgx86d + YRjW63VFiewWhWEYuqFDCN//wQ/ati2lzMpCBb4CyLTILctUahkjrVa/3+/0eq7rjk1MAATzPHd8 + lzIWxbHq3OVFoWlavV5XqAZT17MsY5IZhqEhDIREEgkFd3n8r5MnKWOsWvNbYyNKuTvNMkqpbbkI + oZIzXYiFxcWZmZml5WUJwPjExMLCQqvVyrKMCj43P7dr927P89oryxCAeqPR7/eDoDeIBqPOmOrG + QAjxUBANDJMWASWEUA7hcxICAAsgxeMUTeQQ1fXjC3BrsI4x2uSMYYyPHDp8x+3fiMLQkBiD/wiM + sfUv6rlpmkVOKSsQJAAKCLAEXD1/gvQIRyLMEmRonue96EUvAgBYulEwqlRbAAB8AwB25t2l2Pzm + 0eMkVTbhamIDSKx66GhjQXG0RZlGMEgIKXmJoeb6fhTFg8HAMAzB2WAwOOeccyilR44cmZmZcV13 + fn6+Wq0CABhjqgxcr9chhEpvkHPeaDQMw5ifn1cHdJIkw3R6CGkbQgiwim+2Vmk2BqdMSkl0o1Kp + qAoFE3x6ejqNU9WkkggyKQpGNV3TzaEvgmFZjZGRrCiIrnPOd+zYEUahlJJAZNs2pbRm1YqiSOKE + 6JpCRW5AFYcSPk83LBJCYEykhFICQnSMZZoqfyTguu4WfyTnZ+iP1O8XRTH0R2oHZVkqr4gNf6QN + Te0z3++mtB0EQD4toNrTzaYM09w1M9NptzFCYyOjaRjV/UqWpMsnTtmeWxZ5fXRkojWm+oGWYxeM + cnVEIig8hWimAAAgAElEQVTRMIPdNPNVt6ru4ecMXjccQghMMACgLEvMsap1ZjSVGn717/3+/nMP + hN22LUDA+VnPefbM2fuIKHUhWZp6uqlBDWBilmVXcH3btmfWq+f+ykv3HDiH/ejBVmusu97VMIri + yHIcAIBpmlPTO6rV+lq787nP/9OXb/3XKBzs2bPnwQcfLIri2PGTDz9y7F9v/ery8moYhgWj1WpV + SrkwP799+3bdNJTWIi1KjDEUcljwQvDgcy5emJ373E2ff+7zL33fB//Csqxf+bVXzM2fvujiC5eW + lvactXt6etvJkyePHT82vzC3sLz4a1f++vLqim4aSBAAQMlYkmUCyFOzs3/0zncszM3btn3ffff5 + vn/u+eedfeCAst37zne+E8exaVsIoeXl5XNnzr3y1Vd+987vua67srJiGEYQBLbrLC4vvfVtb9N1 + fW52ttFsYoxPzc0SQpqt0bIsN7/zM5shBJzxhaXFsw+c8/Y/uuqXfumXBoPB69/whwghv1rp9/vX + fuD9Y2Njvutt2z592223qVLL1otsPnmqDtLPejztBGkILlI1jy2LkxBCEI4Hg0EQIh8IIWhetEZH + f/Wlr+h1unOPPSapJABtm5wOw1BKiSHav3e/67qO47zyla+0bfvtb3/7wuzCxMTko8cfi5OkLEuk + EUPTlfgbhHBxfqHierqmAco/cO37g17v85/7XM7otR94/ze+9c0TJ04QTXN8D0KINaLkvAXjRVEY + RAMAIISSQTQIwprrv/udb3nGRc/64hf/389+9ssnjnUgqUnhmbaT90MghRzx0n70khdc9oM7v3vN + jX+3vr58081fqFdrQRJgjFhJoZCe7RiGYZgaY2Wep4apVyoe47JlelleKu+gLMtUZkIpVQeqYmyv + ra3VajUl+2MYprq3brdbq9VUcRpiVDKW0bI1PqaMGhWRqdlsnj59SknrCiEqlcr8/PzI6CiEUGGr + Dh0+PDU11Q+ClmEcvPjiRx55pFGvR1EEAKjX65QxJYxeFAWBT1LoVIIqKpdT11QgVCklMfRut6vI + qYSQXr+vEoaSsyzLarXa5jxWhcYkSdRmpFumSnG7vR4X1PEdKKUCp21U0BAepgQYCg1ACMBwMUAo + hkJWUpzJhYYMHEWAIUPcHWQAcgEFENgyzUGvl0tQ8yt5mi3MzbXX1uNwQDBGEAMAziQ5EKZcPBUN + CQCgMgcIYWlZSZLous7Z1grfGe8OrBGMsaCsYDRPM8eyBmEYBlG15qtISG44SCj9O3QmtVMbAYJS + fRwMJBlijc7kS6rEToAwAEBICoHYkKMFgEE05ResglQIoYCAaBpnbHrH9tMnTzmee8kll8wtLpw+ + fXp6+3Zl5azig9XVVUapqnrOzs6amr5r1665uTnf913XPT0/d9ZZZ5WUKoqn5FKiYa0X8KfkbnFK + kSIEY+zZjkLynGldFiUnehzHURhajpNkqdpeOefKp0uxDeM4VlXYpaWlbdu2McaWl5f37t2rY9Lt + dhUsaseOHRjjlZUVtdZarVan11WfZXR8rGAUQlgUhfqL4zgqFcmybGJioh90N/kAavNV6EGlh6mc + K1ujcGRkpN8Poyhqr3fr9brqCSvxtzzPO91uWRRTU1ODweDkyZMXHTzYbrcBAEEQ1Ot1zrlpmnEc + T7TG5ufnm/VGkqVRmtTrdYyxAh4ghIjtqI+s6Iuu6ypxzggh23UBhIogkWWZclJK0zQIAtWAUimo + +vZUWxgjhBWFQw63a1XOsixL7S1xHKtOgiJcWZaFMa5UKkVRVCqV5eVl1R84derU7t27wzBstVrt + Xvfc888vy7LdbruuOyz565pfrWiGrmlaGIaTk9uEEIALKYRatQAACKCUUmxWOjaZLZubzxCFwYDc + QJc9xVAdD2IYlm5UPJ+VtCgKgrAuMJIAwQ3lNTn8vGIDQ7wBIh4+lxyUvIBCIgEREBICKDlEEAgm + IYBSPO4RAc91ka7pREMAxoOIS6E8+gqab+4hG1nhfzp+XIrz8c+hBIArkQIAoU4MwWSSpJqmY6xR + yhFCrJQzMzNzc3NFUYyNjRVFoc4XIYQCEiuVQkWuU0m+67qdTkfXdQBAlmWmafq+v7y8TAhR1g5S + SsBV0Pu4cHtr8M0550DmeW6apmEYQohOr9tutzvrnXq9vhn067ou2VCUPM9zCKHv+2maqmap5Thp + kmi6bllWFIRZlqW2pTCxpmlyKaTcIgSqjoen2UFSq1gtjTP+SIYhnsQfKR0fHzcM82fljwSAbdvN + Zj2KErV44dAf6XGtzv/KISAYJDHV9H4QVG2Xc37BBRcsnDzdXVtvtVqqYLS2snrBBRd883v/bnuu + gIAQLDYg7+oAE09Nkvp5ax+BDbyletR1PSvKRx999NOf/nTKytOL83bVbTZqSZKcWlnLRseCdttw + LURglgwsbiIqMNYAQIOizA5FOYG4XnnwS19eXV2FWGMss02nXmvqlq7U5NM0VY2OwWBQlqWmad/4 + xjf27NkTx/HU1FQYhnfefdfYyFitVltcWS6KwrLtzVnUarUQQmE/wBjrOtF1XQpx/4M/NDUD6dqu + bVOU0nFCsjzxHHd6Zvvi3PxzfuGSbrcrIJic3lat+pZhhFlUa4x8+rOf6fS61XodACAh6IeB7/vB + IHzggQfCMHQcp9aou7Zz7PjxTX05pVwKMVLMW8dxlpeXdctstVoSwTCOBmniOo5b8du9bhiGa8sr + Z529fzAYTExMpGkKt7QWN3fCYWyH0MTExMrKSlmWt912W71ej6JI7QlTU1Ozs7PdoK9j8sCRQ4PB + AGNs6fpT/Y7/RTPm8eMn6SBtVjg2KvoAAqBCKELI+Pi4qRtjI6ME49FG8w9f9/qgG7z5zW+WTGKA + g3a/Wq1SwYuiuPqqq5/xjGe89e1v++Y3vvXmN7/5Da9/Y6VR/do3vx5FEdE0oIpsQqiZrRMNUO65 + rqAsSfLRZvPfv/XtO+64Y9vOHQgh5Sme5blyzBxrNtQEJQhzztVJYJlmOsgIpJYOpyYmswTUvH2+ + efAFl16cJ5VHjy/5Xt2qE0PPoFx69LGvP+P8S1dffGzn9h3Nes2x7EF/ADjIykzpHKRpzMuCEERp + ISRXSiZJlttevR8mXjQwbTuOY9tzCSEcSNOyAFDC8BoVXEDg+J6CRijlPYSQ7blFUQySGKSJ47lZ + WURpYrnO+vq62oJXVlZqzQbnvD8ILcsighNDj9Jk6PeqEb9Wxbo2Ob0NIXRqblYxgiquZzo2hHAQ + hgghSkgYBLVaTWxYtQ4rdht+6pRSqESBBYcEIym5EFwITEiv31fBX32kWZalQhz5vo81oqwtDcNQ + PpKcMdu2NU3r9XoYY17klXqNsVICKiEGKmAWUIknQanEfzUEdAAFAEzFUgJygDIEBJAESLTRYNms + PWO5ZQILyACQQsg4jgghrut6jhsHg8986jPLc4u+5wEA1GZPhmwBDIAACG9tQ20dlFLEoQYIgshA + OoWlDjW5xTpPQrR5N4KJLE11TVNgPMYYL6nnulsWitxSRR6CBoe1N4mGz4UGhKM+GoBUQDYU3ZVQ + AgIAAVIDAADAkGJ3Q6lpGACg63pW5HEcQ4QQRrquE4j6cayU9ymlDzzwANLI+eefHwQBgpBurNZG + va4SnrIsVWd/YXlJMw3LdRR4tdfrqSBDvUxKKYUUguMf27CG4ZSQOc11XS8ZU83Ssiw2TehoXiiX + SQCA7/uVWs0p8lOzs6oQ/sgjj6g0z/M8KWUQBOedd16/38/zXKlI9fv9iusxxmzbBgDMzs5SShU2 + lUsRRgPXdbdt23Zy9rS6bcM0IQA7d88sLy+vdzuWZcZZWqlUltdWhRjyUzHGWNMYY5sqfSVjSNms + MZrkWcmY5ThT26dVpleWZcmZZBIRXDErEMKiLCljCGNVUbZ13bKsbrfLOW/W6jM7dh49erRSqRS0 + jON4esf2ufl5yzRd182yTFUrlFdba3yMlbTdbtu2Xa/X2+12WZaNkYaCAhZFoaS6sizLsqxRrysf + bQCAUv5RYCk0FP/YQJptiAhLwXRdZwIAABhjSuBY5XtRFOV5LoS48MILv//971uWFcfxzN49J0+d + chxHIqjAQnme51nWbNSSQaRMCFZWVgaDwe69e6enpwdBoGYyhJBArLzYIYKMMwQYAFxsCI0IyIcr + QkIECFAqcGfqNaqB87gDBwCgCoiM8zAMFW4eQ6hrukaBkqMUUsItZQidGEDZnm45t5UujQQAY0TI + EIgouYAYSS5+XCgcYpEWhWRMMs5Kapom5UzTdYRQyaDYFBXY7MNs3K2Am0v7cZ9i60JR286WGvww + BN2ox0PAhUmsmGVFVmKMTd1wbScFcGlpyfM8BbxM01TX9enpaZUpaZqmSsJK0UetO1Vcq1Qqmq6X + ZVlSGsUxREjdtpSq4qOyQgDhmfvb+iNYpokwDsNQUFZy1mw26/W6rusIYoxxlqaq+osxzrJccWws + x+aUxXHs+77qoigHNgihaRh5niuILOdcmQciAMEGtPUnTiEgxKr+temPBDbw/5yJH/NHsn56/kgV + VeM44480GEgpK34NY4wxjOM4y+imPxJT/khoKErxn/ojPdV4uu0mx3OzQdwaH4vavaTkyqd+rR/Q + frC6vDI5s+s1r3vtsdmTe/eddXphvshKo+ZBDKRqyW39XTbFih7fR3q6t/R0x9PtmCGEuORY16SQ + WCMAoxMnTiwtLXUGg8pIrQSi1+9UXMfF+l1M9Nba/kgVEBzGYcVzREElk7ZmlZQbjhOUBTdJLphE + kACJMUyyBOu4SEoJgeO5Q2EDjLmUlPNgMNh39v7Dhw+fd955Rx99ZHp6utlsKp6F43vNZnO93S4Y + VQoKUkrGmOJ+K3ubIs9vueUWz684jrMwN5+m6WizCYCMB5Gu65Zh9vodXdernm9ZVq1WybOsGw40 + 3ZxfXlZVtjRNm6MjKjKkgqvIDQDQ7XZt29YMfXSstbq8Yprm6urqMDSllBh6nKV+rarwtCurq6Oj + o6ZtOa7bbrd7Qb9Wq42MjPTDIMlSLoWpG2EYqlwLbNmBFYiAca4iw9HR0dXV1eXlZcf3vGplcXGx + WKECAr9SiaNIlHxq27Z2u00MfetFzkCmn2w3+NmBUTfH00uQnsoZQgJgGIYqR0VRtNiZ2zuz2zRN + XdcJJKPNkcX5BYRQpVJJoliFBRxSDWEp5dLC4tn79hOE22vr1Xpl586dgyyGCDHGlA5YQUtN00RB + t09ti/qB1MXuHbtuuummt7zh/3zlK1/pV+vH504ojEqtXqeCu65ruw5CSNd1DRPOORSSUup7nq0l + nm+bljbeGs0y47IX7bv1n1eOHqaCW1PNF+WZ4HmRZh3LYBoauej8xjnnvHN1pe35puO4lmE2/MbS + +nyt1nBtp9FoNJvNycnx1thoq9XyfTtN06OPPBrGxXkXHDRNU7l0qaiuKArXdcuyLIoCAGDbtsKO + B0HgmLaqmlcqldXVVfWEcx5EA9U44py3Wq2VlZVKpaIQFKpGRQhRC2nPnj1HjhzRdT2KIiWTMDIy + QildWFhAErRaLce0FhcXbc8lELmOSwjRMSEQcSVcJDeI0xJIADSEC0Yty1LvpWT5pZSKe5qm6djY + GNY1dasKmJ5lmaq7a5hACAXjvuepaFKVpZUrThzHrmsP4gQhRDAGEkKJoAQQCAyIlBswfYkBRJsQ + FCgMCQWQOhh6GskNKV801HwD8AxMBQrd0EUpK74vKJs9dZoWxV3//r1mowGFlFwICZAEEEKIhlq+ + WwlCTxiUcsgEFgCBoc4pFurtNhR7JJQQIKBU8VBGS0M3pAR5mlmGaZtWXhYKE795zTPR2qbf7DA7 + 2hTEEwAgKXVwpnWGgEQAYACI0r8FACmWhwCAM5aWpWFbru1QzoSUnLE4jg2iqV1SlXWbzSbWtdOn + T6tFqmhmqsFSFIXnearGqfgMCprIOVcwGKA2rE3EPBiqfv34gBJgQsqytE1LZmlRUmQiJAExDAAA + ISRP0kajEQ8ixpip66qhsXv37m632263K5WK53lxHC8uLtZqtWq1+oMf/GBmZqbdbjcaDcaY7/tp + nNRqtSRJBOOqxSGljOPYtu2ClkEQqM9LCBlEkWlZgzBUHSSVS1gbdYpqtaribPXpFOlOBVJiE7DO + mHIJK4oiTUNCiPKPUq/HGJecScYVvlS9OEkSCJGAYGxkNIoiTdMefPDBffv2BUGAMSaEKK8J0zDU + 4rUs68T8wrZt2wAA7XYbStBqtVQBUi1/JSJEKVW2bwq6wxkLgkB1gZAECKIiywEAtmVxyrcy7FUf + CQEghVAVfcVVI4So70T1uhUb7fDhw1NTU2qGKL2v9fV1pQTQ7/cnJycH/UCVxgFGEMLR0VEleKA6 + bxBCKJHS3ZZcSM6FhBARIJBE2gaDQQzj7qF3GRhiNTfWw1O1kFQSKDinaa4TzTIMDZMiyyQFKtKX + YEsfSbnIbzxHEG4mSIJJLoWGCcQISiCAFIwjiZ80QRICYEJKTjFCGECC8CCOGGOaZTLGVGEJoTPc + ISnlZpQrIMA/xlcEAAiIoMASoMcfvgwCBiBHYMM2TYAkSyp+w3d8wzA4kFmWJElSlPnIyEgQBO12 + W9M0NUsXFhZUBW3ItwFAgTnzfJir6Lq+vr5umGa1WlV8vEqlojJqwIXKIiEc0ovhmXseLmoAACtK + wzAQhJVKJQiCJEmiKEIE12sNtfrUnGk0GrVardvtqjxNXXZ5eblarSqepKZplNKyLKUQGCEFVnRd + NwgCgIdVmM2tcnhLT3NQSgEQSnVDddIg5Gpd+171Z+aP1HqiPxJCW/yR7DzPyzLflGfY/IwAAFWo + 3Pznz3QkRW6YRhLFlVq1HCSMsr179547M8Mpa4w0l9ZW62OjvX5/fm1lcsc013HOKBdn3D8hhEMm + 6lP8MD9vQnZCCAkgxjhNYw1ijLFONNXbZ0zEeezV6hiCThhZANe3T0VFRoEcIGg4FtdIESUcSoBk + lsaG467HoW5bEAFalLaBDcOIkkQAqWkaQkidJgpiozDY/X5/z549KysrCqtsmmYSxL7vQ4IXFxch + QpZtIYQmJydXV1dt21ZIh3YUG4YxMjKyuLTEIVxZXzM1fXLb1MryUqPRqDXqCwsLY62RSq2qEw0T + Uql41WpVVipINxZXVg3D8DyvHwZYIxJBpBHLstS5k2UZhFDpIni20263fd9XwhWNRqOgZZqmAKPB + YKCQ+YzzvXv3BoOQM9bt9wSQOiFhGKZpOjk5SSm1bZtTptRNNr9zuKFiBwDQNB0A0Ov1FNBXOey1 + 2+1arabrepwkURRJKTVNW19fV/XHrRd5QlfqiT8uHB5wP7vxU5P5Vqh6RTVTO4VKKymlpm4AABQ4 + pNlsFrRUogUQQl3TAQBq+1YJQFmWaZqalpVlGSRYYZHLstQACsOQFsVoc6Tb7V566aVf/epXH370 + kYzT17/hD3ft2rW8vFyWpURDFriqtuZpVpZl1fMppf1+Pw1jjCWlWVFkEthJAkzT1ggC2E1iipHO + GfG9ar97THBIS/Dgj47snBlTmR5CKGOZqsmpuFNVkpSneBB0lDP9BRdc4HieECLLMpWuqMWjToJa + rTYYDBQEvCxL13WRhKykyla53qj3+31FIvd9PwzDycnJhx9+uOZXfN8v8yLLsoltk2EYAgCiKFLq + fydPnlQIHAUkOO+889bW1hYWFjjnBtFYQaOCubYrBfAcL01ShFCz3iyKAkhg6mae51maqUulecqA + 9F17bm5u+/btnFOMIcBgbXWtVqsFUVCr1ZaXlx3H8TwnTVPbrmRZQQiZnZ2dnp7OkxRC2GqOtHtd + AIAK6WzbVqEhhDDLCs+rMcayJPUdu8jzZDCYmpgM+gPGhOdVCNbjNKG08Gu+lHR1tVPxRwzDGgwi + QrCpo6JMEOaEINO0Or3QsU1KGZfctPCQqs0FgjgKwqpfKbMCAkCQJkoBAUASYiXSACDccIP8D3AB + tmUJzpUbWpHnGiGQCyAlY0w3TAlBlhV5no9NTCyvrlieq2PCKaOcebaDANxY6giIoWzumSQDACCg + 7/vt1XXX9s4+a9/K0qpGDBX6SCmRZsfxwLI1IYRheZxBwSFCpCgK29CLMgWSIiyazcbs0pwAcmll + xTAM07ayOJFSWoapG2QQhL7jqsxZSulqPsZQwT9c11VNyzzPFUZLCOF5nhKkbjQaqhrd7/crrj8x + MaGKW7VabWlpyTCMbZNTs7OzjuOoBr2qEHPOaVE2m80kS7Msa42PPfzIIwrfUpal1IjKcOI4Xl5e + vvDCCxfn51fX16d3bB9EkZSyXq8rEJcCiyoQXaPRUIXwIAgUwbrVHOl3exjj0WYz6PWSJBkdHQ0o + VatGtadU7UCtNSWlcAaBhrF6rmRFngB0VoxqhBAhJEmSarWxtramEDsqs1IoJgCA+iMAgGCsXjw5 + OXn55ZdPTU0dO/bYzTffHPT6hmEMgvCa977vk5/6vwkhvV5vZGSkG/R9319cXNyxY4dnO2984xsV + hffyF192zz335Hl+3333sbLcfeDAm970ptvvuGPX7hkF3fnEJz5h6ibG+GW//LJz9u9XSeyuXbtm + T50eGxsLw9CyrDIvDKIVed5oNufm5hzH8aqVKBoYlllrVH/3919j2zajLMsThJBEEkiEEEqSxPM8 + JdSmWq/Hjh2bnJzM4qRRreV5Xmb5+Gir3+kKIZyKl/MUYVSWZZJEWNcwgVJyIZCGycTY+K//2n/v + r3cbtSZBaK3ddb2a5XnzC0uN0RGEUMkowEAAmSa5aWgEQShF1beTOIwGQa1RvfGmL5yana3UqkVR + YB0zxkrKDcMoWYk4kBzomkaIhiBOknRm+47eakelLhAjBOAwsQESK31vodSTwZa/Ey4ABAAitJk+ + KftnLoQUYmtCxQAvRGlhs1KpRIMBpbRarVJK86JQegYSAi4FAmfiXQkg59zQcZ7nrdbkqVOndkxP + Pe+SX9A0jXFJS2G79SwVtACuVy1LwaQwTZ2WA9MAp08d3btnp6aj9tq6ZToQojxjSyur3/7Ot8bH + x1WIr3wjhBDNZlPlSJZlKbisSqQBAAgh1R82TTON4rIsp7ZPM8YoYwAAgJFfq6ZxogTWGC0nxyd2 + 7dipjirX99vdjm3btCgNTVdiJKoKhjF2PLfb73nnnY917Yc//GFRFEq9w3Xd06dPV6vVsiwJRCrn + WVtba42M9nq9arWqllUURaau6ZiURYkQ0jRNwbP7QTBcp+DMBvkT+6ZijAHA6jKKagUAUBV6jLHy + R8Ko6/nOxMREu90WQoyNjWVZ1m63TdNUQHcV0rVaLUqp2pQUravb7TLGWq3W5malqvKdTqdSqbRa + Lc75+vr61NSUKomWZaG21tHRUSUtoxiMKiiUEiroHSYYQsg554yfUfPb0EiET+Cy/qRDQIAJlgA6 + ritLRnQNANYNA6IRnZAgjgzHDpKIWEa14uSMQgwg2eiSAgAA4GATPfskF/95HEIiBNXRUFAqCq47 + upSA0xJo0HGcOM+AaSLTFEzGjBaSMwR03+nlGZJCs3TKgYaIBvEgCiqeY/nO3MKs7zqWZawsL27b + sXsQJ5zzPC+VmKFgPIoiy7IQIpzJXjeo1+vdbrfRaKhermEYyi7Csu2SsyAIptxtvu8LIaQUYRiq + ZkO/3/d9nwNJdII1Mkgi1/O4EHEZV+qVoiyFELpPRlrN48cem73jGwDIS1/wAqUFkuaZKu0NC/Fh + qOk6wliUpULUVyqVLMusDeUVBWdgghuGgQlRt5rnuWXbeZ7rut7tdkdGRqIoMo2KIncoGEie5whA + 1cdWdUOx4R+jMuo8z1WtRNF9sywT3e74+Hin0+n1euMTE2EYKkVWTdPa7bZlVtTRr/6Xoigcx4mi + SG0Xao2o6uRGKfNnO+2eXoIEn2K/ghvkE8dx6vV6lwsVoNy5tKTrOgAQayRKYkqpMkgREBi21en3 + KKMAQaJr1XotydKWMZ4kSRAEOI4ppVjXOOcSAgghhkgyDgFoP3JUlOz8886/5r3ve/iRo2NTk3/6 + 7j+bnZ3NsixJUyYFAMCwTCW+qbb49ZVV0zTrtRoiqNtbz4u0YHRy0jn1GGi31+rNvQun237VhJDH + 0Wq9blbr2ko3jjOwtrb24svOO3Z89sSJ4xcevEAjmmFb3W57vdOOoigIAghxNIhPnDgxPT116aWX + tru9rJSqhqR+xU1ODsbYdd3jx4+PjY29973vvf7660+dOjU9OcUpD4LgopmZAwcO3Hvvva7rcs5V + RU1NgrGR0auuuurWL98yNzc3TkiURHEcq318cXHx2muvFUIcOnToO9/5Tp7nu3btWlxcVGTcarX6 + +7/7e3t2ztS8iqpGq7lbZrnCU6n1rISzcloqQQhkkMdOHPurv/orKWWRZWreVyoVx3E6nU4QBGrx + SCkHg4HneYPBwDGtZ5x3/uLiomqFPXbs2DkHDqRpatjWysqKQqgrkSvHcVfX2jrRbNv5zd/8P573 + nOe0Rpvzs3P1WpMVXEItigvbqeiWmZdZHA/Gx7YBbqRJmWYD3YCGJgDKEKFB0NN186q3vytJmWma + TJRU5BBByhiGGoGoUavvP2vfWTt3awhLKh3DFJRBCYB43EkjpSQIPXXZ+smXwCYmBABUMmqa5n0P + PhAMQgaklJxzzhkTlAnGJQQYIwEB+LFCCCYkTVNTNy6+8OKr3/EugrRet5+ksV/1iK4JhgEgEMqy + ZES3kjjDWNMJwlh2Osu7Z3asr69CSfcf2I918ro3vq7f71dqNYCgmjyqLlCv18ssf8UrXnHrrbfq + ut5utyGEL37xi7dv354kieM4hw8fPnTo0DOf+UzXdc8555z19XXFW/j4xz+ubrJWqwnKX/v7f/Cs + Zz1rYWGBlXTXrl3z8/Pr6+vPvviZ3W4XAKAUPJW+baPRUCYnrus2Rpr/7dd+tR+GXIrR0dH1bsc0 + zXKhNnIAACAASURBVCRJyrI899xzr7766iLLNMPoBf3/53P/sLq0PBgMJsfGb7zxxt9+5W95ntdd + b0sEt09tm19a9GwH69rv/Narbr311vnZuT95z3uazeby8vLJ4ye+e+f3wjAkGL/vfe/Ly1J5oN3x + 7W997WtfAwCMjY0lcQw2mvVSis2u/U+rVqt4RJVK5aabbrrpppu+eccd133sfwnGv3LrraZpZkny + B7/zB/fee+/9D/xwZGQkz/PRRnO1vb5z5865U6dvv/326667Tgjxrndeffvtt5umedVVV33ib/7m + 5MmTWZbde++9pq7Pz8696nd++/avfX1yfGJlZcW1bcey+t3eXXfdZdv2175yG0Ko5lc6nY5t267j + JINIBVhIIwihoixNy+j0etd9/GO+46ZFjhDyfR9CSAUvyxJJZJrmS1/60h07dnz2s5+dn58vimLf + vn27d+++/PLLL7/sshtuvPH9116r67rgvFar3XzzF17+8pdnWeZ4roCiLEslX8ZLrvrk/+PK3/CJ + f8e3b//yF7+0c9e+QT8/ebLbau1cnS17wcBwbYSxpmMAzIwAyLO1tUVDZ7WK6ViaZ1efd+kvRkmS + FTljDGnEMAyiAcaYYRgIAMGkKGiepBCAfXv2XnH5SxS/CUkgEcQASgQJRBJBwAWTQj2qv2MAJcRA + CC4hFBJghCTgSl8PIwyggAAKqUCJHEgoJAecIyGhYIzNzMxIKbMkhToxTVMoDPCQVMjBBixExb5S + yiLN5ufnpyenrr/ufx09+uj83KJtVTRiY+wJ6fS7+cjIdsFhLxzYtp6n7XpN2zk1g1DR66+Oj477 + XuXlL39Fvd588NCR48ePFTQ3NMylzPLU87yJiYnDhw+/4x3vOHXq1De/+U3f933fv+SSS6amppSE + 2uHDh++8887JyckXPf8FAICclmmaGqb5hX++Wdf1PM+VwhsmpKDs9lu/fvOXvrC6uup5Xi8IZ3bu + ajQaq6urlqYrSKfa2CUAK+trz3/+8x87cfzs8849Z9/+f/nyl2ZnZx3XPXny5LZt21Qs9ZG//J9/ + /ud/vra2NjY2Njk+8YY3vEHx3/r9fqPReP9fXKPKFgf2n/3g4UOKkWvbNqN0SOVCZ/IB+R/Vr/4/ + juH2jjEG4Gn5I63+dPyR1rtn/JEQUgxGXdeVepPqQCpooiJNafiJIdlPna3EhACqB2xoCCKAOWeC + CwkQAhhiBCFBQMNAJxJBsRUk+vOZAj31gBJggEtaEiwARoahS11ABC3dIrreTyMJhMK2aJhottnu + tmujdS4Zl5xDAQDkAlDOGJOYSd91B3kqAXNMw9CJRqBpGqurqwDhWq0GAOh0OmEYYohUTVwI4Tiu + 7/srKytjY2PdbrdRrwfdnvKWUGmAX6sqTJ0KkHzX0zQtixMFLmCcSyBdw4njGEmgJtJYaySOY9t2 + EELtdrvVap111lmzp0/P7N41Pj5+7LFTI62xQRwlSdKaGE+7uWK06rqudCCq1SqjVIVwjDFDG3J+ + pBwaQ276ve7evXttbe3EiRMKKcBKOjk+ISRPkqRRqx9+6Mj4+LjnebQolXjj5nze7P0qdkySJK1W + S0qpDAaIoS8sLPi+PzExoTJGBKGa/Apar2JmAAAhRCEpGGMq7FSnrXIyEEIQiP5/7w//yfhJOkhP + aL4P1aswhhBGUaTA970wgAg9dvJExnIEoF/zwzAEAggsKWMC8JIVoxOjEsu8zCAGvaB7/wP3aY7B + BKeUlpRKKTmQyl6GEJIxxinzHdewLWLB2YX5Zz77WafnZgEAcRljjBUBQylQG5apsM4Vz8/zPE9S + IcRgMGBFmdHS8txb//W2K17y6r/9268PBhEQXmO0UdJTECForqUcGMaa5aZfu/2uB3/03T37J3bN + TCVZ2u61C14oIbiRkZGioIMkxVizbTcaxOvrHd/3IcCclmkWxoMBFFLTMGNMMk40jZd0kCS7tu84 + ePDgS1582Yc/8MGResMwjOW1xfHx8d/8jd8wLOv73/++rdmDwUAR033fP3Hssde97nW7d8388L77 + hBAveclL/uL977/ipVdwzjudzsc+8ldFUaRFfvAZF77x9X/4wQ9+cGFhIY5j17LLsqR5sXPHjn/7 + 6m1HDz1MKVUr1vf9LEkVIk6pLPR6vbPOOmt+ft40TY5EmAyu/5vrdaL1w8DzvCLL1KRP41gnpOr7 + f/mXf/mxj33s2LFjB84++8iRI2fvP3DZi1/caDQ++clP5llGy3Ln9PZ/u/U2DHBEY1uzv/29b199 + 9dWYEKxrQRBsm5zudHq2Yb/w+S+46KzzF9ZPXfPeP61VR1aXOiMj222n1e6muukICYMoMHQ3DQQG + cM9ZUxIm6+snAI5tF0ZR/4YbvpAkMeUoz3PTNhhnADJNMwBHCMCK5+/etUuHBAHIMmoZNhACCKkS + JCV7xYEEQOCnGSkr800IIWNM0wyAIKX02MkTnV5XKNFiADWEVQNTQlAyKsGw7DZ8VAJZgsdxVPeq + e/fuvfuuez76kY/O7JixXEdICDVrZTEJg7zIKaXcMj1MoKDl6Kg7ta1qmXJpcfbUqeMv+MXnffyv + Py4Bl4x7jtvrdCSEAkgNkyRJNIx7vd6vvPRlV7/16ltvuSVJEkrpueee+94/+/O77rpLpWrf/+73 + 4nBwzjnnqK3wuc997jnnHLjqj66yHUfpkg0Gg5FqI0+z9/1f742iiObFnj172u32nXfeKTgHAOi6 + rhqhCk162WWX1er1G2++6fjx41+65cv9ft9yHIhRWZaWbtCihBBOTExcdNFFuq5/5dZb0zSdX1xc + WVuVXBCIXvj8F9iG2et0Xd9zbUcz9PXVNVaUxPWfefDiS597yWc+9WkM4auu/K03vf1NlmW97S1v + zbLs/vvvb3c7l192+dv+6CoAwCCOTs/P2bYdBkEYBDoeCldACBFSfp4IQigBV9sYUn6CW//bEF3e + /Kd8vCDN43dDFEXRc5797Nu++tUbb7ghT9K3vOlNV1555Ve+fEsSRb/6iv/W7q/9xq//+qFDh5Io + thxbYWUl43/6x39y9/fvOvrQw4JxDOFDhw8fOnTovnvuufGfbrj88suFEAtz80TXXvN7fxD2B5/+ + 5Ke73a7jOL1239QsWjDfrbz2ta89euShIAi+9+/fXVtZl5ybuhGFA4WaeP4LX3DgvHNaE+ONkcbr + 3/CGIAjiOC55aRgGEjAtckoLQnRd1w9eePF73vnuf7zhH5eXlvbu2bO2tiaFuO5jH/v617/+1je9 + eZDEU+MTkOD62PinPvuZ8cp4EASe5+R5mtPSMAwFE9CJnkTxrh07HeIESXDzzTdH/ehNb3z5yROd + b3/nQUAnjv7o6EMPLwBiRHEMMAeSAVi8++o/jPrgqrf93slTh/7u03/teZXBIO73Q93UDMPIiyJJ + U9UESEuKADCQvsmbCvtBmiSWZkkJNztFAEEEoALbCdUVApIDCDESAADEBeOqswTk8DUIQKCk+BEc + mlELuQVoJwmBVMoizfI0lRhBBChjAoot0FmxeQ6apq1pWpGn9XodQ9TurMVxfO01f7Fzx+5zD1ws + uLO+NtftytMnO1LYacKJblOWeg4tytVffP55JV0fH6v86MF7VlZWLrjgwlZ9fHR0lDHW7XZtx6xW + K0WZq8D92c9+9lvf9NYPf+TDZVk6jrN9+/YLLrig2+0mSXLllVdedNFFX/nKV172spcxxtI0dSt+ + pVJ58WWX/d0//L1pmhCjoigFZa7jGLXa6aXT11xzzdho6zWveQ0vyhv/5cucMkJI0On6vo8ALIqi + Uqu++vd+V5ZibWX9kYcenT09PzY5sb4yxH2Mj4+rlsvBgwef+8zndjod13bWV9c8x82y7KGHHgIA + vOdd7/rABz6g0DWNRuN973vfF7/4xX/7t38jFXJy9vT27dsZ55TSkjMFS8YII4QAexrVK7VD/9hy + RRsr9T/2R7KazeZP3x/JdwxTS9M4L9It/kj86fojPRXK6OnSMJQGCIdAYqgjDREiCsowG+oHEowJ + RhqWBAEIOQKbgkmb7/VUadJ/ASHkJxjqOMYYl3nKOccABUFXJ4ZuGJaudaLQkMwkRNMIp6XvOXmZ + MyQgghqCCCFNQgwERlDTcJZlYa83PjnmWWaeZRmnnueVFDABBkEohGjU6q7rZnGiKgVCiLIoGKW+ + 52VpihHq9XpV3xdCQIIxxu1OJyuLoigAgnv37lXNzM0mjIppJYCmZviOS8uyXqtJKeNwYBADSMko + Vdj4erV21r5955xzTprmCsBmuw7nXDKuoAHKPdZsNimlYRAomZY4HIRh2KjVN1WUAIIIIQwgRljT + tHvuumv3WWede+BAt9tNotj3vDiKiiIXQqRRPDE23qg3VldXFdPY87zN71ytMtUNhnQobb+6uqq6 + ZKtLy/VKVX2QJEkgwb7jpnmu8GVQqWEjTCklECEJBGWmpj/hjB5ad/7sM/annSDBpwgXNE0TQAoA + iK4pbTrNNBjnX7rlizrRBmkskOBQlqxgQDLA1nvdu++9e3ZxIc6Tz93wT3/50f8ZhtHr3/LGb37v + W7quc8VZxEhpfSrfBsuyMCZxOCAAfvxv/vrDH/zQ1Ve/pxTlrV/5V0VortXrWNcU5UDBimhRMsZM + TRdChGFYrVZHWqMZy2+97av33Hvi9GN5mTVrVf2xEz9sNBpSMq8Og2jR1LPJXdpNX/xsWqx+5Lr5 + lZXFk6eO/9bvvtLClut7WCMr62umYxNCaMk1zfC8SrfTi+OYC+lXm0maKyLHVtaawjS/6EUves97 + 3kMQ8TwvCIL2+vquHTs7nc4rrviVuEzSOJFSbp/a1mg0sixL0/QZz3jGu666+iVXXMYpq9VqL37R + L7U76895znP+4e//fvuOHf/0+c/Pzs0lcTw2Pv4Lz3tetVo99uijURT5nqcY1eOjrfvuuf/P3v3H + pmnOz87ee++98/PzOsJ5nKQiwhgHcXd6enrvrpmpiYl3v/vd6931d//5n8ThIA4Hpm4QTLCNOedK + vEjTtLe//e0XnXfRC1/4wvX19ccee2z3rpnZ06cPHDhw953fRxI4piWEQACut1df9apXKTnjOEmU + odDy8rJEKAxDS9fSJIrCMGGD1aVlWrA/fvefTo3v//Sn/mWkuf9fvvjNe+47AZAlgWHbBmbQdXDU + N8an/Bf+j0tedNlFDx76/rvfc1We5wgB37QHWYIwwAABpCOERU45hJJzBCCGCEokJeOcIyGllErm + QQwxAxAALIAAG2XG//QRKAo5QkACyhkACGtEbFgDSRWCIzQ81Thn6sVwg7ynGLmKHALI1PhEZ72T + pun62ppBjA++/0NcaG95+3t7vTzsWEGPIGjQsoQIea5uWXzbeWevLR16y1tfvWvn+LFHH77j9m/o + AIcsMnRd0fn8yv/m7r2jJK3Kff+d3vxWTl1dnSbPwMwwpCEIF5QwkgaQjKhgPgIionhFPAQFBUGC + Ho8KiHiQJIikgSEOeYAhNJNneqZjdXd15fTmvffvj909jqjHiwt/96y7V69a3dXdVdVd9b61n+f5 + fr+fiHA9RaNRz7JPOvXEq793ZaVdWbx48VtvvZVMJvP5PGPs61//eqPR6OnpQQiVSqU77rhDNJNu + v/32W2695eWXX1YURTxljm03643uzpzdan/u0+fEYrE1zz0/OZbvzXVpiiq0c6KUsm17ycI9FCKl + U6kbrrv+G5d8U1GUsBnyAr9erweMCjZlvV4Xe5EtW7asWrUqFosxABCALdvO5XLHHHNMsTC1YsWK + wcHBfD4vy3KlUslms5ZlnbTyxDvuuCPwPAmT8UL+uWeeVVU1HorMmTX7rTfezGU7N2/atL6/v1Ao + yKrqBX4oGunt7a3VaoJKLpbIyJrecHx0oTi6rhuGEdIN17IB41arHTXDnuMCAD7z6XO+993Lrrji + CgSA77pi0wwYj4TCK1euPPXUU6vlspDcDAwMxCLRYrHYbDb7+vp27NgRi0RnzZn92XM+s2nL5sWL + Fw/u2AkhhABoqpofGT33M5/VJUVGeM8FC393+29arVZHOgMYj4bCvucTiPZYuEhT1MGBHfPmzXVt + O5ftTCWT1XolAJwQokJVUSRdNztT2Z/f/PP3NrzXarX6unvy+bxCpE+fedbaV1+7+67fcc7feOON + xXvsuWnL5sLgZP/2991UOh6PM0B5EAjGjiiShZdjZGSEAaopiu8G9/zXPVPF9ma3BGho7UvbN21q + tBsZzUzIPOA0oNQGqHXjT+4JhezzPnP2AfvubZCLNm57J2SEFEUBkEOCVawGlE7nUBHMKcUQq0SO + RCLxSDRkmoqsSUSZeXL/vAAACCMEAJR2VcfTG00+4wDZXba0y3g2fVNoOnERI8A5BQB4NBDNVygR + j9OWY4tfhrstIUWyLEvR1Eq5nIjGZE0PPF+R5HQ6/Z8//xWG4d///on33nr39ZcHJLmDYDnwJEkx + MTGHxgbCcXX5vkcObH/9xz+8ZMfQjvPO+5wiyYXSZH50mCCcTqYo8AEAmqYJk8Oj9z26aWgT57y3 + t5dzvm7duhdffDGTyVx88cXFYvGUU05BCP30pz/t7sw1m81IPPbtSy998cUX6/U6lojv+6ZuyJiU + SiXMgKqqyXjijl/ftnnz5uGdI2eddvrLL740OTnZnetKxuKtZhNAGAqFhgZ2dvV0L9l72R577PHE + qlVL9tjzzjvvjEsxkRueTqfPPvvsY489tmk3bds2dUOW5cHBwcsvv7y3t/eyyy574IEHHn74YWFs + O/nkk4vF4plnnnnnnXdKkjR31uzhsVFCiKwoRJElSaKcieNCJtJHcpAKHcc/4iNZu/GR0h89H0k1 + /iEfCfI/e9N3GTA+wiGSaO4zzhFBDCCAOYCABxCIfR1CiGBIMEeQAc74h61O/6ctCDmAAAjbm24Y + 8VgcAZiMxizLwhJJK8mAB67jc+ZKgCiqZnsWR4gzEAQ+DQKfUsAwgIRR2J3LyYTYbUvVJU1WJouT + sUQSY5VyZpom57zdbjeqNWF76+joqFWrhmEoijI2NtbZ2UkpxQAKDQUFXJCFVUPHGFdqVfEyCxkm + IQRQ5rouoxRjHI/ExsbGIISyLBGIIITtdjsajtiWS5kv6p/A8wWne2RkxDAMnzIW0FwuFwRBpVgy + DINzXi6Xw+GwcOZ7nletVlVJTqfTgTfNTkAIYYkAMJ0X4vv+vHnzqR/UrAqgLJtKO44jKapCCCHE + sW2M0M7tA8lMOhqNipjKXaffXR4kCCEGSFEUIXoSn4gsKDHI7evry+fzhqbLsmxqeiQcGR/PAwBE + F8w0zUQiIRoTjUZDiAbFrEnMXRljCHx0b+R/a/0zEyTxmvvAEv8j0zQJIfVmg0Ow55LF//HL/+zu + zKmasnDp4kg07DqerEhEVigNOAetVjOWSPz2978LhyOE4FqtHo/HSqWS0Cvz3QB2IkINQmg5tu25 + yVj8uZfWHH/SygULFrz77rsCNySkipBg4UESVmMMEUJIVVSMsSNJmqYNDA/ecPNNgBLPArP7ukaG + ACGgZYFoFDgeMEOgUQcY8o4OWCzVZRl4TktVJc93ujq6ml5j+44dWJYUTVVUnUiK5Tj1RgtBWdNC + AfMdxyaWI8Y1IvBRvNFyzoWPoru7e/78+Rs2bBgfHwcAZDs6BgcHP/Ppc158eQ3l7JRTTnnksUcb + jYawbzm2/c1vXPzpz356aOdgV2dubGzsyE8cce4Xz7vgoq+vfeXVgLOd2wc4gh2p9Nw5c3hApwoF + q9mCAFjNVsg0IeOu7fR2dgWe1zN3rtNq50fHWo2mazucc4VIHHME4A+uupoQ8vWvf71WqtjtNg28 + SNg0DU0o0QFCCKGwaZRKpRtuuGGfffYplMbDpjG0c4csy9Tze3JdR33iiD/cc9/HDztc07Qnn3zS + tZ2OVOaE445vWe1arfbM88+5joMQMk1TuFxsy5IA0hVJIwpgMGLETSWDaeytV8bmz9sD2EtzsaWh + SPfEVFWTQcDHuVd+7sm3Pn70kv32lUwttteSvRKxZChkQMT9wFFVKQiEOVJ3Wm2FEBUTDBH1fBcy + Ccsz26NpDDgQJetM91dsmhkHHP7jS/Hqp4wKQQ6HwJ+RUBJCZuzVMx0USgPOsCTx6fyLv5gjeb7X + Zu1225Zldc89Fg/tP9zZ2VUuwtNWXnbnHS9lwt0pzVSVUBD4ALgINVx/dK89Dp1I6KqkZ1OZmCm/ + +sJzDPgSRp7nNJo1RSIs8DgLAMaNZk0j8kEHHfCJFYc/u/qZkZEhy2pxTru7e1WsvLn2jbHx/ObN + my+77LL58+e3bKtcLj/66KOzZs3asXNnKpUqFApBEDi+19WRtZuOY7mqrElI6u3s+dpXz3/91ddu + uukmx3IrpSoAQFXVwKO5bNePr72uWq+NTo719fUJ/4NQmc6ePXtwcLDZbHqeFw1H2u12NpP54tmf + P2nlyiAI1q1bd+WVV0bD4UattteiJeece84ll1xy6qmnJpNJu93uzuUkSfrKl760dfPmVY8/HjZD + kAPEwbErPmlZ1nHHHvvAH/7QqNZWHHTM3nsse/ihP7q+179+/UMPPfTU06srxVIymQQixXhGzf/n + z/+JE9/fPBkCEDLN555+5nv/+7tvvfHme++8++Nrf7R5w0YZk1wu15npGB0emZqamj9//sDAgN1u + xyIRAMDll132w6uvDjwvGo7UajWC8KzevqGdg5qiKpLMAppJpYd27FRV9aijjjr77LNPPGHlD66+ + 2rIsU9M92ylMTBby4x17pU447nhZklOJZCaV9mynXqthJDmO09XdeeyKT4aj4fsffMAwDJHagjHW + NM2lAcZQQhggIqyVnzrlpDPPPDNsmIHny5iosrLPsr1TqdTvfntXTIs9+PiD991z79FHHnXksUdo + hv7b390ZChktkZxpqJZjQwg0TUEcKUju6szZjhVVIxiiN15fu2DB3vss22fenIN/eMUfI1o0qucC + pgOIW3bVjGNZbdVbm7/9zTMkrO7cOZEfH7Wslqoqpmm6vkMB13WdcT5NwGAQMAYD4Hme1W67rhv4 + jPmBT/9GtiznnAEKditgphWWbDpqHO6WSwt262Ht/iWjiCKEAaeUeq7jWLbVagOCgUIIIYJeJvp3 + kDM+EwEaCoU4BLlcTpXkRr0aDptB4ElIikXijq3su/eh++11+o/cu3w30W4pBEawpFPeCi/cG5EJ + r63tteTgep1Tl83q6TU0LZVMDY0MB0HgM9enrm23w7F4oVA477zzvvj1L1500UWC7SjSRBOJRCgU + OuWkU0rV0vz580WODuBAkqRWq3XEEUecffbZmUymWq8xxgzDwABKhMTMsEyIJEmJeNx1nMsv+16t + Vnv2qacjuqlrWqVcFrHdnmV/+9vf3nu/fd94+y3q+eVSqVGrq5IsSVK1Us1mszsGdkzmx08/5dRH + Hv7T3NlzBGesI502NO2z55xz/BHH/unJPy1YsGBqcnJWb9/eey17ZvXTy5cvV2Wlq6urWq+l02nG + WEBpwFgQBABBIFAw/1xp8FccEjZNjf+HfCT9X8hHqpVdT5/mI5VKnuchRHbjI1HGIAJYNMjFuQXu + orJ+dPMZjiCCkEFIOWcIAAlxMi0J45zPpP9AOr3b/eCv/8+cFP3NBTnglDLGkQQN04QEl2vV/Oiw + oamtVtO2bUSIrmudyVSlVKqVpjoSiZDnYwQQQgxAyglAEpQkhCXGwND2nZFIpCuT27RjSzydiKRS + mhmqlRqAQkk3Pc+zmu1YJNqd6xYCHxlLiMNSoThv9twgCLjEWq1WIhG3bZsjaBhGs9UqlUoCKd5s + NoXWLgiCdCJJCCmXSrqilqaKnR1ZEXfMAua6rq7qkANVVoikNRqNaDRKKaeUDwzsdDzXcf3eWbPf + fffdarVqGIZpmsICFI9EhSMomUwWCgXPdeKhSLPZhBhBBCGHYrcsookBAKqq1lvtRCJht61IJOLa + zujISE9Pj+e6nusmorFGu5VJp+PxRKFQ4JRC6c+lBJ9pBIsXcOD5tm0nEon8WD4UCvV0dW/YsKGr + qwtDFHi+73rtZhMg1Gg0ioWpbC4rjOv1er1SqYiCQvQv/q+8hD50gTSd3/XXN0SIkBvWGg2ZkJdf + feXEE0/UdX1wcCcAYBccAEKoqsLgbsuyrOt6oVQUtMdpt7ftUM/HssQ4DzhDBHMARHsPQugHQTKd + 4pR1ZLMDAwPlWpVAtG3btlRHhnNemJqaM39erVYTgb9wJrKpUqlomsYZG8vnb7jxxomJSUVSPdvT + 5JAiG/n8RF9fT8tqWnYLEmQYmu/4AqjaatY1TeM0cFyLc6qqSiKVdH1PhJm6rifLsqpqnucDAEwz + CjgRlgxRnokCTxRIgqxyxx13RKPRVqvV2dlZKpU0WUklkh878KDbf/XrbE/XYR8//Pnnn7fbluhW + Hn744T09PWMjI7N6+5y2dck3Lr7rN3eOjYxWS2XHcQYGBmKx2Pz58yVJ+saFX3/iiSe2bNxUr9cX + LlxYr9enpqZCoZBj2RP5PAvorN4+zCHkgHuBoWoh06zX6+FQqDpcWbxgD8ap07YiZigSC8uYiK7w + nFmzCSHjkxPJRAJLkqqqd9555y233PKtb32LUrrHHnt0dXa+/vrrRx+5Ys3zL2zcuPHUT51SqVRk + IuV6cwTgs888Kz8x3tfXd/jhh1977bWaqpqGUavVAGCZZMJutT3bkgEOm3p3rmvenDnNKrjm6que + eKzUbniYJ5vlCGHZeChkg62xeLNUyl/wte8sXEzq9XI0npg9e3aj0QiHTcYAkiUAkE+5rKhhLaQi + okAY0kOqovAASghDBAhCgEDOZ0zbu032EPpwKEIIEaMUIKRiVSJKEAQ+DaY1EhCKp1tV1Ug4nEwm + AcEBY0wkpEImfAsisAEygjia1TuHBbRQKMyfu4BApGvSZ86Ze8/vRjyrE9J424IIAV1HjjVKWs+4 + QgAAIABJREFUWRP4xqXf/gImXqsxWSqNdqTjdrOuhkJzZ89xXRcQ7AW+CCmpV6qmbvzm9tuT8QTj + bOnSpZlMpt1uL1q0iAH2i1/8wgv8FStW3Hzzzb/+9a/TBM+dO/cPf/iD4zjXXvmj/v7+d999t7u7 + u1yrVqaKe85aSBBKxuORUNh3vUQ2Z2p6YXyiM5tVJRlBaBpmu9WqV6oxM1Kv18V2ihBSKZXF+RcR + Eo/HKWeGYbSbLQjhxMTEV7/x1aeeemrx4sXXXHPN3Llzx0ZGlx9yyOpnnkokEl2duVwuBxhnjHmO + e/DBBx91xJEnnnxSNtORz+f7ct29HT2HH3YYpXTp0qW//e1vTcPwHfcnN153z/33hSLhE05cuWzp + 0sHBQWGJ3tWFhRAC8GcM5Ycynv03izEmciNXHHX0Hx98aHR4eHx0rF6rxaLR5fvv73veiiOPKkxM + fuG8z1988cW26yCEvv/97xeLxeeeedYwDEnTYrFYo1avlMrT6qNorF6plkqluXPnbt20WTXMO+64 + 48lVqxRFQRzoqhZ4fl9PT2Ficoeq92V7GAumJibjsRjGWJXkZDLt+37gepVyWdOVcrnstK1ELA4Z + D4VCsUSUIQgh9xmlnBEkaZpWKVaS8cTY2NjcuXNF+HIymXz99dfvu+feVCp16623rnvzraGhoY6O + jpbdNDUzFot1dGYd3+OcdigypRRDBBmUoQQh1FQNArznwkWarGCIKPN6elROZcQ1TuOBZ6iawTwC + AtSoWnMX7HXiynSzBUKhjv53Knsunv/a22tjsZisSj6jCCGhtVYUBXKEIcQMUdfv6uoqTRTGYmOa + pol3I8g5AwBDyCEkCHEIhW4JzoRj7roELNjlPhLOJfG5hLDwKQknkvAgcc4R5BDCEDE7Mpmenh4o + EaTJgGCRpi4KJMAoAAAxziDwA2A5bVM3EPMjYaMjmUolEoqilIpVXencc35vaQrs2FIKmamI2QN4 + pFJsRyPhgc1vz18Uj0W6T16ZLJaaPT19S/ZcarXaBKB0MpHLZpCCdUOxXQtiqaura9OmTYVCAQCw + fPnywcFBxlhfX58IC73qh1d97GMfu+vOu86/8PyRkZFYOOJ53gknnbh161ZKaTKZVDQ1HA5TP+AB + 1VTVbVmtVuug5Qc0m03f9RCA6WgiPzIaDoUUTOxGS1NVwzCKxWJYNySAo+FItVo1Nb1eqwnBtq7r + hUKht7f3ueeeKxaLlmU1Gg3TNFutVqvVsizr4Ycf/sUvfvG1r33trLPO+sl117VarWXLlt14441d + XV1nnHHGbbfdlsvl6rU6wAgTAjAihHAIKGOMMfwRjXrRh+Aj2dlsVlGUfxUfiSNd15PJZLPZtG13 + Nz4S45wDxv7VBQiEkEPAZkp6iCGEiCPEGJhx2PNpiPG/PlXvX70wRJRT13UlQ/MZxbJ00KGHXHbp + d2Jm2DQ023InJvOZZAZDBClL6MbU6KgMgIQQkghEOIDQZdwDgCPEAdI0DSn4mut+9N7W9fVG3ecQ + IaIqSrPZ9H0/k0pTSgcGBhBC2UxGGMLFsSN8O3NmzfYCzzCMqXJpYGBg1uzZDALbts1wSGBpNFXV + NI36wY4dO6KRyGVXXbbXnstkTGKxiAhKEfYb23MlicwMD3wAQC6Z2za8TSIKlqRao37xxRfXm81W + q6Upar1eFzGwhxxyyNTUVG9v79jY2LZt20T7UqQRCpWdmPkId0A0HA6Hw5qm9XZ3j42Mlqu1A/fb + v1QqYVldsGgh5/yJRx6Zu3DhwPbtEMLunp5Go8Gm2Q3TDeJpqSBgnuvG4/FGo7HffvuNjo6WSqUz + zzxz9erV0Wi0NDWVy2Z37Ngxf/58XVUty+ru6nqvv19M3kQg5yGHHNJsNpvNpsh0FVtr8YDhP5Vy + +aHWhyuQEACMf3CmJeolEfosKTJAMBqP27Y9MjaayWQSqaQgA7RaLc3QKeA+YxhhLEuW5+rhUCwe + r9VqHIBkMlkul9HM5nX3P54jKEkSpZQjCCCcKEzath2KRoql0pzZs03bFpJNwSVwXTcej4tDXSTz + iAANXdN0wxgeH0kkEhpRd1Z26BoZyw/Nmz9nYmKbqsqywnzqSRKnPPCDNg9kSBghms/h7Dlz8uOj + lAbNVt1xHMqYT4OAUVnRNN3kAHm+I/lBEASSqsDpBz8td8YIcc5Nw+CcO7Ydi0YJxvVaTZHl4eFh + HSuf+PjHS6VSpjObzXUCAEQYEafsim/9e7o7GYvF5GS6UqnMmT170aJFtWY9Fokef+xxq1evNgwj + bIauuuqqrVu33nP373VVc1RtfCwfiUQIwhiidDodjUYLE5MSICHdaNUbpmF4nufZDmTcarZE7oUE + MQSgUi4TTYqGI5yyZDwBEJRlWVYVy7IQAJlMxnGcRCzmWFY0HJYw3r5124HLD1h5/AkQwjNPP2PR + ggWWZS3fb79Go/Gr23/16quvUs4URbnqB1cvW7ZssjgFAOjt7a1XqpCDiBnq7e2lwIGASjKoVMrN + WmjuPDk/Mem5oDM7q1qXpECCHLYbxFR1z1a6c4QGgEGgaYaiaAihRCKOMbZ9nxDZdikHWNYlYFkI + irkXEpnYlNIAYDwt+IacAw4ZmBkm/Dcx339zQcApY9MIEQgY4LuGy4xzhCCAQMSgybIMMdYV+S8L + JDbd3GTEtdxQKBQOh2VCOjo6RC5tpQog4UgiAGiQEgwRC3xOZU0PYYxZAALajETksdFqu1nBkCLA + RP4SkSVJURzPFSHOxWIxFolADjDEWzdvESFUb7+17tzzzm21Wq7rNmr163/wk1/+8pcTYxOJRGLN + mjW6rv/u/t+tXLly1eqnqo06IUST5LGRUVVWhHIMd2DXdRv1el9vr0hLb9bqQRAoROKMOa4trKXi + cEMIJZNJDsHw6GhHR0fbaruuGzZD8Xj8kUceEYr/arW65vkXli5eMjU+efqpp01NFj5+2OHRcGTF + UUc/cN/9iqaahvHJo1fcctPNnuOKWC3f84bHBq++4kqE0P333Hv3vfcceOCBzz77bCwWExu1iYmJ + ww47TIRrsYAK8QOYzntlYo4AABDhzPADH6Jr+1fXg7/Pq8QQUT9o1hsIoYMPPnhWb++ra179zH/+ + EjJ+xBFHPPPMM47j9L/z7r9dcL5Q2nzsoIOX77f/Jz/5yXlz5tbr9bGR0Z6enkQ0Rj0/nUiefPLJ + /e+959j2sr32Gh0dnTVr1uj4RDqdbjeagLKwGfI8T8K4ZtmGpocNEwEQUBqNRDzbsW07ZJjtZsun + QavV0DRNkzVZklzHaTQatm2PjY25vhMATggCGFFOAy8gAY6GYwPbtodCoXffficUChUKhX/7yldf + eenliXy+XCyu7++XCRkeHLRtGxJIANm+fTsDHGDEWMAAp5TKROIBBz5PH5X2A9+13Xq9Pjw8vOeS + /fzi1OYtEsaYYM32ZM5MzgyEPMC8aCTVbIzWG8CyfYir4SjevGldEHhTU1MQo4AxynwOgGgw0SAA + FCAKCUClwpT4i3zPI5CIxgfljHLAIfDFvDegHALhR8IQQYzYNPWIQs4ZBxxwkVhHGaecAUh35d3t + cjEBAHzmAwB8zhzHsSyLIeg2qUsDDhmHMyIozgAABEAGAZY0ynxOmd2s6bK8s9EslUqVUjmbzdVK + CALQqIEFc/crFOR6GemaaWopQO2u7GLmTSAQUiTAmVQsVIYGB2u1GgMBAKDVarUrbU2XAka9gAUB + i8fjiqIEQdDf31+r1QAAL7zwQiKRaLVapmk+/fTTR378SJEAPj4+nk6njzrqqIu/+U1FUUbz+XA0 + 4vt+o1YnEKmKMj4+LknSxo0bM5kMAIAHFBGMEZIxCVxPkWUMIGI8k067ttO2WookQw4cy5YkSVc1 + Cmij1cxms67rTuTHs9lsZ7azXq+HTbOzo0OwLgjCMpFWPf7EzTff7DjOSSedpEjyySeelIwnjvzE + EU8//bRAJPuM+kHgMyomSBAhjDH/O0Dq/6O12xzp7/KREKeU/hUfSf3o+Ehhz/Nqtfqf+Uj1Fp/J + Cse43Wq1xMZXvM5ZwGY6OACAf8xH+lAVjHir4zOKPQYBAxxCEZNPAQQAQxE8AncRkP+qXvvre+T/ + 7Xc/2vVh98MYIInIDnMBAC2rCQFYMGf2/nvviwC/7DvffvrpZ9OpRLPWbNebXR3ZWqkY0lTMOQgY + pZRxACQJaRpUVYAl2/Xrlep3LvuuaUYQJ2Y4rumK13QJwk5ADU1PJpNjY2MyIYceeugFXzs/nU4D + AEzTXLZsWXd39z7L9r7hhhuH88PJZHJsYvzCCy8slkqiQOIQiJLAarUbjUYsHOnt7XUdx/f9G667 + /o3X186aNYtSumXLlmg0Ksm40WxKkuR6TjqdnpiYSGUyuVzOcRzDMNZv3PjwI38ql8uu60qyTCmN + hiOKJP+vww+79ppr+997r7u7+5133rnxxhtF8SYpMoSQYiq20AghVZYNw1Bl5Y7bbi8UCn19fWMj + o5deeunQ0JDjOC++uCYUCQ8PD8+bN2/NmjVu4IfDYRHtyEU6A0bilSOi1SQkIQCj0Wg2mz33s5+9 + /vrrA8/74dVXW63WW2+9pcrK7b++7cILLxwfH+ecrzzpxC999csXXHBBoVBwHYcQwhk793Ofu+mm + m6bfmnf7AP9/WJD+WYnd7oNXMXJ1HEfIHBVFGRkZSSQSuVwOAGDblkg6miqX4pEokojnebIsY4x1 + TRMJNtFotFQqaZoW+L6uqBTjabstF4AojgDwbMf3fUPXrXY7l+0Um7OuXG4yPy6w3JppMEoBZb7j + qvG4UNm1G81EIpGIx9vtdrlcVlUVQ9RqtepuJZmMB77T25edmBwJhQ3LchRNBj6sNyoY445samjH + 4Ly5i0ZG8pFwbHhsyG5buVxnpVqSZBVjSVVVTdMcx6nX6wghQw8hBF0/0ExjV5CRaA6JzbqI47As + S8gxRaWuadppnzptdGxsYqrgUH/uwvkHH3jgSy+9hDH++a23fvnCLy5ZssRxnGq9Fk3E995nnyef + Xh2Nx3YM7tz/gOWvvv4aIeSKq67sX//+zTffXGvUPc+bNWvWyMhIrVFPd2Rc183n877vxuNxCuj0 + bKHRkCUJKgrGmEGQ6sj41G82m8l0IhaPB5Du2LFDUZShoZF6sxaJxADiEGDHtQAihKDADVKp1JbN + 20ZHRxHA77+/YXh0pLOz86STTlIUJRSJ6Kb53vvvv/Laa5qmIYI7Ojp60j1r1qyJJRNBEGzYsCEa + CgeejyC3bbtttzkEQh8Sj8vv9YNIJGKYraGhHZikKNdc28vlcpY1gKCkqsB1QSiiTEzkBwa2mCFt + x86tSCKUAQ4Jo0iSNc+ydUQkCPyo7zoeQRLABAAEIebTPmoOIQQIzUgX6D84yvgueglAHADIAJg+ + BYhOJOdcJpJwBVBAGeee7zWbzWKpNJrPcwgBwVzc1UyBJG4QA6wpen4o39vZZyrmjuGhsbG8rHTd + /9BG252SpITvq7IaBhxZTkXWgrZVsv3a3fe9sc++iYMOWKQZoUazrZvhNvMqlUqz3rJ9W1I0Dhml + HAFuaua2LVtNM0wAppQXi0XDEPpGv1qtijTS8XJ+y6bNyw88YM2aNblcbnBwkDM2MjKiK6rlOL7v + NwEkHh8bH8UYaobu00BRNMqY5/tBEEAAIvGYyAoPmaaiqIlkbOuOrZmubDgcklVlfHw8lUnHYrFI + LGo5dkdHx+jwiCRJrut2dHRMTU15npfL5SqVSqVW3W+f/S66+CJZlt95550TTjjhueees237lNNO + LRaLTz/7TDgcdjzXcZyAUtXQkUR0Xd+4ZXO1WkUYh8PhUDQyOjoajUbDZggA0KzXJUUR0F6xkf3z + BAkhAIDg2E2rpHZ9APA3rvz7XSoIAAVcluWW1T7ogAMvvugbvb29f3z04e2DO1vN5qEf+197XbiX + CJQ77BMfP+CAA5577rkLL7zw2muvnTt37vaBgUQs3tHRQSkdHc9ffsW/b9269bzPfu6iiy4KRyKp + dPqXv/rVY6ueIJLS29v72ptvtB3bsixVlTVNgZDvuXgRhNByW4QQXVddjEVWmGW1ZU1VDT0SCzfd + th8Eqqa5rusGPgBAlmUCgOt7QeAqiiLrMm0HwoIvhEADO3d057p6enqi0ajr+5KiLN//wB9dd125 + Wo3FYgGjLnCJLIm4c1XVbccxDSPwfaIQzZAnJiYkIqkhZXBk+Iuf/9LY+Chj6MWXnnaDJkeMAZXI + mENXVa2A1v2gnE1IV1/1++9+9xTT1ImENE1h9YZQm2CJOK7DAVBV1XVdRZYBBBBCGtCWbdXr9XZb + /HWqsG1gxoIgoEEQBIzuFtcuYSTe+KfVd1hCCACAIAsEb41DhDj3hXKfcoA4QRKRsYRlhICCFQ4o + ddxWu10qlbmEoCQxDChnIm1FEM0Q5D5HDADK7SAIPNVpNWqBoTfrDTMcdYKgWmtgObZzm3/zrfdP + TLmGPh/ISCK01SzTwI7onuNWZQX87BfPn3n2J2JxZXhsNJaIMwBGxoanylOKprQsr91uy6qOsTQ0 + NCRy3tPpdKVSEXiJd955Jx6P1+t1VVVtz965c6cQKey1114Y44mJCZ/RUqmkaOr27duj4QgGcGD7 + 9rm9szDGbuBXq1XGOMbY8V0Ri1cplUOmCSFstFtEkihnhm62rYF0R4ZIKJVKTRbGFUMPhUKtRrPV + auVyuWaz2Ww1RTu81Wp1dXXl8/nJyclyuXzUUUe99dZbRsjcd//91m/cUChOVWpVMxoen5yIp5KN + dkscpQiLw3M6EhR9mF2QkLd88Bdmjt7A242P5HuccwQpYJBxGg6H/2V8pPQH+UiQ7MZHUh3H8jwP + 42mlCYMMQkgQYgAAxkTKIofw73liP2zBIGqjXSKomXyT6Za0CBjfFb4FIRCqh394j//04/mQj34X + c2zaC/9Bctmu3MPpvidnkANALbuFdUnWVEmSVF0DAPiOk02lTz5p5UUXnN9uNDe8ua45Vlh1/x+g + Z8uASYxDADXD7Ozrm7XX0o65c8Nd3eF05luXXcYhS2bSAeQCLCFJhFEmSA+jo6OyLCvR6ODg4HXX + Xdff33/wwQcffvjhV1xxxf3337927dpTTjslP5Hv6+v72oUXzJ49u1ypMM4ikQhAUFhUAACqqnLO + BaEunUxTP/iPW27t6up6/PHHQ5pu27bjODLE0XhMEHHSydRxnzxmbDy/3377LV269Mqrf6ApKuc8 + lUoVi0WxRXEcR1PUzZs2feELXxCJcPV6vauzs2VZru0QWUTyAkqpYAMK2t4ZZ5wRBMHAtu0PPvjg + Jz7xifvvuffQgz82OTl59CdX7LPPPj++/ro1a9ZgjNvttoBkUsAZY3QmKla85/qu5zlOYWLi/PPP + 11VtarKw3377qVj5ype+/P57/dVqde6sORP58XAotMcee3z1y1/JZrIiT0iEPVYqlQOXHjg2NtZo + NARFTWTciUw4SukMGP1ftT58gST6MX91NYIAI2jo2nU//pF4pqemptKJZBAE07jlmf4c+G9Ht7sa + +rtqsL9W9O2ugv3rn/+Hi83AwuGfZTZ/lmBM3xoQlAwEEAZC1B4EjDFJIoZmaJoGGOeUBb4rS5jx + gCBUb7ai0ajve7seHcIYAEAZAwAElFq2nUqnjz766LZlHXnUUZ7nvfDCC4uWLb7mpus3b97s+/5L + r7x0/PHHv/32ugMOOAAwtmXL5nK5HIvFKOJHHHv0q+++edvvfiMQUpdcckkinfrhD3/YbDY3b9t6 + /IkrHcd55513yuUywAhg5NGg2qinshnLdfpm91LOHM+lgPfNmb1582YkS1AmNAgApBRzNaxX2w0P + BtVGTQ9HfMoq1Xoqk+Icep4TBIFmmkHAIOQAg4lCUTNDkqJZTSsaNa+5/seYkHar9fXzL8AYr37m + aUrp5f/+/YceemhgYOCYY455e+PbS5YsqTUbGzdunDdvXrvRBACEQ9FisTx39tyAwVdefZNCQjn4 + /vevWbTgOFmhAa8k4wnLrjdblYYDNJ36vH3nb14zI1Nf+PIxPACTU6MetTgOKES255pG1Alc1/JU + WcGUU8rEISQRBTDIKKQYEEg2bNjQtpqGoXNObdtetHiRoWmKJHueI6TW000ULFFKm1Y7kUh4XjA4 + OFgqlQzTbLdavu8vXDg/EYv4rifLMmAcMC5h7Fh24LlYIl4QSBImihxwhghxaaAQ1WeUAx8AhDjj + nAPIMUSQBW67RfC08JdSnkhlXnrlvSeefETWs9wblZDLvToNANEcQCpKqOmy0prnVs/f89RCqbFj + aKLmcA40iICq6pxB23J1M8o5daw2BpwSNn/ugoMPOkQG8pEfP3LLlk1vv9u/fPnyH1551e9///sg + CD7zmc8UyyUFk+uu+dHv773H9/1wNPK5sz530y9uFoRTp23FolEAqGRqTIJPPrf6rLM+7QLaZrTt + +4Ln4Lqu3WqpqswI4oAXpqbiqVixUqzVK6qqpDvSHg0kmRSLUxDCtmNHYpGABbmergcffmjVqlWG + YRx9+FFHrjhyxTGf/NPjj7z19rp2u71gwYKL5n6jWC4dcMABZ5x15jHHHCMpcq1Rj0QiZjRsB16p + Ubv5Fz8vFotLliz5yS03+YB15rI333zz1q1ba436mSeeee6/nUsIIQhZjpNMpyzXsW2bM0YIRjMy + G9GEmaH1Arb7BwACr7DrS/73Y8ERZ7ZnpzoyoUh458jwU88+s2nTpoAFvXPnfffqKwIMFEUCEP70 + 1ptjsdhll39v/cYN2wa2l8vlSCxKOWOUUdf5r9/fnclkCCGfPOE4kca+5tWXL/zmN/bed59qs/XM + Sy9s3rhB1mXqB5FkbKwwFk1Fv3L+lz9/7nkLFy5gkGuGVihOhQxTNw0XBpbnRKKR4fzY/LkLxouT + Z5xz9uTUVCgSbjSb0UTcs9tBECi6xhgjEOoh86YbbspkMslk8qCDDrrvgfufe+bZf7/yim9961vn + feHzlNJtg9vfe78/m80+//zzb7z1Jgfw5z//RRAEl3z724L90m5aqqoqstao1XPd3dVWI2HGsYL/ + 7Rvn/+DqHz/3wlse1XKzJay0W41JAByEVA58ypscljZvfifbhde+0bHn4s4rr7z+S1/9vGt7EoYQ + ckHV5AAEjCMiBZQDBgkEkkSITJBCEIFQggwy6vsSFhQOUCpVdg4O+4wCCIMgWLpkScZMtZutVDLZ + rNdkWQYQ0oBCDDGWECK23SZE9jzntdffiERDpWLFCBuxcGzxXoupzxDBjuNBDCRZDQIGJRlJ0KW7 + uJ4z+00AOOCUcwAZAoB5vs9hNByrVCqKoltuULfdex584OijT+/fuqXY3Mlk7HIeClmek1cMBJAd + oEY04QyNryvXB21af+3ZV8bLhZrd9AHVwiaUECKw0qhqmkYg8l3vrNPPiEajixftoStqPBK96667 + UvHEi8+/sG7dukKhcNBBB/W/8y5kvNFoqJnMsStP+M/bfh1wVm82kulUvVrTFFWTFaElq1QqAKF2 + u12u11RD9zgNKFM1rWlbLqcKYIl4otJuGrLsIdoOLI/6f3z00bZrIwJVXUMQsoAiACKh0Lw5c04+ + +eSxkZEbrr/+mWeeef3111VVffjhh5966ilFUY4++ujLL7/co8GiJYvPPvtsy7I0TYvnOs4+73OP + Pv4YlzCYzn/iEEI448P5sAI79PfzDBDCAGBxzCvT2R6MMh4EDCPp7/CRMrZtFYtFVVVisSjn7MPz + kcof5COFdM93bKutqJIsy6GwwTl3PZsxRiREFAwA4IwK/jkScV1wOrPrg5f/xIIU7NaAh+CDmzk4 + 05+ffgaElPQf3uM//Xj+22dYqDOEPJJzTqmPARa56AAwBiDnFCFMCGq3bRYERNJkhXDKfc+lNIAE + MOwHPEin41PNGgmHAgQoBACAuBqSKDj/K19GkL3/7puHLlk8UXOf7t+wOBVBjYaOsSbJ7fZg4/33 + B998M3bC8Qd+5zsWwed99qzBchGZUjuwFBUTxKyarakqZUE0FimVSgAa8Ug0nx9rNhuSIm3evOn0 + 008LgmD9+vdnz56dz49Jirx+/fpDDjjktttuC4IAYGQYxmh+LBaLNZtNUzcghIqslMvlWDQ6Ojo6 + u2dWMpLIJbMvPv3ClVde2ZFOfuUrX5EkvZYvYhkTCHVVffrxJ087/fRjj1ih6trOnTsJIRiiiYmJ + cDhMCJmYmMhmszIhnNKwaRaLRZmQVCIxNVlY89KLa998c9myZccff7wgrTuem0gkAs+v1GoSxpCD + 7t6eycnJ2bNnW5b1tQsvuOPOO6Lx2AsvrgEY9czqK7/3XiKRqNXrtm0LeriI6Z8ZgHIMIYZIU9TA + 8zllMpHstoUB6khnDjn4Yw888IACpEQ0ls/n73rpjYdWPZxIJQOfMsoVWeWcf+rkU55//YWJ8cmf + /exnl156qRrSZAlaltVqtsPhsBf4sqYIxeyuuetHmGgCPkJQLCFkx44dzz77bKlUErMRQZGDjEMI + BcJvV3wqQPAvo1T/5146QQAAQABijIkInHE93/c9xyUIC7iB+A9gjEUo+d9cIuJdsK5efvllYTvT + df2eB+7v7++XEHYcx5ntXXnllfPnzvvJtdfvf+D+tuuoqlpvNQGE2wd3joznJ0vFeCSKMW40m2Yo + 9NTq1QihdCYDIWw0GtsHBlzXFedoz/cj0WihUIjGo+d+/rzHHnuMUlooFxtWK5lOOZ7brDVUXSME + jUzkIQSjk+Pfufy7luNU67WA8s6unABH6qFwuVw2sVStlq699tpjjz1WA1qpXTrllFNWPfb4BRd9 + vSObbTYbiWisoys3NDjIAM/lcnvvvbdoP+Qnxu+5557X33wjkUj09Pbatm1ZNobIp1TRjAAwTIhs + qD/7j5vbDa3hjFn+YMsrqaaMdCCTIKw7nLkWrenh9uj4+tL7723e/rQZZYYe8VzKuYKoHMkaAAAg + AElEQVShoihqu+1gjA1Da9cbkmxQygmRAsbdZjMaSZqmVKnUhncONxo1DqhtCyqFIqCiHnfE6V9o + Wz3Pcz1bkqRoNF4sliuVSqlUKleqlu0wxiAElHI6U00hztFMtT992iaQ7TK9YMQopIB/gOYheqKM + MUVSNFU1DE3V9Uce+1P/++ttT2o7quc3eDDF/Qj3TRpACBzI65Jafn3dYKWZ/89f/Ue1OoEB32uv + vR0WUMjqtaaqa7JrC9wBYywRT7CAfufS727btm39to1Lly7NZLPvb9j0wgsv/DyZOu6447Zs2XLf + fffdd999qqqeccYZt/78ZwMDA+Vq5ZqfXHP77bfrhhGNRsUMtlqr1ppVjtHLr72yaeu25cuXt5qW + pKsTk5OaonqeF4uEvMC3nPavfnvbyMjwUH6YAmo59sjYcMu2CCGUMdM0LdcVJzLDMHbs2HHuuecG + QZBMJn907Y8ty3q3v//xVaskSYolEhs3b/7ceedlc7mLL7nksssvb9t2LpejpVKj1QqHw5VS6eof + /kBgQ/706COCVjk2nr/s8u+Zpjk1NXXvvfeuX78+mUwahlGt14dGRzRd13UdQugHnuO5CCEiEc7p + n4dF4O/MjnZdCf4O/40DBrjIyn/xlZclIk+f9CAcLxZGVz8VikSEH+Pd/v7FixcfefTRxx13nO/7 + 8Xi8bduQc0lV/Hb7nff7o+EwxHhqclJWVVPXnXp9y7atY5MTpVodS4hRSiBsOu2QZxhRc8leS7Od + GbvVfu7F58rF0p7Llh55zIpWo0UpVXRNNXTLsta+/dbr696QFPlTp56KCG6124lEot4SODJDJClh + WbEs59577xVTfYTQxMSE63uvvv7a+Lcu6erqymQyq1atSqZTAaOnnn5aLJlY/fzTo6OjoUikWCzq + uqlpBgCIc16vNwgmU6Vi1Ix5gKY7swyAu++/u93mgOmer6sRXTHDmDgEaxxQiDyftffcZ49afeiF + l59av0lbuMfibDY3ODYiNMYQQUyI63kIcYTQNGpoWtcKwN/qiAlpB+eAcSBs6GIktCuSAQFAORQP + mDEIIYdQ9L8gY4xRADDiHFLAKeUcih8GYg8npOVM9NE4AlCokwAAEHE+vc/jCAJGEBb/YU0zYrGY + z9miPRc++eyqp59/yWriWKI7kUxVS1XAPAiBJhuB3/ZZueXaa98aGC9s2jm21qetdGcHwIQArdJo + Wo6PJVmWTM4Ag8D3/Z6enmQy+cYbb9Tr9a6urkWLFr388stXXHHFvvvuG41G77777rVr1wqkT6vV + uveB+9e98/b45IRIb0MIaZom2NCiiSlJkqKpl/7v79QqtUajBSH0AZN1NRMNe57X9l0zFpIkacv2 + bRu2bX7o4T9SzucvXDA0MoQQFF0SASepVCovvfTSpk2bGo1Gs9k0TXPt2rVXXnllJpORJOmWW27p + X78+09Hxm7t+6/ieGQm3beuJJ1e5nuf5vgiqnd6vi9KI/0Wazv/h+nCSM44wBhL8UHykwkfCR+Kc + V6vVSCSSSqWi0ahg6/m+7zj2rhfzLv8kmLFh/7+4/vYzJv5eMYvYFXnFOUcUAwC8IAAAiNQ14fqO + xWKUUpVIAABOmeu6iWQsEgnFkhHKGVE1qCskHIIQR8MxDagwcJjjTU1O9s7u6uvuGh8a0n2/C0lH + dPZFkm5EkgGjPmWeqpYlOQolUG0QU61OTakhVeJyOB7TZQkyPrurr1ysCDTzwQcfPDIyUq/WDj/8 + 8P7+/vnz57u2c+ihh/70pz897LDDxsfHOzo6xsbz55133sDwAKW0t7fXo4FlWel0OpfLCWNFqVTC + GKfT6Xgslsvldq7fLhPFdd3A8xbMmxcNR1zbcRzHUDVZUar1SqvVSndkFsyf35nJlhtVzrm4hWQ8 + ISyvqVQKACBJ0qxZsx588MGeVE9udqemacJTF3jeySefLJLoxMlERIyYuuG6rmNZx37ymJXHrzzl + tFP6+vqGh4d7Z/X96YnHlu69TADQwuFwf39/d3c3UWQwQ1oXQyQAAASQEJLJpUZGRkzd8DyP+UEy + Fi+Vp/5w3/3f+da3n3lqNQd8ampqwYIFi5Yu9DnbdOyWUqmUzWar1SoA4PLLL7/lllsikciKT6y4 + IX3D1NSUiMIXHQrOeRBMO8B3FWXiwPmoiqSPrEDyPK+/v79QKHieJxKTIIQiXRHCaXjfLmvs7ki+ + /+mXmDDGIAeEEFWWAQC+67muq6ua4zhiIrnrMEYIUU7/5v+n0WgghNrt9urVqwuFQjweJ4Q4jvPy + yy/Pnjtnx/aBvRYvWbduna7rp5x26tmf+3QsEZfbbc/zdF1vNJsbN24EAAg5IqV09erVg4OD/f39 + InHfcRxCCCFEUZRqtaooCgAgm82mOjJf/drXnFY7PzkOALjx5hsrlQqgLJ5KOm1LNfRt27YNDg1p + unr+hRf29vYihCKxqDjANNPI5/MdshSNRiljCOM/PvzwLbfe6vu+bduc82gk0pHNRhNxybYKxeJt + t91WnJqSVGV4dOScz37Gtm1RPdbr9Y6ODkmWJUkaGRnt7Oj2HR8iDBB0PbujK/OV889VZLNZB3MW + HByLphfsbUpSiCElCAJMiKHrCPpWa1ZXp2m1UqXSCFHAPvsvr1QAhIlG3TbDIYJ9Gjg0IARrjIFd + skaOOKXUarQKhcL4+JgkSYpMqB8EjBKCOOcIY8YoBAATDAAQ9DRZPMuOPTE2WqxUGWNBEIizMOds + 19G4+xwZzByWCE0H04sHAADjnAIecM4RgnDa4QIggABjDkG5WtmybfOnzzl3xYpjEolky/IaLgVA + RQAKDg+bGWcwDhBqJRLKxMRg2NRUWYIQE8QhkMvVStu2zEhYFMayorQdW5OV877w+ZBh3n777Y1G + o3dWX8AoxOiZF55/4ME/pNPpqVLJNE2OUbla+eKXv1StVnt6ekR0WDQaFY1S3/dDodBkobDypBMv + ueQS35sezX3xS5+nlCpEarfbqWS8XC6HwyGEkKYpLavZaLcQkdqWFQ6H2+12vV5HEvH9AELo+J4a + +JmOjrVvvJHr7Gy1WqP5sUQiUaqUhRi6VCkTWRocHvrSl77Uv/7959e80NHRMTg8lMlkBOrbCIXe + e/99AADG2HEcRVHMcBhA+MprrwkbUrFYTHd01Go1y3F004jEY7bjOI7DGMMECfBxEAQYfzQCZkmS + JElyHb/RbCKEDcMIRyOe51HOJEVuWW0jZFZrtUy2wwv8I446EiEkyVK1XgcIxqOxgFFEiBf49WaT + coYI0QwdIkxkyfE8t1pxPJcwSVNVGWNJkur1+muvvZYfHdNVWZFky3U2rd+QzeYcz641agBCm/ql + oUFZltl4HiGoGXq1XitXK4+e/0i91RRytSAIhDik3W4bWvjVta9Pi0VlmRAiqUqz2dw6sH37zh3x + eHx4bHTWrFmMsY1bNodCofUb37dtOxqNYgiFvgKAaSCgoenlcnn1C6t11Vi+fHkoFBofnzT0EIQE + QA0THUKNQwIAYoC22w3f17KdccfqjEb1amWS0z0C5o+Njew6miCEjP1jUtlfH4y7rhfvPuJ2Zn5s + +rtCgzd9opihEwqvfBAEnucpirJbM3I6/m7m81338sE3YgQlWSISUcuVGuMBavJqrfjNSy4MfB6L + pgDXCDIQMGpV13eRroYNRWEMUO5BbDXb4+GoPDE5pKg4HA5zDt/evGFw53gm3RNQalk1QIDl267n + 3nX3fzUaDYxxEAS6rk9MTMRisTffXrdxy2ZhaPE8jyiypmkAwrVr19brdU1RRYioF/gIIU1Rw+Ew + DQLXdbdu3/bj669njEEGCJFlIi1ZsgQhEI1GhahMlmXXdd3ASyQSe++7jxu4DPBHH3uMc+4Fgev7 + AACf0nY+Pz45KZqAvu/7vh+ORt/t76eUipBfhFA+n98uElAp5ZwLpjmjVPSV2HQd+xe1wYda/8TG + 6B/xkfRkMvGR85FSqZQYZdu27fv+LsG2qqrgXwCH/R+8/vZTvEsT+xc/CqGKNQih7boAAEVTdx3j + u8dMgxnTaRAEkCNVlhRNZxh7BHuODynjIFCIKkMJMsApkFStUBnsYLzuuAk5HHFbkgscx5cJ0cOR + uu9VJ6utoVFz/70ZpYYRovUqxljWNbvZ8oIgFA6HTLPdbm/bti0ej2eSqUKhEA6HI5HIb+5+8O4/ + 3P3SSy8ZhgEACIVCF1xwwac+9akfXX+d4HYKsLtm6JOTk7quh81QNpuVELZtW9Cx680alpBPA4hx + y7IkSZIU2Qt8iBDlzAiHiO8JEAsHwqGtxePxIAh83wcI2rYdMIYxbrbbE/8fe28eZVtW1gnu8czn + 3Dki7o2IN5IvX2YyCgoOLaK1RFEstJdDD9AIUrQoXb3sRrC6tEqlWtuBtRSptbpsu52gG7pKpm5E + u9piIWgBmUkCQubLzBfxYr7zveeecZ+zh/7ji4h8WJkJSSeirjp/vBXv5Xs37z337L2/7/f9htHo + f/iZn7nvvvvuun6dc37jxg0XuX/wB3/QaDQ+c++961tbV69ePTg42BpszmYzMFH7zu/8zl/4hV/4 + qf/+pz72sY91u90XfdM3vus9/7tlWZ/73Of6/T44MW5vb4dhOFsu0Hlzgs9qMIRFKfYPD7YubB8P + TzYG/Wanfetgv9tZ+9CffPilL/vuf/gD318hSTibzGeUMUKwQqrRaOR53ul0fN/3LX86nb7iFa9Q + SL3sZS/7wAc+cHx83G638zwHIPLcLPr8ITl9Bp4mgdLT1iDBxrFcLsGPDhRj58Kv84OLnv9KT2er + f5t/xQhJYzQySGsllKprQggj1HGcoigczzXGEEYJIRqhuq4pZ+jx+yPkui7GWAhxcnLiuu5kMmk0 + GoiSy1evAHt7d3+v3++PR6M/ev/75vP5Iw8/HDQiQgixeNRq5nku6mptba23sV4V5c7OTpqmrutq + rYfDIUJoY2NDaw2OFAANpmn6y7/8y/kq3t7YyPOy1WrVda2UWc4XlPFup4MwXi6XH/2LjyslXd+7 + 9/77iqLora8dj4aj6aTRaLRaLcY5OPeHYXjvp+/Xtdza2gJUbDQeE0KOhycQ4DCaThbzeavZBI8R + 8C3Z2NjAjCKMJ5MJqLYYt4uyKtPk3f/nexwbu56VpitKuWdHQoz2hzeYFVhWkIsKE4IIFsPS4tTI + 4uikavhuXYtqVk3nqw//27+UFfc9tyolwZQyp64UoVzX0uJca8Qpc3xvPp7efORmWVZw/xEytm1T + rcqyLIrCjiKMkVYKMpvTNG00Gp7vz+fznZ2d2WxWVrXrur7vA6pdVfJx3c8e25oRQgjB2Q+PPUFK + PzaiIGeWrVhJmdeVH4Uf/8u/ePVrX/n5v7rBGO+ur9m+V9WqLGUlaqMIxsZihDJUVxmhshE6Nx99 + +OL2luM4SRyLst7Y3h6Np4bgutSMsVWW2owbhJbJyvW9Tq+7XC6n85mh2LIsPwzmy4UXBqPZ1HGd + pMgopWsb6/tHh5ubmwfHR3meX7x4kVAax3G73XZcdzQ8/v13/uGH/uRPy6wYDoec2b7vE4Rt29aq + LsvS5mw2m7Vajfl8euXKFUTJfLlwXD/Js9FoctfddzPHBjEe5xxjtFwuNzf6gADt7e/3er2iKLIs + u+eeez7/+c8HQbC2tjYej9/73vfOZrPt7W1AkhzHieMYFF+e561WK0AEOp0OCKM3NjbyPD/NXqBU + KeX7PrN4kiRQdVFKETotgwghX1Ep9R987xgVQkgpGbWCIEAIAzCEMYYjyvOc+XzearWgDIIOvNVq + EUaVUoUoMca261jGRggVRcEdWwixEgKqUsKZGwZFmWutJDF+6CGtbjzy8I0bDxKEfd9f764nSfKR + j318Pp/3OmuI4LwU/X4fUzIajbRWtussFov+1maWJb7vwxcBWek2JY7jKK3TJIG0csiaAMmE67qr + 1erWrVuXL1+GO3/hwoXxeOxaThAEQDrXiGRZBn7umLKsyKWUP/nfvNHhbrvdrs+gsVoZrbWh2BhT + KamMxBgzxjinVVUt54v++tp8OouCkFAkdS21YowprWDcAZN5gp6Qa37OrKCUnddV8C2UZfnXGiT4 + L+aMvXM7MQPcTaBTKori9HM9xUdC1JXWSK3iIAha7catWzs/8zM/A6Ob1So1mmhFlMKB3+DcLnKp + K6aUCn2HUDmeHtx9z53T6VgpVVWyVibwG7XSw+Gw21mzrTBqeFk2sR0LgEjHcSilq9Wq1WrB9Lso + iiRJQBLJORdVVZZlWuSQnoQQgggRY0xd14uqCqMIGfOGn/wJpVSWpJ1OJ17Exphuq51lGdjSNqKo + ritYTZRSaeRoMmacD4fDqNWMHBs+HXxZCCEAIwghnueB/gGmVUVRgNrB8zxKaVEUtm0bjACzOG9x + v+LF+BVcGiOjFCJfMh8puy0faf1pyUcCp3UhBOSaAMzqOI45ix4y54kU555Vfz+vJ5sgIYRguAfd + IyGEKMyYJepaKcVtC2QzCKFer1dVFTUIkhghbGq1Wh0fHxNGEWM1whUlSCG5VTKEdV0bg9vNjkF0 + lWSNTsfWuUYMMVebyhCKKCqVxpWW3HaiRnD5ihL1rb2Dy+vdoijm8zlCejmdTY/GdVmHQSCEaLVa + i8Vif/dWGIatVut33vE7P/vWn/3gBz8INqez2ex5z3veS1/60je+8Y3Ho+Hh4SG3rEa7devWrU6v + a4wZj8f7t/aMMQ63MMaUkKIoECFxnnbX16hjEUZt16uUbLRbi8UiW8Qbg3XuObPZbLFcYkRg3QEX + AFMCEYWgSzw3bBwMBjs7O2tra5ZlCSQQQg8++OB3fNd3HRwcwHxmuVwmSbK5uXnHHXe8/Vff/qaf + fdPH/uLjz33uc2/evHl4ePja17720X+6E0VRq9WCWGoIVkJnRpEYY42MOTWyMxbnjuOMRqNmuyWE + SPOsvzkQpjoenvzCW3/xbb/267ko1tbWRpMxxeQZz3hGUReAzM7n82vXrt3cv/mDP/iDs9mMIgpY + pOu6dV1LKYMgKIri3CwafXVMF7+SBulxK4s0yyhjZVlats04hxkbt6y6rs//yfnR9ZW/37/xi1LK + GMPaIG1ggii1QQi5vnfuwQB94PmSftxDta4rYwxjTAnT21jf399P8gzwvNVqdfXyFSHEaDTinN/c + 2THGRK2m47oIoTiOqcU9z1vbWA+CYG9vL45jKSW1eC5KQ3DYbLRarSzLxrNpFEW+77uW28VrjLHP + fO6B0HUO9naiqNmMmycnJ+12N41XolbxJxfKmHa7w21La40pKctCCBGnSa/XY4zlRYEwzsqirCvH + dRAlrU47XSXj2RQhFDYi27bn87nr2JQxWdegq5NKCVn7vo8oqbWK0yTLMkxIu9clhBRFOVmOKcXU + Jh/88P8lK2ExojXinFPMMGFZlrl+YDCRGhHKaym4Y5BWSCpUG6IJpZbnRtqQw+HMb0QMY6VrZhGM + cV1Vjn1aRUEFEKfp3t7eyclJFASe4yKEsizDGFmOjbFhp0FjGJNTc3Y/iAjl8/n8+Pj46OgISHcY + Y4JRJUqllMX5aczZbSAfHO1aa6MUVHEADaJTPrdGSCJkEGL6jKiDEbYctxIyCKN4uZrH8/52D2Nq + kIH8EMexPZ9Qqg2SUla1qpyAibIsanX56iVjTFmJWili091btzY2t+aLRVVXoRUSRh3fq+saExK1 + mkcnx1rru595zzJZVVVFMPMaIUJIaNkIPLGSru8/urvTHwwm81kYRZZtzxcLmFtaljWZTBrN9nQ6 + RXhuc6u3vg5Fp1KKGlJVpeM5dVVGrcALHctb9yLX4q7Uar6IK1nDqYAZlVppY6RSfhhgSvYOD7rd + rsgEnG1u4K+yNE6TRrtl2/Z0MY9azUdv3ux2u7koJ/PZtWvXJrOZNDoK/GQZG4wQwcziuiwms2mW + Z4RRgCrKSlDOZos5s7jreyAZt2wbbFGkqqFAhGbp6dofDMaGYGNQUZQyk5ZlOY7j2NZoNGr32unx + 0fali0c3bjiO8/znP/+Tn/xkLko38MuyzMriPDXPcRyFDMFIKaUxcl3HGJMkieM52hiEUZZlFGOL + UWOM5zrNZrPbac/Gs6gVFZUQUmiO6kp6UbCzf0sIsd7vI2wazWYuymUcI6yLpFRKhWHIMZNS1qVA + nCODGee1lEIIylgQhkVRVFWVF0Wn05FKzeZzsCcejce2batacs7B0QEh4rous3hd11IqgrHfjCaz + qR14eVkcHRxubV0oay1lZVCNuUa4ro0wWCNMqppSZRV57QV+VlRh1KKMEWym41kQ+ee398s5LDDG + RoNl/+k46PygAZ93uMOEEKO1QY8Tf3TeVFOLA5xfFAVoSBBCt0nQn/x9aGSI4ziIsFLkdSXUfO4F + UVaI+XLVbjYxxsw9VbpyO1V6wVyNXUuUNXJcwpgTooPjXddyXddN80UQRKXIbce7cGHLcrzVanU0 + PCJYWBaljK21WkAvUUq5ngcIFLesjX5/tVoBaQ2WqmPbZ+MRYYzhlkUIIRiXeZFlme+4VVX1ul3O + +SpN2v1eWZaKIupZpag0RV4UTKajhstd1200GlmRCiUqKS9euci5vVql3LKNRspoxjjkapwe+hjN + 5vMwDH0rgLY8y7JWqwm9HKU0DMPpdFrmhW3b3OLGGKP0eUsABsTsq2xVpbWm9MvJR/Kf9nykbrfr + eR6I0Y0xVVURQpQ6Dba+vebDXxxk/PfrevzvF0rt8xV95j+OqCaU0lorrTWhFJLKhRB5nkopOSZg + DW+MgYhnz/U1MpVUtuMgY5SWVGMlJWGOw62HH725eXlbE9rdvjA9+ZxwncNCrFHa8AIdeKssKxHK + bAd5LjJmluefv/HwhGji2VLIyXDiWZy59lZ/a7lcer5/fHQUhqEb+My2/vi9f/wv/9d/ef8Dn758 + 9UpViocffvh1r3vdm/7xm37+f/qFPM+BJTubzw8PD69cuXIyGmqtwzC0G5YxRtcSNqs0z/KycFx3 + PJ3YrqOxTsqkKMs0y/zALSSVRgtROb7b2ehpZI5OjoApN18ums2m7/saIShFtNZpmmJKXd+/0miM + x+Pt7W2NdJ7n6+vre3t7h4eH7Xbbdz1YmC94wQt+6Zd+6Wf/xc8+8MADYRg2261teeEP//APX/Nj + r9nc3HzVq171mc98Zjgc9nq98XgshHgSKqwyxmBkuy4iuJK1MppgGkTh3sH+rf29b/y6b7q1v7cx + 6G8NNh96+IbN3atXr06n0+l0ijH+nu/5HlBnffdLv/vee++dz+dSSnD8A4dq2K6hTrt9P//aUOye + ZI1CNCRwFeCZzrIMahGgq0GyhMaIImwIRkp/7elzX8avnFhaa2IQJpgQxhAySgMeZowxGGmta6Uc + Qgij6Am6I4QQ+G+A6fBoNAIWnOd5kA8zGo0wxhsbG2APGkVRo9lcrVYIIYh39H0fcuvBxgSmqOfI + EwSTDQYDsBAEk0DOOUZoPp/2uh1E9cZgPWwEe7v7e4d7d9xxJ6VUGV3Xcng0tm1bGRNGQdhsMNtK + s4xzTjgTQhCNgiAQdVXJ2iJ4uYp7vR6n7NatW+12u93tHBweWpbl2DblrNVo5kkKLKzVagWAXBhF + hpwyLjQyzGKUYZuzeJk02y1G7Cwt243mZDoKAk4tjFlNCVFSSV1RhhCWtSqxQq7ri7TStZG8ipN0 + +8JGnKV5sWAWpYxIWWFaYcMJIUhppM18vty/uRfHsWtbsJwAQddatTrNIOgB4UEqBdMhy7KiRjQa + jW7cuLFarRzH4ZznooKbXFWV7/sbGxtAFFGAPSOEboP3oE+mjJ5P/DHGCMHQyUD9hBBCiCCE07zU + 2uR5XiOdlxmihCJsu36310IIaVNKVdeqwkRhjClDUinLsfIsR24wOh41Gg3XDZrN5mKVzBcLwlmv + 24YxBVDpmG0dHR9vgUdcvFRaW7attE6zTGPUbLX2j4/Wu71FsooaDYSQNkYIYVnW2agHx3Gci3Jj + c8Bsq65lEq8wxq7rIoQIY2maaC1d3xF1yRjBDG+srxNCsjS1Xccqhc9DpdFoOun0uloIWdeEkMVi + 0Wq1siSFKnZ7e3u2XDLGfN8/ODgIgiCOY9j4nv3sZ+d5fnBwcPHixcViUZYlANIAJXLOwRIKKn6E + EHCiqqrq9XpSSqDEFJVYW18HWx5jDKEYahGtNfkyKt4v54I7BiIWy7JO0TuMjTHNZjPLsna7PZvN + 1tbWKKX/z3vf+6xv/EZgJsDfhE4e4BVguIH3Gpg4E0prKR3HIdhozZBRtaowQobYhFNRVYsk9hth + d2ONWCxeJsSmeVn2t7dgDR4fHyGMKWOEENfzzkNghCh834enlFFi27ZSyrZtxhilFIpX13WBvoUQ + gsMbkgfj5ZxSyimDCRh4SRNCFNKE0uFw6AY+s7iWamN7k1Cqa0OJRRgmtkIMUa0UMghTjCyCbUqQ + 7zVm4xn1+WK+8F3earUQMVJKTDAmBJ3lpj/J2YMxRBaZ2xskjDFGj02QlFIUY20MJticRZahM6Aa + TPmVUhZ14PEoCpCCPGlVis1f77KxFrJWqrRtmxAL4hEpZcfHx6LUa2sDheR8MUa4XqWxEFkY+QQz + L+RFkVJlR1EwmSxUpYKAuK5rWWy1WtouN5Qu4mFd1612hI2NMQIGrG3beZ7DiRAEged5Ozs7juNA + eACMxJvNJjAzkUU4ZXBgaa2RMZgS+FcsL1ZJgjFe5ZlXhXklViJpNhqqRoiYoi4wJd213q1bO6s0 + Zhbvb25+/vOfF0L4YVSUQipwXNNCCCEEALrg60UICcNwOBwSQrrdLvhu1XXt+35dijLLEUKw26ha + amTO0rgfU+B8tS9Mv/x8pLzf79u287TkI0H7CnZ/QggASsqydF3ncT84ebo2rL911+N/y2VZnm+k + sK7h57qsEEIQlkUIgTGv1roGdg9l5w0S3NK8FMziZSU5VaKqsDaMcKywkiItik80qnwAACAASURB + VF/5tbdtX71oe+xCo1PePBTN4BFTzozB2dy2eY7qUqlUmGL30c+98w/+9V/8+YPT4Vv+8x+aruau + 7RCkA89fzJY3RjfCMJzP5/fcc8/u7m4URW9/+9srVL3uNa/7R6/5RwSRP/vo//u7v/u7P/7jP16h + 6ufe/HMKqRqpb/qWbxqNRpefcfX4+BhT0mw267pO08wCY31CEELD4dCPwtf/5Bsubl9Y5dmfffQj + d95556U7rp6cnIiqdFxXyHoRL8NmdHN3548+9N77Pv1pRLDnedeuXdvb25NaN5vNsiyzLPvsZz/7 + mte85t3vfreUshlG3/DCb5jNZvv7+6s0vXr16h133PG85z0P8kKzLPvCF77wyle+cm9v7y1veUuW + ZUVRPHD/p3/+53/+zz/+sY3twW/8xm9EUfSWt7ylKAqAxTHGRSXOa57HKHYEK6kRwtP5/N777/v2 + b3sJsXhelhjR+XLpOM4/+/l//t73v//a9Tsfevjhj/7ZRzVCla7e9c53zRfzH/iBHzg5OfF9Hzwk + DTInJyeLxWIwGCCEJpOJ53lwl8wXk6ufXnrq06lBgpMMqJOu68IhrTEiGBGE6d9BkwaEkBCV1hop + MN+kFJANSjghEP0EBQ2MIJ4E5oFON45jwNKCICCECCHG0wlsnYyxw5NjpdTa2pqU8ng0hB9QVXW7 + XXA8hDYDSIxQvsD0ebVaRVGUJAkhJAgCqHWklLJS64ONViNsNBoPP/rwo48++pIXv+TSlcuf+9zn + jcagQO52O1HUODw+lrXKTGFZPOKccz6Pl5RSZnGpTlmD09lsa2urqqqsyIEZOBqNNjY2jDFaqeVy + WWS5ZztAtgTZblGWRVlSiwshbNdh2FAHSVXN4zlGpJJaSFHkurAJNp6scVVJ27VgVGdbnlJG1QQj + jrAmyA18FyGEsJQmXSSHiOqgYYs6LYTkFrUpqfLMoyEjlhD1eDxeLpewhxJySiuilLRazUsXL1JK + Le6kKieEKY0I5drg8Xi8v78/n8+11lEUaa2RkpRRrJXv2P1+fzAYcE7P8bxz6g4AAYZgy7KobYHg + 2BiDsMYEYaORxsao25opHEUNbUwtpet5lmNrpKpS6FJJqRkGh3tpsMGIaG20QpRSxwq0tBCyW+2t + MGwURXEyXEmVO54jZD2dTj3f93w/y3PXceqqWl9fH43HnU5HCIEJGY/HYbOx1t/Iinw4HN11z923 + dnZd30ParLIUyF1YnyoxHNflSlmus7OzE0ShVobZFkB3lGDLtjFBlGLCie1aSsmoGfqhV5YVt63B + YCtsLB955BFRSXg+Pc9DuKSUxqslbA5CiFWWMtvSWsO0ajQaKaWuXLmilDof9/u+7zjOZDIBnoDW + WiGjMdLGlHVFOHM9tyxLKaXje8aYLEvTkxzIq5QxplWapugxTctjkdNP1wQJAqak1Aghz/Oh7snz + PM9KznnETrFz6Je2rl+fzefQtCittTGcc8a5UkpUFWNMVBW8wzpNKaW2bVeyMsbUsrZt2/ecssyl + qCinnV73xoMPjcZjwlngR0cnJ0EQUUoUNvtHh7Ztd7tdjdEqS13X1cZIKfM8N8aA/eD5ZlVUAlpi + SmlRlrkoFTKEYO7Ys9kMITQYDB5++OHBYABTL5jFRVEk6koIAdOkIAhgX6zq2rHt+XLpu67rufF0 + 5VsRMNqU1FobjZgyWBtmNCuFQoqIIiPMdly/qnLOiWWxStZwb6HKkVozxp7MQAtjY/Q5qfX2P79d + g8QYNeYxZ4fbQUe41VDQw8qF2eZjr3Zq0K8fq+cewyj1+Z9phAhDoq58y83zUkp1dDj07MhlTd9v + 3No5QUhHjUii3HPcdmctL+K8WIV+UGtJsKM1Wuv1RS6MwaoW0sLc1oWYc8yZpRBTtU4IoqKs/SiE + 4sDxvfVBP8uyqq5NWTTarV6vN51Ol+MR2L5rrfM8V0ohRSgF5WcFf44xdjw3zbM8zRBC7Xa7u75W + yBIRQy2qibFdi3pOWuQb/TWD9c6t3SpOn/Gs61ErarYiy7Ynk5nv+/psdQE3BjPKGIMMDI3RMlm5 + gW+MWSYrePw4YxASGMcxTKVWWXom8nwMAz69+U9xmT5VTuQT5iNhrKT+D/KR3KcrHwnMLdI0vb2e + U0rF8WNOXOezUPR3jXfzVK7H/1yAxFVVVZYlQgjgJK01UVhrzWyLc24Q8jwPuKNpmp6DxZAtDD9T + zizHy6uaMOoxL3TDXq9HOKOG/qc//EO9Oy+1NntS11zp6NnP/76Xfc+GZfOySpaLZrOpjC6QqTif + a6Na0Q8+65q33r3rec/97d/+n+tSoLoKbZcYFARBFEWdTufw6KiWcjwev+ENbxgOh77vZ6sE0KXV + avVt3/4SgJlgUk0I2d7ehkgDjcxqtbJtGyaK2Soxxmxtbq6vr99z/Zmvfe1rfceF87GU9et/8r8G + kSHG2LJ4mmeMsc3tbaVUZ33tu172MqCNNJvN5WpVlqXjud213q39vVe/5kd3b+5sb29PRqNGo2GM + ef3rXz+bzUAOB/zq/f39S5cuvexlL/uJn/gJKWVRFCDZaDUalLPZbPbOd77z937v95bLZRAEYOLf + 7XYBvkRfbNKgtTYYI4yEKC9evvTo7s5HPvKRdq9bVuLO51wHeDrN86t3PMN3vU6v++wXPNdgBG8G + ogtarVZZltroNM9e9M3fGATB9sULRVEURYEIDqIwz3OLcdj5b6ehmq+hBumJ9ivQccK2eIopKqUx + EnUFFeRjr/B3ilALkn0CNbtUSikEtDptNDJ5nou6ppSKukIIMcaUrJ7odWzbBmcwoG82m83ZbLa9 + uQU1VlVVly5dmkwmMDhqNBpQ3wMizhirRXVyctJsNh3HAVZ3nudVVTUajTiOoyhaLpdKqXPCblmW + FkPz+Xxzaz1N01t7O1evXjXYrOIky5IgbJkzaXJWFJZlhY1oOp0qJfM8h3wbwihgM67rlkIA+zPL + MsYYDCvCMAQX/DAIer1eEq8sbmGE5vN5s9lMkiRqNKSUADNzyhBD8+Wk2YwwMYEbSCE59hzbzfOK + Eptg7DgeZ2wZxxqTZuQfHp94UYNTrFRdFLXNaCUK22G9tZbQoqxLqaRGFbepUbrWxrIdJJHjOEVe + JPHKdV2L8Tw5FfPVdY0xHgwGFy5cGI1GoK1qNBpCCN/3syx78MEHZ7MZaOfSNIWbads2pbTVavX7 + /SAIlKrRFx/k8AMhBFHCOee2zTk/ZwVgTJDR6DYFhTEIY5RmhTFGyioIfaW1lIJZ1OKU1/TM3QFr + bDCBaAyDEZ9MZg2/jRDD2OSZ4NxFFrYZwwwJWVu2bYwRsoZqEmMMPASI3oqiaLC9pZQ6PD5yHGdj + 0H/kkUds2wZtj5QSLEGhjfd9f7lcFkUxGAxanbZt28tFTG2bElJVlTbIGI0RIgQtFqnjciNNGPqL + xWI8Ho+Gc9f1OWFpmna6a7bnjieTVqsFBNVutwtgLWTJF0XheV5d10dHR5ubm6vVajabJUnieV6j + 0SjLMk3Tg4OD9fV1cIIC9QJ4M/R6vf39feC9+L4fxzHnfDAYgAY6juNms2lZFqEUvsfzr+xswvD0 + 7A8ghVKqUmcXPPCdjk8pFVUBzbMQIkkSeAMgeIOHB36AlwLNPaUUbFdgpsQxxxiXZSWlNFomSWxR + 1mhGw+HwBd/w9Z//qy/cvHnz27/9H5yMhrWSUkhue51OB+h5jUYDegPLspLVEtAcaNjOWeOwM3PO + QZXXaDTCMJzNZtPptNvtCiFgb3EcZzgchp6PkRZ54UehUdq17GazWY3HUBzned7r9eq6VjJXxsRx + bAjCRBsta10qLQzRmDPGLIxtQn0ps7X1fpquijxeJXPbIbUqq0RhyjjnSiutFONcGaW1xl8Gye2U + XHFO5Dbm3AH2MWQCQI0zGwB95iMMoAY6q0qhXkcIPQG/7rbu6IsvpWrKCSEkz/OL2xcn40WZ1Vub + m0dHwyjoOa5FKVqmKl3lSqNaGWRIKWrOnMALh0fzrc0rWS0o1ZZlyaoIfVvIkhIlTYWRUhqJCmHE + 4fWhG4djBZpeIcRyuYS6nHOeLGNkjKxr27JEVUkiGWMYIUwIoGygFgYkDko0KaXtOhSTLMs4pUEQ + xJPRHXdcTZLkxS9+8QMP3H/t2jXMKLD4OLcxo0YqaLbPNWMIIbC9hkUNN1lK2Ww00mQFE60LFy4U + RZFmWRzHcRz3ej3IUTkHGeGLIPirPjmp69vykarKGIOxguckCptfpXykfr8PvDvglcAX4bruuQbp + fFvAXwXz4r9N1+NvxAABJ0kCVQoMt6WUMGPEGJdlmWYZFCdBEAAAqqtaKYXNKUMPESw1IkYXteQG + aYQns+mHP/xhVuvdL9xo97qxKpRN4iIJbbshCRUVkhWjuEyyQX89z8ppsvQa7Zrzpaqp5xvO/48P + vP9Tn/jLjbWN1Ww2Ohl2e+uU0sViASk97XYbGQMeHhjjXq8nhFBKAUx5rqct6wr23jzPbduOGhHE + PZ8yHQghhOzu7v6r3/ltjq2qqkRRDgaDoijKomDsNFRztVqFvie1Rgh1Oh1RV0dHR0EUCSEeeuih + 7toaVOOgUVRKDYfDixcvwrkAlBnQse/t7S2Xyz/90z8VQkBE0uk+YNuEkLquB4PB7u7u5uamqGsw + 32o2m2EY7u7uDgaDLMswxucCYIwxwo89vTCPzUU539nhnE8mk7qubdeBmrasBGhcLctarVbXrl37 + 5Cc/ORgMtNYbGxtwlAP5GUhScNpijLvdLsY4TdMoCEHyjW5bI/hr62L3uCCNNppavKgEItggpI1G + lAAZ5v/ve/yaXjA/wQgRczrmPt2wCYYRGcxquMWllNI8GSVjtVqdj30YY2magjeXOfOPguwFsIgF + EhE4y9V1DdSjVqsFHAYYHzPGGo3G8fExzKM8zwNTO9CQEEJklRNCFtPZc57zPNd1/+zf/rsrly+f + DqwNkrVkFoeH27KsxWxuMQ4MVPAwyYvCdV0YHwdhCG8bKjytdRAESZJYtg3W0qvVijNW1zVnDL70 + MAzHo1Gj2YQOpK7ruqocbimlKOFVVWHDCSWGEKSQZVlVlbm+K2WBENocbC8XRSXketCYL6YeswhW + SOtepzueDRHhGivLsipZcWLlWeZ7Aec0X4qNVtfmLidOnpecUGLZStWcuWWWW5w9/+u+bn19HXal + SgjP87RGtuePx+Pd3d3lcglNIEDjjDEwS7l8+TKYuhpZ6zN0RCkVBt5isQiCIMsy13W9MCA2nSzm + oJ8G2YO+za4Un2VMwJ0EITgUxNPp0vO8JEkCNyIIV1LaNs+LTEoZRI2qqjilnLBWq3VwcBL6YZrk + 24PWwclsrd+czMcgzZzP514YcMryPHchSyFqnNsbllV1cnKyffFCdnauQFELz/B8Poce3rZtsEBY + W1uDGK4yL1zH0UrZlqW1VlKFYZAmCawFVdXwv+62Oxe3L7zn3e9tt9s3H93d2NhYJZlCJooiKaVj + u1rr5XLZbDbX19eHwyHWhjEmhLBte21tDaiM8ITDYwzdGgiZPM8DWBqo0kBdc13Xdd3pdLq+vg4Y + 7Ww2g+cfWDEXL15Ut9//2w5j+BIdx1FKCVEAyeq8YUBfXJcQ8oTxKhjj+Xy+vt5PkgSfxQeD1t8Y + A0r0uq6jKBqNRmAIiRAqy7LT6QyHw1arBQu/2+2uVitjzNbW1nK5hKg+KH9FVbq24zhWnq4YJvBh + 77x2jSCysb7ebDR2Hr1Zi6rd7aVpWpcCY+w4jjYIKe24bp7ntRLQ5wPljDECKABsPlC/wqoHohRs + IFmWQbdsjFmtVmtra6qqdSXgEzHGlJbgpVaVQmvT7XZlXa/iuNfunZycbPYHWRJXel6JvNGKuO0P + J8MwbGdpQZmRpeSWtYxHjJFWx82SKSKGU6w04ZZ9aiiHT2tuQohRSBtdlCVzPWDMQlefLpLA9Qg5 + fZbCMGSMKRhXIeN53mw22+h24uWyrpHv+2kOnSdHCNV1DcBnlmWtVgshNFsuABGDDa3X69SFKavC + 88JTv1AtpaxrrcJGpLXU0jzG6MMGY4Qxsekp//Pw8LDXWl9VZV1VzahR5AJZtpCirtDa+macTitB + vbAtyrzX7i0mSRi2VKUsZtVlbdnIsqwkWShUhVYgStHpdY+Ph42gV1cG6huoHQGihvccRRGQA33f + n8/nrmU7jgPsI0qpQkZKWYiy2WxqY1zXTZOk0WgkSaKU8myH2ZbUNaV0PBxtrK1TjJfLZbvd3r15 + c2uwOdjo1/fck6bpcDLWWnc6nclkpgxljJ+LAWBBgQmVMebmzZuQoJKmaavVKotSa62qenuwGcfx + crnc3t6G8gjUYoY8hqWap23K+yUuSilCFBb4ebliTr0u6RPkI20URQG6r1arZYx5onyk6XQKeVP9 + fn82mzHGwEswSRJocQkh53OkNE3/hj7z35rLGLj/p27d0KaCCHBzcxMKm9FoVBSF7/uU0iRecc61 + 1pxz6ItGo5HrupCYvJovOOecMgDoCSFe4OdVTW2bubYo60KUiSF/9IH3u5Tr3UcLpgTRNTbUaE9i + hlGFpcKIGoSPbiKDDUb6cE8Sklc15VwjEnp+s9kcDYedRgN2yEpJy3WUUqiuT+2IzojcuSgRQsAV + gnn46VyLUimlOCNag/MkOpubGYMIIVEUjcdjrbAxhhgU31gZ9Fhu8ng6ruu6GTWyIjdIaazKspzN + ZsvVyhjj+j68GqYEuAOw/8+XC0IIw4zbtlYKnWpoUZqmhBDXdfM8h9sLbQm3LQvjOFmFjWgRLxmh + nDGYridJAi6OCFA/+vithD5NJjbcshBC3LLgh1orhJBSssol4Swtcsf39o8O+/0+1MNQhKAz7i50 + SvCaAOchhECxcg6B3Y6B/q1zsft7fwGG+dc609sTOZ58uF8UBUDm4/EYKMiA6y9nc9d1paoIP13V + UJcvFoswDAeDwcHBAaX0cG8fzHA6zdZiFSOlKWfEoMPDwwubW8ejIcMEY1xmedRq+o57eHxsMx41 + AmrqeLH69H33X7t2/a677jo5PDk+Hrq2V4vKcuz5fB42Ii0ltSzHspQxjNIkTY0xilLXdqDsoJQq + KZM0xVEE8IMQAgYywJewLKvIckSUxflsNnvmM5954wsPWq6zvr4OcD7DxGASNJrz1ZwaRhjGmNjE + KbPCs6y8KvMs80JHikwhjQ0ZHY/8sNtqdeLVDGMThr4sizovh8OhZfEyr23fqwqBqWM0sjmziEMx + 2VjrMckZpZgQjHGVFcpStmUhhNrtdr/fb7Vaq9WqLPOwEXHOSyEo5ScnJ5PhSZqmoC0GTi0sSM55 + FEUbGxthGEIdSQkGggRUw1BlttvtNFtphEAVfV5bE3oaQX42a8IYE4SwMQgZ5TiWVipZpat4uTFY + 55QxwuNl6rseIrQo6ihsSVmVRVkUIpFZGDQW86nrMFGsWs1gON6zObrx4BcuX71ca3U+BSKEQI+6 + 0VsDZKvf7+/v7xNCrl+/Pp/PCSGqllVVtZutQpScMsuyZFWDO9w57gv/Fsplm1laykJlzTCyGD84 + OLh85dJ8MmaMqbryGu54OKGYNBqt5z//+Yf7B9PJZJUktudzzlWtHNcp8kIpdfXq1cP9g6ODw63+ + oNvtjsdji7I4SSI/KKrqwubW3uFBu9G0XEfkhe/78XxR17XDLYQQUvro6OiZz3xmWZZ7e3vT6RSq + w7vvvvu+T33q617wgjRNsyxLkqTf7ydJcunSJShEoPHDGEtVq7NTQevTKbdSinMOMMRtc4Mve1vQ + enNzM1tlRVH4jrfKVpcvXIzTxEhju66qRdhoJMs4z3OHW67rLhaLvCj6GxurJInC0LKs/b2963fd + dXJ8vL6+jhHa29sjGPfW1nZ3dra2t8GUzLasqqocx1suy/l0UQlxtH8wPhk2Go04TowxDneyJGWU + MxtXVRV4/nA4lKpGxhCMHdctykwIAd6SGCOQgpRl6fqNVqt169YtaEGBMre+vh7HcRiGVVUdHh4+ + 61nP+qu/+ivYXnzbKvK81W4XlSiLIuQcKR22mnleLBcLKWUralBKfdebjsbcIlFkOy6Ok6WMkeWE + SjIllev62JgoasZxXAlxfHB4913P2Ln5YLvdpoiDN1FVKWk0ZQwYbDZ3KKWGMZifQ0tw7733etx1 + uJWnBSGEEGYQEkIwx67rWhqtqmp3dzeeTbVSBBmLsULUxhhuWwghUIJRSvM8XyUJtLjozIdtf39/ + sZilywWmyG9GW1cuSSkxQ7ZtWwRXVWnMqWaGnDbSWmOEGUeIYKwtZvmWOxmPw6BFUDU8Pux0ekhX + Wkub2au4kJI0wg2pSpu5SawrgcMouLWzf/fd14s8K8s0XaUbG33CzK2j/VoppLhtBcgQzgkYIdi2 + DUPC899KKU/5q6uV67pSVEdHR91WezKfhWHYbbWTPAuCIM0zaN0ppVqqIAjKLFfgX4KJTXk7atRC + VEpbjDPM8jzZ29sbDod33nnnw4/e4JQ6jjMZjx3Xb0StVZZB2FcURcfHx5cvX46iaD6fx3H8whe+ + 8NOf/rTrup7n5XlupOr1enUpjo6OWq3W5ubm0dFRr9eDcbE2RmstzZlfM0KY4L/xfuHURYNSitBT + ykcaPm4+EmNsuVxOJpOLFy96nndwcNBsNoH0AQ5ssIkBBwFjrPUT2OD+Pb1AD3g7ORZ+6zjOzs4O + sDYIIcPhcLlcep4HtCvIwIFvRylVVRXoZ+weWy6XZV4A4owxXsQJ4YxylhWFMbjZbnuYFXGCaq0J + roipMZJIa4NyZJDRilGNNUKEnOcBYIIQ4r7HOS/zYhnPbcIsi5dC+EGg0GNTC3TaCzxWqZ+N62sE + rYgxwC5ByFBKGUIKGSCmQoMEs30jFWPM4lwppbTBmBJCwPv+3MucEOz7fpKlnU5na3tQ17XWeqO/ + Np0tOedeEFRVdTIawmATqk3LsoB2K6taKUUQMhg/UW4nPJNglHI6GCAE01PLOPiO9FneydM4sfli + mhw6v41P08s/5es/NkhP7TL4VEf6VAf/vu+Px2OEUL/fhzFlo9HY29m9sL0dxzFCqBU1lstlIwiP + hif9fr/ZbLZard1Hb6ZpulwuLc59z7NtezKZOK5LMC6FYJZlUYaMCVxPlKXjulEYVkWZlMvNjb5t + WWm6KvPSCoPxcMKJbTM7W2V1KdbX+1lWMG5RTEM3mOUzgrBjWVmRl6VcX18fjUZBEFRVNZ/OgKrE + OW+3Wo7jPPSFLzzzmc8q86KSotftpmnKKatKEflBGIarOG5FjfHJ0OYWI9Rm/GQ8Ah3qdDpd62/0 + WutlJebTCSPICiwtBbYE58qxnELkStdRs+G6OstFlhaOZyf5yvXs2fyYaMw1Cb2w0WgVoh5N5wrR + tfX1+XzOOPXClshyhK3Qi6pSKFm12+06L5Mk4Zg4jjObTBkjRZosk5WUstlsVrWKkxWl1PG9JEmK + ovSC0y7IsqzlcnnqWan17s6joNvWyHDbreuaYySltOkpJ206nbqenedZVuVlfUrx11ojTRBCCINn + GsGPEe1gfOGm8arVaVuEhn5jOhozZvXaW4RQY2SaLbNUFGVmWdZ6bwPoWMvZnDF2xx1XPvvZBzrd + tlbmhS96wc2dW9Jox3OVlHVVOY6T57lj2XEcB77vuu4D99/f39zc2tp65JFHOp1OVhYOt6IgLNKs + rKu6FL7vN4Lw5Oj40qVL+/v7ezu7GxsbkR/Arm2kaoTRZDKRumaENjoBxXgxnSkpMWJI4zRORVUN + j0cUM8eyp/NFEAQI46KqMca6lmE3IIgghMbDEdbm2tVnZGn66COPEEJazXan2VrOF5ZjT8cT17K1 + VGWWy6ouiqK/viHqqsjywdbm6GTICd3b2Y2iqN1oGmNaUePWzZ1Lly7d8Yw79nZ2wbCh02ytFsuq + qijCtVbNZhOk4cYYyk4T2eu6pvTUf1JrzfkphKnUU6tOsEFKyslo1G33XvSiF8WLeHfv1vHh0Xy5 + uLh9aWswaNx5jXL28T//GCHIKI21MVL1NzYownmWdZqtV73yle/4zbf7nveSb33x3uHB8OjYse3A + 9ZSU1++4FrWaX//yV/zfH/pgmeWrVdxuNZpR4zte8pLr16+rWkJvtrd3YNu2VihNU98PgHgwGAyS + JHF9F5T63LG3tzff/OY3p6uEIIwxUko12q0mxnWhRscna50u4C8PPfTQhQsXhkfHUE9Pp9O1Tvfk + 8OgZl6+cnJxEYWgzutbr7e3vrw/6rusvlksgzXNuMce96667vu1bX5zGqe/7jFBukZPhQa/XIdTN + y7rd6U9myzQp1zf60+nU4qzM04sXBmkcS1Vdv3bHZz57382dhz913yfLsnRtS2NkEKqksm27zAXF + BJZVmqYAu3z84x+/dvkO33FlpSzLMgZneS5EFbaahBCXM4rQdDqdj0eu42hZG6UIs7TWzOIIIYB+ + wJ4hL4osy8JmA1Y9uJwPh8etMCir4mB49M0v+ValJHOcWsqizKHFwtRgjCkCQAQjg+tKUYfXtarK + rNNsdzqNf/pP3tJtd4YnY8ty6sp4QYCIFa9S7jgGEYCTXdtBWiIpo8BPVst4MW21wyxLHN+78chD + 73z3e5TRRYazWNlNxBhGmChtsDaOY0PjYVHGMNFaF2kGO5hr2dh2fM9TtRwMBmma7uzseGEQBIFj + 2ZyyKIriOM6T9I0//dNSSqS0UgpRAp8IKOXcogRhwMKyLHnOc55z7/33RVFEObt58+ZffuJTw9HE + smzXsolByTJ+/nOfd3x8PB6PW63WYH3j+ODQoizyA9d1b9682e/3T05OQs+HSRcsUiPVzYObrVYL + CiKNESEEkbOiWT210os8lb+u8Rm6+UUF3hlH5EvkI7ndbvdL5iOB2cnR0VFd1+vr677v53kOEw90 + xnElZ0lcoJJ9Sp/37/pFIWfyrJc4n7SAAAa4LRDNN5vN6rqmTgCaT7BdKTJ0eAAAIABJREFUgf0c + 4FrLsgLfN8ZoqSC6kFJqM24oYRZL8qyWivmBIcTxbC0VIZgyzAmCzoZShJEhWiPIkkYIwdMIUmCD + qrzgmChjjFbUtoWoOKeVrPF5f0AJIoSYM/YBQgRjoKIAg1cIAZNSyJXHhCB8Sk8AWQTFxHEcizJC + iAakVWlDMCaYIIwQ/I80tJUambzMrravKK3//Sc+4TrOlStXbNvOy7JWyhgDdv9C1rkoGWcan55x + qpaMMQKURXirj7MIMDDVjDHSaEIIQlghY5Q6hXvPEN+n93kw5Iy4gbG+3ZXu8SgcT2mxf2XXf2yQ + np7rS2pDQe4CmOVkMhkOh9euXYuiKI1XrmUzxkbDoW3bcRwny3htba0qyuP0sKoqzljg+8+6+56H + H354//ik2+0WQnSarYODg+c/93nj8bhIM9dxkFRFnndb7aqqOs1Wlqbasn/qH/+3z7zrus2pqjUo + TJJV1m23j05GQRC4ritERRlLksQNfGO0F/iirh743Gff9ra3wUEbRRHQ+eJlrJHpdbrf8i3/CXA2 + wHDcZjxJkl//9V//737qp5aLRej5NrfSJO10Oj/0Qz+UJMm/ee8fhWGYlcWg38/yYj6OOeftRvs1 + r/2vfvD7XxFF0dHBcSNoiLKyPb8S0iCGCaeWu4xXbuRjKm2HLWfzQaeTxYmRZrVKo2bHdoKXf98/ + XCyTwO1WVbnW3m5c8ClWNqUUo1u7u0WaCSFCzycYg9dKkiTZKgGy/nw+L8qKc37Xc559dHQUhuGl + S5eMMY888ggi+NznGjAqgNthuKeqWlTCch0hBKIK6EbtdnsyHWVFXioBCOgZGRcjxBDSGCPojs6W + urE5JcYkSfIPvv07fviH/7NLly4xZpWF9L1mssq1UZ7nUU6qqiQIE4p0XfuBXYkMIzUaHV26sFlL + wW23UvhVr/6x4WhEOCuynHJmMd6MGiIvwiBYTGetqHHnHdca7daDDz7oeV6n3b7g+4888siFfn84 + HHLGBlefcXNn53U/9mO/8Zu/ec5VAFhOCCHr+p+8+S3f+70vF0JsdNZ39nYcywY/wCDwV8tlGPrT + yajTac3nM8dzLe7OlgulDOXsDT/xxoPjo7IoqqIss5xSKkTZbDaLPIcadGNjI00yWdWEEIudcgyk + lIvFAnh0SimCcKvVGp0MG43GhQsXHnzwwclk0uv1gNh57dq1yWQC6XiEkHPjRxgyYIzzLCOUgrWA + QadKJMaYlBUQCaDzpGfXU134QRCslvGv/uqvXrhw4TMPfPa7v+O7f/T1PzocDi9evPwrv/IrH/3o + R5Ik+Wc/+3Pf933fpy1Vi6rdbC1nC4RQwwv+6F//m3e84x2tRvMXf+6f7+/vP+c5z6GUvvGNb5zN + ZovFIlHLN7/5zR/60IfHo+nWYMApk6rGWvXXB/kq/dSn7rty8dLJ/vFoNCGEIHNaUIL4++ZDD5dl + 6bi2Mobb1ny5eNvbfg04iudlR5qmaZpybPd6vZe//OWEkN///d+/++67YTl8/dd//Y/8yI9cuHDh + Ax/4wLve9a6yLL//+7//p9/0pmS5IBi//bd+64Mf/KDt+d1Op6pr4ABD2f2q/+K/dJH3O3/wv3z0 + 333E8T2D0AOf3mm2BseH8dpamqfKEHrSteeLse8SztVDn9nJs9ix+af/4vMv/OYXbA/EJz717+u6 + JhghSgxCQOdjjCFtQM0IVRHwSKHygM/OGHdd99nPft4LXvRCxhizrbos77333r2bj1qciyKvytJ2 + XaUUOI7CBfQzy7avX7/+LS/+1mazCbj+Zz7zmQceuD9JEkxRXdfNZhMhJITQSMHs0RhDTmuK00Nd + Y0QpJ4QSTBElsq6qorQt+rv/27+ybTfwo6PDKWMB541VopqtjVIYhHmWrmxuODe6Tm3LOLaJl9NW + O3rd6177nHue+7FW913veq+sq1KIdnvNKAH8Ihh+FkUhhHAcByrv1WoFSwOcEmVdG6mSJFm3+pzz + Vqvl+34uSuAoLmdzrbXveS976Xf9i198q82t8Xjc6XWn0ynSJooipHVVVaqWQeCBluYj048UVXGw + t2+7zrOe9aw//tCfOLbNLRsy07XW73nXe773Fd8LGA1C6H3ve990Ou31ep2o8+CjD772R1/TCMJP + fOwTt45uXdm88p73v+etb31rmqbX77gWpwlCCMIuQecNd5g/AXXn6bqeiMcHRIAvlY+U35aPtPa4 + +Ui9Xg/GILu7u5ZlbW5uHh4e7u3tnasxzRfLWc2TsvT//l1Q956a7mKszqJTLMu6ePHiwcEBkDO7 + 3S7nHBSqZ7JPBUx1ALwQQovFwgQyDEOKCTz/RmPXsZZpZjHqOE5kWaqqR9MJU8ZzXINRLRG4/hiM + tMEUYQaCc6wQHNsIIYQwQtrIssjWuj2XEq2RqEsvcAkhVZIhhM41jWfTIwSaKHZ2psAXrZSiwOKm + 5PTvYHQugDTGYINgb8nzvK4qTIjjuDBlksZAPwB+2pRSIWvXdTnnt27dWhycRHdf84Pg5s4tRCgh + xGAEw7d5vASZblVV8izm/lwv9EQtBkyNKKXSaKxPo7G1Umezco3Qbd5HX5UHw5jbhkiP+3c0RvSr + 3CM95d0HHqYv/3raZm9fiws+KZCh/9qHfpJt7HH7WkpZGq/CMDRS/Y+/+Nb3ve99n/rUp9Z6PVSr + V7/61S9/+culVvfff/9vvuO3Ws0mMCgH/x977x1mV1Xvja+y+z69zJl2pmQy6QFCAgYQA4HgBSWo + FAkIV/ShCqhAqCLFBAVEEEHgAiJRiqigNAEBAUNLIAkJIZNJMr2eXnbfe631+2NNBq5XvHifq+/v + 3vddf5xnnsxkZvactdf+fr+f1tS0a9cus1bXdf36a6+79NJLuXDoj889/7mjj+nq6MxNTO7u6d2z + e3dbR7trO9Fw7F/PON3qtSADkDIEYHdn9+O/+u0b619raGiMx+P5fB4wJGBs1M1oNMr9TyilmUxD + pV4rFAqyrjEIbr7tR+FwuFqt6rperdU86MTj8YgeEmSplC88/fsnH3nkkXvuuQcQ2pxpHOjvP/74 + 4zVV7Wxrn5iYCGm67/sYojdeen1b7zYAQF9f3zvvvGNYZjqdbk43marveZ6ChcX7LG6MpN98d/0F + 55+Xbe3o3Tkgy1FVSw0PFyQ53NCYpQzWLdOwTELceERNRXXfqYoC8H3Xdp1fPPSrWq0mQNG13Eym + cdVJp87umgEBYcTd8cH23p5diIFEIqFKsutYkiACSoMgIAFTFMV0bM/zZElqbGraf9HiE0/6sqIo + pmmOjgyXSqXC5ATvixhjgBES+PxMkSUBIgFMZVyKU3aGGDPGDMOwLIsBJkkSDaYY/xBCQrm/ytR8 + BgEA+fiHscDzfebFY9GObMdg3+B5Z13Y0JAx6o5h+c1NbYwKpuGZhq8oqohw3SgJQqBpoFrLzZ7V + OjiwC2Fy4JL9f/ij2zQ9FA2FC4UCCUg8EmUIQspEhEVVZQE5YPGSO++886yzztr83pZENBYOh599 + /KmABSpUPeA9+ptf/fSnP33/va2Krp184kmPP/74u+++29DQMHPmzJGREdd1Y9EolKXOjrZrv/vd + 9etf72zvyOUKxPMppSIWKAvy+XxLS7NVN2LxSCIRU1VVDemlcjmfL95x108H+wfiyUQ4HHZdF0Mk + CSJg1DSMebPn3HfffZzi5fvBV7/6VUkQli9fvmrVKh5R+sYbb6xZs0YSBBoElUolnU4rklQqFG6/ + /fbvfve7XIB0zjnnLFu2rFwuP/HEE3fccUc0HLnsssv23Xdfy7KGhoZuuumm8fFxy7ElVZkeA1NG + 6If5g4CT6zDGrmsDAPjHf+8RYdTqx33+WNswVyw/IhyOPP6b36z68pe/8Y1vzOme89qfXrnu+msw + xk2ZzP777dfT09Pb28sj9izLWnrggSNDQ3966aVKpYIAuP/ee/v7+7/61a9+84ILVq9erSlKpVJZ + uvhTP77tznQiPTQwnEzGNVkNPC+khifHR1szLf9y1NG9O3qyja3rX1vf09MbCoUMw0AIcOrUssMP + mzGjsznbqodD11x3XbVSwQDyYkJVVUlVuLIrHk4eeMABl3zr4od/9XDg+7ZltWWzoij+9NY7f/37 + X//ollsqlUqpWFyyZMklF1983nnnUd87ZdWqM84446WXXgIYl8vlIAii0WgqkWSEMD+QgUiA99IL + f5w5o+vIFUeHY83PPP1nShLvb9y4a2u1XqUU4IDkdS2YPbfx0EMXDdS33nr7jwYHh9Zcd31Yju+p + vM+lQU7g+76vqKoCEaVUEuXA80VRoIRysEuSpIMPPlhGku+4kCEAgCBIDIB0Op3NZhFCWBId0+SW + zYIgQEVBACiqSgjhAAXvsfn7CBHiuTeJRKJer5umKYqiJElNM9opoLlSkZuhBwBIiigJgk8Cfj7w + Ax9CyBiBEAmiHAQ+o1STFeL5sWg429i44a03Tv7yKYcfdtTIUDmf93fuLL699b2J8QksxGLxtGPX + oxF0wOJZ/3LMgU8//csLv3X+jp5Na2+4/qunn+JRVxIE4geaphHKEAMAIhJ4Asa+50mSRIJAwFjA + mGsCk4lE4Puu42CETMOIRqOuZS9cuPD9HR9ompZpbBwZGcEYE88PaZplmplkihEa0UKb3nn32u9+ + t1AoGDVjUFTe3bCxPJG3TYtjqolkXBTFebPmipI0b+G8weGhl199RcSSZZqJhozvBZIg1uv1Z555 + BgG4fdv7PP1Z1/UVRxzZ0tLS2Nh42223Xbb6UkJItqX51fWvXHHFFd3d3cccc8zKzx+7fv368fFx + Ahgn7kABTyFIGE/N4f8J6z+M0PcW3/9pPpL2t/ORCoUC93JobW3lnngtLS26rhuG8ZGmaFrGBv/X + ejF8zJqWnE3bGPLOh+Nsmqa5rsslNFy7VS1UgoAChBAUAISUcsWOgDG2LId6RBAkVdXjcSbLque6 + ICAipZAEnmtLGGEEVFVJR2K2aTEIJIwoBABAyhiCEFOA/QADSiAiEDAI6TTlEgJFDSHft8yaICnl + UgmlU7braIo+XcczyqY7XkWU9j50GKOUA8WKovDikE8BEEI8KIB/JcaY+IFlWQJEGGNJ1wVRZAyg + vUH0/GbAAEIIPddGCIVCoVqtBhjZ75ADuru7CQWRSIQC6PoeHy9q4ZCmaZ7ncRAYSSKEkAUkIAQz + hhAiH9OQ8/qHIQinXG0AxhgiBOiUpz+lFO6V7NK9HdTfXh/3FR8tmMm/D0r+jx//k9f/Q5D+1vpo + 0MXHvUUMAgoBJ1Gxj0f9XNdtaGjYuXPnvffe+/nln7/66qtTqVSlUpGRsPywwy+56OLe3bu+8Y1v + fPvCb156xeW2bS9ZsiSXy0X0UHEi98RvH3/6yaf6du8xTfOkk05KxZOLFi16/fXXEUKfPuQQjHGp + VLr22ms7OjrGxsZkUapUKvzZjyF86YWX7rn77t29uxhjg4NDGzZsKBVKhJDA9+uGEYtGsSDEotGF + CxeefvrphWr5wou+bdbq1VKZZyZqqsrl+4IgiAjfddddES0Sj8fD4TBgbGx0VBTFE0888aF1v9i4 + cePMGV2B52OEfrlu3dYdW84444x4PL67r09V1bbW7NjYWGGyFAmnjJphskBGAgBMgkJjuvHib61e + tM+ht922bkbHAY8//vKrr76bH6WiIAtqAwUsEpXndHQkE+jgpbOXHjTfdipnn/P1ltaUJBEEBd9z + q5UCo9RzXdswqtUcxlgRJcZYRA+l02kMGCEkrOuCIDi2J8ty3TIFQcBYlGSZO6Rxk9CRkZGBgYHG + dKqxsZEjEhBQPtMSBIES33FdAEUKQUiRXdcVAORGNHXT9DwPSyLGGAQ+P+I5nx4gAUCOKQE0lYkE + uGpLRCIlqFQoSlhfst8B3//+jcWief99v5mYNIf6S9WCW68y03AlASWTXZLmHffFFSMTW4844sDP + HXPYHXf8aGhoQBFVCMSmTGNPT4/p2KIoer4fDod9z2MB6e6aecrJq8JaqJgvJOMJy7JKhSIAbMGc + uc3NzTXDQAhJipxtaT1s+eHvvfdeMZefN2/e5OTkxMREEARc5gEYs2tGpVD8wZo18Vjqg/e3j42N + vbtxIwDA9/1UNMkYCclatVb53KpjIQbNrU1aOHT9dWt0RfVdzzYtChhDkOdKGWa9OdOYyWSeeerp + O++8s16vy7Jiu048Gkun088+/cwfnn8OMvDwo4+kk6liuZRKJB3HCTw/nU6fePwJiVgsNzFRN83H + HnvsnnvuWb16dVdX1z777BONRpPJ5GuvvXbFFVcAAM4+++yvf/3r3/nOd1RVDceirudx10dBxNPS + dh4jyOsSziCF/6U0xlAoNDAwYBlmd3f3nl17uLIrHo/Xq7VQKDQ2MrrkwANmzugKgmB0eGTu7DkA + gEqlIgniTT+48YILLigXS4lEIqyHiB/EItF77/m3l156ybUdSZKuvPyKP/7xjzt7eq7/3trfPPbr + 4cH+cq0SDmkRPVyTtcX77N/dOaOvd1e6sdk1HE2UY3pYghjyDYbgAfsusly7UigumDuPBkEm3UCC + wC7boqaIosAYk2W5o6Nj8T5LvvWtb+3u340Q4uCh7/uXXHLJu9veffDBB7PZ7MTEREtLS29v77Jl + y0KqJknCVVddte2992OxWKFcSSQSw8PDlFISBBiiZDwhAuwEbkum8ZyzzmpqnvHMc29OTDilyWKt + ELMrER1nRFmzzLIu2MWRyoP3PhlPBhOjRmtT81VXXtqz6z0+cZgecxJCIESUUsdxaEAYwpBQxhi3 + /JozZ46CZdeyw3qEa7QmJiej0aht2xw/VFW1tbUVBH48FgOUuLat6mHGGE/q4HAu7wG4zfp0rAeH + N31/XjoRMSxDCen8HIAQ+L5PPE+UJcYY42XEFKmGUkgty2CMSUjEEBWKZeL6gDFA4YnHn5yMZze9 + 9Yc/vrh145ujyfj8jkzWtvDkcAmLMcF3t20qdGbziUg2k2pR94ONmbQqSwKioZCCBUJ8U5KA65mq + LAWEcapMZ2dnsVjk1hqcYsRBCR6UxyUusiy///777TM6y+Xyjh075s2bhzEeGhxklMqCaBlmqVAs + F4uOYc7ungUDFhL1dCy14c9vQZGBgIRUNQiCWrFi2zY6DGZbW2VBbmvNigjXK9VwKFoulKLxOEJo + w4YNP/vZzw4//PC5c+dijHO5nOd5yWQSAHD77bffcMMNpVIJMqYpKk8yWb9+fXNz83777ffyyy8j + AGOxWMAooTTg9GS+BwDA/0gXOx51+Nc/hT55PpLd1NQky/JfzUeilE5OTtbr9fb29ng8PjQ0lM1m + M5kMP3O4BA7srTgB+CR15v+qhRDmt+GUDd3eP7ht28PDw21tbZTSQqEwOTmZSCSSyaTAxFqtZrsu + /9Nx7Q1HYERR9D2/UCjEo7FQKBSNRhmhgeloisKwULRsVVVZQIjvIgQ4TReLAsAIMMgYC3g+HoQU + AAophYhMvRkUAUACEtaUQiGHRCGqa4oiaZFosVyaRo/55bAPTX0Y17UCABRZBntBJMMwKaUMQYyx + IIpYwAAAwijvl/jlaOGQoiiu41i2zRjgXQoAAELAGKMM8FuDkxLz+XwkrGezWdd1x8YmTMe2TBti + FIlE3MA3DIPnvnD3hSlUFk2BWtwF4a+uKYAOI4RQQAhgFIuCgATeLE09QylF/4B4rulhwUf1SP+n + ZEj/jAbpn8AU/Aet/5Q498nBNIRQtVrdtm3bxo0b94zvaWxszOVyqWSyXihXy2XXtokfbNq0afmR + R4TD4Uwmw9uSicnccccdV6tUf/XII57jtLW2HnTQQX96+eXDPrNs0zvvcqJ8Mpn819NOX/n5Y5cv + X57L5RobG8N6iDGmSLIsyx3t7cQnyVjCdd03XnuD85FCeqher7u2F89GL7nsUs9z19yw9sQTToAM + 8EwMrtIhhFAIeKI8RigcCmGEfvu739iWZRoGBlAWpWQyOad71oa33v7Jj2/PtrSef/75IsbdXTNH + RkZuuOGGHTt2PPvccyMjI5ZlRaPRWq2uK0JISUJAw6rGADPrjowjLQ3zAkcf3AW9Cvar+y6Zc4ga + yhQLZUmXS9UJQkuvPLvxU0tbvYVqQ6IpHE9pIWYYQ0iouh6jgEajsWRcUxSxubG9Xov29PRYltXU + 1JTNZuPxuACB7/uqLGOMDcPgGIWu625AKtVqrVabNWe2LMulUqmlpSWVSoVj8Ug8wS8fgg8liYAR + LQgCAikEEoLhcFgA0LbtWCwWbNjw0VOeN1SyLGMAIRYpYID5jFEMMYdgAQCAUBoQjARFUhlhDcmG + wCHlvKfgrsrEZHvDIXFRCqIaAiqldrU+HLhDIusOzBHPUJivrTrxa99fs0ZDcYMajmUTP0jG4gQw + YJqyJDE/kDXl0Ycfuffee0WAw7puGEZDKs0YU4B43jnnBkEwNja2cePG8bFxVdeXHfqZp37/pCiK + a9euXbt27Qc7diSTSYRQqVjURZH4ga5qne0dlMDPrljRu3P3hjfe5g6hmqJZliFgQcTiMZ89ulKv + Ekjmz50fCoVKpVIqlSKMep4HAaBBQCFkAfFdr7Eh05RpPOhTSwuFws6dvSFN9xw3Egpv7x90bYcR + 6tpOJBQeHx8v5PKqrEAGouHIV0459bzzv+G6bndXV71afezRR8PhcLlYfOG553zfnxwfH+jrkwRB + kqShgYF0MqmraqapaXtvjyTLiqIgNCVt57gfAICTTiGEfIfzUeXfe0Q4pmVb1vLDDv/mN7+ZSWeO + OHz5nl27Q5ouCsLc2XP69/TpUshw61s2be5s7xgdHeVuk3fcccctN//w1T+90tXVRSmtlMqB55t1 + I51MjY2MLtp3v127diVi8fWvv5ltzrY0Nbe1to6NDMmSRP2gWCj4jochhgwev/KLiqzd+9N/E7FU + KVUTsZhjmQwQURAP//RnAkZefPVPkijGozHXskOhkKppBIF6vUopFUQxl8uNjIx87l+OvuKKK2rl + ioSFTCrtuu6CufMWzFrw5OO/U4Dy+qbXL7roolQ8Ua/Xu7q6duzY/o3zz6+ZNdM0MYDpRFKASFGU + /GReURUWEMOt67IOGciNTYg4tGDBPoIw445bntaVrlCyW8atjEqVYDykGJXaFsgijz1yU7k8ZtTK + +cmd5cpgWJe4AkGQRIyg63kQQ4yxKEkYIuL5qihxn8B0Os07JddxQlq4Xq9LkhKJRLhxvOd5LPAx + ANzqkBDCSOB5HgUmn5tOEyyn6SK8QGGMcStLXh8XCgUs4paWFoxxOKK7jASUEMYQhhBCgATGGGK8 + tBUIYKKMIcACwBKWW1paMEQIym3NXXO69inmyP77HDW746Q1Iw/Xqwnktqf0TGdL2HYLhrGbOAMh + KXvm6uUYAk3RP3XAgaoier5pGAVJCrzAT6ZihIggQL4vybLc1tJ6ypdPfuWVV3p7e13XDanaU089 + xYU9HZmOzT2b77rrrg0bNixevPjcc8+dM3veb3//2/vvv79er8fjcUmSGpIp3/WMWr2ttbWxIbPv + goUiRIDQk754PKX0gXvuRQg5xBaQqOoapUEkFD5k6cEL9l24fccHQRCIWAoCqkiypunFcolSuuKI + IxBC55973tYtW7q6uhAAmqJMjo8ff/zxfbt3X37ppdVyedOmTeVyWVGUH950s23bRy0/atkRy3gk + qGVZPN+MW7BziA9BOB0d+wnX31VG/eW3/giO9LH5SIgRQv5DPpLycflIzc3Nmqbl83nTNBsaGmzb + HhkZqVarnLvBG91pkwaEECF/t0/M/+jl+wHnmHF7IbA3tTkWi3ELWVEUp/NFMMY8wIcCwFspsNe4 + n+vusAg8z+OibghhRA9JCEPCPC+IaKHGZHJ0dNS1LVkRZUXEECEoIIjAtAcaYhT4BAICEYGQAQgA + wwzyQbmAsIyF9o72D3p2IEmsGfW6accSyWmSJEAQQYwhwhgH7hTHm6er8VYEIcTHjtNTgKmuGEFF + Ufg24+zBYrHoua6iqpIk0Q9dsxkAAE01SJTvHMdxBAwLhUK5WCqUypmW1ngiWSgUZFnWwqGxsTFu + BgYh5G7ypmlyDl5AA/rx+Czv8URRFEXRDwKfBJRSrpkTBYEx5nkeHwNztt7HfaNPghr95X+BEAAw + zXjnXOK/vYv+ceu/2CB98qDV/7nd0SdZH71G+NcIzRROfZZLDw8//HBZlh9//HHHcXRdL+ULjakG + XdfPPffc/sHBY4899pk/PBvW9JGRoTlz5omiGNZD37zgwtWrV3uel0gkisXiys+uPO6k477//e9r + msaL1GKx+KUvfWn79u1XXXXVHXfc0dfXl81mLcsqFArEJ4EXbH9v+1lfP3NsYuzGG34YjUTqNdOx + 7XAomklJQwPDc2bOiUejqwuXKJKianpXZ2ckFG5ra1NVlTufOL7H844IIZdffvlPfvKTbdu2tbe3 + E8/XdX3u3Lnr169PJBKO4wwOD6XT6SX77x/SwiNjo6VS6XPHHnvg0qXf+973HM8NhUKJWEJgWEDI + NOu1ehkDkEol5s6dH4811CrgqsvP+e2j1cE9QyE9W68olUo809IAXByOJFxj22Wrvz1rDrAts1Dq + 33fhPIxoPKFHoulqxXIs2/aNUjU3OjEIAuIFTmNrY3dXl65q/DZzHM8LKHAD1/F1DQeMuoHPDyMA + 2djYKA2oH3g8wLe1tTWTybDAp3vzUjj+IIqiKMpYgAGjxHNVVcUMBEEQj8e5tCxglDLKB426rkci + ESBgXkJRFgBAEKDTDRJiUMSK79FkMp2IpMNaXMTSrBkzP/XdmYcv+2Vh1BVBEtMMJIKmY4EhCIkq + Na393rdiMZArlPfs3tOQTlquociKoijpdFoL6QxB27YJIbFwRMTCsmXLujo7v3HOuZFIpFqvRyKR + mTNnBiBYuHChLMtHHHrEldde+UHPjoDSZZ9Ztm7duo6Ojn3mL3B3Dw79AAAgAElEQVRdt7OjQ1YU + x3GaGzLM9RuSDTE9UhjPtbd3tmfbtm7aKouSBzHwSa48EQqFGCPJaLIjO2O8OL5tx9ahsRFKqecF + siwXSsVkMumTwDBM13WT8UStVkvE4nPnzi0UCgCAW2750ZFHHqkoim1aZ5555lFHHbVgwYJNmzYN + DAxIgqjrOpcVXX3Vd3784x8PDw8nYvFDDjlk69atfE+6rsufrFz/2tTUNDIycvzxx7/66quu6/Ig + Y7I3GYwXxNMAhSiKnNEejcYJ8V3Xty1XkgUwXSMBCjgrEuC/er4hQEVJGhoaWrdu3ZtvvplOpiFA + L//pJe6HtnXr1mu++510puGkE0689tprr7vmWj0cggws3HcfSZKefvaZtra2mlGvlMqqrmmaRgFj + jHXM6DQMwyfBEUccYTvekUcdPWvWrBUrVvTt3lXMF2QRi4IsiuLkZH7re+9/7qijCQ1K+VI2mx0Z + HuNnjqqq9Xrd9/1MU6NZq9umlW1ukWW1PdsGsFC36slkUhRFLwggY6VSqampqVqtNjU1dXZ25vP5 + xsbGVCp14603rl+/ft999z3vvPOOPvrovr4+wzBqtdq3vnXRyi+u/P73b2xpa5dlmTGmhnQM4IJ9 + FnqO29TUFJbDEMBIJMICgqEQ0vVlh+q3rBEQCFM/VK1CAcqa2CKjUjI6I9uVkTCYO7vZtMvjk/0z + ZjQOToAZHZ1YFBiEAWSu5ymKFlBCfKrKilmrR/WwrofS6QazzXAdPxmNSVjiLuqe5/Gi2nFsjDEB + FLEpXTIlRFPkSCTiE4ZFgWu4eUnKNZYI40QiIctyuVwuFovc5VYWRQFJXuAKgmiaZiQcU2NhyphH + OIJEAIUAUsR4iQUBoARQWZY92w9sPxFLGjWDUZhMtQwNFkNKsjmTyhFg1FRVbKN+k2+Ge4fzDU06 + ZMlkDHa2d/seMCjQwioAwPU9TVRCIX3e/LmFUl5WFdcnkAiUglgkOnvunFXHnVwoFHITk4TR7q6Z + d/7kDp40f8wxxwDKJscnDli85K7bfvrju29/5JFHjj322Ouvufben92/q2fnoQcfMj46FtXDqVhc + FMWx4RHuym3btgAxwGJ+YrKjo4Oommc7vuNijJEohMNhVVIoYWbdJISKWKzV6qqucWtEQRDq9Xqp + XNp33315QBnPtHjxxReff/55RVHuv//+E088UZQlWZYfeuRhz/NqRv2GH3z/tH89vaOjo1wuT2vX + GZxy4aeMCejvZr3+V57jALDp1713t+/7kFEsCpABN/AAZRASSCFl5JPnI/EMHEppX19fY2Pj3Llz + i8ViqVTibmaSJMG9KZH8khFCH/1d/re/Aq47QnvD4jgcykdXmUxmcHAwFAo1NDTwJnN8fHxGtjMS + iVAAKpUKdySCEHJrhyAIJIQVRfEcd3Jy0jTsSDyWbErXarWaWQtIYNYtu24duvSQ715xVTrZAACg + YCrkAFMgQQAhC1jAIGMAEgQoQFOOHQyJGAMAarVKY0vzqlNPHh0fCwDTwiESMEr5zQ8ZY4ABiCBC + iM9nbdvu7+uzbVvAOBaLNzQ0REJhCpjjOIVyKV8oVI06g1OjOp6Jp+s6IJQEQVdX1+GHH77iyKMo + YIxQwihkACIAAcJwyoY+rIdM02Q0aGxs5NpdhoRSpXLzzTdv2rJ55syZ0VDYdV3Oum/ONGKMJycn + XdtxXZf4gYDwx3UwkiAGCOmKylXWgRnQgABIBYy5PSCllHjeNGJGP4aq91fr/7+hu8EQUggwgBgi + fu9DygD4sJX7C9Di7w0DmC7IP+H6uxskxAD9xK98/c8FjRED5K/99mjvKzf+hgxgCjAFiAHy799C + NkV5AUgUAkZlWTYMIxwKcVxWURTDME3b6RsYLJdLW7ZsmTtnVrGQa2pscB1LEsM/uuXmdb/4+cDA + QEAIsexTvnLao0/8qrd3l207c+fOGx4eLpVKEKKzzz6nVqutW7duwYKFhUKxUCgmEgnP80VRxEzU + FD3wA1VSG9ONk7nxsBaWZKFWNURFDKkhBDAEAguQZ/gt2Yxju1zjruv61OSVMUppLBarVqudnZ3j + 4+OJRIIxxuNETzrpJEVRrvve9YwxTdPS6fSDDz4IAP63n93ved7Pf/mLZ3/3rOXYqq4xCOLxuFkx + wrpmW+WW5jQBBgW2qgkM+UhUFAVU7WI0qbu259qOpESJLzAGA9dRVXVmJ2ABCChpaWpjAfY9qKkx + 12U+hS0dbS+8+oKiKKoku5btOy7DhAmAIIBkMXB9BpDvE03ToOfXLTOWiBeKxUQi4ZFgdGgQYCQi + 0fdd27aXLNoPAOCYU7Hcsiwrimo7nqrqkiSVy2U1pEMAeYFFCOXiJW6GzhDEiiCgqXNflmUkYgoI + BRBAPJ0VixiADAmCRLxAlrDr+oIghDQJ0IAy4AaAITUcznhWBFCNQlw36zzNwHG8QgHk8/W2jnAy + FaKgjgSXAhhPREUJUxrIikqYyKiEEEIAhaMRgHDAWDSemMjlvSBwPO+Ci7492Nfvuu5rh71xxpln + XnfddR0zOivV6kEHHZxMpyqV6uxZc3bu3AkoQACJWLQdh3osEoqKWJKR5JmubzkSxJABBKCmqMQP + 9EjE931KGQQYAkELhQPKsCjZrhuKRAhjk/lCKpWyLMsLAlGWf/fkk/923326qlWrVU3Tly5d+tZb + b0EI33nnnY0bN65fv/6YY45ZtmzZn//8Zw7frVq1yrbtN954Q1GUSqXy9ttvL1q0iEf08MAZQRC4 + LXWxWHzwwQdfeeWVO++8M5PJiLJcteqEUYyxJEtTTC1KZVkWRalcLjc0NKTTac/zyuVyrWZIkigg + AUOMAQKUQcoYDQBhDEIMMAUAMUgBQIxRABCgYCplEjz4i3UPP/aoLMo/vfuul1/5UzQeqxtGuVpR + dW1Pf9+vfv3YpZdf5gV+4JFYJHr1Nd/99je/RRjVQrrtuwwCJOCaUVc01fFcQRJ37dmtaOodP70T + YXHTlvdaWpqI7xWLRQhhrWYoijZcHZzR0Z3Ntu+NeI7arisromEYiiJVa7VkMoklkQCm6DqhrFQq + O5Yz1D/k+gFAAIsCFLDjOIIgIAYZY57nG4a5ffsHqqru2rV7cjLX19efy+VfffW14477Qi6X37Ll + vUQicdBBB/3LMZ+77PIr33nnXUVReKqSKIqyKI2Njcui1JhMW4EVErRiMa+HVEnSBkf87dtq2eaO + zaNlHXvxuGxWbUCZZxOKUFhpqJZBQEBbZ9wJ/P7Bfo/S0eERJAmO50mKChCy3UlZln0vAIyJCOdG + c84yr1Ss7Nixc8GcfTw3wFCoVGqSpPC+d2CgT9SUwKdQhJAyz/NkLGCEHMeTJMknvuN7qqoixhhj + pmVx99sgCIrF4sjIiCiKPJKB524LiiSLiu8RUVTy+RKuVT1KAOZFLcUAA8gPeO7mTyhzCSGqrLGA + TU6MyYJmB16pXIvEk8P9nipLu/tBPNY4MWKFNAgg0hQdQ2yartoYIgwMDJLZ8zCDaGB4zPaJC5Dh + BO9t3YEwrhr1iB6iAUskkqd++ZRDl31mND/at7uvmC96gT+4ZyAejyeTyVNXnVotV1evXm0YhqZo + pWrpuaf/IAgCI+y0007r2b7Dd703X39TFEVFkq26oWlaNBrbubM3Fo2bpkkBpX6gSCpkyHcDnnNg + u47r+wgKtaqhKFooFDHqlq6FI5FYzagGlEyL7DmK0tzcPDY2Fo/H+TnJs9Ety2psbLQsq1gu/fn1 + 9bIsF2uV22+/PRSNVIw6wwgwRgADlHEdOpoyufkHLsjvZTjFtfvwFQDEgIAwYphSABmQBBkxQAGl + jAU+xTL6MB8pHG5uEvKFSfphPlJOUeR4PMYYswwzpIVlUWnPdpim2duzK5PJtGc7uAZpWisPwIdC + EwApYOj/kleMMWNTgYG6rklShhf6Y2OjDQ0Nc+fOGRoa6u/v6+zsbGzMTExMDgwMNDY2ptIJUcKF + YpknCMmy7Die6/qChBFEkqQ4jkMIrVgGCYseRRjKOlMtw2iIploTTQs656hYuvCSb//5nbf0WMio + VpoSCWNwLCrJpWJeEAQsIiggw7RiDQ2RdMambLJaHxybaJ0x4/QzTl944EHvPvhANttUKuYFKJmG + nUwmfd9HCHueF4vFCSFPPf7k8PhwsVjsntG1cuXKUCh0w5q1GGPf9TDGrW3Z3X17zjrvXEmSAko8 + 3w+FQhDCwPNFhB3Xk7AwOTK2aME+v37k0W1btyfi8XyhIGAMIVNV3arXIISKotTrdVEUFUVJpVJB + EAwODmJF+tnPHyjkcrNmdBE/oAHBEHmOe8jBB996y62EEQUqPnA//elPE9+PplKGZTme29DQMDY2 + xgXkHDtKJpNPP/EkAogCOjox+oUvfAEDBAFMxJMPPfTQty++aOvWraqqOY4jipJlWWpI930/4LF1 + e2F5jBACzKzXm5ub58+fv/TAT913332e5z368CM33njj5s2bgyB44oknLvjmhb29vYyx+QsXPPqL + x474/JGT4xOhUEjEwvPPP48AkKFMAFt04CKMsaLIVaMeCoVq9XpLc/P4yKiiKBQwiCCfgfIfPa31 + +mgp/l9Yf3eDNO2A+Elewf/k7ujjFu+OeF8E9rZJf5WJ+aHHAwAQANu2FVGSZdnzPNu2k7F4rVbD + WApHYo8//jiD7K233rpo9bfnzOrOFwuMBLNndyeTySd+/7tYOD4+OZFKpGbNmb38sMPnzJvbnm3b + f8ni5557LpFKNjU19Q30p5Op0fGx0dFRLAqUUtf3fN+nDNZMI5lMU4BKlVq5VoVYdHwvYDQUjUxM + TDQ1NcWiMdfzk8m0roc3b30vl8tV67VischRVJ7VaBgGJ0KMjIx87WtfGx4efv/995OxeENDw5o1 + a3hM0Be/+MVCofD0s88ABIcKwxvffUfTtM9+9rMmsXwSFIaHXdeNhuJuzZdFUdVgz87drU3LVC3y + 5lsbv/ktDZjg7Y01iIisC67jq2GReKhqFFSdEWbadnFgEKTTINMcNs2JnTt3KYo+PpEv1UwgCIVK + 9b0PtobDIdeyVSxiiGJ6TNUV4tFqtYqhAAALqSFGmSiKAELTsizL0sIahXTa94zz+PnH/KHFszv4 + x3w4PT1vJq5AKWVBAPZiwaIocp1x4Ps8xmpycpIh4BOHB6RM7x3EEGAIUOC6fjqR8YOgWq32fLBz + xYoVsgzefx/o4VB5skZdUUZhQVAACShzZFUKRUPlEpgxM1yv1fO5suW4MpZzZm1oZHh8YgJLoqwq + lmVBgDHGiDIEoG07ru/19PQElOTGCkMjw5IgCggTQqr1WiwR39PfN2fe3N8/9WR7Z4fnea2p1kMO + OmjDhg08brU4mYtKei5XGB0d59FQYT0cj8f5ZJSLPbi/H8YYIQwAQKJgOW6pUo0l4vliIaA0m80q + ijLVbUqSLMuTk5P8idjc3DwxMaHqWjabBQj29/e/+PJLIU3/1EFL0+l0QAmlNNvedv7556869RSM + sSQKXMS5ZMkS13U5VbKnp2f+/PmyLNu2/eijj7744otcPIMx7hsYCCeiEEHOW3AcB2PMPanq9Xo6 + nQ6FQo7jOI7jeQFnWMlYAARQSgWEAGQCggRBCAGj//58m5p7UCQKAkQAwq6ursMPXz4wMPDWhrd3 + 7dq1eP8DFi9ePHf+vINiB69YseKpp54ijIbD4Vt/fNtDDz3UN9Bvmubo+JiiKMl0qlKprPzCcXv2 + 7DnllFMuvPDCUCTs+/5DjzwsiqIsqQceeOCmd951HKe5sWmoXrddp6kle+hnPtO3Z8/cuXMxwIZl + GpaJAEzGI65rI1EYzU3kCvlIMl6t1WKxGJcJWaYtqYoX+D5xeS1LGXQ8T9d1DsRxT/DZs2dblrV8 + +fK33367Wq12dHRs3LgRY7xixYozvv71a6+/bvPmLaIij+cmec51YXw8FotJghhQMjw2qgpa1arK + suz4nmXbrosHh/YY1mQ0hmFQIgEDIpBVBcAqQ/W6U//hjx68/Dun7Ng5euDSg3p2bu7Zvce2bYnJ + rud6AQEIuX7gui5GAmAMYIkGAQkYIYwEjBDiOYGIsKoosiwriuIHQRAEzPMIIYFPJSxgjAUsCBgD + yvgTFO0FEqdk0whxWk4oFOK3My9b+X3NCKUQUEgDn1I/oBAEIAAMUepNb4a9PRIAgAoiQwgXCgUA + kK5oxUouCDwnsCcL+Xn7pu+/54MH7nt+qI92tB2EaMmsl0JxfXRyz5y50ZHcpt5+RYrEK0ZE9Kw3 + N7x5NvkaBhKh0POpiEQByz4B1A/6du95+OGH165d+8tf/rI92/bWG2/u+OCDQw45pL+/vyPbtmDu + PELI5asvveSSS7ZteW/9q689//QfNm3bsnjh4jMvOKtUKHJzMB4KqYb0Yr5g2Bb3RVA1DQAoiBIS + cKFURAgJkliqlB3HESTJ90k0mlSKRQBrmUxTpVY3TTOdaSiVShBCy7K40V8ymczn821tbZVKpaur + i/+spUuX7t69u1AoNLY0laoVPRKu1+vJVOr1N95oam7u6emJJROMAW4r/O+etv94BsrfU88gAQEg + /vt8JEH4D/lI8t58pOaJsYnR0dFkMslD6kql0vbt2wVBiEQinCTGEYzpZon+X+XSAGkQ+JzK5Xme + 4zipVKqhoUFVVc/z8vm853l8Jrtr167W1tbOzo7RgdFqtepTomlaxCeVSoXrYTiPAELo+z4jjFHA + GPO8oFavh+MxTdUrxYrjeoxRz/EBhYTR5sam4447btXpJwem+cbzL2So8MR9D6SwLDHATI9CJqta + XI3EE5nuAw/8zHFfHKiUfvvCC0OjE5bnJ1MpnpeqaZoqa9zThRBSKpUAAJFI5OSvnFwoFFRJvvzy + y79+xtfWrl17wpeOTyaTGEIvCB5++OHf/OY3lNKIHvECn5imZVmUUuoHjiB6nscIgQj4rmdWjLXX + XBeNRp955hnHcXbu3Ln53XczmYwoSWbVqFdrHR0dhx12WDgcjsVi2fb2M887S0BYkWTPcb3A51I3 + xlgkFNq8+d3LLrssn89riirLckjTy+Wy7bqxRHxgYAAhxCPm+ERDQOj0M07f+PaGefPmrV279qun + /+ttP7p10eL9r7nu2qge5YbMnClj2zaP7oQQgr2tEe/5KaWYAU1RHcvu7pqZjCc8x41Hos2ZxlUn + ffntN960LKuzsf29zVv4E/zcs8+hIKhXa9xms5DLqVA+7viV5XI5mU6psswgFEVRkxXO2ZnM5TiE + OM2X5kOG/0Zl1D9cg/Q/+nb/TzVIn3wRQlKplFmra5rGyV2O41QqlUxDc82oe4E/NjGWSTfsu99+ + Pb07fRK0Z9suXn3Jaaee7gdBQAmDwPW9Lxz9xSM/dwRAUED44Ucfuf/++88+95y7f3pXPJnwSdA9 + e1bdNLAoRCKRyXwulojLmgoFHECCRUFQRSWsSlRGCFUqFbNmRZLRml2v2oamalgRPOZHEpF0Y0ZR + FNd1S9XKtFqDUOr5/qJFixRFiScSjuuecuqpr7366mQh3zc4EO7vC4KgoakRY7zh3Xc6Ojr6hwav + X7tm/fr1nz9u5Y7enQzBUDRiTk6qekSBsu8GoihBGPeY5nlaqURKBVuTQy+++LJZi3q+5fouZgIh + lkdMTXZjEYBV9+Ff/zqVME48eZmiewH1q/WK7Tp6KAyxSCk1rDqlBAHq1AxAmYxkCCEfTSmyErge + IWRsbMy0TQAAxIAQEkvG+Hn6UTXCXjUk5M+wSqVSrVZ5zAI3UG5oatR1He5VJvE3l4NsDE6NAfmT + z/d9ChnEvCn6cDtRAACkCCFOujbMmu/6A0P9SGDDI9ULLvwRAt2hSDZwArs2yaAmiR7xLdOqjI6O + vvLaQ1864TPLly+Mp9qMupAv27F4WhBlAqBZN9WAUggQYla9LmCsyYprGbIkK5oykZsMAn/27Nlr + v7fmhBNOaGtrW7x4/+ee+8NEbuK5F54rFAqSJKUbM4uW7H/3vffUjRohRJSkWCjiuzQcD6u64nh2 + qVrKNGcYpIZVj8Vio+MjDQ0NkiKWa9V4PG4HtqKphWJx1rw5qqqUSsVwOBRLJgzDwCJ2PCedTm/b + ujUUCi1ZtH8mk3n6yackRWpubd49sGdgaIBBViwX48k4IxQgUK1XfeKPTYzd9/P7L7/y8sHhQRqQ + QqnY3d3NEPhg547Lrrz8+uuv32+//a74zpU33XRTqVp+4IEHnnnu2VtvvbW9vd10rMnJyZnd3aVq + heNvnLnBOyVCiCzLqVTK9/16vc7DcDHGXBs+fc9Ob4a/wbEOgkBQlH/96hlLlizZ+t62q66+2rKs + TFNTz67ePQP98xcubG5u7t29+60NG1RdX3rwwVgUf/HQQxDCdCZTr9exKAqCsG379lRDQzKdfuW1 + 18YnJwVJ8glJpFIjIyNNTZEdvTs2vPN23ayVKpIkC0hEk5P5r5/19Yu//W0KqOPZs+fN7unpiUaj + hmnavq1pWmMqkWlpRkDYMzTwyut/Nl0nHI8xAXmB7wU+xpg/xAhjiqb95vHHI5EIAODY44675557 + fnzrrYIk/eIXvzj8iCPC4fC2bdsMyzrssMNWX3TZRHHiqquughBOTk6ef/75lVqNR5TIsswItW27 + q3tmzTeiWrRSq1525RU/vOWut97ZOTSWSzVGVE0o5ycUKYBAYqAIseW4uaIxpjLz4cfuP/Qz+1x+ + 5TdPP+NkxiAF0PUCxiC3QAAAMG5yxRgGkFKfsoAxwtsSbgzFjynTNMcnJoaHh31AHccJx6LdM7pS + qRT1ieW6kAFZlhllYG+y6rQQv16v79mzhxBSq9V0Xdd1ff78+byD4l/P9t7XjBACCGN06snGC/q9 + DRJjzPEoxoAySVcVRVEQEtSQWLfyd9yz9ox/PX9n/4uCPrDPAV3JhFktWbEmFQBhwdKmPf1vzZwH + 9HjxplvXPPjgred84/REOhQQ1yKmKIqBTx3PVFUVIQwQ0cOhmlGv1KoMgp27erEoLFq8f+/uXdFo + dM9A//4HLEmn0w888EBH1wzG2MqVK9f9ct2r6/+8cuXK5cuX9/f3Dw4PcTNN07YYY7FYTJJln5Kf + PfjzYi7/hS98ybIsOaL5vq+qWjQaJaWSE7gNLZl8pRCAYPfAnqeffcb2XCxhLAgDAwPReFyW5auu + umr//fdvTrTcdvvt4XD4/PPP7+zquvbaaxOJBBeTPPDAAz4hzc3NSxcv/d3vfhcEAWXslltu6e/v + 56Qd8N9hV/VPqDfQX+Qj1evhcPg/5COZPB8pEon4vm/bpuc5kUikvT3b0JDidLK/0J3za/84V7H/ + rUtVFU52hRByM9hyuaxpWiqVqlar1WpV07RkMmlZVqVSEQSB/7tpmpzDAiHkdGJRlCGEEPACnSEM + AQDE862KkQhFgKh6sofDIg0owZAKCEA4mc9deNnFrmcO7dq9bMnS8Q3v5bd+cFBLC6rXdISJH/ik + bg4WCu/3dauxxOeBmszsO2NG3rPf7/3ANE0RM13Ry+WyquiCKBJKVVVta2vL5XKCIPQPDFSr1XAo + VDXqs+bMTqSSCMBkPDE8OPjF44+XJOm1117DCLmuO5nPNbe08OEs8XxZlnmjDBnAGEsIZ5uaDcPo + /WDHqlWrvrTyuDVr1lQqFcu0AACzu7pHR0dfePa5r3zlKx2tbYqqupbN99VfCLR0XY/H4/V6XdM0 + 4geiKDqOc+GFF0bj8da27H333bdly5bBwUF+CaOjo8VisVgsplKpUqk0MTERj8dnzZl9++23b3z3 + nYBSGpDurpk9vTuDIOBtkmNPhW4TQqYDZAkhAkCKotRqtYaGBs5pz6TSkiBls9lPf/rTW7du9YDH + FctXX301hJAAwuUkuVzukEMO2TO8p6WlpbOzs39wwLZtLwgiKEopRRhRQmRZFuFU2vtHkVj4MblJ + /4X1/1zs/nmrUqnc8L017e3tyWjyvvvuq1eq11xzjesEM2bM+Ondd1WrlX322efSSy9JpJLj4+O3 + /vi2+++/v1KtRqPR0fGxefPmiaK05pY1ddMIgkBRlPfff3/GzK6Wlpa7/+2eSCQiSdIbb7xRrlYY + Y/2DA/F4PFfIl6ulcCx82VWXffazR+tR/b33tzW3NIZD0YpR6Z45O5efELAERQgAmixOXvu9693A + HRgatFyntb2tUChwVzRCSCKR8DzvXz53zJzuWdzVd+XKlZs3bx4eHp4xY0Y+n08mky+++GI+n/d9 + f/fu3TfffPPNN9+8cOHCcrl89dVX9/b2ZrNZXddLpYJnicQDZYO1d80AEBeKRcKCC775jZaWha+9 + 8v6cWYdSxJiAZJ34gWBUCz4Ao5PDWsQq1wbzpaG7793GWLVu1dOZJs8NRERsx50SIHouxjiRigGf + 8mhaQLGuagIS6pVqvpbftbM3oAFCiEGqqmpLW4skSVxl9NHxwzSt1nGcarWaz+cNw+DVku/7akjn + QwvwEXt+br/DEORumHymKMsyhSyg/oc7YEppOZVLHQqFKrWKqivZluw9995zymmndM1YokdsAEql + fEHCKTmaCHzgAZ/JVVkrD41vClDh7Xf/eMvtFyHoLlm8TyKerrO6R4JINCoHPiHE91wRi7KmyoL4 + yp/+RCllAP7mt78t16tHHnmkT8lzLzz/zjvvMMYELJ593tmKouRyuXgqOTk52aZ2lEqlwcHBOXPm + 1Or1QqFQtwzfcDzqm67z84cezDZnv/KVUwzXTjamyuVqurnBD2itVnYcryXSUjXrG97d8Oprr1x+ + 9ZXlcjmWiPuUFEslQRD4ETkwMJBta9M1TdG1iy+++JYf3uL53h//+MfHH388CIItW7bceuut4+Pj + s9pmrXts3ZNPP6UoyoVXXmgYxjN/eJb7Qc1buGBycnKykL/pppvOPPPMD7Z8kKvkHn744YmJiXXr + 1s2ePXvevHmnnXaaaZq7d+9eu3ZtLpdTdA0gaJomhDAWi9Q8TDoAACAASURBVPm+z53KmpubGWOm + aXLVFh87iaLMHck+etpSyi10/vpQilsC3H333SPDw52dXY7jJJPJYrHY399/0UUXpVIJ/uOKxaKq + qhdffPHZZ5/No80ty+Jc1qGhoXvvvVfXdVmWt27dGolEeHdtmmY2m63Vaq+88nKuUGhpbSoWyhgB + VdMOWHqA67p//NOLlVqtblSVkLbi6BWaogfUF0XR9tx8Pv+LRx8OCPF8f1d/39Gf/9xEblIPh0zT + lFVVEAQKppyCMEQ33HADhLBarWKMe3p6Fh9wwHvvvXfaaadRSqvVarFYFARhx44dV15zZa1WM22L + hxGNj49LqiLLMmKAR2Ypklyt13QxZAbWjFnddctc98ufj07USKBnW+ZCbNuenYgxhCTDqAiSHxij + 7dnI2PhIzca/fbJn8acObG5tL5RKkiTZvsdlSBQwjKAoip7jTd1DH1kI8XsPAAC4DJrP9X1AAQC1 + Wq1Wq0WjUUwBhBDvdaSFCE2POXn1QAgpl8tcCbDXfd7nAuWAErBXQI8QYmgKO+RDSsj3xnShyxCD + TBRkVUK+7+aL1XhUr9YrR39uOYL41TeexGp16WFtipiqVYvRBiUa0UjAAjK6IKEKslFzdp94ypHX + f/+yxUsWOI7TPqMTY7FYqsRT6Vq97vlBSJGJ5xMW5HK5hsYMF0DyIpLv7cl8LhKJeJ7X3tJeKBQO + PPDA119/fe0Pvp9KpWRV+cEPfnDV1d/RNC0cjVZKpXA0YhlmS7Z1dGJ8+VErCsWi7bm/feoJURTn + L9o3nYwXCiVZFtvBDC9wI5FY33B/7unSjh3bI/HYp+bPcWxPkHFDYyMnRNx4443VajUej3MZCZd+ + XXzxxRMTE4wxRVGGh4ej0ei2bdvm7zff9/3GxkbP93O5XDqdVhTF9tzp9/f/z0NV7hHPC7L/LB8p + NzQ0lElnWltbC4UC92zgc3euNuE7amr+wqbEMJIo/5++xH/qsqwpU6hQKNTc3FypVAqFAvf1TqVS + giAMDAw0NTV1d3ePjIz09fXNnjE7Fou5hXypVGrINHG3Ro4jUUoZB373mshBBqAblCYKgijreiiW + UH3HlUIagzgANNvRtmP7toXz58kQG7l8NpkSPHJAc4uUF9tTjSwgvuNTXRv2/EyAwO5+oaXRKhQC + AUZDocZMOrCsVColSWVV0RFCtVpNFMVMJsMPIu4FdcjBB3/hqC+c+rVVuq5jiIrlUkNj46mnnvrA + gz+PRCIEMCfww+FwOp0ulUoYIR97siQjCAWIGKEixpBR27RS0Xi9VJnXPbupqSk/NhEEgYCxpmkj + g0OUkHK+ICG834KF5XqNA1mu6yKEJEkGAHDeBE9Rf/DBBwuFwk9+fPuuXbt0XT/zK2e++ObL3/nO + d3bu3Dl79mxAGdd6AQBqtZqmaTQggiB8dtlnr/3BtRMTE5dcunr79u3rfvlLwzA2b96czjTEYjFe + KAq+wLcx3FsVTYnr6JTMjJ+rfMPbrv3CCy+cc845p512Gq8na7XaT37yk2K59OZrb9m2zTNmkslk + S0vLRRddVKlU9ttv0XXfu+6J3/++WCyGw2FJksxKRRTFjwJW024Z/13dEfh/DdI/bXGK0Z133inL + cjgUcl23WioPDg5G46kvn7IqGg37gTcwMCDLYqGQO+GEEwql0tPPPls16ul0Wg3pH+zsWTB/4aOP + PRYOhz3HUTFefdllnuddcumlhx56aG9vbxAEjuMUi8VkMqnqeqqhIZFKYVE487yzxoZH7rjvzo6O + th/8f+y9ebRteV0n9pv3vPeZ7rnzfWPVq8GGwtACYmmAEnFYIrYTamw6BG2jMijp1sRoa2eFIC2t + LIlRYrQd2pZKixqnVlGb1QoIBRRF+d6rN9353HPuGfe8f2P++N1761kMHTpAmhV+662z7rr3vDPs + /Ru+w2f46TePRkeu67suOz6eBIEHIf7F/+Md7Xb3277zlUnSrnj14q995PHHH5/P534QcM65kmEY + VrzxwuAn/6d/bk1CrWVB0zStdjvNsiiODw4PuRDGmMl0ijFuOP+Hr3rV7u5ut9tN0/T+Bx5ACI1G + IwPU8noXAKBkqXEGQHzlizbe8rM/fufW4drKvV/9dS/yvP5kIrV0EfIhdjSACGllcoZzzymNHhFa + lcXk4a/80tlijhmFBDOIhGgghoRhLWRVVT517TW3m8VsMh/sH+RptsgWFueQ5gulFOeSMRcAA++q + EJ9Ishijtb558+ZgMCjLsqoq13Wt4tlJV81opRQ6CZ1PUHZW0uGsg6SU0tBopQAABmpw2kEyQAEA + lFAQwkk6kVA8/OIv+zeP/sbh/sDz2l/xlQzASHKH4piguGkUgQAh3shjSjODOp0uedHXbiAokijm + YAIgUVov8kwDY+2xNTBSK6jgl77whbZUmaXpxUuXwigaHB09+uhvPfrobymlirqyAbLv+4PBQbfb + 3T/c+/7Xfh9z6RNPfnTz3LkgDmQjeytLWV287OVfo7WSXI6zea35N3/nt/W6S4t0rqRutZNOu3vz + zq33vP+vtFYvfuSR5z/8grW1Ncehy8tLk9l0OhuvrK11ljpWwO1jH/3ozt72N37zNxZFEUVRmqZh + FDLGDoeH3/APvuHo6AgA4DiO4zubW5vf8A++4ZWvfGW71+73+/P5/OrVJy9evDhPFxqof/aTP/7j + P/FjlquatONXv+a/DsPwzo0bX/TQQ0opqwCRJEnVCICghRmUZYkQiuPY8zzXdY+Ojqy7pVXgAODE + 7U9DYBDUEGhwoqoBgPnEbAgIrJlYq902ACCCmeukeUYd5jie1rrmTdJuneDme73v+4HvPxoN67o+ + Ggy2zp0jjDZNc+mey8fHx2VdpWl6/4MPDIfDXmvJqkoUVVnWVT2sIUTSKDdwPYd94EN/c+Wee87f + cyGOI41NXpcPfvGztFYUkTRNPc9r+Usb588JJXlVPfcFz9ve3fHj8G3/69vTPBdCMASrolZ2tiDk + EPpnf/5uC2R3XXf/8ODcuXOO537oIx9+6KGHdvf3rDyXMvq3Hn3nysqK5AIAkBX5xsbGLF0MBgNL + UGSeK7j48OOP/+Kvv4MRyjz3JY880kh17jLijUmSDq9x3eAwTDjndQ26vbCsE0zF/TxoeCl54Hme + 1OLwaGAAQIgAg6SxEmbGBpTg6ehZQ2ROXOW11vJpEQ4LdkUIEEJqcQKLRQAACCkmCCGkEDz1QLRt + fAu0sy66FoFpqxtP1yMBPAtnDULQQHNqXXKKvHpahRYjByImlGpqhTArKvEL//s7Hrz/3tXV5b29 + veW1bhjFWuooE54TEMankywIvMW83NhsjY+3heIveeTLyqrwvegP/+CP86Le3j3Is9IqSNV1XTd1 + qxUfHR7211azItfAdJd6x8fHSqmLFy9aZO/DL/wyAOD65kbcSu6578qlS5e2t7cvXrx448aNMAwN + hGVdYUoNhLUUaZ79xm/+6ytXriwtLTme+8RTV8Mw2Lrn/OrqincwoJQErs+VMAZkVaEwXNlcK6rq + eD752BNPTufzpN2KkvjGjRuIYEzJ7e07nU7H8zxMCcZ4eDxirmMlsPsry4yxuip932+32/P5HBNy + 8eJFK/5B3c+PxEBrjfGJrSch7tP+SBMUhuHq2vJd/khdIeR8MXc8h7lseXU5y7LBcGBtys6sIM40 + Y84QYv9ff8XP6XBdF2Nc1/V4PG6320mStFqtoihGo1EURZ1Op2maMytwKeVoNEqSJAgCAEBVFQiB + IAiMMbaooSA4QSpqbYxGALqQVtMMuo3jeEprBRFkhAMFgeFS1GXFEHzg0qV//87feXZntQvRqstc + n7VlUx4vYuJ0eytIZSQvwSIjnYTPZ95yL2KsHUTcwJYfQohdLyjqar2V1HXdSLFxbmuxWIi6ednL + XvbGH/qh1/3I64wxW1tbCKGDvf2vfMkj9128773vfW+r3YIEKwh6/SVCSLfTwRhLLlzKlJQME6N0 + v99f7vd5XYIoXMynRZ5isOp7Dsb+9va24BFGqBO3OOeh5zoYV0Vm1RFPQe8npdgTo20A3vSmNy0W + i8VsDiGs67rQxdvf/vbFbJ5E8eH+QdM0UkrRNL1uVwgxHo9f9KIX/czP/MwbfuQN7373uzHGTz31 + lOu6SqmVlRVCCEF4NpmeMFERVlJJYE72SWOsYySQuhE8iqK6ro0xcRwbBBElv/u7v/vyl7/8v/iS + v08Is4WVJ554otVpI4CCILD78PXr17/3e7/3xvWnqqr6iX/+k1//9V//vr/5G1sDGk3GAABKaZlm + tnNl4y4bodme5Gdkfn4hQfocDSvJHbheXdd//Z73LK+tBa63vr6OiDOZTWezSV7mjFLqsF6v91++ + +EWPPvro5rmto6PRbDFXSt13//3Xr1+PkvjpIq5WGCJMybve9a6Nrc3J8bjd7WxtbXEpZJruHewP + B0c/9S/+BSNkMZutr28cTY4ODweOw8qy4rzpdLr7+3vLyytVVQohPc/lXNS8XlpZXqRp3TTzPIui + yBbIbd8/SRJK6Ww267Taw+Gw1Wq12+3h4aAoina7naap1nprawsAoLUejUb33XffaDTCGM9ms/l8 + bhkLo9khRMZo/vof+m+73URJqSRkNAi89xMcHB7OPbfV6a3MF7nR0PXjRVoBKFshqsuj0DMYN0W5 + 8KLwXX/wBwBirYDW2vFczjlGgFLK8wZw5RLHYZ5DnHQ2HwwGg8GAEUoptTuF4zinmqrKumfazwwh + tFRji4fe3d21dRTXdRljTdMwxmwoZh0AziwsTvIrY6AGZyefLWgRwgDQxiJy4dMIEMaY0rK/svTh + j37k/T/w/vXVDYxpFEWLNDUIU+Iv5iWCrusEBJI8n1NHKb3wQ1NXU9/DSileq9949Lc0dD/0+EeU + 0QjjvCgYY4wxzbkymhAcBuFisVhbX8/KYm9vL47DJAgVF5jR2Wy2sbFhGUGEUUxJmqaLxeLchQtZ + lqVpihByPGc4Gb/9F/83e3/rouz3+0WWM8aEEKurq4eHh0KIpN2q6zoMw6OjwySOKWNZlg3Hx3lZ + XLx82fG86XQaBMHe9vbWhQtLy8sr/f7x8bElJrVarbKuwjD8wGMfbCetdrdjlJ7OZ4Hnd5d63//9 + 35/mGWPs6vVrURB2et3xdLK5ufk373//yupqkiRWaFEI0W63EUKrm5uj0ajVaoVhKKXMikIDCCGM + okgIMZ1Ou92u7R2Nx+PFYuE4DjhVmLRVLoLwWRcRnPQrAACfFLtjGSxWglZKGQTBdDqVUgZBlGUZ + 5/V0Ot3a2ppOpwcHBxsbG4vF4ty5c4yxMAzt0kiSxIpZWc0JhNB0OnUcJ47jJz7ykS969rPqulZK + TabTIAgc33v3X/75H//pvwuCABlw7tw5q6aVZZnVbDQQNk0jtWaMWZVLAEAQhbPZzA18h2CDoBLG + YtPPVK2zLOt2u1VVxXF8eHh46dKlo6OjJ598cnV19eDgYHNz89q1a+fOnTPGCCFc153NZq1Wi1Jq + I5gwDEejURzHfhC86c1v9l03ieKyLI3Rrkc4l1IiJQkmIUYO5xxAibDCzMymo6V+p9WKZ7NZXdSO + 4ziOw5Uk1OFKWpynQZBzTiC2BJW70G5aa+0xV2hh82Rrn1KWpYSGECKNtr1BY4DRWgF1cnKfRLcE + AMA5tw5dNjAtioJzbq0VbXlSaw0hAAY9/b7GGACQ1WL5u7NEQ6i0bsqaEUpdL/RcpZs/+9O/3N/f + T+fHxGFaayFBXRoNqOtElAR1pVzXdR1TN4uqmgHNe5324OAIAhonHeYGw+MJQNCPfCE4RTRpx8CA + n/6X/3Jpaem5z/37z37Oc5566qkffP3rgyD41V/9Va317u7usx541k+97S1Xr18bDAYXL1785X/1 + K1VVdXrd173udXlZdnpdpVTe1Ijg5dUVLuW7/q/fK38zdxxnc3NzUWQaAqhPPGE85lh9LWsgdunS + pUaKj33sYwjjVqsVd1t1WZdlaVtYWZb1ej1rFnR0dGQVmZumyfOcELK6urqzs9NK4sViobWeTqeO + 69qTcTAYLK0sfwbrvp+9gT5NfyTPcw8PD3u9XqvVMsYkSXKmX/yM8QxUwv9Phr2GjLEsy46Ojqzo + n90YF4uFlHJ9fd1xnFu3blmZze0btxeLRbe/tLS0dHB4mOd5v7+ytLQ0mUy01kYYKU80tLXWGEKC + KMXaAJzmRbVIAYJFVXHJHUKHg6NXvOLlVVHu37z50EMPzT56vTQaMxxEoSgFxABCuHewP6zrc190 + H+i2IQZpkbVpf7qYD4ZHmjdVUw+OxxARgJEVerHBAyHkpS955PWvf/0b3/jGv/qrv6IIQwjn8/nK + ysraxvov/+avSK2293YhhPPFgnmupanbBIkxprnAGENtbt26dfXq1fqlL7M5SbfbVUZl80XSaS/3 + loq6unju/O7u7mKxyPPcGG3xnAghu6HVdW3LXjZjHAwG165dW11dPTo6YoxZu+35dDqbze69996y + LG20M61rWzc8f/78z/3cz735zW/+/d///Y2NjaZpRuPjM+Lx5ubmbDZDCFlwh9Wp0lqd1ZWMMRAA + AiFvmm67c1YFqKqKIXZ4ePhrv/ZrP/zDP6zAiS/wpUuX8rIodLGysvLBD35wdXVVRdH29na33YEQ + PvbYY695zWvSNK2qygai7DQTBp/prtHd47OeIH0GaTyf16Npmna7vb29nSTJC7/8yw8PDxez+dLK + 8iJLMaVay/7qipGqbEqt5Ot+8A1ryyvMczUwZV2vrq7e3tkOolBoRRhtB11owHwxCz2/s9SjlDLP + XdtYT4u8rKvpYh74XhBHEMLb23cEb1ZW+k9ce/Lqzaucy7ouIcR5nlLqJEm0fbBX16WUGiHgur7n + eR/92yellBjjOI6llMroM2gvFwJjbB2Nev0lzvnxZGwrfxoCoVWr1RrPppY93+51D44GjDHrUeiF + QSMFwKDT7pRVGnjR3z51PQr9yA9Go/FSb3lwOO52+0XeKGUwpVwKz/MMJJwjjDEytWxSaGrPIZRi + CeFwvOj0l7O8agRvswQTCLQyxnier2pJMEMITSaT46NhlmVSSocyy63inGOCrUmfOQVU2Xtkiy51 + XU+n0/F4XFUVtFWQ0/TpaSzEaYKk7xrgVL/uTM7BQCiVOE2QgIbaihQZADDE2gDelE9e/ZjLvCzL + jo+PjTGe51Z1EQQexlQowxsFIaWUAiCNLiHidZ0yRnwnzOZVnPTKRkmtMSLaGAWAH0WUkjRN4yia + zWZCNK1u63h67Hnec57z7Fu3bkVRdHi4v9Je6Xbbk9l4aWnJ9R1K6Xw+xYx2ljqj46OVlZXtnR3P + 85ZavaZpdg52i6YkhHDV3Ny5JZoTcdU7+9uO4xBC9ocHGGMv8B3K9g8OhGwopXEc5WV2+87N5eXl + MA445+cuX/B9fzweD0YDKaXvuEqpuqr8KDyejJ71nGfdeupGEAc3rl0/f+liU1aPf+zxpqwQJbVU + 1KVcNqsb66PRaDAarG6uWXFhg4zQgjI6z+Za683NzZ2dnbzKhRD9fj9LC6E0OLVpb7Valkduke42 + XLZgjNNIWhuI7s6Ozn74ZNsvIsShFBHCleRNnVeldXwvqgpT6lKEMT46HvX7fea5s3TRX105nk7y + PG+kwBgLrfKqFFo5jkMQhARXvKGUYkaH4+PLD9yfFrn12opwIqU8Ho+llJ7vE0p504RJLA4PyqZG + lBS8ZowJqTDGAEBEcBhHtrSWlQVX0oVQASOFxIRAhCw9nCvp+R5X8ng6wRhjRgFvJvNZ3G4dHx8X + dbW6sZ6Vxcr6GiR4MZ0xQqWU58+ft1llVVV5UTiu6wfBYrEYDAYPXLlvODjiUsSt5PatpzrdgDGm + DGAYGyiEEMQlCCFtFPNIp9cyUH7sbx9f6vfckFGKJpOJ6/rm1HYGIWSbXUBpA08aNWfEfavibe8g + IYRSGkVRFEXcKNd151laFEWe57EXEEKM0nVdQwTBaVh2hgmxU6LX67XbbZsq27Xvuq59nr4LfHvy + 1uhpbuEZxA4CiLGBCBMCy7LJK0AIWls/X9dmvhCdTtA0jYEoDH2InSytyqpJkvZkMohCV/Kq21mq + imwxr/v988CQPC8gBN1uTwMpdOMHjjEmTVPO+a//698Iw/B3fu930zR1XXd3f39tbe0f/qN/tL6+ + LoS4ffv2ZDKJW608z9/2trf99m//tud5iyy9fuPGxtbmfD4P4ijptKuqOjoeYQBX1lYxRGVZjqYT + 7FJIiUNZlqahF5ScN3Xlum7Z1MxxsqocTcZJt2NT7qIokiixSp6D0VBr3e/3rdnXuYsXyrK04LqT + 5GFwmOe577l1XXPONzY2qrrOssyCqfSpR/Pd6FZjzKcr8/TpxhufrgjEqT8SQgie+iMhQgiERik1 + OBy6jr+xuXbmj9Rut4oik5JPJseW18p5M58X9pg4K/OD00Lbp/dpPv+HxWSFYWghVVmWWbkUS12b + Tqeu69rfz+dzy02aTqdlmVuV3aIoqqrAGFrTIVHVVVWdGVhpAJTW2HE40HVdVUoBAHhdY4SA1g/c + d++j7/w33/Wdr5xl6YXzl+t+x7jkxmy6YjQzJul3JXLHRSE6oVnpgSQYNeVfffixc1iOqyKHiqvm + +Djtd/p1zRFCVsw2juPZbPZN3/RNP/TGN77t7T+3t7+/urampNRaD4dDrfW3fvO3vvRlLz06OlLA + 9Hq9MAyJw6qqIoxaTCClVGmDEILQYEpX19buve9KXdc3bt2smroLsUEwK3LJhVDyyWtXwzC878EH + NAQQoqKuznrptmBk97e6ru1GMRgMIITPfe5zr169anfXJEmSNN3e3i6KIgoCz3G63e5wOLxy5cq7 + /s93veWtb3nnO98ZROFsMZ/P5/fdd9/29nan1VrMZkWWTSaTBx544PDwUGsdJTFCiFn6EzDArlul + DUQQwpo3XAqhZCM4QkgDDTB69Lf/7Ve8+EXnN89HSSyESPPMTonRaHTlyhUrZvjGN77xbT/zs4yx + ixcv/sVf/EVZlhaub4CRQtjUGp6yx+FdHh6fqfn5hQ7Spxqfrsj6pxha69ls9sADD+zt7W1vbyul + VldXJ/MZwszm2tYboa5Lz3c6nU7USqqqWllba5rm5p3b6+vrUqo6z22Z2XXd5eVli9Y1CE6nU8tn + 8DyPEEJdJ89z4rCiLhkm1566funSpVarNZ/Pp/NJEATMdRhj83RhtZI1aGzPejA87LR7RVE4vocx + zoo8DENCyHw+j5MEAGDdHizF2RjDGGuqWinVarVsYUwIYQnfRVEwxizYqaoqW2nmXHIp0qzCmBLm + jceptxFj5GqFGXNns2kUxZSSWpQKVMQxjZAaQdcNRJ11en6+qDWSmLnDo+HW1sVpmjuO013qpenc + aOEwohqhIcUYB0GgpLx9+/bx0dB3A9v/sSItWmsEsDmVOtHqaTdoWyCfz+f7+/s7OztJkthMwFZl + LOomiiLXdWsplFLq1OXdko40BJAi22W2r6ZOVB8wAEDDEwUIu3xtFzhJktls5nnOcDxCGAe+D7V2 + HCpkqQ3UEDg+lYILiRBCrseydO64PgKIOVFdV71+NM+OEaNKKTcKNARCSSmFAiZN0yiKJG+UUlYx + 6dad22EYjsbDMAwtaLDmja3vtlotgFEURTs7O1tbW8fjsQ1ZDg8PCWF+GCyy1BjjUCaVog4DCBoA + vMDHGDPGat5AjIVSnOcQ6OXl5es3bigI1tbW0iIfHR9b1g0AYD6fe55X5LnneZYnRl2nqipptK0i + 3759O+m0F4uF5ZgSRi25yxorHx8f2zlm89g8z/v9flmWjLG6rlutlm10zGazTqcznU455+1OX2s9 + n88dx7l8+TLG+PDw8Izja7V3rICb7S5CAD9+e/0UgYvdmrMsQwj1+/3t7W0Li7e6RggDhFCn09nZ + 2bHqTPP5nDHWbreVUpxz63ZqL46VkguCwJJZkySZTqdB4FtBbSGE53m1lF4YGGPm83m32y3Kcr5Y + 2KwvTdM4SfYHgyiKHOJUda2Ucl13Mpmsr68TSsXpiWIZUHb9Gq1t26rdbt+6devChQtJkty6dcsy + QxBCx8fH1goDAIAZDf1wNBpdvHzp+vXrrW7H930AYVVVCCFC6YULF566dTNyfeo4169f/+IvfvZg + cEvpRkoQR6FQJs9zj/iUOEVRaISZA4ui2NhcF0JILbNZHkUtAHFd14YgjHDTNDZ7RAiZu/w08SkX + 6SysNMbYon5d17USdV0rYBhjtv1rtJZKAQAYZQCecEgsHo8xZjkztm5qe8hnxy3GGCB4N8ISQojQ + qb3siVLDiY+h1fR3PVJkuZRyqdsbjUadpHU0GC71VqqqcLywLMtSc9clEAOKEUSytxQ1dekGzKL5 + k7A7Go6TpOO4YVVVGBAAZVmX1IWEEMd3oyj68Ic/vLm5+b73vc/2YHv9pdliLoS4evWq5YtadLEG + IAj8RZbu7u9Rxzl34fx4NiWMcs7tVQvD0HEcW1H2Xa8WPIr9rMiNZ4TRACOhJKIkiCM910Krbn9p + lqd7e3tLELieJ5UCEBZFIYQIw9C2oF3X3draunbtml0Ith1qRSa7/SUl5cbGxsHBgeu6YRQRQgaD + wdra2jxLwedJ/8SuBUIIANq6QdjJorWO4/jo6IiL+swfSWu1trY2GAxsrD+bzfSpq+lZT9K+7OfF + d/8sDAQh3NnZieP4nnvu8Txvb2+vKAprsGuMGQwGUspLly4dHh7eunXrwXvvD8NwOD6uqmptfTOK + ouFwmOf51tZ5AEADkRDCqBMALUCw0gohUAluKPbDUAkBtPEQ8QAa7O7/2z/4nfe//739Tut3sqLc + OZLd1i6CBaJK1LBIpV7gIGw8+sQTHx789x96fHz03ls33vxfvVLceqooik7SqmlVVLVDme29MMaK + ovA879u//du11q961au+6zu+0/O8Dz322Gtf+9rzdXg2mgAAIABJREFU588//PDDf/mevyzL0qqn + 2jNuMZ31lvvT6RQRrITE4AQhhgEECE6zxbd8+ysfeuihWnBIsAQ6bCd1XSMIuu1Ea53n+c2dO0m7 + VSn+0299q63vGGMopUYCu7Pleb67u3v/5fu3t7cBAPPp7Ku/+quLonAAs/zqhx9+eHNzE2id57kQ + ghDyEz/xEwSQf/qD//S1r32tS9z/8L7/8CM/8iNvetOb/t6VvwcB/Pmf/3kK6Ite9pK9vT1K6fr6 + +t7BPqX0BOp2CnQEStvmklVCst+XUmqAscyxX/qlX3r22569srKitf6FX/gFoSRFzu/93u9hjF/6 + 0peeO3fuFa94xdd9zdd2O93j6fgtb3kLYwxTUlWV63vamDzPO3Fit/1nqNh9pmoNX0iQPnfDdV1b + HZFCEEIODg4uX7n35q3tpN3OiyKKwrquoyjioh6Px0tLSxBC6wnd6XRsT9/qewAAoija29uzWY2d + 0DZ2tyyLsiw7nc5isfA8r6qqKEnyskza7fF0GiWJZWMjranjIKU0APM0tTgoCxWQUrY8bz6fLy0t + zedzAIDNvoAVtkfIFieSJJlMJv3ekpTS/jVNU7vyfd+3fB77nE6nYx05CaEOCxmTSjnQwFYcDw/L + rfVLs9kMGj+JfC7qssixgxh1JZfAKMpQzadx6I2OD1tJJBrJOW93uvN0waUKo7iuS84bRjHnnCFK + iZOmC6XU1atXrXWMMUoa6TjUQK2B4pKvrG3de++9FGGLmsMYW7VWu9Keeuopm+bZNXZGPUqSZGVl + xZ76WuswDDUXAAAL8WqaBmDkOG6r1bKu2AihE1cBoA0A5uN4LDY0wRhbhFIQRFprXkrX8YVWdV1T + BgGAxlgOBUSIrK6cU0pVRQWMF0bLlESuW0Tt2IqV+76PKeF17Xle6HoAgE6/v7+/HwRBp9ORoyHG + uNvtFlluuZUrKyt1XdsrkCRJ0zRxHPu+Tyg1xmRZtry83Ag+m83Onz+fZVlVlFEQzmezyI1s5cZG + pX7kG/sJIUTKpGl6/vx5qZUxJgxD5jh5nq+urkoprZjp+vr6eDzWWvu+DzAyxqyvr0spsyy7fPny + wcGBRcTVdW0RYrZwvr6+bv/70tLSbDZrmqbf79srwzlfWVlRSq2vr1tKjOWkWu1BywgPgkAIMZvN + 7Mlkge822tZaW9CLxUnau3NXLeoELaC1tp4PGCPGSJ7nvaVOkVdZll28ePng4KAoCgs08jwvjmNK + 6eFgf3V11baAwGk2pU/sIE/Q4TacRQjZaH42m1nK8u7u7oMPPnhn504YhnmaWfMx27+dzWZ+FNaC + F3UVJnHZ1IQQ23SyEhHdbtem9EopywD2fF9rbWsEnHN7iAohKCFSyk6nM5vNut2u9YNeXV3VWlvj + L9/37fy3pZmqqoIgWCwWdnoTQqIoGo/HcRzXdW1RrHZF2FldVQ1CIIzaBiitdRQ7XNZ102jDPS85 + Hg8RAkZ7DnONgQuek4QJKU/YPhBijOWprpeUUhoEtLZ6kjYrhgZRRM+W6srKyq1bt2QjgyBwKNnd + 3b333nsppWVRxHE8nU6xItoYe9NtbmwXuAX22GQ1TdPj4+MHHnigqiqXEqlVWZaEEIyxRogi2khx + 91p+utMIAMEAImWADEO/qoqiKCI/6PdXyiIzBgNDCHa5kk0jtNauRxq+0EY5jDVNxRxMMM3zHCHM + GJvN0yRJGs0RJvajuq5b5pWUKkziWbpwAx8A0EgBISQO41IEUdgIDiCQWtmtqRGiEYIwpoGxrikA + AG2MDVaU1pPJJIkihFBRlq1WKy0Kz/NsIm0XiDE6z3OhlZWDt7pVNgE7waZKaUtglqh5dlhQSi3b + 3v7Q6/WOjo66SZLnebfbtS3cqqqiKLJGn2d8MX269NCpJMZ/TgNiRAA86W5R6oCTj3jSjWSMLeYZ + JU6StAkhw+FR0zS93tL6+uZJ4IuxLS/e/aIf37V+5rueMeBPhz7VB//sft3P8rABtDHmzp07169f + v3Tp0oULFw4ODobDYbfb7ff7lp4Ux3G32+Wc39m+vbq6euXKldu3b19/6urly5c3NzcHg8H29u31 + 9XXGWKvVmk2mWhttJMRIIpAWqRv4Sac1nc+wNO0gKNKF6wZf9chXPutLnmMorJqKaB0p5DU84do5 + u6oQC4QaDGuEa4y/wqH/pNtevXDh9s07/SARFa/SfHV5zYJytdZ22ruu++pXv/pujiIEwPO8PM// + 6I/+6I//8I8AAAYCWx80p77ediNqx4nVE2qa5vz58/uHB9/8ym81Skkpv+SFz3/fhz4AIXzN9/1j + u1jswQEA4JyHneTf/cWfPf/hL334pS+Zz+e9Xu/JJ5/cPLdlDzvHcXZ2dp7/8PPtmgX6RMzguS94 + LnOdzfX1b/uWb7EVIvtqcRy/+tWvPjw8tJbZ1HFsyPddr3rVM5g9gedpAI6Pj112AlY/+dbK0kcB + wRgAEEXRu971LqO0lHIymz7vS59vmQsfeOyDz//SF9iz79u/8zsYYzZIsi5hR0dHr3jFKxihTdNY + F/Usy5jrLC0t7ezvdbrddrudL9KTbPD0rlmw3xc4SJ+LAc1nTDbUBpRZllVV1e10hBDdbnd/fz+O + Y8dx5gtZFIWU0nGo7/sAgKqqfN93HCdNU9f1sywLwygMw8lkYvdZ25OxofxkMrFxjA12LbDEnLLS + AQBlWZ4tY0tBtqGtDQ46nQ4hZHl5mXPe1E0cx/kizfPcQshsIVlrDREiGFtVrjPGjlWItq9pG7vg + lNRx1vdsmiaKIuvpdnR0ZLvJhBBRizhupWlWFKXv+3XdOC513ZbSjTTCAM0Yy+tCyAZHIcbYaOi5 + AZeKc04cnwAoBBdCdNptLVVd5hhiUTetKIYATCYTpVQUBECbqqqQAcYY3/fX1tZWVlasB+4ZtiqK + Is/zhsPh0dFRmqb269i/1nUNAAjDMAxDy0Gyl7Sua1k3NgwFAFBKqeswz1GngC5bxoAQQoA10Bhg + bTSAGhpk4ElE3u12gTbz+ZwR4jsuAFgjoiRA2PM9RxvRNBxiHARRv99njOXpHAJ5bmsjjtrzWSWE + 2Iw2IYGrq6vK6LKpwzCsqhIZgBEKgmBwsP/ggw8yxixyN47jMs1klyulrly50giutX7wwQerqgIA + EIelaWrTvyiO7cw80VKbL+Iw2lzfwAjBCxeklE1ZKWAIRIhaxJQxWgMAHESMUhjjRgiAoDTa932p + lE1BkyRZWlra3dl53vOeNz0eJ0liK8c2T/A8z5qmc85tRmEVouzRaBuntsN+8eJFG6LZmwgAsJu7 + dfm00iBxHE/GMy6VdZK12+t8Prc+D/DvopbPAFQYftKNNQzDo6Ph+vp6VZWc1xjj0Wi03F91Xffa + tWsXLlwYjyc22Ts4OECQQAjX1tasFkWe55buYukcZVlaWPm1a9fOnz9fVZVNWpqmsYnQfD6/cuXK + /v5+p9XWwIRhaGlOURRZyKiNJrut9mw8ycoFdN3A8+qixAAu95aEEHVR1gB4nkcps+0XrXWeZY7r + EkJ837ddOHCaqDuO4/v+fD4/k2Ecj8f9ft9qlFkJ7NX+shKSc25lW/OqBADYOSOEiMLw4OCg22rz + utFS3nPPPdeufuzCxY2yzMuq4byAmDLGCIUQ6n6STBfT5V43z0shTLooHOpEfjvLMgghREhLpZG2 + TF+EkJHKfuWaVwCARgqbKjcVxwATjG3XjgtxlqdhSoGjpZRZk1VlyQhFCHmeJ6TknNuihp0MCCGr + F1KWZbvdtptD0zR2E2OM+Rjac1dJiByMMdZafcJJopRSdU0IyItFt91bWe3JhnNRG6N93+e8IRQj + xBRQnTgpqhRAAaEUkhstMPGUKFtJjzGhteh24vkiRQwBmyhyVeqSEHbWMTt7PEm8P84k3kAADTjF + 957+8vQ8sgouSZIgA5RSvG6klK1ua5FnRipKKSQEIgQhcimri9K+i+1/pmlqS7ZNXSdJUpZl0zRZ + lsVxjDH+6Ec/aiVMbXfOmsgtFoulTnc6GVuswebm5iJNi6LodDp5nmNGn16S8G5Zqs+PBOAknQPA + Hhk2/WOMdbu9sizG47HNHu2lsJfu03r9u2/3GQoRQmgT3c/fYXu5SZJYy6yrV6+eO3fu0qVLTz31 + lGVXXrhw4fDw8Nq1a5ubm+fPn9/f3hkOh2XdXLp06fBocP369c2NcxcvXtzZ2RkMBuc3zhljRMMt + WUWIBjmkF/cF0MPjkeu6SOvrf3v1J//HH3M1zIpU+awiat7kDiWhIaxRHsfYAI2gxEBBoCFQCElg + lDII46Ku/Dj6yEcfr6qy1Unm87lVv7T4XltcBqeiTeCuBOnpn83TjqWnok0AAHBWBkIIEYyFEAcH + B3/xnn//+OOPG3XSJEcA3n1sWfcne16fP39+MZtlRSGEJIzeuHFjaWlJa31WrT5zNYAQIvC0YO90 + Op1Opz/1Uz91eHho9bfSNF1dXbUqR/Z72bewh4L5uPl2dwXj481YRcMBONH5NUrb0gBCCGDr32Bs + INo0DZcCIYQIsZU7rbXUuiiKBmFjjI1VHMdxPc+W/m2zzsai+NQf9jMOUv2sJ0ifA6O3z974DBKo + rL6nlT3QSsVxbKSqBQcAKKUYY+12q67rLEsZJ/ZcsYIkNqy39XVL7AYA1HVtk5wwDOfzua2O200T + narZep43n88YJsSlnucxQrSUSimC0Hw6tTk3QogRIqUcj0aWLF6JUmAMIbQZVytOEIDz+dwKPSEI + pZShH3DdSC5816tk4VIGDDg+HrdaLcYYcVxoADKgrhvZcF7Vom5wq1VmeavbCiNa1UVVNWEQE4R8 + F83GMz9wHAqzrAQGaaiMkZ7vKAAFl57jGwUQoEaTLK09B3EpMCVhGOqyMBAYbpqmKbJ8pbdUzDMt + VLvdkkKXZek6DiGkqWqttYZaa93pdLa2tiyf0iJ0rQqFZfDfvn3bZnHWt84mSACAVqu1ubm5tLTE + GFPAYIyh40AIiXsiYWfLIW7gIwfndWV3AbuXnaRJ4O+SCDWsm0Y0klJHSyUbGfihaAQh2GEB5xwo + AKBRCkCDQzeMwxYyOF8UolFCyDvj202tWkkPE1irapZOEcGe79udxabWWikEYOB6vOJSylm6kFL2 + ep3FZLq1vnE4PLLowaZpjg6HNW8sod91XcKYlLLIK865tc4UQk2Ox0mSiEbu7exQSuM4VkIqoxGA + mBIAgFAK2B2KS/vFicP8MJjO5xBCy/5qt9vDwejqk9eSKBoeDrVUyuik3Tan+oGU0g/8zWPr6+uz + 2Wx8PLW9SqXU8Whiw9MoiqZiaoxJZykhxLY7GGP2Itd1HcdxnueL6cK2PqjjOJ5vcw8rvGFv63/C + +jXG2D6+FQr3PMf3faXFfDp1XXd9ZXX3zvbq6ppS6nBvf2N9/fbtbZexrKgYY+lsHoZh0zRAaYaJ + bDjUpttqj4ejL7r/gcFg4Pu+Fzr2W4yHo3a7jQzI5gsrtGAbSra93Ov1RoMjlzIjlUGmyPMsTa0q + ZlWWnutWWa6UUsb0Ol0bkDVVjQGkCHeWO5PRsZLS930IQFWWSimoDWMsDkKEEMNkY3XNhneO40Bt + XMqasoqDMAzD2Ww2nU4JwkmSzAbz9fV1oZUxBiNkKz5aa99x0/kiiaKqKPd2dh3mLeYlpTbYJgAj + YxQAWmkxGo21gZwxoCElHg0ilwR1UxpcKiCZ4zVSKKPBGR7VQILI6eo5vSkIzmYzLbTnumEYdrvd + umnCMORGCSGk0QgAi6X0PY8RWhTFYrGwSZfF153VHa1ljaUL13UdBIHN2I2STdPkdWnbKZhRjUwj + hRVp+PhhQ5YgCPLsKE+nhJAyrwghm2vrh8Mjz/NqXtQV9yN/vjgWsqJMI2wQgJRBxwGFqIRKy7Ko + St7pLjMXcim0MN1ut2ma6Wzs+c/k98O7/QY+7kM9Y66f7UE2RXcY85hzdHQUeP5St9sIMRtP/DCI + W526rsssD4IAY5Slqee6oqqBVFgDLVVVljaTxxDLhkd+oIWkCCsuuv02u3hpeDjwfZ84zAJsXMqy + LGOY2GpFnudZltl+neu68/ncclnvbqT8p8U6n6N448T93b6ZvcY2UwUAINsZm8/ncRy7nnu6/wgp + pRASY4zQSZPNjv/nydIZJA+eqt59xiPCz/HwPJdzPhqNIITLy8sWk6mUssa7Zxykoiim0ykhxPbD + p9NJGAa9Xi/LsulszBzS6/W2t7cnk+MoipIksdmphkBqVRaZQqCRvN9eLsaz4ehotndIuDJQq9BJ + IS+QYh5jCtDChNwlhnKsGwIE1hIYu6YYggSiPFskSTKZTYnrGEyCKGaEAqXVaTfPVuIAAFpIcLrc + TlwQ/+4Xf0bBAgCAT0ycAKHUD4KiKJ66cQPclRI/Y27bEFFKCQ3Iy8J6NnIubM230+mMpxNbAbFp + GzgzR7KpGoQQwm67o5Qaj46bqg7D0GZNNgpijEGMlVJAWV4rEEIArc9k+O9Ohz5ZmnSiRwKhgRAg + iAg2SiOEEMHGGHzyf40xRislhEC2xwWA4zhAaymlgdruElpriDGXYjabrW1uTKbTPM8jzwen9c2z + 8sFnqn0EvtBB+pwNz/Pqup4u0o2NjSLPy7Lc2965fOXe+SIXSplTN2ilVF1L27SxJUyllLVMqevG + GMM5t3xEi3625L/Lly9brFTTNLa9aFs0vuMlcZRli7qsyrwwSouGa617ne7ZByOE5GnmUFZkOQgC + SilvGhsz1XVtewsYIocyDYzkgmJi37eqqjgIJaWWpHv58uU8zxeLRavVKssyiiL74jYntPBcJRqD + hOdiI/XkeAA0NFGLUKRVU0nBmJWOBFIrKbSBFCKoJIDAW8waoFgrToIgEIILo/b29nr9flGVUjTd + ldX58QQoE/oRBcTzPGggY4xhUte14NzzvFYUU0rPnTtn1brs1baVFcs7Ojg4sBZv9uJbS2mEUBzH + y8vL3W73RBlGcAAANhpjzBC2kTel1PM8hDEXwkaK9tqapxfuyVZpC0gQ6larpaUBAHBhGKX97tJi + mqZZGUYBhFgIWVcCINxqd1pJC0Izmy7Gx8cYw9DzGWNAN7zJsnGmkO71e40UDecAACllr9u11Zp8 + keZS5Xk+Gg7vu//+pmnyRR4H4cHBga2aZ1lmJTURgFsbm2VdGWPS+ZwxBhg7E/0UQgRBcOfWrVac + rC6vVHnBi+pUicmomttA2c46hzE7H4DSZV44lFZVJTBGCA2HwziOGSFBEGSL1A0jKWWRZa7vp2m6 + tLR0cHCwvLx8eHho+yQ2fvI8z85/26Y4Hg6jKLIxh+/7q6ur169f73a7VifDHgBWJuT4+Ljf7xdF + JbWyJ4QVMASnZUt7j85qsdD66nxytbqiKCw0iFKCMZ5Opxuba8PDoed5i8VibW2trpsgCOxB1W63 + jTGu69rM80xP3LLg1tbWsizTWqdp2mq1dnd3rUyQ/fzGmF6vd3Bw0Ov1KKVNU1vMVdPwg909IeTm + xvrhwWB9dcV3PAxgwDzDpdEAQiQExxC2olhJVaaZlWgLPK/m/GjvwHVdSrCRap5nFosIlAYAUEqt + LWMYhjZPdhxnZWVlOBzaXpyNVCilDmV5nluxu4qf9LuEEIwx2XCHOT5zEIAC4aaszl+8tLd/CD3K + hVLaaKAMkI5DMfa7ndhoOJkseq2lLG0IgpDKLMt7S8EsPYYQG60BhhBCbIA2BhqAMFJS2YqG67oa + mMcee2y9vwYhlFJa+F+W57PZDLvMGIMNZZiMRqOpgQTjKAjruqYOk0pBCG2j6Swz11ovFou6rpeW + lvr9PkLIwlbLLAUIcvA0zA9ASClVSn6CSQKAErrmPPD95d4SALoqy+WVbjqbD0cDlzrZPPPDqLPS + X2QpgShIQgCFUhwaUxVlOZ9RQkTDoyjY2Ni4eeN2r9+XUs4XKSbQGOMwT9/l+3H34ycLlD8+DrMj + SRKrJjwdT1zmQGMQgN2kVdc1z8tFLX3XwQZCpZFBSBkKMNBKcVlXlRZqpb8spSrKQgtpN/nFYhFF + UavVunnzpu3JI4QGg8Hq6qo93Wx3Nwx8iymaTqdBGNpj0W5HT9eAzyTdP3+i/7NWnr0anPOyLKWU + a2tr3W4XI6qN1ApgAu3PCBIANTAIIgMMsj9/ikcIsAHKaGiAggD/R5//+fLIeWOX3v7+/sbGxuXL + l2/fvr29vf2sZz3LKoDv7Oysra3de++9e3t7d+7cuefCxV6vhym9ffv2ytralStX9vb2bt26deni + PefOnTvc3RNCtOK2lQ2E2lBMlNbWZa5uGmF0JZRWZjkKy6bOBefEQIcpgwvOfcIqgaABAiAOtDBI + YNu60dYgwTpGxGFUNfViPOVSxO2g0Y3g3KJmng7N7To9mRzPnC36LnK7OXm6tlGHjShs1RWcyskY + Y4Ax6u+amGujjVYaGGiMUNKqIAAALBE9z/OTUqnWRVEEQWCr7VpraJ7OyS2Jw2LsbV/dQqktNL0p + Sxsp2VoS55wR8mklSAjhs+anLeXAu5xUThY4gmfFffvLM7StbV4ppaBNorTWRjuOI6X0fZ9SKqra + 3DU+zVX7Hx9fSJA+R8Mabmxtbe3v73fabYTQvffeS6wEh5RxEGbzBQCgnSQQGluW0ELaZtDG6tre + 3l47ToQQcRBOjsetVosypyzL8XD05S/8sps3bxKIoDYYQIc5cRwvFouD3b3A8yd17VAWxSEFxEFM + A5XmaeSGNr86gYwXzbOf/ezd3V2kkTb6/Pnz165dC7V2XXc2nzuOgwFMwqioqyLNPM/jVW2VK4Ex + EIAiz+u6Hg2HlFJGKW8awXlVlgjCuqoE55mFnEFYl1WYuAgBiBEFxHH8qqg9J9BSCaEoccq6cZhH + WZCXpTQAQmgg8LyYGBi3iRL82tVt1yXtXrzU7U3nk16vlwFdZAuXuRgQCEArakEDDDCe5wGpqqoi + CDuOM13MgyAYDoeDwSBNUyu/Zqn5thNdlqXlUViPc8/zbLfB7hT7+/tSSq211RD1KDHGOJjY0z3P + c0pp2dR5U/BT7M3JkQmsrjAA4PTgNwAAlKcF1ND3vMgLeCV27+whgD0/AEoiBBzHo8QlhCRh26VO + VWfz6cIhlDGSpXOKcasdpulcyuLylfv2DgdCSqurIYTQUuV5XuVFr9u1ecU9Fy/VVbW3t7e1tcUb + Dg1CAMtGyka6LXdvbw9RwphbllWr1apRQxCVjTTSuK7rUbeqqrWVdYJo5AeiEaOj483NzSzLzlTO + EYSEUICgliqtcsGVlLLVaT957erle+7xPWyDbMUVrzhvmsHB0b2XLu/u7hJConYLaBB6YVM2SZhk + 86yTdLIsS8IEWKkPzJzY4ZzXqk5naafVteIKtmW0mKWMOL4bVFXlu1Q0cj5d9Pt9Rlmvs1RXnCuJ + KbECG2eh5Kcu2SJz+g8ABAAywBhAENIQYQAZJg5lomkwhNPj8YVz56WUXtefTCbAwCLLPddVQlLq + LBaL9fVVLVUrae3s7ARB4AahEpIxNptMbRGhyHLXdVeXV8q8MMbEcRz6wWQyAdqsrayOp5Pech8h + VKSF73qMuUCrr/mar3vhC543n6WUoE6rO5tNKKJCNGEYG6OkELbjlOc5ZYwQMpnPHMdZ39z4b777 + NbYJppWK/MCC4KEBRVEsLy93252qqoqiANowSrNF2mm1lZBL3Z6lngeeXxRF0m5dv379BS94wfXr + 1632RjqbB0FQpBnFpKiz/+V/fhODWErpe17DdVFBQl142rSxSAspZdNUjuN4nkcQHh4d9Xq9Iq+C + kP7Kr/58cXWulNDAIECMUcacnJ0IoVrUSatdVQ0lDufyT/7kT77seS+UjeRNY/u9zHGM1Y4vS4Og + jdGrNEcQusxpmgZTIpWyMhUWwQ8AoJQOh8Moitrt9mw2s38aj8cY4zJLCaNhp2Uhyo1RlaylFIR8 + AqVmAADGzHeJ4kprNZ9NIj/40R/50WyRUuIFYVLXUipsDIYI+364WCyMMVqLKPSZg7RsQt+ZjEdG + SQA0cdjG5rkPPPbBX/5Xv1pUtVLKDwIum7u3F2OMZeygTz6l744aLOgOAJBnmdaaA4Qh/Lmffdvk + eJwv0n6/74WBlLKqmiQMyrJGCFDMFums1e5KyYM4ORjsS2WW15b/9N1//p73/KU1CD48PLSN3/3d + vS9+6Dlpmk4mE2hA6AdlXtx///1VVc1mM6XUZDrWWjdN81Vf9VV//d73Qgitw+anPDz/cx139ZGM + gQhhKbkxhlIHAMS5rKpmd3svjHxKHKk4MIhQZDRseIURBVAbDZ+ZNkAMgAYAPeNRa2CMsgARjCmE + RmugtdQafMLnf748Oi71PHd1dRUhtLe3ZzmTjLHBYGB7R1LK4XDoOI7VsxkOh0mS9Ho9zvloNPR9 + r9frSSmHo8HFixd7vV5ZlnmeQmi0lgiaZrHAjAIpiiKrBf/KF7/k4tIqqWSgkdBKuow7mDMkhDCN + aDkRqAwwWCMgkMXXWUE2jbRwCW6yghFCMSYIS6nyusI+A6cti5NQ/q4+0icE131CXJI9nmztxlZm + T1xQoYan2gNA320+Aa2ZNQDASLWxsTGdTsuy1lJbcSwppQbGUqYnk4kt+9quo4XY2VTEwomllLZG + hjFuddp5npu76oZnCQw0QEv5DCPnT50mnXCxbGZ0N0bUAGOMFUk+UXQAAAAg7yoA2VzTPlMoiRBS + xvhhMJlMfv+P/rAoS9/3Yz8A4EQT4uwunLF8/9+PLyRIn6Nhg1fXdd/0pjct9/tN0/zY//CjUkoM + kQL6kUceOTw8eOKJJygldV1adAelNE3TS5cufcd3fMc73vGOxSKNougHfuAH3vrWt57V/vv9/hve + 8Ibv+Z7viaLIVtwtzcmCd//JG/+7jZWVMi86rVZ/ZWVwcEAY43VdNQ0jRGpNECKMLWaz7tLSdDxm + rhtE4V+/772//uu/Pl3MZ7NZu922MNaiKMo3miGDAAAgAElEQVSyBACsrq4OBgMLz7BFBVtF3tra + unnzJmPManmdrcZLly7t7u5GUeQ4zmh0VGRl01StqP3d3/2Pv/Hl32wMyNOi0+4tFlkYJA3XWkPH + DRl1NAB1rQ3UjOJ8tsAI9jqxVJUb0r2D/5u99w6TrKrzh885N6fKVV3dPZ17MjPAEIY4gTDAMIiw + BAFJkhSW5IArGJCggoRVEeQnoCAowrokGYLOOqQhzsDk1D2dc+Wqm+895/z+ON3N6Irv4qvvu/s8 + e/64T09PVXVV3RO+4RN6GpvrDzx0Ya1WUUSlXCxF9GjghbIgU0oJZiUHwLSZpwszYRjmcjmGy2IF + FZYlMggsIYQV7FmLmXHQGaGLfaWAtX05RAjRJNE0TYnjbdtmShgcD23btj0b8Nw0+OFPCxuTuyXb + RkRO5HjOrNQOO+Twb3/zlpgR0zTN8cJixaSQFwVdElXGxMXED7HtOVVdk6PRKA7d/MRIMhULQjsT + z1QD/4ILL+7q2ctDhIMw9AMb2pqsSByfiMY2b9588MEHV8sVu2Y21GVpiCEFyWSSqR34rifLMsMk + jI2NUQQ5jqtUKolEQlUUSqllmrIg3nvnD+bMmVOpVOrSGaaoJvI82zoppZhSgeMgxzEE9iROLJ/P + NtSfcurnKmaN4zgWCkuS5LmuR+mBC/fv6+s76MBFo+NjY7kJWVFkWR4eHm5paWFz3jRNMIVqY6GV + oigLFy7cunUrz/NDQ0Osy8EAeJIk6brOeK7My1wUxZ6ens7OzsrYWDQRBwiyo4vBUKerU/sWnKZv + k/ApHCRWIGTo55GR4dlzZp5++umPPvpwpVLxPE9RNMMw5syeO2/evFdeeWVkZETTgKqqvb29N910 + 06OPPmoYBlubYIpe6Pv+ggULFi5c+OSTTy5YsGDJkiVr166tq6t7+eWXGWjwhBNOyGQyASaO573z + 9tv9/f21ShUhlIjGerp633///fpsNnA9ikmxWCRhyFglHASAUtZOjMSibuC7rgsRuuP736OYpJMp + 07a8IOA50XNc1m2ORqOXX355f3//q6++ygQYfN+/4IILVl+/GgDw+puvf+tb3+J5fu3atRInle1K + Sk3tHd17ww03DAwP5fN5TVEURZEEMRaJNGTrP7fiFB7AHz/ww3feeacu21axoG0DSVIg4AlGCAkE + A4gopZhDNFMX/+ij9U2NmWxdYmCw50uXXTCrs6NvsMdx3ZASBGGAMYBoerKxe8S6cEEQFPNFzwtY + E4zBkqdV+8MwDCkRFNVxnDAMdU1jq5LhT5iGmCzLAADWqI/H4wzUriiKYRgse+R5PpVKWY7NsHmu + 6wKRR0xg6i/PEhQEAYcE23IjhpaMJRFCqUT6ycd/A6g4PlqmRFSUOM9pfghsy0OcFI3Gfd/HoU2J + TYgdBmYmrckKNzI68P0775g7Z1apXLDNGgGUYhp4DhOQ/LPZ+1cS/j/LjqYH6/gZqsZBdMhBB9/1 + ve/blmXbNoK8pmnDg4NsinIcZ2h6qVKOxWKQ49J1GR+Hff39M2fPEjjeqtm2bTqCIMuybdvpdHpo + aOjpXz+94qQVzNuXdVwvvPDCF154YdeuXbFYjDUABUG46qqrypUKE3Sdbh+BT7yA//50gn/oYGVv + Ft0yah8josiihEPquSaYZFqSSUFIjuz73E9eCP2FzuT0wyAkCCFKw6kaPKv1sC3rf+IV1Go1QjBC + KBaL+b4/NDTU3Nzc1NS0detWhkLMZrMcx/X29jY0NDQ3N/fv7anVagHG9fX1giT29PRks/UtLS39 + /f29vb3ZZJ1hGI5ls9Z3LBLtbJxRta1qEBiqJvP6zPlz92vp4D2MbF/geKLKHqIBByml1PFVJGEA + AQCUQLZYKEtuEEUIiAIfODYNsSZpEsdjN8CUuBzZ1x11WjnjLyZIAAAK/3KCxBRigjDUNM11XdaK + tG1b1WSWGk1Pkmm4nRv4Ei+wQKWhoaFQKLiui8AkxJepEDN+FDuaP8FK0E/OOzrl1jANO0I857qu + rKpsq4QQYjoJzeAg4qbX59T46wkS/KQoDLh9k65JJZZP9q6pgjJgYQ8hhEdIEARIQRiGAEGO42qW + JSlyuVz+eMvmaq2GEAocl3Ej/+w0/wtf8d80/uEJ0v8MiuWnDEQB/jt9AIYxuPfee1966aV//+1v + Fy9evP7N9R2zOhKpOoDB2Wee1dvX07Vnj+NYge/7vi83SghAXdXO/Kcz4FT75Zhly89Y9U/r33p7 + 3bp1gecjAE84fgUJsaaojJiYTCbj0RgjbNQqVYrxzx/5eWEiVy6VWlpbBwcGAISyJPlBwCGECeEQ + gghpqtrb19fZ0RFi3D8y+MMf/+iXv/wlJDQeiXqeJwhCGIaW42CMFyxYsGrVqnfeeeeDDz5AFBBC + NFk5/phjDzrooIvOP3+//fcnhAz09m3ZtEmEIgHECz2DN1acuqK/v5/hKCTRSETSOKCHHHhkOla/ + 4aNNX7/xX3QtQhHn2L4kR3IT5UKhpqlxCnmAIME+BUFDJh0xVLNaHBzqTtfHcsXhNa8+Ryk1dL1W + riCEli1Z6lR8DvKaIlk1c3BgwHVdTVZUVRU4xHEcx0GOg37gUoAVRZnmL7a2trJ6AxPJyOVyDErH + qOrTtQ1VnZS0JhBQSg1F9n1fV1Qm0MwQOwxcx0F+ulkBpjaIT5wBAEAUAQA4xCGE4rFkMpne+MHG + b33j26lUKlPXAATN8xHBEs8pYQAqlZLvVXiByhJMZ2K5iQldlSZyQ6IAFiyc9cCD9xuCLktCVNcs + 1+F4FDU0CDhZlsumlRufOHjRwWueXfPFC8+bmMg1NDQWCoWP3v3I8kxVUgGADz/28H333RePx9es + eVkXdQzweH786quv/mjTJk/1DcOQRdmzbIET7rjtjoGBAd9xmQTC6MgI29DZLksJgQhpmsZwNZKq + 9Pb2/uuPflit1gCEBFOBE8rFsuu6iVgMYJobz0WN6Hdv/24yndq0ZfO/3HTTxNjEogMWaZp2ww03 + rF69WpVVlsIZUUMUxWq52tHWcf55599///21SuWwQxafddZZxxxzTK1W+/DDDx966KELz79wyZIl + rutGo9G0nr7/kfuffvppQAAJCULIDz/BPcKp8VnXL+u9sI5/U1PTypUrL/nSJb/+9ZO26WTTmWKx + fMetty1btvyJJ5544bnnjjjiCDb3OEi/dP5F773zzu7duzHGZrWaTqfT6fSbb77Z2dl5/bXX3n33 + 3alE4sLzzx8ZGTn6yCMPO+wwTVFeeuklWRSPO+aYzVu3TkxMEIAARYGPeV6M6kYsEt+9e3dDtvG0 + U08d7B/IjY2/PrDO8zzLskgYQIo1Ra2ateXLl8+ZP6+5pUUQhHvuuzd0PQnxoR+UCkVJkXVRrFUq + kiQdeOCBBx100BfOPOvZ5559cmJClmWB4+bMmfON62+68qtXjo+PDw0N2aZZX19/w1e/unP37gX7 + L/z617/+5FO/3tW1JwxDwzB0Rc3lchQT33XndM4qVvLZaHrn9h1NDY3XXLu65qjvvLuta8/gpo92 + 7d7ZF/gIh5wsyxFDaW3NtM1oOeWElksuO2XDh2/+n4c3fbzhLUoD17ZCHGIIIDep9QchJJgACAVB + cmxPFOQwJAIvHXbEEaqqIgrCIGDED90w2tvbj1y2RNd123NJEG7btm3X1u04DBGAmqYBBD3fZ1EF + ZOIHYQghlGW5ra3t5JNPFkURAGDb9vr163t6eqxaVZSlaNRg7gVhGFJEIfpU/weJlziO88LAshxI + KKSYBGjDe9vOPuui7976zxNj1ocf7BjsL777/qahgXHHdgzdCMOQQ+DgQw867fTjxsb2rFq1ZHS0 + 69vfuVFVDAT5VCqlGzKm1LIcjgdegOnUxjJZZ/2rEDvwl5jTYKqySwjxfW9kcGjdH/942y3fMas1 + UZSHBoY3vvuhYRgMR6rrOiEkdMODDz1kzn7zW9vb3njrra3btx2y+ND6TN3IaDAVA9Hx0dFX1qyR + gFAtl9PJZKlUyk9MNDU1Ldxvvx//8IdRw6hVKqm6tOM4nZ2dmqadfvrp99xzD2PYuoG/76r8m0Oc + z7qw/6Y/g/4kFKSTYR+Z0qhkKRCbXYSAMAwm5UwpZKU6WZbpn9yVfcK7v3i3JlcBM6LArjutLf73 + pFv8/zGIIPJhGA4PD6fT6Ww2ixAqlUoAgHQ6zZxwWJHCcZxCoSAIQjqdtCwrXywx+yzLskqloiSJ + qVRqYGCATVdGIIQQyrwQlVTfNMfKZWgoJct54jdPRkXFK5pxJOGAhDxyKSYQ8YjjnAASiiVAAOYI + gphyFEAIMQQEAYt4QIAIIUiojERqh9j2VFWFquiEPttDuCnLREIIv69Iwz4dpE9LkCbB4b7PDKAo + paIiV0vliKERQlgN6E/iCgCCMBR4HgDAytPFYtE0TQQFJqwFIbQcmwGJmfrCJERtikQ0nahwHAc5 + RCnVNA1jXLNMQogoy+zQhBCyfRgAgADcl4PExqclSH/yv1O6eRBCDkI4ZfA6+Zip1AhMxUvTCRLD + /xNCWJXTchxBEkVRLNeqgigy0Qg6pcn5SXvqf32Q/keMfWcSLwjYDy644AJAKc/ze/fuPeO00+fN + m1euWo7jzJ8933asXC6nKFIsFmO9Ts/z5syZs3Llys9//vR8Pp9Kpo899tieoZ6mpqZEIsEEvg4+ + +OCHHnqI5/lYLMZEjcvlMkOLSZLUWN8w0Nv3L6tv8DyvUqls2LBhYGCI6eCxilcQBKLIn3LSyvz8 + /GWXXbara8+PH/wJIHR0dJRlERDCWq3GZO54nl+6dOlFZ1/Ecdy7777rOA4rkh1++OFvvv7GoYcf + PtDXL6tKZ2fncccdZ5qmruvLli379re+U6yUFV0jhGiaZtYsFNL8RD6TyYQkFDnE89xVV1556KHL + fvbQr7q7J6zi2HB11KyIXtXnDRUQj1InradimczZp52zZNlBuWLvVddfFo3omqr4jsvzYjyZWrb0 + mFS0DlIQeE5fT2+1Wh0dGUECr4iSpsjM052DkEVFiqxVKhXHcZqamg4//HCe51taWlzXfe+999as + WcNAtw0NDax/zc626WJzQDAAIKIqlNK6ZCoIgkqlMjA0xKo+DIU8HYVTCCD8ExoApGDSqB5g17Tr + UllIge8GS49acuutt9fM8GvfvNOxhMI4tGsoDIFVE8IACRJOZ5RlRy0d0facdtoJhx92wKuvPP+H + tWsUJPsAx+Px7r17TdNMZdKVSiUMCEMwJ2LxR372MADUNM3G+oZdO3Y2NTWFILj44ot7uroJBIIg + pFKpI488cnR45J/OPIMFLjt27cpms0wCgWIsCWKtXPFs5847vquI0rPPPmtWLQ5DHnF00iWGMhZW + W1tb56yZc+bPMwzjtttv11VN5AU/DBzXjcSiXuDzooB43jAMQulTTz11zw/ufu0PvxckMSSEqTPf + ddddi+Yvamlp2bZtG8taGfwmlUrNmjWro6Mjl8tRjG+77bYf/ehHt956ayKRWLhwYVdX19133333 + 3XcjhBYvXnzxxRc/+eSTjFqWSqVqlSrgEM/zkiSylhTFZN/oCyII6BQGAALyKRAISqkgCKoKAQAX + XHDBihOOwwQXcvl0Ij0xMZFKpE88/sRVp6yqmjVVVi655JJfP/FUPp8/79wvFCvF88459+prr4no + Rjqddiy7q9x18KKDli5ftnP7jt7eXseyFUV58pdP5Ar5Nb976bFfPv7aK6+WSqX5c+ddftmXFSMy + eZZQykNkVmtmtYaD8JILL0okEj279iQTCdu0zEqVECIIAg1IiEIB8Afuv6hmmpVyedGiRcODQ6ok + B74PIVQUmed5CAHPc/F47OSTT16+fPmO7dvz+Xx9XXZ4dKS1ueXYY4/d0b1dkqT21rbu7u5YJFoo + FEaHR/SIcdEFF+7atfOxR3+eztYxfOno6Gg0GpVFybedSrGUiaYxCAWIrrr8y+lEcsMfPtr4wZaR + QacwJot0XiKRDXzI83x+YlBE/Mcbn29tl5YtXzR7TudNN12/ecuHpWoRACAIAoIAcRBTSCkOQ0op + 5REnCoJtmqomU4o1XTnhhOOdqi0ggZ/S92Ml2FQqpWsR27d5iKrVKgyJJIqTdVMIQox1XWe9X4QQ + k5TlpnzkEEKqqqbT6Xw+H4/HFVHwQ6/iWIoqEUIgz5THGYyWAIAAJPv+PGnUKPCe60RURUAiE3y/ + 7urrHEfavGHzS8+tHx6yOS6ZjraHqhR6PJJpbqJ7tA/mhvHbb2664tKT04kDJF6yTUtFsu86pUJR + VhVIKAmxyPF4nzkJJn2nPzUxIFOYun2jGggAjzhRk81yRVPUTDpNQ3zAwv3ffevtlJGgGSxCwbcc + nhdDx0OKRkJs10xD0wd7+zo7O1eeeOKWLVtqlWp/f7+iSuw1Hcd5+OGHH3nkkYsuuiiTyfT29sbj + cQDA/vvvv2fPnsHBwRtuuOGBBx5gYe78+fNff/31Uz73uZtvvjkSicAp7fvJ1i67USzQ+ce3kZj6 + 33/lOnWk70Mv+SQIRpQQSCEPBUQm80+OQkJCVRAo4iFEEEKeAxRRXdEZR3ff+jr7J/n0D4xZR91z + mB8o5BCrUv3NHxz+pafuq3y47yOnf/9Xrp99EN/zEAckSTZNU9P0hoaGoaGhwcHB+fPnm6aJMZ6Y + mMhkMm1tbUNDQ729vfNnz1JVNYrp2NgYpoRpgvf29s6cOWvmzJl9Xb2U0kwqPWPGDMMwiqWSS/1E + NlPjiUXDhCxUi7VoRKrmy/FUPfZDAhHieEpD6oV8QESB9zEmkCIScoRyBCEKMAI+R1SBDzmIEfCx + LyIoqTIESFHkiudQBJh5GmEMHwgFQaDTHdH/lCP9he+cNcApDcKQER+YZA7P84gCSAGZRoZTCgid + jDQogAQRGkLEy7IsS6rr+I7jsiAQY5zKpFVVrdVqTLGTrS+WckxjW3iBt21b1TXbcRgJGQCg6jrz + vWX1X0ynveYAx6Ia+l8iIH3yy6luFUKIpTJshUAGoaaUQsiCSTolQ8K2AkYehhACDBjvSBTFSq2a + SqUqlQolZJpHOr0Q9sHs/B3Gf7sE6bN+sv+eHar/rKVDglDgeY7jIKG2Zbe0tMzIzhgcHFQV47TP + nfrq718eGxs9+ogjd+zY5rsus7ySRXH1ddffdst3XMuuz9QBAOfMmvXP//zPjz322C8efVSWZUDI + 8qVLv/3Nby5fvnzJkiVf//rXASEU46hhUEIkQQAAQEwiulHBIJ3MbNu0zbIcx3FSqVRubFyWZUGW + vvPNWyrV0msvv3LVlV9pb2khhEAOTTogcUgQBFmWy5WKKIpfXb16+bJl1aBqVWuKKLFkg2JywvIV + D/3kwSVHHU0OO+K5F57v7+1jPpilUunz/3T6bd+/PcQ4xJhAULFMCkFgW5mG9PBYf2s2i2lVFv0j + DjvEqgR7tk3M7jx+dO/eA+dnIRcLQz4Wi7l2hYPVN998pi4a40hUAHzrjIbGdNwsFxGhOKSUILvq + YQyRwHMAer4jSOLYxLgky6lUqjFbb2g6Qsh3XFmSIITVatWIRBKJxHSnKJVKMX+0xsZG5r3T2NjY + 2NjIdjrWOmA6bJ7nMQNfRHA2m6VByIS/d3d1sYcJkuiGAcM6YoxlWcIoZCItACBAEYAAUoI4CClG + iBYLE3XppFmtZlL1HBHHBkuHHXram68PN6XmBlpc1+J+YCmKa7vDlt0TkRYW+YLCy8S1V51w3Ob3 + 3yUB4QXBsT2MqaYZtuUCxIsKsm2bQ+j3v/vDq+teSadTANBqtdLS1JTL5UTAxaORo448oqevN5fL + lYvFeDRqmrXFiw/1PG9vX286kyIUU8jZrt3a0jLQ1YM4OG/unEqxxMfiV19+1XvvvL/5vY2yooYh + JgATEiqKPDY49ov/8ygnoN6B/lgynkomRJ7zPNcjoaIpbuDJhooxnijlE9HYySeclMtNtLa2nHP2 + 2c+/+CLL7SnGS4466nevvnDUEUd8vHEj80vlEbJtu6G19e7v3jVr3qzm5mZJlbfu2PbG22/yPJ8v + Fd778P1sY30ul5Nl+fBDD73n3nvPPPvMUrUsy7KsKWEYypI0idgJMQCAAxBASMmUuuAk3gBQykzd + AYWQsrCSJUsAEAgoACySTqXSIyMjf/j97x95+P+sWbMmEokASiVRXHTAgS+8+Lxj2bZpvfbKq5de + ftlTTzwli9IJx694+qnfnHXGmaEfhH4QjUarxXI0GqGYnHfOuV8897wgDCgmUSPC5E/27Nr90YaN + AsdzEBmq/uLzL5RNByH05S9/mZc57HmIAojDumRi26aPs9nsheecSyh5/JGfh36gqnpE0yvFgmd5 + HMedeOwJiqY+8+/PAIo6Ojosy8pk0pZjJyIxURQd143EI4oi/dvTTz//7LMrTzxJlWVdVVOJROj7 + n1u1qi6WOe+cc+bPnbd69VfPO+dcQeDFeOLElSel0snZ82Zedtmlr732WjQayefzM+fM8mwn9MP6 + +vpoNMoB4LlhY6ahv7vPUJJLj1x09GGHX/Glx4jNK/wMvxqJJ+uqtWJ9fafj9tXV0Vtv+7Kuexyq + rF//H6ouRWOapimYEtnQXM8TKEAcRwCEFEAKeMhHNU2SeN1QOA74oSfJAqJI4DhCQlmWoe8bRrRa + MRVZ17VItVQmGLDWnyKJnucByDHyIQDAcRxFUZgIPs/zkUjEsqx0Os3ALRBC17M5KPmhp+kKhMSI + qLwq275HEaWUAkgQnW4mME4FcH2aSCT6e7tbmmZ4llktFxyrePRRB7tONRlPH3vsER2tR1x71Y+M + 6DxI6yiWEBUjmsilU4Gd19X6h376jdAD1drEggUzY4bMAUKDsLWxxXIdISpjSlhLn3lNPvzwwz/9 + 6U/Xr1/PiATPPvus53mSJLmuSym99tpra7Xa/PnzL7zwwlQqtXnb1u9+73usLEKCkFLKA9jR1lYp + lXzHmdXRIUAIArz0yKN4xN93532KIsGAzsg0VM0aQggE9Nhlx86fP//jbZu6BgY4CAHFUSNiueZ0 + bnnZZZclk8nLLrtsbGwsFosxrtFRRx31wQcfYIwXLVrU2NjYPzQgy/KZZ565evXq/RYsOOmkk9au + XUspNWJRpixKCKHwEy1W7s91+P6egwJAIMSE6JFIvlBAEMYTiWql4geBoes4DKOJ+PDICMG4Lput + VatMihZjLAoC9gMS4oiu0xA4NZNgwkEY+D6kQJUnZeIpx8mqVM3lRVHkedH1PUEQwoBwnL527atv + vPHG8OAIAECTlUqlQjFJJuN+GBBIppOuyfcJAcaBbGhLjz3mrvt+8PS/P5PIpMu1cs209IgBAQqC + gBFrmRJjpVJhDtpoyqxMlmVmrhj4HjP1UlXVd9xKpdLS0sLsRiCEjuMoukaC0PFcJpBbX19vWVbo + +5DneMQFGANCIc8BTP4eCRLgkUABCQNCaDg6Mp7OJNPpjCTJXV3dmUyGqe8wf/BUKuV53tDQSDKZ + rKur8zxvfHxc07R0OkMI7e/vTyaTnZ2dXV1dY2Nj9fX1vu+b2O81C3Uz6vmIJlquDDiN52bFmjb/ + br0uSROlShHbNeKKMgdDX3W8tBGrWi7HCbIs2qYlIsjWmhI1cq4txPSCY8djiTu/993f/fZZnXCO + 4wgRzcNBRNNc161UKul0mgnJzpo589RVp7i28+KLL9YqVUipaZodHR233H7b5V++gsUhkqoAAGzH + 0aMR5srIbkE8FvM8b2R4+De/+nVTfaOiKJA54BkR0zSjuhEEgeN7sVhsfCIHEdCMCMYhplRRFN/1 + CCGfP/0027aZtYamaUNDQ/P222/hwoWZVMp13Z07d+7Zs4dgwhr1iUy6VCohng/DMMQ4lUrZrqNH + jHg8vmzZskKhsH379r6+PkEQEM+lUsmjjjrKtewX17yk6zoroJMpr3MA/tztcXImc9NyFZOCVVNI + dogAZO14CmhIQgiYyv/k8mQBPptZnMhTQDzflSXRs22Z8a8wYYc3+FMI4n+ucn5advr/MD8/8zP+ + d3zGwW6KIAilUklAHKV02bJl//qv/3rTt25ijopnnHHG1ddctd9+86+88srLLrvED9z29vbBwcHb + b799zZo1u3btikQitVrtlFM+19XVNTExUSwWDzvssB07dpxzzjlvv/02IYRpTKVSqe7u7sbGxng8 + 3tfX53keBxHG+MMPPrjy8n8eHh4s5UuZTAYS6Jlua3Ob7/u1WmX50UsBAA/e/5NasawnYoqilMtl + VVXj8bjlOr7vpzMZhix66KGHnv33f7/wwgsZ5pW5MB2zZNnLr64xTXNW50zHcerrsojnmK2nFjE6 + Ozvz+TwviVrEMKKRUqmkaRoJsWvZqXTCxBXPtRYu3A8HWJPVm2742ovPjZmVAqAp05EplIf7HUh9 + SXQhjl9+6RWdnYDnQkCxLHHZTF0qkUScDKEkCEo8kYIIJZJJAIikKrquRyKRbDarRQwS4DAMRUFg + gAQGyWXcFY7jGOuA0Uuq1SqlNB6f1MBhjBr2MaetDxn/AU41c6evYRhSBHkBIoQURYlGo3V1dVDg + CB9gRCFGAABKOAQhpKy4SFRZxh6uq6sDAZdIJAwjeuQRqQMXz3/1pd/65YhvJUpA4HlOUQQKk+XK + KMCJ22+9IRoDrl3atHF9fTYT+gEvCK2trcOjIyGgkXhsWvNQEaUTTzuRYrJy+UnpdFqSJNM0r732 + 2pAE9/zg7vHx8c7OmQ/97KFXf//7+vr6RQsW3XzzzQAAwKFrrrmGAsBxXDqdrlYqBx+yKBo1TNPU + NTWiRuoymVQsLiLRrliGYQShl8+XMnWpTCrdXN+YK0yMj4ws2H9BYSI3Pj7e2traM9jv+J6sKoND + Q4qiNDfOmBgdq5/ROHfu3C2bNsuyvG7duo6Znclk8txzz12zZs0TTzzB6tAQwoGBgUwmk8lkrrvu + usuvvDybzY6Ojp57/nmsssXOISY1lu4zlykAACAASURBVMlkCCELFiz44+t/vPXWWx9++OFt27b5 + vl8qlWKx2LSYIGu970tAgp9SYWFYiD9ZxRCy+paiKH19fUHg8zwPKWWCP4VCQZKkWq0myzJ7n4Zh + +EFw2CGHXXjxRYcduviUU07Z+OGGbdu27Tdv/vadOz7asPG2O263bTvAYdSIWJYVjUZzhXw2m43H + 4+zL37Bxw9PP/Hbbrq6VK1fe9p3vfOMbN3mepylSJBIZ7O+N6VprSxMEMPB9ikkikfD90DRNUVZU + WXE81/fDSEwol6qyLAOAGhsb6+vrKQ8oBF7gUkoJAL7rsuShpaUlXyxQSvfbbz+rZlar1Sd/+cTW + 7dtEXrj73nsWL17ctbebR9x77733xluv77/owK9cdeXo2HCuUEqn0xjjCctubGz0baezs9PyLFVU + PM8TBSGVSI4Vw2oZ6FK9iDhBmenxuu+KHCchYOs6UXW4YD+ZE2Ve4JLxBgzc+oZoc1uz43oYUN0w + oMgDigCCoR8ASiGBMCSariiarKiSosrY9gXEQQo9zwtDwvG8LCuKwpyvAIRwEoWP8SRsgxfwVIWS + FWsBAJNWS5RyHKdpGmsXTwrdQsrzHC8KiqJomiJHdAnLnCAwzd2pQthkCZVAhAlSVVWS5qgSp9Sl + IAXZ+kypmKMg6OvLy2JKU0EsWletUlXWNLku5LhquRBLNGoREYdEEEChaMeTOrOp84ijKno0Gjei + cTYhGZc1Oz/L9Dw62tr7e/s0TUMI/fiHP2LUhUsuuaS3t7epccbIyMgP77zvqX97ak931wknnfjT + Bx9cfcMN9fX1PM87ti0gLnT9iKpl6+oIIQgwghbEGCiKEo/HGWhHkWQ/DCCErm2ZjikIgq7rHAdZ + LM4kHJubm5kL7djYGBNZZnG5aZrHHnvsjh07rrnmmnQ6vWLFiid+/WQul4tGoxDC++6779JLL331 + 1Vebmpr6BgckSWLaOSHBDDODEPpHd5B4ngcYF4pFQkhTS8vOnTtTqZQAgB8EYRhWqlVRFA3D6Onp + yWQysiwjDgJIOQApgAghEmLPdM1yxazWSIgFwEMIi0HIiFUIIU5AAQlEUfY8z3d9QZYFQZKgsOPj + beefdV61VFUkOWIYYRg6pqUaaq1WmU42EP0kOZRUKZ5Orr75a8ALeICqlYoo8lJMIgRyPB+G4Zw5 + c3p6eljyL4oiE9FhdyeZTDKr7qGhoVg0oiiKa9mYD5jSfalYjBgGoJSp24+OjLa0tLA8nOf5/PjE + tC9wgAOO4wCCOAgRQlP0nE+u4DMWrNmTMKYIAQg4jLFlOhBClumVSiVNU7PZ7MTExODgYENDQ3t7 + e1/33rGxsZjvt7W1DY0M79q1q7m5hXGQWAUzk8m4tjMxMREEQSRmAJHf3bu3raE5lUj37elzK3ak + XdclybOC79/xvdfeezPemGyckfFK+QwS/vDb52a3zg5cnxBMCOEQqG9sKFfN1jmzqhx4Z9vmaFPj + KWedUSyWjHhc9EKd42uBK4pisVhksp/MiPyoo476xUM/X7vu91Ejcskll1x60cVbt27NZurY7hp4 + PqYkkUjkS8VYPB5QUiwWEULMacCyrLGxscbGxrpUundvz3NP/9u769+ZP2eu7/uFfL5UKuEg5DiO + ApjL5do62k3HppQedvjhlut0dXXlcrnnnnsOMP96HLKYZ8aMGbNnz77lllv+uHZtc3PzypUrH3zw + wffff19V1ZCQPd1dnZ2dPM8XCgXN0EuVsuM4xxxzzAM/fuD9De+3t7fH4/Errrhi3bp1jz766GGL + Dx0cHBwfH7/92989dOniYrGoaZqmqkzdd/K2wk8gsuhTZgT9T9fPNP7mvshntQH4hydI/+gO+T/0 + 9f8uPkjsHTqO097eXiwW6+rq7rrrrquuumr9+vWZTKZcqnV2dt5xxx1bt25pa2trbm7u6e0eHx8/ + 9dRTDzjggB/+649LpZIoyrVa7eKLL65UKl/72tdEUVy1atXatWs7OjoOPPDAF198kYVu119//f33 + 388sJgghTAZRlsSmhgYECAQgFom6tsNBJCCumMsjhMxqFQFIAWVyw24QKIrS0tKiGnoYhtlstlqt + SrIsy3KlUkEIlctlwzBiyQQnCgIhkiR9/vOftyzr3HPPraurm4yqzVpDQ0PNMr/0pS9t27Ytl8tl + s1lKKStKybIMCKUhpgRKnKprcVmMh75QM0FDIxgcKlfLgWHIocfr0QQOC/WNQhBUyYA9cy7AIfAC + V1d5xAm262ICJUUJCaqaZi6Xi0WitWrVMWuFQkEUxWw2m0wmAQCu62OMeR4yMeJp2TqW7ViWxRRj + GLO2ubmZdeeZ/aWiKGDKfWIS6sohhBBg3m1TzdzJ6JmJvUyF44QQSGAYhgQQSCY1rwgALEEiBJth + WClVq9XqrLa5mhy1XadYFtL1oFLydU7RNMN3wsD3OUQ4AQq8ygtqvgCGRwcWLGgIUegRBwnEJY7t + OkjgDVkOgsBybAghCQIeojAM49GYB3wmPK2q6tNPP13K5V9++WXEcwsXLrz00kv/Y926jRs3btm2 + 9ePNm5LJ5GVfvuKOO+64/Y47BgYG2tvbEYT5YgEAUKlUEMephk4BKJSKvMghpDi+ByGNJxPRWKxY + LhGACQTRaDSXy7Essaenxw68ZF0GU9LQ0DCdvEmS9JOf/ORXTzzZ1NTU3Np65ZVXrl+/vr6+fnBw + 0LKsQqGwYMGCTZs2NTQ0cBx36KGH+r7PKPIIof7+/pUrV7JUhFmsMhje4ODgL37xi2KxeOaZZy5e + vPj1118XRTGVShH6JwMAMA2wo/us0H1lNP7iQAgxr/FYLFYpl5j/UoAxx/Oe76frMjOamyRFVjRV + 0dS6+mwunz/5lFXd/Xs/f/ppFIJlxyz/6KOPYol4T1/v6htv+HDjhnVvvB6PxqpmrVKrIp4rlIrs + OmvO7GQ6tXX7tquu/udUuq63r//+B36yZdNmCKERjbiOVa4UM5l0e0ebqiohCDENCcAUco5na4pq + m47tOIIgAJ4jAFYdi0IwPDrSO9C/t7cnIMFEPoc4Ttd1hhZDlC+Xy5u2bPYCv1guUQ7u7eruHxyw + HHv7zh3jo2M35L42npsYGhkGhI7nJvSonisWVt94w1tvvVWzHCYOq0ry3r17fdeN6AYvCgjyVdtq + am0pVKxCDnzwwTDPxwD1q9WqqOgAhl7oEeBBHLZ1tPk+sKpAlrnhwaCxJfXGW2s3fbwZ8RwGFHEc + FHnbciGHVFmBAHCAQ5hOTEwUCoVyuVytVjVeZkCsMAwBQCzfq1arTKQB+5P0gGnU+zRCnbGPWOOX + KexpmgYhHBkZYVJUTHWdQIgJJr5v23a5XOU8zwldNNWDQn+CLiEEIkKh53mGrlrVii7LAs+Pj48P + Dg03NzWYMVAuglKVhMCh0NIiODfRJyCVQpMALyQVXsSjY6ChQZVUXKqUOUHkkYgxHhgaIoDatg0Q + VGXFdd1Vq1YtWbIkm8iWy+WdO3cqihKJRNavXz937tw777wzn89/5Stf8Tzv7LPPfvu9t3/6058i + ntuybeudd/8AAvDuu+/quu65LgcgILSlccbI6Ojo+BiFwAsDXuAAALZrcSbyAhdyQBEV1/UESBKp + OOSAZqhdvd09Pd2HHHYIgMTzfJ7n8/m867psv2VJKVP0Wbp06eOPPz4+Pt7e3l6tVvfbb79arXbO + Oef4vv/888/XTJPjuGQyuW3btjnz5zFXiTAMEc/xU3SOv+20/a8PplioqaqmaeNjY7qmIQgz6fTA + wIAsy4Hv65rm2HY8FjN0vVwui5zIngghRBwHKXBdt1arEYwJxqqsCILgEXtaptkLXIVXkMCHYRiJ + xpj4R7Vc+vkjPzOrZUApJkGtVrEsS5MV5j/zSYK0D7OfYbn37Nlj2ZamaTXH8nAABd40nUgkUimX + wyDI1tXl83lW42NN0dzERCqZLBaLkUgkn8tl0mnHsRVFsWsmo0IxZATDUAiCwPIoBp5npcCYEQmC + gNUKJ1UoCfkrHI/PjACaKjKymherUeq6bhiG67qlUlkQRKZfNzExQSnNZDKmaTLZHqZZx/yRMpnM + xMTEyMhIMplMJpNDQ0OEkFQsnlANp1INLMfCMBaPAyOKZN6jQNSFSCx2153fa+toJoH94R//o7Rj + T9g5L0IoDgmgRNM03wtnyIanxk444eQDjjtmFHtHf36VAriGhobX/7iuqa5+sL9Pj0ZIgCVJYvbf + zNP8gw8+OHDxgYoo+a53ww03XHDBBd/5zncKhcKiRYvWrl0LAJg5c+bAwADrl07Dek3TZLYW5VLJ + NE1VknVdL47nH33o4cbGxh/cddeMTH0+n9+xY0djQyPP852tbXv7erPZ7OzZsyVFPnzxETdcf8NZ + Z53B7iCEMMQhY1SGYVhXV9fT03PX3Xfbtu05jizLGOMZM2bki8WDDlw0ODjIJowd4kwqjTF+d/07 + hy4+hNXcH3jggRXHHT/YP7D+rbevu/YaVVU7OztVVW1vb08kEqOjo6Zp8pL4Ge/832n+/F3+6qeP + /+0g/X80VFXN5XKJROLFf3vxX75x4/bt27PZrGmaZ5xxxu7dux977DFd19atWzdjxoxiKT8+Pn7i + iSc+9thjfX19yWTSspy6ujoI4c9//vNIJLJ27drVq1e3tbXdd999d9xxB8/zc+fO/eIXv3jbbbdZ + lsU4ry0tLePj44zvWCgUIABB4I2Nj7S2tFtVq1gsNjY2VmtlXdcDHEBIG7L1LCbo6ekpFAoDAwOs + BOi6rhGJMEaHYRiWaTKQ/e7duzkAE4nER5s+7mhrP/DAA+fNmwcAePHFF7v2dtdqtVgivnL5yi9e + 9sWenh4MqCzLlVq1rq5uaGhIkWRFlPr6BjqbOiVJ3bmzKxaLhC4YGAAdHW1bNudFka9ZoWkVCKj1 + DXZTOhj4uUIJJJNA1VXbHs0VShBwpmmP5aq8oJCQ/uGP/xEzIoHngzCwLWvaHy0IAgShIAiyJLN9 + X5IkCkAQBAyXMjw8zCStWK2lubmZgUOmgbBsB2c+ZZ7nEQAEQSB0MkGaNjJHCLF0mjmpV6vVfD6P + IQ2BSyEAdFIyGkyeeQSQUBYlRZBL1dLu7t0jA+MrT/4c4sHmzaCxsb4y7jlukedEHgW8iAPi+sTD + OPQCoGjR/qGhWCo9XihKog4ByufzE7kcBjQkmG24Vs2EhOqaVi6XTds0DKNQKIyMjPA8/9gvH2eW + o3v37o3G41u2bNm1Z7coinrE2L59+1tvvbV06dI9e/ZwHNfd3Q0olXmOImi7Tqlara/DFMKqadqu + AykCgEiK7NpmoVQMSIABrVlmSEkkEqlrqGcYRWZwWbNMjudFQShVSwCTMAxzhbxt26ZtDQ0NMQfS + Sy66ZHRi9Nxzz43FYscff/zmzZsLhcKhhx763du+e8LKEzZt2pRKpVpaWgYHB1OpFIQwGo1u3LCh + rb1dFMXx8fGZM2cWCoVsNrt169ZzzjknmUwy4u++ynXTiSsDQ//nRUr+CpeDEABAsVhUFEWWJEqx + ZVkQQt/zJUnatmO7YRiiLI2MjBx/worXXnstkUpecMEFv1/7h9bW1u6evcuXL88V8vF4PJFIXHDB + Bccdd5wkSa7vKYpSrVZTqVQqkzZt64KLLuzq6ura293W1tbT01M2rRlNLbZt54u5icJEOpHkRc4P + fVGWMCGj42Nz589TZM3yLNtzOZ4TZQnxAovdS2ZVjeuRWLRq1mKJRH1Dw3gur0f0RDIJAJBUpVwu + lkqV5sbmbDYbS8Sr1WrNMr3Ar6vPiqKYrsvUarXjVhzf2tS6fecO3/dXrFixYcOGodGhOXPmsBQi + mUwy1w5VVTEOeVH0Ap+DYtmpThTy44X8rHiLIHNbtr1Rc0SCiKAqgMs5vqcYPME1XnLHixP3/tD8 + wjlHpEX+mWdePPfC0zKZrKZpkEMBJT4OIYS8KMiybFZrEAABCTyFzBtxytmdYowBYRB2AWM8Ojo6 + PD7G83xAsKGqbEnCqUXKJgOdUpqa/qFarTqOw3ApYRiymYMxlnXdD33Kc5PLHweWbyOeZ5EH+PME + CfCC4HiU43kMIEXIdlxBUgRZ2rN3bybT8W/PvfLOWz350piuzwJCOQSVZGKGaZepYI0Vu0Xl4Psf + +smNN1wQ4cAHGzbkCqV2QgIcBjjUDNUPPc/zIKcFQfCLX/ziZz/72dVXXz1tqTk+Pq7rejqdrqur + KxaLt99++y233MJizdHhYcTzZ5xxxoz6hu7u7nQmw2Ji3/cr+eLo+DhFsKm5mXDQSMRy5aJhGJiD + NceUFAlj7OEwwL6iyY7vhQQ7vqMZ6pFLji5XK7lcrmFGI8sqs9lssVgslUqGagiC0NraOjo6+v77 + 7+/evTsMw7fffvuFF164+eabdV0/7bTT7rzzzo8//ljT9Xvvvffwww8PgqBQKLBFKggCU82aVCDA + /9gSqyJKFEG7ZhJCaIh1XZd4YcumTbNnzSYQVEtlz/MC1yOAkiDkOI6EGFAKOAAh5BCCU3QOEoaQ + gCAImBs7SzAwxp7nIJ5DHvJxKEsqIcQ0TbNSeeSRRzKZTK1ShRAigGRZNAyjZtfwFHQNAMDtI9xA + ACAk/P2rL5dtU4loyWTS9mzECVE9ZntuY7a+Zlue7ciybNdMCCGigFKqSnKtVpvdOXN4bFQRJc/z + AABhGDIlaCZTxqzbmGcD49AykQAYhrIsMww5o3lM50X7Uj7+3wwCAZxU8IestOF5HpMwNZBmGAbT + +25oqG9qauru7u7r6ztgvwWKokCO6+vra5jROGvWrMHBoZ6enpkzZxqGUXKKpVIp0mQkk0me5wMv + FELa0dBcs5yB3r62jtmxWFzWIybxNCRVrfK82bNI6O7d0/25I5duyFsfdvU0KqqBqQAQrdg12/bK + pqtI5a3buaVLG3T9orPOFgFEFBjR2GgpH8mkFVmChDKNX+avKMuyqqqA0pASjuMaGhp6uvcCAFRV + XbVq1RO/+tX+++9/3Irj777vXmbxhwHleb5cLjuOQ4KQ+S6yHUnkhXlz5tIgtCrVWrlyxWWXN2br + L7/88onh0WKxmMykFV7MjY5xCC1ZunRWe0dzQyNzLJh0MQkD1lUDANi2PTo66vt+KpXq3rMHY1xX + V/fjH/9YVtVyuXzjjTdu3LgxnU5Xq1VWi4zFYuyl2traIIRDQ0OO4zzyyCPz95vHosTOzs4wDHt7 + ewEA2Wy2XKuye7ovrAYw5PH/JDXKPx//myD9Q8a+rSc2P5j816u/e/Wj7R8df+IJnbNntbe03n33 + 3StPWXXd6q8ODw8mknE38M/94nm7v7P7iq98ZWhkZP2778ZTSVlVCUT7LVy4bceOV157TdM0VVVN + 206m01u2bJEkiROEppaWAGPbdesbG4vFou26VdMkAKi6pihKa2troVKUVWXevHmDg4PJWNKIaEND + Qy0tLX0DAwghDsKJfK5arUqqHI3HkMDbth2NRqOx2MTEBCtrybLMmiqDQ0OWaTKRZdu27733XlmW + Hce57rrrcrncO++9CwCwbfufzjzj410fv//++5Fo1Pc8th27rus5Lgc5iRfj8QQCHKBoYmJibLyk + iPGbv3VPOrEoIJVa2SdIkZUokjxVFwFUKzb+/t13HX30zJUnL1YUL5ZI5ooly/YgJ9muzyP08eZN + gedLHBIhFwZBPBKVZdmqmZTShBFlEs+MuSgIAoCQSdhRShkyhPFBmQjbtE0bO/mKxaJt28xGIAxD + SVU0TZvmENMpU50gCCiCHM+z5IqpimNAACKTepdgUvmKUAoAAYQ4jiMgwfHcdEoey+dqtvnxxsF7 + 7nu5WsryVBQkQikMA8vBrijaAvGrVuHW258854tLly1buKdnd6nihoB3gOP4HiGkWC5FYlEA4SQb + SuAkSSoXioZqDAwNmrZlOfaszpmfW7XqwQcfpJQuXb78jTfe6OjoSNdldF3/cOOGeDx+6aWX/upX + v2KJMcdxuqYVCsVypTajuUU3jIASAoAejyJR0BS1UqkAhKDA+wRHEjEKkB6P4B6yt6fn448/rpom + AbRmmhhQ5tNXKpVaW1vz4xOFQuHII4/c8N4HY+Pj+++//57urv3333/jpo3nn39+IpFob2+/+eab + RVFsbW099dRTr1t9XbFYbGpqisfjXV1dM1qaBgYHr7v++kceeeSkk08+4IADnn766S+cc87AwMDI + 6Gh9Q8Npp5321G9+E2Kcra8HAASWxSItjuPglBvd33C6Qwh1Xff9QJblxob6efPmSJJ0+umn9/UN + bNiwoVAujeUmHvrZz9atW9fU0vLbZ5/t7JzVPrPzqmuuyufzoih2zpq17Jhj/vCHP9z5gx98/eab + K7VaNpv1PA/xvKyq3T09y445plwuX3ftV+/70X2yqnbt3bt27dpXX32Vk+RDDjlk67bNsViEF5DA + Cbwojo2NvPzyS5ddcgkH0VhxrLGp0TLtkZERgKDjeABysVhEi2gICtt37/rti89u37VzJDeuxyK6 + rk0U8pRiLwwIAYsPP+ysM85esmQJw2id88XzHn/88ccff/y5F1+4+uqrzzj7LEVRdu7dDTi08MAD + rv3q9UEQxONR0zTfe+8913UDTCmlqq4RQvKlIqKgpe3Yil8zFCOajD/ws4fOPvvi9W93DYwWZb09 + 4HOajjmuUhodUZQ4xiYQ7KqTe+n33YLavd+CGVZQk1VRCZQgCLBPeFnCmGIyqQGtaQakgIOQI0Dg + JZ4XOSQIHM8EjxDgBEGgFJbL5YmJguW5HMeFlDQ1NMRiMUSIwPMQUPZSiONYzMeWMJO/6+/vr1Qq + DI8Xi8WYSaUgCEGAQ4yZ9BJCiBMECUqcILCw4087SIBA7PgeAKBaNX3fB4oWBLhmmaZt/cvNX//q + 9d8qV0b3DmyVdEOPORgN8YqTr+VVFZVqIx0zow8+fKcgVVx8xoojlmXrE9F4TEKy64eWXXM8m0DA + iwJjVEMOiZw0Z97cbTu2V82a4zqCKBjRyOatWw49bHFnZ+evf/1r/O1vbd++fd63b3nmmWfeWr/+ + pJNOKpVKrK3BqsU8x8UScaak8sc3Xr/wootmzZp98imnFqtlQZNw6EfSMYwxxYRYVImoVbs6U5Z9 + 33vk0YcBQkctOTqRiOfzeUGSsg0N+Xz+mWeemdM5xw6cZ59/nuf5L3zhCyMjI4qm9fb2apr20aZN + +WKRSWy9/vrr2WxW1/Wbb775qquueuedd+xKmWEaOY7j0CRXG2OM/pEcJAAApTSiGU7VTMbivXt7 + 4vF4pVTuaO+AFLi2TUIMMKmvy4YEO5atyYrpWGwnoVPOsKxGJsqyhHjfDfwgUGWF5/nA8zHGkqQw + sR+eYDZXNUXSdd2qmWa1wiFICPaDQJR4x7UgoggCOq13OjW5KASEEoQQ68m3z5kZeL5ZMTlRABRC + DoWOpyhy6AeIQy1Nzdt37ogaEQpBR1v79p07xkZGASWUAogg02vVFdVxHGb611Bfv3v3bmYdls5k + XNeVJIlB7CCE4/mcoiiCLAVBEASY53mOFzDGn1ZJ+qzRMCEEIkgpDEMCIcfzIsbYsiw/cOPxeCaT + oZQODg5hTGbMmCFJEpOP2tcfKZVKEUKGh4fr6+ubmppGRkYKhQKDzZeLpfJEvqmpSYkpE/nceD7n + A9ra0s7xYkCDjlkdvd1dcztaEpwISrXj9j/kOSc4bm7rDFGWIGeatqpFyoTUFElxfTA65sf1Azpm + FgUUovr2me3jhWI2kzKLJRgSTdPq6+uZlVC5XAYANNTXu66bTaaXH718b1e3qutnnXHG1q1bmXBo + R0fHAQccMDo6qhsGBpQZwCCEmKCCyPGqqlYrFU3TbdtVJFUS5NJEMRlLNtbPoBgk46mGbOPw6Mis + WbP27O3u7+474MprDt7/wJJZ1XVdVhXWRc9k63RdL5RKrBq44ugVx71x3O6+3ZdfeinLgVOp1Cuv + vHLrrbfm8/n6+vpSoRCLxRRFGRsb8xzHte1quXz0kUeedOwJD9x/PwfhzI4OZuh34403MjEwSZIY + GeGv3eLP0uX575ZN/W+C9HcenzYbYrGYrutfvvrLuq7n8/kZM2asefF34+PjV1xxBasCmlbtww8/ + /OMf1x555OGXX375ueeey3jhDGLX3d397LPPMumbQqFw22237dq1K5FIQAgxxtu2bVu/fr2u65Zl + BUHQ2to6TZMdn5j45i3ffvP1txBAvX19vu9HDBy4bjyZHC/km1ubEORs7KiGftM3v+H4Xr5cYMKa + /f39pXI5EokEQVAqlQRBuOeee1YeuzIEIQLw4osv/s1vfvOtb32rrbmlVqkycKDjOGzZNLe2rFix + 4re//W1rW1s+nzcMw/M8hVcEQdC1KKQ0P5FraGiwfDNXmKjZla9/c7UqZQdHBhOpbNHsikTbFDE2 + ntsdleWJ8hBExfomZXB45xtv7l33xlOqDofGhxoamwKMdUNDPnZd13Zd37V9CGQoBr6fiMZYRYqV + Umq1WqVQHB8fZ0Y0iONYvMviIQjhtFESA1uzQApjXKvVBgcHK5XKNCynua1VkqT/y96bR1t6lvWC + zzt887fnM9apITUkqUwSVAYHhICwAFGRuxRo7BgSuOnVFwQRBOVK7OCA3VwBQZBLI94LgogiDkwR + UNLBJIQEqAwkqbnqjPvseX/zOzz9x7PPSTE2KFxYve638kdSlVW1z7ff4Xl+z29g1u6artBlyTlH + /sh3P4sUYGjQcADGhAUOQEQ7BKBWSm8P+sBhcXnhnz7zT8P/7cVKRUo3kVelUq67wBjzAltUQxDl + pFi9/e6tSp/70Ic/8PZ3/l5WDJ/2lJ8el0Xk+Y7ruoHfYE3X86bTqSOlF/hZkt71yc+XOufA//nj + /5ya9DGPeUy3t91st+/5/JfG+TjP81/91V/98r3HrsQr3/+XH+hEnalNR6PRRz7yEc/zoihSSm1u + bS0uLGhr1jbWX/d//M6PXP0jVChihgAAIABJREFU11/3QuE6KPlGryul9LjNq1K6otVp3ffwfWvr + 67fddttd99y9td2lGtTxvbl2qz8cJEkihDhz5kwchLfeeut8u/OBD/5lu93+v//sz2655ZYnPelJ + b3nLW+r1Ouf82LFjjLEwDH/yJ3+SxqT0NY1Go/nFxe1e7+abb77++us/+tGPrq2tfepTn8qybGtr + 66abbgqCYG1traqqd77znWTaQwniALu0x0e8cb8Ze+db6Ditta7rrqys+J67sLDwkY98pF6vLyws + OJ6bTrObX//6pz/t6edWz9/y67eQa+qb//gta2trrU7bKP1f3vRHURDe8OIXMcb+9Y7bl5aWuBTZ + MEuytB7X/uzP33Pl5Vc86tFXP+7HH+d47ng8vujQwRe96EU/87PPAmPu+Pzt7/zTP2VgB+Nh5Ht+ + 5D3xyU90HHHsgXuLqnIcZ3Flz1yrHQQhImoQruOfOn3ifR/8gLbWi/0rr37UU5/xdD8MLMBWbzsM + wyDwKq16a2v33HNPlhZ/8+EPj4ZDLoTgfDAcuq77iU9+8tixY1yI8Wg0mU4F5/c/8MBLXvKSbrfr + OKIsy63tbq1Ws8AJjR6NRisrK9ba0WSyPew7C97lP3TVF+++5/zm+a3h2YOX7Nt/0YH2isdFLaq1 + hhPpetyYwEJWizrWLne7D2321LXXP3fvRUtnbn9QW1NVFXcdIQTphfI8F0xyYByAGyQuFoGjgQwA + LWecMVYU5WAw2N7ezqrS8zxlzXy7DbuGy1rhzI4DyAZqF+asqoqQIEqgojA0qiqqqtJWMY4UusUM + NxqRaeKAfV2DBJyB4wemUjXZFI7rBQBMPONnnqFL+Jf/51MKxROffHUU7M0yT8qG59atAWDKcQ8W + xfrjO08cDE793T9+6Feu/5XJdOAFoQHQ1uw/cHBze9OCEYIXmWKKbW1tMcZ83ydyoOu6pHFtNptU + RDbCRr1e39jYeMYznvHa3/xNGozfdfcX9u3bd+bs2UajQcRUzpjW2vX9V77m1YNeT2v9vg/+hZTu + ox/7w/V63WozHPVrYXQFu0Kjvf3zd5w6e/r02TMr+/Y95WlPPnHihAUT1qIszSeTiRDihhtuGI1G + 9XqdGjClVKvVOn/+fL1ed133zW9+c1VVRVFcd911Bw8eLMvy3nvvPXr06Bve8IZarUaye6I1ckmH + q7D2W5i6fXcehnDuzNn3vve911577dLSUjKZWmv/8v0feM5znkOjUSnl5ubmm970pre+9a2rq6uC + MSEE24natNrSpNFkuZICDYS+3+l0fN9XZQUAZDhBeJNSCpnlEHU6nSRKyipv1ltnz57Oi9xYOddq + x4261poaJLIv231KVUnf8yPfiYJ6rT7JUs/z6q3mJRdf+uxnP3uX9jYej2+++earrrhyfX29qqrD + hw/feOONSZLU63XShv3qy38115ro5YhIwXFhGFLhOxgMXvKSl7zjHe+o1WppkdP5uXvNAQDxzHf/ + 89//WEBSShNp2fM8Y5S1Nkly4rfPzc0ZY1ZXVy+++Mi+ffsefuArSimDuLS0JPq906dPLy/v2b9/ + //HjxweDwfLcEuUgkU9J6PmmrIokDdttz/Om+TRZy6+87HIL1mWi2908cmifZHBgec/H3/u+A+AJ + oyNj2bCPhgfKtsN6kiSu4HoyBkS/XttYXa2acX86OXfuXNRqrG9u1l1Pa00eGOvr661Wq9FoJEly + 6tSpq6666q1vfNvzr3veqRMnL7nkkmue8pQsy65/0Q0XX3qJMeaJT3zie/78z90wIPYggbO0qXVZ + kRzAC/zpdCq440gviKNarZaXRVVVhOpyzs+fP09JhowxKWQYhqurq3SySdchf066tjzPG1fjZz3r + WWVZhr4/Go0Gg4EH3tve9jbanoyx+fn5JEm63a7jOBSN+NKXvvRVL3vVC174gq2tLWvtZDJZXFx8 + 3ete95jHPObaa68lsXqapnmeu4F/4de6C0GyH1AbtW/3+Z43SN+pjOc7RiB+8N7/N3R06W5vK63X + 1tam02mz2Tx16tSpU6euvPLKfm/kOM7Zs2cPXLS/2+36vvfLv/zLL3vZy7a3t/fu3es4juM49Xpz + PB7fc889jDEK3Dx9+jT55RdF4bruuXPnAIDOwWazSdmmCwsLcb32G7/5GqPKf/jEPzLGfuO1r+50 + OkmSjUYjMprr9/sf++dbBoPe/3rdrxw4dHBjc/PQZRf3R0MyPCCh59ziwmg08n3/T9/1X//4j/84 + yzIi66dJcvDgwTLLuRRnzp199W++ZmFhYXF5qdvtpmn6ile8YqO7tWdlJUmSAFAIYdAO+kPBXN/x + 4phprSM3+NHHPOrm3/vPq+e3mvXlp//Mk5vNi5709Cvanb1ZCWWlhSMBDGIa+lbytN0S49Ga0mlh + ijTPHc/vD3txVJeu4MyGkSssCMM486g7chzH8zwaLqfjSZZlxD5iO0wbygcgcYvneSQPpcqeuBDd + bnc8HhMpixyidsESkjJcqEGyDGDnFrE7iQPGaARgDAH4zm2PiEYBzLWbo9GoUNXjf/xxH/34R6X0 + ysK6bj0vPVRtR/KiAKUr7mAQijLPOvPh+sYDjZbTqLvnzp259MjlvhduTjcAYDKZuL6ntVbWeIi6 + LB3H+cUX/KJVutfrtdvtXq/nBb50nd/7g99/65+8rdPpEONuZd/eU2dOv+Y1r3nggQeiem19fT2M + ol6vZ61tNpudTsciSs/9tV9/RTpNorA2mI6jZv0//85N8+1OnufSIS/OapolwnMvPnpx3Kj/xE89 + YWnPclivzc/Pn11btQxUWdUa9bIs281WnqT333//+vnVt7/tTxhjTIhmu/3Jf/onspX3w3A4Hr/8 + Fa9gQvzGq1/z4z/x41LKNM/H4/HFF19MtmObm5s333zzTTfdRHr6IAg+8YlP3HvvvUopEpIZY+I4 + JgNQ4Tq7XwfMKM7ISEgKwBiQkzB9Md8CrLbWpmlaVarf7+dZurZ2fn19vdVqTJK00WolaTpJk//2 + 3v8exNF0NC5UNZqM3/2e91x69NIv33fvwf0HVjfW61F88x/83mN/5Ef3Htg/Go87C/MGreN7yOCW + T39qe3v7v73/fZ50LIP2XGd1Y70WRm9/55/uWVlJ03Q8mVx85HCvu5kX+Uc//o+XH71UKVWL4qxI + t89vx/UIOWo0q2vrzPGb7VZ9rj3KksGgF8exKsz69sb1L3qRBazV64PBoKz8Vqt16NChUqlza6vW + Wqt0VK9xhCTPmMUgjpI0LbUSwJgUnPFJmjz40EONRqOYZp7nhWEYRdFmt4eIQRRWVTWZTpuNxrH7 + 7v3nz3428qPhdHLlox+VV+lPPPHqza1BaY8furKu9ARh0tonpSuMMUZXDCvfd5b2rjhOVRX5l+67 + czjst1qd6XRqdviOUroAHI1lwASTgs3sE+jWZ5btbrpdUN/uhPzMFEf4iPTIWgvGzCbJAHQgWGuJ + c+t53mQymU6nVPQYY+I4UqYCV7qu50gXpGBaAXBrzTdYIww0QpXkApjgfDRJGJp/vvWzju81GsG5 + 8+cb9aVOLdRqyA1TZqBKp6hUveZrnU2z9XqnUWuwh07ee9mlR3jO/vrDf1NV1ZeOfXl1Y71URRB4 + aZrGYQMR40a9KIrBeORHYVrk0+n08OHDdc7X19d9319YXsqxXN67snbufJ7nr371qw3iJ2755J13 + 3nns2LGFxUVrLXlwM4BkPPm9P/wDNHau3fGi8Iv3HUMGtWZsGXIhaq2G5zgULJMV6XA8SIsUub3j + 87evrq4mSeJHcRiGNH4nfm+SJM1mExFJmzQ3N0eomeM43W53z8oyJVp2Op2jR49OJhPGWLfb5Y6c + cSYRldE0xnccB9TXv+dv9XyncDUi7ltZueKyy5YXFwPf725u/v3f//3K8vLK8jIlJhulwNprfuqJ + f/r2t2utmWB8R37KOUeGlPEiQ98Vcq49f+iii5YWFh0h6Q3Qy5lMJvV6vSgz1/PKovA8J03TMAx0 + qSTH4WA0Pz9/6NAhJkUURVQ/cJy1SfRDMc6VNVlZWFcUqlrvbo2zaZFma+fPf+wf/zGKoul0+od/ + +IevfOUrrdbj4bBRq+V5fvL4cd91if1100033Xv//UDyfaUYY/S2AeAFL3jB7//+7wdBIB3nsY99 + 7Dve8Q5rLW2NWq2W5zkZT9Ot+s34yf+G9w8AjM2YsXiB7xEiSuHmWTkej5eWlpaXl40xm5tbnU6H + uK8X5iORBmlpaanf729sbNTr9VartbW1pZSq1+uBH5WF8oxR1gBjldFJkhSQeeAyND/2uMcPN9a6 + 58/8/H949uadX0QGjYU5bziSJaKL0yIrdDWp8sAVMNcYdbd++ulP+9xX7j8/7DNjVs+fcxwnswyN + lWwWDZ+mabfbdV33cY997Fvf+NYbX37jwyeOh35w3wP3/5c3/dHKygrnPCvyMAw/97nPra+vr29t + RlGU5plBm6YpGhvH8XQ0VkpFYZimqdI2zYtmm58+c244ns7NL/lhFMa1ra2N+aVFrfV4PCbNeVEW + W9tbrVaLcw6ckahJW0tOvOPp5PTZM9RcZVm2sLQkhNCgAWA0GCZJcuDAgfF4vL29fejQIQBYPXf+ + mmuuuf66F772pt+6+64vUFzvxtbm6173uqNHjz7pSU9SSuVFQSFp9Xr9QpOGf8/zgzZu+p8TpG/1 + 4DfNA/yOH+KkAcDi4mK/36/X6wcPHrz//vuPHL5UKdVut/v9/p49e3q97q/92q8VRXHZZZd1u91m + s9ntdre2ttrttuCGzgUaiczPz29sbJAgj8yL6E/WWkdRtLKysrW19drX/lazFgeuV1X6qquuOnf2 + /Ha363uh7/tpmgZROJlM6q2mKnIvDP7hlk8YtJ3b50+ePLm2trZnzx5EzPN8NJ1oraWU58+fL7N8 + ZWWFiKphEEwmk1a90Wg0+v1+u90+fvw42eZqrfOqPHz48JePHTt69Cj9CY7nOsIpUyM9pyimr3rV + KwNfcmZ9h7CS2iQpq4o7bgzMW9/o7rvoYJKWZYW+64HJOGa6Ggtpo9jjkr/lT/5EerLmuGmWeq4L + nAGDJE185geOT/5UNB0ajcfdbncymL06KSUwRgpUKqq01iSyJPYzWVpVVTUYDKgIaLfbJOmmftV1 + XVNaRLQW6c0zxrTWloGQj2woRESYxasjSAZi9/QH4Ixhkme+7588feIF174g9GJTMS65xcoPG9OR + ddxISpmk40ajVupSVxXngFAIaQGV53nDQR5FkfRwMO5nRc6kkJ5L/lGOlJKLk6dORWGoynJtbY3y + bXvDgWS8qqrjx48LR1bJVAgRx/Htn78zDMNutysdZzKZcM4vuuii1dXVKIrKsvyjN72p0+pMx+O5 + zsL66lqz3qrVattbW57nMMYQTRD6VVUkSXLw4EHfD7vd7nA83uxuhXHEGPMdlxKNwjAksjslGmVp + 0mw287JKsmxpaYka0aIoWq1WkiRXXHHFLzznFxCxKAqa9Wmte71eVIuCIMjznDRmZAFCV77WmhKZ + yO8BANrtdpJnX8OKhgvwrW//YYxFUeQ4qtvtNuq17e3t5eXlosrjOO52u4/64Ud/5StfmZubX1tb + W1hYcHyvqKpWq3XyzOnFxcX+aEjq26c+9amHLj4yGo2YFMPh0I/COI7Lsjxw4MBXHn5ocXExSZJ2 + vUZWKFrrxaWljY2NuB4vLM5/5aEHlpcWms3FE6dPnD59slGrc85rUX1jY6Neb547d67T7DiBX1bG + MJ4miR8EDKxwZFXkjueSV0FRFO12Wym1trbWaDd2zZSbnXZZlpMkIRq667qj8TgMw9FkQuy70HWy + LMurskxTAFBKkSN2r9cry7LdbgOyyXSK1v6fb/y/Ai9URUmlVZ6XQRzlRRXEUaXLSinuSCY4B5bn + +eJ8Z3trs9mIVZkWeTbXak/HU+CCc67QSs+11hJgITifCdYRadirlFJKc84B0aKl8joMQ8/zsqrc + /ZattWBnOR6cc2MseXLKnYD53SVBUWa7cgtCPcqy1FYxNks4YXyWOW0MfN1tzhhwKUSplR+E1hrJ + Bef8rz/8N+k0kdKdn1vY3OxVFbSaS45XGw6SMGpUVWWMEkKFkTR3TQf9jYMH9t35+Vs5FwAQR/Wt + 3nZcjxDAC8K8VMZaVVVveMMb9u3bd/jw4UsuueSnfuqnPve5z7373e8+ds+x+x+6fzgcPvHxT/yr + v/ur48ePM4s33vDixz/+8Y+7+nEn1k7+wz/8w2WXXbaxuen7/nQ6JUeZMI5uv/12ks0IIbKiNGjn + O3PnzpzpdNq+641Go1oUl1VeluXVV1+9sbVZVHmv16vX60EcMcaJ03v+/PmlpSUAoPlblmXGmLm5 + OWIfkD0X6ZQajUZZlsPhMC+KIAgIpeKOpKOYZu8AQIoUj39vSxS64+aiueFwOJlMPvaxjxEvw3Vd + ugJarda11177+bs+v7q62mg0+sM+55wxIRhzhETgUkrJBUMAxHazeWDf/jiM6FKm9WOtVWUJzKI2 + MmQasCyLWhQaY/zAu+SSS9bX1w8fPtyoN7XWaZEDJdQDCITd7tBy63gulAVHWJibj+q1k2dPn19b + daV71113bW5ufuYzn3n/+99//Phx8gnIsiwMw/X19dXVVc/zbrrpppMnT77yla/0Q9913TRNAYBG + Q/1+/5prrvnd3/3dyWTyjGc+82//9m8XFhbOnDkTN+p79+49deoUuT3RpqPpBCnEvivvn3aZlHLW + cCJqba011GmHYUj9z549e06dOrW6uvpDl1+eJEmldbfbBc4OHjy4urp25syZyy+/fGVl5czx03me + ryzvSZJEa10ZDZzlulqsRcBYkmdhGPq+xwEAMJlMXvDLz3/7m/+oYjissr7O5WL7wWF/ibHId62y + hVbeRfuMwLIegcBoz/x//t3f9eZaYac9HHTrndZkMnGcaBaFai0AEEHxsY997B+/8S23fPaWZrP5 + zGc+89ixY9M0+fSnP91st8qyLFV1zU8/5Z8+9alDFx9ZW1tDBu12mzBoozQiNptNxlhZFOPpZJxM + 3TAAKZpz87V2M9PluMiYxbBeG43H4/F4cXHRMFDWgCMM6kJVo9GI+C9xvQ4AVVVJKcl36lGPelQQ + BF/+8pcnk4m1VoFpNpvdza2VlRVi91100UWkBrzuuute+xuvffd/f/f58+d/4Rd+4c477xyNRm95 + y1t+4id/8qUvfemTnvQk13Vvv+MOourleQ47YbJfe9t+L3sYyx7R6X2Pnu9bg0THBwHzJHGhCXvo + zTYwla00zKUCCL5xSu4PGGkRAL5hujBjldEcIM0yPwgqpRjA8vJykqWMMS6FQDkcjVzfU6oMojAr + 8qgWr69vBlFoDWR57jhuGEcWsNKq3mz0Bv1Gq5kVOTAAxiqt8lFRa9QpMHRtbS2Mo9PnzrqCT5Px + wvzSpEg2NrbSaWLMTKRu0AJy5EwAM1TPc5QPi6qqSKlZazbSNHUYBEFARAIvDCZpwhFc1y3K0g8C + y2CcTKXnjpPp4p5lANja2grDkHO+ubm5b98+yrS21hqlAZgQXJvKccXx4w8Jbi0qR3JE1MoiInDB + hUQmDMLptc8h+IgRoBCgBCoGmoEGQMut5cJyblA5gbBGWW05sDAMWcXolkXEKAx7vd4Xv/hFY0yj + 0ZhOp0Sh6W5vz83N0blMhz6lWyiloigKgqDf7588eTJNU0Ss1+sAQIp8UlWWZcmtZYyhmYUbztIh + paSbg5ao67qTdCoEMG45e8Son+yltdHK2LAWr62tJeOMc1kLWsB0WU24AA4BckH/8ygxiMjAQUSL + FWMoJDCQaL3RZGxsLlwex7EfBFlZeJ4HxhpjdKV8x1VKKWsYY6S8Ypw7jOdlIRwJgju+Z4yh/6Gq + Km0MAPi+j4ibm5tUQTqOs729PR5P0Nit7b4r5DRNvJ5rjWEJ01oXRRYE/jQZLywsnDp7ptOef/Ch + h8gfibqdsixBcM/zaCUAAOVaNBoNolUIIXZDh8nOqKoqmpfS1iFPHvLCRoalVshZVhbGGO7IaZa2 + 5+cIog7iaDqdrm9ttufniqIYTsY0GHxkY+7MGS48SS74F2Cc7x411FS4rpPnueQsyzJEIHUKudAC + R98Poyja3NxcWFgoirLT6ZApvOAOJdXSBS+lPHv2bLPZpKksmal4nkfrqiiKer1OrKTNzU1qCImL + H8UhlZvtdhsAptMp9VpxPZZSqlJdcdXl586t+qHn+M5oMkYmDYPOfHs4HkvOVVVZaxhaAyg4R+Rp + UXieG8QRIqsqTUQmWsPUdcRxnCQJ7SBiudAHZozled5uNqfTKZ3M4/E4CAKLaIwRXJIXglIKoAiD + oFCVcD2uWFlZKbnSCRfgO4hYWQYceT32tre3fN+vSmQYtGanmeN5jtaaATMagyCapkkQBGCZ5EKX + lSNklZfNZqssK2stl7wqK8kdWsOMsclk4rhOlmXSc0ej0eHDh7PJuKoqhtZxHDCW3jOBPuQ9I4TI + sqxWq+0SbumdO67jSZGPi1o9JqKUI5kxVkriyu6GIMHOfwJjXAhZVdoYFbie67rTcRrV4ixLesNN + L3T8UGjslfnQCbiGhDkoHctAF8pwsI12MJz0uMOtRWswLfIoivI8X1xcWNtcq9VqYCCMoje/5S2L + i4vtdtta2+12e72e5/s/+5yfm5+fZ4z90ZvetLGxYayNwvCjH//Yv9z62dFotLXdpe/R932llPTc + 4XB45OCh9fV13/PCKMzzvKq0FweMsWk6bXRaBnCSTIXDHV/OLe7dv3//YNAL48BRcpqmeZXHUT1N + yyCM+v1+vdlQRgNnQRAWZSldBxXkZRHXa8aYNM+IRAAAxHqgM7PValEIDwi+y4NFO+tmOf8aDuO/ + 66E9RZuaoAHOuec4DEFBFYfR4uLiBz/wl5/85Cdv+5fbrDZobKvR5MAuOXLxv972uTIvbrnlluf+ + L8/b7vU442StGbm+7/sJY9YYjXZ5eRkRyRHOKAWMIRopRD2OmDWO5GWeAVopAI1miEZVtSi89OJL + AIAIUQAz0E1rjdp6nme1UUaD4KYwYRCAFOPRyA38Vr05GAzJhfUl//t/2rtn5cce9/gv3n3PF7/4 + Rc9xrTbD/oCsMn746kc/86nPOL9+/nGPecwDDz/IpADPEszq+36323344Ycf//jHf+lLX/rFX/zF + 17/+9d1ul0657e1tuv52h7G7ROXv2rcCQHI+IRxjEGDWqCtlyBipqvTmRndxcfHgwYPD4fDBBx+c + n58nuuBkMkmSZN++/Yh44sSJAwcOEChDxxdjjAmeWuN7QQk2U2Wz3SjzarDdi8DlWnOL0+n0Pzz/ + uYtzLU+pwfFTi5H8CjNrynpWSWBGsGSwNfR47/7he37tpXedOhF02i96yX+69/77bFV6aGPXRWuV + Msx1pSOno7HWmgyrTp472W63f+n5z1NKteY6t9xyy6o+R2LmRqPx6U9/et++ff1+n+4+AHA9T0iZ + pimXYjwdUyRjkmX1ufYrf+s1cRynqrzv4QeNMdyXHIDWmIzcAisncNa21r5435f+4v3vT7I0rteo + hhGOM51OXd8LfY9zfuTIkRf9xxdba3/lhdddd911nVb7jjvuOH/+/P6LDlx//fV79uw5c+YMnYE0 + UPrcF/71x37ix6/8oasajcbKvr233XbbysrKsWPHXvjCF9br9V6vVyl12223UQGPF6jyYIYvMaqR + votL5X/8831rkIiSS5c90ZwAwHVd3/dpvE5hFHTnUYX9NQXNDAb+XlLsGP5Atl/f6NmdY+R5vr29 + XVVVFEV5URbMNtotA1ihaXbabuCrSo9GI2QMERAZcK5hlpXJOGhtpJStVsta2+v1dr8g+iustXme + S8allL7vM8bKsiTrGzJy4ZzTBKDT6eR5ro3JsqwyM+QJDAaBZ621zGpTKmUQjVECABzHMaiBVRYq + sAyBAXLkFgEBOKJFpplFBEBuEUHp0g0jqy2ipXjPOIrG/VEkYvrTyrIcD4bD4ZCgHfqcVVVR402E + EGIRSCmJRRAEAWGr29vbpK0n4UEcxwSTIGK73XZd15SF4ziMW73jWSSE4EIwwYXghIchohCC8911 + y4ByLRgAGNd1jVG0vIPQB2SVyoxRrs8RtcUELLMz4zuLjAMYYMCYAdDGGsYEA8M4F4xpraXrFEWh + q8oLA8GFqioA0GglzLxZK6UI7nYEnwXVIwLA7JNzbqzdLRp2wTzadJ7nIUJRlY5wNbdlVVKmtXR4 + McmlK+utWqMdNxoN13WNsUcvv2w8Hp88fSqII6s1ciY4F4Izxjg1JhbR2rIsC1VpZQhC3iU1UctK + MD/1S7sfxgJqa4jsQVoRquCJJEnnRq1WM8ZQtUGOjrRBvn6O9A0fpdSuQIWY+mWZUXdXq9UQgXQU + QrAoirRVJMIhE15EiKKI2F+APEmS0WhUliVlbaVpOhqNFhYWRqMR9eHb29tBEDiOQ/DedDqlsVhR + FFVVVVUFjMW1qKwqwbnvu6oqyixnYClQ3Pf9e4/fi4jz8/Npmhq0zWazNxoJ6aW0nut1RCxQ08th + O1FORJhhO1osIcR4PI7juNVqDYdDqqHjOCZmTrPZpIkWweHd3jaBWUEQBIGntZ7xFw0ygCAI4ji2 + yiqlrDauIz0/QjQWcgPKKGUBrUW0zPM8i+C7nhCOrlBVVitltPZdaa1FxlzHLSpNUc6IWBYlQxDA + BILneaTQ8H2fIfM8Dw0QgkNLwg2DsixLrfI8n9UfrgvWCCEcYNJxiGJE6x92pPa03kgOQXxarEyV + WaJu0sKYETUfcYjnwL6qR7LWOtwBAME8rXWvt92oRUkyaTRqxmpjFILiggvG0IJG6rXoIrsgHhSZ + EFL6TlVpAKDsV4oikExaaweDwWAwoM88+4s57/V6o9GIc/7IJrJ2miaVVkmaaLSMCaVnET2MsU6n + MxgMms1mWRRk3xdGUVFANGfQAAAgAElEQVQUwJnVhll0pGRSxIHfardbzeZtt902TSdXXnmldN0w + DMsqBwAE2N7e3rNnD02AKUo1SZJWq0VeL7vkZOr0XNcNgoBIQbTaoyiy1iqtqCNijDHOdnul71ZQ + LOFfjDHCbmjGorSRAd/e6kqQURSdPHnyoYce2rdv32Ay6HQ6/X6f9sJzfvY5P/Psn5mfn19fX9+/ + f/9Wt0snfKNWP3Lw0KDbH/X6Ws1s9zjnRLoDAMYYGgRuOFi0BowRDmeCIWNg7deXFo8oNxjjO3mZ + 9O9SSMMpB36GwRmtTaXCWjQajT74wQ/ecsstV1555ctf/vLrrrvu7Nmzhw8fbrfbp06darfbx44d + u+rqq5785Ce/67++64qrr6JMXjrQjDGj0ehjH/vYNddc89nPfrYoisFgoLXudDrDyXi3zIWvPj// + DRP4b/uZgQ6MMWMotRvI/juuhZ7nyWYzyzLX2lqtVmk1Go2I90GpTbsjceLsIQPLGQ88ZU1pNOa5 + J2Tk+RpVLN3/+KIX/zJeO8omTELIQaSZm5VzzPW1kRqZRQ1YOmLqysSTqhbkjJVG+2Fw4vSJWhDo + Ii/SvNlaIPNz8q+rqurBBx88e/bs+977XgqkAoDhcFiWJSFiyNlf/+2HkSBXKaJaTP0hiSprtdry + 8vK5M2c3NzfjIJxbmH/hDdePRpPF+YVud9OLQscVv33z79RqtWGvX6tFeZ4rXZVFdcmlF0spn/SU + a37lRddvbGwwxiwAY2xhYYEJfuLEife9731/9Vd/tWfPnu3tbTriVldXf/u3f5tAsYceemhtba0s + S+IHHTt27NSpU6TMrKpqeXk5y7IzZ86MRqNuf5uuHq31NEno+nMchxxHZ8uDzXjOiCjY99Bk5f8P + FLtv9jNwxrRFZlEKbhEIqyaew25eze6l/vWKatqiiPjNgqi+K8+/QeCEF7jQ7P4KwDdQJX13v1xa + 9JzzWq1GGrs4jvM8c125sn/lwfsfPLt+rt1oz83N1Zv1wWjAgBtABMsAATi1JByAS8EBQPBKVVQx + VHqGtgIAQwYABhDQgtawYwxqjLGIc/Pzm5ub1CMNh0NEZDvOraQp1KaaTsdWK9fjnud6nieELLIy + ywpPhGAtoiIuDEPGQHIQhhlghiMyBDarIBgAuI5rrS6rHDlrxLUsSfM8D8MQSiCIazQarZ9fzfOc + 6mmrtOu6RVEYYxaXllZWVoIg2CXS0N0ZBEFZlqurqxsbG7tkD7bDx4uiqNlsNptNKaUukGp3qlF2 + WwvHk9x1XNfd5epwyWZDCwREBE5R0VxrA4DWGM65JzljAjRzXcnAIOMWDCJydC3sDDoYAljGAUFb + zIFZhpUQvu/VkqyqlFJa+76P2uRVFcdxVZSPcPwAlNGAIISwiHan89k90cxXR8Tsls6Eo/u+73m+ + 53mMibIsgTMvDEbTkbV2YW5uMhl3t7ePXnZJt9vd3NyMo/pll13RbLfkuttsNkfjMeO8LEu8oB9j + Fhljju9Jz+VMSNepqkramSaECQ6ccSnQzLaPBTR2VgtyOWN771a01DuR8xLhApxzqsmklFZpIMjz + EeDzq+54+qXdGz8Mw1qtNhoPKIY8CAKl0jAMC1vlec65YIxNp4mUvNPplGnhOB6XsqrIEtcj6ZrW + 2nODMAyzPCH3gq2trXq9HgQBSWDpJ7XWxnG8tbXVarWog2KMua4bRZExZsYFLZWxxpGSdpnv+4JD + s9ms1eqIuPbQuUkyfdzjfizLyyTpNxot13WlFFVVSg6u5KS7o3ua6JeMMc/ztdZ5UXqe5zgzxzDa + GjRwo++9VqvRO1RKUZnruq5RLvWxBjGfTn3fd4S01gIwwYWplLKl1eg4jnCcqqpcxwNEzpBzwSQD + Lhg4jImqqqpCuY4PVrhceIFARJBOFLKqypWqBBMA2lrrSE8rHYahUdoXLrcYxzEVQKHnT0bT0POF + kIjoOBYRp9Mp5Bki7spaKq2qqjKq8jxPGYsAVMrToUQ/fhzHtOZ3eUS1Wg2Y5RZqPGaeE4ZxEERM + OgoJ8tihX++meVKnZBkiKFVKKQPf832/0+lsbW0YrY2hBon6E6S/F1A8coYjB+AMOaDlnJVFBcDj + OM7LrNfrLSzOGWPUju21tdYyoFg2GgCmRc52TMwJnbHWTrJUloXWmgshpFTWKKOllKF0fN+nApox + JqRstVpCykIpwQWTnDEmONdaWwtWIwCUZTnc2Brv2ydd1xiT5WVRmmajjQgUZU7ep4hIPp/0PnfP + GaXUdDptNupFUTDG2u22sbbb7dLstEqTr59OIOJ3er1/s3pDSocqijxJq7zwPC/yAwDwpLu8skeB + Pn32zNGjR/M8H08n8/WFh08cnyTTWq32tKc9rQL1dx/5ewDgwF//+tf/3M//fJmXBKUdOHDg4L6L + HrjvfpUVF/7NtCYYWg6WWVKoGAZWcs6BG7RGa8YZYwIACKwE4IiGC7pKAARDAM4QGXIBkgvGoahK + Y0EQ0mQZAK+qav9FB+677z5tzb/ecfvrfucmbc2jHn316dOnwzCsNeoGbZGXYRzd9q+fG4xHy8vL + hapyPUvCIPzrzjvvvPHGG5/97Gffc8895GNEYBN8dS/07XRH31HNatk3LI9oEkuXFNC3Nh6PETGK + A5pd0ASeynSllLPjKrm7eHaZApVWGmymyqgWS9epkuyWW255/i89N9Qc0U6wSrAEFwTHunRsksfc + F4Zxa8CiYaAFlI4oBcsAmeMqpUCr6XBQFFng1+dbze3RgAmP9l3genEcE8KFVLIyBgCe7zdbLfq0 + 49FoMBhEcayUEuhI18nzPAjDOI6rqirLcm1tjTDZdDJ94xvfuL6+yZmkk1lVlRCsEdfSbIrGMgZB + EDCOHEW705xOp+fXVg8eOlKW1SRJGo2G53nb/R6FFjQ77fX19fPra0KIqij27NlTluV2vxcF4Xa/ + /9GPf5wQuna7TUDGcDis1WpZUXQ6nTTPHde96NCh4XhMNmD9ft8Y02y16GNXVUWl8mxq9G0Akd+V + 9fM/4Pl+UuwIoSeDF7LRIOdourfIVH436Iq2Kz0XAi3fn0//g/fIHfULmW4TnpokyVzQnDUwSlPB + 57meEAJgFpUAbMdbAAAYL8vCFUKrqqqqOI6DIKBkZRq1Syk9zyNNc1mVAACCa63bjSaxWqMoIlcA + IFDHcchvlypaxpjjSuGKIHTKshgOR4wJq7jRzBGc+rTZl4sSwEUOhlcABpCDnZ2iFsBym+ZT7jpx + Lc6rsigyKaUuK9cJ0VrORVmW3W6XfL3rQeQ4jrFId3Ycx0tLS6RlpCKbxEVUCK6urq6treV5HkVR + lmVEABuPx47j7Nu3b+/evbADUFVVBVzsQs7Ei/B8HwWHCw7o3ccyADZbw4iaMEgpmACmVGktMMvR + Mk5SJQGMSUABKGlGxkEjB8HRcgDUGg0gcAPJuGg05xzHKVVljBFSEnDrSsdaimtC5IwzAQBcCG0s + 2wmE2e0udkdGF9LP6HeJXal1yhgTwqEKXhlNs/hplnCHO0LkeVoUWa1Wm06SXq8X1WtCiCzPx+Nx + a65DqX/0s2utVVECgO95UkomxXg81loHQYA7fFqqxWl0QB+P+ljOOZN8d6pM3wUikh8D9bc00COP + 2q+xH/12yCG6UjRmpBuXOodGo0HrhHPhum6r1XIcobU2SidJ5och51LspCiS85WqjOM4xbCYTqeE + XgNAmqZkLTAjBAYBuQVOJpM4jklWW5YlkaDIaL4sS0c6aKHISslkFAWjwXBtbS1P0oWFhcWLV+bn + F8MgprTBhYWF9c0tRNSVoraTxAa71arjOEII6v2IjqKVQcSFhYXt7e3t7e2VlRXP8yjPmpg2lLdL + 8xNaD5PJxA9Dx3GszYkLxCwaY7iUZV5wzrW2ruu6QuYmFVxZVBYK1BUAAAoGgGAcVwjQURDkWYnA + ACHPc0CtlWESENEQDdhaLoAxRmeOxx3KEqWwDnqxFeNg1a4GKYoiAikKVe3ubsF5hTMUgJoHWurE + uSWAg8YLxpg8z7e2tggoTSdjP/SKRKdpWpYlA2vB7khDvlaiyhEQQTqcMbcsMs6s77uku6NRmOv6 + ANaiZhYZRy4dy2AnJw0oKo1ZAcABBYDJ89xxhO97eZFYq4si1xYAOKEzNMOnGpGGrkQbJuNpOt8Q + EABRcCEFk4LpR3wssiwjkMhxHKWU57pFUWhtUAhE5Aw0Y1VelJBZbRDx8OHD0nEWFhaITmMBs6yY + TqfkpkVbhnQvtKoJ6KQjFwCm0ym9cxK5aa2TNCUuNw2fd49N3BmuCCG+WxS73Xfied4utx8Rx/n4 + 5571rLzK9+/ff9dddx05cgQRSyhbrZbWOkmS++6779E//OhWq9Xr9e66++5feu5zEbEqCm6xVqs1 + 6vXQC0db247nMYtoLBrLL6hMOLNGKyml1WitBsuQMSQND9IC4gg0igTGZoA7B6atRW0M42gsWmuF + 5YxAK+BSCs7pi5tbnF9dX1tZWSnL8tChQydOnCBSNHHkFhYWaBxKKMnm5ma3282rcqEzF8dxURRZ + lvmel6bp6urqK17xiuc9//mEZvZ6vYXlpTRNd+uuC2+0b+cg/Tafb6HyZoxxPkMhKR+JcfSF02g0 + 1jc3kySJ6zWCxmh8SmfUhcAfAASeL9gMGEqSpBHVahCsra3ZSR4EwYRp7Qvl2TxPI+F4wMtUM8YE + MBAcmTUMDOOWg2HcGOMLx1RlPQzq9aaqlMUqDENkDi0wmlfTHpRCkCEhPVlZ5FVJEHan06k3Gv3R + sCxLpZSxlnYuABDJBRGllJRv5nheEES9Xs/lGNejqiqyMsvKfK7VzvO0qHI/cJvtZhgHru+EcfDg + QyerSgVR5DjOcDwSO8/29jZZE+/fv59H8erqahiGhDSRW28QhWEYTtOkKArHc+fn57U1QRBI10km + 00or3/UmkwmReOk294OArhhrrR+FuEOxQzYrITjn/5Ni9298EJEmkohI5mBsZ/xN1xXhTIQfUAM9 + OzztrqhnVsN9v36Eb/HQZ/r6I+Tr85HIq4kxIDcmBrN/sQyAzX7Xskf++WbnEqK1DCxaMFpZwx2J + nHU6HcfhVV5cesmRlZUV1/EHg0G/PxQctDZgLeAsrI2YbMBsGIYkCUJEEqsQ13FWQzPQ1oBFIYRD + IhnOkIEBjOq1bre7vLxsrR2ORgsLC1SdaK01zkbeknFdaSZFWaqqUgDckaEbxFJ4pDOR3AGwiAxQ + MPSBIecITAlkjIOwHAAss8hwfr42TidKlYHr5WnGHSGFWxSFBx4xo8bjMXGfEFFrDYjW2mazuby8 + TCZLRIKHC3r1jY0NGjTvluY0BaLQ7j179jQajSTP6Aqx1hqEXTad53m+73MhCq1oVbMdxra5cNJJ + vwiszDKl8ij0PT9Ao10hPcfXihU5MmAcFAPBIARwEBDBcmaRVRw04x4wzo1C4zKQQS0g3mNUr6V5 + JjiXjpskSeh4BtCg1VqD4FxwBCBniQtgnpnsBq3VONtWxs4qCU54obWCc1KYkIFhFEVpmk7TpKqq + iw7sH4+H0+n4iisuA4CiKK561KOVMsTBQwCqvXZSNWfzRsk47LT0RVHQmA4AdsOmgMI9d17gzM9q + J4TXAu6uyVkXxFlZlmQApbUWnHEplNZgkVbpBdvk/+O4ID4V57zRaNBMG3eG1Y1GwxibJImMwrIs + R6NRrRY1Wm1EzLNSK22MFUKEUcwYy6aZrqp6FBdp5nne/pW9eZ5PxpO9e/fmeS6AhZ4/mUzGg2Gz + VmeMcQRPOl6zRTiiYMwqbQB9N/ADtyiK6XTK0BijAKARNxYWljjnlxy5lGae1oIQznA4ZIhg0Xdd + LqWuVBxGrusatEI6UsrRdCKlrDca5JTNOUdAybgqyjgIgyWPI+R57klHFWU9isMw3NrampubG02m + Sim305G+43geCX9Dz7dKg7WMMUdIRzrcw1qtNhknplLgCYezMBAI2liBINEKtBKtD8hNlQMiWpVl + I0f6rusHAavVmqosNOqqqpRSUroMZu9fVZpISYjImOBc1moNBiKKImaxmpEGkZALwSBNU41Wl2WS + JKHrCM+jVQ3W0NIiei0iEqFxcXFxPB5Tg00xmpxz13VFve76XjkdksddBZZzbr5hgYizMbKulHS4 + AJCM1xv1s6fPLC4uZlmGljOkqGgOFoExQE4mirt3wuxaQIYI9XqTsbExBq2ux5HRFYD1vMACM8aU + qsqKnPaREEI4ElWlrQHLGGc0BFNGcymAc4ZorVVGA5sdWUTGpuJyPBpxzsuicF039APagxxASukL + lzEWhyFjzPeDQ4cOoWVnzp8bj8fSddrt9nZ/yBh3HCfwo3qrmRY5iRuzLNNax76X7FhFG8BOp6Oq + st1uE/BsjNm/f/+ZM2d2iZQzZg69i29ulfZveAi/oKKNsLwrr7zyCU94wlOuecrlRy9/zW+9Zrvf + v+To0Ze//OVhGFZW//qrXrV3794Xv/jFd9199+HDhweDgQXo9/u1Wo3sv0M/GPYHdsfnw3Ec0GY2 + BCP4CcGilgyzdCqltNZYa9H6dNwJIRH4hacRQw4crTUIRmub57kuctd1GYIxxgd0PFdywTmDndMc + LCqlPvyRj9x3330A8NSnPvXmm2/u9nrz8/MvvOGGe+65Z3Nz8/1/8Ref+cxnOOdPeMITPv7xj6+s + rHT7PRLUEbREG+FDH/rQjTfeeOLEieXlZfqE9KP5jruDUj1i2QrAvssEmK+bI+2UeRyRSelaC9ba + siynMN1/YN/5tbWiKJrtFu3TC9G92cukAh2YQGuLImjV5ztzD585hWYcWHc8TJaiJnM9R7olVAak + G9VVWXImjMeBcc0ZSkbVGlpgiB53DSrP8YbTZGJtFLqcscoaLoTdJUcA2zGPUaRHoPsOBGcwC7Z2 + hUyTZDKZUEErpWy32zS1Q8QwihBxNBxubG0Gnp+m2dLinul0XK/HFvVg0CO7yLhWG01HYehXRRHH + TccR9977ZcH4oSMXu667d/8BANja2ipVtby8nJVFWZYEyS0sLCRJYipFSBAAxHGsrJkMRyqzkR+A + 4AAWOdva7grXmW93JmmiVOUIjwkexhGFYdKZMxwOqbXzPI8L8Uh9Tu9t5+v4QRsKfUfP961B2gXt + aHaUZRmR4GkAQr9VliVtVLhgvPsD2xR9fx/qMOldNRoNWr6NVmt97awu8vn5eVOp7vZwbW1tOBxn + ed5stgEALXAGjHGzY61W5UWeZ8J12u12mmdkuFev10nUQVgOWPR933FcA8gFj9zoxFcePHrllRyh + 3+8vLCxsbW1tbW3tqlkc35vxJJH5fugIVlW574ecuVlalkZZKVRlhGQcDIUvAzKwhviKwBCtAAS7 + w/i3HHu9XlgL8zKzFjwvqAolmZDCdbhDsKjWulmrUwJsWZaxHxAqs7y8TKQv4v6RRxa5Kq2urlL2 + GaFBJL6y1tLsiFYmXeGE1+4W8a7r1ut14TqFLrMsI7yKc24RgQEiES8ZZwyAAxgAaDabVeW6nAFD + XRUKGVrL0HWcABEtFogI1pD3HQc2GwcxJhhDcMBwZgMm3CxLgsCvypJNmRf4FGhIRgu0MCwDRMss + kA8Hs5aEQLuDI5om4Y55q9mJuWCMAwDhypxz3/cNzvoZAqVqtXhjY01KHkXBiRPHfd+fm5s3xmxs + bKyvrxtjojheXFwcTsaTyYQ+j7Pz7M4EiqKYn5+31tJpy3fCi+jD7HyS2W2dlYXWyvFcIQSlHD5C + PBOCrhlShXmeB6QtFhK+bdI8B3B8f1eXsr29TXq8LMvq9cZ0OgVg1JsBAPmSj8djY4xWtt1ucy5I + HEIWWFrrPXuWyKeO/qilpaWHH3640+lQXcI539zcJFbDrj0DBWK4rjsYDIQQBhmJ2hcXl1whppMR + oqmq6uGHHw6C4Mihw91ub2N1lXwgZtMSIQTjlVZlnjdaLQCYDMfCkbRKiQFIK19VVeT7nPMTJ05Q + ojQ5XDebzcFgQNZVBDFQREYYhufWzq2srJA8yZOe1jrw/aqqHMGNUpPJJPB8XSljjOe4RuksmSJX + ABljyMBnKBh6ANyTQmBObWG71VbWVFXhuHJ9dQs5a7VaUnBjsCpLYMz3feToSCmlRNclxoHv+6ur + qyt79phqJl+hJS2llI6DiFHg18IwiiJmZlCIEMJhXBtDAMeFnbnv++PxmH5YmprO3P+lIJSURn9V + mQshtFbfFEG32igV+rGI/KIo+v3SdV3OpesExhitEJEJ5jOGYJhBZGIGnXwNnVtKOZlMHEc2W41j + x+7pzLVqtdha6wohOM2JJW1V2ozUstJeps3CGAPGkDMDaNEapQDAdRxqkMDibpcyNzcXhiHZzSXT + 3JOOtUStQwBAY6fGlmVpAecXF/r9vuu6i4uLvUF/Mk4ajeZoNFpeXu52uwDQ7XbJyJQOCrrB6dh0 + HEe4TjKdcM5ps49Go3a77XmeUopJ8Uj9/VUF1ndnUkG6LCklebJNp9PV1dVbb7119dzqfffdd8/d + d19y6aVZln3oQx/60R/90fvvv7/X691xxx1CiEOHDp05c6bdbnc6nec973lFVRitrTKtRpN4Lr7j + E9ER+Myz9JHzBAHBjEZ9IRwAKxgzqnT90PM8KR2GzDIGlnHklpHcFMEqC1Zpm0wmeZpEQShpEogM + GUjXd1ynMsZai8YqpfI8f9nLXnbkyJF+v//JT37y1ltvDcOQPuq73vUu13VvuOGGIAgWFhbe8573 + HD95Iq+KMAyNdKqqojTVLE2llF/4whcoR4s41a1WiwCUC9UNbIeAvVtp/Dsfjt9UxbALfpGw0HVd + RENwBq1zIrMQIELKN7pWcOcBQnyLKh1Nwjhqd5r79u0zxrhKaCfwuJ+V5SBNp7ZisetHQZlkuYV6 + 3DSAJVhl0AIyBGm5sKDL1CotYx4HNeSIXJQ6nyZZ4DPGZjlRvuNSJBGBiXQrKWu4tQCgrUGlQFoh + ZZIk0nOBM6217zhUQpRlOR6PAcD3/bm5udAPhsMh55BlmR+4TGEYhjveE1CWueTWdd29K3vOnTu3 + +tDZpUPLjVo8Pz9Py7Ver+dlkSRJqf9f6t40zK6qTPtf0573PvNUValKUpUZSEBCCKIMSkAQIkRB + lMEBQVGkhQjSqEyiaDPYrQKKLUojKPoCIiAyiAEkCoQEkjClklSl5jrzsOe911r/D6uq4G+379Xa + 0t3vuvIh15WTqnP22cN6nue+f3ekqmoikWg2m7lcrlKpRGEoKiUAQLVRVxQFEgwh5AgSQqAEDcMQ + tlgKeKPRyOVyhJCQxmEcKYrCKBUbjCAMxdCeMSb0vW/VngAAGGPk/xIs+P/CetsLpL90eCAAYRBA + ABRZDsMQQZhMJEzDqNZqjLGenh5VVUdGRsSpMyfwmPm/b1Gavq2HH3FA/wu/4K03+L/kRPp7LeF7 + gRCKZ3yj0ahUKgcecIAuAU1VCJYCx4sCP5tOlQrFKKI05lQ0hiAAHDEIAEAAcQi57Tnj4+Oc80wm + I6bw4pkqblW6rnPKgiBwfA8hxACHEK5cfXC1WtUUdbpasSxrTusiVAp8Vh8FKA/ciCLoBl53d5eu + 6532eMACLMsMMYQ5wjEHEWAQQgkiwDiEEAIoQUAgBDOzLsAgiJNWygntZDLZbHUQwIIXqiiqAmVZ + lgnC4jhQSgkiAIA5JUm9Xmeci7bZXIFEKW00GgJ9O3d2zU29hQ6k2Wz6vo9lCUKIGMUY8ygW3RTR + U3R8z3bsIArnzEuMMYwI4GzGTiMmSRwAAHzfD0O/47myImWsFADIc3we+6omcU4RChljMEaAxzM1 + EY+4+CkMckYglwlMy7JSzOe8sCNr6tT0NMAIz8Zfzo2/iEQY4EEYMsAxxhIm4nPFcRyHkWj5iDc8 + s3OalaMwCBCArVaLQBQGEQBAgOkC0a0nWCT2+K4DORVX6PDwUPe8ha7nRXHc3d09MjJCCDFULQxD + QakCAIgJyYzWRZZSiip4GEIyJCoHOGuCEhsO8RQkhCSTScPQq/WaUIzM5SYLQZrv+11dXUEQiCR1 + WZbd0IEQzhmM3tIBfXP92fUtjpugFHQ6Hd/3JUmt1WoSVEzTFOS0drstSbhYLHqeY9uuaSWazXYU + hrpmAMY5YEkroUhqu90eWNj/2muvhX6QSaVrtRqN4kX9A3PkgBXLl+/YsYPFNApC3/f75vXWajW7 + 3UEIIQAhB4Zh5AqlsbExwFjkB/V2ixB0+qmnHXroob7nQAhLpe7R0dE4YqlUyg9DMcnhnBOEwzjy + PM+wTNd1I0rffeQR69evt23bsqxmqwNFUDLGnudJhOy3YkUQBNNTU+KEj8JQ1zTHcWJFWTQwsGvX + ru7ubs5YMpnMBblmu6XKMwMZ0SjlMWUcIYRWLFv+yY9/IpvNC1VqFDNCZMZCAFzOOWcqYAZgOmeQ + Q5+jQJJZEPnZbHF8fLzdrhdL+R07tj/y2COtViviTJZV0zSjOA6CAAEEAfBij4WR4zjCTb5p06ZS + sQgoAwxKkgQhlhXFtu2kIov99+jwcKvVYmGgyLLvOhhjiEkYRYIUP8f/ECwpznkikRB7suHhYdHl + DVyHAd5wOqsOOaTVavksVi2DUTA73pi5u7/lDOIYQeE4YjEtFArXXHNNGNBirkgpZBEHAGOIIISQ + wZgzhPFbnmGi+8MA4IzFiipJmEeRf/HGCxmjMQ1brVYYAw6Fn+jNC1nIQcWGTJzA4hLGhAh5MwAA + YASF5BYCzjic/aIVRfnSl75UrVaTppXNZtstx9B00TMi4ucHPgUcYxzGYfe8nrGxMUlVGGMvbt16 + z//5JcCYMVar1QSn+IgjjvjTn/5k27a4iGzbXrt27ejo6ODgoJjRMcYOPPDA4eFhVVX75s8fHBwU + JBWE/gOGCuf8ry2Q/tKrCSGaqkZR1Gm3OecYIUbp5MTE1NS04zgHHLhqcnIymUzu2j34xuAuIShQ + FEXBaGjvnlwuFxwbSCQAACAASURBVMaR63uEEEWWojA0DKPRaMiyLO4SmmFEUYQYn3t8vNnV5cB2 + 2jKWZZlAQnx/RknBZYUzQd8BACDEEQOIMwYARBjFLPA8x7ZtgjCQpCiKGHA5BBoikkTiOEZ4BsTn + Bb49ObFvdCSXy7366qvFYjGfLTDA37vuGCwRWVVGx8cMw3h+ywsIoXnz5tmeQymNwkiU0GKC0W63 + gyB4+umni6WSQIyK54gsyzSmb/1e5vrUf+3x/w/Xn/+Ut2ySIMQIoTgO2SwyR5wMoiid6+X9GX/8 + z94bZFzliHLo247LYwZ5yKljOzCMCUEQEz2R1FTJBxQARhTTkhVEeQw5hwBCThHADMoAyQDKqhYT + xhkgmtLyOm7gK4qczuUlLMNZco/IpRRXpbixuML+hxCRJYwwJpLvuMKtENI4jmIIRWQ8izlDEoEx + lSTJ97yJiQkaxYZhWLqZtAwe09APVE2hlHq+a2hKMZ937Q6nLArCerWWKloHrFguyWRyclxEXDYa + jVQmraqq26i77kzWRblcVhTFNM2QxpIkGYZBW82YUgAhJgQixDjvtNvtTkc02gCEqXQ6mUpNTU1J + kgQ4930fvEWHLxqUwk8LZ+GQIneOz1h//5oT4u1lrv0t668rkP4Ma/qfWX/p+MwJnzjnAiCWyWQg + Qq/tei2TySxZvgRJyPE9EaEQ0jhiMxcqRG9WR/87h3d/9h2/tSj6928YCYkdB4j/x7NIBIBIskR/ + +TUAgDgIkYCWMo44YFG8ZGDRxRddpGAgI2h33FQqFUVREESQg07HSWeylFIqGHFghh8AIaSA1hq1 + 66+//uWXX160aJFlmL7vi+0ajeN0MjV//nwe0+Hh4VqthiTJtMxOp3PXnT9937pjW05j2aLFnuep + ivKBD3xAUZSJiYmXXnppcmqKx1RkCEGZI4TmZTKf+fR5H95washjzwso5Y7tiUf+jOcEYwgkyhFn + EgAAc4Z5DAEFMAaQUhQzRNedcKzvRIZiAIAZCyFEqqzJUCKQYExCPwiCIPIDM6lhIU6KoomJiZGR + EVmWOQBCCIcx5ghigCmNZsQ8USSGS0KCQggZHx8fHR0VUyOAke/7EgSWZdEgFIOmRqORy2d833cc + h+MZKIU4w1WscAY4g5wzACHikEOGOGeMqYqSMq13H/6uj5/5sYH+xc1K0/VDM5HkEEAeA4AAMzgj + XMB4MOU8QoADGDMaAC5rStYwVSTFZ37i9OGRfbqmYYQ5hMJbghAQCgpN1SJG4zACnKtESurmHCTA + 97woioRNScaEYAIAAJQBKtgQEHCeTiRPOumk5ctXCDJbSGNCiOjTEQl7tsNYnE4larVKNpuFEEIk + e15AKc0VC5dcckm5UkkmkzFnLIzFro4xJmOSyWT6+/u7urp03bj33nsNTV++34pcJisp8t7de0bG + RtvNFgNcJhLCKApCxlkmlZ7X15sr5p9++ulWqzUwMLBixYowDLdt21atVgHj6WRqzepDms3m5s2b + AePSbIsdzN6FhHn0P7yAZnoZHGEIZUnq612+bNmSl7du27ZtG4HI0q0Tjj/uggsuHB7ad+edd/7p + uT8qirL+/ScesvZQTdPabfv2229/5plnIECZTCYIgna77fuhYRhnnHHGNddcY1nWueee+4Mf/GB8 + fPyOO+745je/uWPHjq6uroMPPlhEijWbTU3TPve5z23atOn5558XA7eenp5SqZQvlibHJh3X0dLp + hGEpimwZiamJ6Xt+fncymUxYqdF9+0zTxIhMlqct3cCQiN6wgBNQQG3bLnV3HbRqle96iYTlep5E + kKbrnU5bU1WJEF3Xr77iynKtet21X485MzXd9twTjz/hiq9ehQB44ukn//HSLyGEMICfPu88BugV + V10FOZeF+whC13WTphWGkUgg+dCJG5zQu/H6GzZv3hxF3DQzMcOMAc4I5xrkOuAyhJDBAOEIwMAL + mrlsolqb6OrOvee9R9Ao7jRbiqqYity2bdeNsUSIhDgFCEBOmaBVKYrEGNuzZ08qmdQVVVU1CGEQ + RKIwEGwl1dAVQizL8m0gEQI1TVXViDIAoTBSivJ7bp4mAMFCiCs+mm3bqiKxKAIAGKZmmib1O5KE + Qxr++ZMNMkG0kyQSxzyioSRJEgPT0xVd0W/57o31SgMjjWADco3HMmcEQgwgmt0iUwBDCCMAAwBj + AChE9Kqrv7pwyaLp8nh5skFBiDFMJbMUIgYgp4wBTqNYhIpijJHQ3Xl+zKgqK4QQwDhCiMWxmJVh + WWKzlktOGaCMihqASO9f974zzjzDc1xJkgEFYp7pdGzxQOGcQ8ghhBGji5cs2Tc2UqlVBxYvOvSd + h2WSKdf1irn8+Ph4T09PoVC47Zbvn7LhlFar1XQ9xbR8iI4/9rgXXnhh396hpGnVK9VCPnf7bf+6 + d2iv43vJZPLMM89st9uqpgEwM+KeU0rN7Lf+ThYGcbd/azNIFJYQYUJIpVIRqQPiiQAAEB7USqWy + YMECxtjk5KQggMkSsSyLhrHnedl0Royv4ziOPE/CBHCKIWcMAEYRF5JOSEPKVSphlRAURVEceTSW + eKxyhABAHAIIOAWMA8AggwQThChCIY3jOAQshhwDRuPQDRAgsgQkGMWRLBMiS5IiMwh0XRsdHSWE + 9C6Y73nedLUiDJmO40SMMkY7U51CIW/bdr1eQwjZnptOJAXNv9ls9s6bV6/Xu7q6hNZLpKvXarV0 + LiscMm8dHM3NZ/6OAsg/3xNzAABAEGGEOUSMM7H3oJQiCLEpOZ4n5EViSiMIDXEcs5jObdOFHYAx + BhDBRLbbjttudy3q0xNWGU27DbvZbFu6FVPGfRYEPiEIcxQGEYoZQABKEBJIOIQAIgARRzSkEiFt + x9UJJkjikHEEg4h6ThsAIEmSZuiQA6Hbl/FMQ5lzLiIWRRUHMdA0rVatqpqWNK16q6moimWatWrV + siwiETvoUAgVSbYMU5RS09PTlmXRKFY1gc+hYm5m2zbGyHXdaq2ezmZWrFiRTFqvvPpGKptDmNQq + 1Xyx4Pt+s9MW56fgkQou8cxQN4rbjp1IJMQGQBjXxaxpDuLabrc9zxO0w1Qq1Wm3TU1jUSwMoqKP + EMcxg0A4ZsXmjc3qot++ecB/2/pbJkj/+SKPAxDzmccPhFD0fUXfznVdTDCnLIwDVVds2x4eGRpY + vPiCCz93x53/5vpOo9kQBYEfem27lU5nRQgXIcR1PISQrus0jmkQ8JhKqhJFEcJY9PtFd/C/+Ob/ + tvXvzwlR0oipzlFHHskYW7Vqleu6Dz/8cHW6nM+VklZi/SknDQ7u6rjO9p0vx4xjDCM/wBirslyv + 1vp6+i677LK7f3r32MT4xMTE+pNP/t3vficUSl1dXWvXrrUsS8jDXn/99dAP2s2WKpw5HFx91dcb + 9eoxRx+jadoLz72w/eWd2XRaIkq1WsUYm8lEEHiu751++mmO75XL5UqlfMVVV3Zqja58IXQ9QGnS + MGkUywhLippJJG/45rd+de99e97YRQC0NN3rOGd/+CN/euoZicNsrjAxNKLr+l133aUoyo4dOz53 + 3mceeuihb13/T6qqjk6Md3f1AAVEfuQ64TsOPCSm0euvbP/85z7NGZ7ft8z3SRhoo2N1STUc31F0 + HFEW+krk+qWCuXxpd6c1unv39oFF83YPvf7wo7+NnTCbT4YxoJQasqmrCqdMUiRFVn3PSyczvmtb + hmm3m5lUknMIOYvDwExYccwAAKZuhXHEIKCMOa6PCeIIY0KIJPm+D2isKAoELAw8SZIIkTnnnOOY + cqCqhiLPeRWEJmd6ejqksaIoXhQKV4MYawS+yyGAQEIQA84AYBBywLmEAGIodAJTSWze9Pz5n7yY + BTyTKwJJxophN6JOO7bbEcaKLKMg6KRSciatRFEzYeF6dWJqbOr4951807/8MwVcYJeFaigOIkAI + jymQEIJwwfz5d9/x0+OPfx8F2PUCS7V+/9Dj09OTwmbw9LN/uP766zVVWbx48T/dcH0cx5PT01/5 + ylfK5bJuGAIwxTlYtmRZZXL65ZdfjuM4m0qPjY3N7+sTAGtMCCZIPFCz+byp64okDw0Nx5T+41e+ + 7HYcmci+H3AIdN1otlqFfMLt2L4fnvfJTx133HF33HGH4zg0ivW0esjBq/PZ7HSlctS73l2uVr/3 + ne9ElHJKfdfTFAURMj46+vjDj596xqksiD+84dTzzjvv8ccf7+rq+uI/XLx+/XoY8zD0L/nCxmOO + OSYOwmQm32w2Tc0QKU+znV3I+JtLPAPEPwmdhmmaqURiQan3putu+tFdP5wcnUjolu+FJx53/IdO + 2XDFly9fu/adp35ww5bnnweUL1+634O/emh4ePioo446/dQPv7bzVUppHIaqLDcajXQ6fdxxx2VS + Kde2VVl+59q1l192WW9v77zu7nPPOeeaa66pTE8ft27dy9u2vfbKK319fRdddNGHTvzQ166+ulQq + Qc7b7Xan1frWdddd/pUrEOSZZKpereWzuU6jmbFS5fGpJQuXnH3Gmdu2bVu5ePlvH3lEllToxhH1 + Fc3kIXCc1pqjj2YQfOwTZ9c7jUsuvbS7UOQ0JhgZmjxdqei6oqgoDL0l/Yu/8PkLV7/j4J/82x0S + JqamTk1MLt9vxVcu//L5n/30qztfgRjZ7U5XVxcP4wNW7PeNb1yrAOS4XjKZjGJm27aiKAGN/SBI + WCbnPKB+QtZHdu9dvmDgy5df89L2fY8+tnX+vEN/+cvHR8bK1WZL1XyOuaIo/f39/f35Qg5//h8+ + snvwuR//+OaUbgyFEeYYxDQCoSqTkFEAYgYBRDyOOYGQSKqsSGEYuK59zLr3BF6IwUwj0zTNZqsl + sLO2bYMQabLcbrdVgjHGFELPcbCkqIqCIDQNQ+yrJEIgAJIkNRqNTD7nOE6z09Z1veM4uqJCCBEC + XV1Fx+lwEEsScr2OqiuijTKDzBdCUAQo4D51O3anf+HiarnmO2E+kzdU69XtO79+zdcOXXPk079/ + adcbjfHRaMf2fSP7ptsdP5XJtlr1UkFfsLCw4UPH7Nnz4vnnn1VvTm686EKFkqSanA7LkGkYKook + R1HEIVMNtTI1rRo64gBipMkKliXfcTmAhqpVG/VSNt9ot0LPTyaTGsGU0ohSxJmESdtuizCuZDLp + Ok7k+kZCiT3fqTYu+sIX4jBuNO0oCO+9995GuVIqFL1WU2yL58+fv2y/ZURTLr/0S/92109ffmUn + ZhDEDHLQajT75vXqun7pFy/RgTY5PuG6bi6X8xxXU9QNJ51yxVe+amg6YDz2g/7e+fv2Dr3/hBMK + hcKXv/zlT3/q3JtuukmWJMdxAIQAv4n5BiIs8e+E+Z5j4eJZ0j2Y1QgAABRZiqMQACARDADgjPqe + iyBIp5J2pw0ASCYsx+7omhoGEY2ZTMicKBEzEAWebmggpiwKIWCYAYSBRFDo+qalA449x8ecmZam + q6RRq/EoIghl8l1eELds20ylJEVyXBfgGY0eR9AwtNC17U4TW4ahajGjUWA7NpN5rCWTnGA/DBgE + lHPHcZKZdMRoFDCEsQg3DGkkqYJdCVVD83yHYMgh55yLkb4Y1FuW1Wy1srlcGEVgNnvK933DMELP + BwCQt8CxAOdwRgP5dyM0oL80jOKc0kgmcsxjHnMJS4gjwYmUFCWi1EwkMCae53NKNUVpUDGy4xBy + BkQmBKIYO5wTTW9WK119PZO79hV7il35XA3Bsm9HMNCx6jluVlZd3weKQiHUVJlSWm/Vk9m0z6jj + ulY2bzc7mqxYCavpdILA03Ql9n1AmSRjKkmccwAhZQxB6AV+MplsN1uWZSEEccA0WREhCh3b6enu + nhqfyKXSrU4bakyCiIdxp94sFYqdTqfT6QwsWFipVDiENGamrNIgVBUlCn3DsDqdlmVZqqpOTU31 + 9/fXajVGmWya+yYnFUVRDbftBbWOQ7DcbrWy2QyWpU69JiuK63mmaXqeZzuOrCgcAAH3t2NbmH6j + IPA8L5PJhGHo2DbGGClKGEVxFCmybJmm73mKLDNKCUQ8plEQ6qYRxJHreZlMptFuGbohnMDCmwbZ + m9p48DaLvN7u9bZL7DRNixnFElEURVJkz/Nc21NlRViPAkrFCFWUxZVKZc+ePYVCznYdL/AlCWMJ + cc5lVUEIIZGOMkvccl0XAiBjDGc933w27kaWZWE6/+8fMQlZ7Vt/rzg/CCELFyz43GfO37FjR61W + W7nf/qefetqZZ54JObj++uuf+N1ja9asOfmDG77+9a/96tf3izEoQshuO4VCYfHixYHnV8uV3bsG + P/aJj3/ly1/5+d13FwoF1TDe9c53fuADH3jssccOWrXqhRdeqFer2WzWcZzZKtSz250Hf/3wL3/+ + ixeef17B2kErD+q07CgMDzzgQMbY2MTo4oX9yXRq9+Dgtdd+zfHcjRs3QsowRJqkCAZl5AcCiZvO + Jr9+zdd6kl2mbmCIOGWAcQmTi/7hC5dd+qVOqy0jnM9mZSItHVh00gfWT01N/eIXv7jtttuuvvpq + zrlpmq7nOLabslK20478AAImE5BOmLf/6E5AU1+65MYFy46oTjz/6ou7gZTABqIsMo0Mpx7mCR5b + J7zvlNM/ctvk1ODn/+H8UjGfSlq+63VsX1X1Aw5clU0lIYOQw9AL9+x6w7btwHFNU81nc8VivlGv + y7KcAilZljuOyzknWDaIaSaSiOB2uy1JEgR89+7dvu8zxlKWaVmWIpOZHgljGAFMCCZqxKiCke/7 + miQnEgnG2L7R0SiKGOBIwiIGR/RpOGeIAy78ukIUPUMDZnEYRX6UTecgRwjKyxbv971/uXVqunX5 + Fde9MVhu1RS3YxCU9P2AM1c39Ha1ccwnj6+3Xj9u3Zp17zn01w/c9/STf1QwaFJ/pnNDOcAIIySu + JgiAruvf+sZ1KlAQgJiDrmy+Wq3SwD/lpPWWZY2MjxmGgTAe3jv0zFNPf+Ob1z366KPTlXJvby9C + aGR438KB/nq15kdxJpV+/De/LRWLGz5w8vbt2xf29P72kUdESea4bhRFVjJhe+7SxUv7+vrWHLw6 + iqLLv/oVGkYykWLGVE2DBNcbjVKptG94OJ1Irlmz5l3vetcHTlqPEPLDQJGk0dFRu912Op277rrL + sqw777zzxz/6kZj1mYYh/G9PPvnkFy+7eGR4WCbka1++esWBK0QzGCGkq6rv+yeccMIrO3YsHhjY + uXNns15vNptWMilrsnhe0lkaHsKz/AnOOQRBGAhQbKFU7JvXu9+iRR/9yEd+ft/Perrm9S8YePbZ + Z2u12sHveMf999332quv7nh55y233CJUcHbH9Z1g69atu3bteuihh9rttvCuQAgFC2Tjxo3r16/P + ZDKu69q2XSqVxsfHM5nM6tWrFy5cKLxqQ0NDpVLp+9//fhzHm7duXrNmzbPPPiv0b8K2MbR7z7ve + 9a7y1HQukx0bHdV10/fDZr111kfPWnvI2l2v7sIcm6rZbrUkiHRZqUyUBaFu2ZKlMaNPPvG7Uz54 + csKyapWKKit2q8kJKuazFLB2p1nIFT9x9ln5bG5oaCiTSk9PT3d1dRmG8bGzzh4dHT30kDWlQvHB + Bx8khOx6/Y1DDz20v3vhju3bP3v++c9u3vzC1hejmEmShCTCAZhBd3Q6BJM4jtKJ5D9ecimPAeKS + 10a//uUWt9GdTSzNZiRAfNe3TdMM3eCJR19estTcs2v00DVrMyny2OMPY4gMw4hY6PoOVmSEAQMM + QMg4hQgigDmgURQghNLpdF9fHwIYAygTxfd9jKVCsZjLFRcvX5ZOpyngoeeNjo5WpyZpHHMaYwgj + yiGE4pQAswJaAADjfMmSJe84ZLVhGAJoOTg46HbswHcZiynhpmnwGUQQ45wyFkOIZ53rwi9HOaCI + wGQusXvPG6X8PMykKAgr5TKgbNXKlaaeCH0ytLv1+CO7MpnlGat/XikTM9BbZFFcJZxNjfutWpQ0 + Ugt6UzQIMOISAI16VZWIpMu+77M45ohPjI719fU1Go2VBx74wgsvNKo1gRlsNBqHHHJIMpncvn17 + qVTq6+sb2bcvrVupRKKnd94TT/6uWCyqiiL6jI163dT0bLHYrNb27dkbB+GB+x3w4otbF87ra7U6 + oeMZihp5vm/7miIhgvftHVrUP9Db27t3cPd7jzp6bHy802oHnq8oMmOsVqlcdO21T2/a1L9gAYvj + bDrtOY5lWYcffvijjz3SXSqdeeaZt9xyy7zunmq5MjYy0r9gYbvdhhDueHk7xnh6YrLU000Zi9gM + EXROwAPo/64utPDD1CoVUzfEozZlWMXurunRSZlgosiEkCgOEMCQwNDnccxMI+X7bdf1OIgzqaSq + qgAw17VhvSqplmFqlMWhH0MEAASzMxCiqqqmyL7d8RwbI04IwQQCRv3AwaGCCeGIYwwpoxwCNNN4 + AwxwBgGAMwmOCDDIwazuh8H/fZqb//vuGc6+AL75yv9UwcxnfH0IqbIfxulsJg5CFkZjw2NBHB19 + 9JFO29n96usk4MBKSViiEMUEBkEkUUYIyacTejrhRUHbcWRZThuWLmu+4y5aMhBFUaNdSycSPg2q + 9Vqp2D1VKcuY6LoOMZYkqV6vZzKZRq2OEEpZiVarJfISspnM3sHdSxYtnpqayqTTlDOhPUlnMrt3 + 7DzsyCOnpqYIxoauy5jQKLbbna6ebkojwzA6nY4qyTymfhDk8/lWq4UQUhSNcgZArCgaxKTjOHHE + OItzudzIyIhhGKqqZnO5Wq0mRm3Cg8coBQCI/EAhrbQsS9ifEEKCPywqKOFjb7Va6XRaDJ1c2zbS + GTMzI5ZZuHBhuVIRgt6OY8/pftFsNsnbbYH5b1h/S4H0V11jzWYbACDLcuhHEEIEsSprMiYYYYQQ + hZRTDhiUsByGYafVGh4aKuSK5XLZdV0MCQKYRjFBGHIgEcJiCgHQVFVIBTCACEGCkRcGAACI0Ftp + 4P/1N/835CD9pd8YBIHned+5+Xvbtm1b0Nv31FNP3XzzzZxz13MZY4899tjuvbt/+rO7v/GNa3/9 + 0AOyLDuOIyIaAQC3fvfWU049pdaoG4axbt0627E/9rGP/f73v5+enm61Wr/5zW8effRR27ZFTqsA + 4AojEIYoaVm//NnPzzj9ox/90GkfO+uTk5OTgILe3t7JsXFd1y1Vv/0HP0wm0x88fYNKJCOXp5R6 + QTATbi0RIJzNkiSwyy+88MLevXtFgp7Q69ebjZjRl7a/fNrpHz7k4NXXX3/9nqG9r77+2m233bZp + 06b5Cxdu3bpVmOYZBKZpBoEHUSwrnEJfxjCmQRzH6VShVsG5zPJGWTPIqoMOOMqPkGFZk5XhRJpB + XN/xwqNLFvenEj12J0AYpzLJl7dvCUJbN1Ixo8lEav369V35Eg1pHEXjI6PlyQnOmaXpuVyqK1/I + ZtNeVxfnXDAPvCDUdR1BIinyAasOTKZTnU4HY9xqNm6//fbQcyVJWrp0qWmaqiK9aTrkFEAYRpwC + LiPouq5KJOGZ2TM0ZDttwUZ3w0AQgTnnmGAECIOACz6V6KpwAABUFRlqUDj1xVC7XC6Pj3aWDRxd + nRwu6PPGhoOk2cs59pyalaIQTZWyB7+0bQvkuu2F69ate/Lx33uBwzGXVWWG1zdLPuCcM0q3/mHr + N2689pKNXywWi2MjI9VyZf/999cU48tXfDWKoj179vzsZz/Tdf3CCy98/fXXDz/88HQ6PT45cc89 + 9yRSqYULFzYaDYyxxFHk+SqRTnrfCcVcXpOV8co+33ENw4AQ+hxwAEPPp364/9Lliqa6trN8vxWT + k5MtuyOa+mEcxUEMACiXy/39/bVyZf78+c1m88orr1y6dOl3vvfdZ599NpFILFq0aOvWrUEQDAwM + MMYEtQUIPBQAa9asGR8f37x5MyHk+OOPf+a5Z0ThMedz0zRt7dq1DzzwwMjIyMMPP3zOOefk8/lq + vR6G4Vyw95wsRGyOhX9MsO8NwwAAjI2NRbb9m4cfPvnkkx3HESCEJUuWLF++/PHHH3ddV8gnjz32 + 2E2bNimK8v73v3/5/sve+c53Dg4OKooiSOWCx3jxxRdfdtllQ0NDy5cvD8NQwH+SyeTk5OS99957 + 1VVXnXTSSZIkCXzw2WefjRD69re/PTIygjFOJBKKoqxZs+ahhx4qFovnnnvuTTfdtGdwdxRFiqKI + mOPt27drinr66aerivrr+39lO06hUEAQCuIFRPyII47o7e373g9v5hCoqtrV1dXV1RXGASfIcToS + Ib3z5jNKv//979OInX766YSQZcuWTUxMdHV1HXfccTKR0XtRuVy+4IILPv3pT6uq+pGPfGTnrp2E + kJUrV7qeNzw6wgFKpVJtx/Y8z2s7y5Yty+fzCCBMEEJo165dffOXHrx65bHrDjrjtCfiULNty+7w + YlceoIbXaofRpCLl/+mbG1XD8wL7uec2m6aaLxbxU5gClEynOQQRiCFCcRwTlUAAMEMgnkkKFmoQ + U7d4TCUsU0oJkT3fz2Ty+XzeMAwGQSDL1WpVkiSJEAQ4YCypGQAAwcQXxm5h2gmjSIjrMpmMpmmu + 61arVUAZwRAh4PNQnDyapqkEEEVGyBHcKgBEwhYEAFBAgUQVXeFRrKjYbTuJRNqyjIGBgVQy16x7 + h6895sAVx4zueoCxbrsFUnr3dKUWRUFEWafVtvSeW29+z+i4P12dOPK9h+kmZMDWTdrda1EIOp0Q + S0nDSjYaKVmWk/Pnf+bTn15zyCFPPPEEAMBxnIH+/qOPOmrBggU33XRTOp0Ow7Cn1GUZ5l13/rTR + ah5x1JGPPfbY4N492VxOkqRqpaIrqiLJ2Wy2q7t74cKFlmXV6/VTTv6g6/rfv+VmmRAJE0mSaBwC + AAzD+OCpH1q23/In//BU0I4mJyd7F8xPJBKIYDGIu/rqq2VZvvDCCznnIsjLtu0TTzzxu9/9bqfT + Wb16dTab402uRAAAIABJREFU7bTa+Xz+8He/+8Z8vtForDxw1be//W1Jknp6ejquwwHgCIKZxLgZ + lY6C/rotyttdAPDZGkboYtqdzpHvevcZZ5753W//cxRGEY0BwRTwiMaqqiKCY8oy+UKjAWsVL7I9 + Q7cU1Yyi2PMCP2zk8oqRTDlBGMaRpMiIgzhmGCEMkKXq3ExGnusFPsRQMw0kyxTEfhABX1FlzHmM + MWIxFZwlCCGA/861NYOPZwwABNHfcID+BwsqPvtn7u9//XaMhVHgOG4xn3McJ53NOJ7XU+i+8PzP + E4CDjtOu1Jv1ejqZgRy4rishbMoywdDx7IjGRFWsVLJSbyEiO0HgeG5v3wLH9/7lu//8x+f/lMok + JUxoHBdz+XarJRHiOI5rOxs3bnz44YdDx6OUcsZURclmMuecc86rr776q3vvi6Lo4IMPPvHEE197 + 43VZUxctWvT6G288Ikm7d++GEIqEdyjxVqtlGea1117b29s7NjbGGNN1XRindV1vNpuyqoi+oWVZ + QhI8k7CHsJVMfPGLX9y3b5/ver7nBb6vqurSJUt6enq6urp8xx0eHn7uuedUVc2m0pqmTU1NJQxT + qLoIRPV6PXA9s6u7u7t7ybHHzZs3b9OmTfv27Wu1Wie87/hsMhkEQSqVyhTzt9xyC0QolUqVy+Xe + +X0ifTiKojm090yZ9Hc7I/6D9XYXYH/d3edv0AOLbfSMGCmekbsEQYARkiQJQRhTGvlBzBmgDHIp + 8iMFk06jGQSBquogBoAxxHjo+QghDCGgnPEYISQhzBjrdDqmps+pY+cYpgC/jQm+f8MSJftTTz2V + Tqdfe+21np4eUXArliZJ0oHvOEjRlHPOO1eYm0dHRw866KCpqalsNnvrrbeee/65g4ODxXxR0zTL + si666KILLrjggQceME3z5JNPTqfTRx55JOf8nnvu2bJli9hzizAcSZI4ZV35goTJyNg+FoXFTC4I + onazRf0wRLBaLmeS6YD6dqdTLVd6euf19fV1d3cXCgUBUxZQfHFgFUX5+c9/fumlly5durRQKIhv + 9qKNFz/zzDNi22Tb9tKlS9PJZKFQMAxjQX//oYceeu/9961952HNTnvXrl25XKZYzPqBa6mmJMOI + +4SgA99x0PC+8ZSx7B8+f9Y9P63/8ekXk4kBt83rDi9kim33dUmN07nFnzv/M7rqMxomEqlkKnHg + ygO6e3JBhHTD0rVkNpsjkmZqEo3DeqVca9QTplnqLhXzBcsyMMaifWIaJuc8ZhwA4HleRGMhlRGI + i06nMzo62lMqFgoF0zRFeon47AghRikHiBAJQaBKBCEkwTeZRb7vMwgkRUqoSi6XKxaLhUIBEARg + yCBgHAp2OQAiKhYgwDRFbdVbYgZ1zDHH5PPZVKq4+uBFjz78ExCaltofOWkEFUuZ57XHkBROTcYP + PnDDxHSgyN6uN15fMLBAUTQKomQymc1mhe0yjuOZcDpZPuTIQ5YuWlypVXOF/PL99ms3m2vXrm17 + bdGCunjjRllRHvvdE6tXr166bJntOv2LBs48+yzdNJ944gnLshDBXfkCdXxE+bzuHoJx5Acf/8hZ + d//87tdeebU8OeVHoYxJJp0WsUgnnXjixOTkxMSE53k98+bl8/l6vZ4p5P3A98KgUCx6nler1UIa + L168mAHwwx/968DAwLe++a0rr7ryzjvvLJfLZ5xxxsaNGxVFee2116Iocl131apVTz311P777/+D + W35wwkkniG8NIbRly5Z6vS4MAO12u7+/f2ho6PDDD7/yyisZY0v6loyOjpqmKasqZ1x4RucKXTYb + nST22UEQEEJEgdRutsbHx6uVSqfTSafTCxcuFKhcwXAXfpXBwcFWqyXyLihnQRAMDw8vXbpURCsK + rdeZZ56ZSCTExT40NLT//vtbltVoNAQL67e//e1hhx127rnnAgDS6fTY2Ji4YHt6eiRJEry4drt9 + 9tlnX3vttZZllcvl5cuX7927t1As1qrVZrOZyWWtZNIPAyJLYRR6YVAqlWzbnp6eLuSKkqR4jhMz + ygCDEE5XK5qul6tVTdM0pCJFMgxNUhVFVZuNho40RZKLxeLU1FSpVFqwYMHk5OTOnTv/8Ic/PPjg + g4ceeujGjRsPOOCArVu3rl69+vnnn7/44ouzudwBB67atv3lPXuHxafu7+8PbM93XYRQo90oJPKJ + dCrmrNRdKlc6o2OpPXuGZHyQpff6XtyuE1nTZC0lZ8xULjdvHvAjrdGcshKaJGuvDw4Xi0VFl53A + JzKOIY2iCAt3IqUwBjAG3d3zpktT2Ww2kUiEfsSiWOBLZBlBCH3fbzQaImJLXNqKokiEYAhoFAXR + DEReND6F2ooxJmwwAABx/xR4N8aYACpKIE6nM11dXUSXOQEAI9e1McYECvQImiuQAupHcXDwwQc3 + a518qlDKFtOZhOjFZlJLAgi2vwhGhpqZTF/oqXZTJjCXTEpxTDgYXTSwdGgYlOapQZgPIsf2mhT4 + mondsKOoaipr+l6MMS6VSvV6/VOf+tQhBx/y0ksvzejZPA9jfNRRR23evHnevHn1et3zvK5C8bqv + f+MPm5+944477vm3X9z/6wf6+vokWZ6cnDzooIOcdqfVaMoIAwAkRQ7iKOYMAIQkMjk9lc/moiAA + jCuKpKpquVLhCEIAi8Xi7r17TNMUJ2qr0164cKGQtQvifDKZFEQ7TdMOW3PYzqN3rl27NpVKHXnk + kffff3+j1RwZGbnuuuuCKHzf+973Dxd94ZprrnF8T/gDEcbCPQJmI87+Xh6kv9cSJhPDsogs+a63 + e2jv0Mi+Ylep1NM9NT7huC4DnCHoxxHhMpQJi0EilWWcO54buK2266eIghBhlNEw8hxbVXQMmAQB + 5oAzjhkAgGMIkSxblhX4dqsdu1HAfaQSBAiJ4sgPHBgSFvuAhRDEgCGAEeSYzYjWAEdvkn45AAAi + yP+XHce/af0Nn4EQJMkoCLxmp5kzipQzmShFpYgB/9Hdv7z/3nvDMDxo5QF+s9MYn0qqysjrr6kE + 0yjyAj+dyS5csmS61epdtNhmdPuewcF9oxd96ZIFC+Y/s/lpBGDCtOrVWjKZBIy7tpOwrHXvPeYz + nzjv+T/+aWzfSD6XGxkZOeCAA372s5+99tprxx9//Mtbt5WnpteuXVvsKpW6u+qt5rp16xrNZqVS + EUAsGseiE10qlZr1xu7du//t9h9XKpXFixdPTU298cYbAABVVUXAo6Qo87q79w4P0yhad9xxnNLd + e/eOTU3+/qmnxkfHwjDMZbKO7ZiaHtK4f/6Cyy+//Je//KXW1b3uvcc898c/RUFIEB7as7dQKjod + m8iSYDIZmk4IyaYzV1555fDeIT8MrvjKV6+59muT4xPr1q3LJBLVanW//fYb6F+yffv2LS++KMmy + aZrj4+Nz7j6JEDAbFzlTlv8/u952iV3kB1EU8Zjquh5EMaXUMAxNVTvNFkCMQISJBDkAlCEAFSKZ + iha5oYJkLCEJEcaYImmcc8dxsCRJiiqsqAIqzxhPmlYQBESRIYRRHM/lwf3P3g/+fd6R8BSuWrWq + UqmYpvmdm7/3k5/8xHVd3wkhhBs2bKB0/dqD3/mNm64V84QwDLu7uy0jIUnSli1bCCF79uw5/vjj + m83mq6+/Xq5WiSwjhHbv3eu67m9+8xtVVb/97W+fccYZoesKgBhCKJlM2q12vVpTJWVBb9/01JQm + K74f9vb2GoqMJRJ5PgLAbXVkiAf6FjAMQ/F9QSBgshAjYTdkEPh22HEdzTSmp6dVXas16oyxww47 + rFgsrlmzJgpCXdVuvfXWrq6uUr57w4c3dDqdQqFw8623/Oa3j4i0zTCOIseP4oBw7PsuA1TWVM3Q + JUVWNRAFYGKy4rhRytITZiKIAKQBgZnAbTcqfiEHwkjVDRWrcbVR3Ts+FLNQ0xOtthfZIgRTiSkD + s3vfdDpdKpUsw6Rx2LYdRdWjKHJ9b679HMUsjmNJJgQj0xAkJZROp5csWZLNZsWEJIqiIAgYiwXR + mxAcRlFIYxpAhBDGRDCvZjUhM/ps+BaJNucz+jrOgcC/MM4hhFEcyURCCEkSpjRyXK9Wq1FaKOag + qiQoTcRhUpJKnEJGAwwcBNvJROGX/2f05A/1Vqs1PwonypWQR29lBPPZ/qHoQWQymUajkcvm9u3b + 12w0+ubNG9o3/LFPfEzYMbds3fLxj3/8wd889MYbr6cyqRtuuJ7IUrPZOHj1Ozb/8dkgCgxDn56e + 1hhCAHuO3663u/NdCCAJSZWpiq4akqRADiCDXttFEkkoVktvQYyanZbrOVjCsirLihSz2PacmEYI + Q8KxJOmVavn3m54cHh564YXnly9fFrG4d0EfwPB3m5688cYbBwYGbrzxxiOOPnLTpk2bnnmqq6fr + 5u/fcvlVX7Y9p9lppVKpkfHRCy644K6f3y1JUhhFkKBdewaPP/54Pwpu/v4thmFU3Orvn9502mmn + 2Y6jmQYDXHxHc8JogcgTECTTNIUU23GcdrtNA39hf39Mqabr7U6HA6Co6iuvvuoHgaKqnhe864h3 + //BHPzITlqprd9999569g5Va7Uc/+tGZZ5/9yCOPBEFQ6u6+5AtfOvSIQ3OFQrPZJLJcazRs183k + cq1Wq+M4uULhG9/85m233TYyMlKuViVFsSxrZGSk1mhwCKcrFYxxJpcrlEqXXX45IXI+n1+1atUT + TzwxMTHR3d2tGvqe3Xv0/fZXFIVAiUqw0Wr6vi9O3SCIgiAwLDOdyzqhiwhOJpNipDw2NjZdmUrm + MhBCPwwxgSyKJay6HfvIo49SVfV3v39SVVVN03TT2DO01w+DJ5783akfPi2MIw5BIpXMFfJB4BWL + xZbdEXTXSqUyWZ6emJiIvTD0/RXLlicSyQjEL27beuqGD7bd9mR5sqcrtXzF4pe2tOOwQWNL1dQ4 + CjrNdrYoyMuAcNAzb/6Tv3c65Xomk9k3MhQzihU5omHIqKrJjHNKOYspYlACaHR0dGJiYmpqampq + KpVIC9+G7/sQYoxxOp0uFou6rnMEWRSJziijVCYYci4pmiiPAZjJn52bBliWZRiG4FuICXAYhq7T + AQCEIK5Wq5OTkzFiEYgBRkHgodlEr9kCCcU8xhJqtpt2y2tVG6ps1MuVSmV6cGjXwoX946Od2pTl + unFPT2+nDQw9CYEMOJ+cqEBYX7oi32p7mGiOA2LgTlUnKAgC5jJGq9UykRRF0+2OB0FDkpRbb711 + v+X7vbjtxXqlGnr+luee7+7udjv2wr7553z8Ex/96Ed37do1MjIyODjYO693w4YNfX19n/vi5264 + 4YbTPvxhoZd+/PHHQ88v5gtJK+EG/hu7dnEINF2ngFHOzGQiXyzUquXA9SRFxqqsJfRmuxGC2LBM + RVcpi1utpue5y5Yte37Llu7u7lQqVavVBuYv7jiOommmaSqKcsfdd0aUSooSM+b6fjKZtG27bXf2 + Dg/FcXzXXXc99sTjl156KQXctKwZgMSsp0sMfv9eHqS/12IQIM4lWXY8zzKNPUN7b/yXfy7lC4l0 + qmPbQMIx4JDgmNEYcIYwBVRTFC1hpaJ8swps10HQ01RZ0C8Cz201q3oiqcokohQCgAGC4kkBsaxp + yWyOItDqNJ3AZwqRJQQhj2kY+HYUejQOMAQMcAAYgIgBzjnjACGO6P9vjgQ4RCJe6n/X0XzbF0OE + G5bq2B1EYMxoFFEFSRSEzAujtnf5Zf+4aMlAZWpyz0s7hp/bNvLSy12OZwGYMC0uk6jlqYPDeULe + s2jJYSeeMGK3z77gc06nnUialFKn09F1PZtK+77fVSi6rrti6bJvfv0bQyN7s5kMBABQhji4+86f + Xv/Nbz344IPvfe97b735lqOPPvqOO+5wPBdj/IN//eGOHTtuueWWbDZbrVYzmYwTRbZtK0QS735+ + b9+zlH/nxn+WJOmrX/3qoe84ZGJiYuf2HYsXL04kEgzwP23+49p3HpbP5irjU8e8572nn3b6hRdf + 1Gm12s2mYVmcUkWSRZMIQzQyvO8nt//Ytm3LMHzXlSTJ0LQDV658Y3Aw9P1issvpdOIoUgwzn8/v + Gxr67GfO3zM4mC8Wr73mmg+esuGqK664/lvfmhwfP/LII0888cSvfu2rTz75ZLvTSeeyCKFCoSAm + SHEch3SmVyhJEo/p/+gJ8F9df3WB9NfOywghh61dm0qlRDAiYyyVSL4JK+NAuDUEKFBRFNPSAQCO + 48zF+cnSTMiJLMuSotDZWHphxnU857HHHvPCYI4IDCEkhLD/oZbJX1LliWiIcrlMKb3uuuvuu+++ + Jx59TFEUU0u4rnvDjTcMDu7qXTD/mmuv/vkvfhYEgZDK3Hzzzccee+z8+fPHxsZKpdJpp3+43W6v + X78+m81u2LDhzjvvvO666yzLmpyc7O3t3bdv39KlS7ds2bJnz55sNttutwXVMZ1Oc8BFjEk2m52e + mK7Vaowxx3GE5siyrKmpyVQitXd831R5ulKrVioVocwR2MogjsQUJZFI7N27tzI97fv+2NiYZVlX + XXWVSGP47Gc/CzlYvnx5uVxue+2dO3fmCvm+BfMlRX7xpW2Kquq6PjU11ahVk8lkeaIaxpRAjXH0 + 4tad/3jJ/JE9PovUlSuXbnpyX6U6hqVCHMFWudy3SKXAApw3GiCZAnHMKpPlOI4zmUy92fDDOoul + YsGoNRsyNgjCnt1inJZKxcVLl6RMg3NOOY3jWFIlkW0iSRLnUGyOwWy++1xoaalU0nXddd05HxdC + SCIzIYYxo7KmojgGcSROMz6br6IoShBHURS5TlCr1crlcrlcBgRRFrwpsWMAAIAgBICrMqlXayxi + 1Xotky5t3fzc2Wd9kkZg64vA8zweOjLhse8ySogcUO7bTlVSQe+Cnude2NXbqxS7SplclkDJ4U6r + 1arVamEYAggF8JTzGZUKoEwFKqXUTFi//tWvDnv3u5v1ekQpjaJXXnstVyhUalUzYT3x5O92vLKT + MXbq6R+uVCoTk5OZTGZ0dBQxkFEsTdOGh4fT6z/g+74XBclkUtd1x3EEK5n7QGxGAQAIEtd15/X1 + dhxHJPwACCmlolQzDAMjFEVRLp9/ZefOMAz7+vqCOBKQZUVRtm3bJlDFjzzyyMqVK59++un58+cf + dNBB5XL5nnvumT9/fnd3d61W2717dyaTERwqMfyp1+tDQ0PnnHNONpsdHx9/9DePHnvssaJXIqp9 + MEthArNzfzE3IISkUilCiOM4lUrFc9x8OvXGG2+sWbNGvNLzvL6+vnvvvfeiiy767Gc/e/jhh9fr + 9U6nQwip1WpBEIxNTBSLRUrpAw880G63oyi6//77z/rUWZRSMQ0W97pkMinmV5qmhWE4PT39wAMP + nPep80QxL2LOxVa+VCpVq9V2u71u3TqMse+HGzdu3Ld3SBxwgX+oppLvWH1wp9WOAWOcpbMZCZNm + u6UoShTEjDEVAtf3CkYeYuwHwbbtLzdaTVlVevp6GWONVhNLkiwTBokiqYVsDmNs2/bAwEAQBIyx + qampY4899plnnunp6Vm538rLXr6sUqm85z3vURQlCLx//fHt991336OPPwYBzmaz6XSaEEIgNk1z + eHgYAIQAyRXytuem49hImdde9+2GXTCTWcAjRZPHJ4YhoVY6rjZ253vMr339gRNOXOxHxrVf//q/ + fOeGZ559nsgS4sTxPUWTEZKiOBSXISIQMYgEYZFgXddTqRQCiECEIZkj/zqO02g02u12xKiEkDCY + QUmSMOKUiqIIEyzaH1CELHEuQo2FjUpVVWEA03UdAhVCGP5/1L15mKVXVS6+1t77m89Y59TU3dUT + 3SFzAgTCkKsGgQTwEsQrMot6rwGCzwUELsFc+Smgl58KQTRglJgLKIiIUaaEzAgZf5juTN3peajq + qjrz+E17WL8/dlWlyATBRGA//fRTTz3nnPrO/va393rXetf7gioWi5yJVMUayXW53Rz4ShhqncSQ + k+MIv1hgo35ar8+cOL4kUzm3ZTPneHj+YOhveHDf7r+5+vojC061dHpYrrSaLTfwXT6anipJ3dx3 + 4J6bv3fnn33i3aNecuTIEWAckClCQGc4ykYj4zgeY9jv9z/4wQ8ePnz47//+7y0yLBaLcRy/5jWv + ufPOO7XWZ5111tTU1NVXXz03N3f/A/cDw737Htq4dXN9ctK+mDFWKZfzPEdDx+aPT9RrfhQ6rluu + VjQYxrky+oG9e6brtbBUHI7HZjzyA9cgcBC7d++OZYqcp3nmBf7Bw4fn5uampqb27NkzNze378i+ + OI6f/exn33TTTcVi8dOf/rTruu12+1WvetWNN97oeV5tsh4EwXA45I74rxe96tprr3V8L1jdadd8 + gWmtfeGnqfJhEBgyqbVAGIyGnPMgCpcXl/I8L4VRuVpxAj8zigvGwDEIiowiw41G1ytUqlKruJEN + 4hjQCCFch2VZOhpI13c9EYDRwAUC10AcGKEGzgqVEnFKTT4aDylJmOsgAyMznQE3UpDiwsuN0UYj + IkMwq0S0R1oM0aP16H8mx5P9AnEy9DwPgArlknAdhrxSrBQg8Hx/1OlPTExorbMsPe/cc7ZK/Pjn + vnByUAjyrCJRS5MqhQmVSoVSd1TRUDnt9F96yS/Wq5UjJ+bLxaLDWTzo55kpT1QbJxal0W963evf + dvHFH3jf+xkBKT0ajVzX9Rzvu9/9rjHmztvvGI/HNheTZdkVV1xx7hnnfv3mbxBRmqaVSiVNU9/3 + Jycn241mv993hZOmKSntMB75QRYn/+u97ysUCm//7YuTJDm4uFStVjdOzzTmT7ROLP3ar/3aW97w + xkE8Vlk+WatPT07Zhv80SRzHyaQshKHMsm67PTc3t2fPnm1btoZh+Jd/+ZfbNm7LIH/d6193yw03 + nHbWWaHWzWaz0Wj4vj86frxcLiNRt9u1ITcYmp2e+Zsr/2apsXT77bcXCoWZ2dljC/OVSmUwGNhH + 2HVda9toO7dd/hOzWn1Kxo9z9T96Zw4jQIDzXvBCIhoNhoHnG2NklsejcRRF2mgwhrRGxjgiY4y0 + zseZ7/sm07baKIjLJEXX9YTrOV6e5ohY9MM4jtM4KU0Vzzjj9BtuuMGSJWyB3h6Bj0dOfEraip54 + WOnVR4zReDwzOzt//Pg111zzrW9961/+5V+01rNT04vzy9Zy1Erpl8vlDRs2LLeaoyS+/FN//q73 + vHs4HLaWW+VyOR7Fz33uc6+66ipEPHLkyEte8pIbbrjBZjotYW/Xrl1RFFkzSgDYuHGj53n16amj + x48wYAaBe+5gOETO/TAUQoSFQi7TROWO42zYtPH44vyx+aOlUsmKpaR5ppSSRq/V60859dSdO3ac + dNJJc3Nzi41lO8/fvf22SqVSrVb7/f6eBx78/j3/fvrpp+87sP/vvvj3V1999Stf+cpbb73VdV1r + 5Nzu9qvViWJUGOphFFYUsDzFLIUTi11Npfe9/2Mzky8U3khCozZdIWLYTZg71jRkobzsQ58848zK + e9//5kzJZqvb64/yTIdhaTBMO53Ot799XSWciMdjh5PJ816vF4b+cDhkjBW8IIkzIgzDgsUPvX4/ + SdMoigqFQrvdbrfbRuZW13vn9m31et2K/KzBeMubsuqhg8GgUCgQYp7nDjJ771YKTQiO54SCh2Ho + eR4iKq0NGfOwgxOCrSABZVmWp1mtUlVKnjgxPz9//Nj80eUl86EPfZE7G3wPhr0TyMeO7wKLBS05 + oiNN83fe9Z4//MjFpeozv/mN6x7cuyfWKXJMZW7zCxYEWCltANiyZUuaJEMaV2sTd91x57Off64m + 8yd/9md/+ekrSJtfe/3rrr/xhjzPG43GO97xjlarteu+e1/wghdcd911cRxbw9zp2uSw0YvTzPWD + icmpKIq4cFudnnD9uN0FJtJcERelQiiEMICajON7rVZr27Zt1h6q3x1xzssTVWPMaDgqFAqu6956 + 661/8id/UqlUpqen3/Kmt7zxzW/0PO/YsWO/+Zu/OTs7WyqV3vnb73zFq18BAMeOHbvl5lve/JY3 + W6aZ53lpmqZpevXVV3/pS1/6zGc+s2nTpvPOO+8DH/jAsWPH4ji+9957TzvtNFvFU0rV6/VGu4Wc + 8dWdAVapO9ZptFgsSilbrZY9zHbu3HnySTt+6zd+c2ZmhjG28+Rnvutd72p1O/sOHuj0e9d87V99 + P/z4xz8ep2mz2axPT73vA//L2rbaVrQNGzacf/75Bw4cOHr0aLPZLBaLxWLRGDMzM3PgwIGDBw9u + 27bNGvgIIW699dY3velNdo195CMf2bZt244dOz71qU/Fcfy+973Pqrtyzv0QTzp55/XXX8ddXi4W + jxw5srh8Qsrssg9dprL8y1/+cskreqEH2hjQSZaWi5VxHDuBk+lcA912x+233fG9ZrM5Ozs7HA47 + /V6xWLRa5MuN5tbNm99+8Tte9rKXRWGUy/y1r3/dtdde+9GPfvRPPv5n//rVf335Ba/UoP/pa//M + HCGNTkfDiIzW0nbuBkGQpbI3HNi2N0+4ABCGIQfe7LeYEP/7Dz6E4Jz9nOcvNru5yZujg1rtF2ml + MB3leRoU/Kie3PitL174Ky94YE/86b/66rZnPPP4wlKtVhuNRkpr7ruZktoYQlAqd4WHBMag1ibJ + 8izL81xlUgcOV0ohZxaLNpeXDx8+tvfA/jiODcLs1JTth3GtNzGRVsoYwwS3YYo1lR4OhycWFxHx + wYf2WibkzMyMUkplOWcghJCorcmJJKkZoEQpNWNkANdE7BBRE47jIRduOk5nJ8tBMOZAR44cI4R3 + /e47Qn8a1UylXnumV2o09iXUS2FQKE2Ne0s5inb7iLkPtm4v/OEf/T9333Vjs93VUgQ4MegdyBM3 + DCasF5zSMQPM08x3PStVigSFMBoOh698+Stuuumml7z4F8FQfaIW+sG5z30eE9zzPOuO2mq1qtWq + UqrQzPybAAAgAElEQVTT6RhjgGhyojYxMdEfDu/Zvestv/HWubnNv/jSC/I8n9k0u/fBB7nvOq7r + mDxJ06hc4q7Tjrvfv/eeI8eOAcMoiur1eqfXt8/pNddcs3Xr1jRNd+/evbCwYPm0g8HAtne2222l + VL/f911nenbmlu/c2uv1iOjid7zdbpXjOLa4d629W5MhIs6dJ3X4Pt3nOyLaYrTw3FE8npmafuYp + J4+Ho3g0dgI/1bI/GpaLJRIsQwOcSIAExR0UGBRoIpX5sNuK84xz4kFgTX3juEMQoetyxg1gppQr + HGJMSum5rl+tFGSc6jxNUydLXNclY7hkIaNMcOWgkWg0gdHI+IoNOQCsUFcYrIAjA7R2DD2J8Z8Q + Lz3esI6HZiW9ZX35nuRAwzlqLR1PSJlJ4GmeDfujXOZMkkqybrtTrpccly/PzxcZK8fy1847N+yP + uIZkNDbM0VHU93ihPYR2fygPbKpPVifrrV5rsjZRjCIw5LlRkqVnnHyq1OqTn7hcuA5HlqfZz/+X + n+v1elu3bvXB48i2bdl62mmn7di043nPe96RI0fOed5zv/Od73zzumvf9e53f+xjH/vTT3yccx4E + QTIac8amTjkFEbVU1XK5Wq60llpQh2OHjlWL1Q0zG7Q0gjmlQnk8jCM3DPwgTdOiX+CG+dwrRNHR + w0dklgNAlqSucLI044KncXLGqac/+P0HEpO88IUvbDdbbHJy08ZN/+0N/+3QoUOdTufZ5zxXStnu + dKMgtJswAGitX/PqX375BRe+5CUv0VKlkG7bsuX//L//59RTT73xmzc+54XPWVpampubsycarNLq + rH/lmqvHU7ws1o3/BMmRpx/eaZMl6R133LG4cKJarU5UKlJKBjjodI0xoM1aDn6lKidErVZbOHrM + toIhYr/fd1zXdT2rEIKCT07UFhvLw+FQ7tw5t2VuOBx6gQ8AZlWh/6nqQaInvZ887giCYDQafe5z + n7O+7K94xSt6vd4tt9xSr00iZxdddNHJp51Sq9e73e4wHud5/qIXvcja7xggLwyEEC996Uuvv/76 + L335yzblcNlllzWbzUsuuWTTpk27du0qFApvetObLrzwwhMnTmzfvn15eXl5eTkshIvLS1+55p/P + OPPsQqHQH/d9N8hI6XScp5kXuM3lxv4jB3KZzS8vvu7Nrx+lSaFSHY/HmcyllNYg3EohA+JznvOc + nTt2DIfDxcXFF7zgBRzwc3/3hbm5uSzLGo3GbXfeUa9OlMvl22+/XWt97rnnvurVF+15aO9n/vrK + OEn8KBzG42KxiCAWl5q+G3DhKw2jOO/2Bm/69TecdfrPD9NmGD/Ew7FXjI8s7vPDwInEYrtVrLCg + OJ7Z6I+T1kWv/qUkX05NXC7X0hyExzw3IoI777zdEx5J4zmCtAk8JwzDfq8XuJ4XBjAYdDqd5eXl + w4cPCyGE41Sr1dnZWSllro0xJovHruvCqtqvRarGmF6vt7i4uLy8bHVgKpVKqVqJosi6v9vSkxDC + 1gG00VLK3GhbaCYiZMiQAQICQxS0onZFAAaRF0ruKIk1magYJnnyP377N8Jgk8EpdERvNBR+1Wgn + A3A8JWXT0PI3bzi8acvElZ/99F9fNdi3f8/PvegXOHcZrERnQgi96hEppRRCXH755bP1GQbsyr+6 + 0gf/Wc8/e8OGDfsPHrjq6r8NIThw4uDlH/+EcJ1bvnMr85wPf/SjHnif/8fPf+pTn5qZmWk0GhMT + EwcOH5wqT6IjkLFLL/u9973vfTNTs365cLyxOD0zpckwzzFA7UEvDEMFxATftXv3ez/wfqmV47lJ + nln9g6WlpUKhYNGIlPLQoUO/8zu/8/ILLwzD8A1vesP+/fttjLlr165KpbJjx45fef2vWOW373zn + Ox+49AMHDx60CbZ2uz07OxvH8Ze+9KXjx48/4xnPyPP8gx/84KFDhyqVSqVSmZmZ2b9//8f+7GNx + HIdhaKumVrJsVYLs4VKS5VNZ6xut9WAwAEMMzHvf+17HcaywQbPZFELMzMy8//3vP/XUU+M4PXr0 + KAKbmpr65Cc/ORqNhGDWLCJNU631Ze+97Oznn22M2b59u1V7z7Js//79l19++dzcHCJedNFF1oNy + z54973znO/ft21er1T7zmc/YNjlba7IC657nLTcbhULhox/9aLfdiePYGDM9Pe363q//xlu//vWv + Z0l67wP39zvd//JzP7dly5ZWs1koFPJMFYvlTq997/337TK7z3zW2VrLc15wbrffi7N069atWZYt + LCzMzMzU6/VWq/Wlf/iHL3zhC0qpIAgcx3nooYdsJH3BKy8oFAr79u2z7UkWTPb7fc9zPvzRjywv + L3ueB0ysCY5prbVUJ596yv75A9s2bX/Bi164adOmwSBGwU8/a2cyik5/VjUq1BvNdrVebXc7vh/K + nL/05W/rdA8vLh179jnP6fZnX3bhK6+66irX8xyGUqsVJS7GgiDQ0hhjBArXdYMgCMPQ8VybozFK + CeZYm7Jut7uwsMRcJ45jN/CLYWi7y6SUtiWJCK3qjF0JVkx1NBotLCzYAL3f7xNRrVZzXZcRCI6c + c2MU547neZy7OUlitFLxeNi6fKU46XleFBWzODt87HgxLHKE+YWl97znPcNRj0FAquzw2TyrDPtU + rU4ToTLgeqfF4yayuclJ78iRe6dmpjZtrlSqhS3bTkoBBwNF4HH0cw1pOgoDrFQqBw4c2LFjh0XR + Ukqt9fbt240xF1xwQZIkJ510Ur/fP/fcc++4687Xv/ENg/GoXC5PT0/v3r3bqrz6vl+tVuM41kBJ + PM6V/Isrrji4f3+pVLrlO7cS0Ute9tJX/tdf0lINBoOp+kSaplLKJM9uuuXmk089lTg761lnE9Hh + Y0fLpYrNf1988cVWv8uKppTLZUvljaKoVqu94Q1vKBQKpVKp1Wo9//nPn52dHcbjfr8/Nzc3Ho9d + z7N1PL3moIIouGBgayI/RcNWGrMsq1SreZYtLJ7wXU/l+USlKrO81+8jY1GpaMiAMq7rAhjiZAQz + iG4xKsjKOBlImYzSTAgVeA4aEyc9A7LgTDAOGZkUDRMI3ElVRkie77qFgjseDcejPM9dwbg2wnDO + WC5YwjBFQqOJAQM0ZAXfHtNw8adsKv9ThhAil2kYlAadXjEoTUzUPccNHV+AKRcr3W73zNKZi8cO + fefmG186vb3IRb7ciJK85Bcn3IJCaCdpCm7a6oLrha7jCqfRWFLGAMPBYGCU9lxpjNnT2GO5DNkw + s8Bg37594/HYdd0MMmso9NBDD6WQzs/P+2Fw1113bZjbRABXXHHF2995Sb/fL5VKo9EoixMrGxMP + Rw4X7XY7CgqOEJVyedPGjYHvJ2lcDKNGo8E5dxgvhJGUEgmm6pNRECoy7XbbZvfSOLHtzTa967ru + vffde8kllywvL2/fus0ShhEgTVPrsT4ajbIsC8PQ9/1Go2GPy9e+9rVve9vbLr744kKhkOd5GIaH + Dx/efd+9W7du3fyMbeeee+5tt9/ebDYZY0qqh7OQ68w0ntabaxD407ymnzKAZAkkFufYEI2IGOMA + OB6Oq+Xq9MTkO97xjg2zswvHjt91112HDhxca9WwMxsEweLi4pbNmzvN1snPOMlxnGE8JqLNmzbb + zPHehx569/9810S9Fni+63tf+MIX9h08YKGqDbDWwiDOuf6JVpPXqxuuKnrA9PTUnXfd5ft+uVLZ + Xqs9cP/99qn48Ic//JpfefXs7KxU6pJLLukOusaY37/09y951yXz8/OVSiVPZaffa7Sa1113nTFG + ajU5PXXzrbds3b7t2zdc/+xnPzsqFoIwfNmFFwghHM8lBMdzy9VKfzT8g49+hHHQuSlP1T9xxV84 + jBsDjuPILEfOOLJBGmuj/vwzV7iucHyPcef4wnylUtm9e/fOk58JAMPRqFgspmn6t3/7t+ub3RFg + eno6y3NAZJzffPPNdlcuVysP7d/30P59K18fwVJWOOcEmGS6XJr03aBQKAW8sGHT7F999i/Ho0zw + CKkApgAQEjnEOKExwAgEgBGYCxYDDd8CFwhH8wAajUGhUJfaGAO+6+Q608YQgNbEEC0ZyfM83/OT + JCPG9z60P0kSxrk2JnTdXq+3YW6T43tG5kopAJCrRhBCiFKpZAlazWZzaWlJSlkoFDKl+6Px5Mw0 + 53w4HFgtbwtFrNIDR0DBiNjD2RQiAk0MGQoAsrRPC5AIyHe97miQZclLXvriX/iFF3fbgzCcyDKh + VMCxJHhgjMllikw5Droe12qEPEZMctmPQn+yPpvo3OPi4MGDnudlWWa3Qq211Zu+6KKLYL2tOND8 + iYVPfuryT/zF5Wti9ChwYWnh85//v1/84t/ZiBMY9fod1xPdQbdSrUqlO6Pei1/xUiHE/uOHDh47 + NBqNfuvt/0Pl0vFcJFBG+75fKBT+4V/+cTQaoGD//eLf0lq3281qtTwcj9qdpt2pjTGMgTHU63f6 + g+5D+/bYC2YCAfAf/+nLP/AEET1j5/Yjxw7f+m+3CEe0Os1CoVCfqo2TESAIl9959x133n2H3YL9 + 0MtVBgCZTMvV0le++o+u7ygjAUBKQs6sYJ2dJdttUqvVbPXMsnmDICgUCqTNiRMnrDhHuVxGzkqV + slLK0mke3LvHqkIRkDH60JHDAIBIQog4TSbqtVNPP+3id7+tUCr2er1RPLY0IcdzldHNdgsRjcwJ + iAluAeQDex4MC1GSpUmWrn3xdrcTRKEyWiVWx49OLC+iIeGKXOWu51x3w7fvv/++6elpjuze++9d + XDihtb7n3l2FMFxqNRE5Li8KIZbuuD3LkzAMEfHAkUPfuu7a2kS92Wx6vj81OROPU2NMFBaPHTu2 + ftq5EACgtO72et1ezzZihVEEAEmaup5HaBqNhu1isvlpy21DQEPm7rvvNkr7Xtjv9fI8Z64DDKVJ + nJAMpGOzENVUigejCQBymI+DxDihEgIN6CAsXPnXnx2NBq7rdvq9sBBJrRGRcyGl5CiQMQQGgPZ8 + sd56juNoAsuWjONxuVyen1/UWtswpd1ub9q0CZTkjCmZh76vpSaiLMsQ0dqDcM7DMMyyLIqiZrtd + rVatKOJoNCoXimmSECkmmDEmz3NymTKK1iki2qY2AAArYsBpOOyWSqHWGI+zqfrkdd++dWqyFBWE + MQPSfaOaWkdAzrh1HyIaBADDIEfQnZFiIBeW2gimPxx88pNXdru9Y8cXorA4SlIAcFyudaaJVSaq + C4sn6lOTo3hMCGEh2vPQ3t9+28VCiH6//9nPfvb+++//ylf/yXKA3//+93/605++8OUv/7d/+7de + rzdOkzPPPPPoocNRFAFRuVz+4GW/t2Vus+M44yRZWGysfinkQAAwOjogAKXl8Wu/OTc312g1kdEt + t9y0vLy8ZcuWZqPl+l6aZ8aYQqmojAaGUbGgjHY81/FcAugN+n4YKKPBaGQYlYqD8YgAiqVSr993 + PQ+sbMYjihWGDBB/2nWqntywD7UVpUCANaXNROaMQaLypLm82FgGm95G4qQAwKwWPzgZDlowI1CN + 074fVBhSHKcGVavfTki0Yq2E63Bu0BhjGBmGJAAcNJ4fcM7T0RiNDhBc12V5WqnP5DQyxgByBRD6 + XpzLURwHYQTA1keoiBzAwNMcs/6khs2M24L8ipBGFNm0Zqfb4sybmZlZbg084dvjPh2N4ji+4OUX + LrQW0jx7/etf37nlbqW1FizRsuzwUZwy1/UL0Wg8KLoCSPNCePqzzrr+nrvGSbywtOhyoXJZ9OUa + zeSBBx6wWdckSXq9Xr/fH4/Hh48c3rhx42233faiF71o7969x+aPz8zMeJ534sQJQHz+85/f7/c7 + nU6apowxUjoIgpSxZrMZuB53nGa7leU5Mtbr94ko9MNWt1Mol0b9geu6o3jsuq4yutPr2jbanTt3 + xnFsvUaWlpY2bNhgL08pFcexEGJ6errT6VSr1Uaj4YHneV6/36/Vav1+35Lee71emqau677iFa+4 + 5JJL3vve9953332W+G2J4lbucseOHSupWM8tFoudXneNHAvrqupPN0Z6usdTBpBsdtaaxljQgojM + ECOslsoH4/1OFCkpG4tLE5Xqm1/7RgCDsKLpCQC5UQBw5MiR2frURKmijR6MR+ViGQAymRFClmUf + /N+XGa0Dz3ccp9vuuMLRuQz9QAihfjA3bIxZEQv7j40VN4GnYtjE+Te/+c08z7vdbqVSUVIOBoNq + cWJhYeEzV15pSBsiN3BLpdLv/d7vXfr7l1ov106nUylViWjfvn2T09OjeAwAViao2WwmSTI/P28T + dUmShGFoO4ytSfYf/OEfHj92xPd9hzkbNmxYXm5orUmB7/vj8RgRkREAaK0MKVsJCcKCUqrVau08 + +Zme5zWaTauc/oPCA6s08dUSPKOVgvj6zNWKcgCAwYcp0IwJqenQ3j3v/t3fTePBcNSLQt91XUbC + kEPa1QYVWYqFMggAHhEJIA4SjTQkOUfusKhSGsXSD4MsjRGRcdCkPFcYmTP0tNaE4HleKvNhpzUc + DrMs01q7rm+Mst/d5oCNNtZWy9pnWTV2x3GCIFhYWGi323Ylrz3ttt2frQrK2+KDjf4JiIxRWmm9 + okRsSD+8PxCzFDtcVbIdJwlyduf/d/c991y8tLDsu1GhWO50B8BdwT3GGKA2JgfUiAzIlbkC1AyU + NjGg8Z3A8dygECRJYnXhPd+398USGh9x1xhDg2Cp6WTJFrgSfRCCAbInh/2fMUaIBsB1+S3f+zdG + YBlfQog0TtY+fC0fYcuMSufj8cjxxPLy8vfuvKPT6XiBPzExMRyPberacRwhBAOwb5RSPp4uvy3f + ffazn+10OvV63ZaDrC6zfe960YXHe+gMgO97wFaMRO01VCoVCyAtkXKtBgIAwFArWn9Ba7f+8f6E + zZNZZ9Jdu3ZprWNLFkJcmcbVRNrD3edPZjBHkNaIYIPdLM8XFhZarZa5d7dANj09bQWLsiwLPD+T + OWNcabKzlOWJ43me48RpaltxXM+z9WdaFfx8UhezNquP8UtjDNCx+flGozEeJVoqz/MQnSTRBu0p + Y4hlBJlBDQAILhkHDQMAwQGZJp1JmXuek+SZ63uEaLlzTzz/68f6V64xtdZ/x/U/26d47S12Udn7 + tUIUefj1a2QEBoBADJCAmHU0Wz8YGK0zAxoQOXcyo5abrcGwZyhDlgMYRo4hF8gDAOAKQClSgMay + oZAYEGMAQCwMIxvtpTLXWrkeYwwAySgioksvvfSXX/3LPvgnfeik3//93z/vvPMqlcp4PCYiq5K6 + e/duAADOPv7Jyy+55JJff+1bd+3b9cd//MeTk5OnnH7afffdV4oKRJRnWZqmDx3Yf/jw4SRJtNZk + xbbt90YDAAwQABzP4Zxv3bp1YfGEMSpT0naxInPWJvxHWeGPt+B+glSu/8iwR54l1RsAQGCrHHtt + mfbMAKw0n4KV6SFARolMNerzTn/u2Wed5bkhOv7CYuOfr73pRNLQzDGMEBkwUAY4GQJK4sxjpPOc + KzVZKf78i87buW2bdkTseov9/je+ee3h4/PDOKlNzfi+b4AhcAO0PkIlosef/p/5YTGAzYx4nmfT + 9HZjnJ3Z2O8PR8sN5oSco9Qq1XmhUuK+uODlF372c38zHMVLslWuVheNdjbOKM8deH47G43GsR8I + Z2462DQNkd/odf/q/141d9YZk7MzxHCUJhOlcpbkiJiM48FoeM455+w4aScAnH/++aeeeupNN920 + d+/eq6666iMf+Yjt0PvKV77ied5Xv/rVf/jKPx44cGDHzp2v/pXXXHHFFWEYFgqFLMsGg2G/3y9E + URAExTBSSkXFMM7SxcYyMRyORkEYciHSLCtVK2ma9rtdkWfT09MbN89pMseOHz98+DDnfGlpqT41 + WavVlFLdbnfDpo1JkuzcufOcc84BAKXUzTfeVKvVWuO2TRXZ5qggCJrNptUift3rXverv/qrt956 + 6+Tk5Jvf/Oavfe1r559//oc//OGbbrjh4OFDp59+utb63nvvrdfr84snwjAMgmBNF5QjsxupDYSe + vpv+U0qxe8zLIloRBbLJWtd1AEAblacZEhv2R9s3b9u6ccvSicV+qzdVmTQGAAERGTAEFABKKZ2q + bJSmTuZ5XqVYAQBLLIkKRQYI2uRJigCVcnnQ78s8M+ZJS2Q8qTn9MXZtwkcK9ltsYLsU2u22JfPY + lms/CJgjOJlutzs5VW+2WjQmpfOvf+MbBw8eRERYTYpwzvvDITBmwed4PF5cXLS8VUtbyvPcpg+D + IAiCoFqtcs6Xl5fjPFOofcdXQJJMmmd5rnI0hhEA2fDNgDFklJK50d3hyAofW51lK/OlgVYdKgDg + kW5zhGBWJ/aHzpjnOZ7nViqFo/MHgIzLWaszHI/HHFxAgeAxdIg5NnYhzHOWAEpmGCdgmowhBA6M + j48ciwplAxQEAUfQMtUqdTyPgAkwAIYxEEL0er3jx4/HcZymGQD5vq8UDOOx7/tCMMZAKcWROYIB + 0Jrkt6VBzs/PdwfDQqEAWmvLPtcarL8755xz0g8Tt4wxhICAaxSRlU3CcFgxS6EVA3JEQO4IYZSc + mpoSQiwtLo6Ssev6aZoaA4yhMcqQBJZwYQhBawQy3PEQBefcF1zpRMtMyby31C0Ui/3BIAhDW/qw + 6OUHc4cIAAjAAQDw0eZt1vzSWKRHhIwh5wzRGBgm8Tev/5bDuFUts/QS3/etyIFlG1u4Yml+fuAJ + wZIkGY1GURSN41gIgURBEDzcBWSngjHGGH+cDdSecLfccsvs7Kyd7eFwuB54rJQx12HXR38IAsRx + DAytzYDtUHccx/aMZWt+X6tY136IedQ/ixVXPvHhdW9nkyzuIqJer2dZWwBgPSseHbI/2aGU0mQY + oCMEQ5RSjuO4PxgEQeAIkWtlgJAzx3Nd3wPBgHGQ0nEdzjnLGCEI1y35Xr/f94PAymMCGFvkzLKM + OU9NjswyQ7IsGwwGaZpHfsCNyPOMM5+hQUTghAwBuZ04zjmZFQBjFwJC4JE7Ho9933c8VxnDGGOc + k0EljSvWXycDYkBsxXkZkczK56wVt2EV86w9j7D6S1yn9r62eOwatqR5WyyyC/uJvjOxR/4ACnmG + lANDhhBGHkPPcRypmDYGERBcwcRKBI2SIHOF/RMCrA0AOUgCAPujoX1WUBghULioTS6l5MxrdtrX + 3XD91Z//3PLy8vbt2+M4brRb27Ztk0YrpRyEm79z63333ZcrWapWrrvuuvmFhePHj0spyxNVx/cO + HDgwPT09HgytJYDjOIZolMRWjyfwQyLCFWBpvxchYpwmjuMkWdwf9bMssxuvF/hKPhIR/VDU/bRi + oac1Zlrph6HH+OXaClgRi7PJQTQ2Q0jAyfqWEgAZICyEATdpbapWm657XsS460ZF4X2XHG4Es+8n + QkCGiJqMXyj4HB2jIMtzZYrlUnmiWq7XuwSsUOj3+6VikTFhlM5kjMKxS5eA2f4dACD6cfTEfoLO + skTAaOUCGP3w0tf6ndY+3Zw5rvDSOM1TOTE5DVz0ukN0GeMiJznMklypd73nvaNep2RI7Tu+45Rt + /3poj5elU+Uq+jxnJNWgdaLVah5W997+QKdxdDx4zy+ef+1NN4BwatXKoN3lRnDGwkLEXefnz/+F + TVs2Hzpy2A+D088849933eOHwfduv23zV7copXbt2vW1r32NiF7+S6984xvfeN555ymtb7311quu + uqo2We8PB0KIQrmUJ6lNI2qgpcayIvPByy6dm5vLZHrnv9+1YcOG2kz9yJEji62lWq1WqlfyPB+k + o3se2L3cadz/wAMEUKqUa5N1YKikSvMsiMIkyw4cOtjt917/xjds27btxIkTN998c5KlN910044d + O049/bQzzjhjZmbGkmgsZXdqauq7t31v5zNPqk3WlVKZzK+55porr7zy5Rdc8AsvPn80Gr31rW89 + 8NBDW57xjDPPPPPee++t1iYe86b81DkTP8nxpE/Hx+vKsUhxhW6xerSgoUJQcDj3HGfQ69+3+16Z + ZqViUQBqQCCbfSGGKBh3HBa4XiEsusJjKGxS0nc9IYT1q9k4u+Ho0aPdbnd208bNmzfDqsyUUoo5 + AhGVlWpgjDH2k6XYPXpIKYMgEEJYtG3rbFbqqlgsFgoFpbUb+MVixAR+9fOf/8VXvcq6xDqel+W5 + 7/vGoSzLPM+zqV/GmPVmsWe5Vam2Hcm2cYJzTgwn6jUizYB7oRcWI2JoIGNCGKUINBEgQ46MkUOk + iYwVGZdS9vp9K1tncMUbBB51+D1cGlrNpT0qgFx5zSpXH+J0TKTDyO33e5wZx+VGKs6ZMRJIAyhD + jjEroQ+x3LgxQc4MGnA4cSBORhvFgyBQRidZHASeMjmhcTyhtebIgJHje4g4GA7b7Xa319NKIQBj + PM9zInJdt1wur4RT2hgGtnYUJ1mlUnFdt9vtHjhwwFruAIAVbLAAwIa/a3vxWhIaERlD4TjEmQ2X + jTGMoVkV/rapWQBbQjKj0YhI5zJ12CgqRRMTEyozuZJuKICIIDeUAaTaKOSMcTfNkij0hOCA4Hos + FEKvEJCc0TAuFotCiDiOS6WS7cFbexLXboetXhFo84NirzZAs6GqfWztE2R/MzUzPRwOAy8gMlmW + c8646wBQ6HtERiBnDI0hpSQRCMG1yvM8t8pm07MzR48eNcaUSiXH89bqb2bFLZ4xxuBxYlDLdazX + 63ZDB4BarRYEgc14PTEuWv/VytUJWx60r/c8DwCGw+F4PLZMDNs/Zq8KHgVj1oo/T1xEsrhoTfZw + rTC1MvPrYNKTKtoYBGU0AOC6SpR1bdZaGyIAUGSU0VJJLnmSZ4BMGq3JoOAqz20a1RKYrYojIgrB + 7Vfm/ElTuOmxYkQAsPJ3rnCiKCoWyysib66OxymgQSA0hLaBHBmAybIYAMis3EHGGDJigGEY5vFY + lykAACAASURBVErGcWxWjapXBCcf/0IRrTAyra1bWGVcr0nww2NVk9b3pNnd2FbebLHR87x1r2fr + UBDYjo7HuRwlHMOFAWOMUYaQiADJGEAkAgPGIACBIswAM6lzALDQCMgFkEACgEVRqEymtCaQhMYQ + EEhArTTzg+Duu+9mnFfK5Qf37AmD4KRnPnNhfj6MojiOR+OxMWYwHPqeJxyHC7Fv3z4hxGg0qk1N + WhMVe3bYubXZAQLgQjiOI7UCWKG3oa2NABGAFwbImPBcL/AtZ3Vtn3l0CuDxnpef0TLR2rDM5Cd+ + ZOwDou0zgmDBiQYgYAY44YrcwCjNHMwk6Yl67axnP88Y3H3//kan4zgOMU5kIT8hggbOmNCkE6Vz + qQRpyBQPw+kNs6ec8xzg/m337Zo/frQ2vaFcLmvkncEQCQkF4cOLGwAQGdCPgDN+usfjrSDLbrAn + r80XE1EYhpOTM0tLS0LkeZLGudSaXN8ZwLiEwZv++1v/54fev7A4PztZZ3Hm9uOpIByfOBZyhn4A + jgOGgDFwvczhDZn3wLByuVyZ+uYN33ZdVymTK71halplOWOs1Wp9/ot/77puv9ez5VwjVbFY9Dzv + j/7oj+bm5hzHaXc7wBmm6Ze+9KVurwecSSmDKMzz3G7pQRhGUTTo9jqNxuzsbLU28e73vMd1HNd1 + 9+zZs3379mq1+gd/9JFiqcQQR+Ox3VZknm/cuDFJknNe9ILX/dav33n3XVIrJQ0Y4wWBlDLJ0nt2 + 7377JZf0er2lpaWtmzdrMo7nXv7nn2w0Ghs2bXIc5/jCwsLx42GhUCmVDhw6dN/u3QYgGY810VS9 + TohSyn/+l2tuuunG+fn5er2ukE4+7TQ/CG6//fZarWa5yit8EEN21+Wc/6w3vj2VIg12W7RJOJtA + dRlXSh07dkxm+d4H93wd+bPOPrscFay5r32X1prQEBkgIG3yPC+VSqC1MsZOt5RSGR0Fxenp2dtv + v11KWapWLr30Uptjfgqv/ykcKwyFdb+x+9QoiTFNbHRi1e6NMcPh0A+94XCYa1WplIajwfYzzlhY + WLDBbpIkLnd930/GiTGGA2RKCs9VSo3TxMaITPA4SxExCALGhJI5Ag3jsVKyWC5orRBJapUrmcks + zRNcZXYbBMudR8YYrVyM4zgEYJsOuesMe70sy0qVMtEK49CCz8c8An/o0+C6LnIWFqNeLwNGuUoR + wPUCq76NZvVoMEYDEpJxGYCHhIK4IAdRkOHKUKoyTeB5jlQZGekK7jg8y1OOjj310zQ9Mb/YabeV + UpwLLhgAjJOx67q1Wm16eno1S6c5Y7Y6HwYegul0+o1Go9PpRFHkcqGUyqVykfm+VywWSqWSEEJr + ZS/SxgeWUIeC+0EgyFgpT6UUdwUiMkQrMGfPJiICwlKxzDgpmaXjmCMDgPE41loXKyWlFGniAs1K + DEeOoPpkZaI6hYJn2Zhx7biIyBE4GqyUJzzPs1pqjLE0TS2E/gF0tPqzBk342IHK+oT6SjRJLM2z + ycmapSYSoe1W11o63EUkAGb/J9KMGIF2HCdN40qlsry8HATejh3blVK2DZQxtqZSBT8MKtjgdXrD + tDGmPl23Ib4QIkmSVTjKfvjnEMuVhlX3TztL3W53PB7DKri1n7PGIwdEYEi4Qjtc+58eDf0tVGBM + CE4AqcwBwChpZ9vxvYeZdbZoBg+/60cfa/VAZbSdE84Y49x1rXKN57guAWhjgDNAFJ4jmMuR07p0 + FREleWaMcdiKPoElUgrhSKMeXVH8oePRMMmCEya4AUrTxBZjhRCO562+FIk4WFAE2nM5gFldbIaI + AA1jLJPpw5/GnVxKY4zneUZpAEBa4aGtk+cCRLTdfbaX1VaQ1gOkh7P7q2DpEYvQStEYYxzPtd2z + VnfePgI/8qwgAEPkBEYpo/I0zxWQFkIxbhyXAQAznAwHEAgIzAVELnwAAOIAzJCzlrtQJtGoiClD + 0hilNQkEzjkXXpqbwPOl0YPhMNeqwHlvOLCyBn4QJHkGiBOT9SxOuoO+43t5nheikBj2ej0ppeVX + 20QPkZFGK2kAgBjmWgnhgJUtR2BEBgENEUKaJ8BYJnNlDHCLNrXWmjvCkC2Pr64NImSPRtCPXDzw + WDD7Z2I85uPy6C3VduaR7VpEpoETCDtPiJoLB8DkGuNcSq0NuJo7wvUoTZAUrZhB2A9lBiiVhoNx + OOcclTYJQl9m42TsFkQURZVKBbTp9/vCDz3hOEE4ijMAwJVGhhUGwc/mfP+oY+3kYqtSxlpTNpa1 + 8qSD3mJjuVApF8ul6266/ude+uJxf1AMfEM0Gg59300Ho1O3POPEoYNzs1MIpttpK6WE40plWBC4 + UXEMRoRhZzjesHluqbGUjWOvVFK5PLG0CIbCMCyUS4uN5SAIfMdNswwQmSOWW80Jozdv29rpdCqV + ivBcz/OMMYtLS77vl0qlRqMR+OHi4uLs7KzWutVqSSlrleqOnTs77faf/umfDvuDPM+tQJSVj4qi + CDkb9geViarnuL1BXzB+2mmnLS4uLjWWC4VioVTKpOSOcBxvFI8558DZ3JbNrXbb87ypmWlCjIrF + XKlj8/O1Wm2cxNddfz1yhgS5koJxqRUDFK6zZdu2wWh49OixykQ1Khbb7TYRnX322a1WiwRLkmQ4 + Gm3YsGFiYmJxeeknff+flvHkANIT5M3WIoy1ZSqE8D2/7BYbS8uFMCr64batW1/1yl8K/cAYQ8Yg + YwDAGQPLtwUQnHPgRhomUDiOkhIAHNcBCYsnFmxqP8/zVrcjpbSttDZsepp6kJ7CYZs0rOkTrFq5 + e56HAc/zvFAoGKNdhMFgsNxc2r59u/XosPw6gyui8twRxphcySiKbCXUMm5tAGqMsT4/hULBZnDH + 41GeZsjBFY5F88YYhugIYTPxRCsRg1iNpaxcCSI6jhNnqWP06lH6A7vrEyTU149HlJgAKE/GiOi5 + HBmVy2UCo6UajUaIGQBwJES0kI0YI6QslsgIDeNkGGiBgpBrA8iZ6zgoWDaWke9qqdJUCuaQIQKt + VN5otxYWFpQyDBnnXDBujAHCYrE4NTU1OTmpacWUkHOepDnnvFwuN5vNvXv3DgYD281lNe5swrVS + qUxO1qOoyBhqG2ytmxMhBHcdz/NAK1jXcEI2g4KwykFHAoMIcRwLBx3BmMPiNHGkdhzhea6UidYa + wUXgDF1DwFaSDnKYdInMOO7neQIMyTAiLhjngBYARFHU7XZtLm197mDtZhk0K5KvsHpR68ZaDn6t + z5UxhsC5I1Quhes4XKR55goHVw3HbAyKiJYBtap5LqvVarfbtcvJGBNFUaPRYIwxR6wHNk9QmbGf + XCgUFhcXp6enLTSSUrquuwY81r7aE5SSHDdkjNnVbn29rPh7qVRaK5pZ5vTq40ZrBYdH/P9412n5 + qPYHOwkWoD76vT+05PV4f2ItIYeIYGjNC85qcqy0UTEGnEmtGDFltM3x244vWyxyXZfDSh8drFFG + n6Jt0oo1274yAHBd154FuUkBgBEBGgQOgLZCoqQBtN1fK7fAICEqh6PjOAaYMto+mwBGSsl/0JH9 + 4SAbEX4wMIJ1PDp7Z2Fd8LS26teAMQAIIXzfj+N4lYIISZJUq9WVm/UwRmLwSAL1IwYD8sigNgSA + QRBw7jDGGDe5HAKwFZxMHAARGJDIMwlgu1TIrEWwaGw23OXCEGnNtZbaaAMoKTeGKTKNRmPjxo07 + N27Ys2dPpVKJSkUpZVQqiszNlERE4MzCvHK5vLy8bA0kWq2WLcPaHcOemJxz4a481FmSISLhSrci + ERECETEhOOfccYQQuVRExDhzXdesIxWvzfwTrJMfA43/VI1H+gs9/lgnS40GOIEw4DAwGgUnzhyf + ERnE/mC8+749xNyj801AR8shMM44IuMGV+rG0pDneQwNGZmqNPKdhFQrS/YeOTwYxYvNjue4imCN + EWpv7tpUr6Zofubx0RPkKtb8NojI8zwrM9vv9+M4rVSq27ZsT5JsudkwQOiyXtLzIz/R2uRSOM5E + dWrA/T2LJ5xS6YF213XFKM+9IKjVanGapKkyo5FSusKc5nKr1WifdPLOYbsrx8ncxk3DcUwARuvK + RNULfBtmtNtt0KZUKk1MTNhkhDHG9vjZ9OXU1NTS0pJ9voIgeO5zn3vgwIFCoVAqFI0x6Tg+fvy4 + PfdF4LlR0Ov1fB2RYEGpwBwnTdPa7PRgMGj3e5agkRo1zBJJZpQm80uL9XqdiEbj8cRkXWs9Go0a + 7ZYQYhiPp2r10WjUarW2bt16ymmnLi0tEZHVEJqcnASA4XgEAGEYttttK+lZmahWKhXLT9Fa33// + /WEY5qTDMCSiKIqOHTtWqpQfswdJ4I+eYPppHD9OBenx9gdEBCKtFCKGQRBFUTGMfHIXFxc9x/Ud + d62yzxjL42St+58JAQzJGEs8Y5yDIZnlGrQfBFLK73//ns9c+elMSa319PS0BrJUmcFg8FRd/GMO + RqD/Axv6+jpSJnPGmLXjWGF05DLLMgbCdd3BYNDpdGpTk8ViVK6WTpw4ceqpp9osr+u6oMG2GAnH + sZl4aztrH7x+v2/NYWxDS7fbtS+wRjSMAQNkAKQNGmKAAplAZtgKs4KIkAhtCxlRlueWSCalHA/6 + UspiueT6nq1Zr2TNf3AWafVLmtVJe4JRKEZEWkopleoOhlrriVJdCPBcAZYnjQYtf5pAI3PQI+Sc + AWqFBJwjMMaAUpVrJbNUMsYY83OtOTIhXJNrIYT15B2Px2FYWAkwgdtIfWZmplKp+L4fp2N7SdYc + iTHW7Xbn5+e73a4xJiyW8tXQUwhRrVZnZmaiKGSMrajTrSIKWO04cl2Xc54nsQW3FhKvdH6vBgY2 + ngMgJrgNfT3P84RnDAjm2FXBmMsgABJEJITxfPR9zw3cOBml2VCZTJE0Ekn7Wikl80qxtKZWbEHF + aDRaq9DCuuwmX2lAeuw1TUQMcS0NTw9zljQYBcRUrrSSmcwt/EZAJMPAAIFRBACGjNaaOUKRcXyP + A8ZxDABa6zAM4QfzrLS6YzzmxdiMvlLKkh4550mSWBLF2tXC2pQ+PoZZk0xARNvQaD1h1gO8tW8K + jyJiPaLg8JiTZnctK/gOqzG3xQlrf/oR1/yjD6WUrfghMkRiDK33gGDMcTgKBA5GGUVKGqm1FK7H + ODdoGDLOGDIwhpAxo5SUUmpjVkU1GKB68j2c62tH63+OsxRWSzSeG6w085AyuQYwBiWA4YAIgqGD + yIEQgAFDhoLQIGhGmnHSWhLlyoAmw7kjHIcxrpQCYE/ADlrNdLD1FSTbWPTozI4xBgHX0sywCpDG + 4/Eael9rIfshafdH1JeIa+ky5gqGnDsMHSnlOB7lclQsuQAA6AHQSuhqi1fowfo+FjSEBEC5Sjgg + ka3luAicCBGYlLJUKXa73U2b5+I4fnDvntkNs81mMwzD4XCInOUyt3C9VCpZs+9utzszM5Pn+Z49 + ezZu3IiINtGwNhtpnqHMAUBp7XCH1ohY60o8RASEBkiZFcs+7Woy6HnBozMdP2IiYD1YeqqqSU8r + /rLX+IgD7onw0oowj615ckJhwCCQBkfqjLS56/u7vnfbHUvLHeEVo9J0q9MXyAitpTghgiHbLEC5 + MsiMy1im1aA3vObb133jxuvjQZ8B9/2CUqpYqWGapUrlUqND3PHXwK2l+bEfq4b0k8WzuHoB+MOu + ZC0nYlYllIwxWSaHw9F4lEY7w1OeebI4IrrjofC4E7j9/qgcFQad4fa5bc12O9MGC5FbruSttgjD + MCpoYxq5lBpc33fQqfhRv9U5bccpo8Ggt7AcMkcQNheXirVaplUaZ0eOHkVEo3WhUEDOypVKt9v1 + hFMslcZxXKvXu51OrVazcIXiuFwu+1GolNr/4INy504AyLKs1+mGYeg7ruM4ge+3Ox2l82K5zFye + yFSScrm73GkEQbDYWgqCYGK6prXOZD5WCXjMK4SkaePmuTiOASEqFQfDYRAEYRQ1m81KpSKVGiUx + MQTOOv2en/mpzP0onN20Mc/zcZo4jlObmtRa23A0SRJr/7DYWBZCcMCC623dujWOY+Y5QoggDB/Y + u8ce7k9wE392x3+IYrf+aUNbOzKGlOZClIJoojJR9KNasdrv9gQyxlgcx3v27EnGcblUskeRLYys + sT72PPjQQnFhy+ZtSZ4JIRxPHD582Gojbtq0ad/BA4WolCRJq9NL03TLlq277rvP9iAJIYih5eUz + xoA/0Wn6Exn2O9oUAuc88gMpSGvDmEEUMpf1+iQSLC8vu65r5b8tyVsp5Tu+TfqulEE4szp1ExMT + URRxzqMo6vV67XY7CIL/n733jrOzrPOGr3L3cvqZM30mM+kgYAhNILRgQQGlCLqKCCq4glgWBUUQ + wbYKi4qFBQUsuPqgrhRB6UgIQQnFFFImydQzM6ffvVzl+eOaBFZh31cf3133eb0++ZzPJJM5uXOf + +77u3+/3baqqmqbp+3673e7p6oqTkFPCCOUpgQAoCDNAGKESEmxRQBkFFELAIYSIIwZAmiSe6xqm + 2V3u8qMwjRMI/0NZve8JweBepjX/42/tW3Bvc4A4AJClaSws0zK2KUHJ6QSQY5pCwjiADAAKIFl4 + 1nKJQwSxwAQBJxBxsJfUxiUAIZYAp6qqJnEIOddUI/YCXVIVSaVp6jsuRsjUjSB1GaEMcc55Nper + lMoYQwyg6BshxGGUZLPZNE3Hxsbm5uaEKXkYhowx0WcCQHK5bLlYSklCaUopBf9RmiKOaZ/wfZ9j + G4Occ84oQIhzSBGUGWcQMAAIQhwh6LkdVZIzVjaKkiSMFUVBXIZYBhyTlHHOVV3RFV3VJFnCdXfe + 9VqaLsmSBLEMscowhKpK0jQKQ1lRRJMmrhy2d3YO9t6nC4NexBlkiCMG2YIT195XQhPEkSQhABBh + BFAAMIMYc8pUWcFQjtJIxQqlFDPEKeeAIwgxlDjnjBHAOIRAxpKmaSSmkR8UckVCiKYZrtuRJAVC + jpC0j5JH+X/WeyC0cLP09PTs2bNH5LpaluW7rmhHF7BiAACj7NXfR8ZSSokiyRyCOIwgRqqspGlK + khRJGAHIOMMQYYwhB4wyyMEf/UKCFPMK28nesoMDgWuFQQgEiRRCCaI/ao329ZyveJyvuBjkCCFB + fqCUivi/hQ6WMU4XgrYBY5Av0M4Q5RBymQuTYURiIoQigtoBEBalA6WUMg4xBH92bfoSjeDlPyiL + /QRhCWHOuN9xKKWappmqyQFhDDOeck4BoEKLw5nCmQQBhhgjQAHjHFDIOJZkzqmkSAwAwhZ8UyCE + gLO9W8kf28ftO8l/1IjuO+d/xP5a+G/sNazjex0s972DaHr3vjvbB/8CwPYylV6Zo7rvFFHKCIll + xDFCtm7IWT1JfQAA4BIAEoCYM8g5BVDU0AvXBOQAQGELAmUEGSFsr/8Z5JhDCABQNd33/Uwm02q1 + FEUpFYokSWlKsnYGASiCg/LZnB8GEsJ+nLiUmZrebrd1Xe/q6gIAzM7Odnd3C8YBxliCSGBXkiRp + WOac0wVNPBcRa2Chj6IYIhlImiRjzcRAOENyoZ7ne8X0fC9e/oqPX/YnEeqvGKr+N7tescPYF5nw + p6/i+wse35BDQPa9RcqRJJsT1WYax1g2MFanZucRljVNTxllFFDGAWAAAgwxx0joN6Esa4ZNFKXe + cREETruTN+y20wBIbrleSpmi6VhSUsYhpxwKEisTByk+yf8LcCQAAOQILBBugeieFtByJBHCBLkG + LmQAcADIxMRErpArdJXDOvHjSAZIQijxw4Hu3vnZOYSVXKk80275zaYpqyGhAOCY0yQhiqJArAR+ + mISEExZ7SexFAPB8MetGHpYlBighJFvIh2GYtWzHcUSined5lmUBygTxQSjP6/V6JpMBe/lWjUZD + 07TR5cuFD62iKJwy0zQjP3Acp7tSkWVZtbQwjSFGFPKUElO3gQPtbCYIgiRJOq6DECKcpYxiRZYJ + cVqeEMFGUdTT2+u4ruu6g4ODpmlyzsvlcr1ety1r0aJFzWbTdd2MaXWaLUmSDNsSA01x3oQTGMbY + 8zxZlhHGCCHLMAPHieNYM/TJycnhRYu2bNnS09dr2/bs7CyS/q5BAgDxhacThwuCxQVLHgghAIhD + VVYxAwUre9klH5+vzrZqLciRKCUh57/97ToAFv7+K75/o9Xcsn2bqmv5fF5VVUlRTnjD6znnTz/9 + 9M4944QwWVNM09ZUY3zPpKlbCpYRgJwKT0uI9lLsIIQLk5O9Vft/TSP7Ch5hAAAAJCQhhGYmp0ZG + RprNJqccARQnsayiOA7z+bwfBoyxfD7faDQMo4ChhBEGjGmKEoeBrutZKzszNyvJiiIriANNVjCA + kR8063VLNzRZgYyrkhzHcWO+VqlUZIQVRTl01WvjODYMy7btdrPV6XQwxALYDYIgZ2eanbYsy7Zt + AQDq9XqhVKzX679d90Q+k23XG2bGlhS13miYpqlpmuM4+ywi0jQV2ZECKhGJY/lCfvv27f39/WEU + CYG4ncuKUZaqqtXpqa5KAUPQajhLR1ZWp+Zt3eQJUIGKAUSIAZhqmua6fhTTbKYYpwGXIg5SDRkp + B4jICEiccyShctGcb8wqmgxSijkjhPA0UZFs6lbGsGUsBUGkYTlwOjROVEUHjGUzmSUjIySOoCwH + xCOUBBQwxhiHnh/W6/VmqyPJqgDrJQiwIlNKkIR7K12modE0CUJPdKpZ02i1Wl2FYhRF7Xbbtm1C + E8f3osiL41hIvT3Pw7KsSCoTonHOIUghZABwDlJKSJxExWzWbbulXDHw41K+x3V9xgFhJF+wkySK + 4zhXLBWz5SAI5ufnK/m+3mI3ghRyahl2o97J5LKtTocBPjNb5RKTVTUMQ8C5ahjCeB1ywDlP09T3 + /cHhoU6nwwg3VDUKYkmCqqq1283e3v7t219cumKl5zlJQhAEACAGmKbrcRwqkqqo0szMTDFfypnZ + +dm5fL5g6UatVgMAyIoky5If+JqmYIQAgoyxyAly2QJTUq/j5Yq5KE6FXEqWse+Fuawdx2maEF3R + oYQ5AHEc872mI+12WwTRIBmlUarJmtfxslZW7Nd93X2xHwnKnKZphJAoirKZjB8EhmEIUw3OOVbk + fdAfpVTGEqCMQ6BKMoOApQTs/RpxABFGHDBChcUIAoglVJPUSrFr6ciS7Vu2ybKctTJBENRqtTVr + 1jzzzDOGYRQKhVqt1m51isXi4ODg9u3bc7mcl7oifCMMw4GBgSAIstms8E6tVCrj4+OKohQKhVar + NTs7Ozw83G63RejW1NSUbdvDw8PCcdG2bdM0m83mipXLp+aqpa5is94IPJ8jGofRksXLrrj8U6Ef + KIoyPDz84osv2hnTcZzu7u5moy1jOU3TjJkJQz9NqW2bUMKe56m6+p73nqsoCqFEkiSMYBCGEpIw + RGlKhMSr0+nk8/lqtdrX19fpdDDGqqwoijI1NVUulznnzVaj0t3ddp2uri7f9wPPiaKou7u72Wza + ZgYyzgi79eabIz9SJFnXzSCIZEkrdZU3bfpDubsYEUfRMEcQAT3wZMA0S8sFfkdVqW1JjjsXJ8FN + t9y8fsNTdibDOGOMIowQBpwBSokqK0kYcUhVVeacMk7uueeuFaNLIOOKpPq+r2mGHwRgL3uQA54k + ybPPPmtpqoQxIylgjEPMOU/3evELoDJN0yRNRUi0JEliW3v66aczpoURkGV5tllf+pqljDHfC82s + HZMUQiwgMtEGL7RkiCPEIIQKRBKUQy9x2sG3v3Xj/vuvhJyYph1GSFWsNMV+6KkmQjIL4iBN0+5i + j98JaEIzZibouHZG99w2YfHwosFN2zad+77zgQyjOA3iSNFUCKHverZppWnqtjuVSkWTFRInaRQv + XrFi586dgilAk1SCSJeVVqt13PHHP7H+Sdu2/TDQTcMPAwCAhLEmK/Va7el16xmh7Wazr6+PCIwo + ijkHJEkVRdM0rdlsCkqqlTGr1RndUFVV/emdP/nXm26JwpBR3t/fv2fPHtM0hR5mampKjAxE4na7 + 3RZ5XJ1Op1DMi89o5cqVL7zwAsCokM3VW01pr0uheHAvDJ7A/5Mlwn/5esUDWtg6/uQVLCQgIQQY + 4slCNQIAgBwgNeIMchnIegpAmHCoqgygQHTmUPxLopggQLwnQiQRkK+cpAABphmFiCNRxHGAMAaU + ASbOHmeQg70WGwuBKuB/+DgfcoQ4IgnVFd13g0qhW8GKruiO49CEIo503UwS0my2DcNSdc0PPVnD + TrsDJRDWo56RgaElo+Pj47WZ+ZHKAIjS2uScZWexZdbbHV3XsSzJnHNKSBTSOFZkyZJlgDCXVUQA + BUyWlTRNNVPxojCCBFtylEayogShJ6IXHccZXTSaJgQA4PuBjLCmacJPuKtcFrbjjLF8odBqtQzD + EICSLMuEUkIp5SxOE1lTMzhHGet4blema65ZLxeKCSWUs0a71Tc4MDU1ZRiGpCqKonQ6HU3TNE0b + Hx+HjGds22m1NdMwTbNRr/d0d+8ZHxc4hNjoVFWVZNn1PE3TWEp0VQs8v5gvhHFEktTQNBInGEBh + AOYHAYTQzmSc6gxCiEEga2oSRkG8sO1nMhmapAuZMXzBw5GBheGpENL9WXfw39r1+ZcjSPu6IwYB + gAASCjiXAYIIKrLUW64ceegRMkDi9t03dvt/80r5woCQMZZSKsvy+Ph4EAScc1mWAQUYQACQruji + U0QAvnzCy4Tb8n/TmX41o5soisIwvPTSS6vVahxGPT09rus+9NBDCAAYRZVyOYzjWqMWB7Gu67Oz + s5VKRahKOOeXfvyfnnvuufvvvf+az169eeuL8/Xa3XffXS6XNU2r1Wr777//QQcdNDExsX37dgCA + uFtE93/Fp65wmk3PdzJmtlAoJEnCKS2Xumrz82maZjKZdrst2Lq5fH7H9m0r9lv54vbthwtalAAA + IABJREFUixYtqtVqvu8zxkicRFEUR1Ehn0+TJPD9U045JQ7CdevWlUulmZmZSqUSBMGDDz54wgkn + IIx37dp14IEHttvtU089dWhoaGxs7L777vOjUBSLPT09jdqcLKFKqXLJRZcccdiRBsiMT1UlrHLO + DVNttWsQY1XVNT1DEjkhIcF+FHu2nFWRiqGiSnKSRjNzk4oO/+Hcf6AgIpwjDmzTSiMmI5kTTgnH + jEkQKVhCCCFJURSl02z5Tmdyz27P80T1TAGXFDkMQ8a5YRhpmgqDCsFgFpRCSikhpNPpuK4rYCWO + IOc8Y+jNZtPWDWELNjUzI8koiqIUkAUlPcaCEkkpBWAf/4QhzgEkADKIeLm7q1mrH3H44V+69vq5 + mXocUCxpViYfxJHrtTVNMU2bM5QkjHPutFu6jgs5fbY6aeqK6zh9PX1Lli4liJ93wfur1aqmaWIv + EmgYAEBCuFlvfOpTn7rxxhsXLVrUarXqtdpRrzty2bJltm232+1nnt3odhxd1a684qpnn39OMIwn + Jyd37t6VRCmnQFGU0PPf+8EPnvfe99Xm5uMgKhQKbscjhJQKBdEkIyTmEWDht7IkqD6yqp5+5hlj + Y7v7+vqymXwQBCRllBBF0dIotXRLV7XJ6Skrk1VVTVSrnY7T1VUJw5AQahhmPl8YHx/PZrOmaUVR + dMQRr/vVPfcW8/ne3t6q4zEsq6qmqTohxDKs+Xqtr6+v2WwihChljuOYpskYZ2kKIaRCcwIhAgu2 + DJzzfQay+14BAJBxxEEchP/8xS/9+7//e9ay0zStz80rinLY6kMu/djHv//97z/yyCPbtmzt7+8f + 2K9v1aqDTzrppPe9730CzFEkScvljN7eHTt25PP5f7vjjne84x2B513zne+cffbZgLF/u+OOa665 + 5tlnn63X6zfddNPXvva1xx9/fHR0tLu7+8tf/vKHP/zhJEl27drlquoDDzyw4Xe/O3D1a+frc2M7 + dt5w/fVREBZz+bXHHPeHZ5+/+5e/zOVynVYbIZTNZ3bv3s05LxXKnDCEpDROhkcWEULGxsYMQ0so + +e6t39UkhXMA2UJMqqxghCCCEqVssH+AEEKS1O04XaUyAhBy0N1VWXXQQevXrx8eHHQcZ/Xq1UuX + Lp2qThumuWnTpvFduyvd5UqlkiQJApClxNSNnoGeg1cehAH+3OevfuiBh3PZUhTBVjvoH1gCEOx4 + LT/2oYRMIxf6uJDv5gnLZOQkmQ+COcCcN5/yhpGh4c1bt1DGCCUYI8o5TQnlTMaSQK7EnRTHYa1W + oynJ6qYqybpquK5rGDHb22mnaSpiZcMw9DttzpgiYUPTBG5JORMCTiFaSNM0jCJhSyNwSwH4B6EX + eD5CoBOKIC+ooAVB5n9Eq9g+zIpRktDY0qwkoYVcoWDl4iB+xxlnQ851LTs764UhrnSPUgCxxOZb + s4ohaZrWrjVs3Sja2anxPZVSoVgwnU79uhu+PNTflwYRSeMoSFRDz5iWomvNdqtQKFSr1T889/xF + F1305Lp1pmm6jpPNZD544YVzc3Nf+OIXIYQEcduy0ij+0ue/oOjas88+GwSBrMiKoni+r6kqhijw + /UqprGLpjW96swRgsVRqu20k4fn5uqmbClYIIYqkuq5r2Xa5XNZMzfU6juf0DfYdeuihpWKx43i6 + bry4ZUu5XJYkyXOcdQ/+9l3nv+upp55SVVXTtNu+972uri4xdTr++OOjKMrYtuM4H/3IR2697bYN + Gzbs2rVreHhYmAyJc8jhwoidcKbAV85J+5ta8FVeAQD7zBoAoC//nzCI9kZM/SdrL4v4P/zhgkPJ + KwtJ//io/sb6y//jhTHOZ/KbX9g8NDSkKMrMzKxp2rquK4oWBJHjOGIsKwjnGGPGiK6rsozbvjsz + W82ViqZpwwKdn5opmdn+nv6QkXYUQshpnASOK2MEOTV1LWNbURK3W03KoCJrPcWeNmkEUagaekIi + RZct0+wEbhRFqsppQjOlMo3p0iVLxnbu6uvr67TbkiTpqgYhbLfbIpdcEFIURZmZns5ks27HUQ3d + tu0gCAROrus657xer8uynO2q5HK5Rq0+PDAYhiEkpFgszs3NzU7PWLqRsTNBELjtjqYolm4oWCrl + C2lMvJaTy+XiOA6CQJQutmUhhESicRRFov4xdN33fUZpp9OBEAqObj6fj6IooYnneQAjCGGlUvE8 + b3Z2Np/Pm6bZbrY0SbKyGUHcFdEdrusSQjRN24fYi0uSc044lf7mWp4/b/3VXOwwxoBzGUkYojhO + /DAgjMhIYQtZURBCwCkThB6RGPOK7RFnHO4dIGEsSVgCAMRh6LtuGicYoiRJVFWVEZaxFAUhFoyr + lx3JqxGg/4Kt4q9oSwohPOKII8Iw7O3tLeTyIyMjtVrt3nvv9RynWCxefPHFtUbjE5d9wjAMK2sB + AFzXtW17amrq9a9//X777ffPX/zSm9900vLly2fna6tXr778k5edddZZSRSrsvLjH93xwx/+8MMX + XXzfffddd911QRAIPNf3/GVLllz8wX/cf//9s1aGc/7MM89s3rSJUaDKsmVZIralUql4nnPSyW9R + sMQYv+/X913+6U97nidaAgihqqji4UcI2W+//b74xS/+24/u2LJly9jY2Ojo6OT4xHnnnXfvvfcK + SKqQze3atevmm28eGBi49957P/2pT5944okXXXTRYF8/pZSlrLvcH0WRIlvlUi/g0sNPPnr5pZ8Z + Ghzx/bhYKFnZ3FPrfx8GpFjsazU9SdZjRiiJDj7wwJ5ybm5md6dTxXLKYPid737L8WqSggFHjACa + JKZu562cbdgkSUPXE2lxhBDGOKXUtm1KaRiGvu8DvMA4SpJE0DJFHo5oRxljvu8LO3UI4T4BzD7j + r320HPHUF9haEHqEEI4X9Mov0asQhBxwDhgAe+k9mAPGCXM6XprSvt7+deue/OwV1+az5SWLV07N + 1bu6BlvNwAtSjPQwDFuthiqDctlO006lyyoWzdmZicnxiWOOPvbLX/lnCUmc81Kp5IS+mIhDhNI0 + 1WSl3W5feOGFb3/72395912NRsP3/cMOO+y666579NFHJUV+7cGrFE1ttVpHH7PGsMzTTz/dtm0k + S61W6+qrrxZOqTLChq7nMvZHP/Lh32/4vWlYjFIJSp4bGLqeJInjOLKMdV0nJOnp6eGQC6eK6tzs + l7/yFUqpSDFqt9tYkS3L4pwHQfCVr3xl5cqVgHHKWVepZ8MzGy699FLf9/t6er/5zW+ec845CEBG + KKdMkeQ4jGhKMMYfvODCmalpDGF1euYLX/jCqW8+tek0f/GLX3z1+usHBgbWP7p+pjHjeO7Q0NDR + Rx/dVSyJZ6Rh6OBlbKt9rKpXo7oRQgYGBt773vcuGlq03377PfLII41GQ5KkJUuWvP/971+1YtW3 + /W9LktTb25skyQsvvHDuue/VdX1gYGBqakpVVYEojoyMlMvlW2+99fbbb6/VakuXLmWM6bquKEqt + VvvABz5w/vnny7Lc3d09MTFx4IEHZrPZSy65pFQqua4rLFv6+vqiKLr11lt3XPEpVVVJmoae391V + YQnTZeXZ3/2eRsm1V139/HPPzc7Orl+/HlOIJTnyA6ftZDK5iT3j++233/HHH68ZuqrKV33uajHO + lxRZVmSaJhBCAAGlVFE0IMnf/e5377777s997nNLly512u3J8fHf/OY3tm3XarVVq1Z9/OMf7+vr + u/hDF1V6uufq8wCAe+6913Xd2bmZmZkZXdXETMF13aWLl1JAKac7d+5cs2bNuedcQKnxi58/IuHK + nT9/cGqKEGoDjAghjIGBAXzsmsNkxXv/By6nrH7VZz5WKvbs3DNOKZUVRVIVyllEhJxPAYwjiBRF + UbEkphi6rht5Xdd1VZJ1VeecW1YmSdMo6ogiAGBkqKppmrJlkjRN4yhNUyQpEEIEFwwMBeQoRlFB + EACKkiQJgsCyLNu2MQKWZXFOgSIJ9jIhC92RgJ4AE7c520ug5JqqUJ9pmtZ0mzRiOSu3/2uWOW77 + 8k9cfuQRr5+ajJ54fMuuMW/D7zY16s2YDUmqRFkkI/P8d5ybz0gSio495rWKSi/4wLutnKbIKOUx + 59S27TBJASMsigDjtmF+5+e/UKFSyOcFtqPJSqfZGh0dveuuuzhj5a6uer0eBMGaI49666lvnZqd + wRjTiEKK0jRFEALGwyQkcUzCOAzDQqHww9tu371797333osQeujBh03TNDTTdV0ZybZmUMCHBwZH + Fi864YTjdu7aecdP7lAg9l0vjZNOxxkdHU3TtFAo3HDDDQSQZ555ZmRkZHx8vFQq9fb2rly5EgDQ + 19dnmqYX+kJPu3jx4lNPPXXTpk3FYtF1XcLZS9vm3ugEhNCrukK9yvq/rSH4//Hie3+9/GuRjJLN + ZmVZbjabK1asKJfL4+Pj4mqxbdswDFVVq9Wq2CU4ZRJCjBBIWOwFsa7nM/lFXb1j6Ys0Je3Qbbgd + PZ8rFQqBGyiMZ61sHMdxGrYTR1KkYqULAxT60e7dYwN9/Z7jA57qGZ0CUq/OeoG3aNHI7Fxt2ZLl + mzZtUlVdV1RFlj3XxQDahtlutkzT7O/rwxhPT0+bpkniRFKV3t5e1/NKpZKsqZPTU8IwEyqKIslY + wpZuRFHkOo5pmpZlzVXnVFWFAIZuWClW6vW6oRiNuYYsyxkjE8fx7NTscP+wLuuz42O6qnPKkiiW + FFnSdISxJEme63Y6HVVVu7u7i4VCvV7nhJqanqBE+JxVq1XCKGE0SmJd1zVNo4BXq9X5uTlJkgr5 + vKKq27a+qGuanMu1Wy1RXO3bCZMkkVRl37N1wQTzL9Lc/rk/8P91+/V/1CAJ3FYUGhhjSgRISCBl + C3ZYgHEKIAdQ9EgIYfEgoQxgDF8mBH3pFYrMCJFusTfOj4PurkpXqcQYa0aRJEmd1t5MRggBEnED + nP35QfX/ZQtj/Nxzz41t3+E4zpqjjn7Tm9707ne/e/HISMYwO53Oa/bbP2vnrvrslaqqkiQRcguM + saZp3/qXb77t9FNLpVI2m33koYdv/8EPKOe33HLLypUrH3nkkfPOO+/+++//wQ9+8Jvf/ObGG2+8 + +eabTdMUOkXB7Wk3Wh98/wXXXHON4zjFbG50eFRES3meN7poxHEczrmEYLNWv/yTl7U67Tv+7Q7h + GCZJkp3NYIyjNBGSp5GRkeuvv14DmuC/dnd3+75fKpXe//733/6D78/NzQ0ODopI5oNfe/BRa47y + ff+Xv/zl9269VZIkXdd37Nhhm3YzdkicRH4UBYkEpVwuNzy86OrPfqGQ6z3nPR+CPEPjwZk989O7 + YhBLVrnLczpINta3p4cGOm9646EX/uNZeyZf+PSVH8UysS0FYTkhNGU8a+UUZCQxc6mrKSqUsGVZ + SRR7nmdblmVaEkRisKTruqJruq4nSRKniappYRgKRr5Is97XEQl5onhUC+GHcMwUGJFhGLqsCLeu + VquFJcgY4+ilQCFCCAOAAc4g4KLVZgu5gQByCUmcQ0O3SEJ37dh1zNHHfvqyKynTrrjq+rFd7foc + 9jpYlkzXZbGLsA1b884nPnnhAw/+5C1vOP2IIw58/LFHHvz1g4okU8AMw2CAiymvSOAxDMNrd848 + 6+3/dMmlCMDZ2dkwDPPFwovbt5XK5e985zuSIm/durVUKmma9uijj1arVUGb/sVdv3zyySe3b99+ + 2GGH1ev12empfCY7MjT82MOPff2Gf+nvH/rxD3+EoPLYo4+KON2snTEsEwEYRP5bTjo5k7Oz+Wy+ + q/SlL32JMJqmacKI7/scgIxp1mq1RYNDY2NjV111VbPZ7Kl0NxqNSz/5yamZmfn5+Xw+f+ihhy4Z + XnLggQdu3LjR933P88rlsmB8HX744ZqmBZ7XrDcee+yxH97xo6OOPUpRlGOPPba3u3t6evqFbS+8 + 853vHB0dfebZjbIsC2RDfMriBtynpni5NOgV7lNFFhDEl7/y5b6+PpEhUy6XDzroIN/3H3ryoXa7 + LaDsOI4HBwffdvLbvvHtb+RyOQHGdjqd3t7e8fHxE088sdls3nLLLYVCAYgwA8593x8eHh4bGzvi + iCOeffbZ2dnZ3t7enTt3rl279oEHHjAMI5vN1ut1VVXHx8dzudy55547NzfHOP3ezbeU+wd81/Nd + Nw2iZaOLz3rb6aaml7L5gp2988c/sTUDYJSmpLfcoygK7e5ZtmQpAnB6cuqEE47LWjbkQMaYMx7H + MUlTjiCWkZggXHfddZlMRpy02dlZTVHWrl07MjRy5JojO52OaZqCcWfb9hmnnR4lEYMgjmNV07q6 + ujKZjIQwS4nvehiicqEYJRGgoLe398wzz1w0Onjzv/58+/aZVt2NnO68sTIIISFEl5ikEMToz376 + wNIVGc97QzanfehDF23b+Qeh9w3DkCKAJEkQrgghnDKOMKc8SonneZQQ0zSXLl6SN23EgSKpQRBo + muH5fhSlHCPf9wlfcP3JZGxd02SMMIT+gkvbQp+zz20pTpI9e/bIspzL5cIw1DTNNE0ZQ1VWGCMx + p8LzhjDC+cIhMcYAI/saJAAA5zwNRHB3KssyT6kXupTGmiaffuaZbgdOjG+em6UP/nqrjPsGyqs7 + QRDGsW6BJKr//N9+d8YZRyfEWbGye9PmHcOLB5MkJCDGeEHcGIUxliXIWSaT+cY3vpHP5x978rFG + o2Hb9tjYWDGXP/744xdVhtavX/+xj33s17/+dbvdPvroo6+64jMbn92IMQ58X1dU1dCbzaYkcoQ5 + 6CqWVFmxLMtxnCRJJicnzzj1bWlKNjyxniYphQmNE4wBliRVko456uhyudhVqgAAcnaukC3QhFLK + xJyoWq1+/OMfv/322y+88MKurq7JyclSqTQ+Pl6r1T7zmc9MTU3t2bPnhRdeEIOqNWvW3Hnnnccd + f7zneaVSaWpqKpPP8b1xvSJA+G/2Uf739d+4giDo7e2FEIpBeb1ehxB2d3fHcSxIbjt37jz00EPF + /EJCGDCGJAkwnrEsL40nduwKy5X9jzteY3BifCpTzA8i2Oy0A98vampXpY9EKbAgkGEMEj/yU5qo + slLuKg+UKhjLuqkZplatTsdJ3NvV5QVmGie9le6d23dUyl2cQ9u2Qy+QJEkxFLfj5HK5er3uuB3L + srLZrAQR5xxDNDdTjUmazWa57yuSnM/nEYAQwmq1yjkfHhjknFdnZnRdn9w1MTAwABmPSZpGcUR9 + W9FULMGEMA5URcvkCyBO0zACcarLSjGfd13Xc5xFo6NhGLq+RwjJl4qVUrnZac9MTglldYxoubhg + cBeGYRiG4ozpug4AaLVaQRwJ1gkAoNFoQAiHh4Y0TZuvVpctW7Z9+/Z8Ph8niSgUxfQcvMwq4yXt + 4v/wrNi/GoKUpingPGVcAlCRJUXXMJD2ygJfCqAUFQr+T1yhKAV8YZoEAACcM0qjKJqbm5ufnSuW + SwcddNCyZcsKuRynVLjY/Smb7iWDS1GXAgD+uz8n0ZMYqrZ06dKv/cvXNj63cXh4uFar+a53zjnn + PP/885SztWvX/vaJJ+bn5nRdRwBYlvX4o4+9673vmpycJGnaajRzuRznvK+nZ9HQ0NbNm3u7u488 + 4oiNGzdO7NmDAKhOTy8aGqrX67Ozs7ZtR0EgY6m/r++xhx+77abbJquTbznpzQMDQ5Cj5zY+v2LF + it1jezCGG57cAABY+6YTJYyz2WxXV1dC0n3mxY7jQAmLjisIgqeffnrTpk0QQmGJaxhGp9XWNO3H + P7rjhOOOP+ecc849772HHHJIq9lAHJRKJUppJdtF0rTVbJqGYVlWPiNjiLAEEYApSWlC2y3H0App + Kq9YfLylLdqByov7DU3rajbC7p6eqek9uTwc3/3U8mNX9/cMSkguljIQJ6aBXa9pmDlGpThO9z/8 + AMQUwIChqZySzZs2eZ6HISoWi4uGhy3LsgyTUgooY4xxBCVJipKYEIIlSTRIolp64YUX4jiWJKm/ + v39oaEjTNCH3fAk+ljDnHDEKIdRlRcBQ1bm56ZlJQgnCWHRTiqKoqgpliXDKOAAA7d01mFDrIs4g + AIZq5HPlTCanaa3AjxrNeHjgdS9sfL4nf0AzRRLK9OZlADtetEeRm615I2uOYmirqnHIIQevf2Id + 5zxIAxF7WigUVE0T3nFJkhQKhSOPPPITn/nE1VdfXSqVtm7dqmjq4sWLEUDf/Pa3ms3mzMzMN7/5 + zWq1Wp2b7evrS5LkmGOOWbp06S9/+ctKpbJ7926IUKVSMTV9bnaWpsR33cZ87dDVhxACfnHnz0RW + jW2YSZR6HSehycplK3VLZ4gtWjza09MjABNKgGEYYRQJfaqoh1x3QatjWdaZp5950KqDJIRymcyH + PvjBe+6763WHH/7M735n6jqlNI3jcrEIOb/2U59b+5a1nuctX7681Wr96Ac/bLValUrl0Ucf3bJl + y3HHHadI0gEHHJDL5ebn523brlarEEIo/yW36szc7Cc/dflpp53W1dNd6e0RLIKf/uxO6Zf/fsEF + F/QPDW7dvg2kiayphx5x+L0P3Ldrz55PXn75ZZdd9vSGDYuXLImSxM5mr7jyygsuuKC7t7fT6UxX + q+VKhQHAIaw3m5+79tqbbrrp+OOP94JA0TTNMG753vdKpdKZZ51FOU8I4ZxDjCHGQRBMTEwcdMAB + F37gwjt/+r/chAz1Dli6uXXT5ryR6a/0HrBi/2KxeIN+veu6nPNOp0PyaRiG3d3dbz35lGKx+N3b + biWECNFtV1cXxDhhRNjYcMg0TQMU5bPZf//5z33XXbp48bZt20qF4oUfuKBWn//kpZ9YsWLFVVdd + FQRBIZfv7e751re+tW3HtlqzsXPnzvvuu8+2DMswkzCinHd3dzNCKaWqoqpAFddkq+W+6z2nnfF2 + cPH776dxkIa9pewiy7KitE15zQ22G1rxpz+5Ynq209uX/18/fTxXsDL5TLlcZpzHjECMIUYcAiEk + gxwACliSGoZhmmYmk1k8MlrOFzihEpJd15UkJU6SZcv0vqFBYXZK4rjT6bRq867j0DSREMoXZQgh + W4inesmVAUtSNpsdXbpkZGQkSRKBjvpux2l3KE0JAoIwZhpZhgBHULjhQ073SpCEBgmmNFE0hae0 + kEUYyJAyx22rujIxNd5VXLnqtYctGQbPrMMs7YY0W8lkNNMI40aiTmdyrmkMnf+Oo6enaK6QjYlP + QZzQUFblUlc5CNOBTJFDgDEEgH/2qqtmZmZ+/av7bvvu99x2Z/8VKzVFPfnkkx958hFLN9YceVSn + 2UqjeOe27W895dSz3nH2scceW6lUiqXSzNzsokWLkiTRZIVkSBKEaZy4rjs0NGTbdhSEqw9azSjT + keqHPodMgbKEZAwxoOyow4/o7uvevGXLrt1jJE05Y77rqbZlSDiIomK5/NXrr8cYv/s97wnjWFZV + zTBYo1GuVLwgyBeLn7r8is99/nP33Hs3IeTss8/+0pe+dORRRx144IFPPfWUaIvEBrvvQwELE+i/ + t0l/Xy+tUqHoOS6nLPSDjGXTlPRUut/1rncdddRRP/jBDx544IHJ8QnAuIwlTtm+9NjAC3VdN2Rd + zSprDjn8y1d8ngM2MTc122kGUVgsFkGUSh2/IFthO5JlOZUoQQRpCCDEKWUAciTHEGLLkDX1tttu + /cXPfpKEMSSMcxKRgBFiaFoYxNu2bD3/vefPzMysX78eQ6jKsoTQsccec8YZZ6xfv/4XP/s5AMAJ + OsV84eJLPrxl24t/2LRp06ZNzXqDJqmu6xnTMgzD97yJiYnuctenL7v80FWHEEKSMDJsS5VkLwwU + LAGMVEmGEt6+9cW+wQFLN1JGAWWypk5OTmqG/qEPfWjH2E4AwPDISKfTqc3OuUr7Xee8GwHo+z7n + /J577qnNzWFZNgwjSpNCuSRJUrvTMU0TMp6m6fDAIOLgTa9/g23b69atq9Vqoef7jpux7X/8x3/8 + /Oc/7/u+rChirtrd3d1yOgsTZAAoZy+/kf8sHtbfWjf1FzZIr9CTcC5LEmRcwEdJmvppoEqyIasQ + LEzpGNuX2/2qpwFJEgSAA56mKcYYSxJAkFKq6pqdzSwaHV27du3y5csppQAhy7Io4CK3VLgGC0HU + 3yDQHoZhuVwOPb9arZ52xmlnnXXWj3/84xNPOMG27aOPPOqW7323q6vrpDe+8e677166eEmcJplM + 5qCDDlq/fv3M5JSh606ajoyMnPyWk9/+9rM0Q1exqihKu92en59fv379IYccMjs72+l0SqXSc889 + J+YrSZJgiDrNjoQwpWnGsEYXLZ6bqZqmuXzJ0jSKB3r7Jib2JEkky3IUhIJuVyiVLMsaHR01LFOI + +7OFvGEYwoj8Zz/72TnnnLN8+fLVq1ePjY0NDAwcd+6xmzdvPvzww/fbb78wDJctWYoQyuVyH/3o + Rx966KEzznp7xKMlS5YAAEql0txMFQGkaRpJUgiBKWk9PeWR0eHeXnvPLnDKyWc/s74pQ4/BXEYf + RlkKEtzX1W1a0Y4tm9/zrrP7h0CSBOVyfunSUdfr9Pf3a1pO1WyWSm98w1sK2aKh6YHnVqenNm/a + 1Hadge7eoaGhRYNDQRBghDHGkHHhAQgAEJyZ5StWiG0UQjg7O7t58+Y0TS3LyuVylmUJ+JhzLsYk + jLEoTTDGLIkxxpxxYRwnxsnCFUCBQNd127bz+TxSZI4AA5wLwzMgHLwYAEyGgBGahAkAsLvSi7jW + 29uXyaJLLul/8Ded1O9WYQHzHA2oqnkZNdPuvNDbdeA/fvAo3QDN5szOsW2Dg/0QckuxhkcWOZ7L + EIzjWEemJiuu68qSdPPNN7ebLQ1ppVLp8MMPn5mtLlq0SAbyxo0b5+bmzjvvvP7+/htvvDGIQlmW + gyA4+x/eedddd4lmKZvNzs7NZU0jcjzDMFavWgUh7O/tO2TVoff96n7LsnRFF9zsUPYaAAAgAElE + QVQkQa0uZ8tHH31Mo13bsn1LkiRtx2m32x3XoYCbloUwllTF8zymqJIktV2np6vCGX/nO9/5leu+ + ks1mFUWZnJwcHx+/8cYbr776atFhdjqdTCYzMTHx9a9//bLPXtZqtUzTfM1rXrNjx440TUulUi6X + azabPT09Bx988Ojo6CUXXzy2e/fll1/+0Y9+dMeOHblcDmOMhZ39n6xXo9gJjDEMQ9u26/W6SMQS + n6+iKENDQxs3bhS3s67rb3zjGx968OHJyckNGzZMTEy8dtUqx3E8z7v99ttvvvnmPXv2QAhN0yyV + SmNjY5xzEUTTbrdvuOGGa665xrKsWq0m5FKdTkfYClmWJaYPJ5xwgq7rkqRsen7Tv37nppu+/R3G + WLPZxBhnMpmBgYH56uxrVuzHOWs2Gv39/Y7jRGHYXe5yHCdN0ziKJIRpkmqqqqsaiROMsarrMmBY + kSljURohhCSsXHHFFWeddZY4ttHRUd/1DMMQEGij0fjZj3629i1r999/fxnLjz32WJomPX29F1xw + wZYtW2QZM8YK2ZymaaEfSQgjhOIkVhVVRLE1Gg01UlRF3b2nmrH3B/rS0DfmXRLGPFe0ZJzb7zWH + 1mpAkqSZmdn+/t5COfP7PzyPJck2jIRTwhgDHGIkSASAcQlKSOeZTMa2bVmWbdv2PI8mqSpraZoi + JEEIVVXN5XK5XI4C7rRaQRC0Wq00SSxDt01TIEgIgpeX4xDCKI5zudzg4GBvb2+apkEQCLMNy7KS + JAKKJPB8M5eNaZoyKgauf9ogAaTrut5utmQsISBLEkSStGTJkqGhIadDIUYIgWYtzdk5CEtuC02P + dzQb9/QMBN7WbKZU7gKOi2MKliwZxTLSsCFAbDNjK6pIWwaqqrRarb6+vueee27FihWmaVar1SiK + DnzNAX/YvOkjH/mI67qve93rdu/evX379sHBwTROLMNUsBSFYblQlCTJBz6lNGPb7SStVMqyLEuS + xAGw7QwlFHLQbrcpIZwCSZJoSpIkiaJgenq6b7BPURRZljGSOOeWZSFVzVrmrl27hLhCaE3b7bZw + MVm9evXpp58+Nzdn2/ZPfvKTm2+++b77f0Up1XV927Ztt9xyyxvf+MZnn3125cqVm7ZuEawTjDHE + L8+A/nuD9Pf10gqCoKenR9O0f/qnf1q9evWFF164Zs2aVatWXX/99eedd54kSTMzMwJKEl52DAC8 + N1UPMsgJ6dQajCUKku/71T2/evShKAlX7X9AAWvtF/dMP/+iFDEFSw2v6RG/0tdV6e1RTUO2TG5l + 7nrkQQegiy79GJQwg4hDzAjLWNn5en24b2CqOqPJ2umnnfaRD3/4q1/9auB55XI5CILDDjvsphtu + +uHPfvi+885vNZpPPbk+ieIf/+iOUle5UCi8853vfN/73sf2xvGJ1BYIQE9PTyGbCzz/S9d+fue2 + 7cuWLZufn5+ennYcx7btKIqSJCGEdHd3i+yKo446qt1uz8zNdhznllu/l8ZJLpNNkmR2ZgZC2N1V + Wbt27Ucv+cjWrVs9x4njePfY2JYtWwAAnuephi5JknCWYoxpspLJZEZGRm644Ybdu3dn7cz7zjv/ + /PPPf+655w444IDlK5aJJ2Mcx7l8Xqi1hVRbxPTtm24sfP0/3DXxz26QXo0SLMsyRihNY8wBQDCO + 4yCODFmPaKpgGUAAJMg445ACADjg6FUCpChNxCxfUjAHkACasIRASiFTTa3ttJ548rePrntsZmZm + fn7ezNqiW305HP9qxMe/oqDoL1hCq1cuFMMwnJ2d/epXv7pmzRpd123Tet3rXvfo44/lcrmVK1eK + cGVCiK5qX/jU5097x1vTNHUdR1GUiYmJX/3qV/96yy2e51199dWnvOXkO+64o5DLDw8O3XvvvYwx + t+N0Wu18NgcAkCQpCkJFUWQsIQA1rNT9oDY7BxhnhJqZbLvdnp6cwlDSFCOIvHKhKGFlYmKi0+l4 + gV9vNlTfgxCGSewGviCncc6FaK9arc7Mzfb29m7YsOG6r3xVlZX3nXf+6OioHwZHH330hg0brrzi + M5+8/LJTTjnFluyJ2oRpms8//7zjOJZuNOp1RZIRBmHkxtx1vSaAYRAyxtHBB4PHH2KdDpOxOTeX + BD61LINzmiQRA2jFCtDyQNtpEezGSUgJz2VLrpdSSgzVsKyMZVmcMlEhKYpi23ZfX5+u62maRlEk + Y0l06SLdjAGO+ULypvBL9DxPXEXFYnFgYKBcLkMI4zgW8XOiPhaWmgAASogkSYSkoncCQoMHXsoY + XQjYoQhizDkASHTtiAs7EQg5ZwhJtq1nMrk4Tn0vZIyRFDEOEJawYiah6ToQAQlyI+W6gno3PT+1 + +pAVVibOlVRJwnvGd83Xa4VySRAgZV3Tdd0Lg47nKpKEMY6iKJfL+akPABC4yosvvnjymScrisIA + 3zG281tf/9ZnaleK7ogCfsjBh9x4443lrq7NmzermiaMpwqFgqFqO7fvGBlepKlqHEVT4xM5O5fG + hHEWeAsepookG6rakRZSXFutVi6XKxQKFPAoiubqNaHy5Jy32+3ly5fv3r1bRvhtp5/2wQs+6LQ7 + lmWd9ta3PfTAg2mc0JQccdjhTzzxRBxGkIPzzn2vZZi3fe/W3t7eMAm2bX1xzVFHa4oqIbx58+b+ + /v40Th5+8KFGo/HAAw8kSbL+2GPPPvtsx3EEXYFyCl9mxb4gbHj1XSKO4yiK+vv7t2/fPjw83NXV + 1Wg0MMa5XE6EU4soth07dvT29h555JGlYhkhlM1mf//732/evJkxtnbt2lwud9ttt4mf1XXdMAyR + wec4zvDwMMZ43bp1p5xyyrJly/L5vIDBEUIDAwO6rk9OToqeqlqtxnHsuv7Q0FCukA/COGeZ4qqK + STI5Pbn/yv0AAK12O4rTmeochFDVjJmZGbHbKIoCAZRlGSE0OzuLEGq326zdjkhCOOMAEE4USUIU + aYoa+kESxbW5ec9xAQCe5915550PPPBAPpsLLw6OOOzwP/zhD2e/8+ypmekkiQeHh0466aQ4COe9 + juu6+UyWcx54IYaov6fPUIyYxfV6PQzDpcu7w1Rt1MDg0PDMuBwHhDGezepymqOs2tPbG0V7MAaK + YhgmaDRa9eY8hLDVas3NzSWcYlkWCBJjjFPGCNVkTYaoVqu1Wq1GoxEEgQyRuPuEEXxKiBgPQQhl + SRLiKE3TMEIAgCAI4oRACEUJvoAJ7+XQCnsbQVIVEQtRFEEOwjCUke77fqfTiQHt+C4FXLilw4Wr + i+1rkDhkwpA9a+Uhw5zSjhtsH9s1tmd3IbuYMfmZjY1sTpcQdx1X0wqDuUECO9XqC4uX5TRTqtXB + bH2m3I2mq1Mdz+UApJTNzdeRpFIOCCGGoblOBwCwa9cuwzB279799FMbAADiWirk8ookL1682NCM + 73//+9PT0816Y+XKlUJpjWSJc64oijCo4CnZuX1H0O2pqmpZlu/77U6HQSBjGSBsWgYAwu4ihhTk + CnmAUEoIg0BRVUmR/Tj2/CAJPNZsCJFqPp/fvXu3oihdXV21Ws00zSeffFL4H87OzoqZmqZpa9eu + HRwcfPjhh13PKxaLV1555ZYtW0zLBPtGzoDvw5TAn2OLD/67n/J/X3/FxQFgcOEDhXAheBchJIyz + fd/fuHHjzMxMf3//ww8//P3vf//kk08WCcgCvrYsKwxDSnmSxEBcWoznTNtSNAMpBKT16tzHPnzx + wQevWvfAwzObXhzq7ucbt5FWJ6sqvRhhM0ua7vzuidzSJSecedqJF15w0dyHLr76s9MTkxQBzbBo + TKKYIs/r7+3dPbanr79/5fL9vnjt55MoadTq+WwuieIoir7xta9fcc2nH3/88enJqWuvvXb1qoML + hcJo/+hJp7+5Ojd78/e+e8opp9x1111xmhJCVEVRFQVQBhiPghBD5DvuZ6/47P7773/dddctGli0 + detWQgjRCCFEuHmVSqWlS5dmzewRhxyxfOWKs951dldXV7PZDKIwn88DANI09V0Xcv70U099+tOf + hhwIaqLv+6sPPaTWaprZzFNPPVUqlRbc/ynzPO+F554/7phj0zSFnF955ZUfveSS66+/fv2TT17+ + qcvuuusuWZZHR0enZ2bElETw2EV99XIN4V/wof+twU1/CYL0EoHtZX9IKRW7GsYSY2x6tvrc88/b + lrWof9iyLFM1AIAL1zoAXJAc/sS/DgAAMKKMygCKsPCx3btqc/MvbPrD5OTkxNQkhghvkQAAQRT1 + 9fRYOVuQJV6Cj8SB/ZUYzPyvB0aJsqzRaKiqmsaJqOABAAceeOD4+LgsywMDAxDCY4899p577qpU + Ktdee+1VX75qy5Yttm13d3e3Wi0IoW3bYrYtcpejKHrmmWdWr169bt06QsjbT3n7FVdcIcuyruu+ + 7xuG4TsuxtjSDS/wDMMoFYphGAIA5ubmJEkSbicMMIRQdX7Otm2s4kajiTEOgqDdbjMIBHIiKPWM + sfvvv//CCy/cvn37iy++aOlGX1/f+eef39/bpyjKiSeeqOraxMTE2NjY9PT0fb++H2N8++23h2H4 + xBNPVCoVxtjWrVuzdsZLXMPUNE1RoeS4jTBynKDR1V2enQH1el0zdAUaQYDKlSJjCeVAVohlyB0P + TEyOH3PCUNsb271r0s4UXtw6Jqu5MGjKSGMcYIx0w0jjIKUkTpKenp6evt4kiuMkEadOxOkqigIx + EiYEwpjOMAxFUZrNJiHEMIxSqWTbtqZpwpFTfFfkA2CM05SKSY+iKCll+0JmgYh9TdMwCh3HEQUc + kBDDkEPGmXjMI7FNA8AwgjRJZYjn5ueTgDUaHdf15+Y4UnK+7xGvpuFMJpeFEGJA0wQkCVmyeMX4 + eGdwNC5K0LIVwml3ucthYbPZbHbacS2RNVX0ZhhjUTg6rbYu60EQzM7PSapCKWUp4ZQpmnrQQQfV + WjVhNuoG/gUXXPDwow/P12p7fve7QqEgRsKMplLKHMdxPPd/s/ee4ZaW9b3w3Z7eVt19ZvZ0GGaG + juSE6DFGQUJijI1Eg8aIGsGosScWDBCxoSFqfFES1BBzjAdLBEQlekQFgRnaVKbtvldf6+ntLufD + PbPDScT3TV69jkm8P+zZ1+x97bXW8zx3+f9/bXJycn5h4bwzz9+9e/dtt32BUyE1WqqqGoYRhiHj + Qgih6JqiaZxzy7FPLMy7nudUPGl1CgBQVVUzjeXlZdM0L3/Ri++///4TJ054nieEuOqqq4qiePOb + 32xr9kMPPfTDH/5wenpa07R3vfNdl/7Gpdu2bUuSRNO0NE3PPPPMLMts2x4fHxdC1Gq1fr9/xx13 + YIxt25b55aurq4yxSqWS5ulaLSS/kXTfp1ofbNuWJ+N169atW7dO1/Uoiqanp2Wwled509PTx48f + r9fr55xzzje+8Y33//kNEMLdu3dfffXVL3/5y4uieMc73nHllVfKzWZiYqLX68noYcnGlKzuwWBw + ++23v/3tb5eBFTMzMwCAVqu1ceNGx3FOnDhx7Nixb3/729f82bWUg5mZme/94IdYIXbVG3X7wzCY + Xr/ujN27BAAUiEq1NjE9FcexRD4lmxwwbtt2nMaygJ+fnwcYxXGMCCGawhmVZnCMMSigrNCkpZuE + BAkhi4uLcRxjiMbHx9M0PXr0KELIsMwkSxcXF3VVH41GAAPZ9Y/jWHImS85SmpnE0k0jyVII4RNH + nvjql/e2+ukwGFmawcogE2Za9lyvPDq/f3Iq+cxff/35v33uBCG3fvazr3z1yxM/ybIMAIAwYowV + eQYQJIRAcbINDATLsky+Vcdx8jjRNE0ivXmeAwgNw5CSKkiwFFlJU0dFURSM85JJnGKNN3vyYTiV + YwYhNE1Tlljy08lqU5o0sjiOokg6kTDGnlwgnay1iIxh1YucZklmavrU5LokzRGCo6D3+MHB5277 + 2jCuNz0Xa0YpMpoioGRE9wvO9h+8/5++/9Bf/OUf+9Hqjx7c88a3vilkWZSkGKtxlgOEiqKgtIAA + 7Nix49ixY2mays+eZdnS0tKFF144HA43bdp008f/8pOf/OQDDzxQrVbTOJFgYFEUdccZBr5cIghE + nTiZnJy0LXthYWF5eVk1dIAgxoQDyITgCGZZzpGgRcEBUAkyXLMEPCkyhkDBGYOAGJquKgAhRVHa + 7fbS0tLExEQYhqurq+ecc84TTzzRbDavuOKKW2+9VdO0mZkZ+dPLLrvsyiuv3LNnz8y6dddff/1l + l1323e9+V5w6YAkhpM237F4B9h+7A/2L8dMdjDHP844dO0YprdfrmzZtmpmZue222yYmJmTLQDob + G4aBMTYMgwleMupalq5pvU5X4YAXJQZAARqiHBR02OmO2c75//1Zvfv3feeJT+3wak6a0jQ2dZVo + xAhSq91X2l2wsDBRr07UajtOP/3E8tJwFNZstzY2kYZ+p9VuNpv+aPSMZzzj9Vdd/apXXYlPMe1N + 07R06/bbbzcMY+/evfPz87VK5dnPetaefXuiKEIAfvvub77l9W/5xCc+MTY+TgiRRw7bMKUNXa1S + WT+zoV6p9jvdR/bslUryj370o6M4KcuSFeXM5NTi4iLNixe/+MXbNm+xDFNV1SLNIISGpnPO5R/E + CEl1pRREjEYjVVVn12+44c/fb1bcKEtf+tKXttvtoihWV1fHG81arTYaDF3XRQDIvfvee++dO35i + 69atTzv3/Pe///3Pfvazd+zYcetnP9vr9WzbhhCuudgBcNJp9CRT42eJAHMI8M94efgpaJDWLORO + dtMFoJwN/dEb3/zHSACEyCWXXPLrz73UtC0oABMcARjGkWPZpm0Nen2IUZ5mWCGT4xPykKppWpnn + WFE0TVMsozE18ZunbQ3DULdMVpQ5LdM0rTcaWZpqhjq3tIgk8ZExqZYhmFBK5X35+QmhMwxjdnb2 + ox/+yKc//ek0Ti688MKjR4+WZfmc5zzn+uuvf/jRRyzL2rx184suf8mePQ9u2Dhbrdfuf+BHRFNN + 05Snc+kc8uIXv7har73qVa+67rrrIEb3P/Cj51xy8R9c+aqJiYl/uONLM+vXHT161LBMr1pZWFjQ + LbNerx4/cdQ2zbl+xw9HiqIYhlXQUgCACM7CnAFBVBURPAr8Rw88hgguGB2Fga7rkmnj+36tVkuj + +Jd/+Zcvv/xyAMD5559/3XXX3XPPPfsefWzYH/R6Pc75OeedOzg2eOihhwCCYxPjV1xyyYYNG9Zv + nL3oooskhy1JEsMwEMKInGy4ZiBTTbXVbfUHHVxv/tEbrls//mvT6yqH9y943gbDKrv9RVX3c7CS + i+V3/OlfnHmWe9YFv33w8BOtzjBOBKUqF8DxGpDBsiy73bZl6kAAhFCtVhsfHzcMg1MGALAdp6Bl + XhaKpiZZKl2bJAYsHW9s29Y0Lc/zSqUyNTUlNfeUUnlcZoxJUf4a166gZZ7n4pQ8CQBAKUUE52Uh + /bWkiCtnpaLplJdrj6EQAkCAAJQnZs00KaWVSmVhbsl0zNbjx65538cUdSuxKMu7nEUYKwLGSBkh + FmdF/0Mfue66D/z+wBf7Du7NaVSATEWqbAlzzl3XXWmtIgBd1y3SrFqtvuSFL2KAn3XO2Rs2zt79 + 7W9dcMEF17z7PXfeeefq6upv/dZvHT582HGcdq+7e/fuiy+++B3vfKc8HUr+GCS4TCnnnEOgGYaq + awrScl4MAz/Jk/HGuKR0ZlkWJaGiKAjB4bAPAJDF5/Ly8vr167kQg+EQY5yXBaUUZ0jTNALgYDB4 + 4Qtf+Cd/8ieVWlVW4wcPH3rzm9+MEGo2m7fccstnP/+5KIlv+vhfvvxVr2h3OzJ0i3GuaOri8tIb + 3vTGG2+88Zxzztm9e/fn/vbzjuOctuP0lZWVJ44ePfPss48fPy7vrLx3a0J8yYEUQsiy9sfO07wo + KKW3fvazkud2zfve95GPfGTfvn1vetObdu3apev6M3/1Vy957nPf+ta3Kqp6x513ciAIxg8/+sjx + uRNpnn3ta1/7zF/f0u52OOeGaYRx5HguVsjxuRNYIRCjh/buSfOsoOVdd3/j4udeUjJqWOaVr3n1 + hg0bPM9705v/uNPpXH311Rs3b/qz6679w6uuUnVjtdX6yMc+xjEchgFHgEEwjEZ//LY/Nk3z61+7 + Q0MaNpRhx3fdih9HtmFSSm3bCqPI87zFleVzzj1X1TXLspgQikLSNMWqIm1IHMexVGvzxo21Rr1a + rV709F/Zt2+fZVnz8/M33HDD+973vvPPP3/3GWe+9+g1m7du+fu///u/+Iu/eHz/49dff/1d3767 + Uq/NzR2v1WphEiuqUtASAMAYM4kZJEFZln/58Y9/6Mabtu889/FHW2kxblXXZ0mCXJdCqGlFBlOr + MlzpHfvHu77nJ3sffOhuoAjNUOWEwhhTzgSEiqIAdHKKnfJNQhpR5BEkjmOdKGWWQ4FUVdV1M0nT + MAyXlpYGg0GcpRtmZuQDoGtalsRAUaTTI+VM2rHI9DbDMObm5ycnJ5eXl33fl1NJ9mhZSWUQwpr+ + 2DAMgJHMQ/uXfBLAKaUV1+u2+lOTGyAv+4NBUcJKtfHaq17jWGM081JGoBpngqhutShQSQFSmG4O + w2zw4CMjw4pfePmLwriFiBIlBRCqqtlRkummkRVFpeqO+n3Lsl7wghc8/7LnYwAvvPHCoAwuvfTS + Mi8QQpVaNclS2QnKysI0zR/84AcGNjjgjz786MOPPvyGN7yhYBRjXKSZoii2bftBYLvuarv1whe/ + aPvW7Ze/5GUAIE7IIIrWb5iZn5+vuB4QNClTBhkg8MabPvrEsaPrNqwHBKV55uiaZuhBEExMTV57 + 7bWTk5OTjam77v6GZVlXXHEFY6zebHz5q19RVfXgwYNve8fbpSJucXGx2WzOzc3deuutv/Zrv/bD + H/4wztJ/vpLoFG3xZ3zA+sX4eR7SzzNNU6lWRQgBxouiGPUHNa/y7bu/edau3f/zb/9hubt84ugx + VpQveu4Lnv+7zx/2+ps2zALGeUlHo5FhWSyKkEKiOHadCk1zz63maWobFiioQoXB0fr6uDFMmpph + 5NkzTttiBsGE7Wa+jzEEm7asIO4kOYizEvoX7jq7FNA1nG3bTjM009JIOOhpGOi6CSH82te+JvWf + lmVt3759MBiceeaZVNBNmzZNTk4qinLa5tNmZ2c3btwYBEGz2ZyenkYIPXro0ec85zmdbldVVSCE + pmkyW8U2rbIsDU3njGmqauj6xtlZz/M++YlPxFFUrVbjOE6TZGJ8nBAyMT5++mmnqaaR57nc7/I8 + 50DIclFmzOw8becjex+ZX5x/2cteJokS01PTt9/xtQ9+5MNZlpmGgQEcbzRlGp6iKGma6qo6NTV1 + 2XN//TM3f9q27UsuueTOu+5st9u/+Zu/KeEpmUkIAMDqP+t9pdxA/vS/VlDsGr/uxwJhJw0ZIeAC + SENjBkGcJnff8+1v/tM9o9HI8zzOeRRF8pswDKURsDybzs3N1Wq1PMskfbler/tBQCmVd0Jm20VR + tGXLFgbE0tKSruumqcdpLJXfRVEwICCEUrzEfxrQD/wJYql/4xiNRvPz8x/+8Ienp6c3rFvf7Xb/ + 7u/+DgCwb9+++cUFhNDQH6VF/v0f/CBOk5s/dfNLf++lnueNBsP5pcWK45ZFsf/ggZn168YmxqvV + 6hvf+MZ77723Vqvt2bPnfe973+bNmznnn/nMZ9I0Xb9+vUwxWr9+/WAwGAWjb3/nHsM2G42m6ZrD + gd/udRVFq9frcZYKgr781a/opjYK/Jf8zuV9fzC1cb1lWZOTk77vCyGyLHMcR2o2zj333HWzGwaD + Qb/fl5gSUghmLIoiAMDy8vJoNMqKXEZezs7OLq4sv+1tb8vzfOvWrcPRqNfr2ZYjAIEAJmmmKBoG + ShzHq62ld7/nLUlkHj2a0FJZWUYcVwo0SiJY4gSrGS2X121WnDq/53tf/9o3b+bcB0DVVMeyqwKq + aVIGwej+B+73B10EOEFKFmdxHDuuyzkvaGkQlXMe+P7q6qpsDDPGlpaWxicmTNNstVrSn0p+nZ6e + lmuKrI4YYydOnJDxuIwx27arjbr01ZXX5MlNaIQQAkg8yS1tTfEi8VEIsJBJNBAIISzXSZMUIEg0 + ctfddz78yL4oIrq5ndIVxvOSGgCoCsIclRwlxBzd8/3bji3t+dBH53vDfZymv/LLF3OAKGADf+S6 + bm807HQ6qqrKIwVl7JZbbjnw+L5Ov/O85z0vTOL5pcUf/ehHn/vc5175ylcOBoP77rvvy1/+cnfQ + l7Siaq02Go1arZaMgqGUQiCKoqh7nlep9Ab9a/7suvPPOe/qq69O82RsYnw4GNqOvbS6YtsmY6zW + rGUsq9SqX7v763/4+qtKRqV7m3Q1VHWtLMtmsxn6AWMMY7Jz586FhQUpokMI7dy58wc/+IE0GB0O + h77vE0Je8IIXBEGwuLgoC1fpk3P//fdff/317373u++9994sy/7+i/9DCDEzM/Oa17zG8byztp+1 + //j+yy+/3A+DHaedfuTYUVVV5JB3UJ5rT3o0/7ghud2vec1rhBCnn376wYMHFxYWms3mjTfeKDsU + ssRaXFyUZWRRFHEcV6vVG2644dJLL11dXf385z9/sl3n+1mWSZOum266Sa5LH/vYx0aj0fT09LFj + x97+9rfL7f8DH/iApHEKISzLyrIsz/P77rvv8JFjVIAkLyBnqmlQWuqWbnvW1s27zj//XEr53NL8 + wYOHf/slL/S8iuCQMQYZF4xTSnvDwfzK0rnnn7fzrJ26ZWKF6LouczySNBW0tBy7LMsgC9773veG + YZgkiezr33LLLbd94e8AAC996UsNw3jdG14XxzGl9Oqrr373e9/zjNVnPH5g/0c/+tF2u71hw4as + yGU/EirYMQzLsYMyckz3WRc/Z9AbhlEytX5246YQgWkVNyjVAdI455QWhFQJrRcAACAASURBVGAB + U1W5gIP+xDjeuN0xDLHt9C37nzgAISxlRCwheVnAH0fGRuJk80vTNMA4BJAxFobhibm51dWOwAgh + xIA458wzOeeQUc4Yp1RXVVkqM84QQtJdVyqOjhw5cvjw4ZIzTdPq9fquXbvSNC2yxNSNJ7+uhF9+ + wqFdCJGmia7rWVowCjbObkVQueKKV4xPVBAiNDeTUAe0qZE6wlbJAIIKRyUAIwB9XY80vRAizosw + TdOdO85CgKRJaZh2kidZnlSqroxR/ptbb73tttuSJIFcTE9Pz83NNZtNAUFZlEKIa6+9ttPvIYR6 + w8HLrvg9aTgpOYHLy8vjU5OGYSABKKWtThsBqJvGTR//uG3bw+HowLEjmmb8ybXXzExNpGmKgFAU + ZWl5YXpmpjvqw7njr339VZSzzVu3fuxjH6uPj0VRFPaioih833/Xu94lvcVkdraiKKurqx/60Ieu + ueYa0zQrlcqRI0emJife9KY39fv9Wq3muu6DDz748MMP53muW+baarlmofELDdJ/2SG5oLLDJdW/ + jDGZuzo1NdVqtZaWlj74wQ8ePHhw165dsqnx9IufTgjZsWNHHMcyqGNsbKzT6VTrNcpZkiR6xQCa + ktNS1c0wiitOJRqM6qazstD64mduvWhiU912WTiyAHdLquSFSnCe5IjnME7TpVWybbOjGfO9YZrl + RUH9UbffXjlj68aSFX67DREqslIz9LIsfd/vdruEkH379hnQmJiYeOihh7Zu3ZrSVNX1b3zzm9dc + c02326WcPf1Zz2y328ePH0cYx3GcZxmEUFdUuSkTQrq9tqKq1Wo1zbI8z7kQaZo6rpumaaVaZZS2 + 2m2CsapppmmudjsY47TIdV23XSfPc7m/WI5dqVQKXjzzmc/klFWr1aWlpbGxMQ7Erbfe2ul0Go1G + meWtVkvX9enpacZYGiemaV5w/vmf/Mgn/+itr5chFhdffHG1UXvnO9/5K7/yKwCAufn522+/fS0K + aY3Bjk51jTnnP9MGx88pxe7/45BMPLvq5GWBMUYaZogHUZCX+bgz0el0oIo8y4mL5Mjc0TRNN2/e + rGkaAzTOYwZZKUrLNQEASZFCCBlgmqbp0FjprhJC8jIzbcMPgzzPGo2GtOZQFAVjkrIcQgj/VeX6 + 77iaP0VCswSCvvjFL05PTwvGdV2fm5ubnJy84647ORBJljqed/z48f0HDrz7PX/6J+95V5JlLI4H + o6EMhPUHw30H9s8tzOd5YViW3GAQIVMzM61OJyuKr99557p165ZWVpgQXrVaFAUHQDO0mz5xUxKE + tVqtUR+74MILXMcrisIwrDCOMMZJltm2DTG6974fdvo9ystKo/7Vf/xqXhQCgDiOK5VKHIS8pIqi + /NVf/ZVMfTZsS1EUeWyyDdM0Td/3/+eXb5f5YkRT73/wgQOHD2V53mq1KtXqsePHvVq1Uq0WBUtS + 6NlVRNMsAwzAs88551Of/jijACMbCMezNg5GDApbM3QAQZ4DAACjJeCjZoMk0bPTfAABNV0nSWnJ + YBD6tVpNLZTv3HtPkYQEYVBCJJBrO6qqyoBnSUFZXV1dXV2VnWCiKFmWTU5NSUkMQmgwGMjTuaQd + StcvCKHv+8vLy0mSKIpSlmWlUlEN3bZt+KTJL/sla6sDO/U/J8snxoEQgAsIIXgSBRQAgBAIIj+I + gwsvuvCb//TtscZEuzNMKUTIRQABWUoCIDigFCgIWA6A5EohugBHeZq51owCDADoaDTqdru6bWGM + kywtOXNVlSrFC17wgiLNbNtu97ozMzMyPfMLX/jCF7/4Rd0yNU07cuTIaaedtrKy8vi+fRLo00yj + O+hXq1VF0UajkWVoTPDFleXfe8XLeck5E488/lhW5Fe9/nUYIl3X+/1hvV71/SGl9Ps/vLeg5YaN + G66//npFU3Vd7/V6EKFKvRYlsaIo3V4vTZJtW7aO+oODhw5dc+2ftdttRVEhhE8cPbq0shJGUV6W + 3W73Xe95z8TU1Mt///ff9ra3rbRauq6XjHEhut3u2eees+fhvRJpQQhphgExnl9cfMvb3kZURR7O + 4jTZsn1bmmd5nm/atDEIgrUnQR4Tn6o6AgDISmwwGEiAQlY4Y2Nj8o9I77Iois4444x2uy3jmOVe + vrCwcPDgwbm5OYSQ5MRKyh/GuFarHThwYPPmzRjjI0eOSI64YRiHDh3atWsXIWQwGFSr1TAMIYSd + TqderwshPM+L4zjjPEmybdu2DPvdjOYcsi//41cmxhq6quV5PjOzfn5+0XUqURQRolS9SnelQxAy + LOuue74lrW+xgrKy+PBNHy0F00wjThLLspI8k2W/ozvnP+1pY2Nja898fzAQEF57/XW2bfu+b9v2 + YDDQNO3QE4ef97znmaahmUa32xUArLRWq/W6bhpDf1SrNnJa7j986MMfu9GzK/1eDwmUldkw7uaF + QGgVCI0LgVWFC8a44EwVjCsqFDyaXwk59z3XuOe7yw889KOyLHXLLBmV1azsXGAoAzf/D1IAEiDL + MlqWCpZosKppGkKo5DwMQw5BHMf1el1FEEFIgcAYQ0jXYB9pCcAYS5JE5oRAgsuyHAwGg8FAckc5 + 42seDGvjJ1C4dUPN0oIQLUmSOOKqZn3yUzdrOop+1KaUcqZg6CLhCq4CACBGQCAqqOAJ5xFGBcIl + grIKE9/6p+9QyoM4yrLMMI0sT4b+MIli27b7oyHkAmPc63a7g/7s5k1BEBimQQXXDePw0SOmZSGM + NYz3HzooOdIYY03TKvUa5zxJkoKWqqo6phGO/Le/8x39bo9znpclB0RwqKjYs51Wa0VVcLVajaLQ + 8zwB2OTUzNETx9Ms0y1zfn5e0nWazaaUena7XZktYVlWr9ebmJiQU6AsS3lWGx8fxxivrKysX7++ + 3W43mk2E0PLy8vT0dJyla0Z24lQQ4r8jB+nnzQXrF+PfN4QAiqKUWQ65UDEBjAvKhIIwxkEQ5Hku + GS5Zlg0GA13XsyyTaoVDhw5t375duhckSeJ6NoICAqTomp9EPKdBllIIbNtNovSyS3+jtbDQXl29 + /PLLR48f6cWB3ajCIAjzFEJAdMNnRcZYVdONrVtzCHecdtrR7/8wj7NhbwQxnpxZ98SRIwQLUzOJ + pvbavebEeJwmEKNOrysN9A7OH8rLYjAaXnTRRSdOnHj00Uc3b97caDTKsozTxLKsPXv29Pp9CCHn + XNd1wzDCJF5urZZZ7odhmmVRFsVplBQJQIIjHqeRU3XTKA6SAAkwvWG6zHIKqAA8LzNZ3vR6Pa9a + CYLAsMyZ9evSPO/2+4/t20c5F4IvLC2etuP04XBY0lLX9cmxcQihQHRyfKIsy267E4bh1MTErp07 + b7jhhpe84iUPP/ywruuUs5tuumlq3fRJy3JCHnnkkU6nI53Bf1p10M/b/P03F0j/2r9ubUAAODz5 + CdeWtSRLkySp1+uKpgoIbNepKrVuv5eXheM4XrWyd+/ejRs3SlbS0WPHtm/dNhwO683mWlJvr9db + t2E9T0QQhfWxZr/fF0U+PjUZx7Hp2Jp2siloWdZJwiXGT6XA/r845Al7ZmamLMssSfv9/saNGyml + 7W5XVdVKrbbSWp2engIE3/Od7/zTd7+zfes26TpVMlrGEdHUPC/7o6Fl2v3hwHXdJEvn5+dn1q+L + w6jT626a3bjabk1NTQEEi6LIinw4HF75mlergGMEdN20bXs48BVF63Q6tu2kWea6FT8KFUXxg6BW + q6m6RgUdBf7MzPRgMPA8D6pqu912TEtGyuR5XqvVyrIMw1DXdamp4KY58EeGqhm2ZXuuVPUkadrp + diUbrdqoL62uyBO5hvWkZFnBev3++z/wwbwIkmTgemaaptVK3R8lEBlEsYsSQKxAiHv9UaUyBQUo + M99QhYI5JkxBWGD06OMHVNNyq25aJKZrdHptUyUFF4gRBHBNVSilBaOOaTEu2u12q9WSqBelVNZO + lUpFUlEAACcZcXkuhEjT1LIs0zS73e7S0lKe56ZpntRkQ3jKleEkMLRWHT25tcxPRZGeApFORcbK + tDshIASc86zILcc5dOTA5S99cXul61pVVdcUU6Uc0FzkWSkAwxgTpCAB0iRzXZWKUat1fHKqoRCd + 50ac0K2nbep2u47rcggoZzLNKUriMs8xxutmN/Tanenp6Xa7bdm247q0LBWEjx07NjMzI+NNMSFn + n332I4884rou59yyLNlyBgAQrAKC//4fvrR545ZOpwMF1HVdV3Rd1we9vmUb0sECY+R5zurqqlet + bNq05ejcieFwCBTMGNuydYs0VUMY27atqerQH0VhqChKq9VSFKVWq0GMVpdX4jSp1WqIYITQwcOH + ztp95rXXX/fYY4/ppkEQTvOszIvZ2dm5hYXxyck4jjXDAABI9nNBS8MwCCHLy8uQ4FqzsbS0xDn3 + alXJ/5QuhXKhAKfIWj92no5GIwDA5OSklKhVq9Vut3v06FFpWQEhlEdnqQkcjUYTE5NrVc2JEyck + 73w0GklTICHE0tLS+vXrG40GxrjVakmThqWlpe3bt0s2o3Sxk+ZgpmlKQEMmjBECHKJompZlSbfb + npxoWqa+/+C++QXTMS1VVUeBv7LS4gxwiHzfFxxYiiGpU0mSnERLBLVte3F50al4kFKsKgWjlDOI + kWwBTM1MG5qeZGnk+5VaVcL7mmH0BgNN0wpKdV3PiiKO4yTLcloMF+YnJyfdauXYsSO036tXa2me + S5/ZpZXl277wBcCAaZoKVokCSpoBCAVXC0YFpAALAClEKuAWEEqa5qoCNZUKkKkKyPIkCUpVNyGE + TAhwso+AJDH1xw6EkOR1M8YAoJRS2SkzDIMBwRhTVRULXhaF1OGcxAAhkJol2Z9W1ZOBZlJ1RE65 + O2AoCpb/i1eUjZCnen6k3kku+LatCCG+9a1vmTZmPOKixEhVFYczJc9KAaiiEYwxAwwBDgAXvJR9 + PYSIoqhhnAKAEMZEI0RBXtWDEErSb7fbVRTFdV3bcXzfVzUtyzIZA5gXRa1eD8MQIYQJ8Qxj7TIW + RVGtVofDoaIoAIA8zzHGDIjv3vs9qbS0bCdOY4Ag8+lKaxlCwRld6bYUBBeW5jGBSFWWlhYcz+0v + Dznn3W532+mnLZyYG41G9Xq9KArpH2hZVr/fP378uCQCyUUyTVMhRJFns7OzaZrKXsPaW8Kq8i80 + DD8VFfEvxn/QIQsGuVnL/RRCyBlDAgwGg927d2OMn/3sZ7/iFa8oy/Kb3/zm8vLy0tKS1KZK+1PO + uWvZmINo6BuGpap6KYRlO0JVBVDDMhsEwac+8amX/c7lllcxq/UFcAjUqm0gckIKjLACIwX5TOWO + h8aaIAgz1/7srbc1tmzKs8Tv98amppeXF+ueG4fDMEs0wS74b7+0e/fuyenpZz7rWetnZ7//ve91 + u93bbrvt5ptvvu+++57xS8/4/StfUdDy4OFDBw4dvPFjH73vgR+94Lde+OvP+3UOga4oAICyLPv9 + PhCiUqm4lj29boYYGiYkZ7Q5NuZUKwKAOM+KISvLkhDCEUyzrN/vM845gAcOHTx67Nj45IRhmRyI + xlgzSpKh76d5pqoqIvhpT3uaEGLv3r0rrZZtmhZxiiyPglACVmu0802bNv33pz/9T//0T//6r/+6 + Wq0+61nPWlxc9H1/7969+w8dkCCe9OhqNBr9fl/XdSngPIkAn+of/Zej2MmxhqvIf8WTvpc/fTIl + AiNsWRZCKIoi6TWkKMry8vJ5550nhJienlYUpdvtLi4uzs7OnnPOOYcOHCQIbd28eWJs7MSJEwLC + Cy+80DAMzdD7/f7evXsdx4EQYgC73W6j0WBFIUW08oSqaRqEUC79/85L8rMZvu/LOV+W5dTUlMQl + BoNBo9FIsjQNssmZ6f5wUGvUf3DfD71qxQ8Dz/PcitdaWQUA1CoVAyumZamqplpGHIQlZ5u2bE7y + zLBMjmG72xmFwcTERFYWreUVrCobNs7GURj4vWaj7lRcRVEqwBsbG6OQFTmFKmaIAwLsiq05Vrfb + 1blRFJlmGsfn5zQpSi6KarWKAZSHLckCAgBIVX0YhqZpKooCIBQI9gcDWYFACFVDb1hjruvOzc21 + u52NmzclSTIYDWvVpmoQzovGeG1heQlClkRBWYAgCBbne4qiMEEZEKpmaJqRJjkFoOBDAEASRnXP + zaMkjkNd0zhElmsxCKJ0YBgGIqBguWsYWZiq4ORElU8F57zTah+bO0GLQsoP1sBfgSCHADAmJUZS + ay4jVuR2vri42O12xSlLaHn7pG5bWl8B8c+7OOcccgjwyV+Tv4kRQgJwAZDkasJ/tqA3bQNwlqV5 + t9umJZjZMJOmeZIkPAsQxgpWDRMhxCHigKWMMaeqAFgKWm7ZuhVCWJaihEA3tfnFBdXQ/CBQDV3V + VGn6iTHmGEsxCSFEFSebbYQQqSjbvXv3aDSSbqFj1erhw4elqpUQTAgJw1DTNLfixVFclHDf4YNH + jp9ACDWq9SAIiiyPw2hiYgIAIRiLokDTlCxPxicnDVe/4xt3hFGS57kgqNFoHDhwQFabXAjpndXv + 96u263keECKKormFeaKpM1NT80uLmqYVCU2jeHbzpiPHjq7e94OaV/FcL41irCpRFAkIgih0K153 + MKjUqrL9zxhL8qxgtCzLSrUCIOScO64rXy6OQl1RZUX05Kr1qeapZhoIoSCOpGNhEEcAI69WjaLI + dV0AIVKIW62MRqNKpUI0Nc7SPM8d14miyHTsRqMxNzen63pZ5NLdzkgTyWOMszQrCw5BnmderToK + g7zIZSapZhqLK8sY44LRWq2WlYXlOjKty48iTdNGvb6KsUJQHPhA8KmpiarnOY7jh+G62ZknDh9T + dUM3jCRJGYYC8PawTymFXCiKAgmMsnTztq3tTqfIc9Ox8zzXNI2oOE8zSDBSSLff00xDM/QoTTzb + WV5e9jxP1TVMiB8EjVpdt63RYFit18Iw2LhlcxiGSyvLYxPjYRxlRV5vNtrtrmEYnm0LISjlkOAs + zxEFZZFhhQguBBJI4QVNuSgQ1hBCGEIuBMIqA6AoRJxlZZZNjs9IfjzASFXVJEtP7txPccdk4Klg + YK1/kWUZLyDGGCIYRVGe54pMUlL+mSUvZ7S0BJAMTAAApVRwtsaiFELkRY4Rku2+J5Npf8Kez0tu + mWaaFJqKMMIClJqhUp7phsoFBAIDyAEvsVIAmCMFlpQKCBHGCGIBORMCAAQgoJxrmsI5Z4IyzlZW + OrqpYYwZE6qm2a5jmma73a5Xa5brKLqmqGpWFp7tRGlC4yjJ0vHx8TiO41EsEU4ZxEcUJUlTE0JV + 03zf13Tdq1TkRQjDcOCPSsqzvGw2GllW6ppiGfaw3zNse2xszDC1LEs2b974+P59tu2aukopPX78 + +NjYGGMsSVPbczkEJWcFo7bnQoK9WrXT6ZyE9cqy5lX80TDLsl6vV61WR6NRURQSnrV1DZyC1iUD + We41GPzbXOx+Mf7TDEn3kCarhBCEUJHng8HgD175ytde+dqCFf/rf/2vI088cfbZZ7/6yiuvet3r + /vZv//arX/1qnmV5lmGEmo0GgpBmua0bhm4ESVoIIRBeGvQjwGzFcGv1L/zDl/7q5v/HMdRxryIG + wfiGiW+dOD5GlKqhA8Yhw0JX+1EWfudbYP++g63W0V7/ZZteO1avjddry4vHxsYaeZ6qhm6ZDuf8 + nPPPm5yc3PPwXtO2duw8Y2FhYbXdvuvuu/ujwUUXXXT1W//oob17Go1GmqYf+NAHTztjx5lnnvn0 + X316bziY3bRxYW5ekn5tz9UVVVGUJI6XVpaH/vBVf/jq6enpJEn2Pv6I53lbd2w/cuQIQ0LVSFmW + qoqtitPqdx4++Oj+Jw46tcoo8FVdGwVBmueUM8Mwas2GZuhutfI7L/1dqZh42cteJiC88zt3qoSc + e/bZl1xySa1Wk3wB2aTzPO+BBx54xjOfuevMMznnb3nLW7rdrud5mCBpCSMPhK7rShY9+wnJPf+R + S6SfIcVOjrIsVVUt88JxHE1Rh/4ICnDBBRe85spXT81MT09OlYxef+11D+3d85uX/cbv/u7vXnbp + pYZhnLlr99TM9Puv//PpdTO3fe7zjx/Yv3PHzvsfuP+d73wnByKJYkqpqekYQNNxZLdbZvrKhfgn + aAz+b41araaqame1RSmV4SoyEzpK4mHgn3XWWQ8/8ki1VkEYp3mmGbpb8Ua+T8vSsEwEIECI5jTO + 0jCMqtWqZVswy6I0WVlZ2bJli2rovV5venp6ubUqhLA913XdrCzmF+e2blxXbVaTKJo7vIAx3rBl + tjneOHrsBMKkHwwt0273ewJi1TTsijccUiG467pInGyFcs41VZMWBZJzMhwO01Fu27ZlWWmWDYZD + OakoYxBC23MBAGma+r5fMlqpVWXWtWlZaZb1hz3LsMui8Byr3+/XKlWANEpVQ6tBkWgaKXlclAmE + aVEWHAjbdjLhE6xQERWUEBVPeTNCiMXlBbfuhFkMMC1YhLBmWGoQRZZmsZQLziilECOa5+1+e3Vh + aTQajdUbjDHp2c+yTH4ihJCp6Xmew1OO3tIivN/vz83NycwZafgrLwWlVPKhBeeEEAShfMzkVyEE + xpgoRNZIskhDEGIBBQAIACGQAAwBKIAIgkCIEgiRlVlZCM45hMS09LUXyrJEAIowx5BDCPOMaqoR + RZFhmN1uHwhEiNYcH19eWdI5lUc6aYMhVy753mQcTbvdrtfrXAhd113X7Xa7tm1L0GNsbEwytsfG + xiCE/dEQACDFV4WsvTkdq1S63b6j64PARwAalklUUgo6GvabzabpWfV6NQh93VIH/sCrVianZlZa + q73hAGFsmqa0VJY8RtdxTNPEqrK4uGgaBsK4Uq8JIYI4ajabZVlSSg3biuM4SpNdu3YdPXoURxHn + 3LXdNE2HgX/GGWe0Wi3N0Cmlvu9DgiuVSs00OOeUsTCKwjCsVquKpgZRaGi6bduQC0qpNGaQK8NP + MGmQECJjzHEcxliWZZxzx3FkHFae57Zty7Nyr9eT3t/yl6XvkOS+CiGCIJCgq2RTyLRymfgu3e3m + 5uak35rEK1RVbTQaMgopTVPXdWUmkmXqhmHEEa17k2kchNHI1FVNwVmWcE4PHjz4tF/65eZYfXml + hbFiew5jvCgZwKhabWRJomkaAHy5tTr0h/IkneaZ3ImTLMYKwQh1Op3Z2VkZ+ZcnCfA823MBRoZu + rKysNJtNGceuGXq71202G2meCQjCKBqfHMMKWVpampqaUlQVQEgZw6qCCEQYQyYE4JIFwCgiqgJI + CUsqEIKIcA6AYLajY4zjJIII6pqFIDlpFHvK00zCRwihp8rToJQKyjgVAADTNF3XtSyrlEmFGMkb + TRCEAOiqAjhP81IIARBcK4Sk4FCaA0klDKU0TVOMMXqSnvAkULyGHT/FOJUrn2CU05IyJgxDieLU + sHRBYVlQzlIMVYQgQILSHEIoIJDhaIAjACCEGEJIWQkR4pDarqNqBGKuaQZWiD8KpZBS4zzLMkQw + 5rzVanmehygpOTtpsmKZJaMcCN0ykQByHZP7o+QyyCRrQkjJWVEUozCAEGq6oeuGwGkYBwiBOM67 + vdWa66xbPz02NnbbzX+zftumt7z9bY5rHdh/aDgcTU5PDf2w1+sBAOSNDsMQADAcDl3XBQBIhac0 + +5Gv4th2kiTNZlPmp8kZNDY2FqXJWv0JTjpePKUX/y/Gf/ohpcLyuWWMScYKAGDbtm1XXnnlu977 + rm9961vbtm1bWVm5+eabL7zwwvPOO+/qq69+7LHH9uzZsxZhx8pi5/bTV1eW4jQDAHAMVcfyJpoh + yDEAV73pTS///SuaY40oGoXDwcbpmaMPPXzWaWeAOAN5JMoMYgQcBxASZmUvyYhXWer3d595/ste + +4ooHO7cvnW1vaIa6ijwGQftdvt//MMXEYCjkW/bdjjyVVXVFDUMw8cee+z73/++EMKtVjqdDiRY + Dfyv33HHnXfdZXtupVZdXl6GGCGMdV0HAER+4Pv+9NRUs9m8+o1v0BS1VqsdPnzYcGyB0UtffgUh + RCqlO51OrVaTwLKiKGefe+55/+2Xuv2+5ThpWTDGGuNj/X5/5Vjr839329fvuhMhdPz48e3bt0MI + oyS+4YYb2qurWzdvOXzw0OzsLGMsCAJK6cMPPzw3N9dut03bloSawWAgrVzbnRbG+I477pBHi4WF + Belil+fZj9UgkZ9AOfuPMH4aLnb/6n/WICYkgKHpRVH4QVCp1QhCCiZlnl96yXN3nHbaH1x5Zej7 + E1NT8ydOEFXFEHqO8xu/ftmXvvQlz3F37jijWW8omAAh/ujqq13Xvfnmm1/yohd9+pZbdFVdXV6Z + mZmRFClJodF1nTOWZ5mkCcmq6f/nQAKwn9L9HY1GjDGNKJVKhVNGKZUwlzQRPnbs2Pj4uAA8DEOM + se25/dGwWq32O92syE3dKGjJhRgbG/O8ChciL4oojpvN5obZ2SiOJRHcD4Lx8fH5+XlMyGqrRQhZ + PzsLCeeQJlmsGSqCZBD6GS2xThAiNBdIUwwFZ0WeZAkd0aIsNN0mmMRxnEbxWLMZRZFGFFVVJagi + w0bSIkcIGaaZZpmu66MwGPgjGRzUG/SzLDMMozk+1h8Mms1mrV4fDAaKoSOFQF4qKtcMQhSu6YgL + lmWFAgQhGkYgzzKoIMfxqKBxHCvEzLIsppFuGppBCkZ5XmRZgRAybCNnSZIONUNVDWXUH9YqtRJy + yX9D/KQ0aDAYLC4u5nEiAUYAQFGW8pQ8MTEhV16p3ZemXtIshFK6uLi4uroKIZTcegCAVLDYti2X + A3aqIlrj10lYSVVVomvy4CUX9FNGDv9HgwVBYJo6AKqmq6ykqi6yuDQNA3CRpwUGWMU60UwIhQAl + YwUXhW5ZCBE/KMMIVKvrdUP1/WEURaZpCgAIIRwCIYSm60kcU0o9ULVFEwAAIABJREFU086ybDgY + SDRcKj5liTI2Ntbr9Wq1mm4YZXmSnOZHoaSHAQCkNaq0SM7znBAVK8SpeKurq7ykqqrqmgYwMi0L + Yj7o9zgsNm2aLcvy8f2PGarzhj968+1f+fLRE8ddzzMMAxFclCWEcGxszND1wWAgI18d22acI0XJ + 8lwCyJQxRVUtyzp+/PiWLVuOHD3aaDSiKBJClJQqqjo9M/P444+XZblt2zZKKQPCME0pGsnzfGJq + UtW1hqEDAApGK/Ua5GLY7xuqJjlUjDFZDP9keJkxVq1WK5WKoii6rsdx3O12HceR7nxy55ZtNkmK + MwzjxIkTzWaTcx4EgW3bo9FIkvQ6nY6iKI1GQxbMkhehqqpMIJWd9dXVVUVRqtWqVIlItrCsw9M0 + LdKC5UWWxJ5lCFbOTE7pGtZUUhRFltGVlZUHH3xw8+ZtlUplNAriNDAtmyNAEC5oGUQRShLD1GzH + cR07Lwvp651lmQAAYUQIYVw0ms3VVsswjCiOdV3PiyIvik2bNi0vLW3Zvq3f7xeMAggEguPj44NB + vz8cnHHGGbbjLC0tVeo1t1pZabemp9bRvEjzDHBBCyaEMFQDCMqRAAKVJWQCsLwsOSca4oxzTjkD + mkHyIil4QjAESFF1RaqzVFVNy6IsS6IqJ5PunuJmYYw5F5SX8lmVfk2l4JxzgJGPUFmWCKMizwmC + gjG5MiCE5TOwdijHGOd5ntOTILnUHAsuGOcQCoFOZSD+v/G+JLROCFI1hDHEWC15aToG5UxAhJGK + gIKBhgkEEFORCUAhAIJjLiAEBCIoDc05YAoGeZH5QV4UJRPc933DsglWsapACAWCjusmaYoRkuac + iqKEUWhZlmlbeVH0BwNVUXRHZyXNygIhxCFIi9x0bABAkqaGaaRFnpcFhLBSq6qqOhiORFE4juMP + h/V6HQmerUSGYUAIVhYXjZq5cPD4jR/60Lp167Mkatar/nDU7w2qjYYkDkAILcuSRvPSHlCao0hS + n2maURQxVZWOlGVZym261WqNjY2tNTSFENKW4yQ4/28skf5jH8d+MdaGEAohCCFGKQRAVZQ4jgkh + T3va0x588MG9e/fKdAfO+ZYtWw4fPvyVr3xl27ZtW7Zs6XQ6vu/LqAYAgK0bOlGSuHBdp6TZSq9z + fGH+6c95djkIdS5qrrO8vDizaWYUDCuezcKMdmOdKEKHyNJyUAZhSJBi6TYUhHGhWbZi6P1Rr+I6 + KwsnVF2RQav1WqPWqK+utAXnqqJleZ7T0ql4/mCIMdYNI0nTQb9vmqbtuf1+XwhhGIZsqE2vm2m1 + WmPj45xzPwyEENVKRbfMMIo++JEPnzh6BCMk/TYRQrquy0NLnudSy5plmed5Ura6uLQCENZNo9Pp + 6JaJlJPmFhtmZ6UsMMuyqenpke9rptHr9VxGTd0YDYZf/fJXVF07GV2AURAE0k49CAKMsW4alDPZ + JrMsy7KslZUVxpgMsZBv5qd123/e5u/PHL+WtjambRNCeoOBaZpYUbZt2fI3f/M3URDouv7YI4/M + zMzoqkoQDv3gqj98HU1z17IH7W6RZpqimtDodbqhH9zw5+9//vOfX3FdhNDE2NhoMAAnAzqBFKEW + RbG2EP+sP9e/dcjewNTUlLSAk1bU/X6/Xq/3ej1FUVRF6ff7tmVJoEnyEsMwNAxD8s6jKJK0KHkU + kMZ9cRynaVqv1yXK0W63bduW2ZQSJGl3e4SojbGJnbvPWjc7m2VZVuSKogVBMD4+3ut15QSTIo3x + 8fEoCH3fr9frqqEjjDHGkjLBIVB0LSsLKrhl22mWDYdDgaBAsDk+pijK0B+FcWTbdrPZxBjLEMCF + hQWZHpOEES9po1FLs4iydDjqYxUriqKphqYZnANaAk0zOBVZWggqaMk1TVMUggkQgGqqUpa5ruiK + okEI6/X6YDCo1SoClLTIEOYQAikagRLGIYRT5vt+EkaEEFPTpW03BEBRFMuyNm3aZGp6meVhGMrp + TQgxDCMIgsFgMBqNZHCkFHBL0pq0t3IcZ42BI8211+i2sjsr2SwnddZCQMggZFJaLoQAAgGAgIBF + QRkTgR8OhyPLshgvoyiULDjJzi/LMs/LPGNFQctCZFkRREmjMUEUI83Kbm+UM8oxVFTVtu2SMyY4 + wphSWpQlISQrC0LI2NgYxli650v9lbTfSLPMdpxWqyUN0wghhqoRQhSEGWMKJpJ0xBgjiiLZcZ1e + V9M0t1rRNE1R1TD0sYo7/V61UQcCJVlRqzXGmhNzcwtf//rXdV2v1+ucc0RwlmXS20MGpEjs0XKd + IAwlCpQkyc6dO3u9niRUdLtdiWvV6/XhcFitVnVd7/f7iqLMz8+v5dn1+/2iKGRioOM4W7ZskS+k + qioAoCiKOAijKJqcnNRMgzFWcoYxRgpBCAkEwak+zr/4yjk3TVPGCkkwwXGctQLbNE0pVJPV0eLi + IoQwyzIIoQwgllDSunXrBoNBHMezs7OS3Mg5l6lNco0ajUaSa8EYq9frnueFYbi4uChJyKqqRnGs + qirGuFr1LEsnCpYubVmaLiwszc8trl83u2XT1ve+932XXnypEKLX6cpIHEKIpilCiDD0Pc9zPVsI + Icu8tWdSUVVCCBAoiU82mORFq9VqBGNNVWlZzp04QQhZWlqSH03K8IIgUFX1zF27nzh02B+NLMuK + /EBX1Ea1FvlBnuecMhnMqhJi6DqBhOYMMIghIghjgBFUMNI5Q1AghAACHDBacRyN4CgKGC1c10YC + MMYUjOWiJGuVf7GcrvXg1rpjEg9BCGGMNE2zLEuyRjVNU1UdACA3OzlPpR2FjEiSMjbz1DAMQ15J + +fxLhHCtjlobT7XOE6zkeW5aBsawKFMG6Gg0NCwzCOIspQJijBUJReY54yUSFEOOTiViIgRVAAjj + gnOOEIAKgIipGtywftq0dMntwwAWaZ5Gcb1W44zJ9DaCMCFEpr3BUyacMnOvSDNeUl1RdUWNokji + tJxziXlWvQpBeDQYhmFIMGJlnqSRpimB7/d6vZpXSdN0/2P7Zqanpydn/uD1V/7O5ZebuiEnCCFE + isIlDXIwGMitTeKiSZJQSmVcFYRQ/r4AQDeMIAi4EKPRKEziDRs2MCDkNZeIAZQWhVzIz/vkay3p + OmukHXjqp1D8IiL2P9uQvHc5Q2VKm6IoZ+zYcfz48TiOEQKGYSRJ1O/3EULj4+Oqqm7dulVmeUtr + nGFveHD/gZmpdZPj40WRcco8z5lYPxMXke6ZBea5YN5YvTfyiWl1w6idRGSyQZveCqSLPAsdM695 + oU58wEJWFhCOwmA4HBJC+qMh1nTT9eTyuG/fvm63q6pqrV6XofPr1q1LkqTRaEj/Ht/3x8fHi6II + gqBWr0dx3O/3bc+1LGtlablSqWCEpK5BEhwopZDgVrut6BogOClyxdAFRlwIylheFAjjxaWlktJ1 + G2ampqexgkzTnJgYE4BLbojjOEEQRFFkWRal9OjRo3JzkbB8mmXNZrPT6WiGHiUxVogQYhT4cZqk + ee5WKoqm+WGoaZqAoNPpVBt1afK0JpaxLMv3fV3Xk+R/s/fmUZKV5f34u9z91q1be1Wv0z09+8Y2 + CwPIIiASlfUbQY2oKApGNGPABRDBJRETA6IiajAkLhgjCKJBEcMm4ADDsM3CTO97V9d+93vf5fvH + 29Oa7XvC75cYk+Nz6nCagTOnu6vu+z7P89l80ULgI+Fyy8sO9CpTnn8H6//DD8AR/4++AOBcgl4c + YE2utRt21maANVqNrTu2jY6OQAhKhcJ555yjSBKnVFOUPc88Mzs59YWbPg8BMHQ9bVk0TihIMmnb + c9yFhYVisRiGoWmaSZIQxtLptEg+FUY9iqoyzuMkwZLEhSD+X73+u4pzrqrq+Pj4MstTBDsSQiSI + cnYm8oOuQqmxsGiqutPu6IqKAVQNXVCnAACiUYMQkiTRVBVwHkeRaRiM0jiKGKUp0wScU0I4Y77n + dVUq9Xozn6uMjs5Shlttv1zqmZ+r1RcbnZZj6infcTGAVsr0HcfQNVnCbrsNIQSUAQAwxn4UUggS + zrSUmXAWM8oQbLmOrKlQwlDClmW5vtdqt4WpumEYnU5HRK8mcQwByGYySRwHvm8ahmkYTrsDAAj8 + KGWmEZKiMMYSdL2mpkJVhY1GLZ8rNpstQ0/puhnHUbNV1xRESRj4HV1V0ykrCQkGCo25oaTcjo+B + AhhUZYUzoitIRpxzDijjlO3du7dZqwPGaZxIGFuplIQxhkhT1RX9/YauR2G4vPgU7aOgV+3fv7/d + boueWMxarutKkrR69eoVK1YIupSiKAJZEjCUAJq6u7tVVRWBa2K4YozESUBBAhA9kqopIagAqJIE + SlgHDHOGpyfmeio9brtj6holXFIUChMKEggpRFRRFElSVN0EAHmByyBBKvJiF8oQYuhHYZjEAEFJ + lj3PC+JI+DhDCAW/f2pqSlhrIIQMKxUmMZAxg4BwJmZCSkipWPRcNwkjRZZ1RXUcJ2tnoiCEEHJA + ozjgnKqqDCFnjFCWOJ2WJivZdDb0wtCLbCubhIxEoJgvi7zjl156SdhadDodhJAY5oWBeLVaTafT + Yq5QFAVjhBGcm52x0xaCwHOdlGlAwGUJ12uL+VxWVeR2q1nI52QJx1EoQahg3Gk2c7adNs252Zly + qaipSqvZoCQpl4qu0yFJrCuKaeiKLLU67ZgkACOIEQWcAc4goJxxBFVdAxiFcUQ4gxgRzgRApGCp + u1wxVK06N88JTcLI1PQkjEgUi2HAd1zEAaDMMkxByySECKK8MFdwXRchlM1mBR5Sq9V0XYcQ1mo1 + VZJpnBiq5rTaGEDx94u/KmOlRUPAOJdVBUCoaEon6PiJp1kqlhGWVQqhncm3O8HU9MJze/cfPjT+ + 4gsHaostSrmEFU5oHIWAUgnDJI4QYIgDyzDjKJIgZoRxJmx2IKXMjyJZVizLIkmiKgolJPD9wPVI + FPf39GIAxYtEcRyEkHFOqK6oiPHAcXVZQZRbugkp913PttIkSRRZhgCQMMIclHMFr9VhMTO1tIw0 + QkgQOAgBCWFOkKGmVVlL4rjZqOXsNIlimFANY0gpTRJZwQAwzimADACmKBIATCCxjHOIccK4ImtB + EGmmQQihNEmSSPzqstksISSKQuHGwTkQTFrh6M0oWHYyFAsOcaL6vi88fkTkURRFAvTgR3LPbNsW + TYA4LsQ2ShT858Uo1VWNEub7IeEEYpDJZT0/UlUbIp1z6EeuoiNdVwBHMlJVpEpUQhzICJI4slKp + ICSyZJIESopBojCJfEXizfq8peskDOxUmlPOCNcULYmSZr2pSIqu6pwwmlBD0wUNOI4iK5XyHBcx + bpspEkYyRKZhSBBJEAHKBBHUtu3Q8+MgTJspiQHMgKlpCkIShDJAlp6K3FBBmm3lXn7plZ7ugZnZ + haefee7gocO5QglKMIh8/whjk3NuGIa4iEUtg6WikRIceD+KJFVNCKUAxJRmsrlmp4OwFMVJQijn + AEIEIcIAYYAwg5BDdOS1NBDxpT/EDIr/AR75T0xwIF/N6/f1u1niaRKwiWjKNU0T++ItWzZdccX7 + 3vGOt7/lLRd94APvv+SSP/rDP7zwiivel83awo1allQIMEYyhJARPjI8phlGd3d3Lp2qzc04rcVS + Odv0G1JGa8UOwFCCiDihDuRiPt+JOg511JQsYxB7jsSYIZZJjGRSBk9iXdPCmGEtlUj6TMORFNXr + OJVSGUMEAYijCEGQtlLtRhMyTikhJDEMw7ZtEeskybJgbWSz2cD1MlaaJSSdspr1RhSEkAMMUUKJ + nc0QRingQRJLiiwpckwSSZE5gljBUIIAMt1QEeQSgkkcPrP7V816ratS4pwbmr64UA09P2dnxCQj + GsXA9wUuBwHQVDXyg0ql4ochAZwATiHAqoIUGWIURCHEKAGMIsARjCkhlAZxBDCijIlByHXdZfrM + soMROlIAgN+clP7n1qsekF7VmkbQfhJKVF1TNHWhtpgwOjAw0Gq3oYTDMLziiiu+9MUv7dxxPCM0 + juN2s3n9tdcdc8wxvV3djUZjeHg4m81GcSQ4J81mU0VqoVBYXFxMKBWkCLE4hEeU8YL19Lvm0AAA + IIRompbNZoW98rIvXKfTyWazczOzSRQHvq9rGoZw88ZNvutFUSQjLCA4t+NgAC3D5ISKVUqj0RD7 + bIxxd3e3JEkCJRDYUZIkk5OTXaUKSRhNwMJcLWvnnnjsCU444qhSLHkdBxCqaxoJIxonXqsTOp4q + yZViSdf1qfEJ0RCIC88PgyAKFU3NFfK5Qn50fAxCKBT/+Xw+Y9vCe0OYcTFCwzAs5PLjo2Mpw3Ta + ndVDq1qNptPudJW7NdU0jYznxirWIcQKlkrFfBT7hMS93T2eG2asEiVSpx0YZsZKZSA3DDmbtoq+ + E4+NTumqTgnptBzIoa7onALPDTCUSBQHQRDHMadLgpwoDJdmGOGNEcVxGGUymaHBlYVCQeyAJYw1 + TUMIiciF+fn5hYUFsVAXZmJiFhJGz5lMRhwEkiTJsix0IwLqAQCIBkvAC+AIP0SWZcoZYyRhlFIq + iEAJJYSwtJUJ/Sidzph6qlwoL8xVe7t7XdcX/umAI8YAIYwSzhkCADIKTSPleQ4HCaF+uZwPw9D3 + feGxLkboZTM00zQFaXt4eHjNmjVCfFlbXOSEFkrFer2ezti+7wuFJcZ4fHy8UqmYhiHcsSDjnU7H + siyRtJtJ273dPUkUt5tNDGE+m7MMU8J4Yny8p6u3v3fF2Mj45PiU5/iSpPR09zmOkzJMcYDmszlV + VcW+2XXdgYGBSqUiHu1isbiwsIA4UBRFcGwymUw+nxdYk/hx2u326OioyO1ljAnna4HViD857uhj + FucX6vU6pbSYL1TnF4IgKJVK7VZL2L+KzZYIG6WcAQAAggghBngYhoRRTdMkRRa6FFXXDFVLp9MY + 43a77TiOeDejKIrj2DCMZrMpBKwQQlVVbdtWVXVsbGzTpk1is4gQEricaZoiViKKopUrV0ZRNDk5 + OTQ0JKhHArfJ5/MAgFKpNDk5KT5IQkVWrVYlScKylBCCJKhqSwL6UqVMEhZFSTabX5ivR2EyOTYz + OzvvdTxZVmVJkiUJEBr7gS7L3aWyivHszPTczGw+nYEctBvNbCZjGIYqK7quW4bpuu5itarruti5 + FrK5rq6uOIzGR8c0RTV1IwrC7kpXyjABAM1mU5HkVr0RdNy+7h5NVSfGxgq5nKkbE2PjhqbTMNYV + VUKYBNHM5JSMJQlKkU88N8qms7Zte47LOdQ1kxDQbLbTKbur3D06PCwDbKqapRmQMl1VCCH4yBwi + aI3LUK1gjIh0FEVVHcfBeBnPYcu5wGJtIR5DQmgURVG4BJsslziWl/1UBPgWH7H8CYJAUHrEey2O + BfGdLLs1iOL/rCBEkiRrvh9zDlNmul5v1ut1yIGgx2iaZqeser3OGOy0AwR0TjWMDMhkCJRSvrLv + 5QNZO2uZaUbBzOSMjLUVfSvcjp/SM52ma+jphYWFTsvJpO1atS5BtH7N2k6z5XUcVVVpnMxNz/R2 + 9ywuVDHGrVYrY6V1RW03W4amLy4uttvtjG2LCdBxnCRJ7JQVhWHGti3dCFwvcFwJQIlDSBnmgEUJ + AhhSSCKahKTZaC/ONzptV5bUarXaabvZbBYyns/n5+bmll2I0un0kuiRMdHXCraqoiiNRsOyLPGk + yLLc1dXluq6gK4u3WJSw8oMcwH+VgbXccC0bZSC+9Pp9/W8qAUsuczHEhYsQeG7vXkmSSqVCoVAo + lQqZTKa3t3vlyoFKpTIyMiK8r8SuJAgCiGWkSAmnM9X5JEn6ertXDw6oELqNhqZLsgwlBQdJKEBX + AHEYE80wJFmGHAIGAOGAUEABAIAjuLBYBRBGUSRGawKgpCoIShj+eipY9sYUkFcURcIUVNBSxI4g + TGKhZXVdt16vp9PpTrNVyhcAZSJAjxAyMzMTx7G4nghjDAAoGO9JHJMkDMMwjpMkUTRZUZQw9N1G + e2JibGZmBgOYyWR6e3sxRFEURX4wNjYm7tZisWia5rJqWqRZSIoMMQIIAgQp4DElEUkIZzEl2WyW + ca4bRiabxRgzzkVfIXb9pVJJpGmLticIAuH5tGzltfw7+R9d/+UmDQAA4SGo67qmqGEYQsYPHjx4 + xRVXXHXVVZ+88Yb56kI2n9MM3fO847dvX2zUD4+OvObUU/bs2bN+/XqxiKrVapIin3v+eQ889MDM + 3BzEOFfIdzqdRqtpGDo8ognj/348xXK9qoP0PxG1F6IC13GTJFm9enUYhtVqtaenhxACGC/kclEU + KYrc7LQTGrc6nb4V/aJhEk97V1dX4AYivLWQzXmel7HSGEDH803TrM7Nt1otCOGKFSvq9XqzVl85 + MOD7fqVS6enuGujrF9KInVu3AwD27t27bt06IQEX0nnLTgsPXLHbwIr8gx/8AGEMKBOEV4SQrmpz + c3OcMt/3C7m8jHA6ZTmt9uJCVcwMmqbte+nlDRs2NGt107IWZufWrFkzMzOj6/r8/DxCSNf1VsuJ + A6Cqmt8Jhvr6aJJwSlRVZjRKW5k4JmFE169d5/o+wpadyUiSiyUVAGCb6cESbjea3ZVCs1nr+K2Y + RU23YaZNCKEqySShlqUhiolLxeo3iiIJIlmWxd0pRI35fF50pQJ4ZIyFUcQ5T6fTcRwvLCwsLi4y + xsQpIJ5wVVUtyxJ3vOA3IoToklSbi1xRRVFyuZwb+DGJRaMkDiAkYwnLDHLMZXGVgyOfVafT4pw6 + 7Y6dstavWzc9MWUaVlNuZ/I5z43sdI5SzhhLkogDQmniuM1cLtPX3x2TSLT+lt5nmqbjdAxVSxhd + WKzKimJpWhAEvuclYZTPZBGEi/MLcRS5AKxfv354ZGR2eqZcLler1YG+/v3792/csEGcoRkrDRm3 + bXtiYmLNmjUJo68cPnT00UfXF2uZdHZseCSfz79m50n7X3qZhqSr3I0hWrdan5qaSkKyc/tOPwzb + jfbg0FAhW3xp38u9vb2E0UMjw3EcR0kc+L5t20mSVOcXMMYKloYGBjljhmEIUlCr3giCgETxwvz8 + 0ccco8nK2NjYqlWr6vW6bmcULJn5woEDB7Zs2aLLSnVhIW1ZGGOM8VOPPbZu8+Z2u10pV2rNhm3b + 7XZ7enJKZDHHYSQsIv51Cfqc6NvEwltV1VQqpUuK8E0SRHZBtRJtn3AoEZsIASqGYei6Xj6TnZue + KWRzzWZz9erVc3NzYq2gSrJlmIcOHWIJUbCUK1da9YamqM16I51OU0o5ZdX5BcD4ySe9ZmpmOpfL + bTnm6J/85CdbtmwR7n+SjBnhnEErZUPOOy0nDsJdu3a98x3vaNRbtm1HQZhQoiiq7/uKosQkSRmm + iPZyXdeyrFwu1+l0ZFWJ4/i8Cy7wOk6YxJ7nSorc1dVlaDoEoLFYW7FiBWNsYW6+Wq2uWbWqkMvV + 6/WsbUsIiSvQtm234yRJcuaZZ06OT+zZ+9zOk07UDLVerzMAxOmEMQ48/9GHH/adIGPbhmpAjhar + DYgkTVecwDNTOkVssVYrFssQSIyBIAgoiXQD5zLm+PghRVGuvupjwyNjWFpaxWGMGeUYY8CA6Dmg + BMVZyjjZv39/MZuhcUITJkmSJGmSLCOENEWOoljwY2u1mifLURAiyOMgFLx8DpdIBwAAMQ61Wi1x + fQhJnud5k5OTioSSKIaQh4zsOPlEcaoACS0b4olos98Yl1AUUgAg4DKCiu9ExUzx4x//6NFHH2Wl + zU6n47lxudALuAyBEvhRPp9uN3wOaBS7uVy647QKufz8/DwAvNJT9H1XkuH8/Oxb/ujtkFthGFqW + HUSkuycXx7GVNjdu3PjLX/5yYEX/K6+8MjQ01GjUX/e615188sk333xzyk7Pz887QVQeGHztqaeV + y+WfPPCPbuBPTU319vUlSTI3N5fP5xerVYzxd/7uW/lsjnOesTKzC3OSJNGEWJaVhJHA2XzfT6VS + mq5aKfvwxKFisQgQ//a3v/3l227PF0rDrxxau2aNSN9es2ZNq9UyNd1ptfOZrGmahUJBHKTz8/Od + TmdsbOy4445btXJoYbH61FNPFcolAAClVJYksKw7YkdmULbkIMrAP8tD+k1F2v/PO/r3g9XvZgm6 + uAg4IpQmhBBKoyj6xS9+8dijD+/Z88z69ett2x4eHu7r63Ndt9NxV65cmSSUUoogW1IYQhBjwBgL + nQ4yVOSrtpF2Wk6r2rRz2dgJGKEYIs3SDctyAs/teGnVhBwCgDGWxfIFcgY4Spl64AW6ri8sLBhp + W1cVP45UVWJkSX6DEAKMM8YZWCKe6LqOEHRdN/D93p4eQkiz2UxZlq7rc3NzYRiWy+XlnY6gvEIJ + y7IsIWyZKdNK1ev1MAwxxul0WpZl33UEYUFXNEoTwHiU0Ear3dPTdc6bLzB1w/H8lhtMTEzYti3L + MqU0k8kIA/35+flUKgUAcF1XRlhwiQEAHEHIMeMcAA6PRNuLbQ6E0Pc8ztiyEZEsy5OTk3Y6DSGs + 1+tBGIrlJoTQDXxwRNIpgp6XxqRXqSp6tc/jfzWv9rcxIMmyLBp0y7Jc16077kMPPXTtNddcdtll + P//pz7Zv3/7wL/5JkqT+/v7xycmO49xw440PPvhgu9PZt3//uo0bFKRt3b7tjNedefqZZ956661Y + lgAA1WpV0zTLsoLAXyIuH6kjq7zfOUqy7/vZbFbM2ZzzdevWdTodsRn1fV/TNEVR0mbKC73uSiUK + wlwh36jVMcaKJHNKlzSLCRW2P+IKz+fzwsZEluXNmzc//fTTlNLVq1cfPHgwnU5/9OqP1BcX9+7Z + Q8KkMlCaHJtotZs7t+4YHjlspzOB4yKIWZyoQHLdTs7ONpMW5OD0U0/7yY/uj0gS+H5CiKqqEaOW + YQ4ODs5MTp1wwglhGL7wwgtRGEoYD/YNbNy4cWRkhFLKE1KVdjX0AAAgAElEQVSbX4jjOAzDTCaj + K2raTAGM4jguFouNRsP3nLxdwUDt685ctevqncdv05G8e+8T2Ww2SRiCWhhCxmTCoKobSRK0HL+r + a8jpeJAEhg69VhXhBElJRPzu/u63XfK2WqOuqiqjkMRUQXIcJCkjrWmahCXAuSzJkAMWJ5xzQpcm + 7U6nE5NE0OcE5ij8lJIk6XQ6AABhdCZ2n8LPyvd9ETXreR7hDEIoAc451yRZuF1NTEwUirkwDCkU + xB4RSQkhQpQBBiHgTPDkOacAAACYqsqKrJM4fNc733nqa05ZnG+0Gk7KsuqtGsQKoGYcQVlWIeSM + x6oqKRrsOItWCrc7tXK52Gq0s5nStq07ZFm68k8/uPvppzVFlVUl8H3GGAIwpRtRGLYbza1bt7bb + 7Wq1uvfZPYVyadOaTbZtH791W61Ws3RjeHhY1/W+vj7hUSOs56ampiRVKRQKw4cOF3P5D77/j0/Y + cfz09HSn1dY0zdKNkZGR3u6eIAj6+vpEAu/GjRt934cQMsAdzzNNUzX1915x+WKtFkeRsHA0TbNR + q0sQ3XDDDXOzs+l0WkAlP7r/ftdxTjzxxHPe9CbX85568snxiQmSJHNzc9lMBkvS3OysYZrbt20z + DOOUU0757ne/+773vW9ubq5cLv/iF79ot9u1Wm1kZMQwjPdccUWYxLt3737iiSe6uro45zFJfpMB + 9Rur/iUfM0ppEASiMzZNk1MmxH5C2rGsnRAfEoSQyBEWfh4YY8G6vOeee04++WRFUQ4cOCCwu507 + d5599tmf+9znxKbNdd177rmnVqsV84Unn3xy165dgrUlAIqDBw86jnPia046941vevDBB19+6SXD + NHt6euYX5mRZDrwwjmNNUdK6ic2U0+n82af//O5/+Id169ZVq1XTSHme5/t+RBLOua6oYRiuWDFY + LOYXFhYAAHPVBc75Pff+EHJu6kYcR8VcXlKVZr3heV7GsjHGN9100/jo2Gc+85muri6E0OTk5Fvf + +tZPfvyT84354eHhiy++mHPe09Nz6y23pFJmX3ffzlNOfOGFFwqlvCzLWJbb7bau6Ekcn33WWaqs + dPV0/eFbLnzyl09t3HBUpx0FAUjblfGJ6e7erpRljk6MmqmUhPUwCDRNLhVT9eZUszlnp9UbP/WJ + lStXjY1PcsY5YgLaZfHSpQmXUsiwEFm5rvv888+vHVqJAZSQjDEmBMiK4rquaacppQDwyA9mZ2cR + YzQhqiKRKEayxDnnEAhJnmDZCfsmhFDCqLDrBAB4nqdIiBFKSNxwOwJoUmWJAEb/+f3yG19Dw7IQ + VBhjJIpZQlgCEeNXXv6+sbHDfX0rPA/6DlKl4sxco1zqajadQrFMSegH7XzOmJsd7e8vcRoCSD2/ + /Y077jhm41FzZLHTCGRsK7KuawYFUbPZMAyzUil//Utff/+uK57evdswDMdxUqb5xje84ZdPPNFs + NDDGaTO1adOmL918S+D5Bw+98r7LL//INR9bWFgQuVvlcjllmpOTkwN9/aVCceeO4zVFGRwcDIMI + QTg5OZmx0gII5RyGoa/rett1VqxYUW/WXd/fccL2zZs3Z9O20+lkMpk4jmdmZjZv3nzv9+7dccoO + 0ca9/PLLBw8enJycFNfTXXfd9fOfPbhq5dD9d92798BeiLEsyx/44JWHDh3SU6ZpmuI3KJ7NJZgO + Lo1Gy0sODgE/EpXwe9HR/+IS57MwChL/FI9qFEVpK5fJZGzbXna6Eh+YOI59PySE6JopMGSIEcUw + 5jwCzAuCZrs9NDi4dnCo1Wi2nY4fR7qqUs4jSpLADaMIKTLGKiccAgo5pwzENCaEAM7cIEAcpDK2 + pMhRFEicYMBYzAhJMIYi2BAhLFYnYqltmma73VJVVbFtodsR36okScKwVNM0YSdbKpXm5uaESZIs + y4ILI1ToAwMDjuPEcRzHsXRERBQlCeQUQqjJSpQkIjK00+m0Wp1Wq9Xf369p2uzsrBhXRG8j7jJh + dgcZX7YsklQFIsQpFUJrQYqBEAoEL5vNplKp0PNd16VxIiOcy2az2azY3Ytzb4k4g36dXSb2F+Jr + 9nub7/93CSKpWP4tLCyoqppN24888giC8KSTTrr723ff+o1bf/bzB+cW5r/4pVvff/kVSJbCJL7q + ox85+eSTN2zepOraoanDt9z6Rd/3L7/88scff7yrpxshJGuqZhqMMeIzziEGECIIjhCLGefo3xmQ + /rveLYxxKpW65ZZbjtp0VLPVyGQyjLHzzz9fU5T7fvhDTVGnpqbK5dJFb7k4SaL52bn+lYNRECZR + 3HCco4466tt/93fHb9/52te+9qbPfV707nfcccePf/xj13VtK3377bcLFcR99913zz331KqL6ZSV + tTOc0lv+8q92bN32+jPOmp6ZPO6oY++//747v/5NVZUlSfF9lzHQ09NVsos5M33WaWf+as/uB372 + wPnnn++0O4qmps0U4QwAEHU6sciu1vUbPvnJb37zm6MjI7qiEkIuPOe8s846a4nZIuFdu3bt37// + nHPPvfTSS1f1rfaod9JJJwnFRT6fL2Qkr0N9J1QyStbOAcD2vPzUWy4+/4wzzmjUglJ5KJ9ddccd + 30/bXbqRimkYhExBec8N1g7ljz1uKGezkfG9jjfveM07v/OtWrVq2hlF1py2AxjEQJF0mE6lFawA + tuQvR5IkiSKEUMowhcDAcRyIkYjQAQAkhAAAxAEkGrLlDYqu60JsgBASY5LneZppAAAIJYwxqCwZ + Rgs3wjAMkYrF7kSY6jIAMJYhABwicIQoDwEDAHJO06n0vpdG52dmn3/mxT/7s8+HbnTO+edZ2RRA + +iv7atMT7YTgMAw9t5Gy1GIhJSnR6jUlywLfff5vRg4Pbz/2pDP/9kyXORqWTVVL4lgGSFD39ZQi + SMYXXHDB5z7952845w2MMdH7fv6mm5rNpgQR5/wjV10tIUzi5JePPe553vTsTLFSft/llzuOk8vn + F+u1rq6uxly1tdi49qPXvPjiiwN9/d3d3ZHn7969W5Fkkamay+UwQouLiysGBnaeeEK5u/LD++7d + d+DA3T+8Z256xspmbNv2o3B2eiadToeeX+jtvefuu9euWr3/pZcHBgaGVq0+79xz7/r2dzZt3Ejj + 5FdPPbVj67YPfehDb3j92dlMZmJ0rG9gRVepTDhjCfnql79yySWXtBvNSy7+o1u/cquM8EevuvrD + H/5wxrYRQp/5zGfq9fr8wsJnbvzURRddZGp6RJKYJP/m87hMxBXqLMuyTNMUej/P98IklmUZyRIh + RLg7cARn5udKpRIAYHp2dsWKFbquz05NLywsfO+7d5XSRZqQnhUDglxUqVRu+/JXHn30UcgBRnh0 + eGTjxo0zU9Mf+MAHUobxqU996g8vvPDee+9Vs9lSoeB5noTQqpUrv/bV2y+88MJSvmAYxlx14dAr + ryiKksnYMYgVrGiqCgEkCbWNNIjBTX9+08aNG5975tnR0fGf/vSnqVxReOL5rifnZRazHcdsyxXy + GzZsSFhy8803l3K55mINKZKe0iUZUZrEcZhOpwYHBi75o0tWrRw6+PK+6vx8Op2OZeW1p5720as/ + 8v4PXvHiiy9GUbR+7bpWp33F+94Xx/EnPvG53bt3S6qyfv36sYnR3t7eIAg4pRghN4rcjqMpKuVx + b6Xrkre+7aqrrhsba9/1nQdl3AuiF1/41UuARXJmNTE0x/E2b9k2tLKiqMGXb34XpfUHH7z3pb2H + MlZu6ZblkDFOCKOUA8ARgJSL0DJKKaUsYYxwTpMkgVgCCBBCgiDhAGCMLctS1QRK2EcYIRQFQRLF + GOngSPYRA3xZDy2WawJ2VnVd0DJFbpIioSD5tf30UuMOOGVU/OsRYOMIYQ+AyPcdrwYpLxfK3eW8 + 13F6i90LkzN3fPX23p6hfS/NPfHLkb3Pzs0G453FdKuhhp7uuaFpZNetXPeeSz74wt5HPvnJPxkb + 2//pz3wSREmUxBJSs+l8ElNN02rNekIdjEExl/vox65e8lilzDJMXdXcxD3t5FN+/OMfr121ev8r + B/v6+g4eOHDllVceOnSo2WzecMMN55133p49e6rVKoIwSRISRr7jLi5UZYiGBgdvv+2r8zOzv3ry + VzRhP/rRj3RFhTHXkUoIA1AxVfMNZ//BwMDAMduPe/a5PQ89/FAuk1VkeWxyamjlqlp18ajNW771 + rW9VWwuFXD5rZ4aHhzeu32Bo+qXvfJdpmiJaIIqiXNr+yc9//NnPfjaO47e9/Y/+zwUX3n///bPz + cywhyw8mX06ZBL9eKf97o9G/uM9f7dT0P7p7+19cgl8nnlbDMMQX2UJh27ZtPb1dw6OHJ6YmM5mM + nc04nsshoJyd+JqTGvWW5/vttsMYi5IYKXIMGFcw5jLEKPR9p92RIbJ1011soJjKKkIYUEgZoFCC + MlIYwRxwDiCHAGEgS1jROQAAUBb6gRP62WJBZOtlMmk38BdbAcYqp5wyihUJY8wJ5ZQxxgWVA0Fo + 27bgrquqWl1YoJR2dXWtHBw8cPCguIbiONZTpqBeiwhywVZotVqhHyqKoqqKmL4opYHnSZKUMkyR + iEAIabcdAJDruu2Wk9KN+dlZVdd1XdfRkrhaWO0LTgQAQIJI6FNiSiCTkIQFbxlhLEkSIIRSKkFE + KUMcTIyOaYpaLpdd123WG2bKWHbhK6bTYRiK+U3ku/yLghACzl/VI/m79jz+NhAkkcdCCPEc17Ks + jJ3Zv3//P/7jPz722GO33nzL7OxskiR9fX1xGH3tG1/3Al/Vtcef+OXTzz07PT09MDDw9ndcMjUz + Y9u2bhpDq1els5mJiYkwDFVVXU4Q+03gSGjFfgs/16sq13Wz2ez73/9+AIDT7vz93//917/+dSFp + aDabf7rrw7VabevW4+785t+cd/45hUIBcs44z+fzEMJP3XDDJz9xvanpxVz+7++668u33ZbP56+5 + 5pqZmZndu3cvLCx8+MMffvbZZzdu3HjjjTe+8MILjz32WF9fX7vd9hy3r7vvY1d99Olf7Z4YHv/G + T7+Wz+cHevrm5+dX9PcKauzmdZt4TPbs2fMnV3wwl8nec889GCLAOGCcEco5I4QosgwhLBYKH7zy + ylK+sHbV6p+Dn8myTBMyNLjyb77x148//nhCabvdjpK4XC6f96Zznnjs8Q/d/aFdu3Zdcskld999 + d6PRCJNYVwwFZwCMOKMIcIxYStdWrRq89ppP5OyVZ5z+1mO2bKT+YLWt05DCVJ7HBCIdI/zc09Pz + MzOXXfaGG6+/8dDws1f+6RU0iWVZxkB22h5k2NRNCWEFS2LbxAjFCImjSliT8yMUd0VRdNMQ0BBC + yM5kBLcQACA+SALfE75M4qMrSDgAANM0gUj1WdoYIaF/C8OQUHSkseNi+0IICUkiawoAgC0BR0ik + nkDIASOz01NrhlZxyg/uO7iie8XffPPbzZb73j/+0OxMuDCJgGcAxQZcBola1YBbgaedvn384J53 + X3burj9+bxS4f3bjXyFANCTXF2ue4ypYCnwfImSlUq1WiyfkjW984/XXfUJ8S4okcwiKxWJfpfui + C/4PQiiJ4na73dfX9+Y3v7ndbJ122mmE0Zv+4vMXX3zx1772tem52VwhPzMz01/sKmXzsR989sZP + pXTjjjvuYISeePzOV/Yf6K10YYwnJyetbNbs04cGBjvN1sknv+YT11539Uc/IoCUMAiazaaqqtls + VpZlTVZcx9n95FPP7n5akeQD+/ZfeP4FX/va1zzPwwDu3bv3qV8+8eTjv/yr/v6jjjpqdHR0w4YN + 7XabybBZr//tN//m+uuvX5ibt630/MLc97//fQDARRdddMEFF9x1113FYnHHjh3nnnvu5ORkLpe7 + 4IILvvvd7/q+ny8VRe7kb54P4rgQtwtCyLZtgeS4rut0OhBC4Tm5rH4R1naFQsFxHMbY0NCQ4zgH + X3pp247jf3j3D++7776uri6hxAAAVCqV66+//q677urv7280GsVicd26db7vO46Ty+VeOXBgZmZG + aLFqtVqj0RDGqZ/61Kc+dcMNbqfjBcErBw4et23r/Py8omuyLLOEYBk1a/UoCIv5gmVao68MKxs3 + pVT96E1Hl3KlB+7/SRj7kRcwxlJ6SuJ4emZ6aHCVJEnPPv3M61//OlPTO612d6XS9ju+48qaCjGQ + EFizatVxx2zt7+9/4vHHa7Xa5s2b5+fnoyg69dRTZ2ZmLrzwQkLIY489xhgLw/CsM1+3sFjdsGHD + 6nVrX3rppWeefdbOWM1mUySppwzU191DEyIjrEGl1Wh+/fbPHzo0DbgBQOrlF2ux07t21UYEFcVA + CNOxsREU5Z9/enRwSJ8YmT7hpFUb162frU4cHDlAKVcUCSKQHAlyBQBADhCAAtsRWwnTNIcGBm0j + hTgATIy7EkTIdf1Op+M4rqQqnNB8Pp+3bQSgrilxEEYkgRAStkTEFaaCGGMzlZqamsJEBgAIkDCV + SmXSKV3VEAJ5WBaeWpxTAMESPgzhEQLJkg6KQYAgSEu6hOQw8v2mn9L03koXi5N1K1cHAU5crWCu + W5holuwTF+tOOW+n7WxgdzB0gra8OE3KuUEVGSu6+wp21jL0lKy26zWEIMBAwhwBZut5QuLzzj9n + eHhYkeQg8CilIt3hXe961+HDhx/9p4dvvvnmO++889ChQ0mSHDhwgDGWzWYnJycVXZuZmUmn0729 + vROjYxjjDevWc8o8x1WRVC4UH37wF1vWb2aU/vjuHyKJ67KmIBmAGMoaYryYKQReiBlcPbT64Ycf + btaaJKJbjznu4KFDPT09p5122pe+9KUrrrjiwIEDgngjdBfveMc7xCH51a9+NZfJ5LO5bUcfW52Z + 613Rf9aZr/vBPXeHvg85QMKF4d+pf8mpW56a/vMu6N/X71QJpEVsr5bFhwDBdRs3YMgBAIVCYcOG + DbquP//88wAA1/W3bt16+NDIQw89JJB5QQCJKVEkVRz4GONWvaFCfPq5pzir17Y6nQSwkBEmAcoZ + hkhCKg8gBhLAnPOEQQAQZ3Bpn2IaqVazKUE0OTo6OT7hddpREmrpFJYkwpakiZRSmiQQQoRwo9E4 + +qgto6Ojs7OzPT09rVYriqKjjz4aAPDYz39+zPHH9/b2VqtVEQUmQCcBNInpptNsnXj8TllW2u12 + FEWUEoH/eK4ry1iV5DAMKaUQcV3VBgcHXdddXKz7QZTJZCempjjnlmUJlzkIoed5mUxGiPZpnARB + IJQFQRhiKAEk0ti4mJQAAJIsMcZUWcmk7VarVV9cVFU1a9uqoYVhKMtyvV4XTsX5fN73fSgtpSaI + 9dNyvVqK3e9a/TYGJDHDiDeMUtp2HT1lForFer3uOE46mxFBVJEfKIrS09fbbreTJJmYmV6zZg3l + PEoS00pZdnqxUUcINZ1OnCSbNm8eGxsLgsBKmcuN6X/km/nvwuXT6XSj0cjZmWazeccdd/i+/9xz + z01NTa0cGAg8HzAeh5FtpYUj1tjwyDFbjwuikFP69re9rdNqP/bYY4qkLIWZyrLnOOvWrPnSyEi7 + 2axUKgf27VNl+cC+feOjo4okrR4aqlarjNIVK1a4rfa999x3+Xved+LOk55/Zq9pmiOHhwcHB0de + GVYUxbbtmz77OQDA2WefTcLEULWcnYmiKJPJREnsuq6qqlEQ2tkMAODU15x8zhve+OjDjyiS7Dlu + FIS6qqUN8z2XvjtlmCNjo08//XRI2Yq+/hOPPeHTn/70+MT4vffee8MNN9x55522bZtpq1lrmCkg + QYkSh3KfxEG71SgXu3QlH3r6KTvfnlKP7c5n06mhOFYarWZp0A6jRUluHNj/89UDQ1mrUCwWs4Vt + gwP9miLrqkYioqkpUzOj0GeMp+y0IqkEEMoBZ4xQqqtapVDMZrMCUxJqbCRh4aSJEAqjaGRkpN1u + C92wkDcIcLlcLkuSJAxCRM8EABCQmgwBpVRBWHzqZufnZ2anEEKMc+HdJFzCAcEcQcY5gohzLjbN + EHIAGcbQMDUIGCN061HbQ4dEbhi5ynve9udfu/2n5XWDmFUgT3POGfAoa0TxzKah00qZHGa20wwy + lmqqMgYMApbPZhGACKJ8JuuFAUtIxkpHUXTh+Rdc+s533XXnXaqsuK6r6loUhDKQvvAXfzk+Pi5j + /M1vfrNer7/84otvfetb3/SmNwEEd+zYcdMX/lJAlELD1q61MJR0Rfc6nm2kP/HxTzz+yKO33Xab + pqrDh0YsyyoXK6L7v+Zj10IMxmenelf0I4TcjpNJ2wxDRVUF5cb3fUapaZosIevWrXv5xZeOPfbY + ycnJ+dk5mpCsnaEJKeTyK1eu3Lh+w4F9+yGEURAmScIpu+zd7xkdHjm4/wAjFGqQEEITEkXRPT+4 + +/7777/tttsymcyj//Rwu90ulUo/vv/+N7/5zVYqlU6nE0b/zedxGRYQOigIoeM4ruuKuVfMxmJs + XnZ1D8MwlUolSTIyMtLV1bVm48axsbFTTz3VMs2jt2yxTJMmyeLi4vTk5Psvv7xUKp177rkr+vpq + tVoSRdu3b1+/du3pp5123hvf9Idv/D/nXXSe23EkhPOlnMg2zdqZ+++/v6+vb75aPfrooxFCnU4n + i3G13iCEDPSvYBa1TIszjqAkyyoJSavRXrtq7WD/YM7OiXkeQ0QiwmOWy+RPO+nkXDZ3x51/XSwU + DE03VE1TVMOqYFlKaAwQ8sNgYmLMd4PHH3nskksuMQyj02rnMllD0084fmdfT9/k+MRl737PX9/2 + jXMvPFdSZMuwkhw55ZRTVqwcdBznA1deSVlCGCsUCoODg5PjU7WFanlrWYFymAS2ld7z9DPbj3/N + bDW4+iNv/fS1e+ZH66q0MoqkZtthwNuy5g8Wm/uTSPn613dBDFrNmX0Hn9qwYc3oNE6n06qqUggo + oAxwLEuMMWH9zClVIDZNI5UydV3fsmWLArGMMKci70Hyg2BhYZEhmEqlJFVBHJTL5axlyVgSAxIF + HGOc0CXgSORDYIzbnY7ISBAM4Ww2W6lUbMvMpG3fdyNOoygyTTNtqAmnAmAEAKAjCNKRAYlFIGSM + 5dM5lMiNWUem2Gt7xUxRlwzTKm4/aqigg+8mtd6ebRpqU4gSn+oSoaTKgijxMx/edbLXorKC+7u7 + Is/hIO6u5MrldEgCZCA/IIwmhVzmBz/4PqX05i/cUimWVq9aOTw8vGnj+s2bNvz8Zw8ec9TRmzdu + 2rh+Q7PeEN4kXhhs3b79Q1fuuu7G67Zv3y60QIODg0kUIwA5pSLzxHWclKaffebrkojc+oUvAgCA + gO0YN1QVQnTcscfu2H781MLUy/v3mbqhKWqzXm91OisHBkZGRr79d38HAHjnJZf0dHXVajXLNLds + 2oQAWDkw8MQTT1x99dVOu/3Nv75j7cBQ4PsvPP+8aaYAQE898WSr1fKDwLZtAIDIvBLPJgUcAIAh + 5PDfaLL+9WXP4a+dG/7j9Xue3u9mYUXmCCaMYkWOSCJ8RzudTiaT8Zy2mA26u7u3b9++b98+QRxt + t9vhkRhMAIDwslKwRAlhhCZRjKCkGua6wVW7dv2pJRmvjL6y75X9EaCptNlptRUATMWAXEYIcULC + yCWcSQpGiswBihgDEAdR3NfT89hjj979Dz9QEKQgFUHya84IREKuYxiGpmjbt27bctTm+++//5X9 + B0LPT+nG8ccfv23btkwmgxB6/vnn161f32g0EkYt06hWq4K3Ui6X69XFenURAnDZe97TU+luN1u5 + XE54YkVRuCSZDiOEl2KpO81WLpeL4zgiiaLqsqpeeeWVi/W67/sCfwuCYN2aNeeff/7CwgKEcGJi + Yvfu3W7HQRwQRgGjYnpc8lClVNd1TdNOPuk1KcPYvHnzoUOH7vrOd0M/OOuss3bs3DEzP6dp2iOP + PPLUr37lum46nWaMJeESUwNCKGyQlnAL+uqM7H7X4KbfEoIUx7HruqqsiI2sruuO46TT6U677TiO + kDsXy6VOp9PqdDgA2Xw+WyyImMV2u71+08aDBw8WCgUh1CsUCqOjo47jDA4ONht1cGT3D47w1P+z + NEgc/qctqYT5te/7a9euffTRR3fs2HHjjTdee+21NCGlUumyyy6zLOu0U0+9+iNXG4bR3dPTaDSK + 5ZLv+3/ywT8588wzwjBM2aamqCeeeGJXV9f27dtTqdShQ4cqlcr4+LjYl5x22mlHHXXUZz/7WRFk + rmla4PkkYSnDxADGQdio1QHjuUzG7XRsK805n5+dEx4s87NzMpawYuZyOcj50NAQIcQL/HK5LPqG + MI6eeOKJXz72+K5du6rV6vbt2zVFnZmaOu6446pz82effbYkSfli4eqrr87kch7xTN04YcfxlNKs + ld20aVOSJBFJirk8CWOjnHc7TqmUNxWFc7Zx40ZNNTsN8NGrL/jyF9qmspKF3SRSM0Y/ohQyxkgg + odQnrrtqcAjUF6upbNLdXWGMDA4Ocq7GBOiKYep6NpOKgiD2oiiKSJyEQZCEkWmalUqlVCrRhAhv + Gc65HwaCQccYqzca+Xx+YGDAsqz5+XmRCi8mpa6uLgHuE0JEsgohJIgjAICKESFEQUs53xhjz/MU + XRMGzbZtiwYr4UxW9eWFCgAMQA45g4hKAEZ+kDKs7nKl3W4ffdSxuUw+Y6G+nvxXbi4qsD/ys24b + SpKUyRVIogYdB9DcFe+9uNINPH925PDz5WIp9HzZNAqFQk9Xl6SpEELHcwWzOYnij3/8473dPQEL + VqxYkUpbnPOuri4GWKvRsEzz9NNPL5fL3/jGN1qtVuj7V111Va1RF7veVatWeXEocCc13yNjDDkY + GlypKWpfX1+xUFAVRYKop9LFOW8s1nRdz2eyK/sHxmbGZSwDAEqlkngGW61WNput1uuVSoVzXiqV + xsfHVUl+8cUXi9n8Wy66+Ktfu11QBTqdznnnnXfCCYh7rioAACAASURBVCcIUZDIRWk0GrlczrKs + Sy+9dNu2bcKtsd1s9fT0iIRvkRZtW+menh7BmOp0OqeddpqQDDmuyxEEv9Yn/lqDBI6E/+q6LkSS + QneUMkxx+4q1jpioOefCv0Qk89q2LdKQAQCMsWq1WiwWhZf30NBQo9EAAAgNsbAZjKKo0WjMz8/H + cVwLas++9OymTZump6cBACK76emnn77oootsK+26bnelQgg577zz3vCGNwiqOoRwbmb27n/4B9tK + x17g+74IovE6jqkZlNFOqy2kvWEU8oQhhArZXLvRzmVzhBC35c7OzoqsXCyhhLMkiiVN7e4qu16a + x0AxVBGGput6JpMJPL/Vat13331PPvlkGIYf//jH/+AP/uDJJ58EALz73e9mkFe6uq699tpUKhUm + Ycm2Z6ampqenEUK93T2SJHHAOeci9KnRaGCsIQwOH5qScB+CJczVUq7f8RaataBQGNBjB2PghYlu + omzG7DjNcrlYqVQghAlnAAPCqKTIURRpikoTgiFUkSSSoyCEuVyuU29KikoYDYIAIcW27R07dqxa + t7ZQKBLO6tVFAEB1drZRq3NGEAeyqkiSBMiviQaiMzBNc82aNa8984x8Pl+v11VVdRxndnqy1WxJ + EipXyoqiWJYlp3QKecKoJEkAAATY8uIDAMAgZUqCMYzciHG+atUqE+uRH/X19EOKXM83ZFWRQOgo + U+Oenel1wwghoKsoYpwk9VWDWxQJJBgzxuIosC3d99qEJJouh4Erq8DWTBpjyCHn3DRNGWHhJ7F2 + 7dowDM844wwMpJNPek2xWLz44osRQnv2Psc5P/Hk11z/0etv+PMbXnzxReGtr+s6oEySpDiMysWi + CETudDq2bXPKFEl2O46qqpqiMADEaikIAl0zOeAT41O6qnHCXNft7++vt9tzc3O2bYssS6HJFJy6 + drt98sknC1euxcXF9773vfff96PFajUJo507d64cGjrrrLNuuummSy+91NR1QXgWR+XSlfn/vMH/ + 827m39fvYgncXkShiMMcY+x2WhBCsWd3HEfTtEKh0Gw2bduOY2KaZjqdDsMQQrwUtO37uqw6jmMo + qiRJoRcmhHAMZUmJWPTQgz9/4OcPDA4NnXjSzubU9NSBw82ZucP7DqhIQoBwTu1MundgRXmgX8/k + pJR169f/Wk5ZH9j1ofpivd1u93R1L9TmlZQmSAbLNpgIIcMwerp6vvjFL/7997/XbDbFbXjGGWdc + ffXV3/rWt0ql0rfu/NtNR22Zm5urVCpz1QWR2gQAcF13YWEhcL3BwcHqwkK70frFPz40PTHZ09Ut + q9IrBw/OLywwSlVV8TxPRM1CTnO53FlnnQUAeOXwyO49e37x6MPtdrtRqw0ODTmOI0yk1q1bd+GF + Fz7zzDMieH3Pnj3idiOEAIwg58LuVWRCpnSju9J1zTXXPPTgg+Vyed2atVMTkwf3H1i9evXpp5/+ + T48+0t/fr+t6o9mcmpoS9mC6ri/BR4yJ0JelPvy/9EMCAf4vPgV+GwOSaCINwxALHnECMgj8KFRU + FQAQhKFhmp7vi/wiAGHHdRgEiq4BADL5nDiC4yQBAIj8U1mWc7lcu93+zUB08BuL4d/Cz/VqK5VK + TY1PtFqte++994EHHnjggQd6enrGh0empqZGR0dffPHF4eHD119//Rlnnb64uFjqqlBKb7755j++ + 8o+Fm1aj0ejp6RkdHX3kkUceeOCB66677h3veMf3vvc927Y558cdd9zHPvax6667znVdYRwksjUR + QuVyue20NU2rVCpzc3OGpmmaFvqBruvCvU0MA77vc2mJPSLCc+bn59vttgC1Wp22qqo0IYKY1Ol0 + JuoN27Kuvfbag/v2B3GUy+W+8tXb+vv7xyYmms1mu91OKNFTZsKT+fl5zjlW5HYYqVjyXVfG0uTU + eKWYxhKs12uShBEGQQAoS+Ik4MTDWEloTAhXVRUgLYnCgQGwsOBm8gAAtLi4qGna+Ph4pTIQRHRu + ev7WW26BgAFGFCgfPnz4O9/6NmdMwri/v79SqVBKXdcVvupRFFl22vd90YifffbZ4qDBGPu+f9NN + N4nV1Jo1a4SQ0fd94W/meV4URYaVYowRsUKmS5kASZJomsZEHhchrut6ntdqtThG3At+45BYGpAA + JJAy4b4lBJEQw06nI0uZZguYphW5II5ILttNKY0iFyKsqSajKI7AwgLlIIgjmiTMMFIxYMI/FFEi + ZoAgCIIg4JRRSoeHhwEAzWZzZmZGZG+/9W1vhZxPT0/v3bv3+uuvv+WWW17/+tfXarVrrruuWlu8 + 6S8+v3nz5r179xLIxcSYk1IidqzT6aQqXZRSISkEHHQ6HbHZEuIuAEA6nX7pwP5ST0VsPQQ/KkkS + wWA2TVMwCkgUp1KpdWvWhWG4d+9e4XBoGMZXvvKVBx980DCMXbt2XXDBBT/4wQ8Ee/v222+/5ppr + 8vl8FEXw/7L33uGWVvW9+FrrXW8ve7+7nH36mTMzzEiRJtcKaqyxa1REvAo2JIYQJVyDRjRqMFWv + BWOMBizYK4IoQQEFEQSGOsww9Zw5ZZ/d99vLar8/1syIuZqr94n5aR7XHzyHB55T9vuutb7f76dB + 2Gg0+v2+NBu87777HnroIUrp5OTk5OSkDLaSYKD8YFVV50BIDoM8xKUVu7zGJHYUBIHkNsh9IStm + ccQYUybGyq8lPIgQklHClUolSzIEofT8AQBI20nDMGQipzys5BmV5/nnP/95XdV27dr1lre85Zvf + /KYQol6vX3nllS94wQtkRnBeFrLrW1tbMyxzMBjImNRdu3ZVq9UoCCuWgxCSbtSmaeZFbuiGtI4g + hDSbzWgUAgA6nc7CwkIYhVmWTU1NFUUhXUZ0U4uLjHNqOPZg2AvC2FBM07SCIMiyLI7jdrttm5bj + OD/96U8PHDggzS137drV7XYJJ0VRrLbXlpaXp5vTK+trmobb7baMqxYMQC62bt5KBVVU3Ol0arWa + W/HWu0kag6nJ6aALCWEIKrqB+iNiWFoU9WcXZzUVHFzuHXtCZTyKoiTujuOHH35YVdWcEqAAgKB0 + hRKMc8p0VRUlXVtba7fbaZrKXGBFUYQCJN81TdNKpdpoNHRddwwdCbC8vCwTSFSMaFFKx0LLseWB + oGmaTDEWQmzfvl0qRS3LGo/HURQlSeI4DgBcmmKvrq4CHReMSEUyeASCdHh7QyZ0xjlDDFiKu7Zv + 2HBqnPMHHniAMpLnIkr8HTuiWq0WRowLCpEoaCkyZhlqmsaOC6IECABUVe31+2mRW7YzHO4+cOAA + NGCw3rcdBzANcjQej6vVqhBidXU1SZL19fXFxcXzzjvvlBNPXVlZmZmb3b1795e//GUm+HOe85x3 + /sU733DBG++++25pC4YxPnToUMOvZUnKCA3HY4zx/v37Jycnf/j9mxBCClTiOG61WkEQMMaazeb6 + Rtt2HcYYBNBxnE5vQzZChDEpnZfvpHTuiqLIdd1+vy916kcTFKrVqjwTpGPTYDD4+Mc/ftarX6Vp + WjQaOp4nUzrkYAUhJDNCOOeMUIEVIcRoNJqcnAQA9IaDiYmJfr/vVSry6JaFqW3bRZ4dkYcdnpb+ + 11zuv1//uUu+V9LjVI6roiiq+5UwDDdtmn/Wc/7w5JNPdhynPxq+9MyXJ0mSZ2Wz1Xpo9x7TseM4 + JZw5FQ8wLrJCZUKktFSYahgcIYYVBpCB1JKSP/2TC0447vhDe/dGDy89dm7rN7/7w2MpU4rENows + TxyO4o27V3c+/Mrzzn/8817wmpec9awzzwx740q1ppr2IImAqkGoIE6lTQRCKM9zTVVPe8xjLviT + Px2NRg2/pil4HI1933/hC1/4gQ984LvfuY4Q8q1vX/385z//9jvu6Ha7tVrtqIewpmBVVZlajkcj + 17Idyy6j5JMf+zgj9N3v+auaXdUmVQRglid1t1oUxWStKSj1fT8ehJzzN5/3pv2XXAK4kCTDPM+P + Ilqqqt57773vefdf9Xo92QvJmciWxc0b3Y6MQBgGw5mZmeFgMBwOkyh+9rOfzQjxHPfCC/70zJe9 + /F3vepdpmldcccXnv/TFLMvq9fo4COQY0bbtJM/A0TocwZ9V4L/jG/C/okH6f1j/J9D2/8vHDMV/ + 2s+VfHeZEWHqhuM46+vre/bs8RzHcZwbb7yx2+0uLy9te9T2hYWFu+6+e2Z+7mlPfzqEcPfu3b1e + b3FxcXV5tTfox2n6ve99z3Gcz33uc6eeeuo111yDEDr22GMvu+yyd77znXfeeafneTJbemZmZqPb + YZykeea6laWVpazIa406ArAoy7wsEFa6/Z5uGISSgpQVv1qw8qGHHrJddzAYjIKxJBoFQcCOZGhm + Wdbr9cqy3NjY4JyPRqOV5UOMsdnZ2b379xmG0R8OO53OdHO63mzc+pPbzjnnnFtvvbUoiiRJTMfm + hA6CyK9UY0IhUBDEpGRLS8uDwcBQrZ0PMdtFE5N2byPBmokEyMmozNYsJ5jfPN3vg7LMTdvK8x5j + YmVlTQoZh+NYRWoQBJ5rY4SiJDnMkatWZyanHMeJokj6w0htiSzoy7KUzyWKIml5maapVB82Go25 + uTmpdpBlNABAjojAEXd/xig4IjcCPwuLBAAAxpgMb8nznEFAOJNnBgDgMMUOMAC5piDOGA/DA8tL + 85NbVpfbTznjDzr97Npr9jNGFMwVtaRiDBUoRFiSIRORgOn1/7b7tMfXTn/SlnZ7fxgl4zDWKwaE + sNvvI6wgrERpUnFcWVhUvcpwNDKRKSe7cZrccsstjNAgCLZu3ZokSafTkTjMXTt27N27t1rzh8Nh + lmWMsfWNdrXmm7qxZ/+epUOHZI5ZSYmGtUq1WhKiqarfqBdlGaVJrdlQNLVgJEkSwzJ835eeB4QQ + TdOKsuRCOI4jdVyywU6S5I1vOu+6666T9kQyjVdmUoVhuLq6WqlUZAjVK1/5yo2NjR//+Me+7xdF + ASDs9nuysUmS5JJLLrn33ns5EA888MAFF1ygqqrneaeffvr999+/vLw8Nz8fZ6lkkMviTKY0yOvB + sixFUaIoGo/HUpQvDXx+4XiFcy7N7jqdjmma9XpdXj+6rtOylE1jFEVSp5Rl2ebNm+XlFMcxAEDG + W9Xr9TRO5HsrAahHP/rR/X6fUioHCowxJsTy8vLyyiHpnSiN5rMkNTTNsqxxFPb7/dbU5KmnnhrH + McJKlMaWY8tOcnV11bM9hBBS8YHlA1u2bNm8efOO+3eMRiOIEKWUFZxzjjWVcx6nmW3b05NzSRgf + c8wxWZbNz893Op2V5UMPPfTQ2Wefffnll09NTT3ucY/7zGc+43gupfRxj3sc2nHXe9/3vgPrS+Px + uF73i6KQQxYuuKA0zTPKmIlNwuhPfnpHkBSLx5z4pS9es2vfsu2dzOkwjvuDiJteCXVa0u6+paUP + fpi84uzH7N2//+prrj3zlS/TtFLe3yoEJSshgNJP5Zdx2QkhgHFJsbMsi3EuBxaapitHUs4IIYAL + FeuaprGykMCRVCFKJ0PLsoqylBli0qZFKg9//WMeMgo5B4CjNC9toxrHsaIpcwuzo3BgWtO7Hjpw + 480/7gV8euo0RR0Fo1DTVVVn/dHBx5zSuuveu3/0k12ve+3Tjz9h8p777wvjhAGAdR0pGsbYNH1G + GUbIsqytW7dWKhW/UT/hhBNsy9q5c+fq6mqv13t4915CyIte8uJdu3YBAJ773Oe+7/2XffaLn8UY + n3HGGRsbGw8++KBt2wDCtMizPKu4XpZmAEG3WhkMh/WJJlAUIYBhmd1+Twih63qYxkxwhDHlhANA + OWlNTdaaddO2Dh065PrVOI5nZ2dlHUYplaQDmYP00Y9+9Fvf+tb111//1re+9YEHHtjY2Jh+9Em1 + ZqNer2NVveDPLrznnnsQVpSjtulHth7nnEOABJARUhpWIYTbth7T6XXzPEcCdNbbfqMehaFl26Qs + m81mFEWj0ch17H83Lf39+l1csrnlR9LP5F6O4/iGG2649NK/fNGLXlStVnVdr9fr8hjvdQeSZux5 + XlEQeQhbloUIt5GKNaMEPGaEMEo4KwFDgPf7/Xa784wnP3ll586nnPZYsdL9woGlZ2w/TmMJIoQK + HaRkkBcFRerKhh6kiq+99hVnA89b7feaMzP9YW8cjqqKw2npOF5RFL1ezzRNx7b37Nnz93//989+ + 9rNXDy1L+kkQBGVZ1qp+FIQAgKUDB2cmpySZLQgCeVfKsaP8YwVlYZqlQWRgjaUkiqL++sYnPvGJ + LMvOOeecKIps28YYDTa6lmXt7e597Gn/4znPeY7jV46OjaQ5jaZpUmYpB2pyREIICYJgenr6x7fc + VgKmAu2Jf/CEPM8bjcbqysrUREv6BSCEtCPigu9///tpms7NzT39mU9/zvOfRyl9y1ve4vs+hHBt + bc227SiKdF2Xg0LKmZzr/abzSP+bUOz+g/WrMw5/FRbyr7J+rc/0P5GgLPPyXnnmK6699tpgNI6i + aPPC5oWFhfbaWpTEumnoprGwuOn0009/56Xv8PzqxGTrgjdcePqzTl9bXz/+2GN/evsdJ51wkmVZ + qqrVGo3RaPS8F7zguuuu6/b7z33ucy+44IJL3/3uG37wg+3bt+/evbtarWqadmh1dWFhwfLc62/4 + 3h/8wVOqtQrhJAoi17KLorA923EctrFW8BIqUCjg+zf/IMkzz6/KabqqaYSQrMizLBMIQggH49H8 + /Lyqa3ML87VG/dChQ7OzMxde8Kd7H3746quvrvg+wlgz9CiJb/jR99/85jcbtvXiF7/4yk9/WobZ + dzqdyWZLUXUuYJwUGOsAGI5bs2w/TnLTB5/7/KdG3ZqCJwRiGQmxpitGWjULVct3P3Dbq1/3J699 + 7TNnNj31nnvvGwzHtUZzOB6lGdN123Y8rKJq1YNCQIGkYX+j0di0aZNgPA0jx3Es05J4owSOJGov + IQXDMHRdl1Q6SY3zfV+6+EturvS4kw3S0S/kOkrmhBCCI/b/8lsRQhgEhFMAgDj8/iIZngwAL2hW + ZHmr0cKaChWw98BegcFw3P/aN76mgC2Q9hTV5SBTNQhBKPiAk3bOV35049fc5uNbs+Tb130nTBOr + 4o6zMQfAtK28LJCKNV2HWKGEcMYEBE9/5jNilixu2Ww59r3333fM9m0f/McPfOADH2i322eeddbO + Xbs6vd4dd9556aWX7tixA2Fldna2M+hLYhgAII5jx3P9ejXJkzvuuuMPnvwUDvjS2jJHXDPUA0v7 + Z2ZmLMccjPqNRkNAXqn5dA9dW1uTkXZpnjkVryjLOI4N2yKcuZatqSoriWVZmzdvvummmwzD8Ou1 + e++913ad1zznD71qZWZm5hnPeMa5556rqHhisvXGN5334he/GCoojCPf97Mklc3AO975lzIb4F8+ + 9UmE0PLy8reu+fZVV131jW984/gTTnj1a14jbfSFEAACCaUWRSGvK8MwPM+TLZYkIUgkUN7H/27n + iiNmqQAAXdclgygMw412+4wnnf7P//TPlNKa27j2uusAAE972tOmZ2auuOKKiYkJSukTnvSkTqdz + wQUXdHq9E0488TOf+xwUwvf9t7zlLQKCrVu3fuR/f/QPX/CHq+trlUoFlIdrgjRNmeCMMUVTMVaK + PFcwYoyauj4/P+d6dre98eYL31yr+l//6jcsy8rKbH3PerVaXdy2edQfCSE8y3X8SkbLT1zxqbW1 + lZISrGuGZZaCQCA4QEJwxphp2rVa7dNXfiaJIkrpE57whJWVlded+9ovfeXLZ5999ic++S9hGH7z + 6m999/rvTUxMvP/977/kHW/PSXFwaenKK6/UdX0UjKvVahhFGCmWYZWwMCwTYpwDQoG4+tprrv/+ + TTObtt95x0HVWpiY7MfxWPMBUljJMk1HKhlHycYXvvqjYXri8so9ew8e8Ou1Hffv5JwXRZYzohkG + QLAkRMZPAwCAQAAg6QYpnw7GWIGIHSHkUMZUVZee8ghjaVyraZpk2BJCIYJHa6+jYIXMTDRNU/ZO + cmgtZx9CiKNvBIT/V5ELAhQVGa16FYSQodoFT9My7Y07F7/joumpYzbW8I5dA8M63qj6ecmhmTSn + ZrnIDS/qBCudOzs1v/zHj9514OB9rl9zKjUhVEJQUaCMCkVzsApIWRzqrV922d84jtNut8946lNe + 9KKXXH755TfffDMXpQQt73vg/n0H9m/avCgDGB71qEedeNJJjLEf3nrLMBjneQ4AIIRgXZPM2/5w + eM999771bRdvmV987nOen0bx7Ob5IAiyrIC6Sjg3XSfKY9UywiL++Kf+ZffDD9ea9a3HParaqCVJ + qpsmE+K888/ftm0b5fyv3vtez/P+8i//cvfu3d/57nef94IXvObcc/fu3fu+yy6bnp1J8mx1be1d + 736351c7ve45rz2XUrpp8+Yoio66A8sdJ29qjDECwDKMOIwwgKQoJydaHIgoiizdoEWZJomgLFJC + SmmjXi/L4iiT9uhT+Q+gpN8216zfL7kQRIBxLoSp6ZxQTqiO1aTMd+zYceGFF+7bv2fTpk2SJiPv + 8eFg7DiO79fjOK5Wq3IMV+aFhjEvKS9JwQhVgKLoAioMQAwwhHDT/MKg22v4NSsugyDd7kycYlQn + sStIARWgWgaz7a4CGlQBwxgbznxr+uFgYLqeapuT7txxJx1fxhGgBGMtjmPBgKT+QgiD0YiW5bHH + Hjs9PW3qBgCgyPIzzjhjNBguLi4++QlPvue+e1utlqbrhm0ZhrG+0VYVDBjXdR0rio7VcBzMTE8f + qk/s27PnpJNOElSkUbp1y9ZmrVlx3CAIAAOaomkKxhBtXdxy/PbjxmkkA2M4ZQADOeuRDKBgND5p + +4k3/9vN43R81plnkkoljmMm6Nv/8u3fu/76eq2GTHN5aelRx2wLgiBLUs/z+v3+sdu3LywsPOsP + n/2FL31RQHD88cd/9/rv3nLbj08//fQfXPeDpz336ZKOUZbl9PS05ClwzhUVy2kjpRSjX69H+m3b + j7/ZBgmJ36AI8reRRffL11FHrC984QtpnLRarT++4I8feOCBqVZrcXHxn//5n/M8N03jVa/+nyUh + hmG84pWvvOidF0kW0/LKykmnnDzoDb/2zW/82/U3PP+FL/R9/9Zbb73uuus8z7v44ovTNL300kv/ + 5m/+Zv/+/eeff36n02m1WmVZMsEXty6SvLj3wQc0HU/Pz9q26VhuHIe6bmKMTM/56T13GoY1u2X+ + lttv6456J5968jgMmq2J3qBPGK14tShNFIgdx/nwRz5y4vGPZoIRQs546lNuu+XW888//6d33fmm + N73pwgvfutJe+eBHPnTjzTdVq9V3v/c9H/vYxz72sX+Kk/iGG26QDCiIFUVRVFXPc2rajuNWBIDd + /uihnbte9/pzAat2NsT8zGPHw4fzQve8iSjLdQsokKR5/1Gnbc2L9if/9Z8+eeXfApQQnsRpZhiG + ZqpJklNBwzgQbWabVhomYRhqmtZoNDRNy5JUkoPTNJXJAJRShBUhxNzcnAyWjqJIRkEDAKanp+v1 + umSMSKMCyayTYThCCNOxK5UK4BxjjBTl6L0r0yfhUTAJQoSQgEJDiP/M5hsBILM9BNI007QZEFjD + nu/deMuNZ539sqJwk3zCr1RKnkHVAlDNRMl5BFDElcG3rnuwZCtXXHXfhz++6jrmyY8+Zb3fbTTq + w/EIYYXkLI9jRVMLRoEQAsEPfvCDzWZTU7TLLrssiMLnPe95d9111w033/j2d73TULXrr7/+r9/7 + Pr9e+8FNNz7mf5z2rr96d5TEn/jUJ7/8la/Ytg2x0u12JxpNp2KP4nAcR1/62ldX19Ze+tKXDkZD + 3TJ7o+GW7dsIIYPOhm1ZHIL7H9q56+Hdn/vCVc3WRH84HA6HlmWpmlbVtChNoiiSxLY4SfKyaDQa + l//Txza6Hcdx9uzf12w2v/6tbx48eLBS82+66aaPfOzyIs0007jsssve9/7LbNuO0kRVFNniNpvN + v/37v2s2m5qmffWrXx0MBrVmIwzDr371q4qiZHl+9tlnLy4udjodDgHWVDnKkrEPqqq6rmtZlmEY + /X5fOpXLGSQhhFKKHuH48shmSbp6SIJQWZZzc3OWZT344INnPOXJru1I9uCWLVtkBOfrX//6ffv2 + yam2bduMsSRJnvWsZxVFUatWOedhGBJCLrrooled+yoZsowQUnUdYSwVroxRAMRRBZRr2cF43Ol1 + CSEVv3LGk5980qmnmKa5vLa8Y8e9bzj/vO3btx84cCBJEtd2IISeV11rr+5fLv70zy4saDEYDGzX + 4QBkeen5XhhHnALDcrKiyIviiU984oknnnhoaSmO41qtZlnWrbfeumPHDslhbjQaxxxzTEnJl77y + 5R/d9uN2p3388cffcdedi4uLAIl+vy/DHC0LabrueF5Gcl3RX/6KM/O0KEpqOf7pZzwjCvRqdYFQ + GMZx1XeE4HlemJamwLIoe4R0jotb553/6lNPPXnPgeU77rozz4njOUyIgpTSl/KXIUiKoiAAORSS + PBmE4WAwwobuOC4DAkOUJMmRLogwQlRDlyMMiSQriiKtdTudjqqqhm3leS5j3AAAtm3Tkvx8sf0f + LoF0rGuejYQShUFQxJPNRnNq4tL3vqvbWzMNz9Rnzz1vCvIpwd2cUEKF6TqMkzjuVj0IwJizkeBR + EJzk+5VHHfdoBlBGaH1iIghjwhhWMUJ0amrqH//hg7t275xotMbjsWvZ0rJcCFGpVJI8u/baaw8e + WjYM4+prr/mHD36g1WoNR6PJycn1zkav16tUKnIeryJlvbMBGPeqlc9+4fNxGEVBcMe9dwoOn//S + F9fr9TiObdMCAGmG2u/3R/E427v7SU8548lPe+q2Y4+5/Y47qKC256RJluf5hz70ofF4LKcPaZpK + n5tvf/vb1113nYyWMgxjHIZJFL/rfe9hjA2HNRtcYQAAIABJREFUQ0XFCONHbdtWUkI5gz9vPytN + AklR5Hlum5ZlGJxzv1IJRiMmhOu6O3fufObTn/G0Zzz9jDPO+PCHP7xnz549+/c1GnXwe+zov8ui + lLquSymVmk/LsjzH5ZxLDm2WZYSQTZs29Xo9hFCtVvM8Kft0JGNN1RSAEFR+xvNCWMEYawADAA3N + 4JwrmjqOwtt/+OPjdL+Io6piNCHP8gJDlIcpY4wIvrT74dbjH0cdMytyy3Warr7aXqecUNYKNjoq + BKZpAwBM3QrDUNK/J+rN0Wi0deuW8Xi8HidCiKuuuupVrzz7j9/0psnmZFwkex/eY+nGvqWDE1OT + 7Xa7MdEkhADCijxnJbEMkxRlURTdbndx0xZVwaZpYqSUpGSE9noDyzKSJJF5mL7vIxX3B31gqEeT + owQXqqFFUQQQkgOjB/c8ePbZZ9dqtT27d0uCgwWtu396J2eM5EUQBFs2LZZl2V5bP+aYYxRF2b59 + +2mnnXbpOy99+ctetry8DAB40UteHKWxruv33HPPSSedtH379rvvvrvZbCZJUrLDkJGiKBwcZtb8 + FrpJ/7rrvwJBOjp2+1UUW79sRvfb1ln+P6wgCL7+9a9/9rOf1bCaHwkhtl33zLPO6m5sYIwNQ5+Y + mNjodc957bnz8/Mra6uNiWa32xWcS/g4y7InP/nJTPB+vz83N3fgwIHp6enXvv51Gxsbh00ty9Lz + vIpfZYLPLcxff8MNpz3+sa1mcxAOEYIvO+vlVb/S6/YZp5ZpZ3lqmXY3GJBe53l/9CIFoP5o0Jqa + vOmHN/eHA0VTs7LIi8Ky7bTIGeevP++NJC+k81i32614XqM18fVvf+tb37lmOBxOTEyYpqmZRnfQ + n2i1/vzii/M8tyzLsC1VVTkE/X5f0/SqUwOqYjvu8srq3NzM6Weccd3132MUYsUOx8x1fABAlADL + BmkKCAeaAZIULEyD8UgAGmi6sB280V9Ns7ykHCrE8+wwGv3g5h/oWFcEhAykUSw/hzRNGaW2ZeV5 + 3l5bP3TokMSFZA6SNJ7u9/uSWSfVNZVKxXEceCSIIwiC5eVlWRnLompx6xY5SD6qS/mZ9B88wvT3 + SG0tBBMQAC4AUCSOJIACAGeUIQjW11d2793zrGc9+7rvXaNALQyJoU8C4HBqMgoRApQKAAlUSqQU + SdY1TeZ4SlFGzXo9ClOOAQHsUHutPx75jXqcJoQQhBXGmaUbr3ntubZhJkkCAJAS6tmF+b++7LJL + 3/Wu2ZmZOI4VBAGCjuf+/T/+w2ev+txgMJhf3LR9+/aVlRVNVWu1WlEUSRgJDP/mH/42y7Jepws1 + 5biTTjj1cafNTE2vrq5KQ1LXdZeXlwtOZuZn3/muSzXDSNPUcKxas7H/wAHNNLxqJcsyrKkcgjzP + IUJU8Cs+8+mpqSlCiOt5nuv2+v2vffMbRVm6jpOkqYrxRL3WGw5uufVWRunCpk179+yZnZ0NwzCI + o9vv/KkkSUrcv9PtNhqNbq/30csv5xDIPmpycjJNU8qZDCmXJEnP8+TzDYIgSRJJAjwKH1FKDU2H + /BecNUVRGIahY3V+ZnY8Hi/tP1CpVBqNBuciCIIoiSGEnV7Xdh3DMEbBuOJXC1K6FY8QQhiVAwsB + QbfflwyuUx7zmJW1tbV2+9ChQ5OTk+MgUHUNQsgFUIACIBQAlLRM8xgjRQim6nhzc9P62toVn7vy + 2G3HFVlWluW2bdv6vUGe5/924w1IxdLCFUIYBFG16hFCut2u51cty/rMFz+fkdLxKuMghBCqusYY + I6Q8eHBpcnpq34H9eZrpur6+sdGs16dmphljBSk1Q993YP+mTZuiJGlMTMghwr59+1zX5ZzHcZSX + RbXmI6xESSyEuPueHe9+z3viICZF6doeAChOMstyR6PMq9Z00xgEPdu2srwocmGaFiGkWrHSpGdZ + aOdu8ZVvfOVHN99iWpbv++Mk4BBgVWWCc84QUn/ueUgoSaCiLBQABQMYY0XRkiQ5eHB578EDnAtF + UxfnF3zfB5QiACEQhmFweDhMSXoVwiNZh3v37l1dXb373ntc11UU5ZRTTsmyjJa5bVoAiF9xHIcA + KLLSspxur1+rVL0JNy/St//VOyueoRuoLKkQlqBWEkHKdMOwBEBJVghAoCirNROCctBfrdUrM1MT + e3+w9+rrr2OMbfT6q+uHNMOmDDLOVRWvrq6rqqGoeqfbm5qaZJSORiPf9xljWNdEng2DMUQoL4ql + 5WUAQK/X40IcPHgQ69rCwoJm6HEcM84ZYQArqqa94Y/fhCGyDLNSqQRByDm3Tcu27SAIDFXjHHie + U5Zl/9rh5PSUqqtRFN102w9/dOstKysri5u21Br1lZUVy7KmZqbb7bbtOpqh+/Wa3GKTk5NlQvKy + qNfrSS+xqtV2Z6MoCt00dU3b1JpYXjkUxFGtVkPwsF0dAj8DfFQFV70KI5RTphlmnma0JO9533sX + FxcvuvjiE0844awzX/G9733vL/7X2y688MJNc/NxHAEA/n0K4m9YLP779ZtYMl1DCrDlF4apmbaF + VWUwHFLGpqenV1ZXB8MhRKgg5dn/81VxnH7mM58hYdicmGg0m0JBozg0VGwZpsZRRooyI7xkCAgM + YDIOjz/++GE0ZAo45YmPKx86kEGe68ooJUzF1aqXRaNRmfNqpbFlk/7o4/XZKWfQXhl01vptXVd1 + Dvbt3jU7MVGkGeBplmV53lZVFQlgGIakbS8fXIqC0LIsjPFPfvKTHXfdXWTZYx/72Kuu/MJdd91F + GK361X379vn12mAwYIxpUAFCjAdD13Zc25HE7/XOhqqqSVbkBcGqFiWxYVkAIaioVPCSUVYKAZBh + Ozkl27dv11VNojocCDmtwIYhXWQBABjjhYWFTqfj+37Gk03zC5ZlHdi3f2FhIUmSMAxPPvnk8Xjc + Xlt72cte9vznP/9tf/G2UTCmnElrHN4T0j9DutTIpijPc0s93Er8n/K/3+nS/bdUg/Tfb8mQr05/ + 4LquFJHLJnt9fV1RFM91kyTRNG1lfW1isnX8ox/9tkv+oj8cdDody7Icy+73+oCBSqUyGo3r9frc + 3BxCaNu2bd1uN0mSqakpacmg67oQIkkSmW365a9+JQoDBcA8z48//vgsSVdWViQ2Is0fK5VKt9sF + CLZarSRJJFnW9tyCEhVBTdeDOFJVVVXVKE1ktPOe/fuCILAtazgaLSwsRFnqVTyv5sdxvNJe930/ + SdNxFEIuLNc5sLzk+74Me5mdneVcjEehrpvdfvsdl75DRbDf7TmuxakAAlUq9SgqhEAQYawZSZYy + IGzX4ZyXSVYk8exUKwoGWR6bjg41npeFaqicphCCHffenUSpoeiYIySAY9mqqsZBaGg6xrjX621s + bMjgo6P5J1KRMhwOpS5Fbnhd1wEA8l+le7UMbpMZ3pZlye9QklI2REdPgUdKV+SImjHGoRCQCSCA + UAEQAggg5ExFEYBrhr7lmO3tjY3Xn/cGx7TzrLTtSlECxqCh20XOjkRYKpTlJckmWv7S8j6/7nHO + 2u12szlRr9fX1lYrlYrnV5MsNUxTwbgsS8YoFVwAsNpeP/nRJ25sbMjHNxgO/UZdgicYIqSqSyuH + pluTrutK1PHOO+9khGw/7risLLIs27Rp06DXv+ht/6tWqaqqutFuT7cmDU1njPU63fn5+W63G4bh + zNRUSallGFlREEKY4IqiUM6ysrA9lzAqfQ4YY6UoIVY824mThHNu2Xa327Us69DKihBiZmbG4ly+ + n9JZ6y8uuUQCdwcPHpydm9u5c+fmxcUwDBuNhhTF+bVaFMeSNgmxIgfVlmXJX0zTNIzg4Wmiqrqu + 63keACBJEumQKSFESTqSM3gFHE6EAD9/yjuOY5rm0tKSaZpTU1PD4VAaURiGWa1WPc/Lsmw4HErz + Pfmf5MaRnPKVlZU4jhcWFizDlDDm7bff/uCDD0pdO4QQYUVRFCo4YwwiJP8QiAClpaoqWZJHUcQp + gwh1e700vSuOUtu2R0HQ7mwQQkejUa1WMyxzbWXVcZxGo3HfQ0OZSBhmSbuzoet6kmWKrrnVahgG + HIiKXx0Nx2mRy0FsrV6zDFNyJI7WJZOTkwLCKEkQQsPhcGFhASAgJ5fdfg9j1Gg0pJhHxbqqqmsb + 7Xa7TQoKuVAgFkIYhgUAhwqKs5ABAjHEqhqnWb02WRacEpFnqYqBa+vtjdWp1mS90RgOh1xQzTSy + sqCU6qYhH9CRBY8o+g7vOwUpAMKjaXh5nmekLIpSIOh7Fdd1TVVVICJlzhiDWDl6hcvBhwwekVs7 + yzL5GsjSxLGMMi9+LccfVYGqAg0NIYUneZim8b/dtGdubqooc4xxlpZQYEN3EdQVpAIAFBWnaTw9 + 07r3ob0IocnJyYf23Z/n+dTUVJJEEIMsy3RbdWwtLwRjnBAyOzu3sbFh2/bEzFy73SZlMT09PRgM + GOeEUvknOBVPprG1JifjKMKqSikVCOZlIe12DgfLAOBWKw/v2VOtVjljuq7HSYoxRgASQmTY03g4 + 0jQNIFipeLptPLjrweF4xCGfmpo6beqx6+sbMMt83wcA9Ho9yU7UdX0wGFSPgKWGYdi2Lad4TPDW + zHSR5VBBcRzvPbC/UqlsaU2EYXj0gYIjsycuhGCclgQAYOrGRKO5sraaZdm2rcd84xvfCEajP/qj + P7riU5/6/Oc//w8f+MD27dtvueUW8QgD26P7V/wnudr+fv1XLimBTtNU0zRZ2OR5Ph6PFxcXpKjG + sizp6C1ptKqqLi8vt9vtqckZSbg1LFOoSgEYoKWAQFFUXcEaVHSggjKvOu7f/d3fXXzJRRsbYGbb + Jo60CPMVRGMsDKwRS0mwnSmC1X3W8Gg2Xto7uvaH3/cXFwpC8jhyTV2HcDwYAgCEBjDGvm8zxkxN + P/bYY5/ylKdu37696nkXX3zxjh07rr/++nq9/tpzzq37/kte+OIL//yCKIrCOKJANBqNwWjoeZ4Q + QkGKaRgKgIamqxBBCIM4KkhZcNpsTTSmWgIAzTKLokjyTDX1tc6GxPkZELph/ejm7z/88MO2bUtN + rJwBSYpdFEUIoXPPPXd1dRUjdNttt1mWJV15lpeXa7Xa/v376/W67/u7d++empq65JJLzj/n/Jtu + v8k0zVNPPZVSetNNN330ox/NSXH11Vdv2bJlfn7+lltuUVVVipewrkmKnRBCUbG0C2aM/U53R+C3 + s0H6v36mj0SZft3R0K/1v6PDWeX/CUu6J2GMXdftd3tSyTo7OwsV5LpunmaGZZWMJkkCFfvSSy/d + 9qjtmzdvbrfbkmiLEJqemt7Y2JiYmFheXZmamlpdW52amvIb9SAI4iwFCspJ6TgOE8J07DzPS0YB + AJquDweDxcXFWrOxu/tQScnM3GxRFGmaCgiGUWC4toJxTsl6t9NqtaCKwygqikK3LU6pYFTTtCRL + oYJM2xqurUEIJycn8zTTLXMUBhyItfa6rD6hgqRePEpiTtna2pqmaVK5K2cqruuqBuQgtzyzzFNs + WPVmQxZSRZYnSUBYqes6YZRRXK27URoNo3U5G55eaAHGGBCKqummFWYjy7LCZOxVK2VZhmHAKVcB + zpPUUDXF9WTMEcZ4OByur69L52jpqyYgkKwPqS+SvmcSm5bhdFKisLKyEoahlK/IU0YWFhI4FkKA + RwxLEEIC/CyH9Mh9zAEUCHAOORDK4XfqMNUeE8KCcByHkanpzWYzzXOBiIAF1hADKVQF4IIyAZFK + CMGast4+0Gz5VPA4S1qzk45n94Yd0zGTPAMIGqbZ7/cVTfV9f9QfYIyLNNu2bdvK+tr6+vrWrVsN + w9i3f38p2HA8EkJMzMx22xszs7PdTmdqaionZVrkJ554YhhFeZ5zIAAX6+vrEIqK75WkHAZDx7NL + QeIgTqPYse1Da8u1Ws10jMFoABAMwpHjuVmRy1aTCOZ6Hta1MI4UjJM0lWIPpCCgoCzPGs1mmqac + c6TiyZlpxtg4CqUKyDCMURgUlFDODpuzRVGcpZuP2YoEMC2rvbEhH650GpzbtLC6utpsNnu9HgfC + tu2pmekoilbX1zZv3ix/rkS6hBBSv3tUfyLbFQAAQkhqW2Vq+79j+0gd2vT0tBCi3+9LY1YhRFaW + pCwGh5YxxgpWBuMRxIpbrcieraBECFGr1XTLrJJamMQkL2TvZNoWYdSteLJmNU0TY6xIfIPzJEsp + pRCCokwQFI7l+g0/DkKIAFZRQfIki6u1ClRQVuSKolQafk7KNMirzRqEsDvqG6bhavrOPbt0zfA8 + L0oSy7EVTaWMIQVjVSOUKSpGAhRFoRr6KAwopZqhMyFs15UF9P6DByuVCiHEdh2A4O49Dy8szEvk + TVVVALhpmv3R0Kl4pGQQwoIQwbllWJwyXTfzJNV1dTDoORVL0yFUNKAgyoRlWWkaEyZ0XTegahoa + IQXWDEXFWZz6tQqllAmh6zqh9Oe7o0csgQAAMuqEU0Ep5ZxKGzps6JSynJSmacpCQcUqo2WZF4Zq + yUcvr3C5qXVdhxCqqgqxIket8naH2r+/H+XW/uUXFGecxsmoVncJIUwIu2q7tS0IoZwKRTNUDg1V + M009idIkK4QQpqUVNF7vjKBSMqB0Bx3NsuyKN44DxksECEc5REqUZQowhYCmaQbjqOL5eZ6vra1h + jB3XW1tvVyoVzrmqYoiVNE1VwTVDz7JsY2NDQcjTNNu2Dx5axppq27aCsalphJA8zwejoe5YVPDh + YAAU5FUrBSdpnFBKHdPSdd2tVaTMYzQet3tdInit0ej2O+vtNgDAtaslo7JJbrVaM/Nza2tro9Fo + 06ZN/X6/VqspitLpdKjgHIJKzV9dXS0oGfUHWNdmZ2cLRgGEQRg+0jcSyjaJCwSAilUMkexmJQVg + fnauLMsTTjjh29/+dtWrSKu9zZs2OZaV5znCP9u2j5xe/bIH9vu26bd2kbJUEEIQMkrx4d3KZCRM + kiSe50VRJKFgzrkUTk9OTkrbg263G0WRZhj+1EQUjEnJIYAa1jSsqgIqgBsIIyau+c61P7n/pyur + B6yCLnC9NVnbCTJDoZjmfKNDWV4oqNddStv7o5/evFQUu/q9P7vk7TYvotFALYzZRj2KMw6AJOHb + NkjTNGR8amoKQnjPPfcoEMrfTbZwMsL+rRe99Qtf/MLJpz2mPxxYnjsYj3zfl+B8kiWcMQRAmqZZ + FA+Hw4nW1Hv/+v2j0ajX633/phtbrdY4TiQFwLKsOEst1yOCLa0e+uHtt3zr2mska0BVVcuyKGfS + PDbLsuXlZc75KSeddPKJJ4ZheP3110dR9MADDyRR9JIXvei8884bj8eyKE3TdHp6ese9917x5U+b + pvm8F7xgMBisr69/+9pr33LRRa8559VnnHEGAOCJT3yizEoZj8cIoXa7/UiTBukqqSgKoL9eDtJv + 2378jTdIUoYk//mr5Lj9rnecv2xJY/5arS4nIv1+v1KpbGxsYE3d2NiQL3QQjGfmptM8r5hGmmfp + ykqjNdFtb1S9ihBibaNt2/YoDBYXF6MokvkAMlRKYiO1Wq3T6cgJOmPMtu21jXa1WjEcmwi+86GH + kIK8mh8msXRilaQiVdM6/d7k5KTnVwWC0rdNMw0Js2BNLUhJKfU8b21tbWpqKgzDMAzTNNVVjXLm + OA5WVU1V8zxvtVrtdpsxJivRmbnZKIo2uh2MsYHMkpK8zLCmjEYj27bzXPSHI9f2SJ6apokwxBhz + wJDCPccaDAZ5xl3Pyig3bAxLQUXSXeu6bqVa9QTklFMVKYqKZaNi2mYapBgjriLDMACCRVmqGAsh + VlZXl5eXLcPUdb0oCokdlaRUIFIUpcxzOY6SDCtZNkEIsyw7dOgQhFC2VbKWKstSCv0l3U55hAYJ + SNI8QvJckO5YHELKiIAICcQBgAIJaY0IuQAsSpKa79XrvmA8jAMmWEEziLiiKWmcGYahKArLC6gK + TQVQ4RoEtQkviIKm40OsFEVuepajuwhhQimHAOua67pFUTQnW4oAtVotixPHdR/3+MenaToej086 + 9ZTeoG/bdpIkeZ5Pzkyzkjzq2GN7vd7M7GxZloxzyZMsSFmr1XTTGIcjTdMAF7VGXVe1Xq9XbzYm + JiZkf5InKWNsdmFe07TBYOB5XrMJszynlHp+tT8eIQxt13Icx3ZMXdfjOAZcqKpqWQYjBGGsGqqm + aUEQua5dq9UIKYIgajRqjuNwTisVfzjsQwinplrdbt/3K3mS1xs+pXTT4nyWFlEa2Z5LSLG4uNgf + 9k4+9ZSlpQOeV82KdG5uTkbyqaoqGDcsU8PqOAyiIBQQeI6bFXmR5VhTDU1ngkMBpHX7L9y/CCFp + eChzzaVxeZZlfqMBISyKAmMsw3/krsyyzPM8RVHkfpHyHk3TPNuRb6DkjcgPTXoeEkI4BAghiBAA + QMOqbupVxaasjIJYtvGWZeVpFkXRdGs6TOJGa9JynX6/35yYUMqyPxoajp2mKVbVKI65DSZak7Li + dzwXIZSmaUmIbduqgofDoaIotmmBsrRtuyzLnJRCCAFBQcoyLySw3B8OJD7m+74QPIqiWq02Ho9t + 1xmHI+nUBwDI8xxCaJkmApAUtMgzr+JHUTQaDWzH1HU1CSKABIdIwZqq6qMwlN/HMU3G83E4mJmd + zuKE0ALmkAsKVUwIBRBKIyb5EH7uAoUcAMAYYwBSwoQQqqpIyC5JEkqZQIcBpZJz13akzzs4QoiV + ViuScyu7wbIsS0blQETSe2Sr/Gv5AWmaliSJadujIKjVq+Px0DTtOI4rFV9yAnNSZlnCOXc9R1GU + KArrtcooGJqOpalmGGUFyeM0siwTI1zSwjItKEBRUIS4EDAvUqgAy7IoKxnCJaW1Wi0tcoxxQck4 + Cm3XUXUtTVNKqaJi17XyLCuLIgiCVqtlWGa320Xs8KrVaqsrK4ZhZFlmuQ4AQACQlgXWtVqzUeR5 + lKWGpidR2BsNbdu0XEsP9VEwbDab42BY8XxS8CSJq9VqtVqVhallWSeccMKePXtmZmaWl5crlcrU + 1FS325XWJp7nyYlGmqa9fl/aBkrKAzwC9RwZMAkoBOUMYoUQ4rruUX/wT19x5eUf+tgLX/hCDPCP + bv4hxrherz/88MNRFNXqPj/SaD3yZP69Kul3a8krWEYmSFBUEmRqtdrMzMx4PFxYWJAuO81m0zQM + UlDBOEYKp0wIzhmzLcuzPQghVBBXOOCgKNI8jXu9znp/QyTpWa8/53Vvu7A76nme5WEN9MYOBxpl + oCyQAgEpgKYADTPOYL2xnMYRUhS/Hqbp+9797lrVd1V12BtDVWECuq5LCBEC+r6fpukDD+3cuWu3 + 4zhZEluWFYah7/uUs49c/tGqVymKYvO2Yzq9LlBQv98nnKm6xjl3bSfhCWVMU1XAueHYW7Zvm5ya + e/GZL8vz3LZtxgkA4EMf/0iWZRAKVVVLRoUQlJZSCPTSs172mjeeOwrGWFOzIs/LUtM0qChJlu3f + v//P//zP19fXW62WqqqmbUdR9LZLLqGM3ffAA1dddZWiqowQRVUdx/nkv/7r0tIS5QwjZTge2aY1 + DoN6vZ4V+Yc/8hGZlWRaFuc8zlJVVRkQMmzmsLENBIfTd9jvfA7zf0kOkgDwV+584K9yG8Ff+OVv + yRIA/IJfi5DSdZ1Dh5Z937dsM8syyggAAEJYqzWGw36cpVPzs0tLByp+VcOYQ24YhlQf2rbb7XZd + 20VIAQB0ez0AgKIoAgAFYy6E47pxHPcHA79WK4piHASO42R5XqlWCSOGpfcG3VNPPuWBBx7AGCdx + pGqqquNer+f7fhCObNvM89QwtNXVQ/Pzm7gQQIAkSTRDN00zSRJCaZplAMIkTVVNi8JQmvfLGkLX + 9WA0rjXqR6s9xhgXIgjDsiyrvp+m6Uan02w2ozQBQtQmWt1B6JiObmscQYE5BQUQIk8Ly/Io4auH + +jOzU6NwpNtI031CuImVYTCq1q2SRCUvkzyzPFNAoelmXjCs6UmWa5aeFhlGmHBGKa3VasNu7/bb + fqJjtVarkaIsyxIwTgnVdX1qfoGVRDCuYiyTXqXvnJTLb2xs7NmzxzQPM45kYgAAwLKsarValqX0 + aJZkqkajIUfOkhmFDd0wDNlQQYyAUKEAEGAIMIcQAAEgh4ArKlI1M0rGjNJGoyGQMGyDEGKZiqrr + BsOEcKToEPG4yGzbgBgcd9yj0jw2IKr63mAYOl4tCQvP9YqCmJZVUGLalm3belkiADmhKsa6aQAu + TNNMSVFrNTVDd13X1I1mvSFzUZsz03meu9WKZhoQK4qKZT1t2JZlmnGStFotiCHJizAM657v1yqD + waDiuJKFyASt2rbUESUsdyquIoBpG1lRQAXUG75l24PR0HRMIQTGmHBiqJprO1EU6ZorsZ00yzZt + XqC07HR6W7YsGpbpeY6AY4yRaRp1paaqelFki1s2RVHQaDUILbZu31qSnAq6dduWXm/AOXXdiuFo + eZlNz05BqGhUTdJ4crqVBImqqpCLOEvHg2Fa5AqAhDGSFwhChBTJ4wEQQAFoUcq9i47wfI4qyQAA + ruPkWWbouqHreZZhRXEcpygKy7YFACUhRVlmeW7btm4Yo/FYKwrHceIkcV3XdhwuRJbnuq6Po3B+ + fn4wGOSk9H0/zlJFURgQClYUADjntCwhQoahZ1kGRKGqOM/Tet1nJQnDsWVZ1VolLVLbdZIsjrKY + CJrkCWNMQKBqus5FWVLLdcuSMVJUq9XxeIwQpFRoiiIEp6Qsi9zSdUVRijKnnCqqwgpGOSWkdCpu + nudYwyWjJS3dqjcYDh3HycqcAYEhpKTwXHscjjHW0iQHAkGgqKqWZRkyEacsyVLPcamgCAFD17Is + KykRHJumCRRAuQBAsS0/S1m9Wm9vLM3NNW0Ks2KAMS4BUBSVlQwIIScmErOFAKoqVpACBBCCUVZi + jBzXyqPkqKCoLIlMMwNYUVWtZLTX681durG+AAAgAElEQVTOzmoIlXmhqQorCaXEsqwwjiRwVBSF + TAqWKKJhGLINXltbkz6EGGPGyFGvf4xQkRaKpv5C/TEHiAtMocq4optWlhau40hhG2KClqWhGhCJ + UlGEoFmRcc6hUPNMAKGXOTQ0bTRan5hsGaYVhuNarToORtVKYzQYAqBARcEYEcY9zxuOBwAKv+aP + x+PuoOd4TkFKypluGlwIQqlhmrK7S9MsT7Nqtep5lSAIkiRVEJbZWVEeccoxwgpUmICMMg6B7jrJ + aDg7PT0OAk3Bfq02HPQAAG7FQQgFURgloW1ZaZJoWAccpmnqOE6apkEQ1Ot1aSW8vr4uvUkkgyAM + Q5lBaZompQQhWJZFEIwbjUaWZaQsHNtK0xRDpBwG5znnEuCFQADCqG4acZqouiYgQAjdcccdL3/l + y575zGf+7w9/qFqtVr3Km8//46WlJdl9PbKAONpu/b5B+p1bkiAgoSHXdQeDQa1WfdUrz37h856P + AMpZbip6CUoFKAIwABAC6A1vPC8cjWdm5sqyFCUbdLoV02416nv37u33evOzcxDC+/fc96TnPbXi + 15Io1gEyEBYFgQIgrNCy0DCCQBLDOICcQ0AQKBWUCUERBEhBEAMOIYdRyoBqIowgAEVJ5V1RlCVS + FE0G35U5VHFGStU04jwDAGDLiGkBFEBoyTFCAmBdwwBwyjSsyiQxxlhGSgihquHX/8mbGPvZBwKh + +JmeHxwODcMYAySOOeaYdrsdRRGjQKa9A6yYqkUpjZLYtK2SEsKoVMaWlAghDMskjEIEB6Phd777 + XQHl6PZnP45DQATRLZML4VQ8IUDy/7H33tF2lXXe+NN23/v0c26/Nz0hEMAQUEDpIIhEBZ1R5ocK + jAUVHJwBlUEUEbEtHZ0fDoLjKCpjH2REUYoIipTQEkhCenLLuae33Z/2/vHcBKzvsi715VlZWbkn + yV37nrOfZ38/3++nxBEAkhg6AICKBSwEEFSQCRwQIIG/nRTnPzlAgr/yh/83l+JuqRTCNEmUA2M2 + l+n1A86jcrkcxGEYhrlC3rKMKE3U0yUIgqGhIdXrVU3BXC6HMFZ/pWhjnPNut6s8arPZbLfb7Xa7 + juOojE7DMJIk0nVdKTf63e74+Hin01GDUZ1ohUIhjmPGmO26ixcvTsIkShPVq1ZdHMaYChrLZrOt + VitJkmKh4Pt+kiQjIyNzM7OSi0qlouLnVd6laZq6rodRlCSJOuBKQ5UwiqSUCMIoSkzT5lwamoEg + p1LoJmYp1zUNYwgAqgyVLMuot8MgRH4YYKK7GRdjKJE0TV0NcIQQXABENN1CPKVCMAgJJkgJ3JW/ + QrvXpZwhCeI4Noim63rKY+X1NDo0nM1mIcE0iZXKRXGaKaVqnK2gEdw/IscYF4vFcrnsui7GGIIF + O29FxrNt2w/6umWajuXHkdI2QAgFl/v1EvvLKSgAEACIOIkYS3P5bOgHQRCkMdWIpRPSbDQ1zYDA + NA1bHTcYQ0KIl83MV+tx4veD7r49ezTDztoijkS/NUAIYUKCOEoZ1XVdCIEAxABCAHq93tTU1NZt + z7iuq3yfMq5XDUPlVd3r9Xbv3p3P5ylniveVpqk62ZSrAUIIE5gkkWs7hmE8/dRTvu9PjU+0G00V + vhnHsYqnMAyj1e20M9kkihEQbjajLonoWq/fx7ompUwZzXoZHZMd259BCGW9jO/7GGuGaTabzSAI + Fi1atGnTJgjhli195ZeqJgCWZe1veXPbsnzf9zyvUCjMzO6r1uYAAIZh7NixI5PLKj8GhBAkWAhR + r89DjjWEwf5odjVQMrCRpikGAOwfax9o3/yuxxSE8IBDtGqQa5qmkmEajYYQQskF4zhuNBrDw8OM + UkUCCcOwXC4DABTGRgipIe3w8LDyxBec67qGiYUQKBaLURRJxlVGLQDItZ0oioaGRgq5nDoElJ9b + mqYAIJqkCEDLMPpJUq1WhRAYIYhQmqYawowxXdOIhsI4hpiMj49PT09DACYnJweDgTKmwxgTSMIk + llKWy2VKabfb1XUdQJlG8d5dO5evOcSwnOnZmZHR0VqzgRA2NZV2PyiXy8HAr87OVkplAqRl2BIg + PwySKKGASwggYhjaLOVch/lsIQiCJA4lZZbhZLyclJBzDSApAOCcK2vIhUkPEAgshFlzznq9rky5 + jglRo1u0UFRhQ5cSaAiqMZGiN2sEaZomGAXPsVd6bumspr5wvwm4EAIIwTnjnIIoOsDD/KW58S+t + lHLdtG3HQwgkcZTEqWNZSCeD3gBjjQqa0EQCbjq65VoEYimxoVusxcMwFK4YKlcQRILRcrGUpimB + +qAbaMSklEKAW922YdqmEINgsGjRol07d05NTcVx3Gq1XNdV6QKu56mySQih67qNjazjNlotXddd + 13VdN0zi6vx8Pp+nlHY7nUI2B4SMgrBSqQAEG53OxNh4HEeKfhxFUTaThVDGYeS6rqHpGiFqN6VR + XMiXBoMgn89v3769WCxKKavVqmpmj4yM9Hq9bDarKOKVSkUNrhWVLoqi8fFx3/eVL06v17N047mf + hTr6hJQIoYSmyn+yWq2uXLlSw4RS+uSTT+7YsWN0dLRWq6nQFd/3saapvuEBtt5+95y/0Oz459dv + WeqDU+R29UhtNps//OEPb775i81ms1KpQCRrtdrk2Hi73dawXiqVHnvsiUI+n8ZJr9cTjLOUVmfn + KsPlqampjON2Wm0IoZfzGALzfhsBhIFGUwZTiQhOsZYYciDo/mcAAgAJKAQEQgpd1zEEQEgoOBAY + QggRAVIKyRUaOHCD/fahyS8gkOe8rkoaRROAGEkpmRAASETwAU3sghmfkAAAhICUQEogMYAISAwk + BgJBiaRqEKtDAGOsujmUUgkAhAu/1CD9QHCBuir1u/hFjPRLv/+qpGVBMvC3goh+af3JAdJf9fv2 + RxwQKkuAXC4XBAEEYHp6enh4mHNuaLofBrlcJoqiVqftZV3G0jRNy4WiZNy1nUatXikNmaZJ47Q7 + 6FNKB+02xljTNEPXbcuSUhKMAQCIkKc2bRodHV00NdVoNBbYJoPYdV0pZSFb6La6rUa71WgjhBRL + Kuj7HEgAQBhGg55frlRomhpEAwBACMMwVEYoSs8XCiE4Hx0Z8fsDyzAxRKEfqGFC0B+Mj4wmSTI7 + Xy0WixomWzdvOejg1RCAKIo0w4j8QA1ekEbSKDENPQpiqAkgBICMYOiHPSFkM5gvFssJS8JWI5PD + VA7yRQNChABN4xBAI5/NU0F1hCHXABRKjGhoRCeGFBxICeBC+VKtVhv1uqp1kiTREFYHkOu6lUol + l8tBglWANJIySRJlfdvtdufm5pQxgxBCiY6iKMrlciMjI/l8XlUejDMhhEAYQqg0EkrDoOyklYpJ + lQJSZQ3DX9gJEkpd13WduLbTabQsy804GUZluVDSsA4hFBymaRoGkabLSq6Qz+dNw9u2fWd/EAiB + HadgaV57zmdSlAqZdq8DEHQ9z3XdIAg4ZVjXTcMEUpq6kcYJEFI52LRaLYvoBKJOq+2YVtb1lAqI + JilLKdOZkpeofjnB2LKsNI0RwMEgNHULClgpVoCA3XbPsx0appEfIg4NpLuGIz2AINAJMU0zCpN2 + d54YerlSMQwLEmyaZpqmSRjXe3WdaONjI3EQ+n6Yz+eTOA6CwPO8udnZIAgIIaZhcMYUD8rQ9UG/ + r5QkGc9r1Rvj4+Ozs7OtemN4eDhhtNVqlYpFKQRN0jAMDcMwdSOhqW2agnEOgBQLmpMDspNfzTs6 + UJn9HueVRohpGO12O5/P+74fBoHv+45tj4+N1et1fzDo9XqZTKZULHbabcuylOJFUecVh0QFwlar + 1UKhMDs7axhGPp/vdDqZjNf3O4yxjOf1er1iLu84TqfVBgA5pi0gIEhrNztq+FmpVLCHOs0WQqSY + L/R6vZCyqYnJRqOWpqnSGiktlqr1pdLhQLjtmWdyuVwhl9+1Y2c2mx0qldWowbIsz3EajQYGUHH/ + JsfGZ2f2ZRx3zRFHBFE4NzenaVoYhrZhKmjJUsoZS8MIAZD1MrW5ajFfwhAkKSe6bugYAYoI1IiJ + kRsGsWTIINnQZ6PFpVEcNGvNUt7mUiCEuGRceU7uN16XCi8pQIuQgoVIzdwEgxByvtC2EJQmSQoJ + VpxYsh8eA/kcxaCUC10MIQAAnucpBL7gVCuElFLXNIkEQkBVG+rmQQhJ9BsfDBoBmqHNzuzjnA9X + hnqdDoXStjUdm5BgjKFhmQhBCXgchGEYqsLI87xiKZtEAcFaHEZpwsJelM/nM26+2axXKhVDMxGB + lmVVhobr9Xq5VOq022Mjo0kU79m16/AXvKBer+tEwxAJziEAge9blhWHUafXzGezxWKx0WhAjKrV + aq5Y0AhxbNu2rE6z1e/389lcIZeDUvZ7A5CyXqOlmj4CSkBw1Pfz+XzCo9gPhlav9vv9vXv3TkxM + xEa0c/v2ifGp2lx1fGQUQugPfHWqAC6C/iDnZTqdThJGiyYmKaX9TrdWq42MDOuaFgRBEkZIAiSB + qek9xoGFJPgF1ZCUEknAOc/n841anTF28MEHz8zMVEpl0zRf+cpXqhwLFTZdq9WklK7rdrptuJ/k + vICylPnKb8is/KuuT/6GlyrxGeemaVLGuBCWbYeRv3P3LssyKGetTtu2jH6/H1fSMIl77dr07EyS + psVSKY5TzdBN24IYUc7ave6QOZQt5GM/iOOYQMIF17COIEQcSSQxgRIKJhlCSEfaczGDgAIAIKGg + EcX7Dw0EIEYEQ8CFEEJKuOBk+4eA8F+4P4XkjO0PDlk4AyGEEEgIIYYIACAEUwiJcw6lFJwLziUX + GBOMiZpEHZDXKhEBeM5Z+qxM+g+YrP4pQNHv+i3/1G2Pv0SThr/JpTjutmFCCF3HWbFihdItBH6M + AEyi2NR0x3GIjvv9rqZpylEKAFAqFNvtNhTSNC2e0nwma1kWjROJoKCs1WrpmCCN6Jg4GQ8KGQRB + 5Ae5YoFA1Ov1so6LIKKMzs7MeJY9XK4Ymh7HMaA8oXEcRsoKppTNt7sdyIVlWY7jVKtVT9M0hJFG + MMKU0iSKBZCVUlly0Wq1li1bNuj24iBU6sNsJrNl61YAwLp167Zv316tVteuXbtly5ZSqaRKKF3X + gZSWaYZhiCHiKXVsU1DGWIwET8LQcZxyudyodwkhLGJxEkkAgAZEzDAmCNrlYkXEKAokArpOjJSm + uqXruh7HvpBMUC4oy9o5GgkhuJRyfn6+3WpZukEwUfVokiQZxx0fHx8aGsIYU865FI7jcCEUpEmS + pNfr9ft9FcJ94ByxbbtYLBYKBcdxlPWFKrjVnE3pkXRdhxBSShXDECwcalIKIQDAQAj4i30aIeIo + IBAZRC9kC4LJ6T27w16kmNYa1iBLoRC2YxtI8zt+I/DjLh+vLA0jP47DlFIbO7qpc5o4pgUwYpRy + zqGQtmFyzuMoMnWjlC9Uq9WRkZEgjiQXk+MTIqW5bLbf6/m+r2taMZe3LTsMw6zrxTTNZbISAp1o + YRxxyiQXiR/n8hlOhaQs7AWlbL5VbxcyWdtwiIYghwiCwI94ShEkDPKMm0loTGM6VK7EKTV0PUlo + u9UCAJmm7nnZXCabRCmNk8EgKObylmn1Ax9JkMvl/F4/N5ZDElDBB90e1jVBmW6ZBCKJII0Tx7RE + Pl+r1fLZHNaISqlyLVsVmhCAQj5PVYMhSTRMut1+MV8SlAEVmIPQgfHjgRnCH8i9QRJgjAVlxWIx + 6A9M01y9ctXufXvTKN4xP48kGJ0YH6kM7di9yzEtJfBbsKw1TeXRpHK61OWpOGlFuHddl1KKELHt + BWlZkiStRjvreqVicWZmxjIdmTINoIyXbTdbMBVYwEq2BAAwsBZB7Pf9OqiGkV8qlRKaQCFVp0Ol + CUdRpOt6ktChcsW27VarhTGmcRIBCCEcGx2Nk4QzVigUdE0DEPq+v337dtPQWt2OZuiaplmWpRn6 + YDAYHhnZs2dvxvVinpqaXswXmrW6oWlLFy9pN3tEIxjpGGlCplDAlCY+GyDBTdMRHJnYIjDpNHxG + k4NWrJmfn09Z6rouZamQAmsYIsw5hxBCAKWQnAv1pYLxuVxOMs5SjhAiBCozK4EgxhhirDa+ZRhC + 0zWCBGUpTZVITLkLAgBUDZHJZDqdzgFtsRIc6sTUNA1jmAKhZv5pmiKMlLrp19wNUEjJMdGJBjNe + xrXtTqNZHivHQajgXBql6v8yLk3TLRdLgIher2tbNpDA73ezLnEN185aQRC0ao2hoaGVS1fs2LUd + a5qbcZIk2rV7Rz5XVC47Ki5i6bJl8/PzgjLHcSTj3UHf87woiiQXuq6XJicHvZ4SyPlhMDIysmXb + M0ceeeQjjzySdb1SqdSo1YcrFZqkUALPcZWbYuBHwyMVTplKkZJSDBdKjLGoGywenyxl891u18nl + MdbmZquZXJYx5vt+Npt1HGdmZsZxHEKIYlyrs67T6Zimeeihh87PV9VAWFmbKq2XYRiqXwB+MXpb + AAkBSJJEmYv2er1169b92yc/5brurl27Go1GZXjoiiuuuP322z/+8Y8HUTgYDCqVSkqpEs0r7uUB + A54/ZJs/v/7Maz9pNlUJ3SoyhGiZIAgmJsZqtRoAoFQuN5tNxtjQ0FAcpaauWyaP4ziOUwhhEIVR + mmiGEYTh7NxcuVQaHx9vNpud3oBjaNm26itBgDDBlLE0jaFOABe/xDQDAAAgsEQEEYgWwsQxRkBI + DoUE4rlVupTyt9fsv+6bA7C/opD7A6wBWGDKEaI9Zxgq4AKxTmqEKCMliBEAAiGkIUwISRIq9sdU + HHCT+gVnqf3X+fvBud90/X+r63mA9Gda6hnQ6/U0TZuenl65cuXevXsXL15MYKoZZrfdlggUbFsC + KTg3TZMz5jpOo1Zfs2ZNba5WLpU8N+P7/uz0jOO5cRgZlmkZJhQSQGkQLfSDffv2rV51kOM483NV + HZPZ6ZkVK1a86KgjBeNCiCVLluzbs5dSyim1LEs9jRRpuzcYqP0Zp4lm6ISQW265BUipCqM0ThQA + Mx07CSMhxFCp7Pf6SRx7riulbLVaWALPdRctWjQzPZ3E8arlK+amZxZNTHY6HWLoCEBTN1hKCcJh + b+A4br/XOvbYl5ga0Q0cBh1NJxDCXtdfvny4XBrhQAqR9oM2wBLq0iBm2EttkgFUg0Av5vLNXrvZ + qT7+1KNOVjcMQghmUqYx9wc9E7mqKgqCIE1T17KV/y8hhDFme26uWGBSJGGAMZYQUEoZ52oG3Ww2 + 5+fnFUdLFWGqYFISZEX3UvxDHUFKKeRC/RvHceIkTBhN4kQ9lVVnmgMhAJAQCCAlUL0ooEbrGBLl + rqFrxlB5eKQyvO7QozJeodFoCCGhRAAITQfFUtayLH8Q79lRXb3UI4R02o2x8QpjLIpiN+N2g9bm + HZt7/T4H0rIsrppJlCEJooRyXR+qVAb9vq7raZx4ntcMuqam5zNZ3TJpnAgIGvV6EAR5L8NTamr6 + IAiI7UAJ/F6fJalj26ef/NIXHPYC27ZoQgnBNE4L+dzc9Kxh6Ixx13UoZRAC1/XCJKKcxTS2HW/5 + ymUXvOnNmzc9PTo+OTYyLgTgnHY7fQ0jAonAMInSRZNT27ZtyxcLmZLXmG9omOyY2TE1MckEN3VL + M/RWoykEsE2LcpZE6fTeGdd1BZOWbvlRaJtOqVAGAFRr85ZlDQYD27CjNNE0jViahjWCMJKA7bff + UA8J9QdK6R+LdcOS1M1Y3W7Pc9wwjh746c8WL11Sb3VWLV+RRPGuPbuHyhUdk1p1/oVHv+iuu+6q + VCqmaQIAkAQ0XohXYoxZurHl0ceWrl6NEOp3uplMZs/03qGRCqW0XmtahmHqhkzBRW9526knn6Jh + nM3kPNet1+vZbLZendd1kxDChFB2tyMjI6MjY91Bt+f3LMuK0/jl68/yfT+TySRpqiqPOI4x1iTj + +UxW4bddO3di2xYINeZrS1cstw2TA/nA/T/NFvKnnXYaxrjbbSubytnZWT+MZ6tzhUKhXqvlMtli + oRD1/e9/73vTe2aWLV3arNVN04wS7jp5TctzAVOeYB1gIoIoIsAK/BRK5No6IRQjJnhk2NqFF164 + ffcOcMC/G0ixf44EAZRSoIXUVxaG4a5du+LyMJKAUwEhlBKnlKZpSkwDYwwQSpKk3W4HGAvGMQIK + bwMAVJShciZU+11xStXsKE3TwWAAIRxo2NQNzmnEqeJxSSkJ0RT//tctARGP43Y243DOt21/etHk + 4q/c/MVBr08IsS0XAKTpNkZ6GHPBEZeCQeq4ZppESeB7to2kGPQ6UIJcLpfLZSgQm7c+/ZZ3XER5 + KoAoFou27cZxmkSxazvFXL5QKGzevBlw4bkuS9JyqUQplYyPDg3v27dvcnKSIKJ417quL1u27Omn + n85nsvXq/NT4BIZIUjZSrnzra9/YsWOH3+vn8/koSkzTlBz0ep04jovFIoSw0ayVSiXKmK7rg9DP + 5XLtbufuu+/+3E03HnLoYZzzhx9+ePny5ZzxTqezdOlSznm/34cSWIZZrVZt237pqafdf//9wcDH + CEkhkjg+/fTTH3roIV3TlMeXevsk3D9vV1tTAoyx7/ulQrHX661fv/6TH/vk+95/5caNG2u1GkLI + tK3x8fHrr79+/Vnr1x55hK7re/bsIZqmBGYK06qE6N80QXp+/WUu1elQ5G2MsQLqmo4tx0kY7fkD + 23MTRpM0jZMEYdzt9zzHxVgLg4AQ3bJtCSEXIkpigKQyvssVh4v5Ek15xFKWUAwhxliRzjAiGpKS + II4hAADuBwGKeg0lwAAQ9ViHTEoJJBdAAsAhfFZcr+DH/1Vs/2tdgA4cL2raqXaEOqOevQwIgVQc + OUhjyoEEnAGMAABplCZRSpPEIIaUgFKKENINA2CQpimnbMFr6rkNwd/QGfwt1y9+dzbd70rC+ksz + aXseIP2ZlmKEJ2HkeV65VNq1a5dt2616I+vmpZTDlaGUpZ1Ox3JMRTVQWuFSqbR7585zX/e6u+66 + a9++fcq5BWNsaPqCKRMAYRgKxnO53Pj4+CM///no5OTixYvn5uYymcy/vve9u7Ztj8PQtm3P88jk + VL/fnxgdwxhXq1WlOYmi6IjDDu/1epZlNVpNbOiHveDwH/zgB5TSJEmIoTNKLU1Dui4om56evup9 + 75uZmfn2t789OTnZaDRetf4VL3nJS3q9XqlU2rZt2zUfvnbNmjX79u3TCLn22mvf8573KExIKQVc + 8JS6jpPPZLKmd8lFFx2x9nANou/feZvneVHIpdAJyXR7IWUIEZjNuVTGkDDJeeLTkdJI6vNmszlU + KU3P733JCS88941/3w+akrMgCgnCjuUkEdMwMYihEw1CaBANCMkEgwAo3MI5r9frSlNoGIYAMghD + jLHyIq9Wq8rX+8ADW82plVvOYDAAAFDBNU2zNBIEAWDcMAxVYwEAoiiKWEwZfVYWvJ+WLKGyKXn2 + bGSMZTMZRtKzX3nOP7z2/9vy1Da/HyGoIagzCm0r6ziO5JQxKiSjqTjxaNu27V67AUBs2qDTrrtu + 5oyXn0lBeuU17/vR3XdFcaxjEsexjkmhUEziuN/p2l7m4re/4yMf+YiGMJMgCaP1Z768UqkMV4YG + gb9169b7779fUHb2q1511FFHzc3NNRqN/7n11lYUT0xMCMoE53k3u+7wIxrztR9t2FAsFJ555pmx + 4ZE0TqQQquOVzWZVQel5Xr3RKA5XEEEzc3OXvftyv9d3LNfUdMFku90GAGQzGce0GvW6oTuWbuQz + +cmx8VqzgT2EIUIIrVy+olqt5nI5TDBNUtd1dV1Xrxx//PEbNmwgEOW8TBzHGsLhwN+3b9/IyIhj + 2YPBQKXZNBoN4nkJpTRJyH5srCAr2N9OOyBRUJ/FH4KUOGWmbkRRNDE2Pj8/n3E9wbjkYnx8PJ/N + tXn7xONPuP1733vJccdNT08/8tDDxx13nLJSPWAZrxK31APyxNNP37x5c6/XW7p0qe/7um54TgYR + uG/PdBIm+Vwul8kShL/5tW98//bbVyxdVqvOt9tdZQyoIUwp7Qe+YZmZTGZ0dDSMo1arlbDED4Lb + 7/i+holhGMpnBQoZRKGu6xrApmm+/e1vn69Wb7rpJgKRqemS8XNe97r3XP6e2ersli1buq12GIYv + PeXUYqVsGFq1Wj3++BNOf9npKeUE4SRJdKJhhLqt9pqDVlfccvGQ/PlveOPMvn1xyg5Zs3br1tk4 + cpIIpZSlnFo2xhoixFyxbGXQH7TbcyMV54knf+q45L1X/Mshhxy6Z2ZvkiQCCaxrlKeMc13XJQf7 + qweizpNWq/VYf1CrjJiajqGylMSYEN/3s4YupVSn6L59+3iS0CTVNawhzKRgjCGy0Jw+QNbvDwaG + YViWrcaShJAoijhNNEwoTSJOXxKeiDGWGBBC4ij9tSYNSIKE0YTG0kA6NmzTEpRt2/rMlVdeGQbx + 2NhUEoFeN6aJLoSFoevHicCMGDKJ+gbhK5eMd1vV8dFyEg9279rxn//1+SPWrtURigNfs/V6o+m4 + bq83iON0yaLFhmH8z7e+fcEFF+Rzufn5eWWZ87EPX9fqdj7+kY/u3bOnmMt/6JprxkbHs9lsFEXl + TPk7P/ifq666anR09PHHH180ORVTmsaJ57i9dmf9y85csmTJccceZ2jm52+8yXGc0dHRvXv3Fot5 + JY3zsplSqXDGmS97euuWnz/44Oo1B69fv350eOTxRx/NFQqrV6/2fV/TtBUrVvzgOz844sVHJElS + KBRUOt8DDzzQbDbf8pa3XHrppZymPKW2YV588cX9fn/37t0m5wrYP3czyoUmN+CMK13oyMjIaaed + tmnLpvvuu089+zqdTsro3r17/+Ef/uFTn/rUmWeeeefdd4+MjHAh1KD4gGxM0SN/7z3+/PrzL8Vl + VYafpmmqfZoypnOuBLRq8+qWqYyIa+MAACAASURBVEbxKrra1DTLsgBAC0Joy5QQqDCDTqcjQlou + lYYqlVqrOd9smbaFIE7SNGGCGJgDmERMalhAhJSqSAIkERIAAUCTlCCAIQJACsAlkhhjiBEAqpv5 + OyyFAX4JORyY8CgxpVJk0DjBmCAAlawaA4gwghBACZClSymFZBAhIYSjm45pSSmjMEUEK06d6g7s + t+L8hfX7CfN+7ZX/ba8/OUD6f+rd/C1L9Sl1XT/ppJNGR0a+9KUvVSqVHc9sUymlb37LP3LJb7zx + Rt3SEQIQQg3hoD/wHOeg5SuOP/74B+7/6VGnnXbJJZcUCoXBYHDfffd9+MMfxhB+8hOfOOSQQ3q9 + HmNsyeSSvzv377Zu3Tro9aIgyGUyy5cuu/Lydx+25tD1Z748juODV6668MILIYTdbnfl8hUAgFqj + nsvlXvva1zYajRe96EWDbu/ue3587LHHQrlAezWIlkaxqiullGe9/OX/eP4F3/jGN35gmI5p7R34 + Jxx3fKFQePjBhx7p9/fs2ZPPZHvtjqDsa1//xkFLDlatQMG5ZVkR92ma6ghGQUgQtkxNg+jJTY9f + 9q7LTjj+1GYjKJWWTk4c9vWvfR9hL6VCSslAQnmH02BiZOTFR78o55n7pncAGT342H2nn3l7pzVv + ujpSzp5MSABswzZ12yCGOm5USA4AUMmxNE07gHMUf0NKGSfJgTidIAjUCXWgmFb0DGUOrg5rSDDn + 3MCIUgoY13V9MBj0er2UxkmSUEH5L3aXJRQS/rIGCQCAEOp0OlHfj4P4/h/ff/m73nPkumOWLF5Z + LE2222kwAFEoWvVWo9EQjGoaNg08NJLL5+HaI5bfe+9trebciuWrTj/jxFTQfreHARScp0mCJGCU + Br6fRnExX1h/5lmvesUrv/iF/xoEftbLQAiveM97H3nkkayX2b13j2WYnLIXHfXCS97+Ds757NBw + pVIZDAb33nuvFMLQ9SSMqrNzgIOHfv7w+OjoGS89fX7tfLvZ+tEP7mCUKlyEEGJJ6rru2jPPPOqI + dQcdtgYQfOmll6ZxahjmksVLe4N+v91RRqgE4l07dpXL5c986tND5UqukLvttltvuOGGdrM1NDR0 + wgknnHvuuRdffLHSwKRpms1mDaIJysZHRl9z9jmz+6bbrdZPfvKTJEmCINi0adN1H/mIirglEF34 + 5je5rnv99dcry2mCcEJT3/fVh6je9gOyhN/0bPidzisVmt5uNletWvXNb37zmGOO6bbbS5YseeKJ + J2655ZYlS5a0Wq18Pi8Ye/rpp4MgsCzr+uuv/9CHPnTnnXcqzdsBYbpt22eeeeZBBx307//+78r6 + ot1uVyqVanWe6MR1vUI21+/26vVG5Ie26Vx+6WWrVx3047vunp6e/cmP7807Wdd2mOCZyI+iyDPt + jOWsWLb8rLPOMm3jbe94O4aQUwYQPCCwURcgU/HOSy4547jTb/3Bd/qdbj6bq8/Xjj766H+65J1v + eMPrH3jggXK53O12Fy1a9ImPfXzv9L7ycPnlL3/5qcefVq1WBUBTU1OtVsty7Fa9aWj6xNh4QH0d + ahnbaVRr9/7k51t3zH/pi7dP7xZ1n8WhaDVaEFFMBI3jtNMnmB18yLoPXn0x5617fvzdxnzLNE11 + JAog0HMVKfvf82clSVIOBgNZHhZCALDQyCAQqoxdShnAKGF8QZUkhBCQS2BYJoRQM3R1PqjBsmEY + vX5fjarU9k/TlDHG0lgnWprGAU0U5yfhqfbbaJnIcwuugCxhYRCbhgek5jqZNOIfve6To8NLtm2t + 3vH9hx782TNzMxEG+ZhJaTCQdnXXnFo5dujKg7rtvZe87bw07X74uquRYBJQliZpEkECR0ZHhQBS + wqwHJOPXXHe1DrSR4eGNjz0+UhnqdrtZ15sYG7/lllskF0iCQa9/7Qev2bptu2ma559//vr162+4 + 4QbXdaf37ltz8CGCsQFjpVIpDqMkjpcuWnzT5258auPTX7/5v/9u/TmPPvpoyctWDj28Wq3a2Jga + G7ds27Ksh3720D+cd+4V737PFe+78pnNWwkiy5cv7/f7zXp9bGys1+t95eabUxBDKQu5XBAEGMKv + 3nLLLV/5yuc///lut4sxdixLCLFy+YqM457zyld99BMft21bCJEmMYTwgImtABJAgCUghFQqFSBk + FEWO43z729/2fV8xol3X7fsDy7JmZ2cV4dn3fdMyfvVW+S1b+Pn65C9zMUYlgljXIMFMCqxrGOM0 + jT3Po4xFcRxGkZd1KWdhEhuGEcQRIQRrBEuRJqzd6fQGfQgh0jUJBZAoTVg76GpQKxaLo+URTTPi + JAGSQ4IFZRADg2DJQaJDCZGQAEkEJIASIoGgBK5lIQAIwgCIhEVRGnGZYqgRSKRcOMOheE5I8f/t + B1TJN89+SbAQAkMMIZSMJ0lKCMlkMoIJKBZsY6TglDIpJRASY6ReBAhKwJM4TuKYRrHrZpTomlEK + 0AJVVZ354AAukgA+x2ruwGU8d3rzG8dE8NcPef5Y++h3ff7+qdfzE6TftuSv1LW/91J9DgzgkUce + ed9PfsIY27hxYz6TJYQEvn/Oq85mgn32s59Ne3HKU0RwqVTyPG/n9l3/cf1nb7rhpmazWS6WHn1k + w8c+8fFly5adc845L33pS++7774rr7xSuXXfeOONV37gytnZ2UwmE4bh4sWLt23bNrNvupgvfODK + 9335y19+4okn6vO1Fx55VL1e1xcvVd21xeOTkOBNjz2xc8/uf7rknUceeeS3b7vV0PTBYGAYhk40 + 1cxwTKvd605MTHzu+v/oD/phGObz+Z07d+bz+SAI7rnnngceeEBxyhGA/X5/w4YN9Xp9vjNXr9eV + dKfT6RiGAbjggqc0yXm5JAqEoKZuFHLl913xoYw7esqJ55rg8H07EA8SMzcSB4nh6QnTAOhFzSBq + P/KG88666l+v6vT3brzopykdIJTqxOj7oWt5DHKRSDvjGsRUx8ECFSelRNNN00QAqkQFznkmk6Gc + KW86JfmIoihNU9M0lQeDqqiklAd4GqqhpTxhfN9X/g26hfe7ioGFaQAiz/Xl5Jz/uh0mIRAaQZLC + 0aFRUze6re6qFas//anP7NpVe+tF/9rt4HRgAZglICOELVgIQOxmQD43+tT0Uy9/+QkfuPoqlg6+ + 9rVvIARc7MRhRJNUx0SxpBRZC1B+/EuO+5d3vYtAYprmzMyM67qUs4KX/8BV71ftXt00DMM44ogj + 2s3WGy84HwDw+c9//qTjT3jsscfm5uZM03RdL2JBPpvLuO6rXvHK+nytPl/bs3NXu9UiEEkpdUw8 + z+OUQQgF44Oen8Z0YskIAKBYLPY63TRNkzR1HEfZivj9wcjIyDve9jbTNM8555zR0dF/+7dPbd26 + dePGjbt37z7kkENWLV01NDQUBAEAwHEc3/fTNF20aNGSJUs8z9u1a5fyTT7++ONzudxnPvOZk086 + 6Stf/Wqn0zniiCNOPenk3fv2djodVT0Tx7FNKwhjhZaVN4Pi1/1S+/8P6S5TSg877LAPfvCDSniW + z+c3b948NTV1yy23bNiwgXO+Zs2a888//7LLLvM87+///u9pki5evLhSqczPz3uep6QXpmnm8/l3 + vetdJ554Yr/fn5yc3Lp1a7FYVAQ8IaVBtEGvHwXB0NCQZTn7du1ed/gLRoeGly5etnrFQU8//mS7 + 3R50eoZh6KaGJKBR/LIzzoAQbnnq6RNOOWHp0qUYIkIIZxwTnFJKTF1HGoQwk83m8/nv3vE/nU5H + csE5Hx0dPfPMM3/+swfOf8Mbly1btnHjRkrp7Oys4ziZTIZSetxxx13xwSsqlUpvENRqNSEET2kh + l7dN85ktWzOam7IEAfjow490+wngRMPZSnEk6qQWyhQdAjUeRj0EI4hCgnwakrnZ5kGri+vWvuCp + Zx6t7p5Rb0jEQvV5SSA55whqGCEhgMorgxAq9VGpVAJc0IRJKREimqap5msUxUgjats6jiMMDoGQ + jKvBAtaIYl2C/SJ+ZZ+j3DIUqwchZDiOqRtpqoGEKPvKNEo1bvzmzisa9GNGRcZ1MxlTpqzbawLJ + w8g//PDDofC2PtWBLFfMHGKNu6Y2GVMUigCQiMY1xGHBXRH1BssWLwrDbi7jIsgxEJxG5WKpH4eS + g/nafC6TRwhd8e73bNu2LQwC5bJdq9VGhoaPO+645YuXb3j4kbe+9a133HGH7/tPPfXU1NSiJcuW + vfOid/7nl/+z0+n0er1cJjsYDDilyu4yDkJlWJr1Ms1640v/9V/ddu/c175u6+ZnJsfHgJDdZhti + FPnBv7z78pNOPvnprVsef/Qx0zSHh4bq9XqxXEqSZGxsrFqtXnrppV/4whfOOussAICSBeq6Pjw8 + DAB43eteNzIy8ulPf3rQ6+m6vmrVqrt+dOcrXvXKf73qfZlMhirfFIwQRAAANSyEEAIIGWVhGPY6 + XQBANptdunTpunXrlBelqg4Vm8g0zTAMS6WSHyz0v35pgz/vYvfXtRTidRxHuYNCCB3HYYz1Bn3d + 1HK5nGIpq9eV/ZWUMgzDKIoK+ZIaoUCM0jQVUDjEzGUyLKLtdts0zVWrVh111FG79uwOQ991LCiB + YCnGmCGQYEAxwAIgiZAESCIoMJKISSElFIAnLOn0Wo3WfBgFXHKCIRTP4vBn/Q9+M4Ftgaj2ixhJ + YX5VcVFBhRD5fH5yYmLR+GK0YDsHARAY7JfnyQUHXQihEGz58uX1et0P44imM3NzO3bs6Ha7OtY1 + Q6d0gR78qxfz+wmK9rNff/3P9edcAgL8J8ZIzwOkP9OilKoC5cxTzvzXK64466yzisXiV750c+D7 + Z5xxxre+9a2TTjlp3bp1jz76iGM63W4XAcgpO/XUU1ut1gMPPGCblnoS0CTdvXPXwQet/vp/f00w + bmi6TrQrr/jXkaHhe+/58cz09PLly1lKG7X6cGUIIZRGyZ0/vPO9//ze6er02a945cDtJ2E8iJNC + oZCmabfb3fDIo34weNU5Z6dR4uVzmUym2WwWcnkJQRRFQMjh4eF2u511vUMPPuTmL99smqaysCvk + 8t1u94VHHnX2Ga9KQLJ9+/aHNzxy4403Tk5OvuacV1uO/clPfnLposXdbrdararIC8CFY9lS4yxN + SoWijlAUBMe/+IQ4khYBl7z9g49vaL1o7WsHPbvXldZIwbTgINqLUXvvrkfWHHTE2OgyguDYaLFS + sR1bWhaMY18yCQVhKSjlyghAXTel5AtvOEQQQtM0y6UShki1KpMk4VJgjCuVimXbg8FAEfSVSYM6 + 3ZSdnW3b4+PjuVyu3+8rWydKaUzTYrFoYIQQ4kmqadro6Og9994bRRETC3DoQIfe0HAqqYRCymer + cLRgHiNN00ySpN/vl3NDZ599NpJoanzFe9/ziS/f/JN4UCBgmMcZjHQEEwHbYbLrpJNO2bLt+8Pj + U6WhDEK57qCPIBYAKGgXROGCETMXCgcuX778rLPOuvN7dyIADMNwXVdxMm+66SY1EDv33HPz+Xw2 + m92wYYNtWkKITZs2VSqVJIwIRAbRPMcNmv1uq2to5s6du088/oT1Z6z/7ndvffjBRwBBLE1d14US + JVGqado73nax4Rg/vPceXTMhxAgRXTMN3QKI+L6v50xKmZSQEH3P7n2HHnwYAti27SAIGrVmvzvw + nMyxR7/4h3f96JSTTv3Yho8NDw93Op2RodH5+XnX9j563ceOOOKIcrlCk5TGjMaMJfyz199w4YUX + 3n3Xj4eGhq677qPf/va38/l8KV/yozBJkn7fHxoaCsJYsSsP4CLxHG+0P3xBKa+5+oOf+fRnrrnm + GsE4hmh0eGTnjp2CcQ0TltLx0bFysQQlcGz7oIMOuvXWWy944/mf+48bSsViHMeWYSrL/qv/7QPn + v+GNlVJZMB6HUT6bA0KmnHIgVSRfFEW5bMHvB+rOaTXae/bse8lLXpLzMtde8+Gsl2NJGsZhJuel + jHLOTzrpJELIV2+5Rd3bEMKhoSHN0COWIowFhoylEEKZ8P+88aazzz5b1/UVK1YIIaAER7xg7fj4 + +N13333s0ce87a0XXXzxxYPBwLQtAWSumDtm3bGf/vfPZDKZ0fHJVquVz2QbjUa5WNYwHq0MpzKx + iVUul5944omlyw85/PDVK1es/sfXf0Okec9c2WklkAkiBp4nJWrMzD1y512XJxwAFGza/ECu5I2z + kaVLl8ZxbLi6H4UQAyEhIURyBCWAAgDG8/n8yMjI3PT0KSeexKLU1HQoURzHuVwpSVPOZb3d0nUj + 5cy27WXLlg2XSqEfWKZuano/8CGEEi6Ml1WMG4Sw3els2LCBca48AwAARx11lGub/W6PECQIUiCq + NDYcsxTr2sK4aYE0e4A6iwTAnEnb1DlnOpb9ftew+KLFQ5QFBs4sX3bQwZe84HWv+f+L3tI4yAPh + 5lw3jFtjQ6viZHs4sK+75u3hAEgAxkaHHUuXIM3mXNe1M6WCxGRsYpJTFg78//3f/921a9fnbrih + XC4vWbIECNnv948++ugnNj4+MTZ+8sknd7vdBx988PTTT3/yyY0nn3zyg489+JrXvKZam9+wYYOC + wY5lIYRqs9U1a9YAAFatWtVut3NexrHs7GQm8oOpiclBr+NlMjoh9WZTM8jiySmV96AOSV3XMcaD + wcBxnEaj4TjODTfcACE899xzwzBUwQ/j4+OFTKFUKs3MzBxzzDGvfvWrv3Lzza7rXnLJJeeee+6L + jjn6xBNP/NnPfpZS6uWylDPGmJBS5ZUDAIAEjDFd15V96GAwWL9+/WmnnKpSmFUFrICu53mzs7P9 + fl8CARFSMlTwHErt8wDpr2vB/enbcRyrO01xYpUxUqfTsW1bxQ8mSVIul+v1JmPMIIayclU8+SiK + TNOkggsGojiBXCKEJyYmP3LtdbphPPTIQzt3bY/jUKSJqWs6QJzTiKaYQBNrwSDMWB7GpD+IcqVy + vdtzi/mZ+vyxJ7z4R/f88GvfvAVh5Fp2vxMCAV3XZYwBIHVdp2mqcMvJJ58MhbzjjjtUA6Lf7y9b + tuyfLnln3x90Op0vfvnm6vy8ynb3w4AQYhhGFISqRSuljMLwvZe/+4lHNwrOB91eGIbZbFbDZOD3 + stlsHMe+709OTqRp2mw2s14OSqR1O1OFwrVXXXvki49UCme1WznnxxxzzNVXX71z+47h4eFgMHj/ + +9//5JNPLl66dL5e00yDUprNZoP+IAgC13ZU5sd55513yOrV5XL5zjvvvO222zqdTq1WO/bYY17/ + +tePj4/fe/99X/ziFxWX1fW8JEkIwgKCZ7cbglLKv3b77+cB0m9bUP7RbEBN0wyC4O/OefVtP7zN + dd1FixZt27ZNCJHL5deuXTs9vfezn/3sMcccc99991qutXjxYt/3Hcf52Mc+tm7dulK+1G13hoeH + 165d+/Wvf71QKBBC5ufnDcNoNptjY2M/+9nPDMO4/fbbX/va127fvn1iYmIwGCjX6UwmkyRJkAQj + IyOWZbVaLZ1omUxmMBgoCpmQ3LKsUqGoaVqn05mamJyamiqVSo7jBFEYBEE2nyuXy5Zl7dmzZ3p6 + +oILLkiimBAyPDx82GGHPfPMM9/5zncef/zxsbGxy959+d13393r9SqVigottW170aJF9VYTAGDb + NpKAxaljGeryKKeapkGAK4WRTgucdsqy7U9brVrX0IYrpZHAZ343tExkmAUWP/OmC16/eg0wHJCI + MJszu93a1NSYYWYhtCTTgj7rtXzbcQWPCEKKECg4hxBmPG9sbMzUDeUvBzGybRtjHMWxsuBrNBrK + m1u93u/3GWOjo6OVSmV4eBhjbFmW0k1yzpFG4jgGjBJCIBcq3kopSqHEUEcaBPl8fmhoaGxsDOlE + EiAhkAvdFRWjJiGUNAoxRBrSli9dPlKe2LNrJpfLO7Zlu4d88QubBBsJwhKL84VMJaFtAXQg/UEf + f/ozl/gxqDU297pzuVyFcsEgW7lyJaWU6BoTnHNuWVa/3/cc9+c//7ly+hoZGREARFH0whe+kABy + xx139Hq9s88++3vf+94HPvCBXq93yUWXtNvt6enpM844o91uH3bYYZTSMAyjIFq7dq0yFVi2bNmu + XbtGykMAwWw22+923YyXxkm323VdN1fIuxmv02uHcaToSVEUYYybzWYmnxseHu70uspjularbdy4 + 8Z8vfdett946PjZab9Uff/xxIcQpp5yycePGr33ta5dffrmaf05MTGzevDmbzf73f//3m970JmXs + Nt+vdjqdYrFYq9VmZmYOO+ywnTt3lkqll770paeccsoLX/jCubk5N5sxDENpfA+4/T67qf+opRJC + 6DWveY26kTDGtVqtUqmsXLmy1WopCvib3vSma6+9VtO0er1++OGHf/mrX129evXZZ5/9zW9+U6W4 + OI5z6aWXtlqtfr+/efPmNE2npqYUdEQIWbYlAWh3WvlMFgCACclms3t37lJ2ixkvI4H0PK8+Xxsa + GrKFPTc3rxnE87xerzc1MaXrOhei0Wgos/6+P3ByGcpYmMRe1gvD0Mt57UYzl8vNzc2p+mN+rgoh + vPnmm2+55ZbFixcPDQ2pYfXcfBVidNmbLvv+Pd9XA2FlCn9ARQ0YX7l0uQENKqkaUTqOMzvfXjxV + KORG9j0T0gAksVcqFXqDahz3B350/PGn7twNlq8EAuAw9rPAqrfqlFKMcRzHUkpd0ykTjDEENLi/ + e6qwrvJ7NJCGAQRiwUAyCAI1gGKMq04H51xlmlFK0X7mMBdcTfzUxscYq//uZDzP89T3aTQaZKjs + OA7GkGOoXHaAAticL1BWfgkgScglIMRgjLdazWLRzeZcN+PEcawRQ3BgGqbfAQh4SaITVBTc69YZ + 1rLV6dnJydHDDz2UpiCIRKnstDotKmjCEk3Dmk6IprX9SKM86A/y+fzu3bvV2+77/r59+ybHJ0zT + PProo++958fnveH1ExMT55133vT09MOPPHLwmkO/853vRFH0spe97BWveMX27dsbtbrneX6/n8/n + s/mcsiyv1WqFUjGmKSZEMIk0ghDgUkZRRBwHE2hZFoQQAYQJ1E2Dc15vNHK5XHlkqNFogP2p2Wma + VkoVIUS/31c5vI1O41Of+hSldHp6+rzzzvviF75Qq9U6nU5leOj6669fv379o489pul6rVYzTdO0 + LZXcTQWXUkIAdV2nlKZJ0u/3L7vsMsaYZZiKr56maRhHUspFixbV6/V2t5PN523bURokpVo50Bnh + /Df5ajy//pqW5CJJEinlqlWrMIFbtmwpFYrVarVSqfT7fb/nSymBXPDjJghzLoEQEChDS4gQVNU/ + AejpTZvuueeut7z5gvmZmX07drzi1NM/+v6rutWaQ4hF9CSK8tmClysiy0EHH+wWC9e976qJlSuG + R4c6rfZQqTwzO20gYuqGphnqeZdxXAhhFEWe5y1evPiaa6750Q/uePjhhznng14/l8neeMPn7r33 + 3rm5udtuuy1Jk3KpxCFoNptDI8NKo6uyYlXIsu/7W7c+8/ADP2/WG+94xzvm5+Z0Xb/66qstQ+92 + u7lcjjHW7XUymcxFF13UbjRf9rKXff1b3/zIh687+dRTdF1XjFZd15PBAGNcKpWklN/97ndzudwP + br89SZJisdjrdCilCaOapnW7Xcl4oVDglGGMzzrrrAvPv/BDH/ogY+ytb33rpic3zs9VD1l98LXX + fOi+n94/Nzd3+23/axDNtu1er+c6Tr/fJ4RAgsF+U/IFGeGf0oj7b4Fi95fmSvE7rT/i0FAIQQg5 + 8sgjfd9/9atfvXbtWmXS8POfPnTyySe/8Y2vNyzj0n9+1+c/f2NM42eeeWbdUUdee92H3/72tzPG + 5ufnM5mMokz85P77IIRvfvObD15zyKZNm1qddiaXveueu+/40Q8//elPTy1etGffXsqZl80ol6oo + SsYnpnTN9AcBwUqTg3TdTBKqyHhSwjCK52sNKSDnfM+ePd1ut9Vpp4wOBoMgCLBGVNmh1DhRFLVa + LUSwqucef/xx27YRQg8+/NBb33aRbdszc7NMcNzrYox37N61c8/uUqkkhKhWqzom/W7Pc9w0jtvd + ztTEhO26rVYnDGMhbNMAuuakMaQRNSwWBQASA0qXpSEE+toXgNl5YEQ1O5dmc0426/X7/d5MAyGX + J9qpJ50hKNIwMXUQBv2tW7YkSWKbdrFYrJQr2WyWUkqAZutOHMeU0jhJoigKgmBqaopzrupRCOHO + nTtVc7RSqYyNjSnmhmpHKWtgDWNd14mumabJk/TASD1JEio4FIgBGQRBv9/v9XqAYCb5QtCbhAAg + AASAEgBhEowA5Cmfn5/P2IVutyulrM4DAADgGVMfAUkRoBxnRIoiwgyCpmkWf/ZAetAafenSg37y + k32Z7JAEpolgvV6fm/s/7L15vF5VfS+8xj3vZ37OfE5OCAnzkACK4HQVi2IFcWgpRYuo1b639Qra + OrVK661jxTpeGaztLSgRscisKAoIIUYIJGQeTpIzP/N+nj2v6f1jnUTa6v28trbV+/L75JPPyXTy + 7L3X2us3fIeFnLOcM8f3KKVRfwAVcCybINTsN9M815Chnz75xGlnnzY0NKQZrn/50b8KBv3HH39c + Svnua652ibvUXWo0Glu3PT01NZUkiUmN+cWFMAmDQa/f761atSoTmVLi0OGD4+PjAggmcsezqUkG + UV8onktRrVaHhmrdbjvP01K5YNg0zfNu0BkMBp7n1WoVzvMLXvGyxzb9+C8+9OeFQuHLX/ny77/p + iltvvXXD2Wc9/pPNe/btxZTUhuoZy6MoGh4d+aM/+qPv3HXnzOFDjufu3b+vVql6BX+psTw2Pra4 + uNhsNiu16u69eyilc3Nzr3jlhaZj53leqVS0t6nreSsKqc9igUP4C2Saf/n3lZSyXK0wxsI4cjwX + Edxst+r1es7Z5OTkxz/+Ui7VfgAAIABJREFU8Vu/ufGHD/1oeHj4HX/0zs2bNx86dOjBBx+cnp4e + Hx/vdDpjY2N5nl9wwQWvec1rpJTT09OrVq16+ctf/rznPY8xlmQpMY2csZtuvHHn9mcazSUEYJqm + EoBSpQgJVACEUbRz946zN5zVanWCQW9kbBQbeHFxMWdMATC7MD9UHxobG+v1egcPHuRSpPOzQkrb + c2cOHzSpYWAjieP9+/e7rvv0008jhCgmaZpu3rwZY/zoo4++973v/emTTzy66THf90fHx84666w3 + X/kHrVbLNM2cS03bG/QCqKBgrNfrRSwysKHfCQgSIdLdu0GSZKbhOIYvOIbApIavQF4fnshYtmo1 + eHr7fG2YT0yv7gUNzuTs7CxCCFsIYJQ2kzRjnufxXCEAMUBIqkaj0Ww2O51OEAREIQygSS29wn3f + P+ecc4bHx4aGhuMs5Vne7/fDXo/hXPCcMWaYhlJKq94dU5tECFUqlTPOOOOMDevr9TqEMI7jOI6X + F+fjMIJQcQR6vV6j0VAGjvMUYKThef9ygqQogD7CFEHRbQcsz4HMl5c6y42eVIQgkudg+7aBFDgK + M6ISTD3XdRWErlfGtJ3moNUDjotaQSPMIqfoW8SO0mz3nr1OoZhmwnLdSrG4uLgYx7Emo2oDsf0H + D5QKxZ07d45NjM/Pz4dhuGPXTkRwoVDYsmVLlmXlcrnZbIZheODAgeXlZUKIazuLi4uCcc9xozhO + 8qxarlPT6PYD17Zt1xJKGpaZ52mSI8M0AYJJnuQgRxhLCGzXhgQxwbZs2eIVCr7vd4PAdV2IsQKw + Uqv1+/2JiQnO+dPbtzdarUKhEMbx6jVrSpXyZZddVh8e+rMPvB9CuHr16jRN8zyfnJzMsizNM56m + CCGKsd60+t2rhBwdHW23251Op1quKKU0ardarWocRKfTqdZrhVKpF3TVs5BOxziHv2j//kbnJ/93 + h1JAO2UBAJBawawZhmGZpue6F7/mNTt2bB8fHVuaX3AcZ3r6OIsaWCKllBAqDEOeMymlEhJoOWyg + +YWEEIMgQgHuNlp/evU1p5164nby02RxadvDj+Qz82faHuqGioUs47SdStryJiZPO7fygldfcsXl + v7/u3LOioD85PvWtb31zYny01+74xSrnXPsxWpbV7/dd133pS1/6trdc1Vpu+K4HpFpeXKqUyldd + +RbJxU033AghTNPUdp1Ds0cqQ3XLspaWlorFol7n+qgyKEVSYYiyJP3Kl77S7/ff/973nXrqyWvX + HL+8uLTu+BOSNKrVakeOHJmcnLz5f/+jXywcPnTo5RdcMFIfQgDmaWbbNiEky7I0TX3fhwo0lpYf + /P73Mcae4/Z7AaU0Y/mrX/1qCcGjjz4ahmGtWtXkXiHEd++779avf90yTELICWvXnXHa6bt37nrD + G96glPraV//OcZxBOMjzfNBuV+o1rcWCMdbdFn3g/ts21q/bfnxugvSfFBoq8NRTTxWLRdM0GWNj + Y2NSyvPOO48L8Xd//zUJZK1cO+3MM37wgweq9dpJJ520e/fuXbt2DQ8P+47f6/VarVZtqH7fffdN + TU3dcccdGzZs2L179/DwcBzHWg1zcXGxUCiMjIwEQaBZNKZtaXNxjHCj0ZAQ6MFrFMemaSZpuri4 + qADwXV8bs5iexYWEGOnT1Pf9OE3m5uZ0L9y27SiKDMMwTXPv3r0mNarV6jnnnHP//fdXKpVyuayU + KpZLs7OznPNzzz03ztKxsbHZ2dl+v687taZh+YUyQVgIaRgWB6LT6SwszHGRjo05t9260O3168M+ + y3Gc9EzHxlRx0VGsYzly524Qp7Pnv2Ty8MLC/n0HAUCtVifPiWGYFFkXXfTbjunxnEX91tzc4d27 + dkGpCoXCcaumHdvmnGv4mYbJSaVM06xUKkKINWvWrFmzRl9aq9Xavn27ZVnaSVY3KTWyUVMX0jRV + CEopFVCEEJbnx8AbK28HDLngjLEoijqdjoBAHAUjqxW0r4QIQMAxgHmWmNjWUgf9ft/znIMHO3d9 + 55AQXOURAC6lLE4ZIgmQfYAzhMXTTz/VC+EFrzijVhva9vQOJiTEYDAYAASTLMUGFUL0er08z2vl + yszhQ6smp4qFchAEBw/NxHFsWdbo8Ajn/Kc//ekFv/WK+fl5nWPt2LXzO3fd6fv+9ddf/61/+raU + ct++fa7rSsaxQsvLy6ZtH79ubRRFFBuDODr59NNayw0tnmu6jtZEVhAprJjIlxrLJ598MgCg0+ko + jPrhwPO8NWvWLC0tzc/PSylLpdJPfrol50woedvt38qybDAYXPGGK2abs+985zuHakMXXnjh3/zN + 38Rx/NKXvvT888+/7LLL9ChMp92+71NKm83mVVddtbi8xDkvl8v9fr86VJdSuq6rlNLiws82P/k/ + FEX/nlAQJkmiYQxKqTzPR0ZGZmZmarXatddee+edd27cuFFPiqanp1/5yleectppY2Njg8Hguuuu + 8zwvTdMbb7zxfe97n5YEPHLkyPLy8uLi4j333JOmqQQKEowJ2fb0077jjk9OZkmSsnx4dIRLYVim + BMB2nRNPPaXTD1q97vBwvdVrCyEK5VJ1qJ5JNj45MXPk0I83PWZYJqVUCuA7llSKK1ksFi3DRAqt + P/PMdevW6a7hwsLC7OEj+/fvv+SSSzZu3Lh+/frVa45TSo2PjzPGTjrppEceeWTbtm2FQiFNU0xN + 0zTDMLRcJ+qHlmmapkmpYQJTQfD0M9str6JQ6a577l5qHiH2tIANDnEQd00PQBhF+cLOfQeu+bO9 + 7/vQZWvW+Ze84cWXv+myer2uhwaDwQBRQk2iLYahIloA+tjhq4dIRFMFENJsLi5EuVxdtWpVvT6U + spyl2Y4dO8IwNKkhpeSMU8s8Vi1rnoMmMcdJMjw8fPzxx+ueSLlcPnToUL/fd21HKQER+GcuJUcd + GP9FgSQBZCyEgFKiDNvgkiVRZLve5ORUs9k2MH74kR1//9XvRVlh3fEnQYGiMAgGDYCSOG3145nO + YPSLX/n+Bz70ZpYLp1BcbrWLtbrlFjiXEGLHMeM03bO0VK/XNTIwyzLP83zf37t373B96L3vfW8c + x1ES33LLLbt3796yZcsVf/BmgunBgwd37NjxO7/zOzt27VxcXFyzZg3GeH5+3qSG7/tKSGqZTMlH + tzxmepZX8BEAgOBGt1mvVKlJOOcKiFzkEkMMSK74gZkDS43GxPSqQqlo+/7CwoLv+4VCwXXdJEl2 + 79tdLpd7vd7u3bvPP//8arX6lre8ZcuWLa985SsffvhhruQlr7v099/8puXl5V6vd+utt1566aU3 + 3nijYVv6QeiXreAcIUQQ1i+oXqc7GAwuvPDC2dnZ+dm5JEm08KOCgFIaBMEf//EfP/6Tzc/s3Ikw + hAgdE6489sh+rurgc/GbFQgADW0AAIRheNppp91268ZyuTw7O2vb7lCtrpWWbNstlUrFYlHbXSid + disIIJIQKAAgwBDAkeHhfi8IWp324vJvvfBF0aGF2w4vlGpDVQ58w0Y2jJNkudcBCtFmy8bU9gvv + eMtbtYT96PBYMhh4rksQ0iAFbcNtmibL8/379997770TExMsy5MkOf64NUtLSxs2bFg1suqeu+6S + Ut7yjW/87Rc/PzExkbDccRzX947RhIQQPM2EYVCELcN0LKvVbAIANpy5/u1vf+vZZ2y45NJLgiAg + GM4fmaUANRaXJONvfctVr/qtC6M8TeI4P+o1kuc5hPAYJpYxdsEFFwwGg29/6/Z6ve7azg9/+ENs + mdt37Th06NDevXuDIEjTtOgXNNTI9/0kiovF4glr1z300ENRFJ1+6mkmNR588MF2u33//fdff/31 + HcYk45Zl6a4EV/KYSCxY4WL9l66Yf3c8VyD9J4W29tu4caNpmkApLSW3ZcuWr3zphi9/+cv33nd3 + N+heccUVZ5999uzs4V4/ePe7333lW69abjbK5fLCwpJt26tWTw8Gg8nJyZnDh1950UX33HNPq9P5 + +Mc//rWvfe3IkSPjExOvevWrN952W6/fd1x3MBgIpVzXXVpauue+e19xwStWrZ72Cn6v0yWEYINm + aaYQdD0vZbljkmKlvPfA/iiLtF9koVBoddqFUlF7DroFXwixYcOGN7/5zatWrTpy5Mj1N92465kd + 3/jGN972trdde+21j2567NRTT61V63ffffeqVav+nz/5Yy1K8c53vvPQ4cN33HHH/Px8rVaTXEYJ + 43kEgMylBABJoFIe79yz/bipcx586NuSTRouzNUgT4VtF7ChTBRK1Q7TfR/79CcvvPCk579o9ODB + Q8tLncGASUEt04niPOZBEAQxiiulsm1bBGOe5aVSqVKp+L6vpNTZTJqmxwS+AQCQ4H4/kFJOTk5q + rbMkSfr9/uTk5KpVq0zT5Jwfs4IFABiGgRCilimEyOMoyzJwVKZZn76aVYyO+rhjjIFSBGuZ0KMB + JQAKQEgRhkBaxCKEBEEwMzOzsLAUDsLHNt3nulMxnwNGhIy2iQjCWZwvSDVPzBO/fttNN/3dxxYW + 5u69996xsRHToEHaJQZN86wfhS70Ot0upRRBGEXR85///KmpKQjQeS88f2Rs9Mknn1yz9vgvf/FL + t99++86dO88//3zP85rtFud8cnLy/PPPv+R1l1LL/O53v1soFErlMiEkjiIM8Iknn3DPfXdfdPGr + N956qwAcGWjHrmcKhYJX9CCEqcgkVlNTk4lM5xYXDs0e+YO3vWVoaEhBpaCCCIyOjkRpcmj2cK1W + W5idm5qamjly6Mwzz7ht40bLMi+77Pc+/ZnPPP8F5/1w88PXXHMNY+zUU0/98z//c9N2+mH0pj+4 + 8oabvuoXS0tLS7VajVKDc7G4uEQM47TTTjv19NPvvPOuarUmARAKQIAOHzqS5axYLNIwMi27XKkG + Qe9n9/4ouO5XWClpg2DOOSIkY4xL2Wy3xyYmbr755ltuueXue+91PG+p0TjhxBNPO+OMC1/1qlKh + cPDgwY0bN77m1a++9957r7j88sX5+QP79kGlysWi5zhZlg2CoNNqGYYhAYAGTvNseHgojeL+ILCo + 0Q06gvEbv/Z3/V7w3fvuK7ulbtgbDAblWiWT3C54aZrGacKBTHl+099/7TOfvy5OkiTL4jSRQPWj + kFAqIYA5iMOIQHLdZz87Nzsbx/H7PvD+Rx555CN/8eGbv37LlVde+cUvf6nklK5+39WPPfZYtV5b + Xpi/4oorPvv5z2q5cN001ThG27aVUkmWZSznQAbpYHZ+7sEHH7zvez8olIee2rrA03HflVAlDrFy + ziOVmQarjop2v7V110/f/5EfHz6y1Sm6hVKx1wuyLHMchyISpUme54ZpK6UwwlABBBECK5MfvdFM + bAAhoYIIISlREoYY9weDQZbl2KBa+D7LMseyAaVJlh9zCtZaLHpVaDgWOApxieNY+/lqjRYhGMQr + No4AAEIIwOgoB+lfhLIdxGUuRO77FpAsz0Bv0A3j/v+4+k8K3li/Q/pZOrL65CPN7w8C5TnDIyOr + 2+2F1SfWIaYf/dQfn7lh9HWXfXNiqvLEk5uufOcf+vbwvv6C51cgIEmSuI5j1euc86eefAoBRAFZ + f8Z6lmcvfvGL9x88YJomIaQ6VC+USzd/4+sAgieffPKv/+fHxsojoYj7/f71119vGEYn6PX7fYwx + EjyIQopJwrKcs7f+4dunJ6d83xc5i3jCkYxllqQJBhAhyLIsGASPP/n4X3/qY0vNxunrzzQ9K8mz + 2bn5er3e6rSFENdee+309DRA8F3v/h9r1659z3ve89jjm+iXjbe97W3rz9qgsUCDQb/b6x2cmSkW + iyeceOK7r776oosuOuWUUw7NHtHYJ4wxwlBKbYMpi8VioVCgmEAIX//61z/wwAN7du2O47her09O + Tu7eu0eDJy+99NJBFB6YmcEEHfXSXKGw66XyH9EceS7+8wMqRRG2DXO4Vj/7rA133Xnn7Oys67p5 + xifGxh55+NHbbrtt27ZnOOcsyyghBCKt2ASA0gtDKMCAQADs37uvXitVi8UXbNgwt22nbHVXO/55 + k6uHc0mVAlKZY07uWLOS20kMlhcPLB059dRTuoABk27YcHbjyKFSqZRKySVwHCdNU62pw/KcMfbA + Aw9cfvnlJ5940glr17VareOPP75ere4/vPeGr1zfaDWvv/HGXj+4/wcPVIeHHMeJkhhhjCBkWe44 + DhRSKcXSrFarFYtFnrNSqbQ0v7B6anWSpI5tL8zPU4QVgiPD9bnFhXq9LplwLKfd62mqku/72qsA + IZRkmYaknnzyyW9961vDMDx0cKbf7zebzZJV+p23Xb5n/z6NuQiDvlZLX1hYqJbLOll6/5+9z3Xd + +++/P43i9evXf+973/293/u9crn8zW/dtnXr1h27djLGtm/ftnrtWinlihcDBAAApJEaQv66DYV+ + qXiuQPpPinK5nOd5EkaGYSgpl5aWZmZmStVKq9WamZkplIqY4qef2b563XFbn37q5ptv/sev38IY + m5iYCILA87w8z++///5vbNz4xt/9XULIxo0bN27caNs25/z973//8ccfP1QY+uotX921a5fGfpRK + pdnZ2UEUvuglL24sLH73+9/TPdShkWGKSbfbnVo9HQTB2NjYwYMHoyhqtJqf/PSnEp4+7wXnNptN + 1/cGUdhqtSzXsVwnz3NKablcfvzxx7du3VosFtvtdrPRyLLsD//wD9euXfvfXv6y++6777bbbkMI + Ob53//33r1+/fn5+PmdMA0I0qSCKUtcpcwld10eYEmBYlhGGwWc/94l+Fy3OZ/Xq6VKWhHSASUMu + kjiybWWSbHQ1PHj4p1/40l2f+ds/rdTMUnHYoD7GrpDYMk3TcxzPLhWKBccNe0KjMlavXl3xixDC + JE0xxrqLH0UR51wBEATBuD1JCNEpkbaFlVJ6nler1Wq1mhZm0JOBIAj0HcAYU8ssFAr61aPgSpql + Ze6YFEDBTHBt4g4AQAhxsQIO0NNnAKVSEkABBCeEJGmkoBoaHdq0+bGLL32ta42kWYHigZRlpewk + Ba7rShFlsgth8MWvPOR4g6vf/bZBuJDG/Ze+5IJ+2C16frPdyjgrlkqIEg+jgusxxgq+/zuX/W65 + WNq2e9vq1asnpiZ37dl9+PDhv/qrv3rRi170qle96sknn7z4tZeMjIyMj49f8rpLS6XS/Pz8xRdf + PDEx4bju8vKypm8BJnfu2f26N74BIdQfDA7OHSrXqh/+6F+2221CSNQfIEq0y1a731MIVuvVa665 + Znh0VLNT4jyL4zjJ0lqtlqbp+Ph4v9+/++67fd9/8Ec/rHv1r/zjjU888cS6detuvvnmOI6zLNuz + Z0+v1xsZGbnsssuSJLnnnnuGh4c1hzUMw4Ln2bZ93333YYw/+clPPvbYY2Ect9vtrVu3NpvNU9ac + +sqLXrVp06YvfOELBw8eHBkZOabo/Wzq0a+QtN3v92+44Ya1a9emaXr33XcHQfCud73rpS99aRiG + V1555dvf/nZ9unzhC1/odDrz8/P79uxZv379Bz/4wTPOOOPcc8+9/PLL3/jGN7ZaLdd15+bmdG/C + sixdfhCMO4NexvLJ8Yk2bLM0Y1IQg1588cUve9kFJb9w6MiRp3rbPvxXf3nCCSccmTniOE6337Vt + GyH01LZtvu9//otfSJKoUC5NjaxSEBDDKNpWlueGbUnJhRCO6Zx55pknn3QSY2xpfkFKWSmVN23a + NDMzownQtaE6InhhYWHVqlXXXHNNb9DTeFSllKaZMcaCIDAoBQBQ04iSuGZX//uf/Em72eSccwBf + 94Yqz2txaEFYQtSDmKRprEBquSxKTylVpJQt03wj5/mZp5155x132bbd6/WoQwAA2rY1z3OKFVRA + QIwV0M5jaZqmaQqwNDDhOUMIIWRoeclKpVIqlbmSUKpisdhtNhFC4GjbQnMvtd6j3rxxHC8tLw8P + D6dpWq/XtR+DZiURhDnPFcWMsTRNlcQCKm3OCP71BAkCigHCgLEkYyoK+0XPX7t23ac+cx3glJCS + Ek4YglJhlGXIdYDgoN8FigPTAQIEHyi9qtHcX6k6honSPDvlxDMSIAC0SpWhVqthWiYhpN/vG4bx + /Bc8XyllmWYUReMjo1EUjYyMAIyWl5fjLL388ssJpVLKJ5588rWvfa3kvDY0NBgM5hbmJyYmgnBQ + KpUopQihLE6iKFpqNG646cbBYDBcH3JtxyT0H2+9uVarRf1Bp9OuVaua1thoLa85Yd1nP/85AZTp + 2Js2P85EPj4+1mq1a7VaHMdf/OIX9WIoFotav3RsbOyhhx7avn27rkjzPB8aGnrTm95EKbUs64kn + njj//PPvuOOOwWBQGx7SD1oIoXX5lVKKiziOe71epVRWSvm+zznX9fP69etf8pKX/M11n4njWDe8 + lVJpmvoFTz1L0Rj8qjmHz8V/behtGwTBddddd8nFv717926tbtfv9j3Pm59b1CqgaZoiACUTGEAI + MFJQAgW0QzRQEigCTM92xkdG40G4b8eufT/Zcu7Iah4Mpktlp93h/VAJiQW3TGyxLO21gUjXnHHq + Nzc/wnynO+hv3vyToYLfanUyIcM0GRoaAgAEna5lWZZpAgAowkrIdrO1d+/eQqEgpQyC4NZbb33s + sccIIf1er9/vM8bCoL9169bjjl8TRpGUUjJeLBYBF0IImbHm0nKv0yUQVUvlXq+n/VGi/gADODw8 + HARBr9fzbGf/nr0Fz+ecD9fqnu1E/UG33QEIIokNw9DdK8bY7t2733bVVYPBwLFswXjJL/Tzfhon + jDH9cgvD0PO8ol/QspDzs3ObNz0ehuHFF1/s+75Sauf2Z+68804hxIEDBxbm5pMkWZxfgBitX7+h + E/SkUgoChJDmFOgWEvk3yOT9OsV/eIH0G317kALiV3QBWgwaI6ShWZs2bVpcXNSsO8MwGu3lYrHA + Of/0pz/9qU99iknxD//wD6tXr15cWE6yrF6pW0K0Op0TTzxxfHw8Y3mxWDRty3Gc933g/UqpdevW + 7dmzx3Xd4ZHhxcXFqN0qlUrTx63evHnzi1/8YpblmFLX997y1qtKpRLL8jiOC4WC/lR79u2FEH7g + Qx90HGepueSXS1uffiqKoqGhoXavK4TAGDPOszx/5Mc/DoIAAGBbVhAEGONyuSwZP3ho5ief/OTI + yEjGmQBqcXFx//79P/zRj7TWymAwcHyvOlTP87xALdMo2payLTI7O+871kknnfT3/3BTwa8xZtjG + cJaRMAIAgUIFKAB6fVCvAyUAEgApCUAqeeK4dKm5MHNgyTKK7W5PIZHncml53rZoMx70213dO6nX + 6xSsCBkBAPbv3z8zMzMYDKSUlm0nSVKp17Th+ooDLGNZlp1wwgm6iaKZCUEQLC8vB0GwYk1tGOVa + 1XEcdDTn1oWQUsowDCiFIkCiFaAIhBBAjCFQUBsrSQAAgARAAaCSklNK2432tm3b3nrlO2ZmZpJQ + DPqZlAQCCxMAAUhzgMiKhxKhwHFAlHQcWyg+WFpcWDV1XJr3D8wta9AwAqDbbiOClVJQqixN3/+h + D5b8QpIkg8HglFNOOXLkSKFc+tHDD917/31jY2PtdntqakoI8fQz2/cdPIAxzhmbnJrSOUoURUOj + I9Qw2t3mAz/4/vT0dLfdqVQq/W5Pa/JoVFsSx6VyGXW7aZo+vnnz8PBwksaOY/3gwQfa3U63264M + 1znnmEMm8pxnlOIsT6qV0m23bbzxK/8rDGO/WDJta8++ve12e9WqVZzz2dnZv/jIh4MguOC3XvH2 + t7/dtK2M5RnL4zQZHx/fv3fv69/4Bq0/1g0CSiml9PTTT3/5Ky5wHKfVahUKBUhwkiTrTjqx2+3+ + sunRL7vdy9XKNe99T5Zl2ijZsqzR0dG//9//cP/3vpumaafT0dvkyJEj777m6tWrV6dxfOjQocnJ + ydtvv/3222/X8gzT09OtVksrgmj2ml4/SZJUKpU4jZaXFxcXF0eHRhFC9957z8M/+hEAkOfs9NNP + 37NzV7vd9TxPA4oghLZtM8b0L/tRyFg2Pj7+yKOPlKsVLTtmO04YhoQgwzA0m+XgzEyWZY5tuwU/ + 52xoZNiwzCRJNGK2VqtRy5w5cthxHEqxxtB7nicUFEKUKmWllGACKbB9x45r3vsemUsolee4Coh+ + 2Pf8qlJemiIFTMPxMsbTLLNtW8EU4SxOGjkLRkfqR2Zmj5te89APf5Blqed5EgvJmWVZhJqEEAwN + IBWBmADoOI5t25ZlmaaJFTJNM09DzjlCoNvthmHslYqmaWWcOaalPeLiOEZwBZiHEDIIxhhrbKTG + onQ6nUqlsrCwkCRJkiTDw8OMMcuyKKUIAWhSx3EsywImkQgoBH8+xA5KhUQucqEYhKZp2IvLrXe/ + +33NRi9PpW0VMbSoaSVx2u51q7WKzIVNPKAEoSIIl12fYAMCqOZmF6u1EQhIEqWEkMOH5i2bEIz7 + QbderydJ0mq1arWariSbnbbp2I12y7Ks4eHhZqtFKM0Fz/Nc5AxDHPb7pUpFy4d4nrdrz+6hkRHX + ddM0tUzTsqz3/Ol7BeN5nru23et0syyjGDuOA5XiOfNsR0KZpun0casL5dKmzY9BSiq1arcfRGFS + rw9X6rWc8yhNECWMMWxQrqTlOnGWCqC8YkEAZVjm4uLi2NhYPwoBAIVyqdFuTa85bt/BA6Zp2p7b + 7/YopSahutnEFdfDeQlWmlAQwk6nQyn1fd+27ampqVKp1O12KaWVSoVz7jiO4zhZlgH4swBHGRG/ + 6D3wG52f/F8f8OgD0l9ABQxCfdczCF1aWLz99tuTJFmaXxgeHg77EUIIAFSr1cqlah/2JRcIQKAU + UAoqCBVQCCCANdKDA84YO/P0M1pzs/Vi8azXXjr36BMlavRaDZjEZc90qNnudnqtjFm05Jtgamzf + 7mc2nHfO4/v29htSq+AVAAAgAElEQVSR6dj9INQuapRS3a/RJYHOMYBYMQsxTTPs9xfm5kZGRgzD + 0CQf7R+YRjEmxDTNIAi4HmszPhgMsihGCDnUpJTyXPhuIej2piYmLcOoFEuSC89xW42mbdtxErqe + t3bt2ngQQqma7aZORYrFIhN8EIUYY0xpFEWmaerPMzQ0pBVW+91ewSj0+33btjVqUUsNa3WHRqPx + zW9+88CBA1dffbVtmEBo5Rv+wvPOe+rJJ/WQSkvjAgSXlpZszwVSKqDV+X8GhP5ld9iv2358boL0 + Kw4Jf760vFYBci270WgkSeI4jgZ6lWulRqNxwgnrBnG0ecvjk6tW7Tt44Ms3XD82Ph70+xKoSqUS + pVEapZVKZWJqIk0zy7JarZbebMViEQCwsLBQqVS0YwBCqFwuAwBmZ2dv/OpXQc6GarWFhYWTTjrJ + pMbS0nKr1SqVSp1mi5gGhNCgVpIktutkWUZMkrCMWkYQBEWgGGOGaTLODcPI81zLFYRhqJQaHRvb + t2+f47pKym4/GB4ZXlheghBWazWW50G/f9xxx/V6Pc75xMREnKXtdhshRLCZxG0owcLi4Atf/tLM + gd2mASyTIEQ4J3mKfX8sZ7jd7pq2YTg4zSNMAJCCQoQlgEIqyTGG1KRMsiAKipUyNUnQ7T3+k0e3 + bt0ic6FybhBzEEcAgCTPXIQIpQTjbifQ6jfaR1JrZPV6nfn5+f3799u2LYQYDAbT09O6M63xHouL + i3Nzc5xzRIlS0OBs2Bg1DCMNBwAAxzCpbpxTyhgTQEG8guXQHRSp6cEIArliPiuhVGAFZZdm2bqT + 1u3eu+sVF15gWZ5kiGfcNG3DsuI4gRi5rjuIAkqxAgJIlbPEMEGWDiplO8/TNBKFYqUXRZAaaZaV + y+WKQbVlBCE4y7KhoaH5+fnR0VFiGjNHDrsFX+e1tucePHxofHx83759ExMTmh3kuK4lpVbjgRCO + j49nnLXb7UKptOWJnz6zcwfGWI8cm82mbds8Z5q3Rghut9u1Wi0Oo5NPPpmLfHZ+zjTNbq/nFVeK + 8GK5HIeR7TqDwcArFJYay7ZpUcucqg81mi0RxwCASqWi5QQnJiYajcY555zzwQ9+UCsEag53s9mM + 43hiaqrZbCKEPM9DCFVqVcH41qefmp6eBgAghBzHaXbaWldNKYV+rv/Dr66pHIah/obz8/OnnHKK + 1jiZmprav39/pVKpVqta2mtsbKzRaDiOo4SUAOScl6vVb33729ueeaZSqwWDAUAoGAw0t6RQKgEA + mBAKgm7QgxD6vj8+Pi6ESLJYBeLg4YPVUplSumf/rv2HD9ZqtSOLs5qTQw1LBt25ubnx0bEsy0zT + FJJt2rJ51epprX7u+b5WztWYUkJIxpk2YhJCpEnS7/dXrVq1vLysl32xUm60W5VqdXRsLIoiahhc + cqUUxlhJ0O/3EcHgKDit1Wk3Gg0DGyzLtQ2O7Zid3tN+sZom+SAKq0P1/iBSALtOkYucscS0SJoE + zzwjh2rDd959V7VYsO1SnMVSKEQQy0WSDjCmQqVAQgUwwFhPfjgXnAsEgU6gTdP0/fLC4uLOnTuP + LMyHYURM48S168bHxz3PE4wDJSSEaZpyKfS7FwCgTaL1annmmWce3/IT27Z933/BC14QhiHFME1T + IRhBQAujAQwAQVKBlc7IvyqQGBOOa+cAh1HqWP7wkL973740yW3T5DJdXm6atmvbtkQ8zsJ+r4ME + ppTmLLZcc9DOg36/XK5KoJqdJoJGFKUGJvXhISk5wiDN2CCOlFKlagUbdLnRKJZKjDHLtg3ThBAu + NxqW62SchXFkm1ZtbLS13KzUavOLC4VSMUmSpWZjZGysWq3Ozc1VKpUsywjGS82GbdsmobOLC9Vq + 1fJcpVSv26lXa5ZrDQYDxVmhXMp41g+DianJXr/fbDbdgu86/mAQuq4XRZHjOK7rHj58eHR0NAgC + 13Uppbo0rdVq7Xa7WCxqnlie5zq10q9KSmkcx67rSilzwTUTCQAAlOKM2YZlUiMMQz06mJqaqtfr + emLmed6JJ57oum673bYcW9tDI4QU+Fl+9q+nx8/Fb3TEcaz1viGEpmG3Wi0hVLPZ9hwXABTH8czM + TFiLq9VqoVS0bRtKACVQQCkIFIQSK4CBAggBRC3z6vdc8+n/+dGnfvzjiTPOKkxNzrM8LBdzxfpK + +ghGvosq5RypLoEgC6ln33Td/5o67dTJsfHF+aWRUkkoRQ1TCZbFCTyqVGwaxtlnn/3G173+5BNP + opRe/Z5rgm7vhhtu+NvPf/6DH/zg8573vPPOOw8R8k/fuSNluYCgXC5rJgWCUCqAkPY9AkLJJM+4 + krfd8a0XvOAFjW4zkTwDHNum4AnBFjAQYFgAmbIcEkQNY/e+PSnLIcFRFEGMSqVSkiQagqRJ75/4 + xCfyPC8XS5/9zHVSygxknuc1Wk3HtBQXuWAE4TxPT1i79r3XvOfs08++8/47P/KRjzDGlpaWbrnl + lu/cfdc73/mOsYmJk089RUHw8MMPu74nhCCmAXTOo6RSakXgW0vti99kgN2vYYH0y97OX7c3n/pX + tDT9CbkUAIAgHJiObTp2GEX6T8NkYPnWcqcBEJpYNcFEvvFbt2GMDcs0LZMYuBt0CoUCpX4QBgAA + zyukaYYNSkxDIQgwEkJggzIhMARCiOpQXdP0bc8FCAjEmr2l409aDQ3JVOoUrePrx+3ZtxeYkGOB + IElAriwU8URilPMcExInCcIYYewXCnGWaiVH0zRzwRFChXJJStnstAvlUsaZZztRHLfabb9QEELE + WUoRLlUrnaAHEdSwKCmlSaj2obEMgDG2HO/I3IxdcKIokFIRJDjIgEn72SJQ2C0hAHIpGTakxIIz + CZEFMQUAWrZnmCTN4yhPnKId5QMCsMT8gR894NpuHEQWoRSQ0ZERhVGUp5VqPQuCLT99MorinAnX + K0TRgHNu2UYch45rNZpLkotuZ8VClHMuhAIYO7a3e++eZrMJMKGEYkKyLDdMW0oZRRGB0LIsybiU + ckX+GyGEUS6ZaZqap0gISfKUmkQpqSQQWsUOYQggAAhAwSVfbDaohYmJuEyJhf2Cn0QyYRGyUoVl + xBNkAq5yAKTECiCZA4Vdo88ziBH07T4X2LKzLNOWSvo+Z3FCPc8wjDCKXM/LGcOERN1uqVRK0rRY + KiVpijAOo2jN8ceHYaifnR67UUo1HkD3wwghURwatikgiOII206rPxCUxlISk2CLpnnOo9DyTWLC + 0VJtEPekgqOTU4cOHbJcrxcEAOJioSyEsm0XSIAxjaLEdjwMUZZlzVZLW6QzxqI0sSzLRKg36EsI + fvLET4/1gCGCvUGfWiYAoBv0MCUY45wzx3PDJCYQjU1ORGkihDAdO+OsVCppwIBhGARjoIvVo/ZH + Ov4Pwla/VFBKc8EHYVgoFBrtlmFblmW1uh3bcxWCYRJDguMsNQwDG5RapkVpEATdoGvb9u3/dDs1 + ac7zKIkopYZl9Hq9+nBdT5CSLLE9L+znjmPnjLM8JwRQC0fpwHRgoWKPjIwcPny4WHfDLJCYY9Pq + DQYWlEKI8miNISGIClmMEPLLpUGSIISlkEmeA4SUVJwLTCiAEEIgAAiTBACAEC6Wyr2gb1q2CQDj + QkLgFosZ5xnnAKNccACAabtJxgAArutKrpk8AGMUxrFt2xwo7NkUkcFgEIUppMYgDRDhTkkk2TKh + SEqSZdKynHYrKMESwi6AeZQllmcrDFOWKgikApJLjIlFDCklBEBCCSGASmHws+EANahOuAkh3W5X + 63przL2WZhkeHuZpKrmwTAoJRgQjAbgQaZZpr8k4SaRSeZ4rpXzX1aJ8FGEgJFfKtR0hSJRnWso/ + k4LnXEKgOyNQgWcLNkGILcOJBqnvl1gWDQbC98yg3xqfqLU7CzmDdpkqKXPJCDajuC+hgibIlYCW + kUslJTQsbxAlAACMBUIZJopDHg94tT7UaDT88rACWRgOhoaGwjAEFEsMWSagFLpANWxLz5CFlEmW + RkmMTaOfxpASAYFEMEsSx3H6/b7jud2gNzEx0ev1iGnoVKxYr2ZCASmFYGbBi3iSDWKDoOpIdWR4 + iHNODWJzMwiVZRmS8YwrhJBunGnjOI0k1wISAACEkFYN1ZbiAABCKKVGnmS+7zebzaHRkeXlZcd1 + udADdqQAEABgCRCAGGDBOFQAAei73sTY+MvO/2+vv/R1BbPAAOOS33HbHbnKEcRc8WuvvXYlLXsW + 1fBfVErPxW96WNTI09Q2zSRJTj355L/40IeOW318p9MhhHz0o3+9adOmUrkaxrFQahCFhmFYxGh3 + O7WhesJypUTCkkykMYgFQO2ot23njnPOO88zrOtMM+0Nxk5Z+71BWICGDQDKGFMw6nR7FARPPfml + d7xj19IiLRZf/OKXPvXMzqrj216p1+sJyQhBUkjDMBBALGMY4nAQ7dqzd+fOXY7jKKWefvrplLN7 + v/fd/Ydmzj333Ace+tHT27czIS3bgYRkWe45HoZYKplkiZQKY1KpVJYWFlOerzpxzYObH7nljm9O + To5vfuZJ+4A5cfKaPXt224aVZsn0qnW9TqtYKDeC1pO7nvrBQz8Ym5rIWFYsF5cay4igLEvLxWIU + RU9v3XrHt7+tlNq9e/fCwsJys5Fm6dnnnI0INhDe+thWBtgg6pfdciKSPM8/9rGP3X///UopoSTn + fHF5mTjWw48/9vSObS984Qu/cdvG7Tt3MCDDJKaUCimxUgpBDDAAQAJ17P38X71e/r3xa1cg/f8k + JARIAQClgkhJAYBUQEmFtd4ONqhCMGU5hJCahvZ3LxQ9los4jjEmWsBR93G1iArGWNMtZmdnCSFa + hM3AOFbp6tVThULhvvvuO/us5zmuE0VxPwxOOumUME7jOGWcE0ohwUAhqbhk3HXdQRxFaYIx1tJt + utt3rP8HMTIsEwCglGr3uuVKWafUEMI8ToUQhUJhxaePC60PDgCQUjKeJVrFldrYINS0KOcSsFwq + QqhSECiupEJKy2FzjKRAjNoI8JxxIbgKwz7CwLCp5Zgc5lJxJpjjuVGU9JPIdiyVcYlkmmUAKct0 + uv1gaW5hEMVQKSlXZjtJkhgmoQYmhCjBOWcIEW04bdu2Vj9bWFjQUn4AAEyolDLjzBBcSqCUQhgB + cLSpDMBRJpKEBGoHHv2UjyLrlIQQAqQgBAopqMW4pG3bUrA8z0xiIKSSJI7T3KQlAKXEGYBaAA8D + gAAECCoJEQCSA6WUUApBCSCAPM8sSgkhx3jJruvqhq6+85zzXPBCuWTatg9BJ+i5rusW/CAIest9 + x3EMSrMs0zM0vaiebasKMVZKQQj8UjGMEg4kpoRzLoVSQhKMMCWE4HK56Dluq9Pes29mw1nnTK9e + vbCwoDtqmm+gIEzTFADgui6EMMtzCQGiK/oWxwSXj5UxlFL1rABHaxtEyIpeGedKKSghR0BJpQFR + Wto+TVPLslzX1WQVdNQ5Rz+UY+ztf/8uVgDkgkspq9WqJp4RQtrttp5u5XmOENJSY3rkFQRBs9ko + l8sQoUEcIYQABCnLdYODZcIrFuYWF5RSlmVhgwopS8UKwChPY4QxACLNU9sxCwXPdEiSh+VqiRCj + 3e622m2IUaVeGUSxhAAqxYECGCF8dHTJeJqmju9hjPOMp2kKsTIMQ7sqHwND/Fxjg2edcr9Qlkjb + rfq+zxiLWRZ1O6ZpY4wd21aASRlLxZUUEEKETIwghBIoVii4hYIbhiETgrE0y2MCJZAKEWxQKoE6 + dlcxQgQhBDAQinPOGAMSIkg0B18dNTjSwnSEEIyBOHpOU0oRNTACGnmo/9qx/QKO4u60bYiulHR3 + AECZp5mCUv+PjDGJASII/Uyk4WflEYQQKsDzDAIQDULTcAq+y3lu2XavH0AiFeAIYkKJYDCOY6A4 + IYhzARCEEgMAoAIIEUoxQkATwJRSlu1yKfpRx/bslKUIS9Oxu/2Ac+47bhhFruMghLCSUimEURzH + EMJarabXnlDScmzOOUBwxa4NQs65QSkjbH5+nnM+NDSUceZadpjEcZT6BdewTM5SoKRX8F3HKhWL + Y+Pjf3fTjRCTiy++2HXdnXt2D/p9YpiOV4iiuF6va3ibJjxo+Sz9szYMoJTqAkkziBhj3W63XC5H + /YGGISVZunIb//n6UkJq8MXevXuvuuoqfdAAAFzX7fWDYrG478D+6elpIQSXstfrlSslPWAEAGgu + EwDPBvw8F7/ZIYSwLGthYeFd73rXH739v99y6y2f+9svAAB++7cv/tIXvvThaz/8ne98ByGsBZaG + h4dHR0chRhljQTgAGCJKmGILS/NZFF/xlj940xWXZ2FsGsbKMDPN68DwBKBKIQiFlBkWEVEpQdyg + AuNGqw2wsfXJbUkUK0wM28LQxBBoZ3bDMLSo1Z49e+bm5uTREEIAhCCE+w8enFtaZIwlSQIQVAge + 04nRR5I+wsKgr51U4jybPG5q9brjNPlQUZgqtv7cs89/2YuhVEIwSgiGaGl+4bQzzxyk4cmnn3LK + WWfdfe89nV5Xj48opRqI2+l0brvtNm1ZpnVBKaXEoBii5eXl1/3epWEYeo5rmubhw4cVBFmWEYPq + T27aVpZl2mK7F3TFjx+Zm5tjjLmep8XxIIS6caavBaBnj21/s/fdf3iB9B99e/5Dv/+/0wfp2f/6 + FzkKSy40zBqiFRlZgCAGEAipax6EEAIrNogsF1mWWxbS6rTHoNXHdAJ83xdCuK6LEOp2u4pzzqPK + OWdfdNFFB2YO7zt48OSTT/aL5fHJVTt376WmYRo2JDhlOU8FAAhBpbgoFOpZlnU6ndHRUd9xW92O + /hjwqGoqVApqKK9SenYBANC8Z528QgizLIMQQqk0fHxF1QAgx7YhQhjZSZJnMjewmecgTMJywQUK + SUGURFIBAAFESAIJkMQIMh6BHJcLZaNSyPNcApGwRCBOKU5ZzqVAiACBjmXSetMahrG0tDQ7O5sk + ie+6hBCogO4vOo6jUUYq54QQw7D0saq5y/1+f35httfrQIipZUKENNTY933HcQghQK3k8bo61VcN + IKLUsCzrmODVL8rClVKCc6XwsZIAAWhgQgxbQQAAVJAoBQEwgCIQIgUFRAJDBSHgAAgphZQYYgSp + 7RAhuL5e13WDINBpCmNMSuk4Tp7nigvDMHmWm4TmSZqEEfaggQmghm2Yxz7SsTJbP+WVpykEACCJ + YxtBKSWGGCoApJJKCCFMwwZQaQl73/c7vaDXbGKEoihieR6GoWVZxDQEUDxnWineMIw0ilmaEUIo + JYhSpRSlVEqph2ArjgpHkYor2+foNOnYkaMLOaWUTqC1v6euSXQWqI0pkjDUlO1jfWWtZfRzoXf/ + htALwDBWUEAa/6Ptg4UQvu/rw6/RaAwPDwshqtWq1knT1bjetrZta3ddbeenoe36eoN+lxJTKu55 + NkaS5YlFjXKhCIFcnF9Ikmx8bLLg+VGpBCEOgsC0HSVXqkCgXTWU0lmFLhGjKHJdF2ErThIh2EoZ + /KwrerbokH4B/n856PTnt20nDMNqpe66LkKE85zlAwAkhgoDAwAEJAIAAoC4kpnsI4RyPsjYwDCw + QXGKpUYhJlmaJQkxqB7PCiEUkFopEgGo1fP+efq70qTQcvx5nqdpphCMnCiOYwMhiglGK4xEPe/V + vR4AgDb5BQBwzqMo0uDbOI6llFJxz3IkEESJFegXgRIBflTKFsJ/sc0lNYFnG0Ev4pxDIKMoQQik + CatUq4JxJQ2oTAIpJBzBFBlKSqaUVEoqBRFAUGHFpQCAsRwSCKAEMLdtGCdBsVhMkpxzaVtup9Px + PK/g+512u+j5Qgit7et5nkGodlbV98E0rDzN9NOhhGh3FAQhxrhUKGoQJoJoaX6h4PkKgnptJIoG + cRiZBqYYRoMQcFYpFMLBgBomCzLDMJaXG5ZllUvVZrtzFO7IGWPNZrNWq2ky58jISBzHmvytGer6 + dyqVimbWKaU0CNbzvE6nY7vOz11XCCHtKj4+Pp5lmR6UWZYVp4m2rFi3bl2r1SpVKjYhQgguBCaE + GgbQislHE9BfZk8/F78WoY7+AM/6QncxarXamWee+djmxz7xiU84jjM0NPS5z31ubGxsenpaKVUu + l7VPwMLSIhO8Xq8tthoAqHK5kqbp9+67f8dT23iaZWFcKZYwgECqlQ4jy1GeUaWogggqCZSAgCMg + MFSUZkJiTGzXbzS7KUss6KVxCKEihDDBhRASKEIIpiTnLOm09VXo5Ef3TIWUWk1EI3vB0Z6dPs4A + ALrNp/vLy8vLN910kzZcJgR3Oh3de2WMmRbt9wLfcfMsMwj2PG/jxm8opY7Mzw2NTsRZmgvOo1Cz + hbtBYFhWlCQgSRAhuhMKEMKaF6DEqtXTR+ZmpZSHDh3SE+But1uv1zU+VihlcQaOZjuMsbm5uSAI + tEyxfotSSlc0qMDPOkb/tpbErxuC7LkJ0n9pKISQAlIChDBE8Gh6CgDgnHuepzvlRb+gySGUmK7r + Sql0Hnms6a6TRW1mahhGkiS6LYdNc2ho7KmnnkIIjY6Ozs7OAQAOHz4cx3G5WlFKKQkBQoRgCoCU + QEmOMD5y5MjQ0FC1Ws2yTNcMuumom6xa801n5Hrna9aK3jxaQU7L1sFnWTHqpIQQAv5f9t483K6y + PBt/pzWvvffa05mH5CTkxIQQwmBkECIqQ5wFRdRawFJbrFYBpSANjhWQKoOKRcWqYClSEdQPB0QI + IFhDJCRkIvMZ99nzXnuN7/T74z055qva76I/rbYX73WuXCc7Z++ss9Y7PM/93M99C86pEIAmMdU1 + kMlkdGJYhqUkTxAkACMpJUQcQI4QABBJxiXnUApKA86SOI4pT82MxaWQAKhiGoa6bhg0ShGcr6dx + KsJuVKvMKY9nVW1L0xRBksm6PT09QCJBGeMMw3mfdUW5aTabs7OzqplH13UAYRxGlLNsNttbKudy + GYQQjRMIoZLcUPAkhFDTdcM0F3KMhYB+/llDASEGh814bNtmLBGc61iTQqQplQxKmSAoBRQSpAAA + IDmQEABVYKQQAwSBhBxIgQECQkjAgziCECj4NgxDpb8MIVR5qco0lD+JgrjU81K2rep79bqKEVXK + sTA45whCTdMARDylhqYDBDllGiYAIqBplm7QFCZx2u2GWTfn2s7w6CIltKCqjq7rRmmiJgYhBAAQ + BIFkXP0VQqiU2ZSEurpP4rD+MjgiL1oA+xdeV6wqFTOpyZmmqRDCsix1E5JknhYF/m8IGf5eZX9V + IUsdY77vK0N0NdVVRU5RNz3PU3mguqvqeakmLqUWoGoXBw8eVNmRmleMphommEAMNJqmDDAhRBiG + vu/3lMu9vf2PfO9nF7ztHY8++jgEgCZpwfNanS5CWEoJuCCHq20Y43nCIQDqwlSF5P8ZOCL5a5AI + /qenl6ogKbRSFe6khFJyjQgIIUQagAgCTQKpXueCqWa8oNuCQDq2I6XEQO90OjrREEIEQgUMqXhC + MC6EkJJhRFSCpDYlQDCEEECgNkAFwaqtCRKsVFiwShOl1HVdNw21acz/UhAqyEPVjRfuicr5ISKq + k5AxpnAfjLEEQvBfVyAhPOLGQJkkIYBUipRy6li5XN9gEAQAiMgPMDaQMBIOkZREwwgTQQOIU4kk + EIhADQKMABYccs4x1Bzb6vitVqOZydqMhXEsia5zKqWUGiGu4yRJgiFSyUnGdjiQUEikaarHkqXU + Msx8vtBqtbxyWZW71YGikhblZACk5JyPDg0bhtFsNgO/o2maYSMAgBQcA0wT1qw1M3bmM9ffcNsX + v7Rvzz6lpjA7PW05GYQJzmSU/mQ2mzUMA2PMOY+iKEkSVThSqayqHammcOX6EEWR4zgAIYXr/dbe + XQih67pRFEkpKaXKdCFJkpSmnuft2bd31apVumlee+21999//7Zt26Znp9VupuC8hXr474tS++L4 + Iw4JgcLRlAl4LptXCgdSSgixEvUtl8uzs5VisZjL5VqtVrPd9Dwv53kcgigMDdNcNDLKOS+Xy1Px + pEQQ63q32xVxgjHWLY0SBoGAEqHDGKiAACAIIGp3fdO0uu0msbT+Qr9q3maMg8PGIQoa0DTNMAx1 + nC3M/DAM1f5TKBQULqM4KfCw99eCA1gcxzyllmXl8/nZ2VkAQBSFSpBGShnFgZQSplJKiXXsNzrH + HL0KQpjLZGu12vIVL9m1ew+HyPO8JE2llH63SxDRTUMwTnRNkxqXIk1TJcJNKQUAKKux/v7+MAzD + OMrnPEQwIlgISHQdAUkIkQiquj/WNASh8mFXJWL1uyB8RCoBwQK+KSD8Hy3z/aJ72h9kwN+R2iL5 + 66/5VxBCiACApIRAIoQIQgRKRCmHEDuWqxODUyGY1LGey2QMohGIABeSccAFFBIDqGOCJNAQblRr + GEDJuGKQ1ev1vXv2E6I988wWTdPHl72EYL3T6XIuDc3ESBNCpimN45imKecUKIRG09RaBWrqS0Ag + 0hDWEFaRpWJAqSBDMp7P5rKOC4VEEkAh1f+urlNFLSp44pxzJinlkkEkkZfN24Yd+mG70UzjWFAm + OQWCQUkRoFDGEsRCJkBIyUHOzZYKnuQsCjsaEdmMBSAnZL5OhRCRAFIu5DxCLDXN8H1/YmKi0WhA + BFzbSqJIch7HsWEYvb29AwMDQAjOpZRQAKgOeE0z0pTNzc21Wi3K2LwSbpLESYQxyuWyKgKQh3ta + Fsp3Ki+1bVsBPGrfWai5MSmk5EeyxQAQQeArcTxCCATYNux8Lm/bNsKCIK4TqBOoE6kToWtM14SG + IUaQQEQQ1ommYYIhggCoGoUSXF6Ie5TIhKKyqOCbMWYaBqM047r9fX1KCXohb1R5lIKcFzIrAMC8 + 8x2lylGbICS54JQCISSTkAmappJxKWW1Mjd5aCJN0yVLltTnqhDCbrdbKBRUQL8A+SdJooQBTNOU + QqRpijEmEDegMWwAACAASURBVKk5bOmGY1oG0dREUn/+hy/1Y6amQyEFZWpm8pSmUexlslnHlYxr + CLuWrdpIFhQFF27+7xdONoimIcySdKh/wLXsWmVOvUIgMjU96PhRNwg6ftZxaZxYupGxHZ5SlqQ6 + JrZhQiFpnCAJdEx6S2UaJ4Wcl0axWkcYY8dxdF0nCEdRJDgo5PI6MdKYZuyMpVubd/7qiY1PWLoB + BcQAV2ZmsQQYQCgkEFLV+iQXUIJivqAaQgyiRVGkGkX+w06l9iV4+OvIFxe2td/cwdQI/W4chHEQ + epmsZRgEIQygoWmW7hjEwdKUTOcUSo4AAAgDnSBLNwxiEKBnrJykKGgxDPRcxlN7hZqWQgguBQBA + 1RXVPyk2rFqDcRwrN2e1X6mfWaiCLjBY0jTtdruqw1vlb2qqK+2ZKIrUZ6r/SJXfVVCuojH1aSrH + UEtmAf1ZyOHVUDuA67pQwtAPkzDxm6GOHCxNk+QcM+8Yno5tDHWCsG5oceIDEWtYIMiB4Gobt3TH + tbIyhRrQbM3Rse5lc2kSC8YJxpwxUzfiMKpW5jK2IxkXlBGMDaI1G41WvQHFvFeMqem1ylyjWkvC + SDIuGWdJqmambZhIAi+T1RAO/S5PabvRjLqBenacMsC4iTVLNyQX3XZ3947d+57fW8gVXDsT+GG9 + 2iBIIxBxziXjaRQTiNQkJxBZuhEHIRQyYzsZ27F0Y7Cvf3Zq2tINlqSlfIFTBoTM5zzbtlutlpIa + +s0hIaCcUc64FALIhboxAAAhpKzMlXHf2NiYaVu1Rr1QKKjET4WhKuNdAFxeHP8LhjqUN27cuHTp + 0o9+9KPr168//vjjL7roooGBgSeffDKOY+UC5Pt+oZBvtBuTlSk3Y4+NLaJJPDM9CQQMu9HuPftK + 5d5UyG6SAk1PEUK2HXAeG7hrYN9ELRP5JvYNlGgkRTgBsjQ4kOvpCWjCsYxoPFubjmmMMeKcSSk0 + jRiGTggGQDJG4ziK46jTadfrtTiOTNMwTYMx2m63oigUggMgKU0pTQGQhqGrVxzHdhxbStHptAnB + hqEvWbbUzWWDOEpYwiRT2FuURpmcK4RwMhmA5NjSxff9y7dN24AY2RmXA5mkacJonCTE0A3LVPJR + UZq0/Y4fBgJIKnhCU9O2vELetG2i6812O+t5XMpKdY4J0fb9MI7U3qtKxAuFYrWy5GHFVNX2qfZG + FQstnLNqqQr4Ar7+1MaLFaQ/8pjn0ghJOUVcdaoCCqkQotPpeJ5nmmZtrsoYM3U9CIKgG6tYQfFJ + VPynDm8VMeTz+UajoQLT/v5+JCkUMuj4SII4DHc899zgwHCr1eo0W7quG7phQqgKxBghjHESR+Vy + uRsEKv8Jw1Ch+ypiTg+3BKg8IUkSIWS1WlX0WRVwAwAQQqo0oYow6oIBAEIIgg1Lt8IwxVgghDSM + NNuwbKPbDiTiCCoJFwEgl4BLAdIEQKBxAWPJJZNeJqsbpB36rXbDLXi6rqeUasiAEDLKDKLLlArG + EIBzc3PK10VDWF2YyutKpVK5XC4Wyo1mbYEWmEDVGaU1m81araaUOlUDD+DCcZxisdjb26tq1oQQ + YlmapgE2Xxk3D4+IxVGaqPdKKbkQCAMIoRRSQS0AAAABBNB13YxrGwSnMQ38LiE6NDXOuRAMYA6F + gBAByQFQdTIAAAJccgAgZxBJIKRkTEhZadWK5WIYhgghRXdUNDb1FNrtNoQwCAIVaiscK47joNtF + GLuuq8SjGGPdbleVB8Hhir883HUWhiFNmaZpQnCDEN3CaZoiIQEAImGaRojlpklUqzU8zxsYHD5w + 4FBPqbRp89OLFi+WAKi2LiXIO+8FiXAcx0kcSyk1bb4vQkXtasIs1OWOrPyoeFRdm7oqVWVVyZ6K + XOM4Vj0YCnJT60u9fSGK/X3VjhauShVa5+bmNE3L5XKe5ynlOtV8pSppaZoqNXnGiArc1cUrDpIK + ENWvjxDKZrPqhqgmLqwRBKSpG5ahUco77RZjaRImnud99h9vEkJu37YDIuI6zvKjllcqcwghKiSH + EgGgWmewajMzTCXgrhm6BECdc//JUDnSvOvf/+ueHfb66ORyuW63iwA0LZMxJpUFq1Q4ohRAQECl + lAgDKYAibRKk+y1fcNnT0xPEbbXEuBCUUk3XAIJJkuhE+cEDKecJllgjlmVpEGAABZMQwoVOpHlw + VKA4jpUANEGY0UTtXapQrFgu6tlJKVVxI4oidNgESe0MrutCDDBLFW85phRIhDQCVHkT/MdeZDUJ + vUxOK+RZimka9pQLjFFLx3EcxDQBSMMAc55imWpQEmgTrBGksVSkcZpygbFBENA0LYpT23FNR9t/ + aF9vf8nAzDGzQTeVQhJCuu1OuVh01MoixPd9CKHneVkllhOGAACMULfjLx1b4vu+H/hqQ1PteWp/ + Fox3O/6S0UVBEGAJjGwOCxCGYZpEtm0jDUkmZSqQDaUQTzz2c8dxQr+bRjGnrK+vhwnOE5aw1HEc + pSCvYBp1DaohcGZmZnR0dG5uLp/Pt9vtfD6/Z/fzK1asCIJg586d4+PjXiY7Mz1tmOaR00keUZbr + druO4ygwXgnAWpaV+h2McblcVnIsrVZLwUDzCnjgCAxbiIU+2BfH//RBDF312f7sZz/zcoXTTjvt + yiuvxBgbhnXVVVf9n+9/f+WqVVICJdOSMJrQlEE+MzeT94orli/vdDqCg6Djl8vllLNuEqlz33Id + JkTMKURIAMCA4oAAAiAXAAvAKU/itm6bhmUSy6BxJKFI0kgIAQVcaHv7NaxzmK6/EJgplFBVMtVf + 1Ua00Lmg4EJ1jgshVJ9es9N2XddxrEwm0+12OeeGqcmYK0xHcIp1bd++fYCD6dmZcrm30Wq6Wc+0 + 7ajZNAwjk8m0Wm3VIKBsr9Upr96OIKnX67ZtF8slZWyom0bEuWboXM5jiwAAJgWQEkAIpMQY0zSd + 7+8AQO2TmqYdmdscSbH700t5Xtj4gydILzQpfKH1uD+1pBPK/zgpflf30TyznwvdtiCElDMhhFpM + KlMXDWGUewxNLxUKCKGwG9TrdS9fWggcVbKuVqamaZxzVfpUp4XjOJdfdlnkdwb7+9rtdqlU0nVz + cnIy62QhhBATxhgT8+UCiJHKuCBBExMTN954o/L+A1L2lMuTk5OO6zLGCEK2bSo2HWcMA4gJRpZl + GIZlWUmSqCjQNM1isajiwjiOozQhhECMMERSYEZRGtHxo5aNjy8hGEiQ6AamMRUAQ2ACiCUEADIO + UgCITnqlIDIN0yTQEDctxETcDJpzjermrVsM24kShhFCh40uMMCqXFOv16M4yGazLKLqtMYYO65V + 7ilKIZI4jqPUsjCTDGMsAeIC1BuN2Uql4/sppfOkYU4xhm7Gyedzjm0LzmOWSikJkFJKkVKVd6mo + S6WFUZqoXXI+jMMQACkB+rXUlQQAyjROABTddiSYdMyMqZuCAs4lwhgAAAEBcn6jUf4tECAJpBAC + SCiFRAgRTYeIZHMDTPJyqaQsdxZQ7XkaJAArVqx47rnnbNsGXCAJuu2OEKK/pzdMYt/3FSNZCDHQ + 388YS9JUNS+Bw/Q2SumpJ53MOdc0nTPpOI4QgFIKpRBCQCB0JUOXRFJKw7Z6yn0qVxwaGnriqSdN + yzIdmzGW0FQAmXUzEMI4CE3D6CkUmRRz1doCAUZp36uc4UgSlBrqV1MFukwmo+ItdbUKtlcZheu6 + SZK0Wi2VJjEpjoT5F8Km31cdSRUlli1b9vTTTxeLRcMw0jRVJ5yaBtVqNZfLVSqV/v5+xX7M5/MQ + wrm5uXa7rUxdVPmCUjo2Nvbcc88NDAyoBU4pxRgbmp7EoePYLI1rc5VyMd/b2zM3O5dEyc7tO5OE + Zt0M1vRGtTk7Uy2VSgBCyQCGRMcG4BASYDtOoVCYnp3NZDK+7+u6FiWxWhQqZ1e7rtqpFkgF4rdu + XxCAw0egepfagRWLMuwGq1YevXfv/jSKkUQIQMYpQgQjCNV6gqkEKZAcAk0IKAVCEknGgeAZx804 + 9szsAaShrJcjEPq+LynQzfn6M5SAAIwIxroGAIiiqNFo2LqmIawuglKZqgPb0BHCAKN5KXMAyOEO + zzRNVVOWQpfUnccYKydENQ8VExIAkCRJEPsSihQIRcDDSmuC83mZh8NR/MKMIghmbCsMgzBI4pAN + 9g5/4+tfCEJ/aLCPC4mEjbAtKE5ZImEICeRcQgihkAAAgjQN61BISlkURYtGRgQAm57Z/MErPsAk + 67Q6GArAAUZQQ7hULF544YWPP7qxPlc1DANJgDE+++yzHcd56KGHpBBKpmVkaOgjV131r//6rzt2 + 7dI0rd1ux2EkGA+6Xdu20zjuKZXu+7fvTE1N9ZZ7pJTNZrtQKOiYRFEAlSgH4wAKpUfqeV7b9/P5 + fDcMnnzyyQ9++ArDzigsQE2AV73qVd/97ndVzU3RwtesWaNUxRlj+/btGxkaHlu06CXj47Ozs4Kx + OI4LhYI/OfFbZhoA6ll4nqfr+sTERD6fJ4SkjPpBV63oTqcTxzHRdVXA9zyvUqsqbzS1qyiUR6ns + /P9e6y+O/9Yhwa+rChACAQECYKHSOzw8vG3btvvuu0/Z+DAmLMs6anw8DMNara5U4CmlpmMalu77 + 7Ua1tnzZS6AEnXY7DIKenp7JyoxpWZppxHEsEQzjMGM7gAkhBIVQIgAhghLoAEAIdNupNhsAUdPN + RHGUUpr3CpwyKBFCRO3VnHMh5i8YaQQSTAjBQovjWEny6LqezWbVVq8YdyoRUgCNYuh1u10dk1wu + hzEeHBzsRl1FMQ2CQMGdmLicc8c0dJ1YViFJ06VLloyftOr4l54wPT1TLJeb7U6cJpquxWkifGnZ + NmMsSRKWJGpFKMyaCxFGkWlb1UadSaGZRhhHCaNOxtVMoxN0kRQqQVL9ERAhAICmaRAA9boEQNk0 + Y4zhEbS6Iyl28AWmSC8onv9vIO+9WEH6z8aRONYfaOi6nnMzpmnGNE3TNKFpkiSUc8poGIa1Ws1x + nEUjI4VCYf/efWnK1qw+dqYy22g0FAitWooVWK7sX1UzX19fX71e7+/p/dX+A5t+/nNN0/r7BqvV + qqCiXq/39w+0Wi2kEUKIBEBlWhBCgFHC00vf9zflclkRRRRXQfHLqZSWZfX29iqRtyRJctlssVhc + t26drus7d+6cnZ1VFOHBwcGlRx0FIWw2m/v376/UqgrDgBByDpjgAwMDf/VXf/Xql5+2Y+/mTZt+ + 0Q06GBhSGkA4AhgSIAmBgAxIXfAMkrqOmW0KyfxGa1q3wfEvPXHJ+Nhb3vn2OEpU11McJBgS1Vkh + JZBSRmGIMXYsuxnM290q+plpmo1Gg1LaDQJKqdJWUvlMo9FQ/6SOWHXGL8TlCpRVRBskOCEEMK7w + GMU85lJGUUTFvBO8SjwQIhJIISH4tWsKAKoLQjArkzl57cnveNu7lo+vTCLGmMAakgICqUmJJAAA + SijFYRqvkCIBMoGQa4SYRkbTdUi0V73m1b7v67qOMF7oNFBocSaTWbt27c6dOzVNU1mHaZpjY2Nn + n3329u3bH3zwQRUlu6572mmnHThw4Pk9e1TPgArx4zjWiHbJJZcIIdI48TshRigKE8dxMJSMMSgB + wkAIARDQMEEE6rrZDcNKpXLhOy464eUnduOIttpU8GKxqGo+SZKIlB61dOlLlo0HQfDLpzenjHLO + +/r6jj76aNd19+7du3v3boWIL5CzFzIcJTuxePHipUuXTk5Obt26VdW+LMsqlUorV660bXvr1q17 + 9uyBEBqGIWny64378Pg9suwMw/A87/zzz280GhBC1YO0bt269evXY4wnJiY+//nPc857e3s3bNjA + OWeMfve73921a5eu6/KwGIYC5F72spf99V//9Xve8x5d11utlqZpBELTNiBC9ZqfsW0Nk55iz4UX + vevcN71xYmIq47iEEEFFkiQIkTCICoVCFCVquipgL4gjxtJMLut53oUXXxREEdY1JYaRzeW6vk/Q + 7zwCVKb0W+PK39wXFWfv2GOPvefOuyHA07NTjUZLcgEhlBJLrnMpJeQAMokZhBBIAiQmkAjBCBFp + HGWyDgDiS7d/6d83/aLWbGRyWdM0E5qqxUsQFowDqaIOQimdmZnZsWNHt9W0DVPDqg6pYUKq1aqT + y0KIAEZxEAZBINIUQwQkp5Rqhg4gVKw85WQtpXQcZ3Z2VpnzUEprtdrc3FyxWARQJEEkAMeW8ZJj + V6kNBAqWcvZ/T6rDN0NCwaGmG10/9LJFktM6bb86N/vBy94fhp2hoUU9xUUstaqVqNUMUpZCgATQ + KaUIpJ5nDw6Ui3mH0bjjN5r12nU33HDCmjUZ1/VbgWZoSJqFfN9s5RDGWCNk8eLF73nXJTu2PadU + /g1NJ4Qcf/zxrVZrYmICQuiUy/W5qkjYqSefctc376zMzOTzeYVt67puWZap661GkwDEKXvn+Rfo + ur58fDzj5mq12sGDBwPftyyDIJymqZAcIbR06dLR0dF9B/buev75448/ft0Zr8jYWWQaEM/3ub30 + pS/9+Mc/vmXLliAIFEm7XC5/4xvf2LhxI8b4+uuvzzhub7n87bu//f0Hv48xfsUrXvGmN71pZrZS + yheiNFmYUUfGPVJKZe43MDDwqU99asWKFQAA0zSllM12q1QqxWmKEHLdzNTUlCpeLQCI8HC34YvZ + 0f+aoUQaOp3Oueee69iZbdu2KaKKpmmdTqdQKLRarWOOOebAgQOVSoUQYphmp9PSiUYwTKMYSzAy + OJTNZicmJ71SIYpjxaSNkxAKKVJGKEBCSig5AhBADCHiAEvAmSx7pVYSRkkCMCa6KSCilAMhpKQA + ABWHqHhJSqkaopTiosreFWTZbDYX+CxQzhN/FElHbS+O4xCIGGPNZrNYLErOoygKfD/reVnXhRAS + iIIkjSRgLKVpSjCemJhYvnz5xMTEgYOHuMS2bStjsSRJms2mUTKVFovyj1IgkZRSsTkgAAp3YIy1 + Oh3HcZBGarWaZVkAgAXscgHzjaJI6RsRQoimKQAiSRJIjqjQHhZsQAgB8QcMoAUE+A8cn/8xE6T5 + Dg3GEEKqUzZN06DjL8itqlqqECKKIsuyVPq7gNXNH05/kgI1R4Jharuf5x543imnnHLiiSfecMMN + aZpqCKdx+g+f/LRm6FGarFq16s8vvHD79u1ONqPrwjCMZq3OEtZzXO+f//mfX33l373nPe95/eve + MDExMTY29sQTT1x11VVKdSRJkk984hOf/OQna7VaX19fmqatRlMnWtbNPPLQT88/9y3j4+O7d+8x + kf7Lp/794MGDE3sOCSHcXLZSqSxeMnb0ipWLxha/+90X3XXP3d+4+y4oJE9pGscEIpokkZDqZG02 + Gv19fV+67bZ//ud/fuCBB6QQcRx/5rrrM5nM008/vXhkFACwYcOGXN675+57oiT6yU9+csYrX3nf + fffd+LnP5nK5KIogQBhjDZNafa5UzKUyiMPw1ltuMnTna1++6957f1os9JSLS66//lZKYSfo5ryC + 30qAYB94/7u7se9lS+ecddJPfva9D11x1c8e/6ljuglnfhC2/EZfT1+z2QYCGa6DNFPTtHarlXXc + OI6FYBAIKQWCoF6t1eaqmqFjjAUHUkp1ukMohRBBECjOhoKWF3p4pJRpFFdnK0IIgFGaprauMcZY + nJim2el0KKV+11e1I6X8qyIG13QpCwRUtDry69oiBEIIQ9PCbuA62R07dl55xUeatXah1J/JFCF0 + /BaOIxh0I4QQ0UQUtTNZ3baBpkVYi2em9zuWdfxxL/3CLbclgC9ZsuSZZ56Rh+W51GYHABBCrF27 + 9vL3Xf74oxv37Nkz2D8w05152Wlrr7vuuh/84AdnnXXWQw89pFoIvnDLrU42Mzs76+Xz733ve5W+ + udq1CUGzs9M/+dFD1Wr16BXHFHLegX0Ht2zZIiijLGk2m4ahIYQyXpan9LIPXREElS9+6ba27590 + yslJkihiwHwjk2mylHqeN75k6Ve/+NVv3XOnYRiXf+jD55133tT0zPve+zelUmn//v3nvunNpmle + dtlluqFHUeSVy/v37/c8z3Xde+6559prr92zZ89dX77z9m/c/vJTTr3isss/+9nPbtq0qbfc8/1v + f//2b94+ODi4/uxzbr311kOHDgVRuKDsrPIQcFiw4YXmSKpOq3wtVNcE57zZbJYLpb/8i0te95rX + 3v6lf2o0GqVCkVJ6xWWXX3311aVS6cILL7zk3X/x1a9+dWCk/9STT3n/+99vO9bfvPe9X/nKVzZv + 3iylTOJYCKECvg9dccWGDRt0TWOUKo02KaXyRPIy2SRJgm6n6OVdO3PLTbdu2bLVy2SXLl06PTnz + 2GOPlUplCGEUJrpu6rqunHbXrFl94NDB3bt31lvNb939L41GgwMh4LyKepJGGGPBBRSyWCovWbJk + 86ZNhmF0Wu04jk8++eRKpXLcccften731NRUo9E4+uijwzA84YTjU85+9rOfpWmqLKQl4wghFRCM + jIwoCPXvr7lm6zNbe8p9g4MjgS8ymeHnn59qtQNdJ1EaASQxNhaPLvLbtXKvPTziPfzwA1HY+tCH + Lvfy2QUlaIixruvKVSNNUyhBkiRQN9TaTNN0+/btS0ZHFH00SZIkibO5nKrtWJYZ01Qd8JZlQQk4 + SwkhiGDGuW3bCkFQJFtVe1e0Rl3X8/m8aj1yXIsnFCAcpaki3PtpRDkl+mEd/8OOTOosAwAnTJiW + x6lAwI0CmoTQtQosAZ+/+UuLF40/+vCz9//bk52qM3VA6wZM12zNsAO/mfVIT6735ONe/tjj3/vM + ZzZYDrj6qitch3CQxmEHYSmEyGazc7NV07DCyD/v3HM//MEPT9emKaUEYceyp6enHcd50/o3vv7c + 17/yla986qmnlJDMRRdd9KMf/WjTpk2lUqnT6SgWaLfbhVK2oqhYLEouWJIG3e5jmzdvfWbLv/7r + t23T7OvpEYViFEUIQLNoBqHf398/ODTEGLv5pltjmt5www3NZtvzvKnKrJvN1mq117/+9Z+57jMc + cEUIz2QyNEk/8bGPX/aBD27btq3T6fT29irdub379my45u9N09zx3PZrrrnm/R/4gOLHHnmUL/R1 + SQhM20IIQYw+97nP5fN5pV0kIVAxX0Kppml+0J2ZmUEaoTRdAFMOP5QXx/+q0el0BgYGxsbGgm60 + du3aXbt2zc7O9vUN6LrebrePpHMbhkFZQnRiIA1CfGD//qGBwbAbDPUP+lGkxK4AgjyJmWCLR0Yr + E1OeledAsDQ1NQ1CbBG9WauWy+UoTpGBDc0MeGwYZuC3gzjMZ7NpmhqGVqvVpOQYW3GsGm6JYWid + TqQasGu1mhJZ9TzP5zSOQ9M0XdfxgyBJIuXKnaYgTeNsNttqtRzLEkLkC7k4CU3DDoLANi1D01p+ + F0KoZzKlQrHb7WJILN2oVeY6zdbw8HCapoEfOplso9nq7e1FALabLdd2GvW653mKXS84NwxDcG46 + DqWUMuY4DudcFYcVG18IoZBxwzCCIFDQtoKeWZJmXEcIUa1WMcaDQ0PKB0XXdSXzPb/0jmCz/ylG + 5y9k/JETpAXRs0qlAiFU9GLFH1VH4EIt8jd3uvlw5w96hfL39vkLYfeFF154+eWXq15hgIlj2Yam + f+hDH65U5172spfd9fU7Tzr1pCSMpJQzMzP5bM40zbe+9a133323bdvTE5Pf+c53vvnNby5atOiK + K6447bTTNm7cWCwWTzzxRAWlH3XUUQcPHpyXJwJA03RDM88648w77rhjamqaMVafrWGBlo0dNT09 + HfrRcN/QsSuOtizr7m/e9epXrHvz697wjW/dCQBQ+aqC3wzDiKKIS7548eKvf/3rPdmeQqFACBFC + RN1gz+7nn3nmmaeeeurpX20eHBwcGhqq1+uXXXHZL37xCyHEZz/3uUd/+ujX7/xmp9Op1+t95V7D + 0AVlCAHGEh2iZrOpIe2H3//pxMH29/5t4/qzx//h2lvbLcS4BqgdlQt+cyZfyl714c8MD7p/+4F3 + 5DO9Z77yrG/c9dVuO/J9X2KiE0NDRhxFUALbMDnnjumahtGBUDCuOaZlmpRSx7LVRJqn1QoOgFRY + u67ruk5US4ZSPwMALFDqe3p6pJRRFGGENEJSShee6YL+jHoXFxyg+WmpalMMCMfVDv+4ABAdnlcC + Q9nt+F42iyAM/O7o0Oj93/lCtdL94OUfq9eQ38hWphPBLUopRGm+OJQGwQnnnMD41BvffPrqY8Ye + 3fjwQz96OOYpwkQRnSmlRNMAAOp7hNC555572Qc/KICQUha8fLVatW378ssvv+222+67775Op9PX + 1zc5PXX++ecPDw+fctrLy+Xy337gA6eddtr999/veV6n00mSxMDIdRzG2I03fKZWqV/3D5/WsV7I + 5miSCuEsG1sGkEySpNNtAwvcftuXPvWpT93+pX+64sMf6nQ6SsAH61qpVNp/8IDjOK1GU0N47dq1 + f/+xj9z3b98ZGhrKZnMrVqwwTdP3/b179z744IMAgDvvvHPlypXbt28fHBzct2/f+Ph4HMcf/ehH + H3zwwa1btxJCKu3KLbfc4jjOu9/97omJCcUce+1bXnvgwAHG2EUXXXTuuefeeOONrUazt7+XSaE4 + 4gpr/K+pWinSjjo/CCHKmmzl8pesP3v92rVrOecTExOe5ynKxLve9a4kSZ544omhoaF169bddddd + vu/v2rVry5Ytmk5e9rKXqfMSAJDP51utVhAEl19++fPPP+/7/vT09ODgoKpsmIZhmhYHksYxkLLo + 5eMoioKwt9R7ycUvPWPdKz/84Q+nUXLOmWfX681qZW6g3J8klFJ67NGryoXitmeffdvb39570cVv + fdtbRodHstlsJ/ChlAICIQSEgBCcUJpG8R133HHvvff+/PHHFSY1MDDwhje84XWve90jjzyi6/r2 + bc8NstexUgAAIABJREFUDw+/613vOv300zdufPSUU05Zc8zqT376H3K5nBKEnZmZIYSEQdDtdACQ + JjAKOe+jG659xbpX79s7cdsX7966uToxATpNHZsODwHQNSBBdbJRKhumYZzxZ+f87d9ccsEFr4OI + A8GlEFIIKrlkTAAJMYIQmoYBJdCxriMMDoub9fX1zfdGzp8Uv1aFwRhjgTmnyhYpDiOaJgghwJmQ + UhE41QGkHpn6BHXQLNTf1OmDjihEYIw1BLCmqQeEgCKj/ppohyBptTpYMykDQOJcttBqdkzDKRd6 + CXAy9tBLjjrtVz9/csnQST3loxjXZipz/ccW0mSm3d6jwYGB8vhQf2+tOYOJjMJ2knQgSglmkCAg + AWUphPMZzhlnnfGVf7pd1U7r9Xoul3vNa15zz333UErPP/980zR37NjR6XR6yz1btzwLAHjikcff + ceE7d+zaNTs7WygUcpkMACAKwumDExnXPWpsSdDxDx04+GfveGcURR/5yEc4Zf39/d12J+j4nPOd + 23a+/NTTF40tZoxXZ+cQQiMjI1NTU6W+3ma7PTAw0Nvbe9KpJ/3y8V9GUaQUHT3PW7Vq1Zve9Kbz + zjsvjuOrr77a0DSC8MGDB5UQ80knnfTTn/5UJ6TRarm57JGtC6r3cIFoCgDodrucskajofQq4zQx + TTNlTEppObaUshN0GWO2bS2ECi9mR//7BsY4n88/++yzBw8efNMbz1XNt/l83veDbDY7MzOjLOmS + JPE8L6U0CAIhpWYg13S6rXDy4GT/4NCaNWtWrTl2rlE3TF1K3uN5kd9pVqpw6bhrZDRNi1Nm2w5L + 0jSmg3393W7YpYlP49Li4ena3O49u7odb/bQZBolSRJTmihzP855LpdDCHU6HTXJFdV/ZGREaXs2 + m03J+cjISKPR2Ldv39JlyxzHmZmZ4ZwrCW/TNPP5vIax7/utVmtwcDBodcv5Qq1Wa4RVy3IQAibR + FFvBMU0okakbluVwziUXrm1DhEZGRg7s3WfYlmNahWKx02wnSWLpBjF02zAPTU2amo4x7jRbGS/X + 6XQU609RaVRnhHIRaLVahBDLsjzPm56YRBIorw5N08rlciaT2bFzZ39/vwo1FyjxAAABFpbwC6bY + vaDxv4Fi97t+ByEEkICyBGOcczM5N0MPCwAofSGFKqnSpAKh1R1f+ASFD/1B79F/rcHpt3Kps5mM + aZqHDh3q7++fmZkZHBwM/a5hGNOHJn3fVxFkPp+/9757IYQqa1+yaHGtWr36qqv2Pb/nxw/+0LHs + devWOa7barUqlUqr1ZqamlIn9+f/8fPrzlpXKBTe8pa3fOELX8AYZ7NZ3/fTOAm74Y/+zw+vvWZD + FEVnnXVO1s25dqZRa3Iqco4rKPvkxz6pEfzUU09l3UzQ7WoYx2GURDEA8xxcAIBq8yh6+a/f8bVl + y5bpmDSqNct1cn2Zvr6+d779Hee9+VwB5DXXXLNj187R0dFtW541TbPb7b7zne8MkqDdbhcKBQVh + hnFgm0YShJqOGaCWZY2OLMs65YFS+T0Xf7Q6kym61T5viFJnZGx8+45fLT8RhsEBv+m/+pXnnHD8 + KWkCOINr155UKBQyTrYbJ4QQ23YEZY5uYoFK2SKLaByllunoBKdpihBwHEc1IRjEwLrGmGCMqUhZ + N40oTbrdbpRSgAnSdDeXVfVlxd1yHAcCYehEJxoTPEtyHEhLI4wxJKQSi2u226oRU8J5KQjHcfL5 + PCAoSX0IgQQIzH+p6QF1ggjCCKFcNp/L5QFAtbn6gf2NpYtPfPTAwZx9bGRAQ8sjhBLatPSIy+kT + Vr/un7+5AZ9re7nS2hNftvkXWyxstKgPhVTlkQWRDE3TBOennnLKG177uod++FBtrpokyeLRRfV6 + ffHAov7evi9+/gtTM9NXXnklS6llWVu2bOnp6Tlw4AAAIJvNqmQ+YbRUKnVbTUppHEZ7n3++lCv9 + 4/U37Nm994YbbqAxJQQ9c3Bzb285pmmUhA8//LBm6E888fjJx6yKoogg7HlepV5LOfODrjJIUSoX + W7duvfaav2/WGwih9a9Yf+VVVxUKBdVCgBBavXr16Ojopk2blJiY67qTk5MrVqwoFApXX321artX + tIQ0TR944IEvf/nL55xzjm3bBw4cWLFixd69e5vNprIYUhpZih5AMIYEqzqbOKyF9QK2Asq4lIIy + 27aVSHe1Wp0UcmJi4vWvf/3GjRuXLVtWr9eVqImCMMfGxiYnJx955BEAgOu6tm2vWbOGcbp+/fqv + fOUrrut2u91KpVIoFE444YQzzjjj3HPPBQCUSiXTNBlj1WrVMAxFY5BCcM6Lg0OcCs5ku+1n3dzU + ocmP/v21LOWXXHIJAIil3G93ESIa0ScPTVx15d8xwbc+9+xxxx133HEnVCqVdrNFOQUEIw1BCCBE + AkjHtL7+lTsGevpMXTc0HUFUm6u+dv1r3vi6Nxyz+hhVChjo6281muvPPufEE08sFguPPfbYhRde + ODAw0PF9AECn0ymVSq1mUzHsKaVSdmmSjg4Ns4ROTc4dt3rdoZ078uNHQTgYhRhg0GjX+3q9qdnn + Cm7YrO5etnTNyID+6jNfCSRzLTufz2u6DjSMCGGCcyk4kIALKIEONciF67qZTGZwcPDol6zsL5cw + gBgqo2cAEWo223GapimVCGoY9/f395fLSRRjBCzLAgjSw66vC4iJov7v2bMnk8koCorjOENDQ4ap + ScoBkn4SqZjARJIBATBSTj5onoEo5jutocAa7naDfLbkt7qcgIHewVwuN9g3uGRseaPKFo0cc/QS + 88ffDWgwPBfnklR3M8OHnp8kxF2+8tSgY37iY+/r+iDr5rIZWzdgxiDlsmW5wrR1N5fzu6GmGZxJ + xYFRHXdeIR8EwejwyMUXX/zVr91hOfbIyMjq1auffvrpk08++ayzznr44YfHx8enK9OO44yMjHS7 + XdO2BOOdVstxnBNOOAFjXCgUTN0gGK9edYxhGDRJkQRJmLCUm6blZFzDaJ955plLlizdc+D5AwcO + BEEYBEGz2fRKRYxxu91+7LHHMMZz7bmenp6pqal8Pp/NZotusVqt/vKXv3zLW95yyy23XP/pT4+O + jq5/xTlnveLMhCcmNu+66y5ljrRgNPkfxoK5rWEYAME4TRTrRMWjatellEKCVWKsPIN/a6jwgtb7 + i+OPPuQRaplIAvUAgyDg+ZxSWhobGLvkokvCd4Q5PScASEDyjne8Y8eOHbmcp6TV6vW6ZToSCsmA + Tgwv47GUHXPMMX/9V+/VkP6jx39SmZ72mw2UJEXNHB5ZlLWdVEgIIU9ZPueFfjg7XVm5aGTLjh35 + nl6nXGiz9Ohjj06j+FeVzUhADRCg6wLwrOv6vh8GgepuxAgtHRtbtWoVIeTJJ58EQtTm5s4///xT + Tz1VWSpv2LBhYGDg0OSkKgaUy+V2uz3vSo9xKwjiOB4cHPzUJz7Zky93O13DMOI4IkRLkhhDaJq6 + Ah/9MNA07DgZzSAspWEc1dudgcHh9156abPVomnabjRZypjgK44eL/f2DA8OJTSdnZ75+VNPZt1M + EsWFnDc3N+fmskoBRZ25SZIMDQ0de+yxq49e5bru9773vSCThRCe+qpTdEPDGOdyuSRJ3Exm3759 + iq/b7vpgoWixQJT5nb7iv3P8qWmC/9EqSKrHQ0HvUkoF16VpqszjFpz7FNB7JCXmTxwfOtI55MjR + bDYRQhdeeOGvfvUr27bf/OY3Tx2a+NpX7/CyubFFiz74tx8gurZ6xeqrPnq1EsVmnLdarVe/+tXj + 4+N/cdHFcRzTJNWJtu6005YuXTo+Pp5zcn/5l3+p+m7v/PadURSNjo729fUBAMIwzOVySozfdV3P + 8wAAvh9QylutVrFYnpmZKZfLCKGDBw+qSHFmZoZA1An9Y489dmxsrL+/HyGUyWTiOFbmA6qVfN++ + faeffrqUcvXq1TFNvUz2pWtOfPDHD27evHnlypVf+9rXLrvssqmZ6bGxsZbfWbly5QUXXPC9731v + +fLlipuraVo+60kp424RISCBzOcLa445/t+f2rp0dNVrzj7mphv3A1b2vPFGE+56Lsy4R7FkVsOe + 6xTfct4bF40CNwtIJ08Q5Jz3FEv9himEkBJEQWgQgyWpoCxNU8G4a9kQiDjs5nPeyMhIqVBUCRJC + KGEcAICVDw9n+w8drNVqKnxf6LbknA8ODo4ODWcymSQOOeeOZXMp4oQKCAyMGGMEQDWHD01ONprz + 5WUEoXJNLZVK2NAwKQkoJYRAIrXcoBQACkGZRpDfDGzTkkyec9Y5o0OjfT2LT3v58a9c9xXaLvcU + FjtGD+c85Q0OKgDbO7dXf/TjOw4eqgJApqdmSqVSmIaY4Hw+PzAwQCk1DIMypjIljNDNN9+saVrC + kzPPPPPHP/yRYOwvLr645TcGBgbuuuuuq6+++iu3f/mT//Cp0eGRSqUSB+Fxxx3XarWWLl26aNEi + Smm+VNQx6SnkTdPsKZUIwkNDQ0PlgW2/2mprBuXINM3S0nyr09GQ1juy2MvmuWQQgEa15lg2AKBe + r5um6Rr67Fwlk8kofR4IYb1e7/V6z3vzuYjgQ9VD5XJ5dnaWUrpu3brzzjtvpHfk6W1PK1vJVqtV + LBZLpdJNN9103XXXxXEcx3E+n1cWtLZtR1GUz+eV+42CtN/85jdfeumlb3vb28IwLJVKnW5HRUtH + eiuhF+6DpMq/rutCCKvVaiaTKZfLaZpu2rRJKXHv3r3bsqxcLlev1w3DKJVKy5cv/8hHPnLRRRcp + CvjQ0NAFF1zQP9AXx/GaNWs2btyIEDIMY25u7lvf+tZNN93EGLMsKwiCYrG4Zs0a0zQty6rWahBC + mqZbNv+qNleFEvSWy91WJ40SKNFA36CpGTRhEMJMJsNT2u0GmqYZiAwPDA4NjezatQtD2FvuyTru + 6OioYRkMSA44IAgAkCQJZnDnzp27duwYHBxcunSplHLVyqNf+tKXPrv12RtuuOH444/fsGHD1NTU + smXLAr979dVX27ZVKBR0ohVyHoRw5cqVk5OThUIBLV4c+t3hwaGsluGS9ZbLGiGtZnPdy9eRdXD7 + 5tzGn84CKR23P04Tzo3pichyF9tm6/v/dlGjA/YdOrRoeKjUk2ccZDIZLkTMKeWcQ6na/CTgkgtN + 04ScN7d1XXdoaEiDQFDGKdc0zbYtAKFt25CmURRjjHVNd103m80GEGEEbNtutlvwMKMbHO42TtM0 + k8k8++yzCpzqdrsqAy+VikgACYURBYq+rxk6wVDt8FJKeARdc2H9I4JM25ASQlsjugYhnpmptFsB + BFmCTL8NJHc1UoKySBNep6x/cNnExC8OHaxc8PY19RowHJbLW3EcGyaOZLfjN3M5lwpWq89Ytgsh + TNNk06ZNQ0NDs7Ozas7kcrnJyUmvkL/m7655btdzY4NjgxcM3nHHHY1GI5PJ3nzzzZXq3FDv8Oe/ + 8MWz1p+tim/Vytzw6GjcDTSiTU1NubajEZIkiWVaCKCM7WQymW4nME0TQlybq5u21W77jVYrk8kN + Do/mPK/ZaA0ODi6YR+3cuTOfz3POp6ene3t7FVjzxKYn7rjjjm63OzExcfPNN09MTBRz+UeefOSq + q64ihKxZs+Y973nPlq3PhmkiIPhNip16HErda6GyNI93EAIxRoxRziSC4rC+sHbYDvgFre4Xx/+U + YWq64r3fd9991336hmw22+12x8bGKOWmaT7yyCMqdFFkgbznQQh1zQyDbiBDDeKEJzzlGiIcsOrs + 7JOPbbz2qqueffLJsFI9+Zhjv3jLzc8/v1vXMO0mBEBLt4866ihtaGjlYD/Iu/nR4XddeukHrvyw + qZFWreropuQCa5gz1mq16vV6b29vb2/v/v370zQdHh6+7rrrtm3b9sADD7Tb7bGxsTe84Q3tdvvR + Rx8tFov3/Ms9r33DaxUQZtu26vtVWqYKrdA0rdFozM7Ofvvrd+/Yvn3pkmUAitnZ2bm5uaDjJ0ls + WRbj6eDwaKvViNPk9NNP13X9+X176632/d//nmQ8DIJCzgMYsTh1LPuoJUs+uuHjt3/lS0cvPto+ + ybz//vsXj45GUVSv1vr6+nzfFxAq1ozrurqul8vlj224dtOmTVCCz974j1/8/Od37Nix/uyzNVNX + ykOvetWrvn3vvbfeeitCqNVqAXwE4Hh4PwQAgP/hK/GPliAdac2pzCgsy+rr68tms/V6fcHHYEH5 + 97d+yH+hl+C/Z/xmHqy4nmeccUYYhq9b/5q1J5wojz/h35/6ReAHURj/0z/dfnDi0Fvf+tZLL33v + 1ue2q8MmCqK//su/uukfP9eoNfL5PJRg69Zty1es+MEPfnDz3r3vfve7v3Drrddcc80FF1ywZvXq + v7j44sWLF59++umbN2166KGHqpWKasoPo6TZ8RHWNcPKF4tIojihXADdsObmZseWLEkZ03ScyWYh + wX19fY899tiZ689RNqlxHLfbbc6567p1Xjt06NDyFS8JgqBWq1Wr1enpac/zTn75yVEQLFu+/Lvf + /e6JJ55YLpcPTU7s3Lmzd6D/lptu+ea37rzttts4kCr3sCxr74H9aRSPL1nSaDV1YMRx2mp1liw5 + SqQgTQFlwM3k41ToZo76HdNyG62uZdNW21+9Bvxy00xPH1i9pn9i8gCjURQHiNE4opRy17KhRICD + OElzOS+N4zRNpWCWZQ0NDQ309wrKIEQScEo54wIAwJngUiQ0bbc6fqer9BtarRalqeM4mqYNDAyU + e4pCCAhMKIFlaBJihFMBgY6gEEJHOE1TVW7inCu3SuXXEcdxp9ORGEqQ/NYEySCaZephGCZJyg0Z + xd2Z6YoEZa9AIPJcZ6jbzszOhIap2W4mDOuaafb1Ln5uGxhdVPY7VcuypmcmHd3siAgAQCDiKjIQ + QqjeSs7DMMy5GYSQ7/sjIyO1ublnn3327W9/+w3XXYcQ+sQnPnHj9TcSQsIwHB4dGR0dPTQ1yRhb + tGhREAQ9PT0tv+P7fk8hL6XM5/OWZTXrjXI2b2i6hrRu7Ldbrb6+Pr/dzhULpVKp1WpVa5Xeck/G + dQ3D0AlJ44QDaTo2ISTneTt37Dj2mNWzU9NnnXXWlRuu/PnGx5/fu+euf/mXl4yPTx465FjW07/8 + 5fXXX68UDs4+88x77713sL+/Uql89sYbH9+48cc//OHAwIBOiGCsp1SKw3D3zp2LFi2qzMxIzpv1 + uud5A319f/u+933605/mlMZhyDKZ3++6Vv1dSg1F0QInJiZKhUKzXh8/6qharcYpXTw62ul0SoXC + hy6//M//7M/m5uY8zxOMTR469DeXXup5uVKpdP311//7k08xxtpd/1Of+MRzz279/v0P9Pb2UkoL + Oe+156xfu3ZtpVKBBKcsiaJo8tDU/j172802pyyOkqAbNRqtJYs1ICABxNItwzDSJOWU95TKYRhm + cnmdGIylkgsgZL1aTdM0iiLKaSLSlDFAEISSMWFC/YEHHnjlK17BOe90OpVKZbB/YGRkpFwoPvn4 + E6Hf/eKtnz/vvPMOHDjw3HPPHb/mOErTsaVLHn744SRJ5ubmKKVuJrN79+5ioRB0/Hq9noo4CeKw + G7CUEhsTAndsp3v37i8WxzQy6vsYQI6ImfdcgQTAnd17wegYyGR7O34d4mTHjuf37NmjGwYkWGKk + 9HY5kDomgnHLsHmSdjqdVqvV6XSklJquI6JxInRdlxL73W6apggjQgjRddMwpZSKNKs4w7n/j733 + jpOsLNOG7yedXKdCV1fHmelJIIMiA6IYUBdFBGQNYCCIq4iiuO/74irKu7vqJv1eM7jfimFXXVdY + 3MUM6orgIpmBmemByT2hc1dXrjr5Cd8fT3cz6ow/x0/3BX97/1G/nuqarnOe84Q7XPd15fNpluk8 + iH5fg1t0+tb3ff1JvV232+2c7QJeopVvNBrEMSWGTApYCpA00f+yJBqoOIszKVr1nsywiJFMZZbK + jGODuZ5vzxyGu386iTEkaU9JgxjG4GDfockdnicUCcOU2zk6NET3TexdWFgIgxgjEid8YXERMSNJ + 0/laHaRpGJbvedMzM4ZtLTbqi416lmVht3f99dfv2rWrf3Dguuuu27lzZyforTZXv+SPXpLP+ZZj + f/HLX7r4DW/QjeO1ZsMgtNFoNBdrq0dXaYpzTZ9FAEkl0pRzLrNMKIUoxRhTRs3BwWHH9rbv2Nbt + djVjZLFYqrebAODYNiMkiqKBUj9PUyVEp9dDSp24cWMUBCBlpVxemJsDgCRJysVSu9G0bXvnzp06 + yyAyThhVR0s3K4QUQhJAKAXLuBKMcZJliHMtLyt1QUlKJaVuFf8lr+C/46U/GNNNtrVabXR0tFQq + hWFIKW21WkNDI1LKM888s9frdTrdNE1brVaWZbbpEEIC3u6ErYLrEyAYiAlGI2lM7Nn7fz76sYWD + B1wJp5966r3f++H0I49VKAobzRJmZb/QnZ9dmJn7xpZHXvbG17/x2ndDuUh4xONeKe8U/JxKZZgE + pmlIyUulkuc5QRDs37/X9/03vekN17//+vnafKNRGxoaCIJgZmbq+us/gBCan5/HGJ955nPPOOP0 + b3/vu4SQRrPW19fHObdtq9fr9YIOCOn7fpYleS/X63b//ZZ/E0L99V9/JGe4hTUbOp0OwpqXS20f + 37H5tFNzvt+sNs49/7wrrviTN1x6SW2hOnnocF9/2WRGyjPfy3EpbNN65NGHvvKP/+T5OaSgv6+P + IAxSbtq0affePWEYDg4OJmGUpqltmIP9lb27dr/9bVeOj49vesYz/uZv/ub8V553xw9u/+jf/t1i + fdF0bMMwzjnnnDvvvFOLJXQ6HcfyVlIYR0LsMDy9hWL/rwVIUsqVzmnGmM7z6bOqVColSaJxlivF + 8SOJGXRc9PTa9XTq68YbbxwaGuov9e3du9d13aGhoZ/v/Xmj0ei22gtz85/+9Kc3b97c63Q0evUj + H/nIjh07dIoaIcSzzLbtfXv2bt26dXR09N577928eTMA7NixQ2fWdcy5ZcuWhYWFQqGgz/hM8Gdt + PlUAXmw1emEkpURA/FJxoV5DlHXj0DBMLrkA1ex1UNirVCqGYWhxZZ3SyLKs0WgYhjE6OqrJYfr7 + ++v1umEYaZwoIQ3DmJ6e1kQaC3Pzs9Mzm5558jduvuWTn/7kF7/0Jc/zBkeGhRC7du3auPGELBUK + kenZOQDcTbuc88d3PgEAQsAdP9zruLZhy5nZQ+X+javWF2uLh3O+RYhpmmzbdmg0FtefsGr3/t29 + oGGYpLowG8dSKWyZzhmnPse1HawwQxQDHn/0sV7cKbi5gcH+wYF+wzC6YbSirmMYlpRScMkYA4zG + xsZGRkZyuRwX2cMPPwwgC4VCf3+/53magMHAFCEkskRhJBSRCBDWpWSsqwqabFphpKTKBI+iSEtz + cMQphSMCpGWIHZJIcJ5wkclWq7V6eN09W+7/k8uvTFI0Pg4EW1EiMaZ+yRQyFSo0HRJECaHMYPDI + w9ue9/x1nuenUYRACiFarZauwGBGNYux7tnodDpkeMRExvi27d1227HsVSOjjUajXq+PjIz4ntfq + tvbv3ddoNM4555wdO3b4vv+qCy648XOfC8Nwz5491DSSMKrOznRa7Wq1qpvUEUK2Yc/PzW1cv0GD + qkeGVyU82fbotr5CHyHk7p/9dHB4aHZqGgBGRkbm64u6cXZ+fn79hg2tVotz3mg0tCbPCRs27npi + Z7FYdF13enq63W4PDg6Oj48/97nPLZVKQ0NDu3btOvfcc23b/tu//duxsbGJiYnh4eHJyUmEkGVZ + J5xwwrnnnlutVqenp8fGxl7wghdcf/31f/EXf3HvvfcODg7GcdzrdFKRYbJketNYZhc8PoidTklq + ViLf97XGVJamq0dWdTodfWYzxpIkOXz48FlnnfWZz3zmxS9+sRbtnZqa0u1qlUolDIMLLrhgZmZG + KVWr1S699NLzzz//jDPOWL9+ve7k6Xa7//Iv/3LzzTdPTk729fWV+ksAEHSCer2+amgUSQUS5XO5 + kaFRJaRJGQB0Op2hoaEoCGXGQUiD0IWFhcH+CiIo7PZKhaLmsG42G1xmwIhCKJUcY8CYdmPRarRe + 8fKXa87MXC5Xq9XSNL3pppvuuuuu+fn5VatWnXjiiQ8++OAZZ5xx9dVXP/DA/WNjYzd87kbDMBgm + 09PTwyMjSZLMzc0ZhGrGHdd1kyh2LXv9+rUPPLh7w7pnrB1b99P/mBFcGVa5r1Kot3rNbpqks89Z + Wx4chIWFcLE2dc455913/92VSqXg5zPBY54JAQKpFTV6kXGQSCSpLixEUYQQ6vV6FOEs4aZpEmLa + tn3GGWecePIm07QyKUSadTqdoN2OFWRZGsexZs3XTPQryjmEkIGBgbPPPvu0005zHKfX6yGEkiRZ + qM7FvRAh0CivLMt4AqnkcZZqqoCVAElPEonAyXmEUR4JkWXMNDGlftHLF7yf3PnjEzecsW37/C3/ + 9v04HinmPcZonKiZ2sHyCC0VabNZq9b3fuz//PSDH3xLoeivWbOGEEMIQokjMpokKSDEqGMatm27 + 5778nGc+85mbTtx04YUXnnnmmV//+tc927nvvvsURs1OOwiCrVu3Jkmyc8/ugut3et35WtV2XcAo + 4dnkzPSqVavSKHZtZ83asUa1piXsNHtnEAb6506n01cqt1otpVSpr2+uurB/4uCLh0dMx2aBlUlF + DDY9O1MZHEiS5NChQ2effbbv+wcOH7jkkksOHz68detWy7Lm5uauu+66u+6668ILL+x2u2Or1+iu + 4w0bNqxevfqyyy6rL9aQgqW2wOW6HBzRg0QY0zJ6jDGi1VcQAgBCqZQSUwIACiOMMSZESSmzbCU6 + WnESnrKJ1P+24zKkQEur53K5V7/61UODI9dddx1jbGZmpt3uVioVjanGmKxevTqfz4NCCwuLnmP1 + pOHIAAAgAElEQVTZpkMNnPPyQRBkWaZAFczS6PDIjse2XXDWi3a1e0mt+YJnPftbn7xx8zNPtJFl + CyTDJJKktGrVRK++qZhHzVoIycWvOc9AIg47rmctzi8yy9CS00EQaFWPcrkMAHffffeuXbte9apX + ackWQojneToDVSqV+vr6Nq3f9Nhjj5VKpVwu1263NaJHn0rDw8MEkO4IiuO4r9CnUplEURaml7/x + sv5K3w033DAxMQEAzKSVUl+r0WrUGuddcN6LX/BCxGjOdlaPrBoZGkYEh70gThODGkJJDKhUKA5W + Bqq1xZmp6dVja1qN5o6t4wogBf6mSy/5+V13PXPzZillrVZrt9uM0Lm5uRM3bgyCYPfOXQDgeznN + /FlrNj784Q+Pj48fPHhQdxtqimD9jJRScATEDj/N+SN/7wHSsbYl27KEEKCUVqxzbFtJuTA/v1ir + jY2NFQqFIAh0hm8FZbcSEaEjSni/120PKxD/v79giY1HKc75gX37x7du6+vrGxwcfPTRR7du3dpf + 6hscHOScr1u3bmzd2oGBAY0Hevazn/2c00674oorCoXCzNQ0YywIAo2814Qkb37zm2+//XbXdffu + 3fvoo48Wi8VTTz117dq1uhffcRzOeZTEnu9f+/4/+8+771m1ZrVA4OT8LMkM08ykKBaLU9OH23HP + tuyBocG7f/az+x64PwiCbrtjGSYjVDe2awRFmqajo6PnnXfehnXrG43GWS980dzC/I7t4/f9/N4f + fO97U1NTJ554YiFf2L1790knnfTNm785vudx0zTf9ra3bdmy5cDhQ0qpVatWJUkShGnO9ZvNVl+5 + Yhu5YjHPefKvt37t2c8659+/+7XnPfeN1eYThtuPbPfQ7MKa1SMLc3N9Rchk9GfXXffWt51dHjj5 + G//69XpjPonanKc5P0+waVL37Je+rOjnXceJg3h6cnrrw48QQoaHhysDZdM0NUO3UirLOGPMYkaS + JBKhXC63Zu2Ym8tpocOJgxPbx8fTbgaIaCECZlDP84DLNE0NioHgIMpgObxXSqVpqvkqEEJqWZdQ + u+A6/peSLwdIy/NBASBpMZbF2cDAACFkcnJyenq61WrNz6MPfejLjVa/SxKJ24gigwkpO1m8IFEn + ijt/+Zd//6f/6zVhENdqNcPECjKXmSCVBqOKLOOcayUlzvn69etPP3VzIpIrr7zyzv/4j8MHD/3o + Rz96/7Xvv/RNl0zNTF9yySU7duywbfsnP/nJy1/+8htuuGHnzp0Y4+9+5zuUsTRNuZKDAwPdZmN4 + ePjgwYNbHnr4LZf9iWaC6+8rHz5w2PbcJEkcIbIk0bp7Qohyudztdn3f15K7lFFqmZ6fC6enKaWH + Jg5U+sq1Wu39/+u9u3fuKpfL737nu1998Ws8z1u7dm2aplNTU8PDw6eccsonPvGJhYWFSqXy8Y9/ + /CMf+QildHJyUgtcbty4cWZmZtOmTS960Yte+MIXXnvttWvWrDnjjDM+/OEPf/GLX0yS5PnPf/7U + 1FSpVDp06NDQ6LA+pYQQQkqEEMEYIXTcJA2U6u5wTaQeRxGjdOPGjeec/XJNfP/e9763Uqlcd911 + L3zhC2/6h5tu/bdbr7322kajcc8997Tbbc/zKpXKBRdcMDo6cuqpp371q1/VZ+GVV1555ZVX6hSD + bi/W7GpSymc/+9ndbndxcZExBgJ0Ky1PUk2esXPnzpGh0W43YIw96+RTDh8+DAKGh0cnDx80TdMy + zZmZmXwp7/t+tVpN05RRClIJITDFEkSW6aKKck077PQcx9HERGmcyIyblM3OzrZarUqlot3lfD4f + 9YLDBw7mczkp5bp163bv3u37fsWuTE9PF4tFSkgSRp1OR0ppYztN023bthXyA81G76++/rXHd6Kh + VRuEdIOoF4q216c4T6mDHt+17fIrfva+973qnFec+LY/ueiPX33unj2z7XYbEawIRpQAqCzLRKZs + w8SACCESIcdxNELbMAxEsMUMbgrTNJNEaAWzgYEBxgyuJAjZ7Xa73a5j2QRDHMd+Pp9mmVYjyJYJ + V3S7gud5nueVy+VCoZCm6aFDh2q1moEppghRrANsxpiS6Nf0prbbbYUUA6IEuK7FENm7f3vK2zd9 + 8ZPF/Ko4LCQyrozmg2BHmFBqOJZNJ6YnImEODNGbvvw3lX70znfdtXbtwN13//TKt1/lkHIU7FPC + oZgEUYgZljLrhbUHH3l4ZPWqz33+74eGhvr7+7VMkOu6ru3UarXde/a0O51iqRT0epq+fO36dS96 + 0Yv6+svtdvv0008f37Gjv1zuBr2c7biuq5QaHx9/+9vfvmHtuje9/tJao7Zq1arZ2dk0TaUEIVWn + 0xVCrlmzZnZu9tprr43T5PTnnt7pdFzX1Yzeo6OjF1xwQa1Wm5mZOeecc6rV6sTEBKX0He94x8c+ + 9rHXvva1Dz744JYtW2q1Ghaq2+n8xZ//+fTMzPj4+Je/8k+NRmN0bE036B11POM41hA73a2hnUil + FNI5Ka3BJQUAIIwRgEHIkw9nmUTrv6Ojp6mhZffpyR8QWmJU4zyfz+sNc2BgQAil2QI2bNiwd+++ + Q4cOaXexX0PrEU26QRRFSZxFUZRBJkEtLlQLBlucmzcVbtZaJdPuE3C6mR/AnpOB41sKo8QxPcmT + if0s+yPUa52yYW1ooGLJHxgqe37O87wsSwhaOlB0+kzLfrSbLYrJiSdt0plE3TW3enRVudL/vve9 + 7zs//M6qVatm5udM06SUbty4UashL3XMAvJ9f926dUODg0jBzNRssVhs1htnPve5I8MjH//Yx/sK + RcZYnKVSSplxjPHq0dVDA0NBHEkuDh082G23C6USQYgRyjARmQAh16xafft3vz9bnX/96y7ChLqW + jQDeePmb9u7f32g0Ttl8Gud8YWHBMIy+vpKUstPptNvti1930eWXXnb++ef7rhfEkcRqcHDwNa95 + zZvf/GaN80rTNJ/Px1n6ZMViSZVjyfM93if+lLLjC5DwMWQxfhNTy+oZ+jWOYy5EzvNczwt6PQWQ + z+fzhUKxVKKm0ep2Wt0OoYQYLIsFQkiAUqAUqONL/P7XGlpuKDzKrxAKw7B/dFWSJEEQbNu2bd++ + fZZjd5qde+655+/+n4+1221Myac/+5nDhw+Pjo6++93v/od/+Icsy+bn592chxCiBnvggQfOOfcV + X/nKV+bn5++7774tW7boAkK5XG632xMTExpPks/ntVdkOfbZ555DEb5/y4O7d+99zesvarVaBrOi + KLIdM8uy055/2vjOJxzHGlm7Zq5WzfeVXnXGaeVKfxhHS4U7gjHGYRwZlO0/MPGBD3zA8VzG2Nve + fuU3v/nNPXv2vP0dV1133XXP2nzqwsLCi//oJY1O608v/p+PjD9qGMaLzjqLc37aGc/54Ac/qFPp + hmH6uVyaJq7nNZstALRQrRm28eiOR+68+2FFjZ8/dOvm5z37wKG5XFE9a9DIsgOnDLmt1vSZL1qL + aO227379W3fc2D9gC4Qdr2CatsaPdUVQLOYtwwAAxphpGgoj0zTzpXwul1OAu52gr69PSpnyUAKW + CMI04VwUaImZpu4uCIKg0+mkaVosFlevXj0wMNTrdeIopVRKIXQOMuh17ZwvpURSIZASQG98SCkE + hBAglHBYynkDAEiECEFIIsBqmfECEGBAUZwiQpI0ZaaBGW606m952xWY9re6Tq5giWiPAqsb97Dg + nosZ6yLeuf0/vtzq7r75m+3Fhb0TE9vOP+/sUCWATC4FEIwxklKqZciQYRhXv+tdoNT44zvKlf7X + X/Km9733z4rF4rkXvvIjH/nIfLX6vR/84Ad33B4l8eHDhz/56U+97GUvG1u/7vzzz+dCKID+wYHJ + yclFWGQIT03PbjjhhJu+9KVdu3Zf9dar9h3cX23VBioDhJBERM1uK4jCZ65d9cBDD0ZJ+LGP/d3M + /Cxg1Nffb1imRNBut1Oe5Tyvtri4du3aZq3+85//HEn1rmve3Wg0LnrTxQcPHkQI7dq1a/PmzZdf + frlhGDfffPPevXsxxl/96ldvvfXWH/7wh7qrQZ9DhDGl1EWvf/3BiYnXXnSR73mA8bOe9ayf3XPP + 6aef/sxTTkmiaMtjj/3ge9+rDA5qPSW0LKkEy0Hs8fpM2pnWnBw6gOn1emma7t69u9lsTk1N1Wq1 + /fv3a3z2jX9/o85TaLqIgwcPSik///nPE0ImJiZu+8639+/Zyyzzf1zznp/9/J75+Xkv74OQzU5b + cVEZGuy1O81Ou9VqNTvtfKHAOUcMMMbdXlDw8/liYWFh4Sd33vn5m26a2L0/lem+Q/tN00yStNas + 5Yu+EMJ2XYWV57n//I1//vw/faEX9cI4BIwIECGlBDAoMyzboMxh9nnnnrdx40bLsi666KI77rij + 02pvHd/+kb/565tuuqlQKJx2+nOu/4s/p5TWW83XXPQ6z3M3b9786KOPmqYppez2AoMx13EWFhZ8 + 1/M8T4AKRYII/tSNn/78l74ipO84G4PUDcPFSmV9r1NTPCvauV63Va7k9u7ZIhH84z/d9IH//YDJ + 0pfH0nY9y7K4AgECEMEIJGCKpOBKSpAZF2kGAJzzJIqFECrLkFRZwhEiaZpFccwsAJ3CwMi0LMGz + KIo818aI6owGF0Kn2wghiBINn0sFB4LDJE54hpfRCpZlmZQoAEkxQViXH4Vc2g0QQlJhBQIA46Ue + JJlz3HbQ8fx8GsXdXtN33HK59JkbPglShYGgpF/JPII+nhqYYkwhjKC/DK1mwkWj4F+GVY/znhLx + u//H1avH1gWCl8rDlFgRz/xcMUh6tkU5zw4cOPCZT35K91okcayh6UvEehjfdNNNmnGRUVrsK7Xb + 7dn5eSHE/v37ywOV/fv3j61Z02g0XNvGGDd7rVa7/fV/vVmkGU+zRx9/DCHygb/4oBBKCOG5vmVZ + 1Wq1UOqbnD48ODL4mRs+O7sw+4IXPf/LX/6yQkAJ0bR1H/3oR7WipW3b7Xbb9/1Dhw6VSqWrr756 + YGAgTdNut+s4TpjEb7/qKh3nuH6OS9HXX47jWFfgYdlDwoAUAkDIIESX7wBADzJdTlcTQrBuJOOZ + AKX3ByQlHE337P8i3uSXPJ9f/3q89lRzKH/fhgkAQJZlM9Nzb77kLV/96j8/9NBDmqlSCPGf//mf + nucppQ4fPqyUjOIwkyKLE2zaSZpazPJzOc92GBAOIk3TtevXuX6uDerw4UlleQ7gsqIjiiTdDlEJ + Ms1eFK4bGmh2I4hT08vNTc+4q1cDwK5de5hpk1oj7HV832+325RSz/PSONGTjVIahnG93tz26Dbd + Idztdk8++eQrLn/L9u3bb7jhc0oJoRBlOImzIAg67Z5lG2EQF4o+AdJs1svlytzsgmWYnmP1FYoi + y0zTFJxnSZolXPe1IkBhlNi26duua9ppmqZpVimX8/m8pmBxXbfd6ljMEEJMT09f/NrXOTkPABbm + 5gYGBiTINE19z8uSJOz1dCuma9utTkdKSRC68MILr7rqqre9/UpMyez0jOO5POMvf+lLZmZmDhw4 + YNk2Y0wIkaYpZUvwHKWW0hJPL4TXsey3qSAddU0ihHQfs86p6+MzyzJElgvcGGOEoji2TdPP55v1 + OqIIsIriOIwDO+dwmcVp2ug2i7SIKaIGkaASkURJqJGOURzZtl0sFA8cOKB1SBhjJrNWKO9WIHm/ + BkLz+95QjvX3lVK5XK7RbmkZ+HsfuF+/Ty32sU9/vFAoaL2dXhSu27j+la985Vx1/qc/u4tSanuO + lDJKIsuxpmam3vGudxqGoT88NDQ0vGrE9b1ms5nwtNasf+B/f1ApJZGiJhMgP/jn1595xvMAYPrO + WVAYKQAEXHAwIOQhIMgkfP/HtwOSpm93k4h59tTc7L2f+pTjeVp7FDDOOMeUKYQwZe+65hp5RJyM + CJ2Ymnznn75n5TbtQv7jN3z2F24cgFAmpMKECiGyrE0IcZ38Aw8/6LqW4Xkf/NCHKDHbrch2ihS7 + hHlpIjAxfd+PoiQIAoKfzQxQspekHccjCme1+sIPfnx3JkkSZYRQqUTGk/Ub1tardcAqkTGzyepV + Y47nZkIQg/iFYi+MDhw4MD0zoxTy8n6t3njZy142W11Yd8JGjRLhUvT3V5IkPfXUzfl8vtlqIwQK + k9379s9NzyxhbKRYv2HD6jWjMsmUgkwKP1cIuiFjDKRECkspKWamYQuheu2gb7AcRAHCCCGkECEr + 9EoIpATHcYM4SRV/w0WvesX5r+h0eo5V7HQwhjxBOQRMgVBKAChAKQaBaQYokrIHOPFcs6+vkCGC + gXTCwMvlas0GADDLzKTIEhHE0Z9/6C/1I9ARO8s5AU+i+uI7//SalafDTAMAto5v3zq+XT8sZpkA + 0Gy3cnkfFGBC9x848Ko//uO3vPWtSsg4Sc946QtOf/GZK/pCsFRVlwowzRkf/cwnkixljD28/bGB + 4aHde/Z4nocJjePYoCwJI9d1wyj68Z0/+dFP/gMAQCFmmgDw/dtv//7tt69cmGFZ69ata7bbn//C + F1atWTM3N+e6rqbXq9YWL7/iCoUAKWCmEaepQvCFL31Jv/PkK0JJlpJl+lF15JaNjso0+etM1+Wk + UlEcL011xwGALY899kufnJmb+5ebbz7yHURIu9v91ne+AwBcZJQxZpmA0GWXvvm5L3ye4JwZBijF + DAMZ0Gy1QCnX8xKeOa6b8EwBUlLyNI3iyPP9mcbC66940/98/5+BUlP1GaXULbfdojc+AADdHoNA + gJxYOPyP3/gqpZSajBpMKJlJRTA1TSNN42a9tXbN2CvPOXfz5s3lSgUwHhoZ+cat/8oM+o9f+0p5 + sPLqi17baDTedMWl3SiI4/jaP3vvJZdcsmbNmn0TE5//wk2tVnv16tVxnCiQikvbsJIk5VJESVyw + C1e8822X8is8tyCVIbkpuKOkIcFAQBRaEj5OksB1L8zSluvhOH6LaVHDwPc98jCz7Haj6XiORFhJ + iSkRQikFSCGDGYpwrACEtC3DZIRzyojBkZJSGqa5UG/4mAVBWKlU0jQVPNMo7jTLKCGIAFKgu/+F + UkBwkqWGYRBGt24ZP/PMMwEjv5BvNZrEYJrpu9ftGBYzDLvdbuY8LwWZZBkzjIxrzg+kXTalACnA + CsuM+6arEsEwsxwbU3rdB643DMMwDAACypCKKUlBUQCsEGBEACkEHJDAigMSCDjAUhU65SKKIq4S + qbIkSRkBkWYIlEGoPmgoIdR1u90uAOgklG3bgnMBYDAGAGESMctI0hgTVMj7WRx7th12uxZjUvBY + cDfnvuaNF/3iejjaIaYwIAlPUlQp/V4muT5rqMniLEEUx1liOlbCU9Oxgjh0fa8XBQBgOpYAGfME + GAIgEqAb9QBAKgAuMHpyeSKAFX0NjJYo9fO5XKfVVkq5pt0JOloXMe4FnucZlt1stxUg07LCJNCA + vRUuO/Rr5UB+3/6AApBoibrpN3k9XsPqDzNGOpL4RCkVRZFuyxFKYUoRIUDwt37wvVWjq8fWrdf9 + hLlczvFyW8e3lcvlE046QUBGDcRMI+VZInixUo5aAVLQaTZ4lmDGoiw56bTN9VZnstG84PVveOTb + P5ZgCMV6UWS7BQkKLCODtB4lhcog2AXTyg2Pru8gmqTtXjchPTEyMtKI6lx2DMPMOF9YrJuU2baN + EA7DOI5TmUnLsLMom5mZOfWUU977P967UFv8qw//dT5fDOO03my7rq0QjpIYIRJGSRgnRhAbxGi3 + u4Ijxli1togZlkgCgTiLMUVCcQnCMAw9RK5lR2GUxVnciwig1SOjURhnQlqOW63VV69ebTp2mqYK + o4XFar5UzASP43hk1Wi1WjXApJj0Ot1KuV+T142sGm03W1mSuK77hje84bLLLvu7j330wKGDWZbZ + +RwXAlFy9dVXf+pTn1qzZk11cVFjsKMoYpa5wqkGR2Bcf89CPL93+51B7BBCK5LGsJxZJ4RgiuI4 + zuXztVrNdd3+/v7Z2dkoSbSIb5QkAJDL5w3DSLJMN7pwKbrtjkKgocWmbZmmqWma0zRtt9uVSiWX + y4VhqITQjLorUsQ6N6xRT7+rW/u9WiaFRBClSRBHjuNYlrW4uHjnnXfefffdSind1aD1NzIpiME0 + OESTntfrdSml5kfWyC6tgKTbt3K5XKvV+u73vw/LAEX1K6m1XzXHcTTvLZdSpulK0l1hjACkUnBE + FVEhkMdH5KiYgTHAYq166623fvvb3261WgTTXC6nE5ZZJiilpWLZtu1utxsEkb59wzAUkkkSUZMy + xqIoSuNQKdXfV46iqFAo1Ju1xx9/3LZtnqRJFkspy+VyvlhcnF9AEmGMn3h8V6fTAcCYESEVEIwo + YYxqWJemZk6S5BnPeIYuEWhekMWF6tzsvARk2k673VYgbduWAjjnBmOMUJlxKSUGQghRCkkpGq1m + GIZSytHRUWCo0+siCUuoLrRSeiae6yOE0iy897779uzdOz8/DwCFQl8cCKKdpyMApQghpQQgqZSU + iiuVIawIwUAwJqTZaTfbrV6vVyr3qWXZEHwEm9MKTFTreh0zhgeQaMkBWnFVwiC65ZZbbr75Zp2S + 6Ha7tmnpb1npIVwJSwAjgZWQUhNX6LwaxpgAYnhJaXtpEi5/6bEuRoOhb7nlFo3G1sA2wpZ4zJcu + 8jd4fUqZTopr2Gq1Wv3ji15t23atVsOEaByRNlguc0lQnEtMCUbIz+d93w+j6Fvf+fbtP7yj02pT + jPHy+GkHV3fYLw8R0n8BIUQY9Twvk6LU11etVoFgQphtWvPz89/+9rdvv/32TqczPz+/fv36THDH + ceYWFq774Ad119mhQ4fK5bIC2PHE47X/9+85557vLywsmLbNOZcAjLFUU40x9tOf/vTi/fvbzebs + /HylXKbECMOYEEYwI4SCwppqDAB09cOyjW63Y9t2L+h4nrN27Zodj28HjPP5PDDSCwIuBcUYIUwA + IYoZY5yQJI4xIMMwkIKlqo4QUkpGsVaJaDQaYRhmWZbzXMaY73uMMSmW6O9W4ucV9yuTgjGWZtlc + dUEp1W62PM8LggAhZJgmpVgIQQkJgoCYhna+jxBBREfI5SksEIASiiulMsUxxmnKCYk159DypHwy + eXesc0prB+vhkkpq4BiCJbbj33pq/+p/lOhXf3HUHV38tt95tK87mh31N0mW5ouFNIxarVZfvtjt + dqNeUMoXoigiCFXK/XML82mart24YXFxcXFxcWRkOEkSXUxbWU3aM/mdXP9xmVq+39/89bh2reNO + 8zx9TD8y7XPr7CTnvNvt+r7HOe90erfddpvjeFnKOZeUUsZYHMe9Xsd2rCSJoijQNLz1+qLr5qIo + URlHXHmO09dfdpgTQOJ5uUvefMXX/uGmfGVgod058+yzb/zQX7Yck1LcA9zudtIkiR2DF3ye9wHD + zoOH733oMXtoKEh5LpePOuGhiUNWzgaEOt2ebdulUl+9XlcKNm/efMF555911lmdVuszn/ns4+M7 + vv3vt73nmj/dfMppP/rpj/7qQ39Vazbuu//+3bt3d8MAIcSYFYah67qU8na35zAz7xcLhQJgNDIy + tHXbthe84AWu5wVh2IsjZpnlgcq+ffsopQwTTQ/LOc95uQcffWSxUceM9nq9QqlYLpcbrWYUhMOj + I2Ecbdy48fQzngMAmeB33XVXqdxXC+tJkmRJ2qjVmWn4vt/rdJvN5uqxNVddddWLX/rSWq0WJcnL + X/GKffv2Pbr1sXw+/8IXPJ8xNj8/v3Xr1r5yeWhoSPvkrp970sNETzqc+Gkev/82AdJRV6UCEFJi + jCljnPOMcw00QoBAYcWVxSzFVRzEeS8Py1jSMAgRQo7jtBvtZq3pFwuDg4P1WqPTasdpor2rLMsk + VxQTAGRQU6QCMzx5cBIAisViqVTSypgaXbDiXhzXxR/LfouMzvFuWYRSipAC4ELoQUuzbG5+XjcA + xEmCMdZheJplhmHoqSeVUkrFcYzabUwIM4xSX1+tVpNCMMaU9qoxjuJYbzQIIYQRwWTFLTjW9fTC + nmEYgIAaVLu/nHMuOGFE395RxvY3HyUFjDGQilIaRZGU0rKsOEqq1Wo+X9RqV4yZlGEFIgi7SZIp + BFxmWKKVVigAoAaJE3A9L0pipVSv17vvgfuRxK7jyEzq7jXdO6G5zpvN5vz8PCHEdh2JgKcZXdZs + rddr01OT+Xy+0+lwzleNjug43LGtRqNRb9SCsOeYFiMYpCCMCs6VXJLsWEIhIiUlz7KMg3Lybn9/ + f7FYDMOw3e20e23Pzx05KVZGL0kS3b5Zq9WmpqbCMMzlct1uL4sBIQKA1DKeFCGEl8qwgDEgrJTK + hOSccyGyZquzamwN59z3/SUtJqU455ofEo62Fn7zKaoA3JzH00xKmQkRBEGcppZlcSEAI0AaBgN6 + QiIFSkIQRQqjbhgwxhzXQbq1XUlMiTrCnmwjPEYegzAaxtFPfnpnqVRK05QwyqXQDu5vp072e7Lj + RfyaptmLwsrQYBBHj27bum7dOi/vI4QoAt3GxtXyiGCEESKADGbEcdxqtbBOQkkFAJqjkhGiN1Kk + ACEkEdbNbkg/Goy0e815FjcbXIhut6ulUbWWRafTqdZrhBDPdoaHh9vttmmaYRwx01AAYRTatl0u + lzVqhRBi2na7Wq23W0hBwc9FSRKniUGZQsBM03adRpIsLFaVkMW+kuf7URQprBRWEkkpU41+0nE1 + lxyQEkC54sRETJBO0KnWq47jAMZCiCiJNJuCwihNU4JImqZYqDRJOt2uUFLv9lJKRJFhGDop1mg0 + Dh08vGfPHh3Ab1y/rlQqKSU450ryo0KtdIjVarW2bd269ZEtpmk6ln3qqaciqbhILYMBAr2g0jS1 + DIYQElLCcqD1y/OBEqWw0reqlASVZhnifAnR8Cu4fEKOPoOiJFyJJDXDh17c8It8Ays//+32XgkA + ACAASURBVGEAWn7VDMMQQuj+2/e85z0nnXRSHISGYWgty1wh3wsD0zSjLP3+97///dt/oJsodH5d + Q0t0ovZYgegfwKj9AdzCr5pUymBMH3KUMYSxFr0qFAoGpYWcnyapMkSWJEqiJM1aUcPzvHKp1Om0 + 240mANim6dpOwc8LIbI4LvWVwVAL9Wq1VeuqECGSy+eTMLr44otH/YIdpiVlnPjSs3aZ0jVEzmBQ + KFiOnVByoNWoPnz/j9rVe3Y/3qH04uc9d/v4E5yng5X++eqC41gKoygM0yRBuRzGOM7SbhjUGvUv + fOELlUqlWa8//OBDxGBP7N713Tt+kMvncrncgcOHJiYmqtUqNQ3Pz2kBIrWsdiOlNE0zTdPJyclW + 0L31W9/819tuHR8fd/OuZVmxSA4+sX1wcJBS2mw2e83q4OCgMnEg458/eO/BqUnPz2FKoiQGqSzH + FkIEUbj/wESz3brkskvXrl07Ozt79913x3F81113nXDCCZs2bbrwwgs3bNhw+PBhajDd/7+4uKib + Xd/+jquGh4c/+9nPbt2+TQeiN998sxZnl1LqK9e652K5E+EXab6P77kf72T+ffsCxxcg/ZqizIrf + c2RtFAC0rEcUhr1er5DPCyEE54BQ3veFlAgAYWxbVpZlUggklW2YVCGDUGYtyR0IQ+gsAgAoKTtR + hxLSXy7rfTMIQ81JoEOpNE01EeTT6MDQmULLsvQi0ZxCWjFG+826+Y8QIoTQ56UWj7JtWwihuadK + pZKUcnFxMYoiz/MAQLM8aW34lW+B5aP0WAeG4zhaVF7X5TQz3pEyyb9w5RgdL899FEUEYUKI7lx0 + HAcUQghp9FSlUvE8r9vtNhq9NM0wxVJKQhDGGAgAASWBywwpVCyV0iQJk7iUL8zNzN57771YEUpp + EqUU4+H+gSzLar2gv9TXaXX37dtn27aeTnEccy4MyhQXgFBtcVF3hel2Q+1mmaYZBMHExESSJK7r + Iqn0YHq2E3Y7UCoajIFUgmdak4NLQQ0DIdXpdDKkqtWqRpn6NL8sBvCkHTkatm1rMRwtPsC5SLMA + IYKAYLzEeqekAgGcp4QQQhGAlCpTSujKwKqxNVo6zLKsXhjoma8Xy68aQkjCMbWVf6l8pFEfQRzp + 4k+cJlrFXBPXAEJ6C5QACIFUgBEohEr95RUyA425zbIMY7zC1L8yG7W3eiylbe1QaqHSMAzjONYQ + x6XmrqeM6WjtN4fQRGlCKV1YWMjlcsPDw4cOHcrlctqHgyMWKTy5haqVqqDjuhhjhgljzHYdgjBe + JpxQSunkh5RCDzFCCDAimjVESt/3Z2dnc7mcYRi1ZiOXywGAYRgIYYSQrlc0m029+ehNAJbDeJ07 + EEIARqZt5fK+ngPtblfLlcZxnPEMosjNebqGI6UMolAIYRgUAAEIXXDRUGuMlVIS6QY9rABkLudm + WbJYqzJMYRkjTSmVUgnONce33voqlcrg4GCjupim6WK9lnd8jDGA5JxjwhzTIBRHUaQXrCasN02b + c84zoR1lpaM0AAIIA8KAkFSOaRmGkclEp9sQQobBbGrGcYiEEiBtx2GMYYylyLiUlFL1KzMBK72E + lnNSukIln+ReWxb4edKOdU6tgCA0YOxYia3lHM1TKWfwOzWdn+4rlXq93m233fbWt771ZS/9o263 + e8899xw6dKjRbjHTsG378d27er2eFpPRFUVYhv3DsQ+7/7anrC35fkIAgG6gIIT4vr/5lM2A5ML8 + Yr1eD4LA87x2u2Nbrm3bl11+Sa/Xve222zjPAEAIQRBaPTo8N7cQYAAAy7GE8sGkk7PTQqmzzz77 + 9Re9bnLvxFC+YGWiPjn7jDWjSafORGITJtOMEEItu5HGDc553nspY8rLBZw//NjWIOipLFPAAZZU + IjVJnaZy2bdv38GDB1v1xujo6MzUtO95nPOv3/KNXq+Xz/vNZtP2XEyITlTpKhkhJI5j3/fz+Xyn + 3pyZmRkdHR0aHTn/ZecqKU3T7PV6rbDHMfzzN/5FIgi7PcyoZzsKo6DT7UVhu9d95R+/6q3XXH3v + Qw/YrpNyjhD0wtBxnUyI7du3v+uaazqt1uz8/Njq1VxKwzBuuOGGarU6NjZmmub9Dz6wuLioNf32 + TUzs27cv5ZkmaNXC6CMjI71e74477mg0Go7jPOMZz6jV65qpRedM9daKMX6SjwohiY7pbzwt7HcG + sdNDo7NxR25MgvNmo1H085X+/qgXcMxFmmFG69VFhREBRE0jjWJQyjZMRmkcRVmaSiE451wqME0A + EJxnUaz9aYPQXrtTKpUowgahQ+vXh1EUhqEGrqz0Pj3VHKljma59AYBGJekigNbB1JA5vd1rDGG2 + zGGqR1ivLn2zlmUVCgUhxNzcXBRFOi5SSgGSS3iQJV9L6X+a1tF96DSLAQFlGBPgIs2yTCqtMSIA + dMB+5Hw/boypwaylQp/WZAwCneRjjFYqFcexucyiJGx1mhhTwzAUKCAgkRSCKwSYIkBISFlr1At+ + XidWPc/LhOBJYjIjiVNGCCHEtm0lZKPRmDo8vbCwUCoWCcE8S+I4RIj4fg4joJTEQWhZVtjtWZYF + hPKMa0X2/VP769VFxphtmFrXSAeNlmURvFTYUcAVAGAKAJlIqW1iQYaGKm7O8Qu5VreVCe66jjhy + iJaxPRhjg1GFUS8KdYNyrx1yzl3LgSXneKlep6eHazu6S1wpkJJpaly9qwJGhmWGccQIZZQlUWzY + tpLLUJxfelzHrsAcFd2hwZxJGIHCjmmlPOsGPT0zQcGR4DoFCBHcarW4FLDs2DHGLMdWSvFlnVbA + RzQxHDvnollxSv3lar1mGAZX0rZsHsdwvBPuv6DJAJaApr/JK2BULJWeGB9ff8IJnV5XIYjTRAO6 + 9AMldBnUqhSXglKGENKpO9u2oyhq9npKKUrpkQfPSthJlyt1eo4t7cmgFmqLzDIxo3Eca8hKtbZo + 27afz3c6nXqriTHWwS1CKOOcK2nYFiLYcmzXdTnnGnLW7XZNxxagUsGZZVquI4TgSuYK+TAMbcfu + drtxHDuOE6WJlJIsFbOXpqtSSknJpSCUCJkBMRCRnV7L9WzXt5GCsBtSw0QIUUoIIVmScMENw6CY + YITiMIqC4ODk4SCOACHLsghBSikJimeZiYjrukU/DwCOZSmlDMNgjBmMgVJSYEKIFtVdCctXAg8h + hEgzTUiFpJIZj5JUIWmaTIAAjFOe2Y4jMMhMrtQNfwnjJBFIzlcqPwhjvUPqou5xVX4IIXqjllLo + YBIhRMiTGMVfmINPn2zg8ZrjOHr+l0qlxx57bHx8/FmbTj7ttNM+cN0Hvvu9737ru9/ZNT5uGEbM + M01+aLm2REtb3HIuG+Sxab6fat7bHzBq7nhN+0Ir+DqllG1bp5xyipL8Jz++M02SkeFVZ5555ne+ + 890sjd1C4VknP3Pnzid4mjmuJYRIojgMwyQIC36umPNmpucWG3Xbce556IE3/ckV1YUFh5meZedt + p7mwmHcd17Kri7NewRYiYwqJMM6ihJmG4bjYdEKARhIBM2KRmabp5T2iJLNYFIWZlJoYKVxOSXPO + szh2cl6cpbbrlCr987NzYRz3DwxU5+eEEI7jZJzn83mFkZZy0lvQ4uKilLKcL55wwgn1ev0Tn/hE + 2O7mXK/ZbvX39wdBEIahZZiL9Vp/XzlKYpMZjVZzdHhk0zNP3vXEzlqj3ovjk07eFEQRNZhSQBht + d7uWY4+uXl1r1C3DrAwOKIQ8P5dyPjk9XSqVOr3eD+64XSilU12ccwlKyxj4vo8oaTQacRwDwYqL + 4eHhYrEYRdH8/LyQUi/PLMuIwdAyC/8KxA4A0HEiPp5q6/G4A6Rfc/0aVq4PbwDQ5Y7+Ut/Y2Fij + 0cjn83EYSSlzuVyv10uShBBiGIYujOgYQDfhUIX0O1pjR7P06uHWTLjVatX3/W63O1gZ2LV/L12W + StCfBICnUQVJJ/t1gXKF30JXM3QOVePEACCOY8uysizTC0xLpgghdPa3Wq0KIXzfJ4S0220dNaFl + 5Ra00i6y7J0vYeJ/xRBCOsjURaon3ZqjjadS6rgw0wqB5igHANu2dWZClxds2ykWiwsLC41GwzRN + w2BCSM5TIBhhzGXGZYYxpoTqW3AcBxGMMA6TmJmGQRlHnBFqMosg1Gw2TcsiCD/2yJb6YkNLFQEA + 55xg7LheqVQigEzKenGib1DPxm63q5TSLRmaS1QX9/T1G4YxNDhICInDyLZtQEpKyaVEhEhQXIhM + ipTzMInb3U4ulwOM4izB8MtyHLomoPlAl8J+w1hu4aNS6oYynRsm2pdLs1hKvpR3pwghlGVJGCtE + qL67NE0xQXq2HAtzv/K8fvUYPtZzjOLYtizDtpRSCqMsEwKU7blIKokASSVBgVzRhpO26+iFr5Ra + wkNSqrEucDQBx2NVkHSqpVQqHTx4sFKpLDVeP1XxJOo3ftVzrDI0RCmdn5/P5/N6w9SrFR2h3AJH + DJcez16vF0URY0xHLGq5mwtrT1Ajb6UABEgqhQAQBkoIIKyUZVmEEM3T6Pu+pr3hnLfb7ZX6nud5 + i4uLujLvuu6SVEAUJUmylPYyWLHc1+12O52ObduaLUbvS1oZWS+lQqGgQz4AyOJY6ahIKk2NocNq + IWWSRIwRx7HjJIzjmDECgHOFPCgURGESRdrtAIw450pIgjEhpFQsrV279qQTTkyj2Mv7UadHEaaU + SImFEFrAJ45jxgwAVa/Xczk37/tCZHoKSVB4eViVUlgBxphisoQSyTjGGEmFEGKGAVghighCQoqp + qSmMsQCplDKpIY4xE/WTOvIh6n9qH+ionz/q3xHLCQW1TLq4sgRW9pAnp98frs5Pt9stFApKqVqt + ppTq7+9fXFz85je/uW3btmuuueZr//i17bvG3/ve904fOrhmzRpmGpMzU6ZpamS19iL00D1d/IH/ + Nm36+NAyg3puSynTlDuOoySv1WqEkJe85CWXX375I49smZycbDabWusZlhtWdYzUbjb8Qr5crtQa + jURyt+AjxwrSOF8oyDRL46QRJYbrNJO4Jbm7argatQQiFqa26xGhkjQLuEzCsBenhXIfMtns4UPr + 168zGJqdmWLMJNhkjK1UgfQGqFn1OOdSKWKwQ1OTxWIRAPYfmBio9IOQnU4njCLTNInB9D5sGIZW + qY7jOAxDrddUbzSwVN3qvAAVzc0wxhBG3TSqjAwlSSIwUNfKWnLv4QODa0ZDkRYH+nG7c/9DD46N + jSmlwm7PdOxypb/X6y3Wa5TSXhiUy+Ver1er1cbGxk46edPs7GySpYQxg1HJBTONQqkIAPPz85Zl + SQQyzkqlklbj7Xa7BlsqQqRp6uVyGONer8c5tyj5pR0J9E71NF93v00F6agRoVIKYZRlAiFkMJqm + acqznOP29fV98aYvPProo5TSvmKpVqtpWBHnXMdROiW2hCFxnGI+3263JV8qkWOMZcZ1xhohJBHU + 63U35/X3909OTp6w6aRXX/y6NMt+qQfp15TU/wsaGI7rKxijCCEQHFGShJnCyLNMQ3DGWJqmcZZq + LlrOOVdSYSQRAMEClBRcgMKMYkaFEAnP5herA3ggXywAwa1WSw+sadsKlnTflVJSSaUUKEDk6FeZ + 8UymUm8xjDHKqHbaVhzcFaTHb3XkYN1TqLMmmlzb87yBgQGEULvdCoIe5xlj1LINwZVSKpMCEySF + whhhjCRSAAowSC5arWbBLwjO0yTNsgwD4Zxb1NKzKwzDxmKtVqtRQhzP6XU6WCGllOu6Q0MDlUoF + gZQiIxiSOLQtI0tjRSmjuNfrTE1NRWHPNFgUcym5EBkhqDxQrlTKurInJQeQCiGhFAYhsQSCFUZv + vOQNr7/sEq+YH398R5IkURK7vqeW7Uj3dwUc9eRmqhuykZRIChBqqVS4PJM1GhXhZclThCUlUkpA + uh9dTxhdOtMYJwAg8AvPa2VmHiscOvKJLnHfMcaF0AGYLlTaGuyqWyqoFiWRoJQEUEppqCQs5/9W + oMlHOoIr44DQMSk+tDRkkiSe52nRm2OF9E8vS5Kk1WqtWbNGByEafxjH8UrJV0+DJxMZyRJvLDMM + QIhyrgCCMJTLlB+AEQYkQelq3hJVMgIhpQSJQWEtj5sKy7KYaWSCR0nsMa9QKNRqNdOwHMcJw7Ba + rcZJYlmW7/ue52lylDRLESUKI4SWEC9RFJmWpf2AKI6TNMWECCkJpaW+Pt1CyYWYnJrKsqyvrw8z + qpRY6Y5bKSdpXeM4Sx3HNpCVJEkmBU+SUESWaRNCKCgppVqOBwghurHn0KFDX/jSFwturt1qrV+7 + Tii5VDdDSE9+13V1tU1DX2zbNkwzSSVCiDAsI4ExQgSk0DVWiZAiBIksBSl8zwGAdrvd7jQdx7Ec + RwgBDAkhtj++I0oTRJaUzUWWrlTPf2ExEaLld5RSS2SJCBAmYol++pcPJpkdfZ4so5rRUi+TUgqU + VEf89yO/9fhZGZ8upmmH4jixbbuYy8/PzzumtXHjxpe+9KVnvfCshx57+IbP3TgzMzM4OKiU2r9/ + /+iaVTq9qHNeuuNOp2yO+vd/3/7A8WbEj/d6nlINmb9L00VdUBKBBo9IBNrTk0KsX79ei2TOzc2F + YQCgAFQcRwMDA6ZpxkkohECIIITSNO11OznXq1QqlhctNJthmjqO4zi+7ZKpfQdGhwYN2wo6WYbV + YrPmOA4oGQklALAEkMS0vILlFDCamp0p9pdXrx5rdtppFpqe9f+x9+ZhllXlvfCa9rzPPNRcXT03 + tIggs2KD4AAyqEFjjJpETZSIfFf9FCMSgRuZYmRyukmIEhUEUcBoMCItIIMCzdDdQtNTdVXXdE6d + ec97TfePVVU0QnNtxCvm+j489TT9VJ9z9j5rr/UOv0EjOqJEx1oQR5RSy7YJIXGacM5FHGWz2Va7 + 7dqOZdsppQghJbGNMZYIZrNZ1R3GGKmerEpxNU2zXUPVWt1uF5uYYFnIZNI0ZUJgjDudjosyzW7L + MAwvCYaWj/i+z5CABvbiMGb04PXr2+02ISSTz6kOsoDAj8J8Pp9y5keh2oM7nY5quFuuEwdh5Pds + wwQYRe0WpVQzdEgwxjiKojRNDcPAGJfLZU6p+qiGYaSUKnUc13VT/szkfGFXVP2+A2xpvtzGTS+l + ip063dVXq3rGigr84/+848orr0yiyLbtXq9HEFb9cl3XVdJjmmZCU0U0LxdLjVo9DALO+QI5lXGV + TAshTNtKKXUcp95smKZ5/be/1e128WL/VU2QXsKOkTxABNmLEM5SejuMMWXUQymNoqjT6RSLRQCA + 4sOotrFlWWrstkikYa7rqhUspbRtO47jVqulbqzrur7vB4GnaRqAz7AawHO6+L8WaqKNMbZtGyyS + ENQxs5Rq/xYhgjgyiBaGoYKrqXZ4LpcLw3D79u1Kka/daaIEGYYhFm8pxBBLLBFcWleGrqsau9fr + 2bphmmYcJmEY6q4uJaj09Xue9+S2pziQuYzre74S9aI8dQ2nVCoVSvnQj5QeYBRF1Wp1fn6eUmqa + ZhiGMzMz6mBWR2wURUgjQ0NDfYMDQRgauo4IlhBQxiQCGCMqBdE0P4keemzTjzbeSXQtZtS0rUwm + k9D01+75EqRHlUZqmpckieIWp2m8VDxAiFWipQqMJc2MNBVScow1QoiaKdm2jTFOJFCGwkveteDZ + 3xeSQPzGq3SJM6H2R8Mw1FBRPWiKnbkvQQIsIvrUhwQAOI4DAIjjWF0seDYBaeFt9vN8qaOi0WgU + i0U1GWCMWZb1DFTvDzN0Xe/v7+92u4pepbqk2Wy21+st0fHVb6oZmnoeVe2k6l7FpgvDEGOMtQVv + KLi4ZtR6kFLCxbKcLw40ut1uPp93HEfB5ZfgK8qdcHR0VDUs6vV6Pp9XRbvaWNQpqPCcumGoPUH1 + uRRbEiHUbDar1Wqv1wuCYHBwcBESY7WaDYyhGpEJsdCmUQmr4zi9XlcZVQEgTNPWiJRMSgnU24F9 + CmkEkR8EpXxBJ1oYhmmcAC646hkJCRFCmAso1BaqNlUhhGFonHMABeWcICCRCYDiPC60DJZqUV3X + e70eXXwkFQMTIBnFiU70lNHx8fE4jnXbxKrE248os5r8LJ0+ECr/UrAI+X6WSoQq7Z53nSztt/uu + B/B8banfol31BxAQwjAMXdOilKZhfMghh3zkw2cfccQRt99++5lvO3NyeopyViwWgySGEA4NDanF + rOZ1S329/VVHf4yXbahjRc0AVbagaRoAAiEEpHzb294mhCgVK7quv+51r1MPV39//+zs7Pz8fLGU + z+fzKslkKY0En5ubM1y7WCwGLAGJhjFuNpuYy5UrVzbr8zz09ZzrpwHUDEQMiQTjKRQACSgFlCln + PGGMGbojmQRESilN29JMEvmBCZ0oijDB2WyWK9wNkJqm2bbd6XTU+IsQnCSJSHhfX1+zVnNMC0JI + GUvT1M64rU7bMIxyuZwkSRiGuq4rS8ZKpaJpWsIS23EarZZt23Ga2LZNdC2IQtO2isXijl07FXaj + 53u6abQ6bdNywjgCAHS73cHBwVqtxjlftmyZalNWKpVGo5F13OXLl7daLc/zsoV8kiQJo4pKpKib + hUKBL3ZFEULqCFCJZZSmEEIlspWkaT6fD8MQLIK2FtIDIPe3Wb20ISDAv+Nt7yUrkAAAnHN1anqe + hzFWUB9DMyrFcmu++eimTXEct9vtfCb7zW9+U8GWFEIMAKDUCNrtdj6bS5JECmGapoZwr9dTJ2Kx + WBwYGDj62GMSRiljcZqcddZZaZSYms73gTyifdSN/yBCPdtqgqn+VxWKamdX09ulxad+Wf2NymDY + oj5SkiQQQkppu91WVD/btsPQDsMQomfEMw409kf3X4oDvdGCQQhhua/KGEs5GxlbZhhGvdloNOq6 + pTPJ/MhXxjhsQVMfcL7gmC4EABAsAjiFrhtRFNm2jYQMwxBK4DgOAkDhA3fs2KGu2gsDjBEEwPP8 + arW6cs1KyzY7XscgBsFE3bf5+XnLsjBEMzMzE+N7JBeqbtENIwxDy3Wy+Vw2n+NSEINwwRR1BGko + pZRgjSMgsCxUi1EcW47NBDM1AwCZcvrc2760UNVXrEY9CkWpBqEYY9X9iuNkaSiqEcM0Td/34zjJ + 5/O+7xmGKaXgXKiCRL2aqmYBAAQiIYRuagCAXq+nSlDTNGNGJQSapnmep6ilnudVKpUlJzHLsqSU + tVptsH/AD4MwCl3XVZN0pUG/YsWKRqOhyjklaK5YW51OJ5PJiJgJxi3T5JyHfpDL5TgmNEkxRGEY + 5nI59WtqDTebzXK5oqYo6kjodrtxHKupkVp+aZqq4j+bzSKEoigaGBjYuXNnuVzOZDKTk5Ou67qu + 2+v1pJQK2dVqtY4++ugnnngil8txKVT62+12K5WKesosy1J3TL2y0uRACFWr1SWPNVUQKgqWaZrd + bjeKor6+PlXJUEpzuZzv+xrW1LXrul4ul6empgqFgqpR1ZsqbrEySpdSUpoCJIGQOtEkF4amB57v + A8+xbCnl3NycGi6pgVKv13McV0nex3FsWpbSvVgS9DcMQ/kcqM0WIRTHCaVUKRGlaVosFm3bbjQa + 6ptdmsItuGpCiFX+LqXgvNFo9PX1dThXX4EQQkOYxolatKZlq+NT1TYQwkU0rN5qtTKZjKLqqcMV + AKCgespJkBDd9/1yuVKv10ulkjogms1mPp/3fT+bzXW7nShKEEIaIgA863BFAAIJuOBquUZRVC2X + 63O1XCabMKpBaFiG53t5Nx/HKdZxlEZYx4ahJUnS8XpONsOlhBhFSQRjIiFMGbUsS0LYbrcHBwcV + Y7NYLFJKAUJRkjiZTBBFRNc1DQIEwyQmugYXEW6qKeM4DpNiYTK2z9P93CbUs3OFX58gLXA7nxNL + Vc9zjrJfz/X/gA67FxGqR6DkMf7l3/7l0EMP1SFpd9uvf/3rTz75ZICRm83Mzc1VBweOP/74NArd + jKse7aWmknqd3/Vd2t/rM8bz+fzk5GSpVCKE+L6v2AFqV1/a3tUjgxCC+wG/qHpPMQ6URaHCtRJD + B+CZ/Oe/TSwlOUKIUqnU7Xa73e6KZWPbt+88/FWHDfQPGYaRyTpxHP/JWW/zfT+fz7darcce35Qv + ZAUHNOWcSQixZVlB6AdB4MXhgOmWy2XZ6XU6nXwux/xQ2VsHLIqTJJPPNrtdzjmBBBKdJ5QxbmuW + QYwoiAghlm6kcaLZuqAsW8j5kReGYa5YBEhQwdM0lcq8GAIAgDrUAABqEGTbtmHZ6ghWkzEAgKIY + qANXFYEqqVMpsYI3FyvFemNe0zQn4/Ke4JwXSsWpqalqteoF/sjISLfbtVynWCnv2rXLch0aMSEE + o7RQKKi7tyS7Zdu2Wjnq0FdqXqrXWSqVkiRRKYFq1yp8tSqQHMcZHx8vl8uqR6bOGgCA4zhpmqoW + KtIW/QalBAv6TUAI8f+izPfzxlJfXLUbVWbPKMUQ9brdaqUyNz3jOE7GtL1O96y3vT2KIgCAlFKl + IFEc67o+MTGxfGws9HzXdQXjQRAUsrm+anVqaur222/PuRmdaApWTBCOomh/6mov2UUd4IDw9wh0 + UI3PJbyievByuUKxWFyaIIF9046XqKl2gFeMMCSqBhBCKAP1IAiU0eELxAJ8aJ+u7cIUQgKVQWCM + oQRISMaYDhfYaIpuKCEQACRJkinm+waqlm1LDEzD0nWdM+botvpISZK0261ms0kpRQQrUnkQBBLB + lNH+oUE3l+WcRlGsaRoxDYyxgAIAkQAONQJ1IjHiCAiwgGRTfZQDr0uREAvIuqWtDWMcBMH09HRf + X59tuRBgQjSvF2g6RuT5v0e1HjzPU8JlnU4n52aIpgGCvcAnhFSr1SAIcrmcokIhhFS2rRONMZbP + 5uIgxAiVikXGeRgElmVBAPr7+ycnJnL5PMFYsVkYY+12W6Go0zR1XVeNjNQUt16vEg1qHAAAIABJ + REFUq9aGypXDMMxms6py0DRt5cqV09MzAADXsjVNC3peLperlsphEndbbYBRPpMN4ointFqtxkHY + 8/1CobBr165SqeT7vtIoU4UEISSbzc7OzhYKhUKhsHnz5kKhQCnFGAkgJReEkEZ9XjcNBCBCqN1s + ORlXckEphRLkcjmC8I4dO0aHRwSQURBGUVQqFNvdTugHRNcKuTzGmKXUNE1D0/0waM438vl8HCeu + 6wIustlssz4vhJCM67pO46Tl+2tXrZ6cnmJJCgBgSepHoWHovV5vaGgoTdPp6WnHcarVqhBC5dyD + g4NKk1CJ4JdKJUpZq9VS4nIIY9W6M00zSRJKqd/z8vk8QXi2NqcTzc1mTMOwLCsMAozxyPBwfX5+ + z/h4LperVquqI6gE4tCia1yYhH19fbOzswCAvr4+NTvt7+/fvWOnbduqICwWi7Ozs9PT06VSKQxD + Tdd9zyOaVimXdcOo12q6YRCMPc/LZbOWbXc7HS5EsVDodruZjJvNZicmJvL5/OzsbLVa7XQ6uVxO + VZvdbldK6XmerhuZTGZ+fh5gCSGUCC7NP/cdOgEAuBQpY4hg23UMx8ZSQogQxlCDkGGAIMRIzbhU + 00F5kfuRXyqVoihyc9kgCOrtZtZxVarR19c3MTHheZ4a2kRRxDlPaAoQBAgW+0qxUuTXNaJrCCGu + FO0X45k96vk0xP8Yv2UogpxC9Vx66aWZTKZcKKoxUTabna3XLMemlOq2BQCoVqtTs9NLMt8KXAf+ + r0yQ9rfPqxbb4OCgpmmdTkf1xSCEikaoHkm5KKnyAq+v9JkUhMRxnH2B2c/7Sf7Ql+LSIFdpzKo+ + S71e/+53v3vLLbc05xu5Qn54aCClNPD9TreLEUIY0zSNk8Qy7DhNFpqGCOqmoWtGlKS+7+eKpXK+ + gIScmZge7R/MFeyJ3buypQLW8XyjqelaHAVYINd2cuUii2gUhnEYCcELhbLneYIxybBtWUkUx0FY + zBejKCISiUXtJbC4X3meVywWPc+LgnB4cCiKona7DaTMOQ5ESPU9FjYQpYOKkDqFhRBBFDiOozp0 + U1NTSpi7PlcDAKg14Fg2SymEMA4jDROe0l67gyQggIRJmMlkuKbPzc2lSVIulwVf8EFstVqO4ziO + Mzs1PTY2BiGM49jQiEIbqVRcJZBKOtK27eHh4d27dwc9b3h4WL3F8uXLla6pOj6SJCkWi0mSRGny + TBd+UYcJ7h9C/5LEyxRi97wfC0EIAGCcSyk1hDWEmQRCCEGZhrReu7dm1dpWo4kQGukfVnNSAACA + EAEkgWRAQAATP16zYg1GiCBC00SVQzRNR4ZGDzv08Nm5uXajncnnKJdB0CNEfxFTkQO6py8O4Pt7 + EeKQjHPAVWGQRrESZ9M0LaUxeD54xkuifPqb47WWwtBM1VxZgk2qk2yxM/qc7X5fsxGp8K3PFoNS + BRJEEEgAAQILjkmMMS4EgBAgNRM38sWcW8gBDScsFVICAVjKvDjQMFYTkrnZ2VarRTnFGAIgdINA + AjVDH10+lsm5CY01TdMdi9KEpjFCCBHMIaAsQQbGhsLdSS5/qxurISwl4IzHcVwYLAYiYAmzbTuF + 6WGHHtpudWu1Wi6XM3WTxSFNuYGxBM+CUKKFBwtohPCUQiFdyzY1HQjZabawoecy2VarpWoAmqSl + Uml+fh7ruhprpFGsulwQAiFlt9VmUuTcTBBHkvFCubR8dFnH69E4iWkaheHAwABNU13XM5nM9PQ0 + jSJN09q9nuu6y0ZGGo2G3+312h3G2Jo1a6ampuamZyqVSqVYarfbc9MzgAvDMICQhWyu1+m25hum + bsRpkstkBZCWYUZRNDs9Yzl2tVxRw5Ccm8k6rmNanU6Hp5QxRiAyNb0+Owe4AFxAIXNuhiUpgtDS + jSCOABAEYV3HEGFLN+I0XT66rOt7knFTNyzdqM3V+sqVrJvxuz0OpKBs2Yrlv9q8JZPPrRxbPjdf + 1zHR3My2Xz255qB1kR9IKfrKlUajYVl2a74xPDg0sXeyWq709fXNTs+YtkUMs1wuj+/aDRBMmdA0 + wlJayhdM09AQ7rU7QojVK1ZSSsMgVN87S1KEUBrF+XxeHUJKbIAyhijVNC0JQ4U8iaPIMAzLNDud + zszUdF+1OtDXzyiN4pjR1LBMyzDjNKnNzpm2tWJsOQBgdnrGMAzTNDVMOOdccIAgxlhyuWfX7mq1 + miSJhnC5UEySJI3iSqlMCBGMT++dwhBxylaMLe/5XtZxgzjqr1Tbva7f7emWaRCNUoolqJTLzfr8 + 3Nzc8MAgk4KnNOu4jXpjYveeUqmEJMzYmciPirkiQmi2OQs44JznMrlGo1EoFLyOZxsm3w+EUiVM + aoWrQWuUxNOzM4amQSlZyihnNBGcMjeXFXRhoMo5nZuv67q+/pBXbNu2zfO6XuBXq1Xd1JrzDcZY + pViarc0cdcyRd911VxgHEgjbtQghfuTDFrSoM9eqxzQVQrS7HcaYhp+ZSEDx7Oro2Q/g88dzd0v5 + R/TXC4UEMo5j1QwdHx/XdT0OQiFEf3//5OTk0OiIALLT6QCCMcbz8/O2bctFKV2wqJmhmgLP+/q/ + 68M6TRIF4W63WkmSqG6IRkgUhmp+qxGyBHt5Aa6Ump+rtggAwPd9Bf8Gi1f6zE8JAPhD58YDyTiT + zDAMiQln3HFdSzc8z6vNNxzHgRhFadJstXTL1E1NT/VOqyUAyGfyeduSTFLBTdvGRI+SlHOKsB7H + cTgzSynP5QtjA8M8iKf3ThqjyzL5nGDc0IjNYHWg3PZ8hBDiol6bEQkrZLK6Y3qeN1+f002jWCwG + sRcFkeUYOiT5TH7ebwJMEERqB1AzXwhAxnGTKAZCRmEYBkGr1crn85ViaWrvJAZQooWKSgGIFQ1V + 9fiSJAkTmiSJ4t9Wq9W5mTkNaZVKRfWq5ufnC4VCu9cVgqvGWa/XszTLNd2pxlTGdbudTqFQWD42 + 5nd7NE3TKI78AAOYzeYymczMzEx/f//8/PzAwMDk5CQkWCFBFHWz1+vpmOSyOdeyhRD12bk0ioGb + pXGCANQw2bNnj2EYChZuLbpBRFGEdQ0sFefwxdfnf/Aqdvtj5Sy101R6qg4zDWHXzUIJXNvZtWNn + KV+gUcxdZugmlxwAgCCUAFBGNaIDAIIgMIgeRIFuEUPT1etoCNuW/eSWrSNjy0zdaLVaQ8PDKaOW + YQZB8CKUpv9bhmqxKHioKjnUuaLpeN/qaGkbfUlk0BfEoA6kRgoW2WWKeb+kcv4CWL5953LP+qaF + hAgShCUXjDEMoQaxbRmGZhKM+aKDE0KQCZHQdHa+3gsDw9QUHhcDCIQUCUcCKh6F7/sJTTFECkEH + MZYQLF82umLVyp/dfXeSJKZjdzot0zRN0wQI+lEIEdIN4kUBNDSJEANS/HZW9wgtqDwTQtatW9fr + 9bZt26ZKyssuu+xL135laUpu2zaAggr6vG/GGNuwYcP4zl3bt29fv379e9/73vpcred7hx15xP9/ + 3qds27Ysq1AopGmqeueGYUR+MDI41Gm3263WIQevf/zxxyv9fWNrxvZMTiRRjAAwTKs138g4rtLZ + p5RW+/qmpqcH+/qnZmfGd+w86uijg8BP0zSfy6WUzs3OppQSjDOFAmfsoYceOvqoo/r6+rZu2bJs + bCzjukmSFHIFtVAVw96U0jRNPdHDMEzTNArCUqlULpaazWaj0UAIuY6DNTIzNV2uVrJupt3tYIgM + y4zDKJfLZd1Mp9cd37X737/1zc9+5vyEpkmSYABt2+7NzZXLZc/zAso8z8MA+t1euVzuzM/bfX0E + ojRNC9mcordW+/uf3LL16KOPnpmZGR8fN02zXq9blnXYYYdNTU05jkMgmZiYOPiggxrzTQwRTRLL + MAPPu+iiiz7+P/4HEBIjdO45H73oc5/TDKOvUun0eoKxTqtNWdo/MKCmLhihiZkZBGGhWDR0vd3p + OLZdLpfnZmct266Uy2EUdTqdvr4+5SulOBUKwkcpTaN42fCI53mtViuNYtUCdNyMajoYiDAEsABJ + GGmmMTAwkCSJIucozJIAUjCOAVy9ejUCcK5e67Y7hmEQhBv1+Ww222w2bdM89thjd+/cWSgUGvW6 + bppB6LuuOzcza1lWsVRuNBq5XM513fHxcYJw1s0o3CCidG5mtq+vL5vNDg8Ph2EYRRHnIpPJ1Go1 + x3HWrFnz9NNPr1+//q1vfes3v/lNNYRUh7SUki1YYculNFf11JgUCzQthDq93uatWzqtFpSAQKwR + IqjQsI6RpsZo3W6XEPTLhx8aHR3+4Ic/+L/+5Wu6ZTbmW4899Ngpp71pvlbHGAMh0zS9+eabHccp + l8vVatWPwl7gx5xO7J3s+B4ysADSNM0gCrkUyjdKaVSA54gNSvA7x8T/PxgY45GREUrpIYccYlnW + Qw/+Ip/P12q1YrHYbDZ7vpfP5yFCrut6c76by/zapPT/zmRvf2+h2O3qXFYYUUWOV7+vXEHBIuVG + Sgn3UyC1221VQRFCSqVSHMdxHKNF3eB9a6Q/9NmRCkUmVKgz1fZVEkEEEcdxYoLCMGy0WxVSyeez + AoBsNttoNGKaAqoMqSUTQiIoEBQSA4Rsy/X9MGx3R6oDp77pFO84LwiCZq0+NDAQ9rwkCizL6vpd + QZBmkJyTQULSMEUAA4AYF4Zl79wzURno7x/s+8Ed/9FoN03dqE3XdN1ECKvvTjxDHoZhGEIAEEKv + ftVhW7ZsKeYLkvKpyb2mrqsJuViwvFsYRCsce7fbbbfb/aVKNpsdHx/PZDJpGI0MDLbbbUmZ1+7Q + KE7TlJqWiBLNNEyIIUS6hBpEmInYD1zDHh4Y3LN73HYdBKBhacSEAshcJjtbm0vjpFqtTu+d0gx9 + 985d/YMDaZpGcawGR4ojQ+Ok1WphjE3dwBCtGFvOOZ+cnFwxNsaEyOVyKnVU5FXf9xFCpmkuqXou + Lb+FvfGPEDsVclGNdAFcx9jCcIBxSikQ8lebtzz4wAMsSb/8pS/TNF2ECGMuhWQcEAkBTJMELPIx + oigydB1JILlAhn7wwQd/+zs33vnTnzoZ96/++oNBurBBvFSf/w891Far6Bbq/FZfAU2fRRoGi9so + 5y+BJpiasB1QgaSE8xdWC4AEanJRrRgA8GsIe1UaqabCAiFpn9+RXEgoEUacC8EYQoiYhm3ZBGkK + 7QkhBBgxwROahkkYx7GYF4s9RY4AwBATjmQqXNfNZrMQQgkhxEgCQNMUQeBH4elnnvHwI4/M1OYO + OfSVSZJsfmoLIURTEsl+x3Ic5eOOdcIXfKYAAAAhiIGQQB7oiE0wjjEmCFuZ7JGvPrzX603uGZ+d + nR0YGFqzavX47p2MJlEoFQvFsEyaPL8MFiHkXe961y8feHB6erpUKK5bs3Z277Rj2b/aujXoeaZp + xkGoXFx1XS/m8p1OxzSMJ3/1q/5K9fFNj176+Us6rXa32129cuXVV1/94b/5m6mZGceykiQJPK+Q + yxuaPjI0vG3btmK5BCXIuZmBcnW+Vq/V5wYGBro9jwqOASyWiqHnz9frA9W+Vx5yyOzUtGFbwwOD + SRwXc3m/2+vxDgCgv1rtdrtBENi2HXhekiT9/f1KSC0OwziOLcvKZTJxHAvOJUIZx2nU5w1NKxYK + GsYAoXbcbM43NIg4ZesPOuj4Y147Oz09MDTU87qMMUPXGaW6pg0ODExPT1crlTAMS8Wioeu6piVx + XCoWMcbtdhurRJzSBx944IILLpiRslIuq6JifHw8n8sVC4W5ublisTg4MNDpdAxNwxDSJDU0DQh5 + yuve9Oi7//zGm75DuXjLKafc9O0btu/cUZ+rzTcbo8MjBKFly1fMt5qlfMFynWZ9vlwqWbrR7LQt + 3SiXSnEQdtrtUr6gW2a31eZAmqbpeZ7qJipxOZ5SntIoTTOOOzczG4ZhoVAwTbPdbGoQdZstpbCS + hpGAwLFtIGUSRk1/Xkm6IYRSzhR2HGOsY/LVL3/lHy6+uNvtSs4VE8xUaEnbtgxz144dOtG67bZO + NEPTAs8TjFXL5VqtlkTR0NDQ7Oxso14vFYvtdnvZsmUIgJmpqbGxsaGBAc/zMrlcbXbOtu00ThBC + LE0qpWIYhpN7Jvoq1cNfddg7z3rHA/fd/2j7UdfOUJYSpaAtF572pe4XZ0ylvJBg5Vebpmmz3RZg + wYc1CgPJJEFJX7nPtAxKGcLYdu2du3bptjE4PDJTrxmGUS5Xe4lXLJenZ2eH+qqTeyZKpRJjbMWq + VUEQNNotomuGY8Vp2u51vcDXuM6lSBkFAOi6rticz+t8sJiIv2B6+sd50QGG6qAp9fYjjzzyzW9+ + s44JhHDXrl333HPPT+76qWbomqZFNJ2bm8tms363RwjR8YLRiFT+wgi9JIiJF4gXALMghBQxGADQ + bDYVg1+xO+Ci/spSbbO/z+k6joIxx3GsEZIAIDjXNW1f+4R9c9MXRzl++cSSxLHK3RUaHyGUyWRT + SiljCOM4TebqtZQt0HgEkMrN3NAtSmmcJpRxgAhGRABoWQ4SCAlQzRbefspp2Wzhl48/dNddP92z + Z89otapBrIXpsuqw53domqCkx1PqIM0wjJhRCmHsea896ohde/euWrFy/UGv+OnGOwnBkklsPZNC + w8WfCELHtiXjxWJxyxObD1637oYbbli/fv3y5ct7va5KS+QiXQcAACFUbFXXdYeHh7EA8/PzxWLx + 4x/72Imv3aBh0mw2K5WKYRgZJ9P1usq/HiH09JNPjYwtMzW94/UEZYVyqdacx5r2/r/8y4TSKAj8 + nmdomh+GLE6OPvIoyzC4lKODQ+MTE3EYquRcMq6847qdbqFQkIgFkT84OFjI5fL5vCIeN+p1wfjU + 1N4jjj6yVCppmvbkk09Oz8ysWLGCUhoEAcDP7GxyH8AhkC+7odABxYEVSGj/xo7qUVfjCwWmVDco + SZInHnt83eo1D9x//zVfvAoAQNNU0zTOmKpEMUQEYbxYaDLBCCJK2xRjEgdBFISFYvH0006/4447 + AEaKspKvlIrlktod/hhgUfUIAPBrsGY1mfm1sh78BuoLv+n7wgMrAdSsQImSKako1RJWyIHnxtLT + tVAmQQAWzUklAFBIICSUUmUtpqbrREMA833AewlNojRhghNTF4ynjAIpEEYQISSRFJLo2lJTBADA + pUQEE10TEBiWecSRR158yed7vnf8hg2NVvOpHduCKEwZFRBolpkp5qMoQrq2oBq2CPN70fdT9QhV + /0yZWKui99RTT/3Zz372+OOPH3/88du3b1fEIawhKcWSVN2+vdI0TR3H2b17NyHkpJNO2rlz5xVX + XJEr5J2MWymX9+7du2xsLAgC3/dt20YIEYwRQn3lik605nzj/L/7zNFHH53P58/75Ke6Xm9671Sc + xHk3c+qb3/zEE09EUTSxZ0++WOyvVo8+9tgnn3zStR2FEV+7arVt26ACAACPPPJIPp9fs2bNtm3b + wjAcKY1s+9WTg4ODShPCMIwVK1bs2TOh6/rY6LI4TSbG9/R8jyZpJpcFQhaLxZ3bd5i2NTQw2Ol1 + e52uZuhRFI319dm2XSqV7r///sDzOOelUml0dBQAMDU1taCOCuChhx7abDZtw+xSD3BRqVQatTrA + KA7Cww47rN1oYl176MFfrF631ut0e3G8dtXqUqk0s3fKC4PazGyhUABcSClrM7ODI8NBzxsaGkqj + OKZptVTGukYg2r59+9joGBASYxQmaTFf8Kn3vve89+67756bmbWQGYcREDII/NccexynLJPJTE9P + CSAHl/Xv2rVLSrl+/fqtW7fqmBBC+ivVNJfu3r07BrHSTs0W8q1eL4pjwzA8z3NsG2PcarUGBgbK + xVK73W43myuXL/c8rzY9MzIyUimVO5nszMwMi9NlI6OO4zRaTUs3wiQu5PNxkqiaU8EqFF3KT+L+ + alVKGfq+rusrV66cmZkhCK9asXLnzp2MMZ1or3vd6xqNxuTkZJqmRx1x5N13371s2bL+al+5XHZd + FwjZ6XSgBEMDg163V6lULMP0PR9CSJM0DkPbtiuVyvT0tJQyl8v19/dPTU35fqg66xk7E0WR4ziK + KsIYW3T5hEvrWQiB9vG9jeNYdeKE4BAhCRjEkEthmKZkXCIYxbGG9Ww+JyW3My7WCAW8OtA/W5s7 + /a1n+lH4v/7tXx1kUZk+9ItffPQj52CNbN26dXh0pFgsJjSN0xRrJFfIAw1rBonTRfFGAFQjBj6f + rPZib+SP8VKGmigqhZjrrrvu2muvPf641yxbtuyoo456z3ve87cfPcdy7F/+8pd3/mzjvffeq+DN + SzMZtChm+7uujsD+CyTViYiiKJvNBkFgGIbCSnmep3DmSklS0aXkoofkc0NZiA4MDPR6PaVq+2vT + y6X47zFBUqee6vOqPEEp9/Z6voQw4ziaqQde1wsC3/exrmVsZ3T5WBzEc/U6BNCwbdO0JYIJ4wiD + 1EulIRATBGIiQH+2zAC4/+f37Rzffe2VX/zhd24OZmqvecVhX7jwopxJRJLEURQH4cjIsrWHHDI0 + PFQeXVYcW9ZK06uuuXpo2WilWGq3u5VSuVAoKu4NePYXoagliiAEhLjskkuLRn7F6BijTPVtpRQL + o8JF7pnruko4rtvtEgkzmYyyBb/mqqsffvAXCpk5MzOjhBMAAIIyTdMqlYqCPGzYsKFer++dmZYY + Xf/Nf281mglNS4UipimnbOXy5WNjY1deeaWUcnp6ulKpnHnmmdIw6vPzqrm2pO3k+75j2aOjo2tW + rbrgggtG+0YDFkzvnfr0pz+9c+fOv3zfX7z3L94LCXYcZ/PmzRf8/d8rtpKU0nTsZxbePkvyD7o6 + Ai9ugvT8O4GUEACMkBSCpmkmkzEMQwMICFGr1Xzf92xb/VuCsRSCQAQkAEKJGEsAgJBCQ5gg0vW6 + jm7ajgMYN03LtJy56anbbrtNufl2vZ5lWYQQpaD10nz4/QSSgB/goff7OiOdxcmMskhbHNAhzp5H + oQEAsL+C5IBCuRMc0COw4G2v60IIRTNVfHTTsPb/JgBIKCEAAC20liVACpYgQZoyBIRlmKaua5oG + AIIQQ7Bw+ankCaMppTGNiK4jVf9AABCUEHApbdPIWBlT04EyXF9q7QDQ6/WO3/C6mZmZRqtpOQ4i + WDeNWqshgHQcR9N1lggBgRcGhmEsceogAApn9+J2BgghAoAyxjkPfZ8xxtIk6zrv/fM/+9rXvvbK + Vxx83ic/cd5556VpGkUCPJsFoSS2wSJkUTKey2TbzRYQ8tSTTzlu06aU0X+68os/+vEdxWLx8ssu + 27hx43/913/Nzc3Ztm0QjSCMEIzjOOO4fq931tvfbprm+K7dg8NDlVI5jKPPXfD3x294HYZ4fGL8 + E5/4xJ7Jieu//o1SpQwASJLktNNO669WV4wt/9KXvtRqtdI0Pffcc59++ulLP3/Jxo0bv/71r19+ + 6WXr1qzduHGjZZhXffUqKWWj0fj4xz9x2223ASEhRoHn/9UH3t/rdH9w2+0DpX4IUNtvSQjKTumh + LQ+f98lPTeydfOtb3/qPn//H6fnpIAhs07r33ntfc+xxX736qylIgyD45Cc/+eijj37z+n+PkvAr + X/rypk2bLrvs0oztcMo0Q5dcmIZx9Ve/dvgRr+aUEV372le+esv3v3foIa/8+nXfAEBOzU3f8aP/ + vOX732vU54OeRxCO/ODm79z0/dtu/cFtt1988cWC8c9fesm1V1/z8/vv+9I11578+pO2bt5qWVbQ + 8/KFfLfV1jB57JFNf/5n777xW98GQHqdbqlQPPu8Dx1x9FFQyBVjq8795Lk7duz45vX/fvrpp/u+ + f+U/ffE973kPY+ymm27qdDprlq/ZvXf3KaecgiH6zx/+KJsvbNr6xGcu+Ox8rV6tVpuNhpRyYGDg + 4IMPPv41r73skktOeN2Gv/qrv/r4xz9eLpb+/RvXO6ZFWXr99dffcMMN6w86+LOf/ayEoN1u//z+ + +y699FKkEU3XCSFMiiUeYNZ252ZmK6VyIZdfv379SSeddM011xy0dt2b3vSmiy66yLD0Bx54YPNT + mw866KB6ve553ooVK8bHx88880wp5ZeuuVaZJkEITz311Kn5vWecccZ55503WBqcbkz/5Cc/+Zfr + /tWwjO9+97uNRqPX611wwQXXXHMNxnj5wPIrrvnHb33rWzNTkzpAM3unABdSci44xM9AphdBGgAA + oGRdVNWksAO6rqecYYwhkAICAaRlWUkYYYzTNIWQEoGbzabqE0VRRDnjUjy1bVucJH/5J3/S7XRc + w/rIRz5ywef+/gtf+ILSSYuiSEIghAjDII7jmFGk20wI1baAyjMeY0KIer6RBPAZq7JnfeDfNA60 + qvpv63j0/IEJMQwj9gPbtkXKLMt6/PHHH3nkkdtvv71YLH7u4otec/RrNxy/YWzVym63+9AjDyv1 + rSXjTlUjvQC35yU7r/dTlui6rnT5lVRmJpPxfX96evqQQw4JgkBZdAAApJRqXe2v56vcwJUdBWOs + Wq16nscYQ0vwzn2U5V+qa/o9hljkCxCMIQAaIcAwYgCIbjLBozQJ0ghKoTRjm+1GEHiFYpkQTQDp + +56mG0oiECnjdcABgIIKTDSYCAhAlPgY4/PPPx9jvHbV6obATz38WJmj3pZdBqUFQxMAJP7OBzY/ + lRka2nDm6UcdcZQs5FavWCE410wjVyglKRNUYALFosOh+i6gUDgSODo6OjM1tfnxJx7b9CgHNPID + AYHi1jIpVA+XA6myNQCAahY7jsOiRJkflPKFLX5w/qfPP/zww7///e9P7pnYvHmz0qRVLd29E5Or + Vq169atfTQg5/JWHv+rww87+/z5iaHoaJ4ViQcMEQtjser1ON+O4v3jgwc997nPtdrtYLjUaDSHE + ypUrn3766VVrVj/20MPLVqxYuXzF3NycYMzrdudmZs/+0IcnJyfXrVv3F+9zVfLUAAAgAElEQVR9 + 34kbTgj9YO3atTfffPN//tePx8bGPvOZz6xdu3bbtm0QQtu2AV4wyFZt4n1SzQNbjS+3tftbQez2 + 3RLULUEIScYl465h53I5mTLLMNv1Rn9/f39fH2VUuSQt/SvBOV8cfSCITNNEAOXcHIYISA4gBlLM + Tc/ceuutd999dyaX9cLQNE0IYafVVhY6YIkJ89tcyQsGXMR7CLjgJIMUEW0/X+bv5QTzg2CJ66nE + Q6WUSZpCuGC+DiGE+2ieYvzSoCsPtEBSp9eS/5X6tLqu7+dVlnoSypJKLD1AEkIMsGCcpxRhYlqW + YxoYEigBQQBCLJCQEANKhZQSAgkhEwt1IyZKwovrkAgONM2Ai0puhBAhGBVcANnqdk59y1sa3faH + zj4ba2j12lUJo8uWj7W6LZryKAnjOHYyLuccEiwXD7aF7rKQfLHaEYv6e//Hn+qfK1CBZVmZTEax + ctM0HewbvPvuuwuFwkEHHaTclk3T9IKA6EQIqebZ6hWkAiYxrsSms9nsytWrbvnB9/7j9h/kCvmr + vnB1kiR3brzLMAzf99vttnI/KOULlFLV4tI07ctf/vLf/u3fEkKuvPqac889t1arrVix4sQTTjzj + zDOWLVv2+UsvWbNq9d69ey84/7OWYzPGrrzyyreeceaDDz742c9+9tprr73pppsOP/zwqampbDar + TIFLpZISyE7TdGRkZHRo9JjXHGNZ1qoVK2mSvv/973/DG97wwQ9+0DJMkJVxGH3w/A82m82rrrrq + pptuuvPOOy+//PI3vvGNN99887vf/e5PffZTu3btuvDCC88444wtW7bk8/kdEzvOPPPMD3/4w6ed + dtrmzZvf9a53bfrlpg1nbCCExHGkdHUppUNDQxMTE+vXr7/26mt++MMfvuUtb/nABz7wwx/+UGHB + j33NsY7j3HrrrVdddVW5XGYppZSWCsW77rrr9Lec9sB99x91xJFXXHFFqVAcGRkxdaNYLD7yyCOm + birHiZmZmb6+Pozwv37932688caNGzcKIAaGh7rd7lnveOebTnnTunXrLr/88uHh4bvvvntycvLg + gw+uVqvNZnP37t1nn332o48++o1vfGNkZOTcc8+tVqvFYjGTyXzmgs/cdc89CGMAQLPRUOJvilA7 + MjRECBkcHJRcREH41tPPyDruhg0bbMfqdDpjK1aceOKJP/7xj7994w0f+tCHXn/CiV/96leFEFRw + odh3EAIJhBSe5+VyuW636/v+K17xCqVI/spXHZrP53OFPKcMAvR3f/d3CU1/+qM7jz3r2Ewmc9tt + t1UqFc/zms3mrbfeeuedd55zzjm33HLLu9/97j/90z998MEHr7jiissvv/zoo4/+53/+55XLVziW + c9KfnmTb9uDg4LLhZW948xvq9Xomk83lckKIBCTqqQyCQCJpmw5YSB6ekR5RXAvFXVRqDYvGSgJj + qPCsVDAhZcoElwIAZFkO0ggHUDcN3TJdK9PqtMvl8n0P3K+qO86YtPmtt9563XXXXXr55XGaOFJQ + KTDCtusYltn1vbDZTCjlUgAENUOHEHIpAIQQI8C5gAA+nxvSge3/L7eM4GUWLKUspa7rBp6fRLGy + B7ziiitOPvlkCHGj3bjqK1ftGt+9bdu2qZkZ23XUNq4GLGLRF3GJM/Z/DLmI6tz35/5iAfAtAQCA + Uw6er3plkkUJ83seC5IoDE2oRVG0enTFj77/g263E0UxIZgQjXO2qCSxHzU8XQ+iMJ/Pf/7Sz99x + xx29Xi8IQ9u2l7zC9n1ntA+U4Neu4gWW23Ov/fcYS7Mj5UOoBi+UUt2ygBAppUJywzAIQWnKBJOR + SGWrNTAwtHL5qnq9Xq/Ph5FPMDYwSXmqEeJYth/2BFx4YG3D6tTn9+zc4SKZer0j16+fi8Ttm7ce + MziYZUzDSEqJNH0+DgAAgwhlNNzotI49/HDXtZ54aothYgBwu93OWBm56O4tn2WnIvdOTR6y7uAr + /+mfnnjssQ3HvRZIgRGKokgAzgGUUCBIIAYYYSm5lBAA0Wx2TFPvr/R1e22FASlmc2PDowSgezfe + feZppx/z6iO//vWv+56vjBNXL18xP1d78Of3HXfccY5hJn4oUmobZsZ2WErbzdbo6Kin61EQFotF + NW7inKdxIrkoFYvnnnMOl/LQw171F+993969exWabmRoSAgxNTVlWZZrOzue3s6S9NWvOuzqL15Z + LVds137gl7+QjK8ZW2PoulKyVSKTYlGbQS5CAAB4eekDwOdjPLywEtsBZ8lLUssSAAGFqhOgBJxL + ACRNmYGJhfRVQ2NpnOimJpi0LAcAJCQMoiSbyTIgldIrAABhLYx90zQFQHO1uXK1P02ohgnAgCWM + 6Ma999xz03e+C5F0Mtk4ZYwxN5thjJXKpV6nK4VIwxgbhBCiWGIQLrLfMALPHvC9uAf+eWSH4DON + e7HPf6r4kL8nc+slHXq1uzEpAABw0YVQAoXr33cG+tKs3AN9FVXFKaV/AIDqEgGwn86oBBAAJIUC + 8i2K5iGgzgDBMca6gVnKX3/8iUEv0AiyNY0x9sTWLUEYSEwSlqQ8jXmq2SZjTHBO09QAumVZLE3T + iL75TadhCVVzOk2Tbdu2YUy4oL3AG1u9cnJ2LzH04dEhKtJStZTN5048+cSbb7k5obHtWADKKAwI + RoA/M2hUjQK1GuSi0N9v+BMAoBOSxPHo6OjWrVuVsk2xWCoUCh2v873vfU+J2GzcuPHtb387hJCl + qWmaaZrajqPEGzRNi+OYIJRSdtirDv/Od27iUv7l+9/PGCsViu0nOj/8yY+q1b6M7X7qE5+cmZnJ + ZrOUUmygdrtj27aE0HKcRqv1yKOPdny/Xq9v2rTJIBqBSEM49P3bvvd9z/Pu//l9vXYHQ/SFy6/Q + TAMhNFIZRgCGfnDDDTf+zYc/9M53vev6669/eNMmrGkQY4AQxJjoupvNOplMu9t94OFfYE1LU1qt + VocqAz/+j/+crc3+/O57Jsf35HI5JEE+k9382OM8pXt27U7CSEN41/YdlmX19/d//h8u2bZjG2Ps + np/fu2dy4oTXn/jU09tyhfzUzPShh72KcmbaViJTrJEwijKZTBjHWNMYYwmlumnmCoWf/PSnAKEd + u3blCoVOr5cvFr0oAAhJCCWEiBDLcYiucykp5w/84hcf+Ou/PvGkk/pKA/fedx+l9M2nnuq6bhBF + xXI5DqNSrrx3eiqTyVDOBJCdXveaL137d+d/RgN6s92an58nQPvxHf81Nz+3feeOJ7ZsyeRyd997 + 71nvfKcQ4l+uu25k2bKBoaETTzrp0MMO0zTt8ccf7/R6WNM0bNz5k58alhklCcE4CUKv03UcR+mk + 93o9TdMopYVCwet0H3n44Wwm871bbnFy2c/+/QVbt27Fmnbqaae9/o1vqNVqmx57LElSQohkggth + mibGWGlhIwAEkABBAWQ2n+v0ugBBJ+P2fM8L/PUHHVz352drc07G9VIfYtRsNl3NxgASiAAXjmnR + OLn3Z3e/4qCDIz/wu73TTjn11a86zPf9p598ijEGAKzN1zEmEKJut/f4lid++MMftVqtiy+++NFH + H200GmEYZnNukiTzjdro2Nh8pwUQgFA5gS1C7KQAECCCJJCUU6xhCWTKUs3QpaSarodRnMvnwyii + lK5eddAZp52+5ODxb9+4bsXalRQwousSQp1oGiHKtypKk3K1Mj6xJ2UUG/ra9QefeeaZYRgSQ9d1 + /aabbvKDgEMJCZJSxjSBECINCyESlkIIJQQAAQmfdcaIA95WX04ZxMsskAQYY1PXoyAEAFQqlXq9 + Pjo6qpnG0zt3lMvl3bt3K7ppt9sVjGUct01TReWBEOIlU8T9ox8lgEsad5xzgJGOCZOCJinAKOu4 + rW5HGTqbpjk3N9c/2A8ASOI0jqKcm8EQRZ7vt7teu4chknwB2q3gowo0pRuEplzttNPzXUppYZ3b + 2lt705vf0O14QehpxBCSEUIMonm9gGCMMEYIcbCPUTIC5Wrli1ddCVOhbPo44Jpt9jyvVCpP753K + 5/OGrtfrdcdxGGWGYSCEOp1OrlgAXHR9L5/PG4YR9HrdbndkZGRmZmZoaKhWq7muq5wDmBSSCwEB + BnABxH7gaL2XKvdRABCFc9F1Xf2laRgsSQAEGsEAYCklpRxCrEaFcZzOzdSqpXIpX8ASICFSzzeF + ZHFiGjalqZmzgyCEjplKqkFoSAl8PwvR2pHByV8+OqxpIwY6bqSSS+KsaSZR7IWRNjjiu67jt0G7 + Xh4cNHWIABtdPvjoVlgtFUsFOxUSoIUsa2EnEBIBgCHSNM0Pej+96ydHvfoIxpN81s0VCpzAlCUJ + 5VwyABDAAECs8kdNw7lcTkouOS8UcoVCidFEJxrgQoPI73TfeuaZhVzh+uv+DUvQX66kaRp0ehpA + RMJ1K1f/2VnvnG82aJIKzoGUGKD+SnV2alpAUCwW283W8ODQXXf+NI7jd7zjHZVSud1un7jhhAcf + fuhjH/uYMmCozc5mXde1nbm5OQ2TKAgt3TjmyKPe8dazPvqxj7qOc/+9P7/wcxcfccQR1XJl78zE + PRt/lisWFFlGKvMD+Mx9WMTQvOw6QEsDlaVEfekPUAIkn0lFJXyxEyS5SD5RICsAgaVbkgvIJKVU + crBqxcpuo+P3PBrGaZKwlNZm5y655JIwDBVJKZvNKtxCGIaGYViW1Ww2oyjKuzlOhWuZyvd9dHT0 + mGOOIYb+0EO/8AIfEBAmMUKo2WxmMhnl4y4xAEtK1osSbfx3c/CoTr/aPvb3C3+Eor+kIQQE+2o0 + LJjGAgiAlBxgiHNu7rWvfV3BzbuOJWj85FNbf7X9SUQRMTQv9qMkkQTFSQIAwAhZuWwSRs1mUyck + nym89rWvLeZKlmVJybdv3/70ju3NdhNpqN6Y7wTda7/6la7f0XWdS/nJ8z4532p+73u39Hq9TCYT + RZESuwQvCPuW8AB+QgkUf2zbtm1r16498sgjb7vttnq9nslkzjvvPMdxKKVfu+prbzzjjZOTk9ls + dnBwsNPpQCkl57Zp6rquRiX5SkXX9ccef8z3fcMwhoaGpqenoyR2XZcx1mq1ZmZmjjrqqDRNZ2Zm + TNNUvp9Laffg8FBC02/feIMyGAUAEEKUbt6hhx5arVZ931fk6XUr1h13wnFxHN966600Tnzf/853 + vvPLhx8aGRn5wAc+MD09vWnTpm63qwaGhJC9e/d2u13LspQInhJ02bVn1/vf/37f9wcGBjDGe/fu + LZfLrVaLMVapVDDGMzMzUkpd1+fm5nq93kX/8+JNmzb19fXt3r27UCgssRSUkY76wDrUdV2PoigI + AnVpAADlElur1U444YQf//jHxx57bBiG5XK5VqspZP/g4GC73dZ1fX5+XqVEzWaTc/7QQw+dc845 + d95zp5p1rFq1anJyUmn+KM+cYrkUx3HP9wCAzXZr89Yt7/jTd4Yg4lJohs6BePUxRyhGmed5UsqN + Gze+733vyzm5q666anp6Oo7j++677/zzz6/VaqZpDg0NFYvFZreZJImEgGDsWLap6couSV1Utb8/ + DEPOuZJGmJiYeMtb3rJm7dpDDn/V//z8P5x88sntbudn99x99tln53I5ZQmlvBctw2CUet2umi4S + QnK5nBIRVnoPlmVNTEwMHHNMp9Pp9LoVt9L1elwKW3fCOJKM+7Ffr9cLhYLyYg+CYM2aNZqmDQwM + TE1N3XHHHRdddBHnvFAoKH8q13Uxxtu3b1+3bt2FF16YzWZPOOGEyy677Iwzzti+fftHP/rRVqvV + 7XaHhoZ839/XOWMJffQCm4OEgEsmIcAYIx0RZLiu67oZXdexRmq1uVyxcOqpp9743RuxRnpeFzH0 + pjPOePrpp8fHx6WUWNc4kIZtNRoNgJGby7q5rAIAm6bJJOUSCbAwzlKzLIggXMxcn7vV/3HzfwlD + AECkDP3AMIxCobB7567Vq1cLIT796U/XarVVq1b97TkfOfvsswGA7W77wgsvfPTxx38rfQKMIIRK + a4cYuqZptcZ8X19fEARRHBNC+gcGarU5wzQzmawhped5pm5AifxeQIMIYKJjHQDMGRcpVTgODDER + WHLGwzgOY13XCYd7d+758hevmh2fYpQ7rq1B7PmhgIluZwxJAAOSCwEEhHhJHVizdMJRbXoWCZlx + XAokhJDyVAj59NNPH3zwwZN7JjDG1WoVIVSfnVMIw3w+3+31Bqp9TArf9/83e98dYFlZ3v2208vt + d+7UrewuZSkLKmj4TIwSJeGjaBISYwzBKLYoYoxJVNDPglhiWURUolFBqlhQpBlRpC+wwLLL7g7s + Trszt5fTz1u+P96Z2dml6CqrYPb54+7snTvnnvKe97zP8/xKr9cjEI6NjVWrVWkdvujHmjAKwPwT + Vq4XmeTTPv/yd7Rv2xYBACjlQgD5DHIdJ5vNFjM5Q1UwB65hYFWtN1oMQgBAIhgHAgOiKWTtIasF + p3PVmU63lcx2MU31JLaSyAQgS1A563oA9NutpNkEXlCbmDj88MN7KkStWSdjBYnv5txO11vA50BZ + 2IEAQAjTKO50WkceccTErt3bt29DGA6ODE5MTiJNUTRV01REdCGEbOkDgOQWDEMzDIMAGMdxGPoS + hY4QktQ13/elAE8QBJ1OJ03TjONKGI5hGDJR6ff7c3Nzvu/nCwUhhJvLUkprtZrsQH7kgg9PTExY + ltVqtQxVM1Tj4x//+MxsdWRkZHp6etWqVWEY7tixY3R0NPD8ZcuWWbrxhc9//i1vf8ttt92WzWb/ + 6GUv+8jHP3zrT28zDOPLX7n0la985Z133hkmsW3bXCzgrAAAS/Qnnv/1n6U7uKfMvfDTfidIz9Qe + 6Xa7CiYaUmzdGC0Pv+9979OxFvoB4BwAoGmaLOlFUSQfPxDCJEkIIfJ9aWmfpmkxX/I8r1wqAgAY + 5ZigVrO5adOmmZkZAYFpG0DwTCYz16jrui7th1NBFyUK5gkkGMlSntzbRejRwXjBxUIuutCRWXIH + EowRQIzxrjffPInjOAj68jmXMJrEouf1OYKW5cRJgjEOw1AifTVDRwD6YaDqmm7pURylacKhABgw + IJIkzuVyuqXPzs1xyBBCQRxWKpXJmelutyt9+tI0lXPTc+tFqJvG2MjoS17ykle/+tWFQuGue+5p + tFqW40xXq/1+/+ijj94588RsrbZy9WrO+Ze+/OW/+qu/UlQ1ZSxJkjhN4zQ99thjzzjjjHXr1tVq + tYcffdT3/QsvusiyrM2bN3c6nT97zWsu/8531qxb94WNGzdt2vS5z31ucnLSABKCyFPGKOeNVitO + 05tvvbXf7R6z/qgnJ3abjl1vNVWkf+Nb37z99ttf//rXv+ENb9B1vdZvvONd/yz1VXXLHB4dOfkv + /nz1mjXj4+OHH7oeINTudgWEp55++tEbNpz0p6++b9MmN5uFGMdpmjIWx/HOnTtXLF/1/v/49y1b + tpxyyilnnXVWvlScnJkuVQZyxUK713Vz2fJgJYgjw7byxcLmRx4+43Wve9mJJ/b7/UsvvRRi3O52 + AUKaYSSUYkXhAOQKhXseuu9973//7bfffscddyRJEsax67pSVHXH+Pibzzln5erVJ5xwwtXXXtvt + 94859ljdNBNKE0rLlYqq671er+d5bz7nHAHhT3/607vvvfflf/InF19ySavTWbly5cWXXHLfffd9 + 6lOf2rZ9u9RAy2QyHADDsiKWaIbx6GOPXXf99e96x7sEhIPDw3c+ePdFn/50vV4fGRk599xzOWO7 + JiZ2jI/HcdxotQqlUt/3K0NDZ/7t346Njd1yyy0PP/zwromJOE2z2WycJpLnliSJqqoCQV3XbdvW + df3rX/96q9FUFOXJid0Kwp+48MJ77r/v2Bcd99i2baZtx2n60g0bPv3ZzwohLrroIkQIhBArSkpp + 3/Nc133pS196wgknHH300TfedNNDDz88NTMzMzv756ec8sHzzy+Xy41Gw8lkdNP0ROBkMqZpduO+ + 4zhJEGm6EcRRFkEnm/m/p5929LEbTj/t9PPee17X68/Waye95tXnve9f2u32NddcY7tO3/c6vV7K + 2Jp16xJKP/mpT91zzz0jIyNbtm7t+/7aQw/9fx/72Cc/+cm77roLYjxbm8sV8/N1kF+veo0xhhBI + 5YYoSCBFnuf1/T6JFSkO8a5z3z00MnjFNZe32+1KZeDE40/88Ic/8t/f/G8J23vDG97wlre8JQjD + 8sAAJqTveaZpJmnabrfDKLIsKwacz4tp8QUgzdOT4w/GgQjGmBRyFEKYpul5XqVSOfHEE48//vhX + /ukrORCzc7M333pLHMcPP/porVZzXHufcSN+laqbbFRCCAlEAADBOOAcIhQHYc7N+L2+YRhBEKRR + LItNnuelcSJ5TQiAIIqSKEKEEEwUohCE542GAcAQIkwQRAomhqancWLqmrTJ/vENP3Is2/d9XdUI + IYmiykaWaZqccyb4ImBLDrkwDOfm5n74wx9GNKKUMgwwxqEf6KoxOjzSbXdsyyoWi51mq1arHX7o + YbVaLQzDSnnA87xmvYEIztgO51wI7vu+/C7OuSyK5XK5VrezqIoOfgulh9/XKmve3SROu90uZ8xx + HIyxgKAf+EIwR1EUTc3ncjRJCSEEkiiNwjiZbTQGBw8ntrX8sENTZQpalqa6GsVxkHIsIIE+oELT + MrkSyOTLxSyrzc7WGrVWu+N5Xq87O1eHEElpyj0cMAAAABhAQ9Nvue224cHBfDYXpvGOnTv9KBxb + vswPg3qzGafJvCYnhIurCAAA51ykVKKINV3P5LIxTVvtth8EECHHdhkQiq5BSiHBiGDKWbfbhRhB + ggHBhmWOjI4WS6Wu1+/1esVyyXbsMI4yuawfBnffe49hGLK8JSAkgMiMq+f1NUPfPTmpaVppoNzu + dvLZXD6fv/TSS9977nvuu/9+wzQz2aybyUxXZ9rdjmGZs7OzYRjmioXW+HihUOh7HoRw0TAXQggg + gBCC/ZQJ2N/xc6Bn4d+kgySPYWmpDAmQyWQAFyxKfd9PvVgzdBVohqpHvi9li13bSeMk47gIQAEB + gihJE1VRGWeSQg1slwNBKVcUJUkpxhgTlFLmeV7KqJNxE5pGaQIgTDnTTYNyFicJB5wKzgXHCAMI + BecMCHjgVWsOxu8sFlpGe/G+EEJcAM4ZZDyNUwChZugQijgNIEJ+GLQ7Hc02pZ2rLG9gjCmllDGA + oDQn9Xt+whJFUyAEiABFxUxQJihWcb1eN5kR06g4UIIQhmn84IMPbtu2TcK+pQaRqqqy4UMIea60 + g4IgaLVahxxyyD333HPLLbcEQbB27dqtW7eOjIy4rluv13/84x87jtPpdN72trdhjCVlSDqs53I5 + 2UFqtVpbtmy55JJL+v2+oihf/OIXjzzyyA0bNtTr9be+9a3j4+ONRuO73/3u9PT07t27GWPzGGLO + VVWllF577bXSaYcD0Oq0H9u2NYqibD530ikn/dNb3nzooYee9y/v7fV69WbjzDPPfMc/v/OYY475 + 5V13VqvVrVu3uvnchuOOc1334ksv/tnPfqbr+i233CINHG+46YaZmRkAwPbt26+55hoJX56YmnzT + 2/7pL1/7uj9+xZ984AMfCOMoiqJ777/vyiuvNG3r+uuvn67OGIbxy7vunJycxBj/97e+9frXvx5C + WKlUVFX1PG/Hjh2apoVheM8998iyS7Va/cIXvvD3f//3juNIKSRZe7Msa25u7tJLLz311FMJIf/z + P//zwx/+kDG2Y8eOq666SmJgLrvsMimieu65577zne989NFHS6XS3Nzc+Pj41NRUPp8PguC22267 + 9957a7VauVx2XTdJEomSZ4xdccUVkhuzcePGsbExCOHOnTs/8YlPnHLKKdlsdnp6OggCuQy67rrr + du3aJSs7N954o2VZhx12WL/f37ZtW6/XGx0dfeCBBxqtJtHURcqNNOCKg/Dhhx82NH3ZsmWaonzs + Yx8bHB7KZbIPbH6oXC5v3br1go98xLbtK664otPpzFuh+34mk5FYeamOWCgUbNsOguCxxx676KKL + 4jgeGhp68MEHf/KTn2ia1ul0HnroIenL8eUvfxkAkCTJ17/+9b7v8yS9+NIvZbNZAQFjbHh05Mkn + n7zq2qvvvPNORPA999wzODzk2o5m6L7vU87a3e4VV1xRr9fL5bLjOI899lgmk5HDWG526YUrFAoC + ArA/PoOUUoQAxlhK8+mWbmdszTRGh0YAhrXa7I03/uh7P/p+GAeqoXW73Rt/8qNWq/Wed5/78KOP + jA6P/Ou/vX/Tpk2269TrdawQy7Js19EUFWLkOE6n10sERWQewwOW+Mj9YWiFPf9D2qNJ6t0nPvGJ + 1/7FawMWxHF88cUXX3XN1Y888gjGuNaoDw8P12q1kZGRnteTf7j0Av3KbFYsPCAWyW8IIZZSx7Kr + 1SpN0qHSQLVajaIIqwQgaJk2gpBghaWp53lEVVkYp2kKUsEIQQhJO+Y0TTlNEULS4wtCGASB5NE1 + Go1isZgkiWzay2+PokjBqhDzbuOLjBYhBIYoSaO77v5ltpBHGoEQG5rmh7FqKkkYKYqSpnR2cjqO + 42OPOnrLli2ZTGagVGo1GqaqMcYwJu1mS9M0iGGaptlstlarFQqFfD7faDT2UWwSC3ya5/JCHuCY + t0pDLEkS2V2RLJ1MLtto1KI4BhhgVel2u+1OJ+bMVPRMrvDRCz/5mYs++fCOnSszuRWHrHq83U/y + +UjTEy8AkKcCBASyjOurqqDpozt3fv6SS178mlcJiCYmpvKuHcSBaTgAzHOQ5mmTQsj9CcPQzWYu + /853OKWW4nzu4i8qinLq6afpuq4ZBiaYc57EMVhQG5ZCHYwxVdOlbCmldNfUZLaYTxgjhmZl3XbQ + a3Y7Qghd1wkAnh8IITiCSFU4gLsmJwSCjXZrZm42m88NDg91+z0/DEwIQ9MAACAASURBVAzD8MNg + 18REaaC8du3ae++9VwjhOO5cr+Y4zvadO5YvXy6lEfP5fBrHhJByZeCSS7/8uS98fnJ2pjg4IISo + Ts/snpk66dV/tmX7NlVV86Wi6di+74+MjNTqddM0F6/FHlIcF+J52YT89QOGgnMgLvrMhVddd23E + YqgQyqlAcGn+gzkECxZ4HM5PPAwBiXCQwanglCkAa5jwmD3ywENIIBVixAWCCHAOEErCECsKk0w7 + AGiaEkUBAPA0lU5pAoBFPfU4TnVNYYJPT07dc9+9d9zx83av2+v3K8ND57ztLURV/vZv//bSy772 + D//0jzGnnHOE8bxcJnj6e/s3u078KZtCi0JqCB1zzDEf+chHTj75ZEVR4jBUdZ1y+nvhIP2hBloQ + lt+TIAnEIYAcAC4IwJqisoh++PwLBsuDhq56vc62Hdu+ffnlzU5bt82+7zMEMCFhGJq2FYeRbdtR + GEZRZOkGFOiTH70wny1ALgAGT46Pb7xkY6PRcDI2xjihiRBCNdSe1xcQSpfrKErkc1TqI0nol6QC + PyfHqyCiaVq73U6SRFGUIAjWrFnT7XbDMNQ0LYqicrk8PT3NOX/ve9/7s5/9bPv27XIyFUKUSqV6 + vZ6maaVSqVarhJBsNut5nmTem6ZpWdYD99572JFHtlot6TYmd1tSmxZx89J0T3qGpnGs6/rUxGRp + oGwZ5uT01NjIKMSo3+3lCvl2swUxUjARELi20+33UsYsx4YQSmRdpVIZHx+XetCtVsuyLLoglNzr + 9fLZXBQEEEIoQKvTHhyoqLoWeL40tuv0upqiBlGoEiWTy05NTBbLpUar5WYz3W43k8k0m81cLtft + dkulUqvVklaMiqLs3r1bqqtJ5SiZIMm+36LIQaFQkI9SXdc9z5OCAYQQz/MAAKqqSk4wAGD9+vUf + /OAHL7/88iuvvLJcLmOMm82mEELiFdvttmEYAABN06Rib6fTqVQq7XZ7YGBAWuxJQ15d13u9nlS1 + SpIkk8l4nheGofyiTCYj/VIAAKVSaWZmRhqWL1+1MghDxpjnefO4rzQVQmAAM447MTGRdV3GmKkb + chAWBsrVudlisVitVnVddxyn2+3ati3RbpK2FMexpmmyVl2tVjVNcxwnk8lMTU0VCgUJStQ0LY5j + +ST2fR8h5DhOs94wNC2bzdbnaoqmfvqiT21+5OFrrrraC3zHsgGCURAywVlKBwYrUxOT2XwuiKI4 + TUZHR6enp+XSUKap0v1WZpVS3SuKosHBwU6/u9il+ZW9GgE5QEIIhoCiKTpPGUHa+nVHHHXUUaZu + IYzdjP3Tn932s1/8rOd1B0eGPM/LmA4hpNfppIy5tm3aduj7c/V6IZc79kUvOm7DBqKqlmGEcXz3 + nXduevDBtteVwkJLW8QHE6TfTSABAAfvfPvbHcu+8MILTzzxxF6v9+ijj0p06NTUlK7r2XxO3kep + 9M5GC9abS1b5z3K9pDCinJQ0ojDGWEplSnPGaafdcMMNcj5829ve1mm1+7738zvv2D6+M5PJxnFM + BMQCNKr1oNdHKQdCgFQALhRFUTQVAEAp5ZwqKpYy30mSBEFgGIbcH9u24yiVNSwpopgkybwq3ZI2 + DoJQ/peoSpCGuYGS7picIKJrfhQqAMvp9Oyzz169cmWtVvv0RZ8SQrziFa/YsGHD+973vnK5fMYZ + Z5QrA1/96ldt237tX71OVii2bt161113EUIM04zjWNb+AYJgQRV9Pj3bT1Ld72Y1/FQDRllpQkxA + CDljGOPLv/HN2356yy9+8Yu5+mycslanreoWT+nLjz/x6xu/Sln8uS/85wOPPfTErnFTVUbdrNLs + u/3o6GzOYZQwYehaHMcxhm2IGgjw4cHHu+27x7f/87+/f3pm5sqrrhgs5FqtFkJEzkFyfxZzWs45 + S+mqVatc181lMplMhqV08+bNkR9EURTEEQdCURRECOVMii7KBxBjTIFIFnM//tFP3PSDH+/YtiOb + zY6Pj19/3XeXjS5741lvnJ6ehkKoqtrpdFzXdRznr//6r192wkuvuubqK6676gc3/PCMM87oeX1C + CEBIPvXy+fxPfnTT5MyEoii5XO7FL35xxnG+dfnlb3jj3xcKhVKpdNJJJ6Vp2mg0kiju9Xr/9m// + 1mm15Lm1bfvOO+88//zzly1b9ua3vuX4E05wFPtDHz//29/+tvTBy+VyEpsKwJ67DywIhu3Xld3f + 8QMFlN8ihPjhj25405ve1Gw24zjey5dpyeelHPI812jht/MUdyEwQEgAVZC/PP2MC/7lg4ylvyEH + SQr4LB2gmqYlIlaxamo6VAEAKGUUAA5TZuhGnCSEEEXTIEJSyJLKooUQkjMEAAAIASAYAIxxjBHR + FAGAgFDR1J7nPbF7l6brRxx15Ev/6GWDw0NPTuyu1uYa7RZA87f1UvADOvDGcAfjdxbyQi62j/gC + AgdBKACEGCU0vfnWWwhSEAIsjaMoCOIIIOiHISIYYpSmKVHnq3RhGGKEdF1XFCUKwltvvZkxlsYU + YpAmiRf0iYrjNLZUKwojXdf7vhenablcfnLXuOu6puHKiUw+z+SEKAHfz8nBUkqDIHBdV6JSDcPo + dDqdTqdYLMrXycnJbDbrOM7GjRtt2wYASIxyp9ORGjXy6S7peVEUyQVoGIaSu3L4UUdNTk5KZ/pe + r1csFnft2rV470haoIQsU0qRiijnQRQtX7kiobTve+VKpef1pSFMq9NRVMULAq7whNIwDDO5XG16 + qu97lmVJP0SZLcRxLCUBFEWR2YjMWLzAxxC5mUyjVlu5enV1ejpqJK5tU85Fr6vqOoIwjGPP87Cm + QoxSxvLFgqR+1ev1QqEgT7tUPpU/WJY1Ojoax3Gv1+Ocy85YJpOhlMpGSrFYfPLJJy3LkpheXdcH + BgY8z2OM9fv9fD4vz/z09LTjOOVy+R//8R+3bNlyzTXXDA4ONptN2a2SCH7pTCLFeSUrUkr2UUpt + 2966daskRkvJQXnIhmHIx+Hc3JyqqlKHU1GUJEna7fbg4GCapp7nDQwMxHFcHCjvHB+XED5ZXJQZ + C6VUQbjVaQ8MDKiq2mo0wjgyDKPf7/cnduu6Pj09nc1mW62WbDpJDpi8ynI5FQRBkiSSpWAYRhzH + tVptsXwurQJs2+52u4QQiUoNgsBybF3VUsbktWi0mrf+9KdhHLnZbOB5YRw7lqVrGqe053n5YkEz + jIimxUxx165dxWIRACCRQplMRq4L5fA+/PDDZ2dnPc9rNBpY3euR9CvrDgihKKWQp0JAljKWBJse + vH/7zh3S8cyyjMmZycHhipmxmOCEkE6vq6talCTlcnlycjJPab/fLxQKnPN77733jjvuEELIMSMn + jcXO2yLEDvwqyNbBeA4DIRTHcaNWF0Lcf//9UnRxbm4uSRLTNBHBkidpmqZp29Vq1XIssKR99Cuv + 1NIuzeKfEELKxeJ55533kx/fWMwXPvCBD3DKVi9fabvOK171p2865y2e52EAISYQIkJIGse2ZkLG + OeNMMMYYiBOEkAAMYyw7/BLdLVHZcq+63W4hX4IQSjqrBInNrykXtemE4EIghFJKORBhFKJ2BxKE + DY1RigTQVAUB+JnPfGbNmjXXX3/9YesOVTDRdX3dmrUnv/o1X730K9VqNZfJrlqxksWpW3HO/ad3 + ff+2Hwoh3vWud/V6vccee0zTtF6vp1smAIDvJdD8AgtKqQKQqqqAECn9miRJGEW262qM98MgpdRx + 7DAMb/rpTYCyo445Nr98yMm5GcfyavUBpOcEZu2WhaHCuG2aoR8AlVBdn/B6TQFXmvobV63cNTO1 + Zdu2NKaTu6ds00ySVOZFXObhcH7KUhQlBeLxHdslz3NqYnJ0dHR2ZsbQdBUTRLAQPIoiyjkiWAIE + 5olMCNGUSjqoEOJlLz/xpD97zcDAwK5du6ys2+i3T33dGRBCJIAcV1KNY8WKFeWhwdXr1r7v/f/a + 6LQpZwLCOE0BglghumO1Ou11Rx+2esXKbdu2jY2NZfO5VqP55nPeIp81Z511VrVaVRRl/fr1cmFw + /PHHSxVTPwziMFqzbm0q+GPbH3/3uecCAMIwLJfLK1eunJqaKhaLjLGUs6XXYrEw8XsZCc9h/LZa + z4tpUpIkaZoiAQVjhmIkIiUIA4gwhgIwrCAABcQAAC4gD0JPljO5YBBAiOV55AAgJoSA816gUZoE + UQgwcLPOipUriaa+6CXHVYYGas3G9h078uWSm83ENOUIAgSXlj2e9iY/2Nh5IcZeaa70oYcASKdt + LtI4hUIwwDY9eD/nYF4dHole4MVpSjkrlEsQIS/wZYsAYhR4fsZ1dV3HAPrMu/m2mwghhmoGsa8S + BSDgZt2u1+/0ewlNEMFCMMe1IBK5fN40TZqIOI4hhDJHEgtqpM9VQs6AUA09YTToRb7vK4pi23au + WPCjUDX0qerM4OCg53lJpz0zsXvN+vX9wI/SxDAMzTRUQ1cNPY7jKE0YEFGaCCE0XeNCWK7DOY/j + uOd7hm3VW01CSMqZFwaV4SGpgJdyBiV+1Zr31IrjWDcNCGHKWHVutlQoeoGfdTN93ysNDPhh0KjV + V6xaCbhotJoIwCAKy+Uy0VTpak8QrDUbIyMjiJOu1zcdmwruRyEVXFEUVSE6xr7vyxSUA4EIKedz + KlH6vkcp8zptXdWcjBuHEVYIxDihKU/iZqddKpVKlYEkSXo9b2Bo0Pf9mKacc0XX5hr1sbExv9tZ + dcjqarXKGBNU6JYZBAGgaaffc4BDNFXRta7Xt1wHIBTEEQPCsC0qeBBHO8Z3ZnO5o4/d4Hne5oce + +vgnL0ySxHTsrtcPk7g8WJEkN8cy0zTt+Z4cjQJBDsHA0GC9Xm922qZpDo2OKIrS7/fDJH5yfHzl + 6tVRFHmtZpqmjuMQTU0oNRSLUtrstDnnAoIoTer1uqqqjpbp9HvtqW6xWKSc6aq2WI1L0jRNU2Ka + VPAoifu+t3zFiomJiThNy5VKQlN5qlVDNx3bj0Kpri51GvwoJISYjg0Waq6KolSr1UqlAjACGMU0 + 1S0TIdTr9fwoZEBwCOjC808FyA+DTqezbHQsjKPvXHVVq9NWNC2IQlXXGQR+GNoK4ZwHUchSSgLf + sqx2r2s6dihN7k1D0TUAAAJCIFhrNlzXvf/BB2zbLg9Wms2mAfagVX+d52scx5QzFasQQlVVsYoF + Az2/hwFMGA2TkKiK7/tEUzvtjm1aMlMdqAzUarViuSSEUDQ1k8s+8cQT2WwWKwQAgKVIlkKSJJFt + tL1EGn47ksbB2K9ACNm2LXOher3+9re//bTTTguC4Oc///l1112384nxfD7PhNAMo1qt9vt93dTn + 9ZngvGLTPAH5GYYS3HvNIFMXBWNOGU3S+lyNIPzHJ7z8yKOPUFV13eGHffPrl7MkVRAmhCAB0ihh + KYUQhUEgKNOJns9mTdPk8v5CAiIEkTAMXSbeQJJMhMCYxHFcLOVnZ5NGIzRN3XEyGEvlPQ6WKJTs + WXAzmhEZoGDbcQHBYRpBAOIw+uynP6Ng8rozXiuE6He6juN4fY9AZBvmB//9P77yla/wlPp9D3Kh + q1oIwo+cf4FpmstGRs8+++wLL7yQMpamqSHtJWSCtEDnfhb1v2eKA73KeqYOg+zx4nmMmwAAJEnS + 6/fbva6bdbCi5Ar5ZqsbhOE9991bnZyafnIyXy74RPRiTzO0ZrU6YjgZTQ/iQMEo7fmOoUv8m57L + BgBQVat7fmFwcHJmOmtbxXyhV6shgE2NMATAwkKFg/lWUpTEpmV2u13KWRTEhVIxThNV103DFJRR + zhFGhmFI9xFZkJIHouu6ZdmtVqvRaHzzW9+KgiiJIok++Ma3vm4YhkQEaIoqdcA7nU4cx6VSSVXV + iYkJO5sJonBqZmZs+bIkSfqBPzM3azuOoetD2eHNjz4iASnNZnN0aHjXrl22be/YsePNb36zhDZI + i7kwifO5bD/wMYBONqPr+szcbMJoebDSaNQzmYxD6dzcXMJotpCPosgwjMjzFpOiPTSk/Z8n92v8 + /A7alfuXID3LGpAQomCiYiUOIwFAypmCVQGAADARHBPCAGeAM8YUXQcAJDRBEKacCi4UonAxz38V + CBNEwjRCCKmKqiHQ6bQa7dbE9NRj27bedd9duyYnLNtWdC1OE900wijCqiKrL2Chmb4o3Hkw/jBi + H8Ibh4BSShCGEGJFMU3T9wOecggFUVCSJKqum67T7nVTShfXN3JCEYwDAFqtlm2YmVw2CsIwDDHG + cRwxRrGqUMH7nlcqF3SuUZrIVdHExESpXAYABEEw332KIvkrqcb2XB0phBBjLD3XK5WK7/utVqtS + qUhFk3w+3+/3oygqFovL165NkkTTJMQcCyHCMJT7IwFREpWnKEqj0ZCtjHa7LZFmEnEnRVMsy5Kk + F9/3VVX1fV+2FBzHqfV6pmnKzZZKJQihbdtBFKqqOl2dMU1Tot0kjL5QKHQ6HSo4h0AqmMVxPDo6 + KlElaZrKFs3Q0JBUwOt2u7KHkKbp4PBQo9EwbSsMw0AEnHNFUSzFktXcPpVAf0XTNC8MBgcHKaVx + HEsduU6nI/tIcv4YHR1tNBq6rm/fvj2fz8tmmu/73W63UCjIXlY+n5f2uLZtT05O5vN5qbohe0fH + bNgwNzf3yCOP5HK5waGhKIqq1arjOIqiuK4rm0idTke2yCTuEQAwMDBQr9elFly5XJYMhH6/LxkU + 6486StK6ZKer3+9Ld6Z2u53NZgkhsmsh22uEEAkfGh0d7fX7THCJV5R6g2maSoOXQqHQbjQzmcwT + TzxRLBa73W4QhbP1mpT+m56elnIOkiAn1XGkMsEiokPSHqQ+kqxYJUkiz5imaZRSCc60bTuOY845 + UkiSJKVSqdvvKYqy9fFt0r9SQvgymYzs2vV9r1KpUEobjQaHQG5ETtHSd8uyrCAIAADS1qZQKEif + E8MwhNivQgPSdVMBTMUqTRhNKSBAchEEAEgAzdB1oQRx5EfhsmXLJiYmHMuRXdOBgQEIoed5lmU1 + m03p5invMsZYGIayrAvQPIN/KXjmYAfpdxYS9jMyMhIEwSmnnPLWt7710ksv1TTtjDPOOHrDMe9+ + 97uFEDRJ5ABbdcjqZqcFlqzS5Eae/Xrt8ysEgOQrcs6XLVtm2zYTqRBCIaTRaHBACSGGYbabLVPX + Zf0eSJEeKHKZzKGHHjo6OooxjpIEImHaRhgGcoLinMsmMMaYEEWuUh599FHfC9esWbNmzTpVJRiB + pTyoxVUmY4xy5rhuN/BClta67dnarEIw4/Swtesuu+yyJIz6/b7EMMtJ++67716xYoVsBZcLRV3X + u92uBlQI4dzc3OWXX/75jV98z3veY5im67pLQa1gcR0lPdNeCCG5uBgCxpiE2EnAcxAEWEGKYRZK + xZjxNErSiIVhWCgUkpQRXVWxlSJeHB3RgFKdm3MKuRShTsq0fF6BqNPvdZIkFgJjQkxzZq7mOBkC + QLfVce1MEHqYc0lIkU0kAYEQQopqep7n5rLNZrOUL9Tr9aGhIRIrcRzLBYwQnFLKwbyCnXxSSwS4 + ApHc+fHxcd/3M5lsZ/fuUqnUmZuTk/Z0tWoYRqvVWj42NlurWYZRHhqcmJrseP2W14uiqDI0WG81 + kyQZGxuTHKcwipqt1rHHHbd58+ZioVAul7GqIIXYts0Yi6JIIroppYZtqUC0uh0JBWeeJyt6COOZ + ajWXzfR6PSGEVNCVAvGSDiAvxNJO7IG+6BzOE38OXDw3bqEyOOdU0DRNKaJCiBRwBAAAKKaxrugQ + wFSkHHAIlCANpAJMDJhOdJ9FCCEFKX7sY6RghWCFYIAF4F7QrwwPHXHk+qOPO5oKDhHCqtLpdRDB + qqoSTXFdN+XsV7aPnvOQM5cEAMAFh3UAgOyVywXrYtH3IN7vNw4Ol0D/5+GkUBrYCyGIgihLOE0A + AljFAAAOONZUJngaR3KRBwCwTSuNEwxRFIRyjWiaJhAgiiKIgGbqKaeqoQMAOGBhHGSyTpIkHAAA + ASQ4SZJsNpsmCQDAUDXABY0TKXkEuFAxWcLF++2PF1JKZa1R8mEcx5H5EpinpCMp9Ck/L8mpURQt + zlCynSVxgLJr5DiOJHtks1nJq1lc1hNC4jguFApSf1/OdJRSCamybVsCscDCGJYLWc65xIbJd+TP + /X4fY8wBkF8hWZuyIhXHsXS7kqwq+YfyA3LGl+sbmdoBABYTHgBAHMfykxDCxa0tHvsi/hgs3P5S + 2RwAYFnzrpFyJ+UqX/6hxOfIfc5ms0tvT0KIzHOkD4FMVqWYuxxLMgWVhyzfkWe+3+9L8JvruvLc + yvMsL4QkF0liDwBAVVV5yWSmLRHn8sDllZLf2O12pXCj/CQEII4iAICmqjKbktfRsEw/DIg6b4sk + vZgdx5Fnb/G4Flddiz/ItZrUEZVvLr3WcjxIJRKZt8v8QZKd5DpMXj5J8JXwQnlz9Xo9eYlT2e8i + ZOlQkdna4u7JMSxH+34VBTkALKUCgiRN9xzaotkbRkmSAMAlh6herxuGwRj1Qpor5hOWAgBUQ0s5 + BQBglcQ0QQrmQHDBFF0FAHAgEJOyEZJ7vainuZ/22AfjNw0ondkaDQDAKaf+34994uNXX311pVL5 + /g9/cMUVV6iqGqepHG9McPksBkuAc+BXZUec8zAMDcNQVTXyAyGEpem+72ccVxooy1rDhz70oa9c + euk73vEOAQTnPI0T0zRD3//TV5+scHTJ576gagYXcMOGDaVCEYj5HVBVJY0TgjBnHBOIMBRcQAQh + FHi+uEaXjy0bHR5TFAUByBmDTGCCIJbOyBQhJFUaERAKxoHvAwgswxzSNQjh1My0azuSWCiEeOUr + Xzk2Nlar1X75y1/mcrnHH388iqKzzz47DMN6vQ4AsCwrBaksf3S7XQMZcv43DEMgKDeCMQYQykQO + IfRCGefy3kcCUkoVQhafCwih2dlZJ5dPAR8cG+nUWxOzTR0RHREpMyMwAAgyiGbrTUPReMyF4Fkz + SxMRs4QLLAAiGNGUQkV1LTuNkxQKoqkpY24m1+p2FEUhRBFCJGmCpO2vdCgGGCNFcJjEtFgoT09V + B8plHiUYQAaEbhhzc3N2xlV1zfd9AATGgDGBsRIGsRTSkM9NBrjlWNW5qgRac85VXU1ZmivkOv2u + Zmgcik6vwwA3LKNWqyuKQgWXNc1urydPDuUsm89Vq9VsNptSmqZpkqZSnhEhxICQ4AJF1+QT3zAM + wzCSNFVU1c1ktm3btmrVKrlIME2z2+22Wq1isSjJ0rZty3rxPjfa72w1fuBivxOkZzrcJEkE40jR + TNPs97x3n3uuEDCfzVm2EUVRNpsNgkBSFdM0lbRm3/dl3i/dkDKZjKIou3fuUlWVMdbvdJutulxe + 1Gq14kCx0+062UzKaN/vjywbe+KJJxRVbXU7Ush/6YNfUZTFluUBCqkbJqmWmqZBCBEATAhC8WLh + Z3HELK7hDsb+xjxndaGJBMHSn+arWwuvEhAAF3+/RBV8yQYBAHIdtmQLMgTkcOENLn+1T3FRIHCA + K8fPt8r0/u7N/u7+gd7+Cz3gkil36aGjZ3DhO9Dn53k1HuY9R8AClEr+OH/C0ALiYcnv9vcLnoc2 + h//LAiKoKIpqGIZh2LZtWZaqqrVaDWOc03OGZdUnJmzbVjRVWSjN7O8UKpuHjUbD0g3XdTutNgLA + sqwtW7boug4h/PgnL/zgv//HySefrBumAAhjLLumNIo1TTOxBjBmjMG9ZSHQAkkdIi6o4Fw2juZX + XPKTUgoVAEQIQZAIwOCCft3iJxc3ixFiEAI4nw1gABVMgiCYmJhoNBq+74+Njf3Ry//P/ffce+31 + 3+30e4ZhfPW/Lrv6qqvvvOOOII4kPRUASClNkmTt2rUCiG63u2r16mq1KkUgVUWVcC9ZsxCcv6Dv + ACgQBtAwjDhNkk4HqhpW8MrVK2jXxymIaIQAaNZryNQswyxXBngQ85RzziljAABVJaZpQIxSwVPB + q3M1x3FMw0jjRCFq2O8RVVVVlTIGKMWqoiGNCc4YY5zPS+BMTY+Ojs7NzbmOUxkYmNi1e+XYslp1 + VjX0JE0rlUqr2/HDQEqt9vt9zrnrujpWJG1YghoajYZtmIVCQeL5Pc9b1PmI4thxHM/zstmsaZp3 + 3nnnihUrm80mT6kQwvd9uMACsE0rThPAhSQ227YNIZQ8LbDAqZaNSvn5OI6jKEIYy4b5kUce6Xte + uVTqdNqGYRSLxTAMpVy4oij1el0WJX/H8byD2MnYByYoQb6mbgghWEIBgpbr3PY/P1VV3XEcADgD + TGpKSnFJyayQFcRMJhNFUbvdzufzvu8ThFzTTcLIcRyVKP1+PwgCAZjjuvVGQzX0hx99uDRQ7gd+ + q9dVVbUX+KZpQowwRItUWsgFEPyp526pJ9JvfLCLsTggIIQS5a8SIpHHYgGGsbR29Xxb+L6AYskl + WNQdWfi/VFZc/P9883qvT+8T85j0PWbJe7Ym4K9o9HHAD3xF7fk1Tg506/Nga/VZ4mlnWhlC/H48 + SQ/8eNi/G2zxnl08OXzPv8/BvXpwfP5+gyCSpimEkC2s2+ZFShTFY14ul5uYmIjTRHZRvDAwNW2x + cyQXkc9+l0BCpFs0pTSNYiGEZVmO46xcvWpgsFJr1AuCb9n62Cmnndput799xeWJoLquR0Ek9W8y + mYyJNSDxvXvjRGRJlNMUYwi5oDSBhMgOAABAAAEgxgAioiBEMFaAQJQlKU0gBxjDPag8Kps5BAAA + hUCyZMI4FAghYpr6jifG//SkV21+9JEvXfplzTZLA+WhkWGsEA7E5kce/uKXNp73tvd869pva6bR + 7fdUoPpRWKoM/OWZf33f5vuktl42m+30e5xzwQDnHAKAEZLnfH/voeebpnOaJERVKKOpSJIkIRiX + 87ndc3WUcAGFTuyhQhG75uxMtRXEmAJF0zngWFc0XYmTpN5utr//8gAAIABJREFUMMYUVbVte2ig + nKYpElykCQMiTZlE16MleIfFtlW/1+OcZzMZr983dN3UjXa7XcoXpFBQZXjo8e3bJcLFsueR5FIL + Zz6xgVACyAEAy0fHet2u73lSLD6byUjEgVQJtysVCMDU5CQAoFgoIAAlPE8CPRzLCoKAcR4EQRzH + 2WxW5kWcMUqpbF1QSvECXgAsKLhK7p/neQjCRr3OGBsolqamppYtG2s0Gjyltm3P9T0kQLFY1Mhz + RjF4vo2f5wxiJ6HtcRBhjAu5omFbpmYKJHqeZ9tmNp+jnG19fJvjOEccuX52dlZeDy/wGWOI4Hyx + EKcJSynCmEMwVZ3xe/21a9fqptFuNxkQjWZDQu8gwQjjhFEV6xgQiZhK0kSOS4QQFE8Pf3yKAfNv + FYvdQ1luYYxxhBRFUaX43lPEjg6i7H7jkPJwHAIkIIfz1/HpbiTBIcBLlpFPU3FfspCarzDvlSA9 + M05dLP7RgU6Rnl8J0sH4PcaSkT//DnzK4Pu9pEkHMvbv9sLynhUHGad/mJEmqfSasyxrxYoVp512 + 2lFHHSWhqhrWzjrrLM/zJEjp9jt+sWnTpngBwQt+PRaERIp2u11VVTNFp9FolIul008//U1vetO/ + /eu/ji4bC8MQEfyBCz40OFAZHh054y9f2+l2c5ncYq09YVDTNJjQfbqlYoE+xLlACMgFq1xAw3lh + cQ4hhgAJAWV+NU/D5vPNJbAE7AoAAHx+ZSEAE4wLIZAAnud96/Jv/+dnPvvB8z/UaDRe9WcnXXXV + VbsnJ9xspt3tFsulb3zzv1924h/li4Ve4B0yPBiB5JxzzjnkkENUVb3wwgshhLJxkclkwjCUGFq5 + TP/DWLHoqpYwyjhLGe95fZUoQ5nCyNhYVG/7Qb9Vq5OMQYMoZ1sO0mMvEEhAhVCeBj0fIeTmMqqq + AiFYlLA4bNbrq1YdogEYRHGlUvECP4xigKEsiJMFMrwQwnEcwEWhUHj88cddy478AHGRK2QjP0iS + pFarSfS1EELCp3fv3q1pmqqqYRgSAYeGhiSfU1WUqcnJnJvJZrN+rw8hjPwAEmyoGhNiuDI4OTlp + qNoRRxwxOz3jK/7MzAzG2HXdSqk8NTUV4IAQQhaQ4UAIyzR7lEIIHdOSrNSl9LPFgccYm5mZKeby + hJAIIl1XbdMqlUr1Wm2gUmk2GkEQrFu3rtfrSZlvSukf3JMIgN8gQeLw6R/Jsrpj2JaiKEEUElXp + 9HuqSgAArW5nYGAgiqJ8qTg4OPjA5oey2ewxxxxz8skndzqdiy66yLbtwZHhk0/5i29+/RuNVvPw + Qw879dRTL/va157Y9cSpp566bNmyTqfzk5t/QjmzdCeMopimumkIyFutRqk0sLgPSADI5xsE+3Rs + xMIHnquQED7JeRgeHu71en6/H0URUubZR2BvyuNz9sX/O0NAsaAsDwWSPwMA5iu8cN6YjEMAnpIg + LfpWyVhcSS0IMAqwd6l+6RhZfBvNm4A9Zwf0jPEHOc0cjN8ilox28NQ8gC/5GHi6DOoFFs/BATx1 + YYee9bfPHgdTr99nYKLYrhN4fq1W+9GNN3LO4zDUNI0J8flLviihKERVOp1Or9fzPE/ZT3EmAlEa + xRBCJMCTTz65Zs2af/iHf7Bt+/Vv+LtGrS6JFqqmcQDufWDTdf/x75quZwBYFDURQqRSPxMhsMCM + FRCgxeUmhpQm8wxSlgDIEQaE4EUKAOccAMa5LO4BRSWLKnZCMAAEwpBAxBgXAErAHQAAI0QQAgAI + CGqN+gc+9MGXnHD8+qOO2vilL918882VkeF7Nt0fBIEfhplM5kMXXLB8+XJF01qdzo9+8qOBSmV6 + ZuarX/vatm3bEELZbPbxxx/PFvKUUiEEgggByDiXDTEMX8DjHwqABGIxM2wdQd7ze0HfQ1H8fza8 + OLP6kDSOmr43sHx4y/g4FGwkNwAB7yQhUIlURWYAYgAF45BRFieFXL7TaquqXqs3H3joIQixoqmK + rjDOpXAOo9zrdyEAlmUhgJAA27ZsLeYLjmXt2rVreHDoye3jg8NDhCgTuycHBiuaplMh+j3Psqx8 + rrAo+RP0+pQy3w+Wjy1TMBoollSiUM6KmRwT3DLMlNE4jEzb6rY7Rxx6mIIJ5MKxbEVRyoVSEASb + H3poxerVNEklBG5ubk7TNF3Tuq12qVTiKQ3jmDguZzQVAkilRwjleGZJKnFYjmmVi6WtW7e6rqvr + +qb77lt1yCHFXL7daumKGqWJ73mCc1VR5okke4MaBNxrAfYCjeesgyRTZ9u2hRCtbksaj2AF5fN5 + L/SazaZUcKrX647jXHXVVbZtb968+cgjj9y4caNpmpVK5bTTTrvj9p9v37Zj2Yrl69ev7/Z669at + ++xnPrvpgU1P7t713vf/y4c+fMHNt9wCCRYQqJrm+b1sPidp64pGhBCCMsYYfLqEBD7XhX9pbJ/P + 51VVPfvssx3HiUPJclMX7TKWxkFVvd8q9kobnrLcWUiQAAAc7pUg7XH1XXxz3ysjltorgadLkBbu + cAgA4Ad6BXowQToYzxDPNIMsGoWB/30J0j5PXw7BwQTpDyko4y960Yv+57afAgAuu+yyTqeDAHBd + lwMQBIHjOK1OGwAgy68YY7if8lmMsUKhsHPnzlKptHbt2h07dnz0ox8dGBioVquSYNzudiCEF3zs + /wEm5Rx0SilBxHXdVqMRhmHWcDjnEOJnAiBIczaEUBD682orEGKMIcQAIC4E50wIhCBCSHrCQsZY + HMeUJghCFROGsRBQVVWEMOcMArjIu4YCep63Y3znjiefQFdfRSnVdV1A8LNf/JwQ0gt9zTbb1em7 + 77rz2Be/ePfu3RsvvjhN0+npacZYsViEEMZxPDQ01Gq1FEVZFImR5sgHmsj9OwiapJxSxLBiqDqB + GdtZu3LNpz71SVeQXqt9yx23q7Z5/EtPgAK4SO23WkTBECMKBAUCEYIIgUJwyjSiJJLwE8X1dqfZ + 69RardjvIzS/FJRLX1VVR4aHjz766JcdfwICcHZ2dnBggKX0gg+dDyl/7elnLF+5QtW1+zZtuvPu + uyCEuml4vi8RcZ1ORwihaZrUMapUKmedddbykZFGo4EAZIIjABOaGppOOUvjxHadqYnJQw8/rNvt + 5vN5RVEeeeSRVatWH3/8CX/z+r+ZnZ31MI6CMBQBhHDVqlVnnnnm5ORkuVzeuX3H3XffnaZplMQ9 + 39N0XfoZmIaBEOKcqqpq6sZxxx03PDx81hvfmCTJxi988fBDD/N9/8gNLzEM47DDDrv99tvvvvtu + w7akmtHTnnwBAXqBtyGfswRJKlB1Oh0g5ewoNS0LYdBut1WVWLohNJ1A1PX6b3jDG7KO++pXvxoA + kMlk2o3mspHROAiLufxZZ531oQ+cjzHGClF1rdvvRWl80ac/tX379pnZmXPOOefGn/wEIVguF3te + HwDguu5ctUakKQFCkAsEIYFIYt4W921p4X+/lp/PMtFGURTH8ZYtWzZu3EgpLRaLfr+vqiqHYo8C + zJLJ+g9grvm9xNLk5GmANJCDPUkLFwDJBGmf3pH8M7h3KwnMrynnpR3AMy+s5v9EIAC5gOKA9pHQ + wQTpYDxDPBO+bum4faEv5/e3AIHEAqvw6e/gvT4rv2E/9+eFfkZf4AHRpk2bHn34kUV5pzRNe54H + IVQURRqbmqaZMCq9fbGioL1NjZ5986qqSmMZVVWlrwultOv1VUOXFs/NZhNjnMlmW81mtpBXMU6S + JEnSKIqkGwFRVcYZRRBKjBzcqz7LOW80GlEcSB9n6Q0NIVSIJpcGEEKEIAAIzFMDBIQgTWPP60Vh + iBDSFRVjjADG2YxCVCgkuA5iKYfHhTEv/c8UCMIkjmnKOFdVVQCQyWYpY7phrD7s0LlGvVAoPPnk + k4QQ27aHh4d37Nih63ocx5VKpV+bk2mbWAhJWNjfuvKBfnrtb/1HQVh13C6LGeVBHPQovb9zX5Ik + AsJ77rrzuiuvrPfarzjpVQrCGazddPV37ZRiyhv9bsv3s+XyoeuPGFuxnOgq5fx7P/i+kXHsQv6w + 414UM1oYrlTn6o5pQC4YY0EUJlHc6XTSJDEMwzEt27RymUylPDA7OR30vdGRkX95z3m7pie9wD96 + w4ZNDz4gNWZVVZUiDdJ6PpfLZSzb9/1arTYzM1Obmbn1lptWr1jp5rKTu3bPzM3OzVQN21IQDpN4 + uDL42f/8tBDivPPOC4Lg+u9+v9Vqf+8H33/g/k1YIeVyWdG0brerEoVT9hcn//l3vvOdJIoJQhLu + lDJGNMXNZjqttu/7hq5LIKimafl8/hMf+9i11147O1P9m7/5m0ce3HzTTTcdf/zxl3zuS9d87xrX + dd/xjndks9m77rrLtu1qtao+RyINz7fVDwFL92l+AbrvTnIokAAc7IF6LICd9sA/ZMkhDD3btjO2 + 0253DcNACAHOBEOKos7MzCxbtkxT1EPXrrv0ki8bmp4kidfrr1l9yCObHz7hJcc/sXP8kFWrj15/ + ZC6Xq1argIsgDkzFlJ4tt95669+f9Q+maWbyuV0TuwESGTfXqLcyjssY45wjARDGEAAuBGUUgz18 + ld8mnmkLhmEwxmq12tatW03TdByn2Wzquk6U+bqL1LJbNM04iLL7zWKvBEmGQADy+VcAwL4J0p4P + /xrto0WRhmfUZ9gHknegO0jPwwSJL/C1fuXr83P7L/R49mPfZ9z+Ds7Sgbte+3ACf53Yu4PEl3IU + n8JXRM/+mad9/V887p4XwQWAEIZ+UCqVpB2ZNDmQHGasEIwxVhXp2fIbmPZIasDQ0FC73Z6Zmy2V + StJIQCoXN5tN13WDIJB632EYBpQahiH1vqR9cxRFRFF4yiAQHM4X6CAUUAjIBcJKGIYICgxhGvpY + MKirUOgCMM4REwJCQhCR1CLBOFEwQhABTNM0iiKMIeQME8RTbpqqpilQMMY4h1hKUsUJFUjopkEp + pYLbti0NoMMomrdtUEmv1xsolzqdjsjwgYEBqdq/e/duRVEcx8nlclNTU8WBcpIkUjQYLFDKMcbg + Ba6+m3Jm62bc7CrEtCwHIZS0+5bqsjTq9bx3vfOdy1evyhZyl3z288sGymNIoROTSpwOZ12jPFjr + dadvvJkcuu5Ff/Ly41/1ijf93d89Nrn7a1deXhoo9nodHniCUQLNhKVBEGiali/kHNcO/WDnzh27 + xsdpmrIkvfiLG+/fdJ9tmX/8xy+fmpx461vPWb5yxfev+0GxkG93OkEUZnLZKIooo6Zp9r1eo17D + AGqaZpmGbRrtufqN199w77333nTTTbEXUS8+5oijpZYdpdRSzdUjK0aXL/vR926oVCrf+K//et1r + /3JwoOK6brFY7HQ6jUbDdV3dsmiSIAC+/c1vzszMDA4ONpvNQi7vmkZIk1wmy5I08H2NKJTSMAx1 + VSMInXjiid12p1Ao9Pv9M88885Zbbnn729/+wQs+8L3vfU/X9a//9zdedsJLb7jhBgBANpsNwxA8 + ZbUMF/77a863YP8T4P1WVV3y8wIpAwi4R/F4sYwu94RAAKhgGTfn+76dcXu+x4Bw8q7n+wAAiBY9 + 17h08EMC8D2viC95RAnKbMMAjIVhoOuqEIxTpikKAjAJwoFCkQCYhtGalatuu+nmyPPPOOOM9evX + P/7449/tdFWEd+0c37Rp03vPO/fKK68cGx3uddvZbFYALks1nIucnjcMs9FolorlKIl8P3BdJw5C + DCBaUO3YUzpCUB7/Pmd8/2938bQYSsopgCBOY9u1AQB+6OumDgCgC50itoTjKIR4oU80v694mrxF + cADE/Ov8O0//cf607+67tads41l24MBfxudhR1osKIL9ytfn5/Zf6PHsx77PePwdnKUDe732Uzdr + n28RAvCne1362Wf6zNO+/i8ed8+XEAJohtbzepZjccDDOIQYAgAwwkkSE4KTMMQAAAgBwWIpwA7+ + Otm20DS13W4BAIq5nKAUAKARkkYRBsBQ1TSKFIT2pNmKCiFK4wQpwjJMx7RMw6A0MVRVQ4SxFGOI + AKJxpGMFQpQKgJAa+YGGqKOj/9/euUd5dlV1fu99zrmv37Oe3dWdR3cenaRjAA2BoAjhEdAVcIDg + qINDxNGZFdCAARUc15IZfAziMApKZkbRQXQYAxJABQMqEOSVpHlJQkiT7qRT3V1dr1/96ve773P2 + nj9uVaXS6U5SSSpWd+5n1bqr61f3d+855557++y79/5uRUW8NItgW91JiwCglQ4IiW0hrkAAxcaV + ZWCCLC3ieDC5bQzZZmnabraSZMkPtDG+MKxKpmkRQaWr9CEUsXmBCALgEQFzoDUIt8IwGS6PdjoA + sFairZIRrta1nU6nzHIEUIDgGKp/IAJv+H+7zV/lnCRdeOVPCIArC15AQQStlpaXx0fGFodxbq3n + +9oEJaiGaWZ5ec/B+3adu3vxyPSlu3ftkvBvvvHtHUk6hlLOzXuBCgo3ghDHt876ZtfPXpNrJURB + q92PE7a26Znm6MhcbwkVBb4Rcb3eAgAoJOdKUsoY9bSL915x+fOu/6U3AvPFl+y95Z8/L648ev+h + xf7smTum4njA6C0tLY5PTvR6vaxIoyjwjAbmNBlun5iM+wPD9IV/uGX37t3pUvKWX3yziNx0003f + /OY3KxO9lywGQfCNL+3bs2fPO9729rQsxsfGZmZmGmHUW1gsiqIRRkbpLM3KsCjzYu+FF527+5z7 + 7rsvCsJ4MPyTP/mT8/dcOH10+tWvfnW30SrzQinVbXfSOL733nudc2MT49ba5/zQD+ZlkZfFkZmj + jSDytddtdb539/eKrFCoUDAwvs1LJ8IiKCC04hAQkcriqNbhj7h9DGxUNUsxAADjipjCal4GkICI + EIA4l+ZFFEUOnBPWCKhQKaWiqFlJpKdF7qwoomqOAYCIcwCEgojCWD0p1m9PRGWIgSHtnEOBsiz7 + vaVOp2OL8sUvfvFXv/yVM8880zfec5/73L/7m79dXl72tLn11ltf+9rXPv/5z585cnRiYmJubo6A + 9u7d+8UvfnF6enpQDjzPo5SWej0kajabmnT24Ijj4+oO1UbJachDQ+UeicczDZ7iU0g2uN3oU26z + j3+qs77vW4EnZz48nv1P1pJHs8/Df6vmKcsDMpKrMUhGqX6/PzMz8wPf//2XXXbZbV/8ivOME3Yg + zubsnNZaExQWFWnnWJxVmghA2NkyK8tSeZFzKi8KrVSlM4bA7IBIMzilFIBkWRJ4ynjENhdnizz1 + lSbyhKUSeiZ6SGm+Sh9i5RcGWZXCr7ZPMb3HwpYAEA+G3U4H2ZJSSICgACBNcwKKmg3IaOfE5I6C + kl7/xZc9czTLPIBmK1pOUhuFvTCAs86G/nChSObm5hzRWbvOvujiiz3C0uZj2ydlXdL7mqw8slhr + r3vjL/zFTR/ctessIrrssktnZ2eec/mzBODuu+968Yte0B/2U1uMjo3NLcyPTZ6nAAkw8P08SQf9 + 5ZFWe++Fe9zQjY9OnL3zrLlj8zYrr3zJS/d99fbbb90HzM12O09TcbBjcuqiC/aed/a5SZm2W93x + 8fGqfne73V5aWhLHzrmpbdubUeO3f/O3FhcXX/e61wHLxMREHMf/63/f8P73v3/nzp3T09NJkoyM + jAyHwzPOOGNubo6Zsyx7zWte02w23//+9zvh+++//7Wvfe3hw4e/9KUvvexlL3vPe96ze/fuwWBw + 7NixZrOJ68qwrAmVVZNzk563j9l9RAKOHmSVKaWASEpWREHgGaU0KKVJM7CAtNtto/RwOOyMjqRF + HsdxEHosInACvYENUTorIkqRb7wsSfOy+OubPnr5Dz4nbEQf+OCfv+LH/s0FF12YFbn2TKvTPnTo + 0Ec+8pHrrrvuW9/6FiraeeYZwyK+/fbbkyT5tV//z3feeWd1zcqynNy2bRAPsSpZDQ8KYKscXnVh + 1tODp9pVPNX7u9ntP9XHZ7PZauOz1dpTU/N4qOL5EUBrHQTB8vJys9l8+ctffv+hQ0WcVirJVRo0 + Ig7TRJswCILUxmleeMYPNIlInucqixteRAS2KEAUaaMUIYCzrJEYIAxDPdBZnBiMAt+zpQWRJElA + eabhoYC1hRWLWolafR29uvJZl3z1WPLuTieM7yml+v1lnzkZDMloLeigtA4bzRaGahAnxWD5997z + B//1ddciQrcz2qFY8lxbE4rEGWRpUka9eP99O37gknOUJ1/56tG5+ftnj0a+V2R5FW8JAGs+k0oh + HVnCMLzgggve9a53JUnS6/UOHrpvYWFh//79aZbt3r37tq/vm5ub85vR9PR0nCZdZgBYXuoL89Tk + tiAIjh49euzYsYN3HvSUt2PHDlTUGRlhkMNHjzrhbZOTS8v9OEkm2y1xnJdFVhbL8XB5ODh89Ehh + SyIqnW13O0Q0Nzd3bG52obd45ZVXNhoNa+3ycKA943nefffdh4j79u0bGxvbtWvXwsJCGIaHDh2q + pBeuvvrqK6+88r3vfW+lQn7jjTcS4Dt++7d83w8wEJFKfc2YE9RBOrVeaFbqbsQCAoqo0+lYsQaV + BgAQOWf3bufcA0V7rBU5UZ9FNtRlASC1ohKPzEColLr/8PR/fNrTPvDBP7/lllue97zn3XLLLV7g + M/P+e743Oj728Y9//Iorrpiamjpw4EAQBKEXvv71r5/YNvniF73kDde9oSopOxgMqtBhcewZvRK8 + uE5Zu7aOampqampqap5AiEgpVUXEMPPn//kL37nzTnA8MzMDBRdlaXzPFmVZFOR5WmtQ0G63uRzG + ceLn4PtN0lw6m6apF+baKKVQEQoyiwMiRnZEwtBst4Zps78wm2UUeZ4IiEiZ5alOVdjQvkEFAEwE + bnVdXq3f6rfDawhKyaUDpzyd56lvdNRslUkWQKCVW1xc/PFrfnJ52J+cmnrpK19hOh2IooIVoxen + qWXXaHZzVxpx287Y1bjgYnDqwP4DSZKwQFpaNHpp2A+zdLX0/IrvaEXjwrq3vvWtd9xxx7e//W0C + 7LTaBw8evOJFL/z0pz+9fWpqYnziYx/7WJZlS/FgGMfbd0xNT08jom88BJieni6yXJwLm42RsdFn + PvtZQavhQEa3TfTjQebK3eede8cdd+zcuZOMjrOUmU3go9FRs0GGzj777CzLWq1WpZq2Y8eOVqsV + BIHneZOTk9/97nenpqYuueSS2dnZOI7n5+fHx8cbjcbS0lKe58vLy+12e806+rU3/9qrX/Pqe+65 + J0kSIsqK/Pfe/d//6Ib3nbFj540f+fCnbv57IOyMdLOicMyVYgojICAg0haeh5XjCNclR4kIWxf6 + UZlmRVHsOe98sU4MkQYSkfPOO4+IfG1sUfrarBSxerBctRNxG++wtbaw1vi+9jzSOsmyf/rc5371 + bW+7+TOf6Y6O/sM//dOH/uqvev3+17/5zT/7wAdmZmcXeovvfNfvfvmrX9lz4QW7zz3nq1+7td3t + 3Hrrra/68Vft27dPKTUcDo0xWZYppPX6B7hOu+YJG8iampqampqaGoDK/4OIZVkGQdBqtZI0JaMv + f85zJs/YboWHcWzZkdGiyIIQUbPTDpsNAUhyW7CQ9kAoy5I0jZ0rAt9oTwFyCcwITmEpzArDZqPT + 6ZLxytzmWaFRGVLMnMZJnqdEpDwNBAwswoxS/QgBIwgdF3RHIIRy6tcA2DiCMEziKAqKIjeeYlvG + y30HRZImjXbrZ173H4Koec/9h0bPOPNoHB+Ok4S8mHXMeqmUZQdD0KkycSmwuBSn6W37vj4zc8zz + AscyN79o/JBIazKajFGeIqPI+F4Yhc12u3vllS/9iw/+XxBqNttZUf7lX36o1eq84x2/9Yd/+L6b + P/2ZYzNzk5Pbl3rLrVZHk1HKMEMYNoz24zgFoLHRCWfl8OyRV//bq+cX587efdbXvrGv0Yg6I+0D + 994zOj5yZObwMBk0WpHlMivStEgGabJjx475xQUgDBsRKtKemVuYF4RWp31sbvYn/91P/c47/9tl + z37W4lLP+B5pFUXR9PT08vLymgjK2NjYsWPHXvnKV15//fWf/MdPXnPNNddff/3VV1+9bdu2Xq93 + xQtf8OZffsv7/ucNn/z7T2nPCGKv319a7guuJPY8FNy0nyeEyr+iiJAlCgJXWoW4d+9eEUEBLSBl + WXY73ampqQP33pOmqR8GWsC6UggRoJKtrEyRx2B7oKKyLJRSSZYqou07pjpx54tf/tI999wzOj52 + 4Hv3lM52253pI4dRoNPptJvNAwcOvPvd786yzDl31VVXXXTRRf3BoNvtAmGaJF4YZFlGSkVRlOe5 + WMerjq+1dj5BQ1dTU1NTU1NTA7BawsRv+865OE2iIMyK4ujMzGi7g0RRs+GFgViXlzmDVFngfug3 + W53BcKnIhmlmo0ZAGsrSpfHQGN8Yw4BOHBA4ZEcIIAaRlGq0Wt3O6GBpPkvTsO0BEAFmZR7HMQQ+ + ESAJl7ySE18le9Rvhx+M53lJnvhhsDQcuCzLXNKMIgYXet78Qm92du6HX/BCB8XFu89JDh7R7UZv + tJmyBb/jnJt2BTTCJYK7jtz7yT9491fuO3DH4rErXvHyLMtcZkPjhzpIlvuV3np1urWX9YD4iU98 + 4h8/91lNVNU1mpmZufHGG3dun7rzu3fd9PGPKaWOHDky2u0S0mAw0KRI4/zsHDNPjo6JiLX2vvsP + 7T7nnFu/evtP/fufnp2d/ZGXXbU47PcGy8r3cmfPOmf3cDj83r0HjTGNTnv/wQMH77v3a9/4ehiG + IyMjBw8ebLfbVUDdYDC4//77Pc8LguCss84655xz+v3+/v37v/Od74hIp9P50Ic+1Gq1lFLWWuec + MebDH/7wbbfdxsxzc3N79uy56aabpqenzz///MsuuyzP8/f/2Z9+6uabl5eX0zzrdDpjE+PL8XDF + k1YF14kwCFRqH5sJbkTU52RSEAgALMxsi9LmxdkX7RkalZt7AAAV4ElEQVRpdvM0Ey26EqcTkB+6 + /DkHDnyPeUVQgXRVs0xQgFEqiW/Eh6jCPRLGGNTK+F5vdkYBDrJkfGQ0ajVLZ/d94+vbxid2bDvT + FeXR2WOh57OVIzMzgectLi5u37692W6nee6HoUqS+fn5IApbrVbJjogUUZIkzWazTFcCf1e6WnuZ + Ty82qm1ySpdthseq5bJ5bHQ8N/t6bbXx2Wy22vhstflQU/NkEoahUipOE2dtnmWeNlEUaSRQZHwv + d7afDAPjmSggwDRNiUtCCFqNTjE+P1sM0pR8o7VGxDxPszxWhkrLRNoEPgNaFkTUmjKbGz+Y3L6t + SOJkOGhFDMDa8wgwSWMeKFemiMJiERQiVUF2sLoEWpNXfoqT21x7qigyz/MEqRF4Z24/49vf/Nbu + qTOu/YU3/OTP/vT0/MzeC/bOzBzqsm4UdqQoMU4g8MExOAftNnhmWBaHBoPXjI2qdls3Gp/4xCda + JsjjpBUYVj6SrOV4rRSPAiCiG264gZnPPHd3b35hfn7e97w//4sPTm3bPjMzM7Vzx+jo6PcOHjhv + z/lHZmaA0PM83/fZujAMu93u/v37A+MtLS295qdec9VVL5+YmBgOhyLSHyz/xn95u1Lq6NGjZVlO + Tk5OjE4sLC3Ecdxqtca2T9708Y+FjcZ5e/YsLS+ned5st9M4rgK4XvGqV2mtrbWIOD4+3h8Mfv89 + 70ERpdQb3/jGbdu2aa0XFhbGx8eDIPjCF74wOzu7a9cuZr777rsvvPDCycnJY8eO/dENNxhjnHNx + HIdh2BnpLi8vHzo83e12YV3GqeCpkX66Ju0t1nnGpHFitL7yRS924KoLqRWq0PMZ4PLLL//wRz+M + bLXWkibKeALg1vVyxUreYLfjLFVKDdMkiqKzzz770KFDS4PldrtdFMWuXbu01vfee6/v+5WSuiby + fT/w/Vardf/hw1GvNzk52e/3nXBnpJumaW5LAIiiaDgcaq0VUXmik9bWUU1NTU1NTc0TSFEUWuvl + 5eVut9toNMo8L2ypfS9LMy8MGq0mI+TOglZaEWlVskMEY/xGq7uwuDgc9IKi1MoginOlK/I800Vp + vagRmLCw7MQprVlBkuStMGh2uyaM+r2lwjIBG98nBTbPkhhKZmAH7ECpVffRAznYdRxNBREFJljs + LwUmKMvSFuXBe/a/6U1v4iTP8xwCtVxmzZH20uJ8G72xMCiToQ9gtC7zTAQdSElEYZR7Xknq2MJi + FDWjIGzoQFwy6PX90LPo1nLAKs8PANiiqOyQ/fv3R34wOjraajYXFhaGSdxoNRd7vajVDMOw1+tF + UVSpEVaumzxNZ/KZRqMx0u78zd/97ec+93mj/SLPBYAQjef1l5aU1lEYRo3GcDCYnZtrRNHo2Fia + JGmWRZ2GMWZubq7T6QBAURS+7xPRsWPHoihCRGstEc3Pz1eVvppRlOf5sWPHZmdne73erl277r77 + 7qoc1tjYWJqmSqnzzjtvYWEhiqKqd8aYI0eOjI+PK6NnZ2db3c5o4DvnHlCuW3NpblwmfmMX97Ee + fn2xSRQgASBqhlHWj1ut1guveIEryoYfAQAW7BCpEMsgP/+ffu7AofuOHJsp2Y1vG+/1l1CT1tpy + ycytVitPM7EbaxRv5Ealh4TJru/JCV9GUm0LndY81d5AbzUPyVbzGGy18dlsttr4bLX5UFPzZFKt + Z44rQV4tWggw9PyRkZGx0dFGowGObVGyswoQtWJbLC3N9xYXOEt8o7rtDooU1mrPv/vAwfbo2NMv + vWyx1/eiJouAsCGlFUqeDxcX415vuLSkAbfv2JbbcrlIg3ZzMR7M9fsURqUAETFzYZmZ/TBqNBpL + i0uVN6MqEFl5l/BRFYba4jzgHzuuL4jIRQkAgecT0Q3v/cNP3fypv/rojYysUSMiAyGLckBOjCgA + KBRbAksAKJ4DzYxg1coqVABQEBySJbJIDlGQUEgxKAHNzMhM4k7+iFtREjzRoK/vwtpDcmVewfpf + EVaL1D+aLT94zfwIQykbLoP90OOvf8I/tKO4yY90FDDGxHHc7Xb/+qaPXnvttXfffXer1eot97XW + xhgi4hXdDEFEQKk+LIqCrdNai3VlWbajRpHlTS8caXf+/uOfJERf+eycFhFE8VAPy/Saa6553c// + 3LYdU2mRLywskFaVS46BRWQ4HPq+Xzq7eR1mBFp93DyiaVRTU1NTU1NT869CFVtFCNZaArSZi49l + R2ePQfW2F0ABIKJSSilly9yWaaSU9gLrSnS2yDKlqd0KiyK7885vzy32mAyQwipsDtAjVM6RtSQi + IEmeMTiFQOJCwkBjWhRoNDA653zjMQBbl6ZpZRpVjXwgRen0Da6pqkK1wkhrnafZcDiskthDP2CQ + FXF2EURURhmF6AAAFKEQCIEgMIkVBajKNYtXAFbKmBIiKgAAQgFCUsJAihCFRJ28VQ9jIK2Px1IP + Dolcv9qlR2/uADCA2kwD6YTHP74KFwCubmHzX3gpJGOMMWYwGIhImqZaa611u9221lYpVaRUVRmV + mZkdMxtjrLXA4vs+eRAPh8tL/dHuyPLC0q//6tu0UgYVVpWYDamSnRMOjH/xRXuveN7zb739tkan + rYl4pf/IjitVdR/8ze0uAJzcNNqYxHjNacFT7Y3yqd7fzW7/qT4+m81WG5+t1p6amsfPynJ27VcB + 4/sKUUScc1mRV0FTCgmFnXMgiFoRCLFohNLZSHtGK/HIVzDW7fbiZHFxAZQGJFQkCJktxXFJGGjl + +9qhEEiSpZ6nPa3QWY3QMF5RWAFgtsAiItqYLCuyJPU8Hx5sDsnpax0BQBiGzrkq+jFL0iqTZ7Q7 + WmZlpemHqJAIAESkEK4ij5iBV3UFHIBFcbii+1wZDyRADACCKyreVcY+2co0FlgfRXaywV2xolfN + reP2lofsuX6VyxsxkDbKRmdD1byHb9F6j9baVzaPNE0qDYIwDJvNZlmWZVkOh8PqoiuloLpKzLCa + IbYWCqiUKtIszzIS2Dm1Y/rQoRc994ofe9nLbVZ4QVjmBSJqACAAx0KKnHPXXXfdtW94/czs7MT2 + 8WGSiGPf9zl3iOgbXRQFbtgp9xjhhximNTU1NTU1NTVbB0EobAnVK3kiEwYrYlEintbW2pX6KCxg + bckuywrI4pFmSMK2TD3j+0a7OG60W7klh+BEyPMAhAEydoUtA03gbFLk2iNPKefKgFCisMA8Q7Ls + fEN5WSCiAiysDcOoWhSuGUUPLiB7upEkCSJ6pIIg6LY7nufNz88fOXJEnCALKgVIlYloWYA5MB4A + EAARVEaRAAiCBmFc8dtg5QPklbAmrPK7EATBoa4WqEoe2UBa86XwiVbPJwxLW/fnzb1ejz/E7mRU + ZtJm0xgdZeaiKIwxhw8frio4DYfDOEuNMZWB5JiZuXKjKqXyPA8bDURM40T7QeQHZV4UWdZutl5/ + 7bUGVMYFAACzAGhhJiKPlBW3fWLb6PjYz7z2mt/7g/8x6C/rwC9dWaWOValdeV4Y5W2oA48zZv24 + b6+vzlu/m6ypqampqal58nlQeAshMxPA6hIamNk5V7JlZgFEZZQiBZWgsLXOlmVuEMFaQQp8z1M6 + T9LUkUNwCGQ0akUIDpUSKcQ5ZhF2zlkr7EpPka89jUDOOVu22p2iGNii9IOoKIrKHFqxkdYUtk5f + PM9DxKIosizL02x8fHxiYuJNb3rTW9/8yw7EMZRgK+FpRCRAtrYqDFVdLQZwBCTgO0EBWcl0IgAg + Yaxy3ZEBwCE4AovkCABAO6RHcq2cLK/+4RPCqt02Khu9oZC8x8Cj9wjR5ruPAEAp5ZxTShljOs0u + My8sLARB0Gw2qxA7AEAirXV1O4iVNE3brVaVPRR2w4YfDHr9++879NZf/pVLn/H9jq1vPACovoKy + KlhesCOi2KZxmv72u975/278UHt0xIlL8qwz0s7zXBCARaHeoGWysQv28Clf64+42ozaTqqpqamp + qanZXE4mOmWMAQBmXjNLAABx5RNAQqVRSDkXsA2hbIIN0LUbASnVyzK/PTYsebY3YB0yoUOwBCWw + iCjEgEiVpSqLgJ0P4qO0Qi8K/AJpUTAVnD58dGxyIkkLy67ZHl0eDFDrNd+RWmcgnfpRdicWaXDO + BUEgpTXGFFm+vLy8d88FtihDHQiCBakqma6tGgmQAGh1Cc8EDgEFQlspVKNDcEgAAMgoooQJuDq1 + Q2AkR8BAijdmIB2nn/YwnKIG0nEuqc22kUREKTUcDq21xvcOHDgwMjKCiFa4murrhUlERFDKsgyD + ABEDZZI4Hiz1z9555qVPf8Y7fuPt3UY70r4tHQJoMkCkAaBS6ENFWZn5xtMt75prrlla7n3tW9/M + y5xTds4hIiAgbbgO0oZkU054cR/9lKo5/djo7X6qT5Ct9qJvo+O52ddrq43PZrPVxmerzYeamn8t + 1s/VLM9XPlzNcCAiJDCeAQBGLYLgwIJlEBHJixylGD9z6syzzzqyuNQa35aC+eJt++LMMaNTVDLn + zpbOIkihaMQLwBEpTPp9z/fO3b17+8REJhz7UQI0e+xTeZJq47uS15oBq3aRW/GBnP5+pLIsfd8X + kR07dsRxDIzzRxcQEbQCDUIoCFYssiCCEiBeWdAzgFUAAIoBACyhJXBIjEDAikULa2YlvFoOlRhB + kAQIKtfSKg9dxD7JBtLjX3I/Ho7r7GYbSMYYEcnznJkLW3Y6nUajkaZpnuXGrBQcs5VEByIRCUoY + hrYsRaTTbS7Mz7N1l1166a/80psnOuNFnrFiESnLUgcGHOvKEex7/jBNwtAXwCyPLz73wut/8Zfe + /Na3LC33gUGsKNJKqSzLlNqYptxjyFha08F4+B1qabunAltN5niz2WqBo1tNtnurjc9ms9XGZ6vN + h5qaJ5kTrk+q5O/qHyuZD8LMPIxjpRRpn4hAmMQxAhOqwCOgPU+75CUvecnSMPHa7UNH57911129 + wYwjI+R5vjEqcMJsnRJJysxzzjc6LYttY93ve8bTv2/PBSVCHkaDkm/98lcWlnph4Oe5s0Viy9xX + kRBWlXlEgJlX0o9Ol/vruPE3xmRZluVZo91aHg60Z+YHw9HOqPI9EXEo1ll2IoiAXGn6CYBC4NVA + O14VUah+SgRGYWQCcCTCIigMVVVYAhAQEhABOS4t56Emwfo1MJ/k84eyKu2wsQfoSiEiAH502814 + I/XA8Tc/DSnJUhHxfR8AuEQyepDES0tLk5OT1jlbllxJF1ZqDSIIWOZlGATpMJ6dmZ0cGX/aD158 + 1Y9edcbUGQLseV6RlUEQKCIgckWhqyK+AtIIw+qUbb8pABfuOv+Pf/9973zX7374po+Ob5tsNBsL + vUXlqfZIJyuySkIRANRqkbLKRDtO86/KCtxonysf5yN4kx64rqfLHV9zIh6myMBpyane381u/6k+ + PpvNVhufrdaemprHyUnXJ1W1VgDLbvUDNEEAAAAM7EhAkQDbkl2CkDEMSS2U9pJnXioIKgytHeoA + BcRC7jLrkAQREZ0AsUJDibP+SGc+GRQGJdJ7L7xIRe19/3JHf2m+GYVpNvR8L02HzVZUlCUwuEqJ + rSrdRAiIIBt8p/8kJNo/Jo5rlxMmrRrNZpKmzW6nYGca4dCmQLRuf1xTHxRY0WZ44IAPPr4SIKiy + TwAFQcghMQAcr+r9yA6bkz0DH82z0W10/bzid3qQ3PbDbDeDzT7+gzCEAIVYACBNli0AtLvtrMgE + AAkIiVbVC8EBFy40vrZIJYCVF/7QFW98wy9MbduuhAhRIZrAAwAkZGHyjF7p0rquVErnDeWds3P3 + b779N5//w1f88Z/96Xf33z0yNqZ8de89B6JWI2xEnucxcxViWwkswolKd9FjU717xFmxRW/bmpqa + mpqamtOXR7f8EFzZk0QIUAETAiGCUFaUmsiRT35kwsi5ktkCsHOlECjUpJBAAazoSytNAJxnWVFm + kUHQxms2/UbUbHbHRkZbzUaS5wCiFAKyUqScEyACYiCpzDlUAECIT0Daw5bl5I19RPnl4766og99 + 3J9PdPytOUD4qLebffbN5SSTmZlxtQKYMFfuHE06jBpJP46z/Pxzz/25a173squuaniBp4ywQ0AE + REQGWXvZoU923tKWubNBEPzE1T9x6TOf+d73/dGn/+Ezjmnntu1klLU2Xh5Wvi1SCoXRVsLwspYF + uOJZEvdED0lNTU1NTU1NzdaFVzNIpMoJEmZgJcwirbCJwEVRJkkeJ4WIG8a5UoZLZixFgQCvxn2R + iBAhEillFHCjESCoPC/6S8M4k8XFnitsMky9KNRkgPMyK9hVriIWIBHgNVGxOo275ikAIlbSCVpr + BBAHiKgR0XIUhD/60h959ateddkzfiAyYVlkmXWB52EltABQVXJaOU51H53grkFkAAYpxTlhQLz9 + 61/7wAf/zzf+5RtzC3NFUYyOjjabzTRNh8OhZddqNAVXUgPXy+0z1zdkTU1NTU1NzVMIBgBCRiCR + qqIOAithErZlIY73nHfOyMhIEHhVnv/nv/AF02hZQBZiQQYlSFURn7LIPEJ0JZQZufzSp1/SDEwY + hmkh5Pv//MUvmzAoWBhkmGVR1JRKhgABAJ0grLqCFB+nKbDCqa9uV/MAa6VaH3F7enCCfrEAoVEa + FZV5keaZp02j0WgE7V95y1ue9czLgiBoe43SFS4rGo0GilT673Cc45ArtZMTnXV+ccEyj4yNAlIp + VqO2UE7PTN91110333zzZz/72V6vNz4+HoZhnCRlUTwV5PZrampqampqah4eRqjEoatKo4oBQUgA + gbVC51yjEVavmJWi0dHRxcXFsBFZABZ0gAJKgIQUAHhKk7BBIS5tGncaQRIPSGB+qd9qd63A6ORE + fzjMHQOA8nxnBZEAAFGxyGomEpOcWDfl5AbSk1DMpuYJ5l83B+nJ56H9MlqX1gozKTXS7V540UUv + fMELnv3sZ58xtUuBslx4ZBCAnfWUIQBZrSQLj9JAYmYiEgArLAi5LSv9SuNpx2W1TxzHs7Ozc3Nz + SZJUiVBAeJzifm0y1dTU1NTU1Dyl4NUKPChAAita2wKAIo6ttcaoalmmlCKi5cGS53mAiKiYlIBi + IAYUEVuU4kqtxFfKiPMVOlt4nuf54fJwaBlMFCR5ZvzQGFOWrihKxDUnElVKayigAWljNk9tIJ1K + bDSC8lR3Ij1MfzudztTU1NjYmFGmdCUiGvJLdnEcd1tdArS2QAFxTmutkHAVWRFhQTixgVSdEgEA + +oNlVKoRNVzlLgbq9Rd83w+CgIBKcMysSBGQrLO7HtzmOgeppqampqam5imEVAVzVn+lB5LXmYER + kEAzcOksACCiR4olRxQExfCAgcSABgABEJxAqYGJnThrjMcAeVF4XpRzEeeZH0QGDYMwMILCFc01 + civ6z+wBbWRJzLWBVLO1ObH2BgE5cM45IlKoAIBXyi4bBlagnCsBYEWeARFY1gwkXktAAvz/PsQ1 + oCNb/OUAAAAASUVORK5CYII= ) ) - (symbol (lib_id "LEA_SymbolLibrary:Fiducial_medium") (at 171.45 50.8 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Fiducial_medium") (at 256.54 142.24 0) (unit 1) (in_bom no) (on_board yes) (dnp no) (fields_autoplaced) (uuid 08cd71fd-5308-430c-b4df-e77f79d111eb) - (property "Reference" "O5" (at 167.64 46.99 0) + (property "Reference" "O5" (at 252.73 138.43 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "Fiducial_medium" (at 175.26 44.45 0) + (property "Value" "Fiducial_medium" (at 260.35 135.89 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:Fiducials_medium" (at 172.72 45.72 0) + (property "Footprint" "LEA_FootprintLibrary:Fiducials_medium" (at 257.81 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 172.72 45.72 0) + (property "Datasheet" "" (at 257.81 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Sim.Enable" "0" (at 171.45 50.8 0) + (property "Sim.Enable" "0" (at 256.54 142.24 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84249,28 +24027,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 105.41 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 105.41 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 0d8275a7-a9a1-4092-8db2-94a85575cb57) - (property "Reference" "ACCESSORY34" (at 219.71 105.4099 0) + (property "Reference" "ACCESSORY34" (at 233.68 105.4099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 99.06 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 105.41 0) + (property "Footprint" "" (at 227.33 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 105.41 0) + (property "Datasheet" "" (at 227.33 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 97.155 0) + (property "mouser#" "571-8815451" (at 233.68 97.155 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 95.885 0) + (property "manf#" "881545-1" (at 226.695 95.885 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 100.965 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 100.965 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84282,28 +24060,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 29.21 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 29.21 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 12fc6e94-3444-4ed7-8848-0654cf0f97f2) - (property "Reference" "ACCESSORY22" (at 219.71 29.2099 0) + (property "Reference" "ACCESSORY22" (at 233.68 29.2099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 22.86 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 29.21 0) + (property "Footprint" "" (at 227.33 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 29.21 0) + (property "Datasheet" "" (at 227.33 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 20.955 0) + (property "mouser#" "571-8815451" (at 233.68 20.955 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 19.685 0) + (property "manf#" "881545-1" (at 226.695 19.685 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 24.765 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 24.765 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84315,28 +24093,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 48.26 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 48.26 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 144b50b7-c4cf-4df1-828b-2d8882a0a4b6) - (property "Reference" "ACCESSORY25" (at 219.71 48.2599 0) + (property "Reference" "ACCESSORY25" (at 233.68 48.2599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 41.91 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 48.26 0) + (property "Footprint" "" (at 227.33 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 48.26 0) + (property "Datasheet" "" (at 227.33 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 40.005 0) + (property "mouser#" "571-8815451" (at 233.68 40.005 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 38.735 0) + (property "manf#" "881545-1" (at 226.695 38.735 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 43.815 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 43.815 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84348,28 +24126,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 143.51 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 143.51 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 2112caa6-d521-4ec8-a2b7-98d75a72a07c) - (property "Reference" "ACCESSORY20" (at 191.77 143.5099 0) + (property "Reference" "ACCESSORY20" (at 205.74 143.5099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 137.16 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 143.51 0) + (property "Footprint" "" (at 199.39 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 143.51 0) + (property "Datasheet" "" (at 199.39 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 135.255 0) + (property "mouser#" "571-8815451" (at 205.74 135.255 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 133.985 0) + (property "manf#" "881545-1" (at 198.755 133.985 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 139.065 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 139.065 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84381,28 +24159,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 86.36 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 86.36 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 2a9d01ef-88ce-414c-95cd-45760baaf1e5) - (property "Reference" "ACCESSORY31" (at 219.71 86.3599 0) + (property "Reference" "ACCESSORY31" (at 233.68 86.3599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 80.01 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 86.36 0) + (property "Footprint" "" (at 227.33 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 86.36 0) + (property "Datasheet" "" (at 227.33 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 78.105 0) + (property "mouser#" "571-8815451" (at 233.68 78.105 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 76.835 0) + (property "manf#" "881545-1" (at 226.695 76.835 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 81.915 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 81.915 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84414,28 +24192,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 67.31 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 67.31 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 3fb799c3-4c4f-42d1-aab0-1d3839350a78) - (property "Reference" "ACCESSORY28" (at 219.71 67.3099 0) + (property "Reference" "ACCESSORY28" (at 233.68 67.3099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 60.96 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 67.31 0) + (property "Footprint" "" (at 227.33 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 67.31 0) + (property "Datasheet" "" (at 227.33 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 59.055 0) + (property "mouser#" "571-8815451" (at 233.68 59.055 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 57.785 0) + (property "manf#" "881545-1" (at 226.695 57.785 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 62.865 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 62.865 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84447,28 +24225,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 60.96 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 60.96 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 423e8f30-6778-4185-bb07-f0bd24b3a351) - (property "Reference" "ACCESSORY7" (at 191.77 60.9599 0) + (property "Reference" "ACCESSORY7" (at 205.74 60.9599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 54.61 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 60.96 0) + (property "Footprint" "" (at 199.39 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 60.96 0) + (property "Datasheet" "" (at 199.39 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 52.705 0) + (property "mouser#" "571-8815451" (at 205.74 52.705 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 51.435 0) + (property "manf#" "881545-1" (at 198.755 51.435 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 56.515 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 56.515 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84480,28 +24258,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_rubber_feet") (at 185.42 29.21 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_rubber_feet") (at 199.39 29.21 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 5d6bb75e-6054-4b3f-a5ed-7f9210f93bd0) - (property "Reference" "ACCESSORY2" (at 191.77 29.2099 0) + (property "Reference" "ACCESSORY2" (at 205.74 29.2099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_rubber_feet" (at 186.055 22.86 0) + (property "Value" "ACCESSORY_rubber_feet" (at 200.025 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 29.21 0) + (property "Footprint" "" (at 199.39 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 29.21 0) + (property "Datasheet" "" (at 199.39 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "485-550 " (at 191.77 20.955 0) + (property "mouser#" "485-550 " (at 205.74 20.955 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "550" (at 184.785 19.685 0) + (property "manf#" "550" (at 198.755 19.685 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Adafruit" (at 191.77 24.765 0) + (property "Manufacturer" "Adafruit" (at 205.74 24.765 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84513,28 +24291,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 35.56 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 35.56 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 6479d716-6bd6-4a86-b9b7-12b007f2f121) - (property "Reference" "ACCESSORY3" (at 191.77 35.5599 0) + (property "Reference" "ACCESSORY3" (at 205.74 35.5599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 29.21 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 35.56 0) + (property "Footprint" "" (at 199.39 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 35.56 0) + (property "Datasheet" "" (at 199.39 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 27.305 0) + (property "mouser#" "571-8815451" (at 205.74 27.305 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 26.035 0) + (property "manf#" "881545-1" (at 198.755 26.035 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 31.115 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 31.115 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84546,28 +24324,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 60.96 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 60.96 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 6cfe3b20-cbce-41ac-9c43-f2d0d2508dbc) - (property "Reference" "ACCESSORY27" (at 219.71 60.9599 0) + (property "Reference" "ACCESSORY27" (at 233.68 60.9599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 54.61 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 60.96 0) + (property "Footprint" "" (at 227.33 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 60.96 0) + (property "Datasheet" "" (at 227.33 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 52.705 0) + (property "mouser#" "571-8815451" (at 233.68 52.705 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 51.435 0) + (property "manf#" "881545-1" (at 226.695 51.435 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 56.515 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 56.515 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84579,28 +24357,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 124.46 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 124.46 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 83bc167c-1a44-4415-9c9a-43c2b5566fae) - (property "Reference" "ACCESSORY37" (at 219.71 124.4599 0) + (property "Reference" "ACCESSORY37" (at 233.68 124.4599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 118.11 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 124.46 0) + (property "Footprint" "" (at 227.33 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 124.46 0) + (property "Datasheet" "" (at 227.33 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 116.205 0) + (property "mouser#" "571-8815451" (at 233.68 116.205 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 114.935 0) + (property "manf#" "881545-1" (at 226.695 114.935 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 120.015 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 120.015 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84612,28 +24390,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 22.86 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 22.86 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 8a701d6d-3e63-480b-938c-a94515f26f26) - (property "Reference" "ACCESSORY21" (at 219.71 22.8599 0) + (property "Reference" "ACCESSORY21" (at 233.68 22.8599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 16.51 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 16.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 22.86 0) + (property "Footprint" "" (at 227.33 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 22.86 0) + (property "Datasheet" "" (at 227.33 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 14.605 0) + (property "mouser#" "571-8815451" (at 233.68 14.605 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 13.335 0) + (property "manf#" "881545-1" (at 226.695 13.335 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 18.415 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 18.415 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84645,28 +24423,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 124.46 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 124.46 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 8c8c9959-ae0b-497d-985b-0d4920d76287) - (property "Reference" "ACCESSORY17" (at 191.77 124.4599 0) + (property "Reference" "ACCESSORY17" (at 205.74 124.4599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 118.11 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 124.46 0) + (property "Footprint" "" (at 199.39 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 124.46 0) + (property "Datasheet" "" (at 199.39 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 116.205 0) + (property "mouser#" "571-8815451" (at 205.74 116.205 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 114.935 0) + (property "manf#" "881545-1" (at 198.755 114.935 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 120.015 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 120.015 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84678,28 +24456,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 137.16 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 137.16 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid 8d26bcaf-3095-45e4-b04a-718c74c88dca) - (property "Reference" "ACCESSORY39" (at 219.71 137.1599 0) + (property "Reference" "ACCESSORY39" (at 233.68 137.1599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 130.81 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 137.16 0) + (property "Footprint" "" (at 227.33 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 137.16 0) + (property "Datasheet" "" (at 227.33 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 128.905 0) + (property "mouser#" "571-8815451" (at 233.68 128.905 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 127.635 0) + (property "manf#" "881545-1" (at 226.695 127.635 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 132.715 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 132.715 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84711,22 +24489,22 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:Fiducial_medium") (at 171.45 31.75 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Fiducial_medium") (at 256.54 123.19 0) (unit 1) (in_bom no) (on_board yes) (dnp no) (fields_autoplaced) (uuid 9e020969-98e4-41ee-a634-e62d3720cbbf) - (property "Reference" "O2" (at 167.64 27.94 0) + (property "Reference" "O2" (at 252.73 119.38 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "Fiducial_medium" (at 175.26 25.4 0) + (property "Value" "Fiducial_medium" (at 260.35 116.84 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:Fiducials_medium" (at 172.72 26.67 0) + (property "Footprint" "LEA_FootprintLibrary:Fiducials_medium" (at 257.81 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 172.72 26.67 0) + (property "Datasheet" "" (at 257.81 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Sim.Enable" "0" (at 171.45 31.75 0) + (property "Sim.Enable" "0" (at 256.54 123.19 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84738,22 +24516,22 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:Fiducial_medium") (at 171.45 38.1 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Fiducial_medium") (at 256.54 129.54 0) (unit 1) (in_bom no) (on_board yes) (dnp no) (fields_autoplaced) (uuid a0ca2f8b-0eee-4883-91ec-f8e563ebfeb9) - (property "Reference" "O3" (at 167.64 34.29 0) + (property "Reference" "O3" (at 252.73 125.73 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "Fiducial_medium" (at 175.26 31.75 0) + (property "Value" "Fiducial_medium" (at 260.35 123.19 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:Fiducials_medium" (at 172.72 33.02 0) + (property "Footprint" "LEA_FootprintLibrary:Fiducials_medium" (at 257.81 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 172.72 33.02 0) + (property "Datasheet" "" (at 257.81 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Sim.Enable" "0" (at 171.45 38.1 0) + (property "Sim.Enable" "0" (at 256.54 129.54 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84765,28 +24543,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 54.61 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 54.61 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid a0ee9cd4-6e72-467a-8570-a2791eab569d) - (property "Reference" "ACCESSORY6" (at 191.77 54.6099 0) + (property "Reference" "ACCESSORY6" (at 205.74 54.6099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 48.26 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 54.61 0) + (property "Footprint" "" (at 199.39 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 54.61 0) + (property "Datasheet" "" (at 199.39 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 46.355 0) + (property "mouser#" "571-8815451" (at 205.74 46.355 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 45.085 0) + (property "manf#" "881545-1" (at 198.755 45.085 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 50.165 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 50.165 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84798,28 +24576,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 99.06 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 99.06 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid a27b2213-ab24-40fb-8c4c-9796ffe24c7f) - (property "Reference" "ACCESSORY13" (at 191.77 99.0599 0) + (property "Reference" "ACCESSORY13" (at 205.74 99.0599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 92.71 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 99.06 0) + (property "Footprint" "" (at 199.39 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 99.06 0) + (property "Datasheet" "" (at 199.39 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 90.805 0) + (property "mouser#" "571-8815451" (at 205.74 90.805 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 89.535 0) + (property "manf#" "881545-1" (at 198.755 89.535 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 94.615 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 94.615 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84831,28 +24609,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 73.66 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 73.66 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid a4c1b8fe-2dca-417e-b2e1-53359b8659a2) - (property "Reference" "ACCESSORY9" (at 191.77 73.6599 0) + (property "Reference" "ACCESSORY9" (at 205.74 73.6599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 67.31 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 73.66 0) + (property "Footprint" "" (at 199.39 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 73.66 0) + (property "Datasheet" "" (at 199.39 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 65.405 0) + (property "mouser#" "571-8815451" (at 205.74 65.405 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 64.135 0) + (property "manf#" "881545-1" (at 198.755 64.135 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 69.215 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 69.215 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84864,28 +24642,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 111.76 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 111.76 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid aee3aee6-1281-44e5-8cf0-34b76a28da7f) - (property "Reference" "ACCESSORY15" (at 191.77 111.7599 0) + (property "Reference" "ACCESSORY15" (at 205.74 111.7599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 105.41 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 111.76 0) + (property "Footprint" "" (at 199.39 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 111.76 0) + (property "Datasheet" "" (at 199.39 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 103.505 0) + (property "mouser#" "571-8815451" (at 205.74 103.505 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 102.235 0) + (property "manf#" "881545-1" (at 198.755 102.235 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 107.315 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 107.315 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84897,28 +24675,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 92.71 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 92.71 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid aee822c3-0e78-48da-91d1-2ae69549ab4c) - (property "Reference" "ACCESSORY12" (at 191.77 92.7099 0) + (property "Reference" "ACCESSORY12" (at 205.74 92.7099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 86.36 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 92.71 0) + (property "Footprint" "" (at 199.39 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 92.71 0) + (property "Datasheet" "" (at 199.39 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 84.455 0) + (property "mouser#" "571-8815451" (at 205.74 84.455 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 83.185 0) + (property "manf#" "881545-1" (at 198.755 83.185 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 88.265 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 88.265 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84930,28 +24708,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 35.56 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 35.56 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid b3520df5-645a-4f9d-8684-96595aa4eb49) - (property "Reference" "ACCESSORY23" (at 219.71 35.5599 0) + (property "Reference" "ACCESSORY23" (at 233.68 35.5599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 29.21 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 35.56 0) + (property "Footprint" "" (at 227.33 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 35.56 0) + (property "Datasheet" "" (at 227.33 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 27.305 0) + (property "mouser#" "571-8815451" (at 233.68 27.305 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 26.035 0) + (property "manf#" "881545-1" (at 226.695 26.035 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 31.115 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 31.115 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84963,28 +24741,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 67.31 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 67.31 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid b3871473-b7d6-48a1-8f65-d5b700b08f6e) - (property "Reference" "ACCESSORY8" (at 191.77 67.3099 0) + (property "Reference" "ACCESSORY8" (at 205.74 67.3099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 60.96 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 67.31 0) + (property "Footprint" "" (at 199.39 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 67.31 0) + (property "Datasheet" "" (at 199.39 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 59.055 0) + (property "mouser#" "571-8815451" (at 205.74 59.055 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 57.785 0) + (property "manf#" "881545-1" (at 198.755 57.785 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 62.865 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 62.865 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -84996,28 +24774,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 105.41 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 105.41 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid b5f14be4-d27f-46f3-ad57-66f398b7aafa) - (property "Reference" "ACCESSORY14" (at 191.77 105.4099 0) + (property "Reference" "ACCESSORY14" (at 205.74 105.4099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 99.06 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 105.41 0) + (property "Footprint" "" (at 199.39 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 105.41 0) + (property "Datasheet" "" (at 199.39 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 97.155 0) + (property "mouser#" "571-8815451" (at 205.74 97.155 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 95.885 0) + (property "manf#" "881545-1" (at 198.755 95.885 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 100.965 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 100.965 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85029,28 +24807,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 41.91 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 41.91 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid bfb4c825-935b-4fda-b5ef-337506961e06) - (property "Reference" "ACCESSORY4" (at 191.77 41.9099 0) + (property "Reference" "ACCESSORY4" (at 205.74 41.9099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 35.56 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 41.91 0) + (property "Footprint" "" (at 199.39 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 41.91 0) + (property "Datasheet" "" (at 199.39 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 33.655 0) + (property "mouser#" "571-8815451" (at 205.74 33.655 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 32.385 0) + (property "manf#" "881545-1" (at 198.755 32.385 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 37.465 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 37.465 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85062,28 +24840,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 80.01 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 80.01 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid c196c04d-7c5d-431c-b413-d354dc40caa2) - (property "Reference" "ACCESSORY10" (at 191.77 80.0099 0) + (property "Reference" "ACCESSORY10" (at 205.74 80.0099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 73.66 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 80.01 0) + (property "Footprint" "" (at 199.39 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 80.01 0) + (property "Datasheet" "" (at 199.39 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 71.755 0) + (property "mouser#" "571-8815451" (at 205.74 71.755 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 70.485 0) + (property "manf#" "881545-1" (at 198.755 70.485 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 75.565 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 75.565 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85095,28 +24873,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 73.66 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 73.66 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid c62e6eb6-05d1-47c9-a679-c4455157e50a) - (property "Reference" "ACCESSORY29" (at 219.71 73.6599 0) + (property "Reference" "ACCESSORY29" (at 233.68 73.6599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 67.31 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 73.66 0) + (property "Footprint" "" (at 227.33 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 73.66 0) + (property "Datasheet" "" (at 227.33 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 65.405 0) + (property "mouser#" "571-8815451" (at 233.68 65.405 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 64.135 0) + (property "manf#" "881545-1" (at 226.695 64.135 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 69.215 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 69.215 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85128,28 +24906,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 130.81 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 130.81 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid c736ce29-758a-4a29-a6a0-ba06ddec6c77) - (property "Reference" "ACCESSORY18" (at 191.77 130.8099 0) + (property "Reference" "ACCESSORY18" (at 205.74 130.8099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 124.46 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 130.81 0) + (property "Footprint" "" (at 199.39 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 130.81 0) + (property "Datasheet" "" (at 199.39 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 122.555 0) + (property "mouser#" "571-8815451" (at 205.74 122.555 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 121.285 0) + (property "manf#" "881545-1" (at 198.755 121.285 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 126.365 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 126.365 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85161,28 +24939,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 137.16 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 137.16 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid c9c15474-df08-4b08-9736-a73cd3a0cdab) - (property "Reference" "ACCESSORY19" (at 191.77 137.1599 0) + (property "Reference" "ACCESSORY19" (at 205.74 137.1599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 130.81 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 137.16 0) + (property "Footprint" "" (at 199.39 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 137.16 0) + (property "Datasheet" "" (at 199.39 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 128.905 0) + (property "mouser#" "571-8815451" (at 205.74 128.905 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 127.635 0) + (property "manf#" "881545-1" (at 198.755 127.635 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 132.715 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 132.715 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85194,28 +24972,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 54.61 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 54.61 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid ca85c753-dd2e-4d17-9dfa-837497792ab7) - (property "Reference" "ACCESSORY26" (at 219.71 54.6099 0) + (property "Reference" "ACCESSORY26" (at 233.68 54.6099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 48.26 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 54.61 0) + (property "Footprint" "" (at 227.33 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 54.61 0) + (property "Datasheet" "" (at 227.33 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 46.355 0) + (property "mouser#" "571-8815451" (at 233.68 46.355 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 45.085 0) + (property "manf#" "881545-1" (at 226.695 45.085 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 50.165 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 50.165 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85227,22 +25005,22 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:Fiducial_medium") (at 171.45 44.45 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Fiducial_medium") (at 256.54 135.89 0) (unit 1) (in_bom no) (on_board yes) (dnp no) (fields_autoplaced) (uuid cdddf858-7eb2-472a-b5f0-7c453468cbe0) - (property "Reference" "O4" (at 167.64 40.64 0) + (property "Reference" "O4" (at 252.73 132.08 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "Fiducial_medium" (at 175.26 38.1 0) + (property "Value" "Fiducial_medium" (at 260.35 129.54 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:Fiducials_medium" (at 172.72 39.37 0) + (property "Footprint" "LEA_FootprintLibrary:Fiducials_medium" (at 257.81 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 172.72 39.37 0) + (property "Datasheet" "" (at 257.81 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Sim.Enable" "0" (at 171.45 44.45 0) + (property "Sim.Enable" "0" (at 256.54 135.89 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85254,22 +25032,22 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:SYM_LEA_Logo") (at 171.45 22.86 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:SYM_LEA_Logo") (at 256.54 114.3 0) (unit 1) (in_bom no) (on_board yes) (dnp no) (fields_autoplaced) (uuid d07a88df-373b-40e4-861b-cf479cdb8b73) - (property "Reference" "O1" (at 167.64 21.59 0) + (property "Reference" "O1" (at 252.73 113.03 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "SYM_LEA_Logo" (at 163.83 13.97 0) + (property "Value" "SYM_LEA_Logo" (at 248.92 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:SYM_lea_logo" (at 172.72 16.51 0) + (property "Footprint" "LEA_FootprintLibrary:SYM_lea_logo" (at 257.81 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 171.45 20.32 0) + (property "Datasheet" "" (at 256.54 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Sim.Enable" "0" (at 171.45 22.86 0) + (property "Sim.Enable" "0" (at 256.54 114.3 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85281,28 +25059,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 92.71 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 92.71 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid d141a3b4-769b-457f-bb15-18c73c9f17e4) - (property "Reference" "ACCESSORY32" (at 219.71 92.7099 0) + (property "Reference" "ACCESSORY32" (at 233.68 92.7099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 86.36 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 92.71 0) + (property "Footprint" "" (at 227.33 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 92.71 0) + (property "Datasheet" "" (at 227.33 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 84.455 0) + (property "mouser#" "571-8815451" (at 233.68 84.455 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 83.185 0) + (property "manf#" "881545-1" (at 226.695 83.185 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 88.265 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 88.265 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85314,28 +25092,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 130.81 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 130.81 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid d5fb5655-8b55-486a-8506-690b4221aae7) - (property "Reference" "ACCESSORY38" (at 219.71 130.8099 0) + (property "Reference" "ACCESSORY38" (at 233.68 130.8099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 124.46 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 130.81 0) + (property "Footprint" "" (at 227.33 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 130.81 0) + (property "Datasheet" "" (at 227.33 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 122.555 0) + (property "mouser#" "571-8815451" (at 233.68 122.555 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 121.285 0) + (property "manf#" "881545-1" (at 226.695 121.285 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 126.365 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 126.365 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85347,28 +25125,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 86.36 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 86.36 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid dd0bcea6-1397-4643-bc26-3422db8e3abd) - (property "Reference" "ACCESSORY11" (at 191.77 86.3599 0) + (property "Reference" "ACCESSORY11" (at 205.74 86.3599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 80.01 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 86.36 0) + (property "Footprint" "" (at 199.39 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 86.36 0) + (property "Datasheet" "" (at 199.39 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 78.105 0) + (property "mouser#" "571-8815451" (at 205.74 78.105 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 76.835 0) + (property "manf#" "881545-1" (at 198.755 76.835 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 81.915 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 81.915 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85380,28 +25158,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 143.51 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 143.51 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid e7705268-0fa7-4044-8f05-56c35c1057ee) - (property "Reference" "ACCESSORY40" (at 219.71 143.5099 0) + (property "Reference" "ACCESSORY40" (at 233.68 143.5099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 137.16 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 143.51 0) + (property "Footprint" "" (at 227.33 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 143.51 0) + (property "Datasheet" "" (at 227.33 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 135.255 0) + (property "mouser#" "571-8815451" (at 233.68 135.255 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 133.985 0) + (property "manf#" "881545-1" (at 226.695 133.985 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 139.065 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 139.065 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85413,28 +25191,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 118.11 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 118.11 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid e7f3a208-b1f8-40b6-8338-5685bee7a72f) - (property "Reference" "ACCESSORY16" (at 191.77 118.1099 0) + (property "Reference" "ACCESSORY16" (at 205.74 118.1099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 111.76 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 118.11 0) + (property "Footprint" "" (at 199.39 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 118.11 0) + (property "Datasheet" "" (at 199.39 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 109.855 0) + (property "mouser#" "571-8815451" (at 205.74 109.855 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 108.585 0) + (property "manf#" "881545-1" (at 198.755 108.585 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 113.665 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 113.665 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85446,28 +25224,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 41.91 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 41.91 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid e8e81cf7-e7d6-45ea-aeb7-0908addeafab) - (property "Reference" "ACCESSORY24" (at 219.71 41.9099 0) + (property "Reference" "ACCESSORY24" (at 233.68 41.9099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 35.56 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 41.91 0) + (property "Footprint" "" (at 227.33 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 41.91 0) + (property "Datasheet" "" (at 227.33 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 33.655 0) + (property "mouser#" "571-8815451" (at 233.68 33.655 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 32.385 0) + (property "manf#" "881545-1" (at 226.695 32.385 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 37.465 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 37.465 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85479,28 +25257,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 111.76 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 111.76 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid ee079125-4120-4317-b1d1-0b774add8c09) - (property "Reference" "ACCESSORY35" (at 219.71 111.7599 0) + (property "Reference" "ACCESSORY35" (at 233.68 111.7599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 105.41 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 111.76 0) + (property "Footprint" "" (at 227.33 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 111.76 0) + (property "Datasheet" "" (at 227.33 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 103.505 0) + (property "mouser#" "571-8815451" (at 233.68 103.505 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 102.235 0) + (property "manf#" "881545-1" (at 226.695 102.235 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 107.315 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 107.315 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85512,28 +25290,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 99.06 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 99.06 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid eed6e10e-d26a-4c5f-9690-7a8f0d93d294) - (property "Reference" "ACCESSORY33" (at 219.71 99.0599 0) + (property "Reference" "ACCESSORY33" (at 233.68 99.0599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 92.71 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 99.06 0) + (property "Footprint" "" (at 227.33 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 99.06 0) + (property "Datasheet" "" (at 227.33 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 90.805 0) + (property "mouser#" "571-8815451" (at 233.68 90.805 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 89.535 0) + (property "manf#" "881545-1" (at 226.695 89.535 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 94.615 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 94.615 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85545,28 +25323,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 118.11 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 118.11 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid f16950db-1a7d-4993-86a2-c488ab419f13) - (property "Reference" "ACCESSORY36" (at 219.71 118.1099 0) + (property "Reference" "ACCESSORY36" (at 233.68 118.1099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 111.76 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 118.11 0) + (property "Footprint" "" (at 227.33 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 118.11 0) + (property "Datasheet" "" (at 227.33 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 109.855 0) + (property "mouser#" "571-8815451" (at 233.68 109.855 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 108.585 0) + (property "manf#" "881545-1" (at 226.695 108.585 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 113.665 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 113.665 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85578,28 +25356,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 185.42 48.26 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 199.39 48.26 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid f2d405ad-588a-4a29-a6d0-72a7e8373490) - (property "Reference" "ACCESSORY5" (at 191.77 48.2599 0) + (property "Reference" "ACCESSORY5" (at 205.74 48.2599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 186.055 41.91 0) + (property "Value" "ACCESSORY_jumper" (at 200.025 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 48.26 0) + (property "Footprint" "" (at 199.39 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 48.26 0) + (property "Datasheet" "" (at 199.39 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 191.77 40.005 0) + (property "mouser#" "571-8815451" (at 205.74 40.005 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 184.785 38.735 0) + (property "manf#" "881545-1" (at 198.755 38.735 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 191.77 43.815 0) + (property "Manufacturer" "TE Connectivity" (at 205.74 43.815 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85611,28 +25389,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 213.36 80.01 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_jumper") (at 227.33 80.01 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid f3d5a3f4-599f-4ff9-a05c-fc47afb78171) - (property "Reference" "ACCESSORY30" (at 219.71 80.0099 0) + (property "Reference" "ACCESSORY30" (at 233.68 80.0099 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_jumper" (at 213.995 73.66 0) + (property "Value" "ACCESSORY_jumper" (at 227.965 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 213.36 80.01 0) + (property "Footprint" "" (at 227.33 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 213.36 80.01 0) + (property "Datasheet" "" (at 227.33 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "571-8815451" (at 219.71 71.755 0) + (property "mouser#" "571-8815451" (at 233.68 71.755 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "881545-1" (at 212.725 70.485 0) + (property "manf#" "881545-1" (at 226.695 70.485 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "TE Connectivity" (at 219.71 75.565 0) + (property "Manufacturer" "TE Connectivity" (at 233.68 75.565 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -85644,28 +25422,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_rubber_feet") (at 185.42 22.86 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:ACCESSORY_rubber_feet") (at 199.39 22.86 0) (unit 1) (in_bom yes) (on_board no) (dnp no) (fields_autoplaced) (uuid f6dbafd7-a623-458a-8cc2-36b5ba8a69f9) - (property "Reference" "ACCESSORY1" (at 191.77 22.8599 0) + (property "Reference" "ACCESSORY1" (at 205.74 22.8599 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ACCESSORY_rubber_feet" (at 186.055 16.51 0) + (property "Value" "ACCESSORY_rubber_feet" (at 200.025 16.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 185.42 22.86 0) + (property "Footprint" "" (at 199.39 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 185.42 22.86 0) + (property "Datasheet" "" (at 199.39 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "485-550 " (at 191.77 14.605 0) + (property "mouser#" "485-550 " (at 205.74 14.605 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "550" (at 184.785 13.335 0) + (property "manf#" "550" (at 198.755 13.335 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Adafruit" (at 191.77 18.415 0) + (property "Manufacturer" "Adafruit" (at 205.74 18.415 0) (effects (font (size 1.27 1.27)) hide) ) (instances diff --git a/LCB-CTB-01/LCB.kicad_sch b/LCB-CTB-01/LCB.kicad_sch index ffc6e66..6b4a558 100644 --- a/LCB-CTB-01/LCB.kicad_sch +++ b/LCB-CTB-01/LCB.kicad_sch @@ -6,8 +6,8 @@ (title_block (title "LCB-CTB-01: Test Board") - (date "2024-03-02") - (rev "1.0.0") + (date "2024-04-05") + (rev "1.1.0") (company "PADERBORN UNIVERSITY DEPARTMENT OF POWER ELECTRONICS AND ELECTRICAL DRIVES") ) @@ -59,62 +59,6 @@ ) ) ) - (symbol "LEA_SymbolLibrary:Conn_02x03_Male" (in_bom yes) (on_board yes) - (property "Reference" "J" (at 0 7.62 0) - (effects (font (size 1.27 1.27)) (justify left top)) - ) - (property "Value" "TSW-102-07-G-T" (at -7.62 -6.35 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:Conn_02x03" (at 16.51 -94.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Datasheet" "http://suddendocs.samtec.com/catalog_english/tsw_th.pdf" (at 16.51 -194.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "mouser#" "200-TSW10207GT" (at 16.51 -494.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Manufacturer" "SAMTEC" (at 16.51 -694.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "manf#" "TSW-102-07-G-T" (at 16.51 -794.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "ki_description" "CONN HEADER 6 POS .100\"" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Conn_02x03_Male_1_1" - (rectangle (start -3.81 5.08) (end 3.81 -5.08) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin passive line (at -8.89 2.54 0) (length 5.08) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -8.89 0 0) (length 5.08) - (name "2" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -8.89 -2.54 0) (length 5.08) - (name "3" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 2.54 180) (length 5.08) - (name "4" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 0 180) (length 5.08) - (name "5" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 -2.54 180) (length 5.08) - (name "6" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - ) (symbol "LEA_SymbolLibrary:Conn_LCB" (in_bom yes) (on_board yes) (property "Reference" "U" (at -7.62 73.66 0) (effects (font (size 1.27 1.27))) @@ -1816,10 +1760,6 @@ (stroke (width 0) (type default)) (uuid 0fef8fad-4fc8-49fa-9c75-c9f43025151f) ) - (wire (pts (xy 213.36 147.32) (xy 213.36 151.13)) - (stroke (width 0) (type default)) - (uuid 100c2c6d-dcd4-4641-afbf-0564c4e9d1dc) - ) (wire (pts (xy 19.05 55.88) (xy 19.05 58.42)) (stroke (width 0) (type default)) (uuid 105bde63-7883-4120-ad31-c7df97d10d9f) @@ -1832,10 +1772,6 @@ (stroke (width 0) (type default)) (uuid 11217dd5-255b-4cfc-bf1b-60695e1f5173) ) - (wire (pts (xy 100.33 147.32) (xy 100.33 149.86)) - (stroke (width 0) (type default)) - (uuid 1189b926-e467-49ec-ade1-843bf8df0447) - ) (wire (pts (xy 264.16 76.2) (xy 266.7 76.2)) (stroke (width 0) (type default)) (uuid 11d38816-2499-4099-abdd-8322364aff60) @@ -2072,10 +2008,6 @@ (stroke (width 0) (type default)) (uuid 35068850-1d7b-4c22-bc5e-e79186723b47) ) - (wire (pts (xy 102.87 147.32) (xy 102.87 149.86)) - (stroke (width 0) (type default)) - (uuid 357eddcb-ed9f-4268-bec9-b331ae1f36e9) - ) (wire (pts (xy 246.38 30.48) (xy 246.38 33.02)) (stroke (width 0) (type default)) (uuid 358ef1a4-ed31-4dde-8fb4-f59d2c2014d8) @@ -2120,6 +2052,10 @@ (stroke (width 0) (type default)) (uuid 38dad25f-9ca1-4085-8334-36abc5821d32) ) + (wire (pts (xy 167.64 30.48) (xy 167.64 33.02)) + (stroke (width 0) (type default)) + (uuid 38e50e5b-5270-452a-80fd-1584d9d589e8) + ) (wire (pts (xy 251.46 30.48) (xy 251.46 33.02)) (stroke (width 0) (type default)) (uuid 39fe2a65-ba58-4a68-8119-bc93709c0979) @@ -2416,10 +2352,6 @@ (stroke (width 0) (type default)) (uuid 6a7841a6-7d58-4be3-9218-c240b6d74adc) ) - (wire (pts (xy 113.03 182.88) (xy 113.03 181.61)) - (stroke (width 0) (type default)) - (uuid 6b45ae98-755c-4251-998b-0d0f272c425d) - ) (wire (pts (xy 19.05 157.48) (xy 19.05 160.02)) (stroke (width 0) (type default)) (uuid 6b6374eb-0a8c-4955-a679-2b5c3ec8d78e) @@ -2528,6 +2460,10 @@ (stroke (width 0) (type default)) (uuid 7a2a9dc9-59ed-4f2a-88cb-ca030e6ca9ca) ) + (wire (pts (xy 102.87 149.86) (xy 102.87 147.32)) + (stroke (width 0) (type default)) + (uuid 7a964b5e-83f9-4d62-b518-7a9ea7f28566) + ) (wire (pts (xy 19.05 139.7) (xy 19.05 142.24)) (stroke (width 0) (type default)) (uuid 7c557e01-4630-4862-88da-b10c62987924) @@ -2600,10 +2536,6 @@ (stroke (width 0) (type default)) (uuid 8b1e7175-f7b0-4195-bc23-9f538b0f85a6) ) - (wire (pts (xy 231.14 147.32) (xy 231.14 151.13)) - (stroke (width 0) (type default)) - (uuid 8c10addf-27ee-43e3-8de3-dc865295febe) - ) (wire (pts (xy 264.16 48.26) (xy 266.7 48.26)) (stroke (width 0) (type default)) (uuid 8c88a61e-4f50-43ef-8576-07b39e6d5b96) @@ -2636,6 +2568,10 @@ (stroke (width 0) (type default)) (uuid 919719ed-7569-4170-8a09-7734171065ad) ) + (wire (pts (xy 228.6 147.32) (xy 228.6 151.13)) + (stroke (width 0) (type default)) + (uuid 920670d4-55a4-4206-841c-6296572e1c44) + ) (wire (pts (xy 19.05 111.76) (xy 19.05 114.3)) (stroke (width 0) (type default)) (uuid 935b115a-0468-457f-963d-4e418aeb4ebd) @@ -2668,10 +2604,6 @@ (stroke (width 0) (type default)) (uuid 961fe0e4-adfb-471e-a71c-98e7d15b6d94) ) - (wire (pts (xy 167.64 30.48) (xy 167.64 33.02)) - (stroke (width 0) (type default)) - (uuid 981f622d-0c03-403e-9654-5491846d7fb5) - ) (wire (pts (xy 49.53 152.4) (xy 49.53 154.94)) (stroke (width 0) (type default)) (uuid 9980c1f0-a190-4dec-adbf-5f246c4baaf3) @@ -2684,9 +2616,9 @@ (stroke (width 0) (type default)) (uuid 9a97cfa4-2dc9-4b18-a67a-25d1764aef8e) ) - (wire (pts (xy 110.49 182.88) (xy 110.49 181.61)) + (wire (pts (xy 231.14 147.32) (xy 231.14 151.13)) (stroke (width 0) (type default)) - (uuid 9ac7fd52-af53-4c52-8d26-be41ad201d71) + (uuid 9aa3ca12-7782-46aa-8a98-cd0e8985a92e) ) (wire (pts (xy 19.05 81.28) (xy 19.05 83.82)) (stroke (width 0) (type default)) @@ -2892,6 +2824,10 @@ (stroke (width 0) (type default)) (uuid b97bdb10-c39a-463e-b787-f973ef58a82b) ) + (wire (pts (xy 100.33 149.86) (xy 100.33 147.32)) + (stroke (width 0) (type default)) + (uuid b97fc8a1-c670-4d30-8263-b5c77bc99c5d) + ) (wire (pts (xy 19.05 71.12) (xy 19.05 73.66)) (stroke (width 0) (type default)) (uuid b9d4e793-2e28-41aa-b5db-77dca8493bfd) @@ -3132,10 +3068,6 @@ (stroke (width 0) (type default)) (uuid dbcb45b1-3e22-41c4-9523-30c54908e326) ) - (wire (pts (xy 107.95 182.88) (xy 107.95 181.61)) - (stroke (width 0) (type default)) - (uuid dc462c52-9850-43b1-8dce-d5f025414a4e) - ) (wire (pts (xy 170.18 30.48) (xy 170.18 33.02)) (stroke (width 0) (type default)) (uuid dcd63d2e-c488-4ad4-a630-b78dffb95e95) @@ -3288,6 +3220,10 @@ (stroke (width 0) (type default)) (uuid f694c0ec-78eb-4a50-ade3-b81e66497959) ) + (wire (pts (xy 213.36 147.32) (xy 213.36 151.13)) + (stroke (width 0) (type default)) + (uuid f7439e89-52f8-4d66-bd7a-4d17efc1ccc8) + ) (wire (pts (xy 212.09 30.48) (xy 212.09 33.02)) (stroke (width 0) (type default)) (uuid f785e167-1830-4ac2-a7de-53b8097e07da) @@ -3324,10 +3260,6 @@ (stroke (width 0) (type default)) (uuid fcea6e4f-7a31-4e86-8788-f97147736e4d) ) - (wire (pts (xy 228.6 147.32) (xy 228.6 151.13)) - (stroke (width 0) (type default)) - (uuid fe073783-46f1-48c7-b84b-821c9d2f5cd0) - ) (wire (pts (xy 19.05 162.56) (xy 19.05 165.1)) (stroke (width 0) (type default)) (uuid fe3663a4-f396-4da5-85ea-057f2cadcbde) @@ -3348,13 +3280,6 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "DACOUTA" (shape output) (at 107.95 182.88 270) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 05f448d8-5f77-4dd7-bb92-e4575a29142e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 107.95 194.211 90) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) (global_label "GPIO110" (shape output) (at 152.4 30.48 90) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 06725b13-7625-478f-b31f-6ac7325c77fb) @@ -3418,13 +3343,6 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO14" (shape output) (at 213.36 151.13 270) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 0f09c80c-5bca-40e5-89f0-8333bead16f3) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 213.36 161.0095 90) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) (global_label "GPIO109" (shape output) (at 154.94 30.48 90) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 0f401af8-afa3-45b0-bc7e-03b833900ddc) @@ -3481,13 +3399,6 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO104" (shape output) (at 167.64 30.48 90) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 16bd9f20-653b-4c8c-a87f-ae82fefce78b) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 167.64 19.391 90) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) (global_label "GPIO15" (shape output) (at 215.9 151.13 270) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 16f0c0c0-2cc5-479d-ad74-4992b5bb4418) @@ -3614,13 +3525,6 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "DACOUTB" (shape output) (at 110.49 182.88 270) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 3b6952b8-14d4-4101-9c19-abae80f7a2f2) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 110.49 194.3924 90) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) (global_label "GPIO81" (shape output) (at 219.71 30.48 90) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 3d0c0cd4-e458-41d5-b7e2-310e1612b434) @@ -3663,6 +3567,13 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) + (global_label "DAC_C" (shape output) (at 113.03 163.83 270) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 47ccac66-20b9-410f-9d95-11950b6a4514) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 113.03 172.6814 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) (global_label "GPIO134" (shape output) (at 90.17 30.48 90) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 48d537e5-c1aa-4ecd-825b-5a679b4fadc3) @@ -3677,13 +3588,6 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO21" (shape output) (at 231.14 151.13 270) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 49330251-322d-4e34-8b16-7edcfbeb0bad) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 231.14 161.0095 90) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) (global_label "GPIO43" (shape output) (at 266.7 96.52 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 498fbe16-8563-44a0-b6fd-c5189be255e7) @@ -3747,13 +3651,6 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO20" (shape output) (at 228.6 151.13 270) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 5614d63e-6306-43f7-b94c-b069ec108b12) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 228.6 161.0095 90) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) (global_label "GPIO118" (shape output) (at 132.08 30.48 90) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 58fe9651-0936-46a6-9606-15a457b6fc3f) @@ -3824,10 +3721,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCIN15" (shape input) (at 102.87 149.86 270) (fields_autoplaced) + (global_label "ADCIN15" (shape input) (at 100.33 149.86 270) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 66c73240-6a01-4c28-beeb-c554b4936ef7) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 102.87 160.8281 90) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 100.33 160.8281 90) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3866,6 +3763,20 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) + (global_label "DAC_A" (shape output) (at 107.95 163.83 270) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6a603abd-9c8e-4f56-af09-e785d92e8287) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 107.95 172.5 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GPIO20" (shape output) (at 228.6 151.13 270) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6b006b6e-6e87-4858-9536-40c5284389e0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 228.6 161.0095 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) (global_label "GPIO53" (shape output) (at 266.7 71.12 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 6b0c7063-29a5-4419-bd52-eef06b48c270) @@ -3901,10 +3812,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "ADCIN14" (shape input) (at 100.33 149.86 270) (fields_autoplaced) + (global_label "ADCIN14" (shape input) (at 102.87 149.86 270) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 6e5810a3-ca32-44ef-9b7d-acf166065025) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 100.33 160.8281 90) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 102.87 160.8281 90) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3936,6 +3847,13 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) + (global_label "GPIO21" (shape output) (at 231.14 151.13 270) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7285492f-806a-4bce-ae77-ba1653ab78d1) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 231.14 161.0095 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) (global_label "GPIO148" (shape output) (at 68.58 74.93 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 76138d3d-49e6-4b77-bf65-abadd2b98a96) @@ -4034,6 +3952,13 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) + (global_label "GPIO104" (shape output) (at 167.64 30.48 90) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8239a9bb-7ce2-4633-bb5f-0535bf07dffc) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 167.64 19.391 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) (global_label "ADCINC3" (shape input) (at 148.59 149.86 270) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 85cd6116-e83f-4ed3-8313-5fd0ec052be5) @@ -4125,6 +4050,13 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) + (global_label "GPIO14" (shape output) (at 213.36 151.13 270) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 90b623eb-e053-41f1-a809-d7bc28e3618f) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 213.36 161.0095 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) (global_label "ADCINC2" (shape input) (at 146.05 149.86 270) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 91004ada-358d-4108-b882-9c9ff470b77d) @@ -4307,13 +4239,6 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "DACOUTC" (shape output) (at 113.03 182.88 270) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid cae82138-6da0-4cae-9e00-ee324a2150ec) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 113.03 194.3924 90) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) (global_label "GPIO25" (shape output) (at 241.3 151.13 270) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid cc0355bb-cd3d-46d7-8683-61e2b0cec1a6) @@ -4545,6 +4470,13 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) + (global_label "DAC_B" (shape output) (at 110.49 163.83 270) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fea5e141-ea8c-49d6-ba61-362459307410) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 110.49 172.6814 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) (global_label "GPIO8" (shape output) (at 194.31 151.13 270) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid ffd1cd2c-6aa2-4cfd-9201-4a9a980c2697) @@ -5250,48 +5182,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_02x03_Male") (at 110.49 172.72 90) (mirror x) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid e2647ddc-728a-47a4-abbc-cfb8f7c1ec42) - (property "Reference" "J2" (at 104.14 172.72 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "TSW-102-07-G-T" (at 116.84 165.1 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:Conn_02x03" (at 205.41 189.23 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Datasheet" "http://suddendocs.samtec.com/catalog_english/tsw_th.pdf" (at 305.41 189.23 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "mouser#" "200-TSW10207GT" (at 605.41 189.23 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Manufacturer_Name" "SAMTEC" (at 805.41 189.23 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "manf#" "TSW-102-07-G-T" (at 905.41 189.23 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Manufacturer" "SAMTEC" (at 805.41 189.23 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (pin "3" (uuid 89584ea6-fd47-4597-9f92-586e3b371e7c)) - (pin "1" (uuid 1889bc62-daef-4d11-98f9-aeb442f55496)) - (pin "2" (uuid c5ac6bfc-55c5-402f-9e50-d7c9ba995b0e)) - (pin "4" (uuid 81929377-b67b-4fec-849c-490eb848bcab)) - (pin "6" (uuid e379b0ca-c7c6-4f0d-a7ce-58db7d229454)) - (pin "5" (uuid 1bb03cab-e7be-4a41-931c-b797e29d1a28)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/f389d43a-46bd-45af-bdb9-50b1ba4b1003" - (reference "J2") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:GND") (at 34.29 172.72 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid ea8811f9-2575-478f-a8bf-ce99286669f0) diff --git a/LCB-CTB-01/LED.kicad_sch b/LCB-CTB-01/LED.kicad_sch index 4333d61..96a17fb 100644 --- a/LCB-CTB-01/LED.kicad_sch +++ b/LCB-CTB-01/LED.kicad_sch @@ -6,8 +6,8 @@ (title_block (title "LCB-CTB-01: Test Board") - (date "2024-03-02") - (rev "1.0.0") + (date "2024-04-05") + (rev "1.1.0") (company "PADERBORN UNIVERSITY DEPARTMENT OF POWER ELECTRONICS AND ELECTRICAL DRIVES") ) @@ -158,110 +158,6 @@ ) ) ) - (symbol "LEA_SymbolLibrary:Conn_02x09_Male" (in_bom yes) (on_board yes) - (property "Reference" "J" (at -1.27 15.24 0) - (effects (font (size 1.27 1.27)) (justify left top)) - ) - (property "Value" "Conn_02x09_Male" (at -7.62 -13.97 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:Conn_02x09_Male" (at 19.05 -94.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 19.05 -194.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "mouser#" "855-M20-9980946" (at 19.05 -494.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Manufacturer" "Harwin" (at 19.05 -694.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "manf#" "M20-9980946" (at 19.05 -794.92 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "ki_description" "2.54mm (0.1\") Pitch DIL Vertical Throughboard Pin Header, 6.1mm mating pin height, tin, 9+9 contacts" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Conn_02x09_Male_1_1" - (rectangle (start -5.08 12.7) (end 3.81 -12.7) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin passive line (at -10.16 10.16 0) (length 5.08) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 0 180) (length 5.08) - (name "10" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -10.16 -2.54 0) (length 5.08) - (name "11" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 -2.54 180) (length 5.08) - (name "12" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -10.16 -5.08 0) (length 5.08) - (name "13" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 -5.08 180) (length 5.08) - (name "14" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -10.16 -7.62 0) (length 5.08) - (name "15" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 -7.62 180) (length 5.08) - (name "16" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -10.16 -10.16 0) (length 5.08) - (name "17" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 -10.16 180) (length 5.08) - (name "18" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 10.16 180) (length 5.08) - (name "2" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -10.16 7.62 0) (length 5.08) - (name "3" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 7.62 180) (length 5.08) - (name "4" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -10.16 5.08 0) (length 5.08) - (name "5" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 5.08 180) (length 5.08) - (name "6" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -10.16 2.54 0) (length 5.08) - (name "7" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 8.89 2.54 180) (length 5.08) - (name "8" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -10.16 0 0) (length 5.08) - (name "9" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) (symbol "LEA_SymbolLibrary:GND" (power) (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) (property "Reference" "#PWR" (at 2.54 -2.54 90) (effects (font (size 1.27 1.27)) hide) @@ -298,13 +194,13 @@ ) ) (symbol "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "D" (at 0 5.08 0) + (property "Reference" "D" (at 0 2.794 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 0 2.54 0) - (effects (font (size 1.27 1.27))) + (property "Value" "2mA" (at 0.127 -6.35 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 0 -2.54 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 0 -2.54 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 0 11.9888 0) @@ -319,7 +215,7 @@ (property "manf#" "APHD1608LVBC/D" (at 0 14.6812 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "LED 0603" (at 0 0 0) + (property "ki_description" "LED 0603, Blue, If 2mA, Vf 2.65V" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "LED_0603_B_2mA_APHD1608LVBC/D_0_0" @@ -327,6 +223,11 @@ (effects (font (size 0.5 0.5))) ) ) + (symbol "LED_0603_B_2mA_APHD1608LVBC/D_1_0" + (text "B" (at 1.524 0.635 0) + (effects (font (size 0.5 0.5))) + ) + ) (symbol "LED_0603_B_2mA_APHD1608LVBC/D_1_1" (polyline (pts @@ -379,13 +280,13 @@ ) ) (symbol "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "D" (at 0 5.08 0) + (property "Reference" "D" (at 0 2.667 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 0 2.54 0) - (effects (font (size 1.27 1.27))) + (property "Value" "2mA" (at 0 -6.604 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 0 -2.54 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 0 -2.54 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 0 11.9888 0) @@ -400,7 +301,7 @@ (property "manf#" "APHD1608LSURCK" (at 0 14.6812 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "LED 0603" (at 0 0 0) + (property "ki_description" "LED 0603, Red, If 2mA, Vf 1.75V" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "LED_0603_R_2mA_APHD1608LSURCK_0_0" @@ -408,6 +309,11 @@ (effects (font (size 0.5 0.5))) ) ) + (symbol "LED_0603_R_2mA_APHD1608LSURCK_1_0" + (text "R" (at 1.524 0.635 0) + (effects (font (size 0.5 0.5))) + ) + ) (symbol "LED_0603_R_2mA_APHD1608LSURCK_1_1" (polyline (pts @@ -579,68 +485,53 @@ ) ) - (junction (at 101.6 111.76) (diameter 0) (color 0 0 0 0) - (uuid 02f5882a-4d87-4bab-903e-a0f59884d115) - ) - (junction (at 101.6 86.36) (diameter 0) (color 0 0 0 0) - (uuid 08f0cd8e-f271-410e-91f3-bfbec324bbde) + (junction (at 151.13 54.61) (diameter 0) (color 0 0 0 0) + (uuid 0d80d9b8-d1ff-47af-885c-7ff56aede931) ) (junction (at 101.6 29.21) (diameter 0) (color 0 0 0 0) (uuid 18994c8d-cb43-4bec-a1c2-8eccc1d8602c) ) - (junction (at 180.34 57.15) (diameter 0) (color 0 0 0 0) - (uuid 19ea2753-30f8-49d9-bc51-e5c8e16a88ed) - ) (junction (at 238.76 81.28) (diameter 0) (color 0 0 0 0) (uuid 1ca163f3-35b3-45ed-81e4-5683f5ff4104) ) - (junction (at 101.6 41.91) (diameter 0) (color 0 0 0 0) - (uuid 232c6c08-db52-4d2b-a4b1-6c99a0a8780d) - ) (junction (at 39.37 41.91) (diameter 0) (color 0 0 0 0) (uuid 23cb7e71-3b81-49d7-9d8d-093cfe6acd75) ) + (junction (at 102.87 149.86) (diameter 0) (color 0 0 0 0) + (uuid 2898d07e-5f73-45cf-b926-f91962149a53) + ) (junction (at 39.37 124.46) (diameter 0) (color 0 0 0 0) (uuid 2aedba38-4bbd-40dc-b1ff-3fea90f8d284) ) - (junction (at 180.34 69.85) (diameter 0) (color 0 0 0 0) - (uuid 2b555ae5-34db-46fc-8f17-d651722cd4ca) - ) - (junction (at 102.87 157.48) (diameter 0) (color 0 0 0 0) + (junction (at 102.87 118.11) (diameter 0) (color 0 0 0 0) (uuid 2d8a4e05-0b03-44e3-8450-8b8063e052b0) ) - (junction (at 101.6 48.26) (diameter 0) (color 0 0 0 0) - (uuid 2fbbd924-5f28-4a04-9882-d61e1d3540fc) - ) (junction (at 39.37 181.61) (diameter 0) (color 0 0 0 0) (uuid 30313bfc-3bfe-41ea-989d-126295462530) ) (junction (at 39.37 22.86) (diameter 0) (color 0 0 0 0) (uuid 30e32b0e-f2cc-47f6-8e78-26cc67c16910) ) + (junction (at 101.6 92.71) (diameter 0) (color 0 0 0 0) + (uuid 32cbb555-987c-4205-96a7-aad8ab39c654) + ) (junction (at 39.37 60.96) (diameter 0) (color 0 0 0 0) (uuid 32eee6ed-7115-404b-88b2-8e2a9be8d6d1) ) - (junction (at 101.6 92.71) (diameter 0) (color 0 0 0 0) - (uuid 35b71555-d94f-4d18-8919-7da04f827a18) - ) (junction (at 39.37 149.86) (diameter 0) (color 0 0 0 0) (uuid 36ecaa71-8d47-4ac5-b057-85fd93661a34) ) + (junction (at 102.87 124.46) (diameter 0) (color 0 0 0 0) + (uuid 476b3a8e-3ecc-4a0d-865f-41710e9888b0) + ) (junction (at 39.37 168.91) (diameter 0) (color 0 0 0 0) (uuid 548aee11-48c3-4dc1-9179-76c5364495ac) ) - (junction (at 180.34 44.45) (diameter 0) (color 0 0 0 0) - (uuid 55b733d4-1519-4dc0-87db-c87eada36459) - ) - (junction (at 180.34 63.5) (diameter 0) (color 0 0 0 0) - (uuid 5653d904-62a8-406d-94d2-0e0f074fe5b7) - ) (junction (at 39.37 29.21) (diameter 0) (color 0 0 0 0) (uuid 59f68c29-50e9-482c-9312-38c6ab1e018f) ) - (junction (at 101.6 124.46) (diameter 0) (color 0 0 0 0) - (uuid 5a43a18f-12d7-44bc-813f-d1668241c578) + (junction (at 102.87 187.96) (diameter 0) (color 0 0 0 0) + (uuid 5b8d8bdf-0678-4f22-9b58-5ae13edb9026) ) (junction (at 238.76 43.18) (diameter 0) (color 0 0 0 0) (uuid 5d8715b3-d491-43e6-b5af-73a2f57ef536) @@ -654,11 +545,11 @@ (junction (at 238.76 119.38) (diameter 0) (color 0 0 0 0) (uuid 6367d47e-de36-4f13-aa5d-d7cf1d05245b) ) - (junction (at 101.6 35.56) (diameter 0) (color 0 0 0 0) - (uuid 690c1d5f-bdf1-4a05-800e-ce3d56f255c6) + (junction (at 151.13 60.96) (diameter 0) (color 0 0 0 0) + (uuid 66fa7d2d-30a9-4c60-979b-e4e1f140c238) ) - (junction (at 101.6 105.41) (diameter 0) (color 0 0 0 0) - (uuid 69ac8501-c256-4a96-9e76-4672c91bf932) + (junction (at 151.13 35.56) (diameter 0) (color 0 0 0 0) + (uuid 68ce30e3-b2ab-4b16-8ce7-421a34934ee1) ) (junction (at 238.76 106.68) (diameter 0) (color 0 0 0 0) (uuid 6a126c1c-dd7b-416c-b6d3-279bb6dbbf12) @@ -672,11 +563,17 @@ (junction (at 238.76 74.93) (diameter 0) (color 0 0 0 0) (uuid 6bf6077d-0f95-421d-b144-a3d5840c7813) ) - (junction (at 102.87 151.13) (diameter 0) (color 0 0 0 0) - (uuid 77888bf6-72e7-4043-9bfe-974ed972aa97) + (junction (at 102.87 162.56) (diameter 0) (color 0 0 0 0) + (uuid 75596968-1688-4958-9742-797c4a5381a1) ) - (junction (at 101.6 73.66) (diameter 0) (color 0 0 0 0) - (uuid 79212c77-427a-4412-9b62-976226cff6cb) + (junction (at 151.13 48.26) (diameter 0) (color 0 0 0 0) + (uuid 7673bc3c-bdb5-4988-a464-ac4eda2ae68d) + ) + (junction (at 101.6 35.56) (diameter 0) (color 0 0 0 0) + (uuid 7705da69-a84a-4fc5-8f63-38c9e0a29a9b) + ) + (junction (at 102.87 111.76) (diameter 0) (color 0 0 0 0) + (uuid 77888bf6-72e7-4043-9bfe-974ed972aa97) ) (junction (at 238.76 125.73) (diameter 0) (color 0 0 0 0) (uuid 7c354fd5-5d1c-4d67-9b5a-ef3350b25b0e) @@ -687,17 +584,17 @@ (junction (at 238.76 62.23) (diameter 0) (color 0 0 0 0) (uuid 7cb47ce1-dfa3-4e8e-b8f8-08b05be19a77) ) + (junction (at 102.87 143.51) (diameter 0) (color 0 0 0 0) + (uuid 8132f3bc-2fd2-42ed-9d88-2bbe2ff451c8) + ) (junction (at 39.37 137.16) (diameter 0) (color 0 0 0 0) (uuid 8402541a-5916-4db5-b9e9-663b259590da) ) - (junction (at 102.87 163.83) (diameter 0) (color 0 0 0 0) - (uuid 876ce7aa-3675-472d-8ab9-eaa3f7759e0c) - ) (junction (at 39.37 86.36) (diameter 0) (color 0 0 0 0) (uuid 87aec440-0c00-44e0-ab96-2225ff12e51e) ) - (junction (at 102.87 182.88) (diameter 0) (color 0 0 0 0) - (uuid 89fec1b7-bf7a-4986-8a99-60a6a6a619a6) + (junction (at 102.87 156.21) (diameter 0) (color 0 0 0 0) + (uuid 88e3d03d-83a0-46f7-8f32-bfa1a1d1e7db) ) (junction (at 39.37 143.51) (diameter 0) (color 0 0 0 0) (uuid 8e72b0ea-7f87-4939-b9bb-746c943d5416) @@ -705,32 +602,29 @@ (junction (at 39.37 48.26) (diameter 0) (color 0 0 0 0) (uuid 9056947e-2f20-4eeb-b43f-6ac23421a499) ) - (junction (at 180.34 76.2) (diameter 0) (color 0 0 0 0) - (uuid 90c2fada-b592-4c7a-b0d8-5f29e33101e8) - ) - (junction (at 101.6 60.96) (diameter 0) (color 0 0 0 0) - (uuid 91b444d1-237b-4d41-9ef3-584bd3e13371) - ) (junction (at 101.6 22.86) (diameter 0) (color 0 0 0 0) (uuid 92df5108-d40a-4b8c-8879-bea070723013) ) - (junction (at 102.87 189.23) (diameter 0) (color 0 0 0 0) - (uuid 9599ea13-714b-497e-a1ea-4711c6d818a3) - ) (junction (at 39.37 105.41) (diameter 0) (color 0 0 0 0) (uuid 95e16d33-dae0-4b39-ad90-0d41895fb912) ) (junction (at 238.76 87.63) (diameter 0) (color 0 0 0 0) (uuid 96fa9890-2ce5-49b6-9e72-b9a74c67a814) ) - (junction (at 101.6 99.06) (diameter 0) (color 0 0 0 0) - (uuid 9a217cf6-e8e3-47fe-abb9-c0f8fb953cc0) - ) (junction (at 238.76 113.03) (diameter 0) (color 0 0 0 0) (uuid 9ba908a6-de04-445e-86fb-11e5dd8f9018) ) - (junction (at 180.34 50.8) (diameter 0) (color 0 0 0 0) - (uuid 9c999b6d-602e-4318-baa0-b700268850a2) + (junction (at 102.87 181.61) (diameter 0) (color 0 0 0 0) + (uuid 9bd41ec7-9da1-494b-ac29-26422a62ef3b) + ) + (junction (at 101.6 60.96) (diameter 0) (color 0 0 0 0) + (uuid 9c1d07db-b38b-4470-a0c1-e01cac9d2cc9) + ) + (junction (at 101.6 73.66) (diameter 0) (color 0 0 0 0) + (uuid a0ccfe59-6812-4d96-a278-73dc2cfabf26) + ) + (junction (at 101.6 67.31) (diameter 0) (color 0 0 0 0) + (uuid a16b7d86-3728-4f66-96ea-d1f6a4b26d9b) ) (junction (at 39.37 187.96) (diameter 0) (color 0 0 0 0) (uuid a4705e3a-5836-4017-8610-8f337458f2cf) @@ -738,26 +632,29 @@ (junction (at 238.76 49.53) (diameter 0) (color 0 0 0 0) (uuid a60f9528-004b-47ac-ba60-111d3e3f00ca) ) + (junction (at 151.13 73.66) (diameter 0) (color 0 0 0 0) + (uuid a8ad3956-ea9e-4b7d-9d08-60e5c12a7241) + ) (junction (at 101.6 54.61) (diameter 0) (color 0 0 0 0) - (uuid a6315d87-a062-430e-a1f6-899acf9c915a) + (uuid a9304570-ec91-443b-9edb-ae9da32a6002) ) - (junction (at 101.6 80.01) (diameter 0) (color 0 0 0 0) - (uuid a962af6f-2a38-4dff-8f60-64c237a49c76) + (junction (at 39.37 194.31) (diameter 0) (color 0 0 0 0) + (uuid abc0b173-bfdd-41da-8381-119157d6a10b) + ) + (junction (at 151.13 41.91) (diameter 0) (color 0 0 0 0) + (uuid b09e5e24-2523-4a3c-8cde-0d127a3ed146) ) (junction (at 238.76 93.98) (diameter 0) (color 0 0 0 0) (uuid b1613b3f-bd2b-4ca4-9094-0be3c83bc825) ) - (junction (at 101.6 67.31) (diameter 0) (color 0 0 0 0) - (uuid b28c0f3d-e7fe-4c67-b665-b333faa01298) - ) - (junction (at 180.34 38.1) (diameter 0) (color 0 0 0 0) - (uuid b7456779-58d6-4ee2-a250-d44d740cf68d) - ) (junction (at 238.76 55.88) (diameter 0) (color 0 0 0 0) (uuid b7cde84f-6170-4f0d-b387-9068be700f0c) ) - (junction (at 39.37 194.31) (diameter 0) (color 0 0 0 0) - (uuid c2900553-1ff4-4925-a483-0ee691ef66c0) + (junction (at 101.6 80.01) (diameter 0) (color 0 0 0 0) + (uuid b8300a01-2f14-4edb-87ef-fff7032024a1) + ) + (junction (at 101.6 41.91) (diameter 0) (color 0 0 0 0) + (uuid c5681867-c24c-4b27-9be6-797e5de5f098) ) (junction (at 39.37 175.26) (diameter 0) (color 0 0 0 0) (uuid c986e3ff-df34-4c3d-94d8-d718c6602bed) @@ -768,6 +665,9 @@ (junction (at 238.76 36.83) (diameter 0) (color 0 0 0 0) (uuid ccb51d54-b256-4c1a-b5d9-7e23285fb480) ) + (junction (at 102.87 175.26) (diameter 0) (color 0 0 0 0) + (uuid ceb3fad0-d25a-4252-bc0f-b833c61fe692) + ) (junction (at 39.37 73.66) (diameter 0) (color 0 0 0 0) (uuid d2975f55-bf51-4809-8705-d77be1f6e692) ) @@ -777,36 +677,42 @@ (junction (at 39.37 162.56) (diameter 0) (color 0 0 0 0) (uuid d8e3de34-6c3b-4ae6-9d61-618b64ec6438) ) - (junction (at 102.87 176.53) (diameter 0) (color 0 0 0 0) + (junction (at 102.87 137.16) (diameter 0) (color 0 0 0 0) (uuid d9604147-86d0-4f6d-adeb-b303159d69c3) ) - (junction (at 102.87 170.18) (diameter 0) (color 0 0 0 0) + (junction (at 102.87 130.81) (diameter 0) (color 0 0 0 0) (uuid dc50b626-b582-43e2-85d4-07809cc19972) ) - (junction (at 180.34 31.75) (diameter 0) (color 0 0 0 0) - (uuid dc72b4e3-3cb8-46ec-a929-f21b114ae78f) - ) (junction (at 39.37 130.81) (diameter 0) (color 0 0 0 0) (uuid e7bb3082-3be4-48c4-a439-34e9bca3f6dd) ) - (junction (at 102.87 144.78) (diameter 0) (color 0 0 0 0) + (junction (at 102.87 105.41) (diameter 0) (color 0 0 0 0) (uuid eacf6c66-9167-4ca4-a870-df94aa87354e) ) (junction (at 39.37 35.56) (diameter 0) (color 0 0 0 0) (uuid ef851b71-280f-4aaa-8d31-26a5cf2fbf00) ) + (junction (at 101.6 86.36) (diameter 0) (color 0 0 0 0) + (uuid ef8d1d35-f130-4f3a-99cd-474061d6e8dd) + ) + (junction (at 151.13 67.31) (diameter 0) (color 0 0 0 0) + (uuid f1cd798b-b782-4812-95ae-61577855067e) + ) (junction (at 39.37 80.01) (diameter 0) (color 0 0 0 0) (uuid f220533c-2af8-4a73-9089-df004d4415a9) ) - (junction (at 101.6 118.11) (diameter 0) (color 0 0 0 0) - (uuid f375a281-1d2a-43ee-ad11-be5d22632118) - ) (junction (at 39.37 54.61) (diameter 0) (color 0 0 0 0) (uuid f592a3bf-3ef8-4608-bbb4-9434ae9e460e) ) (junction (at 238.76 100.33) (diameter 0) (color 0 0 0 0) (uuid f96c5c72-510b-4687-b3f9-87d0bda1f82b) ) + (junction (at 101.6 48.26) (diameter 0) (color 0 0 0 0) + (uuid fa526ce9-4ee2-401e-920f-db512a94ff53) + ) + (junction (at 102.87 168.91) (diameter 0) (color 0 0 0 0) + (uuid fb88dfcc-8113-46d2-a929-4594a3e9a0f8) + ) (junction (at 238.76 68.58) (diameter 0) (color 0 0 0 0) (uuid fd849b89-c7ab-4ec5-9689-fa6790759676) ) @@ -815,10 +721,19 @@ (stroke (width 0) (type default)) (uuid 0066b95c-6148-4b03-9608-63488e2f0b3b) ) + (wire (pts (xy 161.29 48.26) (xy 160.02 48.26)) + (stroke (width 0) (type default)) + (uuid 00d7fcf2-96c7-401a-93d1-c1504439ad4b) + ) (wire (pts (xy 39.37 118.11) (xy 43.18 118.11)) (stroke (width 0) (type default)) (uuid 00dcffc1-8f36-4153-a116-a24f38b82e2a) ) + (polyline (pts (xy 135.89 90.17) (xy 193.04 90.17)) + (stroke (width 0.3) (type dash)) + (uuid 00e51af6-d994-4d18-aa52-35706fe99235) + ) + (wire (pts (xy 215.9 62.23) (xy 220.98 62.23)) (stroke (width 0) (type default)) (uuid 01b1bda3-a3b0-4389-829e-ef823a536b81) @@ -827,7 +742,7 @@ (stroke (width 0) (type default)) (uuid 01c03618-d494-4178-8a34-af94f155677a) ) - (wire (pts (xy 22.86 130.81) (xy 25.4 130.81)) + (wire (pts (xy 22.86 80.01) (xy 25.4 80.01)) (stroke (width 0) (type default)) (uuid 01c1cdfe-4222-4c47-b367-dc71a91325f2) ) @@ -851,7 +766,7 @@ (stroke (width 0) (type default)) (uuid 04bc6b93-2852-493d-b465-650e796173ab) ) - (wire (pts (xy 30.48 187.96) (xy 31.75 187.96)) + (wire (pts (xy 30.48 111.76) (xy 31.75 111.76)) (stroke (width 0) (type default)) (uuid 04ce47c1-a98a-4d9c-a8c2-de3024fb4cae) ) @@ -859,19 +774,19 @@ (stroke (width 0) (type default)) (uuid 04ef16c0-47e8-42a0-982d-337608d03593) ) - (wire (pts (xy 36.83 149.86) (xy 39.37 149.86)) + (wire (pts (xy 39.37 111.76) (xy 39.37 118.11)) (stroke (width 0) (type default)) - (uuid 055ba298-902d-480e-a70e-55095dc46da1) + (uuid 059aa30e-9d0c-4a23-a81d-35e3d24a67f6) ) (wire (pts (xy 215.9 36.83) (xy 220.98 36.83)) (stroke (width 0) (type default)) (uuid 05ada0a0-5429-4dd1-b007-945781588880) ) - (wire (pts (xy 36.83 111.76) (xy 39.37 111.76)) + (wire (pts (xy 36.83 67.31) (xy 39.37 67.31)) (stroke (width 0) (type default)) (uuid 05c5ff0c-63da-44a9-9698-a448e1cdbbe6) ) - (wire (pts (xy 106.68 151.13) (xy 102.87 151.13)) + (wire (pts (xy 106.68 111.76) (xy 102.87 111.76)) (stroke (width 0) (type default)) (uuid 061ea5f8-4f98-431e-8a8c-acb19e86bd55) ) @@ -891,47 +806,39 @@ (stroke (width 0) (type default)) (uuid 092edb1d-57c1-42cc-a04f-7a2c880e120b) ) - (wire (pts (xy 113.03 195.58) (xy 111.76 195.58)) + (wire (pts (xy 113.03 137.16) (xy 111.76 137.16)) (stroke (width 0) (type default)) (uuid 09bd706c-d1b9-4550-855f-37bef57e0c4e) ) - (wire (pts (xy 101.6 105.41) (xy 97.79 105.41)) - (stroke (width 0) (type default)) - (uuid 09f4f165-e777-40ba-a415-c7291874b6eb) - ) - (wire (pts (xy 39.37 80.01) (xy 43.18 80.01)) + (wire (pts (xy 100.33 149.86) (xy 102.87 149.86)) (stroke (width 0) (type default)) - (uuid 0a841995-4199-44ea-abde-9b4b271873a0) + (uuid 0a57b16f-72e6-4b6b-966b-43accebcb666) ) - (wire (pts (xy 101.6 54.61) (xy 101.6 60.96)) + (wire (pts (xy 184.15 137.16) (xy 180.34 137.16)) (stroke (width 0) (type default)) - (uuid 0a8654bd-5a1f-4b50-9966-13f719e09624) + (uuid 0a76d8db-9af8-4314-8784-029f6cbf2a8a) ) - (wire (pts (xy 180.34 31.75) (xy 180.34 38.1)) + (wire (pts (xy 39.37 80.01) (xy 43.18 80.01)) (stroke (width 0) (type default)) - (uuid 0ab430b3-5fd5-4284-9075-15cb51478101) + (uuid 0a841995-4199-44ea-abde-9b4b271873a0) ) - (wire (pts (xy 97.79 124.46) (xy 101.6 124.46)) + (wire (pts (xy 102.87 168.91) (xy 102.87 175.26)) (stroke (width 0) (type default)) - (uuid 0af7961b-ea58-4a1f-953c-0809587d6e9d) + (uuid 0ac36600-b108-4a66-beed-b193f17186e5) ) (wire (pts (xy 226.06 106.68) (xy 229.87 106.68)) (stroke (width 0) (type default)) (uuid 0b2c7892-dd8a-44ae-a8df-953890383462) ) - (wire (pts (xy 36.83 60.96) (xy 39.37 60.96)) + (wire (pts (xy 39.37 92.71) (xy 39.37 99.06)) (stroke (width 0) (type default)) - (uuid 0bf6de67-c335-4b49-9fa4-696fcb122b8b) + (uuid 0b61bd87-d562-466b-8086-51e2cc78ed1b) ) - (wire (pts (xy 36.83 162.56) (xy 39.37 162.56)) + (wire (pts (xy 36.83 86.36) (xy 39.37 86.36)) (stroke (width 0) (type default)) (uuid 0c74c75a-fd0d-414f-a842-b255ae710b6f) ) - (wire (pts (xy 111.76 67.31) (xy 110.49 67.31)) - (stroke (width 0) (type default)) - (uuid 0cafab62-965d-469d-8c6a-7c4d09f22d01) - ) - (wire (pts (xy 116.84 118.11) (xy 119.38 118.11)) + (wire (pts (xy 86.36 41.91) (xy 83.82 41.91)) (stroke (width 0) (type default)) (uuid 0dafd884-c540-4cd3-95a5-9321242dfc75) ) @@ -947,13 +854,9 @@ (stroke (width 0) (type default)) (uuid 0e9f9532-6eba-4004-aa96-1b6646808b9e) ) - (wire (pts (xy 39.37 86.36) (xy 39.37 92.71)) - (stroke (width 0) (type default)) - (uuid 0f2c9129-6a9f-4106-ae43-3fcde3e0f4d1) - ) - (wire (pts (xy 101.6 86.36) (xy 105.41 86.36)) + (wire (pts (xy 102.87 194.31) (xy 102.87 187.96)) (stroke (width 0) (type default)) - (uuid 0fc73cea-be50-44f2-bbad-fe04db769526) + (uuid 0f31c0f2-d8a2-4f47-8c0a-4b35a949b4d7) ) (wire (pts (xy 242.57 93.98) (xy 238.76 93.98)) (stroke (width 0) (type default)) @@ -963,79 +866,111 @@ (stroke (width 0) (type default)) (uuid 10a14c78-0a6f-488d-851f-a4ae455c0e3d) ) + (wire (pts (xy 102.87 181.61) (xy 102.87 187.96)) + (stroke (width 0) (type default)) + (uuid 10a73b1d-2dd2-4bd1-af51-5b9d9d62546d) + ) (wire (pts (xy 54.61 162.56) (xy 58.42 162.56)) (stroke (width 0) (type default)) (uuid 10ac2825-c8a0-49e2-84e0-ecc331b90368) ) + (wire (pts (xy 31.75 35.56) (xy 30.48 35.56)) + (stroke (width 0) (type default)) + (uuid 10bc71c0-9c87-4924-b61f-8745a6b70b72) + ) (wire (pts (xy 48.26 73.66) (xy 49.53 73.66)) (stroke (width 0) (type default)) (uuid 10d2497d-caf3-4fcd-a7d7-3141261a8f8b) ) - (wire (pts (xy 102.87 163.83) (xy 102.87 170.18)) + (wire (pts (xy 151.13 48.26) (xy 154.94 48.26)) (stroke (width 0) (type default)) - (uuid 111de55a-1afb-4c0d-92db-b38ef21d19f0) + (uuid 1108616a-1a3c-4442-9799-85c971737dbd) + ) + (wire (pts (xy 113.03 187.96) (xy 111.76 187.96)) + (stroke (width 0) (type default)) + (uuid 110c82f7-8bba-4c50-94d5-209d14c66a26) ) - (wire (pts (xy 39.37 48.26) (xy 39.37 41.91)) + (wire (pts (xy 102.87 118.11) (xy 102.87 124.46)) (stroke (width 0) (type default)) - (uuid 12d5d9cb-b31a-41ab-a68c-5d619834be70) + (uuid 111de55a-1afb-4c0d-92db-b38ef21d19f0) ) (wire (pts (xy 39.37 67.31) (xy 43.18 67.31)) (stroke (width 0) (type default)) (uuid 134d954d-681a-456a-8909-72447523b303) ) - (wire (pts (xy 36.83 92.71) (xy 39.37 92.71)) + (wire (pts (xy 36.83 48.26) (xy 39.37 48.26)) + (stroke (width 0) (type default)) + (uuid 136cabb9-4f6c-45e3-90e6-a4048b854047) + ) + (wire (pts (xy 111.76 162.56) (xy 113.03 162.56)) + (stroke (width 0) (type default)) + (uuid 13b09d0f-bbec-4d70-9a39-35095c22fe6c) + ) + (wire (pts (xy 156.21 120.65) (xy 162.56 120.65)) + (stroke (width 0) (type default)) + (uuid 13b99c4b-ead6-401a-ab81-30628cd168e2) + ) + (wire (pts (xy 36.83 149.86) (xy 39.37 149.86)) (stroke (width 0) (type default)) - (uuid 1684a511-9609-4e8e-a326-e9dad8512ff0) + (uuid 15380073-12bc-4f90-abe4-49665fed7375) ) (wire (pts (xy 238.76 119.38) (xy 238.76 113.03)) (stroke (width 0) (type default)) (uuid 16a68e0f-733d-4c8a-8ea2-09b2ddac16a0) ) + (wire (pts (xy 101.6 73.66) (xy 101.6 67.31)) + (stroke (width 0) (type default)) + (uuid 17395887-29f6-49e0-b6c5-201be51d2f6d) + ) (wire (pts (xy 48.26 118.11) (xy 49.53 118.11)) (stroke (width 0) (type default)) (uuid 17b1bb30-3bf3-4528-b160-92e3c6a19f72) ) - (wire (pts (xy 120.65 189.23) (xy 118.11 189.23)) + (wire (pts (xy 120.65 130.81) (xy 118.11 130.81)) (stroke (width 0) (type default)) (uuid 18368fb3-76fd-4e9a-ab73-9e289cfe407b) ) - (wire (pts (xy 106.68 144.78) (xy 102.87 144.78)) + (wire (pts (xy 106.68 105.41) (xy 102.87 105.41)) (stroke (width 0) (type default)) (uuid 18e0a99c-a270-4985-986d-90ac06170e84) ) - (wire (pts (xy 25.4 168.91) (xy 24.13 168.91)) + (wire (pts (xy 25.4 92.71) (xy 24.13 92.71)) (stroke (width 0) (type default)) (uuid 19098ab5-e7c0-4dd5-a3dc-2dc611f6eec5) ) - (wire (pts (xy 176.53 76.2) (xy 180.34 76.2)) + (wire (pts (xy 36.83 130.81) (xy 39.37 130.81)) + (stroke (width 0) (type default)) + (uuid 19afa0fe-92e4-4b36-84f4-d651137d69c5) + ) + (wire (pts (xy 105.41 73.66) (xy 101.6 73.66)) (stroke (width 0) (type default)) (uuid 1a1862ad-a454-4816-bfe0-23ac3a709e69) ) - (wire (pts (xy 54.61 137.16) (xy 58.42 137.16)) + (wire (pts (xy 151.13 54.61) (xy 151.13 60.96)) (stroke (width 0) (type default)) - (uuid 1bfa2673-d75a-4d19-9820-34c9cc10194e) + (uuid 1b46d94f-58e6-4723-a42d-5c7e874406e3) ) - (wire (pts (xy 97.79 41.91) (xy 101.6 41.91)) + (wire (pts (xy 54.61 137.16) (xy 58.42 137.16)) (stroke (width 0) (type default)) - (uuid 1c5da2f9-2b90-435f-853c-7bab6912f0b1) + (uuid 1bfa2673-d75a-4d19-9820-34c9cc10194e) ) - (wire (pts (xy 176.53 50.8) (xy 180.34 50.8)) + (wire (pts (xy 97.79 92.71) (xy 101.6 92.71)) (stroke (width 0) (type default)) (uuid 1c5ea1b0-030c-4b0a-9aae-b703986a35a3) ) - (wire (pts (xy 92.71 118.11) (xy 91.44 118.11)) + (wire (pts (xy 102.87 156.21) (xy 102.87 162.56)) (stroke (width 0) (type default)) - (uuid 1d2f2fb5-bfea-458b-b564-a903f33913a9) + (uuid 1cd9bf6c-c0f5-4c0e-b015-d95ccafe69aa) ) - (wire (pts (xy 110.49 105.41) (xy 111.76 105.41)) + (wire (pts (xy 120.65 149.86) (xy 118.11 149.86)) (stroke (width 0) (type default)) - (uuid 1d5917ba-e691-4f92-93b6-056f75e0432b) + (uuid 1cfa0b26-22aa-4421-9286-93124af198ba) ) - (wire (pts (xy 39.37 168.91) (xy 39.37 175.26)) + (wire (pts (xy 92.71 60.96) (xy 91.44 60.96)) (stroke (width 0) (type default)) - (uuid 1dc7d7dd-a549-4d0d-9d77-eefbc4d09346) + (uuid 1d5917ba-e691-4f92-93b6-056f75e0432b) ) - (wire (pts (xy 180.34 31.75) (xy 176.53 31.75)) + (wire (pts (xy 101.6 73.66) (xy 97.79 73.66)) (stroke (width 0) (type default)) (uuid 1ea5d9c1-7038-41a3-8e6e-06bcc976f198) ) @@ -1051,26 +986,30 @@ (stroke (width 0) (type default)) (uuid 2013d9f3-e521-4012-b19b-e19517185f37) ) - (wire (pts (xy 25.4 175.26) (xy 24.13 175.26)) + (wire (pts (xy 25.4 99.06) (xy 24.13 99.06)) (stroke (width 0) (type default)) (uuid 20bae049-3fcf-458b-ade9-e407b62ea3f1) ) - (wire (pts (xy 31.75 181.61) (xy 30.48 181.61)) + (wire (pts (xy 39.37 73.66) (xy 39.37 80.01)) (stroke (width 0) (type default)) - (uuid 21460b0b-d3a4-41cb-880a-a63dec5c45d7) + (uuid 210b9798-611a-4ce1-ad51-ee6861cd73c9) ) - (wire (pts (xy 39.37 111.76) (xy 39.37 118.11)) + (wire (pts (xy 31.75 105.41) (xy 30.48 105.41)) (stroke (width 0) (type default)) - (uuid 219c0c82-8100-4922-ae59-24bdbb1b000b) + (uuid 21460b0b-d3a4-41cb-880a-a63dec5c45d7) ) (wire (pts (xy 215.9 74.93) (xy 220.98 74.93)) (stroke (width 0) (type default)) (uuid 22b38e1f-7a71-4be0-9a37-e827bca9b64c) ) - (wire (pts (xy 120.65 151.13) (xy 118.11 151.13)) + (wire (pts (xy 120.65 111.76) (xy 118.11 111.76)) (stroke (width 0) (type default)) (uuid 235cdbe9-7e97-41d1-9ad9-02b2cf74797b) ) + (wire (pts (xy 85.09 187.96) (xy 87.63 187.96)) + (stroke (width 0) (type default)) + (uuid 2434dbb0-e4dd-41e5-92e4-f305b7460f73) + ) (wire (pts (xy 215.9 113.03) (xy 220.98 113.03)) (stroke (width 0) (type default)) (uuid 2455ff49-7a57-42c1-a6c6-bd43a639d188) @@ -1079,7 +1018,7 @@ (stroke (width 0) (type default)) (uuid 2486c679-e4a9-44e4-9cc2-6be7e1f8e590) ) - (wire (pts (xy 22.86 187.96) (xy 25.4 187.96)) + (wire (pts (xy 22.86 111.76) (xy 25.4 111.76)) (stroke (width 0) (type default)) (uuid 24f7fb27-4ab1-434b-a45d-4fd81eb58532) ) @@ -1091,7 +1030,7 @@ (stroke (width 0) (type default)) (uuid 266a8104-64d3-43d6-befd-c39818f44104) ) - (wire (pts (xy 30.48 130.81) (xy 31.75 130.81)) + (wire (pts (xy 30.48 80.01) (xy 31.75 80.01)) (stroke (width 0) (type default)) (uuid 26ae9e32-e409-4650-9caa-2e4054287caa) ) @@ -1099,7 +1038,11 @@ (stroke (width 0) (type default)) (uuid 26c74703-ad82-4e4d-b415-96cbf815259b) ) - (wire (pts (xy 91.44 86.36) (xy 92.71 86.36)) + (wire (pts (xy 111.76 149.86) (xy 113.03 149.86)) + (stroke (width 0) (type default)) + (uuid 27596b70-6522-4a0d-a713-70542aea73f5) + ) + (wire (pts (xy 30.48 168.91) (xy 31.75 168.91)) (stroke (width 0) (type default)) (uuid 277d3fc3-757b-49bd-9b14-8fbe289f2d60) ) @@ -1107,14 +1050,6 @@ (stroke (width 0) (type default)) (uuid 28119fbc-cd57-46cf-94bd-db94be8a51ec) ) - (wire (pts (xy 39.37 105.41) (xy 39.37 111.76)) - (stroke (width 0) (type default)) - (uuid 28159772-f50e-46b2-a29e-130dff512947) - ) - (wire (pts (xy 36.83 124.46) (xy 39.37 124.46)) - (stroke (width 0) (type default)) - (uuid 28820b16-3914-404a-b466-841206f15b36) - ) (wire (pts (xy 48.26 41.91) (xy 49.53 41.91)) (stroke (width 0) (type default)) (uuid 293745fb-3a62-4f62-90b5-f7d90310693d) @@ -1123,6 +1058,10 @@ (stroke (width 0) (type default)) (uuid 2987e5e8-8710-4817-a34d-b577397cdde7) ) + (wire (pts (xy 97.79 67.31) (xy 101.6 67.31)) + (stroke (width 0) (type default)) + (uuid 29acda34-49dd-47dd-8faf-5a237e140aa6) + ) (wire (pts (xy 261.62 49.53) (xy 256.54 49.53)) (stroke (width 0) (type default)) (uuid 29b2aae0-bd45-4c8b-9283-72214f7609d9) @@ -1131,6 +1070,10 @@ (stroke (width 0) (type default)) (uuid 2a1249cf-39de-4219-b0fd-cb19ab36e796) ) + (wire (pts (xy 101.6 86.36) (xy 101.6 80.01)) + (stroke (width 0) (type default)) + (uuid 2a45087e-c5bc-448c-a2db-176edc5d6ae8) + ) (wire (pts (xy 261.62 81.28) (xy 256.54 81.28)) (stroke (width 0) (type default)) (uuid 2aead33b-2849-44e0-a438-4b26d8de79b2) @@ -1139,9 +1082,9 @@ (stroke (width 0) (type default)) (uuid 2b52afd2-108e-48e7-920a-5ee44dfb87e4) ) - (wire (pts (xy 30.48 86.36) (xy 31.75 86.36)) + (wire (pts (xy 184.15 120.65) (xy 180.34 120.65)) (stroke (width 0) (type default)) - (uuid 2b5be4fd-755b-45f7-a864-57faf05b314c) + (uuid 2ba1a344-7c27-492b-b018-ee150e2294d0) ) (wire (pts (xy 39.37 194.31) (xy 43.18 194.31)) (stroke (width 0) (type default)) @@ -1151,23 +1094,19 @@ (stroke (width 0) (type default)) (uuid 2c2c3c6a-21c4-4002-a5b8-ec7fc089d1ac) ) - (wire (pts (xy 226.06 93.98) (xy 229.87 93.98)) - (stroke (width 0) (type default)) - (uuid 2cce98e5-574e-4e6a-96ed-bc697b641b9d) - ) - (wire (pts (xy 22.86 80.01) (xy 25.4 80.01)) + (wire (pts (xy 100.33 175.26) (xy 102.87 175.26)) (stroke (width 0) (type default)) - (uuid 2d1673df-c5b4-4a00-ab94-383c08bb57e3) + (uuid 2c2f5303-1fad-4fc1-8340-13541fb34842) ) - (wire (pts (xy 102.87 189.23) (xy 102.87 195.58)) + (wire (pts (xy 226.06 93.98) (xy 229.87 93.98)) (stroke (width 0) (type default)) - (uuid 2d28c1d0-66b7-48ba-a743-dab02757d74e) + (uuid 2cce98e5-574e-4e6a-96ed-bc697b641b9d) ) - (wire (pts (xy 31.75 168.91) (xy 30.48 168.91)) + (wire (pts (xy 31.75 92.71) (xy 30.48 92.71)) (stroke (width 0) (type default)) (uuid 2d8e9d42-63f5-45de-9100-5315b151ce7c) ) - (wire (pts (xy 102.87 151.13) (xy 102.87 157.48)) + (wire (pts (xy 102.87 111.76) (xy 102.87 118.11)) (stroke (width 0) (type default)) (uuid 2e14553b-2e9c-42f8-b285-d4189ecec4fe) ) @@ -1175,11 +1114,19 @@ (stroke (width 0) (type default)) (uuid 2e45b2f1-a73a-4773-8781-574905a27ea6) ) - (wire (pts (xy 93.98 176.53) (xy 95.25 176.53)) + (wire (pts (xy 106.68 149.86) (xy 102.87 149.86)) + (stroke (width 0) (type default)) + (uuid 2f26c19f-9a04-4d01-b9e0-8989076b2362) + ) + (wire (pts (xy 93.98 130.81) (xy 95.25 130.81)) (stroke (width 0) (type default)) (uuid 2fc5d820-9482-48b9-88c1-55c580573083) ) - (polyline (pts (xy 71.12 133.35) (xy 135.89 133.35)) + (wire (pts (xy 184.15 105.41) (xy 180.34 105.41)) + (stroke (width 0) (type default)) + (uuid 2fe7176a-d935-4e70-91e3-0131580bd2b8) + ) + (polyline (pts (xy 71.12 96.52) (xy 135.89 96.52)) (stroke (width 0.3) (type dash)) (uuid 2fe8cf50-34f6-40d3-a4ca-792a80080c5c) ) @@ -1192,23 +1139,23 @@ (stroke (width 0) (type default)) (uuid 3025ae8b-be47-4abf-9d85-c25ce0b9aa75) ) - (wire (pts (xy 102.87 163.83) (xy 100.33 163.83)) + (wire (pts (xy 100.33 156.21) (xy 102.87 156.21)) (stroke (width 0) (type default)) - (uuid 30cfcf91-da6a-41ea-a0fc-4b4431a21eca) + (uuid 30921540-542a-4b4e-9ff8-8ae1536f32d6) ) - (wire (pts (xy 93.98 144.78) (xy 95.25 144.78)) + (wire (pts (xy 154.94 67.31) (xy 151.13 67.31)) (stroke (width 0) (type default)) - (uuid 31152db4-1a43-44a0-86ce-101fdcce6fa0) + (uuid 30ce1bb0-f198-4c81-a32e-2ab5b7a9fbc6) ) - (wire (pts (xy 105.41 41.91) (xy 101.6 41.91)) + (wire (pts (xy 93.98 105.41) (xy 95.25 105.41)) (stroke (width 0) (type default)) - (uuid 31794859-797f-4c2f-b640-fa8e77014bbe) + (uuid 31152db4-1a43-44a0-86ce-101fdcce6fa0) ) (wire (pts (xy 111.76 60.96) (xy 110.49 60.96)) (stroke (width 0) (type default)) (uuid 317d061c-b998-4047-9ed1-8cab0071edc0) ) - (wire (pts (xy 83.82 60.96) (xy 86.36 60.96)) + (wire (pts (xy 22.86 143.51) (xy 25.4 143.51)) (stroke (width 0) (type default)) (uuid 32306301-4866-4327-b218-f9a3dc01e5ea) ) @@ -1217,7 +1164,11 @@ (uuid 325fd84b-0185-4a64-b71d-760218ffcd7b) ) - (wire (pts (xy 115.57 124.46) (xy 118.11 124.46)) + (wire (pts (xy 184.15 118.11) (xy 180.34 118.11)) + (stroke (width 0) (type default)) + (uuid 327c5ed7-bdca-4915-b711-92c7d75a1508) + ) + (wire (pts (xy 86.36 35.56) (xy 83.82 35.56)) (stroke (width 0) (type default)) (uuid 330b29a3-2412-4c30-9668-82f879c731f4) ) @@ -1225,11 +1176,15 @@ (stroke (width 0) (type default)) (uuid 34009303-e63b-47ae-9ed1-9607be401ecc) ) - (wire (pts (xy 101.6 99.06) (xy 105.41 99.06)) + (wire (pts (xy 36.83 194.31) (xy 39.37 194.31)) (stroke (width 0) (type default)) - (uuid 34dfbccb-0cb5-4363-beef-6090899a066f) + (uuid 343a7486-b153-4b9b-a5ee-1e8defed86a5) ) - (wire (pts (xy 30.48 118.11) (xy 31.75 118.11)) + (wire (pts (xy 120.65 156.21) (xy 118.11 156.21)) + (stroke (width 0) (type default)) + (uuid 34c9f64e-3a97-4e56-b5f3-3aa839ff6c00) + ) + (wire (pts (xy 30.48 73.66) (xy 31.75 73.66)) (stroke (width 0) (type default)) (uuid 352d4984-b187-4bdb-831e-53f3ea24ba0f) ) @@ -1237,6 +1192,10 @@ (stroke (width 0) (type default)) (uuid 355c4f35-749c-45bd-a800-86cca1020ef7) ) + (wire (pts (xy 39.37 124.46) (xy 39.37 130.81)) + (stroke (width 0) (type default)) + (uuid 355ce187-d23a-474d-8f60-a1886a677897) + ) (wire (pts (xy 39.37 22.86) (xy 43.18 22.86)) (stroke (width 0) (type default)) (uuid 3627128c-9797-4545-8220-8aaf17e2bc3a) @@ -1245,7 +1204,7 @@ (stroke (width 0) (type default)) (uuid 369c2440-76c7-4095-8bdb-5e2db01fd409) ) - (wire (pts (xy 176.53 69.85) (xy 180.34 69.85)) + (wire (pts (xy 105.41 80.01) (xy 101.6 80.01)) (stroke (width 0) (type default)) (uuid 36cc52b4-1cd0-46b6-ba1d-4fc08db0cec2) ) @@ -1257,10 +1216,6 @@ (stroke (width 0) (type default)) (uuid 37613883-34a5-4db4-aefb-f9ae7bc6cbf6) ) - (wire (pts (xy 25.4 149.86) (xy 24.13 149.86)) - (stroke (width 0) (type default)) - (uuid 37d4c234-ea93-4682-8f65-e90312542a0b) - ) (wire (pts (xy 238.76 62.23) (xy 238.76 68.58)) (stroke (width 0) (type default)) (uuid 37e48dc9-25cc-4a39-acda-f431d3f2c658) @@ -1269,9 +1224,13 @@ (stroke (width 0) (type default)) (uuid 38313505-ea5d-427d-a8b9-60aa14ccdd90) ) - (wire (pts (xy 101.6 99.06) (xy 101.6 105.41)) + (wire (pts (xy 101.6 92.71) (xy 101.6 86.36)) + (stroke (width 0) (type default)) + (uuid 38b3e73c-bd78-48db-9f29-7ee2dcae7625) + ) + (wire (pts (xy 36.83 175.26) (xy 39.37 175.26)) (stroke (width 0) (type default)) - (uuid 38ee5d5d-1c1f-42fa-8cea-65136d2bea80) + (uuid 38beaaec-12f6-40fa-8bc8-4e2ebcdd44b7) ) (wire (pts (xy 48.26 99.06) (xy 49.53 99.06)) (stroke (width 0) (type default)) @@ -1281,15 +1240,7 @@ (stroke (width 0) (type default)) (uuid 3ad3bf1b-f161-427d-b496-ae462b219b2d) ) - (wire (pts (xy 25.4 143.51) (xy 24.13 143.51)) - (stroke (width 0) (type default)) - (uuid 3b0306b8-ac84-4c53-b869-ba722c5c4729) - ) - (wire (pts (xy 93.98 163.83) (xy 95.25 163.83)) - (stroke (width 0) (type default)) - (uuid 3b317f0e-5fcf-49ba-9d07-d3c6a2e3a729) - ) - (wire (pts (xy 158.75 76.2) (xy 163.83 76.2)) + (wire (pts (xy 119.38 73.66) (xy 116.84 73.66)) (stroke (width 0) (type default)) (uuid 3b324197-100b-496b-9191-7c624b48386f) ) @@ -1297,31 +1248,31 @@ (stroke (width 0) (type default)) (uuid 3c5602d9-ea53-403f-8d36-63c14e69fcf1) ) - (wire (pts (xy 180.34 57.15) (xy 180.34 63.5)) + (wire (pts (xy 156.21 115.57) (xy 162.56 115.57)) (stroke (width 0) (type default)) - (uuid 3d0d78a3-9f02-48ea-8b95-52a8d8a7b426) + (uuid 3ccd95b3-1a66-4035-8105-c6870163725b) ) (wire (pts (xy 48.26 175.26) (xy 49.53 175.26)) (stroke (width 0) (type default)) (uuid 3d1b77f4-43dd-467e-8ac8-9170bf6206a7) ) - (wire (pts (xy 168.91 57.15) (xy 171.45 57.15)) + (wire (pts (xy 111.76 92.71) (xy 110.49 92.71)) (stroke (width 0) (type default)) (uuid 3dce89ba-c2d5-460f-837d-739d2d861915) ) - (wire (pts (xy 110.49 118.11) (xy 111.76 118.11)) + (wire (pts (xy 92.71 41.91) (xy 91.44 41.91)) (stroke (width 0) (type default)) (uuid 3ddc1c15-2e31-44eb-b403-81b3f83317e8) ) - (wire (pts (xy 22.86 22.86) (xy 25.4 22.86)) + (wire (pts (xy 156.21 110.49) (xy 162.56 110.49)) (stroke (width 0) (type default)) - (uuid 3e11b184-a208-4ff3-9430-b24a8ccf5f84) + (uuid 3e820e17-4151-4adf-a7f1-3adfb66791db) ) - (wire (pts (xy 115.57 130.81) (xy 118.11 130.81)) + (wire (pts (xy 25.4 194.31) (xy 22.86 194.31)) (stroke (width 0) (type default)) (uuid 3ebc4644-c1c5-48e0-8e78-44719d978b92) ) - (wire (pts (xy 106.68 195.58) (xy 102.87 195.58)) + (wire (pts (xy 106.68 137.16) (xy 102.87 137.16)) (stroke (width 0) (type default)) (uuid 3ed72b40-186f-42f5-b1a1-b55d6a7d6f77) ) @@ -1329,27 +1280,31 @@ (stroke (width 0) (type default)) (uuid 3f64f937-e7f5-4403-a4a1-9d5621f043db) ) - (wire (pts (xy 22.86 124.46) (xy 25.4 124.46)) + (wire (pts (xy 101.6 60.96) (xy 101.6 54.61)) (stroke (width 0) (type default)) - (uuid 4037805e-511a-46b6-af36-306d20c7700a) + (uuid 3ff29c00-761a-473f-88f8-cb7ac77cdb4a) ) - (wire (pts (xy 39.37 168.91) (xy 43.18 168.91)) + (wire (pts (xy 106.68 143.51) (xy 102.87 143.51)) (stroke (width 0) (type default)) - (uuid 408f206c-2f63-4c0b-bb5e-34fd64f08185) + (uuid 40438a8c-4823-45c9-b74f-dcc355d80a24) ) - (wire (pts (xy 120.65 170.18) (xy 118.11 170.18)) + (wire (pts (xy 102.87 130.81) (xy 102.87 137.16)) (stroke (width 0) (type default)) - (uuid 40fb8c92-9006-4d48-8f8c-4a9beaf17b0f) + (uuid 40456aad-822a-448c-8b54-1c233571dfef) ) - (wire (pts (xy 97.79 99.06) (xy 101.6 99.06)) + (wire (pts (xy 39.37 168.91) (xy 43.18 168.91)) (stroke (width 0) (type default)) - (uuid 4131883b-4a29-48ad-a552-dcb0ef6b6a28) + (uuid 408f206c-2f63-4c0b-bb5e-34fd64f08185) + ) + (wire (pts (xy 156.21 134.62) (xy 162.56 134.62)) + (stroke (width 0) (type default)) + (uuid 40bf7ea3-b7d7-481e-9897-664732554aec) ) (wire (pts (xy 261.62 113.03) (xy 256.54 113.03)) (stroke (width 0) (type default)) (uuid 421564b6-74da-4843-8e0e-234efa4a6cfc) ) - (wire (pts (xy 102.87 189.23) (xy 100.33 189.23)) + (wire (pts (xy 102.87 143.51) (xy 100.33 143.51)) (stroke (width 0) (type default)) (uuid 424ad95d-44d5-4bbd-a2b0-8c0361bbe2f1) ) @@ -1357,42 +1312,42 @@ (stroke (width 0) (type default)) (uuid 43efca11-e25d-419a-ae05-e93b83136ecd) ) - (wire (pts (xy 110.49 86.36) (xy 111.76 86.36)) - (stroke (width 0) (type default)) - (uuid 445cb1bc-34ba-4d6e-ba11-10d566863e4b) - ) (wire (pts (xy 54.61 118.11) (xy 58.42 118.11)) (stroke (width 0) (type default)) (uuid 44ab7ce5-596a-4090-9e13-2ca770963b69) ) - (wire (pts (xy 101.6 92.71) (xy 105.41 92.71)) - (stroke (width 0) (type default)) - (uuid 44c52e94-07c5-4435-be88-dacb5e3577de) - ) - (wire (pts (xy 36.83 168.91) (xy 39.37 168.91)) + (wire (pts (xy 36.83 92.71) (xy 39.37 92.71)) (stroke (width 0) (type default)) (uuid 452ae7d3-1abf-4493-918e-94a50721a66f) ) - (wire (pts (xy 30.48 124.46) (xy 31.75 124.46)) + (wire (pts (xy 85.09 162.56) (xy 87.63 162.56)) (stroke (width 0) (type default)) - (uuid 467aa977-0ad8-49b9-851c-826ebeab4a0c) + (uuid 466d4fcf-007f-4425-bd84-75c7e77e949a) ) (wire (pts (xy 242.57 106.68) (xy 238.76 106.68)) (stroke (width 0) (type default)) (uuid 475b2d8e-3033-495e-9e8b-979f5bf5511a) ) - (wire (pts (xy 86.36 118.11) (xy 83.82 118.11)) + (wire (pts (xy 95.25 181.61) (xy 92.71 181.61)) + (stroke (width 0) (type default)) + (uuid 482468a0-9937-46b6-a4ec-e6a06a626011) + ) + (wire (pts (xy 120.65 143.51) (xy 118.11 143.51)) (stroke (width 0) (type default)) - (uuid 47e0e04c-3b87-4d9a-9fd7-4ea7a7a61654) + (uuid 48bcbb55-ab57-4356-a878-e1e1d54f7458) ) - (wire (pts (xy 31.75 137.16) (xy 30.48 137.16)) + (wire (pts (xy 101.6 48.26) (xy 105.41 48.26)) (stroke (width 0) (type default)) - (uuid 47ffdc0c-f116-439b-8ada-ac34ca71400f) + (uuid 497a0b05-d8bd-46e7-b6d5-bfce7634374d) ) - (wire (pts (xy 25.4 181.61) (xy 24.13 181.61)) + (wire (pts (xy 25.4 105.41) (xy 24.13 105.41)) (stroke (width 0) (type default)) (uuid 499429eb-fc85-47b8-a4b1-e35f8b40efe6) ) + (wire (pts (xy 156.21 137.16) (xy 162.56 137.16)) + (stroke (width 0) (type default)) + (uuid 499c3f20-e61d-4eaa-a5f0-f07641a6b34b) + ) (wire (pts (xy 39.37 86.36) (xy 43.18 86.36)) (stroke (width 0) (type default)) (uuid 49b9e168-d233-47bf-ad09-c2ffd45022fb) @@ -1401,31 +1356,19 @@ (stroke (width 0) (type default)) (uuid 4a20567a-cd60-4ce4-baaf-0750e2681123) ) - (wire (pts (xy 83.82 67.31) (xy 86.36 67.31)) + (wire (pts (xy 22.86 149.86) (xy 25.4 149.86)) (stroke (width 0) (type default)) (uuid 4a4190b0-e8e1-4dff-a463-13dd63aa545b) ) - (wire (pts (xy 101.6 48.26) (xy 101.6 54.61)) - (stroke (width 0) (type default)) - (uuid 4af1a906-41d8-49fd-b904-ddae035db241) - ) (wire (pts (xy 119.38 29.21) (xy 116.84 29.21)) (stroke (width 0) (type default)) (uuid 4b0aa0c9-b983-49d1-9907-96ebe011be73) ) - (wire (pts (xy 101.6 35.56) (xy 101.6 41.91)) - (stroke (width 0) (type default)) - (uuid 4b3d4efc-822d-4382-9b5c-3b765b0cedb8) - ) - (wire (pts (xy 97.79 80.01) (xy 101.6 80.01)) - (stroke (width 0) (type default)) - (uuid 4b3d5950-4704-4cb3-951b-90820a435a7c) - ) - (wire (pts (xy 102.87 157.48) (xy 102.87 163.83)) + (wire (pts (xy 85.09 175.26) (xy 87.63 175.26)) (stroke (width 0) (type default)) - (uuid 4c4f3a91-96e7-4017-9e6b-23c2c4875a71) + (uuid 4b7771ad-1d45-42a3-a2e1-d8bbe8400e88) ) - (wire (pts (xy 86.36 144.78) (xy 88.9 144.78)) + (wire (pts (xy 86.36 105.41) (xy 88.9 105.41)) (stroke (width 0) (type default)) (uuid 4c828889-77b1-4315-9f86-1d2db5ced437) ) @@ -1433,7 +1376,7 @@ (stroke (width 0) (type default)) (uuid 4c8d8002-f457-40f4-ba3d-a636f83cff37) ) - (wire (pts (xy 36.83 99.06) (xy 39.37 99.06)) + (wire (pts (xy 36.83 54.61) (xy 39.37 54.61)) (stroke (width 0) (type default)) (uuid 4ca03524-19c1-427e-aae8-d268d014f0a1) ) @@ -1441,10 +1384,6 @@ (stroke (width 0) (type default)) (uuid 4d5853da-b6a8-4e59-aaea-e752549a331e) ) - (wire (pts (xy 39.37 143.51) (xy 39.37 149.86)) - (stroke (width 0) (type default)) - (uuid 4e182fb3-3bb4-438a-9cb6-40b42b78df2c) - ) (wire (pts (xy 215.9 119.38) (xy 220.98 119.38)) (stroke (width 0) (type default)) (uuid 4e66bfc1-11e2-422f-8ae1-67e0a477eec4) @@ -1453,15 +1392,20 @@ (stroke (width 0) (type default)) (uuid 4ef8f870-e525-4912-a199-f1742dd85ed4) ) + (wire (pts (xy 184.15 102.87) (xy 180.34 102.87)) + (stroke (width 0) (type default)) + (uuid 4fce2bfc-8656-41a9-a42e-aa58ab924612) + ) (wire (pts (xy 226.06 119.38) (xy 229.87 119.38)) (stroke (width 0) (type default)) (uuid 501b2254-bb7b-4048-be84-3440e75e16db) ) - (wire (pts (xy 30.48 92.71) (xy 31.75 92.71)) - (stroke (width 0) (type default)) - (uuid 51b466ef-3816-4b60-a8ae-631d64a3bebf) + (polyline (pts (xy 181.61 152.4) (xy 181.61 97.79)) + (stroke (width 0.5) (type dot)) + (uuid 5103ce72-5c8e-4a1c-b975-0624b1896402) ) - (wire (pts (xy 158.75 50.8) (xy 163.83 50.8)) + + (wire (pts (xy 83.82 92.71) (xy 86.36 92.71)) (stroke (width 0) (type default)) (uuid 521ffec5-94a1-4f5e-8170-c99ab7de816e) ) @@ -1469,14 +1413,14 @@ (stroke (width 0) (type default)) (uuid 522583a5-dc26-4872-9c0c-d26ec2e8be16) ) - (wire (pts (xy 30.48 41.91) (xy 31.75 41.91)) - (stroke (width 0) (type default)) - (uuid 52935e92-c8bb-481c-9e36-23b0540cba33) - ) (wire (pts (xy 234.95 125.73) (xy 238.76 125.73)) (stroke (width 0) (type default)) (uuid 52bf5463-6ceb-4db7-8ec2-bbb583010c96) ) + (wire (pts (xy 156.21 113.03) (xy 162.56 113.03)) + (stroke (width 0) (type default)) + (uuid 53275ee1-383e-4c09-a200-a741abf1deef) + ) (wire (pts (xy 54.61 73.66) (xy 58.42 73.66)) (stroke (width 0) (type default)) (uuid 5369fbcf-5881-411e-948a-f28f7fce88cd) @@ -1485,7 +1429,7 @@ (stroke (width 0) (type default)) (uuid 538cc4f1-c604-4e0d-b280-ec03ad6c6c74) ) - (wire (pts (xy 102.87 182.88) (xy 100.33 182.88)) + (wire (pts (xy 102.87 137.16) (xy 100.33 137.16)) (stroke (width 0) (type default)) (uuid 53c1200e-7ede-4b97-9c46-c827631a76fc) ) @@ -1493,11 +1437,7 @@ (stroke (width 0) (type default)) (uuid 53eaf378-0043-4259-b435-355edcab3bf4) ) - (wire (pts (xy 36.83 143.51) (xy 39.37 143.51)) - (stroke (width 0) (type default)) - (uuid 53f88ccb-fd27-4977-8180-49e054d98b72) - ) - (wire (pts (xy 168.91 69.85) (xy 171.45 69.85)) + (wire (pts (xy 111.76 80.01) (xy 110.49 80.01)) (stroke (width 0) (type default)) (uuid 54e29177-8ed1-4947-9c80-53b11e4bcf57) ) @@ -1509,15 +1449,23 @@ (stroke (width 0) (type default)) (uuid 55567720-e24d-44d5-932d-8c805d64a3f0) ) - (wire (pts (xy 93.98 189.23) (xy 95.25 189.23)) + (wire (pts (xy 120.65 168.91) (xy 118.11 168.91)) + (stroke (width 0) (type default)) + (uuid 55ac3919-2f82-4882-8119-90f22cc5fe96) + ) + (wire (pts (xy 93.98 143.51) (xy 95.25 143.51)) (stroke (width 0) (type default)) (uuid 55e6caab-dcbf-45e0-974c-6723f8821b72) ) + (wire (pts (xy 100.33 162.56) (xy 102.87 162.56)) + (stroke (width 0) (type default)) + (uuid 5646984c-78df-439e-8865-3bd769d734c5) + ) (wire (pts (xy 39.37 60.96) (xy 43.18 60.96)) (stroke (width 0) (type default)) (uuid 56bdbaa0-cb32-49a8-93df-ad8048500aae) ) - (wire (pts (xy 22.86 118.11) (xy 25.4 118.11)) + (wire (pts (xy 22.86 73.66) (xy 25.4 73.66)) (stroke (width 0) (type default)) (uuid 56c29c11-339a-4a46-afcd-781eb27ce897) ) @@ -1525,55 +1473,67 @@ (stroke (width 0) (type default)) (uuid 573618f6-b7f4-455f-967f-f78e4a971b5c) ) + (wire (pts (xy 85.09 156.21) (xy 87.63 156.21)) + (stroke (width 0) (type default)) + (uuid 573e8a42-49d8-4246-9362-2eb4d6de0fb6) + ) (wire (pts (xy 226.06 87.63) (xy 229.87 87.63)) (stroke (width 0) (type default)) (uuid 57bb39cd-ca10-468e-86c2-76aeb1ddbd8b) ) - (wire (pts (xy 22.86 35.56) (xy 25.4 35.56)) + (wire (pts (xy 97.79 54.61) (xy 101.6 54.61)) + (stroke (width 0) (type default)) + (uuid 58c59fe3-e4dd-43ca-a06e-825232b091a3) + ) + (wire (pts (xy 22.86 29.21) (xy 25.4 29.21)) (stroke (width 0) (type default)) (uuid 58c7060f-d6da-4c2c-befe-06b4140920d3) ) - (wire (pts (xy 102.87 182.88) (xy 102.87 189.23)) + (wire (pts (xy 85.09 168.91) (xy 87.63 168.91)) + (stroke (width 0) (type default)) + (uuid 59223e7a-7dba-4e5d-910e-c6eccfa69628) + ) + (wire (pts (xy 101.6 35.56) (xy 105.41 35.56)) (stroke (width 0) (type default)) - (uuid 59f49dd0-17cc-4d60-9a13-d5a0d9f2f449) + (uuid 59a91816-feff-4825-ad3c-f46c978a15aa) ) (wire (pts (xy 39.37 162.56) (xy 43.18 162.56)) (stroke (width 0) (type default)) (uuid 59f4f719-0f80-47a1-a764-ed563902fe2a) ) - (wire (pts (xy 106.68 157.48) (xy 102.87 157.48)) + (wire (pts (xy 106.68 118.11) (xy 102.87 118.11)) (stroke (width 0) (type default)) (uuid 5a641d3f-e606-4984-ba08-7e9ecd0b4c97) ) - (wire (pts (xy 109.22 130.81) (xy 110.49 130.81)) + (wire (pts (xy 31.75 194.31) (xy 30.48 194.31)) (stroke (width 0) (type default)) (uuid 5aa64159-44a9-439a-8c1d-08c4dbef2f92) ) - (wire (pts (xy 83.82 48.26) (xy 86.36 48.26)) + (wire (pts (xy 156.21 142.24) (xy 162.56 142.24)) (stroke (width 0) (type default)) - (uuid 5b1b28cc-6a03-4809-aca5-5e0ef27e8c98) + (uuid 5b95f359-576b-4ec9-81bf-fabb8011cd3e) ) - (wire (pts (xy 22.86 48.26) (xy 25.4 48.26)) + (wire (pts (xy 261.62 43.18) (xy 256.54 43.18)) (stroke (width 0) (type default)) - (uuid 5b33c1ef-27a6-4a51-bba9-24224cc2e6e5) + (uuid 5de89aec-5cd3-40e3-ac2a-31baf8666108) ) - (wire (pts (xy 180.34 44.45) (xy 180.34 50.8)) + (wire (pts (xy 154.94 54.61) (xy 151.13 54.61)) (stroke (width 0) (type default)) - (uuid 5d8dcc0c-67a4-4741-a816-f0ea0f1735b0) + (uuid 5e1de90d-81eb-4bc2-a995-2ee5a36adb90) ) - (wire (pts (xy 261.62 43.18) (xy 256.54 43.18)) + (wire (pts (xy 161.29 67.31) (xy 160.02 67.31)) (stroke (width 0) (type default)) - (uuid 5de89aec-5cd3-40e3-ac2a-31baf8666108) + (uuid 5e63a9ac-92be-425b-852b-ab883f10fc5b) ) - (wire (pts (xy 102.87 170.18) (xy 102.87 176.53)) + (wire (pts (xy 111.76 143.51) (xy 113.03 143.51)) (stroke (width 0) (type default)) - (uuid 5e370be2-d75c-4367-b6fd-687d07ee56e9) + (uuid 5e9f1df2-4f58-48c0-b08c-c755fbf6c363) ) (wire (pts (xy 54.61 149.86) (xy 58.42 149.86)) (stroke (width 0) (type default)) (uuid 5ec596ad-b745-4eb2-bcfb-88985bfc9065) ) - (wire (pts (xy 91.44 92.71) (xy 92.71 92.71)) + (wire (pts (xy 30.48 175.26) (xy 31.75 175.26)) (stroke (width 0) (type default)) (uuid 5ec8314e-b10c-4c73-88ff-39dd48feddd8) ) @@ -1581,59 +1541,55 @@ (stroke (width 0) (type default)) (uuid 5f8988d0-16d7-451a-8311-0e4860885eaa) ) + (wire (pts (xy 154.94 60.96) (xy 151.13 60.96)) + (stroke (width 0) (type default)) + (uuid 5fb7db0e-5e2d-4b2f-ac81-cf766788ef71) + ) (wire (pts (xy 39.37 17.78) (xy 39.37 22.86)) (stroke (width 0) (type default)) (uuid 5ff50d8c-7135-412d-8164-4cc3c32dc06d) ) + (wire (pts (xy 101.6 54.61) (xy 101.6 48.26)) + (stroke (width 0) (type default)) + (uuid 601e5682-2b7b-4a16-9bc1-3e1624bd01fd) + ) (wire (pts (xy 48.26 156.21) (xy 49.53 156.21)) (stroke (width 0) (type default)) (uuid 609e543f-2437-4fc5-bce0-52b78860b6cc) ) - (wire (pts (xy 31.75 149.86) (xy 30.48 149.86)) + (wire (pts (xy 102.87 130.81) (xy 100.33 130.81)) (stroke (width 0) (type default)) - (uuid 60e215f2-35b5-4395-a258-9740608efd20) + (uuid 615fb274-899c-42d6-a58c-1190848458fa) ) - (wire (pts (xy 36.83 22.86) (xy 39.37 22.86)) + (wire (pts (xy 39.37 143.51) (xy 39.37 149.86)) (stroke (width 0) (type default)) - (uuid 614486ca-4d24-48f6-bfce-49d0b0d25200) + (uuid 61901a30-4a98-466b-8cbf-fa5a23b787ce) ) - (wire (pts (xy 102.87 176.53) (xy 100.33 176.53)) + (wire (pts (xy 102.87 105.41) (xy 100.33 105.41)) (stroke (width 0) (type default)) - (uuid 615fb274-899c-42d6-a58c-1190848458fa) + (uuid 61d8ab41-6f0b-4d52-984f-0a1c12f163f1) ) - (wire (pts (xy 102.87 144.78) (xy 100.33 144.78)) + (wire (pts (xy 36.83 181.61) (xy 39.37 181.61)) (stroke (width 0) (type default)) - (uuid 61d8ab41-6f0b-4d52-984f-0a1c12f163f1) + (uuid 622f5578-49c7-4610-b254-88edc6401802) ) - (wire (pts (xy 168.91 76.2) (xy 171.45 76.2)) + (wire (pts (xy 111.76 73.66) (xy 110.49 73.66)) (stroke (width 0) (type default)) (uuid 6235fd75-b418-45e8-ac3f-5774f7e81d54) ) - (polyline (pts (xy 71.12 198.12) (xy 71.12 133.35)) + (polyline (pts (xy 71.12 198.12) (xy 71.12 96.52)) (stroke (width 0.3) (type dash)) (uuid 62828baf-9b92-4f0e-8ef1-c0a3f19b3e11) ) - (wire (pts (xy 97.79 48.26) (xy 101.6 48.26)) - (stroke (width 0) (type default)) - (uuid 62b245ed-5643-42c7-892a-234573c33926) - ) - (wire (pts (xy 101.6 118.11) (xy 97.79 118.11)) + (wire (pts (xy 95.25 162.56) (xy 92.71 162.56)) (stroke (width 0) (type default)) - (uuid 62c838eb-bdac-4b0b-bf5c-e772dfaa0364) + (uuid 62a0c34b-0d78-4f6b-94dd-eb578a23a397) ) - (wire (pts (xy 93.98 157.48) (xy 95.25 157.48)) + (wire (pts (xy 93.98 118.11) (xy 95.25 118.11)) (stroke (width 0) (type default)) (uuid 62fa4c4c-2584-4393-a97e-e1b6e73c0a26) ) - (wire (pts (xy 105.41 67.31) (xy 101.6 67.31)) - (stroke (width 0) (type default)) - (uuid 6329db26-5d13-4e40-9935-349c411976ba) - ) - (wire (pts (xy 22.86 92.71) (xy 25.4 92.71)) - (stroke (width 0) (type default)) - (uuid 636dd8a6-016c-4f87-959a-696007170014) - ) (wire (pts (xy 39.37 48.26) (xy 43.18 48.26)) (stroke (width 0) (type default)) (uuid 636f7b62-3fd9-4086-8fb0-c9ab2f207486) @@ -1642,26 +1598,18 @@ (stroke (width 0) (type default)) (uuid 63b71928-bb59-402b-8a0e-aef1bcf5b48a) ) - (wire (pts (xy 30.48 80.01) (xy 31.75 80.01)) - (stroke (width 0) (type default)) - (uuid 646615d4-101d-41d4-b7cb-d2a03ded7d37) - ) - (wire (pts (xy 102.87 139.7) (xy 102.87 144.78)) + (wire (pts (xy 102.87 102.87) (xy 102.87 105.41)) (stroke (width 0) (type default)) (uuid 649477f8-983b-4a74-a4c4-a3b303991719) ) - (wire (pts (xy 101.6 111.76) (xy 105.41 111.76)) + (wire (pts (xy 101.6 41.91) (xy 105.41 41.91)) (stroke (width 0) (type default)) - (uuid 6620b2e0-b4b3-4c6c-bbc8-68e76a220be7) + (uuid 65ceb1da-5d31-4321-8028-ca7507f37854) ) (wire (pts (xy 261.62 100.33) (xy 256.54 100.33)) (stroke (width 0) (type default)) (uuid 66765bc4-63e0-42b4-ae1c-34f176382a92) ) - (wire (pts (xy 101.6 130.81) (xy 101.6 124.46)) - (stroke (width 0) (type default)) - (uuid 66953f0b-8021-48e1-8628-1eae96735619) - ) (wire (pts (xy 97.79 22.86) (xy 101.6 22.86)) (stroke (width 0) (type default)) (uuid 66fb4681-fbac-47fb-924e-b38f46d23a00) @@ -1670,6 +1618,11 @@ (stroke (width 0) (type default)) (uuid 676e1df9-a0f2-4639-9569-895874c285e8) ) + (polyline (pts (xy 181.61 152.4) (xy 161.29 152.4)) + (stroke (width 0.5) (type dot)) + (uuid 67750dbd-1367-41fd-8515-37738c75c20f) + ) + (wire (pts (xy 101.6 19.05) (xy 101.6 22.86)) (stroke (width 0) (type default)) (uuid 68c9cf87-9643-43fd-bc59-d2fe5b5f75e7) @@ -1678,10 +1631,6 @@ (stroke (width 0) (type default)) (uuid 6946ef0f-a43c-40c0-9518-f265cea7f7d4) ) - (wire (pts (xy 30.48 22.86) (xy 31.75 22.86)) - (stroke (width 0) (type default)) - (uuid 6a2745fc-09c2-49b1-ae60-b54ce1fab113) - ) (wire (pts (xy 119.38 60.96) (xy 116.84 60.96)) (stroke (width 0) (type default)) (uuid 6ac6475f-1e09-48c0-bfea-9948d9834851) @@ -1694,6 +1643,10 @@ (stroke (width 0) (type default)) (uuid 6b23ddf1-18f0-40f6-816c-704e06ab17ae) ) + (wire (pts (xy 36.83 143.51) (xy 39.37 143.51)) + (stroke (width 0) (type default)) + (uuid 6c32ee4d-9cc8-4bd7-9d79-513f0efffd8b) + ) (wire (pts (xy 242.57 119.38) (xy 238.76 119.38)) (stroke (width 0) (type default)) (uuid 6d3b6ab9-c005-4fb4-9bac-33eae8dd973e) @@ -1706,13 +1659,17 @@ (stroke (width 0) (type default)) (uuid 6d73012d-49ba-47c4-9cc9-623337105f55) ) - (wire (pts (xy 36.83 86.36) (xy 39.37 86.36)) + (wire (pts (xy 85.09 181.61) (xy 87.63 181.61)) (stroke (width 0) (type default)) - (uuid 6ebba3f1-bd6b-4c75-8e9c-3a21d80c8807) + (uuid 6dde06bb-faed-4710-90e1-d86725d7a13b) ) - (wire (pts (xy 39.37 80.01) (xy 39.37 86.36)) + (wire (pts (xy 97.79 41.91) (xy 101.6 41.91)) (stroke (width 0) (type default)) - (uuid 6ee56727-ce5c-47f9-8094-8415f0fbae1a) + (uuid 6dfd4462-0793-41a7-b954-60ca23bee97a) + ) + (wire (pts (xy 184.15 139.7) (xy 180.34 139.7)) + (stroke (width 0) (type default)) + (uuid 6e5fe556-0e8c-43ae-928c-d48ff4a2654d) ) (wire (pts (xy 48.26 22.86) (xy 49.53 22.86)) (stroke (width 0) (type default)) @@ -1726,11 +1683,11 @@ (stroke (width 0) (type default)) (uuid 6f613000-b90a-4336-ab96-202073d09d1f) ) - (wire (pts (xy 97.79 73.66) (xy 101.6 73.66)) + (wire (pts (xy 36.83 156.21) (xy 39.37 156.21)) (stroke (width 0) (type default)) - (uuid 6ffa0495-e89a-472c-a884-b194355f33d3) + (uuid 6fc3448e-4df5-424a-96f9-99ad8c9bad8d) ) - (wire (pts (xy 36.83 187.96) (xy 39.37 187.96)) + (wire (pts (xy 36.83 111.76) (xy 39.37 111.76)) (stroke (width 0) (type default)) (uuid 6ffad509-23d5-4f70-b247-03deeb81ae48) ) @@ -1738,15 +1695,15 @@ (stroke (width 0) (type default)) (uuid 70373109-5ea0-421e-b8b9-f1fad3797d02) ) - (wire (pts (xy 101.6 67.31) (xy 101.6 73.66)) + (wire (pts (xy 102.87 137.16) (xy 102.87 143.51)) (stroke (width 0) (type default)) - (uuid 704edf59-56de-4e78-8793-be8c1389fa31) + (uuid 70870c78-f1ac-46a9-8056-9b3cea1360a9) ) (wire (pts (xy 39.37 60.96) (xy 39.37 54.61)) (stroke (width 0) (type default)) (uuid 70b492f8-de5e-47fa-9ef8-31c9db8530fb) ) - (wire (pts (xy 110.49 92.71) (xy 111.76 92.71)) + (wire (pts (xy 92.71 48.26) (xy 91.44 48.26)) (stroke (width 0) (type default)) (uuid 70f457cc-2bed-4063-9235-dbfd52c60351) ) @@ -1754,47 +1711,51 @@ (stroke (width 0) (type default)) (uuid 712bf60d-a2ea-46c5-a656-cc566ade6659) ) + (wire (pts (xy 102.87 124.46) (xy 102.87 130.81)) + (stroke (width 0) (type default)) + (uuid 716c5778-2ce5-461c-8620-9d078982d673) + ) + (wire (pts (xy 168.91 41.91) (xy 166.37 41.91)) + (stroke (width 0) (type default)) + (uuid 71c4cf49-71b6-467a-adb8-631590261303) + ) (wire (pts (xy 39.37 67.31) (xy 39.37 73.66)) (stroke (width 0) (type default)) (uuid 71eeb0e1-caf2-4eb4-bf4b-d59678472507) ) - (wire (pts (xy 104.14 130.81) (xy 101.6 130.81)) + (wire (pts (xy 93.98 137.16) (xy 95.25 137.16)) (stroke (width 0) (type default)) - (uuid 722fe2ca-6435-45eb-bc77-563506a69ced) + (uuid 724c0999-3b9b-4d30-9c94-b779e3f4eca0) ) - (wire (pts (xy 93.98 182.88) (xy 95.25 182.88)) + (wire (pts (xy 39.37 130.81) (xy 39.37 137.16)) (stroke (width 0) (type default)) - (uuid 724c0999-3b9b-4d30-9c94-b779e3f4eca0) + (uuid 727f821b-1b69-45dc-97b7-e0a84353f558) ) - (wire (pts (xy 36.83 118.11) (xy 39.37 118.11)) + (wire (pts (xy 36.83 73.66) (xy 39.37 73.66)) (stroke (width 0) (type default)) (uuid 72bd90aa-2cde-4f7e-bfa3-df34d1d5c5e4) ) - (wire (pts (xy 116.84 105.41) (xy 119.38 105.41)) + (wire (pts (xy 86.36 60.96) (xy 83.82 60.96)) (stroke (width 0) (type default)) (uuid 731054a8-01e6-4d78-b78f-9eacc28cf069) ) - (wire (pts (xy 92.71 105.41) (xy 91.44 105.41)) + (wire (pts (xy 31.75 187.96) (xy 30.48 187.96)) (stroke (width 0) (type default)) (uuid 73378598-3880-4a51-85dc-3e68d458f12a) ) - (wire (pts (xy 36.83 105.41) (xy 39.37 105.41)) + (wire (pts (xy 36.83 60.96) (xy 39.37 60.96)) (stroke (width 0) (type default)) (uuid 736a22a8-3619-4b2e-a96c-597098845405) ) - (wire (pts (xy 30.48 48.26) (xy 31.75 48.26)) + (wire (pts (xy 184.15 144.78) (xy 180.34 144.78)) (stroke (width 0) (type default)) - (uuid 7405474a-69e2-4ff9-b6f9-786215ea958e) + (uuid 73d7f77d-a99c-42da-98a6-0ddc73cc739d) ) (wire (pts (xy 39.37 187.96) (xy 43.18 187.96)) (stroke (width 0) (type default)) (uuid 7449381f-fc19-4ddf-abb5-1bc018edf41e) ) - (wire (pts (xy 101.6 29.21) (xy 101.6 35.56)) - (stroke (width 0) (type default)) - (uuid 747cf551-7ddf-43d3-b206-2d494626856c) - ) - (wire (pts (xy 22.86 111.76) (xy 25.4 111.76)) + (wire (pts (xy 22.86 67.31) (xy 25.4 67.31)) (stroke (width 0) (type default)) (uuid 74989521-69d1-497e-8a67-b0e3f8af47e3) ) @@ -1802,10 +1763,6 @@ (stroke (width 0) (type default)) (uuid 74a2a2fc-9ef3-4a75-a330-36febd516db3) ) - (wire (pts (xy 97.79 54.61) (xy 101.6 54.61)) - (stroke (width 0) (type default)) - (uuid 756e602f-87ae-4eff-8fcf-d601c30fdcf4) - ) (wire (pts (xy 261.62 36.83) (xy 256.54 36.83)) (stroke (width 0) (type default)) (uuid 756f1195-fe7c-4a34-9cc1-1a5a18d22cc9) @@ -1822,11 +1779,23 @@ (stroke (width 0) (type default)) (uuid 762fd035-62dc-449e-a838-2657bbb16712) ) - (wire (pts (xy 30.48 29.21) (xy 31.75 29.21)) + (wire (pts (xy 95.25 168.91) (xy 92.71 168.91)) + (stroke (width 0) (type default)) + (uuid 765dd259-2668-48ac-95ee-16576caaa1d1) + ) + (wire (pts (xy 168.91 73.66) (xy 166.37 73.66)) + (stroke (width 0) (type default)) + (uuid 76793a99-ed8c-416d-a128-c5b300d7443e) + ) + (wire (pts (xy 36.83 187.96) (xy 39.37 187.96)) + (stroke (width 0) (type default)) + (uuid 77ba84cb-5b2c-42f3-bf8a-3c83445079f2) + ) + (wire (pts (xy 30.48 22.86) (xy 31.75 22.86)) (stroke (width 0) (type default)) (uuid 787be8b1-5b5c-41f8-ac37-340c3dda76c3) ) - (wire (pts (xy 158.75 69.85) (xy 163.83 69.85)) + (wire (pts (xy 119.38 80.01) (xy 116.84 80.01)) (stroke (width 0) (type default)) (uuid 78925d4c-7146-4276-91af-ec1141577413) ) @@ -1834,15 +1803,15 @@ (stroke (width 0) (type default)) (uuid 78ee2f08-6232-40cf-a6f8-0ba9bd173a63) ) - (wire (pts (xy 113.03 170.18) (xy 111.76 170.18)) + (wire (pts (xy 25.4 187.96) (xy 22.86 187.96)) (stroke (width 0) (type default)) - (uuid 7a019b20-f27b-4952-a399-9f32461574e7) + (uuid 7a8c729f-7a54-4f08-8f24-48dbaf85ccd7) ) - (wire (pts (xy 86.36 105.41) (xy 83.82 105.41)) + (wire (pts (xy 151.13 67.31) (xy 151.13 73.66)) (stroke (width 0) (type default)) - (uuid 7a8c729f-7a54-4f08-8f24-48dbaf85ccd7) + (uuid 7b2e6bef-98d4-4e2a-87bd-9268a9bf2023) ) - (wire (pts (xy 25.4 162.56) (xy 24.13 162.56)) + (wire (pts (xy 25.4 86.36) (xy 24.13 86.36)) (stroke (width 0) (type default)) (uuid 7bc1ad44-dded-4909-a5e8-92b68bbe2d93) ) @@ -1850,19 +1819,15 @@ (stroke (width 0) (type default)) (uuid 7c5f8ba1-b0f5-4a1b-beb7-e0ec4fd6cbbc) ) - (wire (pts (xy 39.37 73.66) (xy 39.37 80.01)) - (stroke (width 0) (type default)) - (uuid 7c8f21bb-8cde-4212-acce-1f5990d56e2b) - ) - (wire (pts (xy 106.68 176.53) (xy 102.87 176.53)) + (wire (pts (xy 161.29 35.56) (xy 160.02 35.56)) (stroke (width 0) (type default)) - (uuid 7d525a9e-e853-4312-af74-f15faa9b5011) + (uuid 7cc5a449-0e6f-4862-ab16-70420d580d0f) ) - (wire (pts (xy 83.82 54.61) (xy 86.36 54.61)) + (wire (pts (xy 22.86 137.16) (xy 25.4 137.16)) (stroke (width 0) (type default)) (uuid 7dbd7202-1f1f-4849-af60-ccc1806ce9fb) ) - (wire (pts (xy 30.48 99.06) (xy 31.75 99.06)) + (wire (pts (xy 30.48 54.61) (xy 31.75 54.61)) (stroke (width 0) (type default)) (uuid 7e133c5f-c602-4985-96ba-d05eca58fe31) ) @@ -1870,11 +1835,7 @@ (stroke (width 0) (type default)) (uuid 7e576e70-90ab-4fed-b652-6a7f488691f9) ) - (wire (pts (xy 39.37 124.46) (xy 39.37 130.81)) - (stroke (width 0) (type default)) - (uuid 7ff83e83-5dbf-4a69-9d29-ff46eb021bb4) - ) - (wire (pts (xy 31.75 175.26) (xy 30.48 175.26)) + (wire (pts (xy 31.75 99.06) (xy 30.48 99.06)) (stroke (width 0) (type default)) (uuid 80953b84-5321-4592-8763-c017cfa50bfe) ) @@ -1882,7 +1843,7 @@ (stroke (width 0) (type default)) (uuid 8195e77e-d08f-48cb-90e5-bb3ccde227a2) ) - (wire (pts (xy 176.53 44.45) (xy 180.34 44.45)) + (wire (pts (xy 97.79 86.36) (xy 101.6 86.36)) (stroke (width 0) (type default)) (uuid 81f94fd2-a79e-48bd-89e6-39f9052c0edf) ) @@ -1890,33 +1851,41 @@ (stroke (width 0) (type default)) (uuid 8218932c-bb0f-4948-ae5b-1332ab46846e) ) - (wire (pts (xy 91.44 54.61) (xy 92.71 54.61)) + (wire (pts (xy 39.37 35.56) (xy 36.83 35.56)) + (stroke (width 0) (type default)) + (uuid 823a4d3e-097e-4fe8-bef1-a72498f969f3) + ) + (wire (pts (xy 30.48 137.16) (xy 31.75 137.16)) (stroke (width 0) (type default)) (uuid 82ecda72-7724-4295-8dd1-e3768129c848) ) - (wire (pts (xy 116.84 111.76) (xy 119.38 111.76)) + (wire (pts (xy 86.36 67.31) (xy 83.82 67.31)) (stroke (width 0) (type default)) (uuid 833bb7ec-f77b-4045-ad13-b13fc1ad6251) ) + (wire (pts (xy 22.86 48.26) (xy 25.4 48.26)) + (stroke (width 0) (type default)) + (uuid 83784809-af10-4ed5-94d3-5ef79ef74d86) + ) (wire (pts (xy 238.76 106.68) (xy 238.76 100.33)) (stroke (width 0) (type default)) (uuid 83ab65fe-2bf3-47af-900d-4629ded6b92a) ) - (wire (pts (xy 176.53 57.15) (xy 180.34 57.15)) + (wire (pts (xy 105.41 92.71) (xy 101.6 92.71)) (stroke (width 0) (type default)) (uuid 83f56588-d784-4fbe-baf5-033263fb3b62) ) - (wire (pts (xy 101.6 80.01) (xy 101.6 86.36)) + (wire (pts (xy 156.21 102.87) (xy 162.56 102.87)) (stroke (width 0) (type default)) - (uuid 84fedc47-b6b0-40bf-a59e-b301e0db1203) + (uuid 83f7b054-1f17-493d-9b8e-608e563e38aa) ) - (wire (pts (xy 180.34 50.8) (xy 180.34 57.15)) + (wire (pts (xy 101.6 35.56) (xy 101.6 29.21)) (stroke (width 0) (type default)) - (uuid 856b9791-fe6e-4822-b6fa-b48f4828353c) + (uuid 84f1515c-229f-4f60-b6f9-81f4c2267d35) ) - (wire (pts (xy 104.14 124.46) (xy 101.6 124.46)) + (wire (pts (xy 95.25 149.86) (xy 92.71 149.86)) (stroke (width 0) (type default)) - (uuid 8685cbcf-fb14-40c7-a9fa-528002e19726) + (uuid 86b672ed-68a7-47cc-a26d-1766e31969b8) ) (wire (pts (xy 39.37 105.41) (xy 43.18 105.41)) (stroke (width 0) (type default)) @@ -1926,19 +1895,23 @@ (stroke (width 0) (type default)) (uuid 87265b8f-32e3-456b-93d0-a5e11d350865) ) + (wire (pts (xy 25.4 35.56) (xy 22.86 35.56)) + (stroke (width 0) (type default)) + (uuid 872bc55f-bc60-4bb5-bdc8-f87dc415fc72) + ) (wire (pts (xy 242.57 74.93) (xy 238.76 74.93)) (stroke (width 0) (type default)) (uuid 880351fb-da2e-4037-ba3d-1c727e76e515) ) - (wire (pts (xy 22.86 54.61) (xy 25.4 54.61)) + (wire (pts (xy 102.87 175.26) (xy 102.87 181.61)) (stroke (width 0) (type default)) - (uuid 8853cd65-d39f-40a7-b521-1b58c4fd7737) + (uuid 89749f30-8023-41b7-bbd6-cc2a089d117e) ) - (wire (pts (xy 93.98 151.13) (xy 95.25 151.13)) + (wire (pts (xy 93.98 111.76) (xy 95.25 111.76)) (stroke (width 0) (type default)) (uuid 89aebba2-f1a2-42eb-b452-53c13d55c857) ) - (wire (pts (xy 36.83 175.26) (xy 39.37 175.26)) + (wire (pts (xy 36.83 99.06) (xy 39.37 99.06)) (stroke (width 0) (type default)) (uuid 89e99269-0f0b-4a97-87cb-0e129be80ed6) ) @@ -1950,9 +1923,9 @@ (stroke (width 0) (type default)) (uuid 8a775425-c05f-46e9-b0d4-676119a0be03) ) - (wire (pts (xy 31.75 156.21) (xy 30.48 156.21)) + (wire (pts (xy 151.13 41.91) (xy 151.13 48.26)) (stroke (width 0) (type default)) - (uuid 8accd886-08ce-49cd-9176-277c595b16de) + (uuid 8abcff97-7c76-421d-8e18-7388645e1bc5) ) (wire (pts (xy 234.95 30.48) (xy 238.76 30.48)) (stroke (width 0) (type default)) @@ -1962,23 +1935,15 @@ (stroke (width 0) (type default)) (uuid 8b3596d1-a82c-46df-8f5c-76a9a3460051) ) - (wire (pts (xy 106.68 170.18) (xy 102.87 170.18)) - (stroke (width 0) (type default)) - (uuid 8b4390c2-da85-4e56-b637-b2dcd9d24874) - ) (wire (pts (xy 105.41 22.86) (xy 101.6 22.86)) (stroke (width 0) (type default)) (uuid 8b52f019-9634-45d1-9188-e6df066a3542) ) - (wire (pts (xy 101.6 111.76) (xy 97.79 111.76)) - (stroke (width 0) (type default)) - (uuid 8b71a196-6200-4522-ae62-9d278ce15663) - ) (wire (pts (xy 215.9 100.33) (xy 220.98 100.33)) (stroke (width 0) (type default)) (uuid 8bc32107-8a8c-4be4-b78b-545a36fba9ef) ) - (wire (pts (xy 176.53 38.1) (xy 180.34 38.1)) + (wire (pts (xy 97.79 80.01) (xy 101.6 80.01)) (stroke (width 0) (type default)) (uuid 8c0fcfb0-3553-4321-bfe3-d988419ab80e) ) @@ -1986,11 +1951,19 @@ (stroke (width 0) (type default)) (uuid 8cccce9e-3ef1-4d53-b7b9-a58bd26ab899) ) - (wire (pts (xy 120.65 176.53) (xy 118.11 176.53)) + (wire (pts (xy 184.15 113.03) (xy 180.34 113.03)) + (stroke (width 0) (type default)) + (uuid 8dda4378-93dc-45a2-a36b-450df87d11cd) + ) + (wire (pts (xy 106.68 168.91) (xy 102.87 168.91)) (stroke (width 0) (type default)) - (uuid 8e720748-6162-4de4-b10c-1c81a35ca9b1) + (uuid 8e101717-82da-46b9-9677-01f9e1d1c222) ) - (wire (pts (xy 120.65 157.48) (xy 118.11 157.48)) + (wire (pts (xy 120.65 175.26) (xy 118.11 175.26)) + (stroke (width 0) (type default)) + (uuid 8e77fcbe-49b6-4e81-955f-1c9446caf6c5) + ) + (wire (pts (xy 120.65 118.11) (xy 118.11 118.11)) (stroke (width 0) (type default)) (uuid 8e83faf4-1d43-45e5-9627-8d05634007b9) ) @@ -1998,6 +1971,10 @@ (stroke (width 0) (type default)) (uuid 8e9710b9-658c-4313-a7bf-ba4634a37883) ) + (wire (pts (xy 168.91 54.61) (xy 166.37 54.61)) + (stroke (width 0) (type default)) + (uuid 8fb0999a-c575-4076-8034-64cfc869b474) + ) (wire (pts (xy 215.9 49.53) (xy 220.98 49.53)) (stroke (width 0) (type default)) (uuid 8fe05569-9082-4b1f-a8a0-981d99799933) @@ -2006,31 +1983,43 @@ (stroke (width 0) (type default)) (uuid 9061eed6-9d4d-4317-ab09-11595d267b41) ) - (wire (pts (xy 36.83 181.61) (xy 39.37 181.61)) + (wire (pts (xy 36.83 105.41) (xy 39.37 105.41)) (stroke (width 0) (type default)) (uuid 90d8da4c-f33b-435c-b4d4-119c5692257c) ) + (wire (pts (xy 95.25 187.96) (xy 92.71 187.96)) + (stroke (width 0) (type default)) + (uuid 90de148d-71ca-475c-8b09-477ca8b43420) + ) (wire (pts (xy 48.26 130.81) (xy 49.53 130.81)) (stroke (width 0) (type default)) (uuid 91ab0580-3a8d-4e17-82aa-575aee7c9404) ) - (wire (pts (xy 120.65 182.88) (xy 118.11 182.88)) + (wire (pts (xy 106.68 156.21) (xy 102.87 156.21)) + (stroke (width 0) (type default)) + (uuid 91c3e549-553a-4ca1-a772-c5d225636496) + ) + (wire (pts (xy 120.65 124.46) (xy 118.11 124.46)) (stroke (width 0) (type default)) (uuid 924f2c2e-e9f8-45ae-af06-dfc45e026b75) ) - (wire (pts (xy 86.36 170.18) (xy 88.9 170.18)) + (wire (pts (xy 86.36 124.46) (xy 88.9 124.46)) (stroke (width 0) (type default)) (uuid 92f45f73-068f-4bae-bc10-c39d6070ee7b) ) - (wire (pts (xy 113.03 182.88) (xy 111.76 182.88)) + (wire (pts (xy 113.03 124.46) (xy 111.76 124.46)) (stroke (width 0) (type default)) (uuid 932c6bc0-90ee-4da7-905e-d1519ee8ceaf) ) - (wire (pts (xy 22.86 105.41) (xy 25.4 105.41)) + (wire (pts (xy 22.86 60.96) (xy 25.4 60.96)) (stroke (width 0) (type default)) (uuid 93ee516b-2957-403e-b39f-20c2c4334e07) ) - (wire (pts (xy 109.22 124.46) (xy 110.49 124.46)) + (wire (pts (xy 39.37 168.91) (xy 39.37 175.26)) + (stroke (width 0) (type default)) + (uuid 9481a05a-09dd-495c-ac26-2d9927cf445e) + ) + (wire (pts (xy 92.71 35.56) (xy 91.44 35.56)) (stroke (width 0) (type default)) (uuid 94b6994a-469f-43e4-aff6-3e24f2917840) ) @@ -2046,33 +2035,34 @@ (stroke (width 0) (type default)) (uuid 95441823-2368-4a03-b8f4-0b2f58bc1e83) ) - (wire (pts (xy 101.6 92.71) (xy 101.6 99.06)) - (stroke (width 0) (type default)) - (uuid 9549f332-d639-4a4f-91a3-e104c0d384a7) - ) - (wire (pts (xy 158.75 57.15) (xy 163.83 57.15)) + (wire (pts (xy 119.38 92.71) (xy 116.84 92.71)) (stroke (width 0) (type default)) (uuid 95d50e55-662e-4365-bb95-3138aeb47642) ) - (wire (pts (xy 110.49 80.01) (xy 111.76 80.01)) + (polyline (pts (xy 181.61 97.79) (xy 161.29 97.79)) + (stroke (width 0.5) (type dot)) + (uuid 965de63b-7905-4eeb-b53f-9dba59a9eb20) + ) + + (wire (pts (xy 111.76 35.56) (xy 110.49 35.56)) (stroke (width 0) (type default)) - (uuid 961ad570-c125-4737-958c-448a8542802b) + (uuid 96a371ff-3b6e-4646-b842-514083ea3f37) ) - (wire (pts (xy 119.38 67.31) (xy 116.84 67.31)) + (wire (pts (xy 154.94 29.21) (xy 151.13 29.21)) (stroke (width 0) (type default)) - (uuid 9621e5da-d30d-4939-baec-aaaeedaf4635) + (uuid 9793200a-b43f-4dbc-bddc-4bcb651895fe) ) - (wire (pts (xy 97.79 60.96) (xy 101.6 60.96)) + (wire (pts (xy 111.76 156.21) (xy 113.03 156.21)) (stroke (width 0) (type default)) - (uuid 9662ec26-73bc-40a6-a240-d023f47263d9) + (uuid 988e0078-ae85-42a2-b3f9-123438c633fb) ) - (wire (pts (xy 111.76 35.56) (xy 110.49 35.56)) + (wire (pts (xy 101.6 48.26) (xy 101.6 41.91)) (stroke (width 0) (type default)) - (uuid 96a371ff-3b6e-4646-b842-514083ea3f37) + (uuid 98a0bd1a-fd84-420a-8bfe-e28cde03df10) ) - (wire (pts (xy 91.44 124.46) (xy 92.71 124.46)) + (wire (pts (xy 101.6 60.96) (xy 105.41 60.96)) (stroke (width 0) (type default)) - (uuid 97bcab12-2de8-4a32-a87a-9264b3b7fc87) + (uuid 98cb6d59-1dcf-43c6-860c-fc94527a165b) ) (wire (pts (xy 39.37 73.66) (xy 43.18 73.66)) (stroke (width 0) (type default)) @@ -2086,11 +2076,11 @@ (stroke (width 0) (type default)) (uuid 9abea42b-3c74-4fb7-9ccf-ca74b00c7b88) ) - (wire (pts (xy 106.68 182.88) (xy 102.87 182.88)) + (wire (pts (xy 106.68 124.46) (xy 102.87 124.46)) (stroke (width 0) (type default)) (uuid 9b853c63-444a-4c72-809d-d602a54144fb) ) - (wire (pts (xy 110.49 99.06) (xy 111.76 99.06)) + (wire (pts (xy 92.71 54.61) (xy 91.44 54.61)) (stroke (width 0) (type default)) (uuid 9bac6bc3-de23-4f0e-8146-da1cd6934a3e) ) @@ -2098,37 +2088,21 @@ (stroke (width 0) (type default)) (uuid 9bb1ba1e-6793-4d6c-a9bb-403b476e6b0d) ) - (wire (pts (xy 101.6 124.46) (xy 101.6 118.11)) + (wire (pts (xy 168.91 35.56) (xy 166.37 35.56)) (stroke (width 0) (type default)) - (uuid 9bc15fd1-c184-4e38-a4de-33d6afc723b5) + (uuid 9bbb5a56-45c9-43d4-9a59-58060d307227) ) - (wire (pts (xy 36.83 156.21) (xy 39.37 156.21)) - (stroke (width 0) (type default)) - (uuid 9bf54723-1109-4933-bc8e-1ea004183d84) - ) - (wire (pts (xy 86.36 176.53) (xy 88.9 176.53)) + (wire (pts (xy 86.36 130.81) (xy 88.9 130.81)) (stroke (width 0) (type default)) (uuid 9bfaa367-9936-492f-97d1-135d9d58612b) ) - (wire (pts (xy 97.79 67.31) (xy 101.6 67.31)) - (stroke (width 0) (type default)) - (uuid 9c43036c-7914-4b9f-a414-5d0ead0872b7) - ) - (wire (pts (xy 110.49 111.76) (xy 111.76 111.76)) + (wire (pts (xy 92.71 67.31) (xy 91.44 67.31)) (stroke (width 0) (type default)) (uuid 9c65d057-968c-421d-9726-3d613bbb15c7) ) - (wire (pts (xy 105.41 60.96) (xy 101.6 60.96)) - (stroke (width 0) (type default)) - (uuid 9cd2fcb8-6213-4c0a-968b-4419fcb2854f) - ) - (wire (pts (xy 92.71 111.76) (xy 91.44 111.76)) + (wire (pts (xy 31.75 41.91) (xy 30.48 41.91)) (stroke (width 0) (type default)) - (uuid 9cf4ec20-26b3-47a6-9a84-294f402bbe6e) - ) - (wire (pts (xy 36.83 137.16) (xy 39.37 137.16)) - (stroke (width 0) (type default)) - (uuid 9d0a2740-7d1f-4b03-9602-92b0bfa08208) + (uuid 9ce94fd4-5f7c-469d-a1da-db6d02d56425) ) (wire (pts (xy 261.62 125.73) (xy 256.54 125.73)) (stroke (width 0) (type default)) @@ -2138,51 +2112,63 @@ (stroke (width 0) (type default)) (uuid 9f6f4b33-4535-4121-86f8-a69fb5a33ed3) ) + (wire (pts (xy 39.37 80.01) (xy 39.37 86.36)) + (stroke (width 0) (type default)) + (uuid 9fce842c-f94f-4da9-b623-92da4a25b9a5) + ) + (wire (pts (xy 106.68 181.61) (xy 102.87 181.61)) + (stroke (width 0) (type default)) + (uuid a00be4e1-44b5-4347-9cf2-1df1946de94c) + ) (wire (pts (xy 234.95 36.83) (xy 238.76 36.83)) (stroke (width 0) (type default)) (uuid a01eabd3-77c4-4b7e-b913-ddaa3fea8d90) ) - (wire (pts (xy 30.48 105.41) (xy 31.75 105.41)) + (wire (pts (xy 30.48 60.96) (xy 31.75 60.96)) (stroke (width 0) (type default)) (uuid a03a8a0c-6851-4301-a1ba-96a37dae18a5) ) - (wire (pts (xy 116.84 80.01) (xy 119.38 80.01)) + (wire (pts (xy 151.13 29.21) (xy 151.13 35.56)) (stroke (width 0) (type default)) - (uuid a13cda83-63fb-48da-97ab-c3a0a6172f59) + (uuid a0bf072c-2ef3-4df6-971b-9034afe573f6) ) - (wire (pts (xy 101.6 73.66) (xy 105.41 73.66)) + (wire (pts (xy 161.29 73.66) (xy 160.02 73.66)) (stroke (width 0) (type default)) - (uuid a193509a-5817-4a57-a811-20a87ca2c3a8) + (uuid a1cbbcf2-5cff-4598-9107-e844ba70f696) ) - (wire (pts (xy 102.87 151.13) (xy 100.33 151.13)) + (wire (pts (xy 102.87 111.76) (xy 100.33 111.76)) (stroke (width 0) (type default)) (uuid a2b77ec6-4e17-46a7-bcae-98f4fbfe8e81) ) - (wire (pts (xy 234.95 106.68) (xy 238.76 106.68)) + (wire (pts (xy 39.37 86.36) (xy 39.37 92.71)) (stroke (width 0) (type default)) - (uuid a3178db9-1e5f-4164-b1cd-ae6b14f01191) + (uuid a2dcaf56-9332-4884-a3c4-9f0e2ed61829) ) - (wire (pts (xy 180.34 29.21) (xy 180.34 31.75)) + (wire (pts (xy 234.95 106.68) (xy 238.76 106.68)) (stroke (width 0) (type default)) - (uuid a3dbab1b-2624-46d2-a318-508221d8c4ee) + (uuid a3178db9-1e5f-4164-b1cd-ae6b14f01191) ) - (wire (pts (xy 113.03 157.48) (xy 111.76 157.48)) + (wire (pts (xy 113.03 118.11) (xy 111.76 118.11)) (stroke (width 0) (type default)) (uuid a444973a-a5de-45db-ad0d-f04434fd43ff) ) - (wire (pts (xy 91.44 73.66) (xy 92.71 73.66)) + (wire (pts (xy 30.48 156.21) (xy 31.75 156.21)) (stroke (width 0) (type default)) (uuid a4b72a63-8ad3-40a5-ad4b-fc78b925999b) ) - (wire (pts (xy 176.53 63.5) (xy 180.34 63.5)) + (wire (pts (xy 105.41 86.36) (xy 101.6 86.36)) (stroke (width 0) (type default)) (uuid a510aba0-ac13-4a72-bb7c-516dbb57f764) ) - (wire (pts (xy 158.75 82.55) (xy 163.83 82.55)) + (wire (pts (xy 119.38 67.31) (xy 116.84 67.31)) (stroke (width 0) (type default)) (uuid a538fcb4-6222-4488-bb80-052b5ea2f6a6) ) - (wire (pts (xy 171.45 31.75) (xy 168.91 31.75)) + (wire (pts (xy 101.6 54.61) (xy 105.41 54.61)) + (stroke (width 0) (type default)) + (uuid a565029b-7843-4e71-afbb-44c96d275354) + ) + (wire (pts (xy 92.71 73.66) (xy 91.44 73.66)) (stroke (width 0) (type default)) (uuid a59ecc40-4181-43f4-8be6-3ba633c0bb36) ) @@ -2190,13 +2176,17 @@ (stroke (width 0) (type default)) (uuid a5c17b20-42d0-45e6-98ca-d1674cd50616) ) - (wire (pts (xy 158.75 31.75) (xy 163.83 31.75)) + (wire (pts (xy 83.82 73.66) (xy 86.36 73.66)) (stroke (width 0) (type default)) (uuid a5d78227-5145-4237-9cbd-f83209a49e0c) ) - (wire (pts (xy 180.34 38.1) (xy 180.34 44.45)) + (wire (pts (xy 36.83 124.46) (xy 39.37 124.46)) + (stroke (width 0) (type default)) + (uuid a6ac928d-fa61-4675-b62b-0db34b563d4b) + ) + (wire (pts (xy 102.87 162.56) (xy 102.87 168.91)) (stroke (width 0) (type default)) - (uuid a6d55efe-0a67-4616-993b-71a425cb87ae) + (uuid a6e37741-a0d2-4910-91ac-3c0b100db4dc) ) (wire (pts (xy 251.46 30.48) (xy 247.65 30.48)) (stroke (width 0) (type default)) @@ -2210,26 +2200,10 @@ (stroke (width 0) (type default)) (uuid a75a970d-5295-481a-8c35-2f1fcd892e26) ) - (wire (pts (xy 97.79 86.36) (xy 101.6 86.36)) - (stroke (width 0) (type default)) - (uuid a7aca22a-57b5-4588-a482-e752f338cc76) - ) (wire (pts (xy 39.37 124.46) (xy 43.18 124.46)) (stroke (width 0) (type default)) (uuid a7df1922-bdda-4e96-a426-3acef5a2155b) ) - (wire (pts (xy 86.36 111.76) (xy 83.82 111.76)) - (stroke (width 0) (type default)) - (uuid a8198932-0bfa-48e4-aa62-67f9aee8120c) - ) - (wire (pts (xy 36.83 41.91) (xy 39.37 41.91)) - (stroke (width 0) (type default)) - (uuid a8599e20-54c2-4744-801d-ff67d16ee4a0) - ) - (wire (pts (xy 30.48 54.61) (xy 31.75 54.61)) - (stroke (width 0) (type default)) - (uuid a85cedd0-0c02-4a30-83f7-facd566b6212) - ) (wire (pts (xy 215.9 81.28) (xy 220.98 81.28)) (stroke (width 0) (type default)) (uuid a8ecfe8e-5f87-4fd2-ac70-2063b09836ff) @@ -2238,31 +2212,27 @@ (stroke (width 0) (type default)) (uuid aa348680-bc73-4790-9ece-17f51022b561) ) - (wire (pts (xy 30.48 60.96) (xy 31.75 60.96)) - (stroke (width 0) (type default)) - (uuid aadfe2ff-a8e3-4337-af6a-4cabec2807c8) - ) - (wire (pts (xy 261.62 55.88) (xy 256.54 55.88)) + (wire (pts (xy 156.21 118.11) (xy 162.56 118.11)) (stroke (width 0) (type default)) - (uuid ac02c6b2-4e14-464e-9d62-4524cbbb956a) + (uuid aa5ea6ec-a73c-49e1-993f-7add5878d242) ) - (wire (pts (xy 25.4 156.21) (xy 24.13 156.21)) + (wire (pts (xy 154.94 35.56) (xy 151.13 35.56)) (stroke (width 0) (type default)) - (uuid acc7315a-1671-46bc-bad5-b39889dc103c) + (uuid ab19ddcc-2be2-4b2f-925a-c0280037c8ba) ) - (wire (pts (xy 101.6 86.36) (xy 101.6 92.71)) + (wire (pts (xy 261.62 55.88) (xy 256.54 55.88)) (stroke (width 0) (type default)) - (uuid ad9252c7-ef22-41b2-8502-affff798fefc) + (uuid ac02c6b2-4e14-464e-9d62-4524cbbb956a) ) - (wire (pts (xy 120.65 163.83) (xy 118.11 163.83)) + (wire (pts (xy 39.37 41.91) (xy 39.37 48.26)) (stroke (width 0) (type default)) - (uuid ae138645-0e25-4511-82c1-2e5e7063bc3c) + (uuid adfca2a8-06dd-451c-93e9-2740fd6c7a14) ) - (wire (pts (xy 116.84 99.06) (xy 119.38 99.06)) + (wire (pts (xy 86.36 54.61) (xy 83.82 54.61)) (stroke (width 0) (type default)) (uuid aea545ac-7114-47d9-ba76-fb23e3674163) ) - (wire (pts (xy 83.82 80.01) (xy 86.36 80.01)) + (wire (pts (xy 22.86 162.56) (xy 25.4 162.56)) (stroke (width 0) (type default)) (uuid aef8bd2b-c62f-4509-868d-deac6228215a) ) @@ -2274,13 +2244,13 @@ (stroke (width 0) (type default)) (uuid af5a1b52-9246-456e-99bb-4796479018bd) ) - (wire (pts (xy 39.37 92.71) (xy 39.37 99.06)) + (wire (pts (xy 102.87 143.51) (xy 102.87 149.86)) (stroke (width 0) (type default)) - (uuid af8e3fe6-9eb1-439c-9615-2214c33d0fc7) + (uuid afcc94b1-f2c4-4cc7-9741-bb1f8f57891d) ) - (wire (pts (xy 105.41 48.26) (xy 101.6 48.26)) + (wire (pts (xy 151.13 54.61) (xy 151.13 48.26)) (stroke (width 0) (type default)) - (uuid afac4759-9c28-414e-8f45-ef76e293f8b0) + (uuid b00f6faa-6b14-4a0a-8437-006c753d52a8) ) (wire (pts (xy 238.76 36.83) (xy 238.76 43.18)) (stroke (width 0) (type default)) @@ -2290,23 +2260,39 @@ (stroke (width 0) (type default)) (uuid b01cfeff-a51d-4d36-94a2-7e5e2a2099af) ) - (wire (pts (xy 101.6 105.41) (xy 105.41 105.41)) - (stroke (width 0) (type default)) - (uuid b128a17f-ef92-4958-82cb-ae761a7217d1) - ) (wire (pts (xy 48.26 168.91) (xy 49.53 168.91)) (stroke (width 0) (type default)) (uuid b1453994-71ab-41b1-8ec8-94b3a86403b2) ) - (wire (pts (xy 31.75 162.56) (xy 30.48 162.56)) + (wire (pts (xy 97.79 48.26) (xy 101.6 48.26)) + (stroke (width 0) (type default)) + (uuid b2702264-a86f-4fb0-ae55-93c3f8873ea9) + ) + (wire (pts (xy 31.75 86.36) (xy 30.48 86.36)) (stroke (width 0) (type default)) (uuid b2729706-f736-4090-8743-e18c2ac26e3b) ) + (wire (pts (xy 161.29 41.91) (xy 160.02 41.91)) + (stroke (width 0) (type default)) + (uuid b31ef0ec-df98-4095-8b03-fb1d2c9faf7e) + ) + (wire (pts (xy 39.37 41.91) (xy 36.83 41.91)) + (stroke (width 0) (type default)) + (uuid b40380e0-09e4-4e73-b2a5-7b9fff31e874) + ) (wire (pts (xy 261.62 62.23) (xy 256.54 62.23)) (stroke (width 0) (type default)) (uuid b421f41d-2613-46ee-8eac-6b244cea3655) ) - (wire (pts (xy 113.03 151.13) (xy 111.76 151.13)) + (wire (pts (xy 39.37 149.86) (xy 39.37 156.21)) + (stroke (width 0) (type default)) + (uuid b4874c2c-0a34-431a-a80b-13337109541c) + ) + (wire (pts (xy 106.68 187.96) (xy 102.87 187.96)) + (stroke (width 0) (type default)) + (uuid b4b78efc-19a4-4f56-8e26-0487b98fae81) + ) + (wire (pts (xy 113.03 111.76) (xy 111.76 111.76)) (stroke (width 0) (type default)) (uuid b5121b21-7210-4515-9af1-76713dd134ea) ) @@ -2314,27 +2300,19 @@ (stroke (width 0) (type default)) (uuid b57a0475-509c-4b48-a728-9038efb06363) ) - (wire (pts (xy 105.41 35.56) (xy 101.6 35.56)) + (wire (pts (xy 97.79 60.96) (xy 101.6 60.96)) (stroke (width 0) (type default)) - (uuid b59453af-6d87-4480-b0c2-3c7a90b12b06) + (uuid b5bd5499-e28f-4f79-8f9b-c6a26720a09d) ) - (wire (pts (xy 36.83 194.31) (xy 39.37 194.31)) + (wire (pts (xy 36.83 118.11) (xy 39.37 118.11)) (stroke (width 0) (type default)) (uuid b605e23d-5cb7-4086-a9bf-76214cf82f72) ) - (wire (pts (xy 113.03 176.53) (xy 111.76 176.53)) - (stroke (width 0) (type default)) - (uuid b633a36f-11e2-43d5-ac04-74c8aba73f96) - ) - (wire (pts (xy 168.91 63.5) (xy 171.45 63.5)) + (wire (pts (xy 111.76 86.36) (xy 110.49 86.36)) (stroke (width 0) (type default)) (uuid b6522124-0dd2-41b2-9501-20438e5d79fa) ) - (wire (pts (xy 36.83 67.31) (xy 39.37 67.31)) - (stroke (width 0) (type default)) - (uuid b74b9ab0-6681-4784-89bd-28d21ef204e0) - ) - (wire (pts (xy 91.44 41.91) (xy 92.71 41.91)) + (wire (pts (xy 30.48 130.81) (xy 31.75 130.81)) (stroke (width 0) (type default)) (uuid b81e50b7-d59d-4007-867b-919bca955b3c) ) @@ -2346,17 +2324,13 @@ (stroke (width 0) (type default)) (uuid b86d5209-06ab-4883-953f-8b5437c9f2c4) ) - (wire (pts (xy 30.48 111.76) (xy 31.75 111.76)) + (wire (pts (xy 30.48 67.31) (xy 31.75 67.31)) (stroke (width 0) (type default)) (uuid b92a86e7-a007-4b12-9367-0c34f13af65c) ) - (wire (pts (xy 25.4 137.16) (xy 24.13 137.16)) - (stroke (width 0) (type default)) - (uuid ba1c6a7d-8d72-40bd-85c3-6314f2904e95) - ) - (wire (pts (xy 101.6 80.01) (xy 105.41 80.01)) + (wire (pts (xy 151.13 35.56) (xy 151.13 41.91)) (stroke (width 0) (type default)) - (uuid ba3b1a8c-8a83-486f-87e7-31aa270f90c1) + (uuid b9719f10-4a23-4db9-aa67-4ba80f884413) ) (wire (pts (xy 83.82 29.21) (xy 86.36 29.21)) (stroke (width 0) (type default)) @@ -2366,6 +2340,10 @@ (stroke (width 0) (type default)) (uuid baa5bc80-386d-4f35-82b9-2b32fc7cb289) ) + (wire (pts (xy 113.03 168.91) (xy 111.76 168.91)) + (stroke (width 0) (type default)) + (uuid bae99bbd-1114-4f18-8b9e-00487b5e4487) + ) (wire (pts (xy 261.62 119.38) (xy 256.54 119.38)) (stroke (width 0) (type default)) (uuid bb6a9bf5-07de-4f38-ac01-689997e1856c) @@ -2374,7 +2352,11 @@ (stroke (width 0) (type default)) (uuid bb7449ec-1170-4af4-bc61-87f994f340b6) ) - (wire (pts (xy 83.82 41.91) (xy 86.36 41.91)) + (wire (pts (xy 106.68 162.56) (xy 102.87 162.56)) + (stroke (width 0) (type default)) + (uuid bbf4231a-1c33-41ba-95b3-1db9aa271a77) + ) + (wire (pts (xy 22.86 130.81) (xy 25.4 130.81)) (stroke (width 0) (type default)) (uuid bbf4593f-48d1-4e2e-bcc8-b470882929be) ) @@ -2386,10 +2368,6 @@ (stroke (width 0) (type default)) (uuid bcf00c79-f490-4444-8944-4c325cbaaa85) ) - (wire (pts (xy 36.83 48.26) (xy 39.37 48.26)) - (stroke (width 0) (type default)) - (uuid bcf6157b-2d53-4570-b249-32b30bf597fa) - ) (wire (pts (xy 234.95 74.93) (xy 238.76 74.93)) (stroke (width 0) (type default)) (uuid bd3e4fb2-0786-4af2-9f8d-33e09f3279de) @@ -2398,17 +2376,17 @@ (stroke (width 0) (type default)) (uuid bd9639e0-a890-46e9-9b90-30ecd9fb3be3) ) - (wire (pts (xy 22.86 67.31) (xy 25.4 67.31)) + (wire (pts (xy 30.48 162.56) (xy 31.75 162.56)) (stroke (width 0) (type default)) - (uuid bd9db111-89c5-4c2d-8aad-c0383c41bc67) + (uuid be279abf-7a21-4828-bea5-d5d73a75c0b1) ) - (wire (pts (xy 91.44 80.01) (xy 92.71 80.01)) + (wire (pts (xy 168.91 60.96) (xy 166.37 60.96)) (stroke (width 0) (type default)) - (uuid be279abf-7a21-4828-bea5-d5d73a75c0b1) + (uuid be2b1e9c-dce9-430c-99a0-2e968abc5d6a) ) - (wire (pts (xy 113.03 163.83) (xy 111.76 163.83)) + (wire (pts (xy 156.21 144.78) (xy 162.56 144.78)) (stroke (width 0) (type default)) - (uuid bf707003-6b77-42ac-8f0d-66cc3ef6b988) + (uuid bf88a841-3a2c-448a-b018-3d7611bcfdef) ) (wire (pts (xy 215.9 55.88) (xy 220.98 55.88)) (stroke (width 0) (type default)) @@ -2422,45 +2400,53 @@ (stroke (width 0) (type default)) (uuid c12d573e-a514-47d4-bc90-515c47a41eb7) ) - (wire (pts (xy 86.36 151.13) (xy 88.9 151.13)) + (wire (pts (xy 86.36 111.76) (xy 88.9 111.76)) (stroke (width 0) (type default)) (uuid c18a2ae7-0b7f-47d6-85fc-897342b2d082) ) - (wire (pts (xy 180.34 63.5) (xy 180.34 69.85)) + (wire (pts (xy 113.03 181.61) (xy 111.76 181.61)) (stroke (width 0) (type default)) - (uuid c208e5b4-abaa-4ab9-a39f-e85dca281dfe) + (uuid c3b33329-35de-4b11-a0b6-450251f90e99) ) - (wire (pts (xy 36.83 29.21) (xy 39.37 29.21)) + (wire (pts (xy 184.15 107.95) (xy 180.34 107.95)) + (stroke (width 0) (type default)) + (uuid c3bcd5a6-995d-433e-bdad-adf3c591b81b) + ) + (wire (pts (xy 36.83 22.86) (xy 39.37 22.86)) (stroke (width 0) (type default)) (uuid c42e17ae-f981-46a1-a1a4-3178bd343101) ) - (wire (pts (xy 168.91 82.55) (xy 171.45 82.55)) + (wire (pts (xy 111.76 67.31) (xy 110.49 67.31)) (stroke (width 0) (type default)) (uuid c4302c67-3828-414d-86a0-e44fc31b8659) ) - (wire (pts (xy 91.44 99.06) (xy 92.71 99.06)) + (wire (pts (xy 30.48 181.61) (xy 31.75 181.61)) (stroke (width 0) (type default)) (uuid c498095c-efe9-4d31-b391-08780dbd2a06) ) - (wire (pts (xy 101.6 105.41) (xy 101.6 111.76)) + (wire (pts (xy 95.25 194.31) (xy 92.71 194.31)) + (stroke (width 0) (type default)) + (uuid c4fbff2e-eaeb-4058-908b-843adbb47b7f) + ) + (wire (pts (xy 184.15 115.57) (xy 180.34 115.57)) (stroke (width 0) (type default)) - (uuid c54754da-e933-4046-828f-5714e48a183d) + (uuid c5c97da6-4b97-40a2-b43b-b561524059d6) ) - (wire (pts (xy 36.83 35.56) (xy 39.37 35.56)) + (wire (pts (xy 36.83 29.21) (xy 39.37 29.21)) (stroke (width 0) (type default)) (uuid c5cfd581-2cfb-4eb1-9069-e3735c10b5b9) ) - (wire (pts (xy 101.6 111.76) (xy 101.6 118.11)) + (wire (pts (xy 101.6 67.31) (xy 101.6 60.96)) (stroke (width 0) (type default)) - (uuid c685c5e6-2437-4913-aab8-bec9a2ff9db7) + (uuid c6a8e517-a547-42e9-85cd-b59c5db10628) ) (wire (pts (xy 48.26 92.71) (xy 49.53 92.71)) (stroke (width 0) (type default)) (uuid c6e4193a-ac30-43c9-891b-a76c2730f669) ) - (wire (pts (xy 91.44 48.26) (xy 92.71 48.26)) + (wire (pts (xy 101.6 80.01) (xy 101.6 73.66)) (stroke (width 0) (type default)) - (uuid c729e5db-dd87-41c8-90e2-a61e38590b28) + (uuid c74f0165-60b1-4b8b-a1f0-b6de164f08ea) ) (wire (pts (xy 238.76 74.93) (xy 238.76 81.28)) (stroke (width 0) (type default)) @@ -2470,7 +2456,11 @@ (stroke (width 0) (type default)) (uuid c7a6f025-c1dc-4152-8c60-ace6f1f3da0e) ) - (wire (pts (xy 102.87 170.18) (xy 100.33 170.18)) + (wire (pts (xy 184.15 142.24) (xy 180.34 142.24)) + (stroke (width 0) (type default)) + (uuid c7adb86d-86e5-450d-a7f3-827736f64f86) + ) + (wire (pts (xy 102.87 124.46) (xy 100.33 124.46)) (stroke (width 0) (type default)) (uuid c7cfbe96-30e5-4676-86c7-43e2826b4753) ) @@ -2478,10 +2468,6 @@ (stroke (width 0) (type default)) (uuid c7dbe2f0-1306-49ba-ab7e-9c42abf2d276) ) - (wire (pts (xy 116.84 86.36) (xy 119.38 86.36)) - (stroke (width 0) (type default)) - (uuid c7e17150-96d5-42d9-a85e-f99152193198) - ) (wire (pts (xy 54.61 175.26) (xy 58.42 175.26)) (stroke (width 0) (type default)) (uuid c7fa1aa9-a14f-4d91-9fdb-3183cdfc54ac) @@ -2490,11 +2476,15 @@ (stroke (width 0) (type default)) (uuid c896c731-9608-4b8a-95a4-a30444e042ea) ) - (wire (pts (xy 158.75 44.45) (xy 163.83 44.45)) + (wire (pts (xy 83.82 86.36) (xy 86.36 86.36)) (stroke (width 0) (type default)) (uuid c8d0abc2-2a0f-470e-8fdf-177089fa9f97) ) - (wire (pts (xy 86.36 157.48) (xy 88.9 157.48)) + (wire (pts (xy 39.37 118.11) (xy 39.37 124.46)) + (stroke (width 0) (type default)) + (uuid c97a6cc7-dd18-40c0-9507-b7acd36d4523) + ) + (wire (pts (xy 86.36 118.11) (xy 88.9 118.11)) (stroke (width 0) (type default)) (uuid ca6eea62-29fd-4f54-9354-e99d5d0f9f09) ) @@ -2502,41 +2492,41 @@ (stroke (width 0) (type default)) (uuid cb36bc3d-a53d-48f6-aa4f-062992576b6f) ) - (wire (pts (xy 120.65 144.78) (xy 118.11 144.78)) + (wire (pts (xy 120.65 105.41) (xy 118.11 105.41)) (stroke (width 0) (type default)) (uuid cb43d965-beee-4b78-9894-b6b2014ec50a) ) - (wire (pts (xy 105.41 54.61) (xy 101.6 54.61)) + (wire (pts (xy 151.13 73.66) (xy 154.94 73.66)) (stroke (width 0) (type default)) - (uuid cb43ed6d-31f7-4176-b2c9-68a3c3d958f7) + (uuid cc4e708a-2095-4995-8e2a-404b4fbd42fd) ) - (wire (pts (xy 22.86 41.91) (xy 25.4 41.91)) + (wire (pts (xy 234.95 62.23) (xy 238.76 62.23)) (stroke (width 0) (type default)) - (uuid cb9353e8-b960-4632-9f17-33af1bd8c8be) + (uuid cd18e154-7b02-4a94-aa5b-f1eda253657f) ) - (wire (pts (xy 36.83 54.61) (xy 39.37 54.61)) + (wire (pts (xy 95.25 156.21) (xy 92.71 156.21)) (stroke (width 0) (type default)) - (uuid ccd166a2-295f-428d-aeef-72537ae86ac8) + (uuid cd48b01e-a672-4013-bae3-83cd6d0b6a3f) ) - (wire (pts (xy 234.95 62.23) (xy 238.76 62.23)) + (wire (pts (xy 93.98 124.46) (xy 95.25 124.46)) (stroke (width 0) (type default)) - (uuid cd18e154-7b02-4a94-aa5b-f1eda253657f) + (uuid cd91f209-0b29-4191-bb97-c645c8c82c38) ) - (wire (pts (xy 93.98 170.18) (xy 95.25 170.18)) + (wire (pts (xy 156.21 132.08) (xy 162.56 132.08)) (stroke (width 0) (type default)) - (uuid cd91f209-0b29-4191-bb97-c645c8c82c38) + (uuid cebe48e3-81be-4e63-897a-e95292599528) ) - (wire (pts (xy 30.48 194.31) (xy 31.75 194.31)) + (wire (pts (xy 30.48 118.11) (xy 31.75 118.11)) (stroke (width 0) (type default)) (uuid cf531c7a-9422-46a5-b09e-cafdb1a87cfc) ) - (wire (pts (xy 36.83 130.81) (xy 39.37 130.81)) + (wire (pts (xy 113.03 175.26) (xy 111.76 175.26)) (stroke (width 0) (type default)) - (uuid d0762576-045a-492f-9090-adc3cb816ec8) + (uuid cfd139d0-52c8-47fa-85ee-ea0a5e816705) ) - (wire (pts (xy 101.6 60.96) (xy 101.6 67.31)) + (wire (pts (xy 36.83 80.01) (xy 39.37 80.01)) (stroke (width 0) (type default)) - (uuid d1a34577-2d10-4b05-9b21-5ac0ac5f8ea2) + (uuid d0762576-045a-492f-9090-adc3cb816ec8) ) (wire (pts (xy 54.61 67.31) (xy 58.42 67.31)) (stroke (width 0) (type default)) @@ -2550,10 +2540,18 @@ (stroke (width 0) (type default)) (uuid d26d783e-7a35-42fb-afd6-1911dacfe9ef) ) + (wire (pts (xy 36.83 168.91) (xy 39.37 168.91)) + (stroke (width 0) (type default)) + (uuid d29bf7c2-dfbd-49bd-a8a4-61718c9ce195) + ) (wire (pts (xy 105.41 29.21) (xy 101.6 29.21)) (stroke (width 0) (type default)) (uuid d2f19438-9023-4f21-be5b-c82b5162f918) ) + (wire (pts (xy 120.65 181.61) (xy 118.11 181.61)) + (stroke (width 0) (type default)) + (uuid d2f2df35-9ebb-4f80-a154-9849f579806a) + ) (wire (pts (xy 242.57 125.73) (xy 238.76 125.73)) (stroke (width 0) (type default)) (uuid d2fa48ab-ee88-4cde-a5df-0d6ee75b53bc) @@ -2562,19 +2560,15 @@ (stroke (width 0) (type default)) (uuid d2fd162d-79c3-4e2d-808b-07db1db2901d) ) - (wire (pts (xy 180.34 76.2) (xy 180.34 82.55)) - (stroke (width 0) (type default)) - (uuid d30025ab-73f8-444e-b4bd-d5226d69fdb0) - ) - (wire (pts (xy 116.84 92.71) (xy 119.38 92.71)) + (wire (pts (xy 86.36 48.26) (xy 83.82 48.26)) (stroke (width 0) (type default)) (uuid d34b7817-5bb5-4741-b200-d820209954b7) ) - (wire (pts (xy 91.44 67.31) (xy 92.71 67.31)) + (wire (pts (xy 30.48 149.86) (xy 31.75 149.86)) (stroke (width 0) (type default)) (uuid d3fc4725-7744-4b3a-b1d6-ab1a7182561c) ) - (wire (pts (xy 22.86 194.31) (xy 25.4 194.31)) + (wire (pts (xy 22.86 118.11) (xy 25.4 118.11)) (stroke (width 0) (type default)) (uuid d507e593-e17a-4457-98c3-806baf561afb) ) @@ -2590,6 +2584,18 @@ (stroke (width 0) (type default)) (uuid d60d57a1-718c-4ffc-bbe4-9af7a193c28b) ) + (wire (pts (xy 154.94 41.91) (xy 151.13 41.91)) + (stroke (width 0) (type default)) + (uuid d6180c0a-439d-4b4c-b543-85d3013619e9) + ) + (wire (pts (xy 101.6 41.91) (xy 101.6 35.56)) + (stroke (width 0) (type default)) + (uuid d793989d-7e19-4877-b0f4-001182e69af3) + ) + (wire (pts (xy 100.33 187.96) (xy 102.87 187.96)) + (stroke (width 0) (type default)) + (uuid d8490cce-67a2-4440-831f-1da882c87f98) + ) (wire (pts (xy 242.57 55.88) (xy 238.76 55.88)) (stroke (width 0) (type default)) (uuid d85ed33f-2219-4aa0-b9f2-41f38a4ab001) @@ -2598,27 +2604,52 @@ (stroke (width 0) (type default)) (uuid d8a1e140-c7d2-4138-a434-79d25eab08b6) ) - (wire (pts (xy 30.48 35.56) (xy 31.75 35.56)) + (wire (pts (xy 151.13 60.96) (xy 151.13 67.31)) + (stroke (width 0) (type default)) + (uuid d97d4e87-c06c-404f-bd37-1df3e2d9c0ce) + ) + (wire (pts (xy 120.65 162.56) (xy 118.11 162.56)) + (stroke (width 0) (type default)) + (uuid d9987905-c5bb-469a-8bba-ca4c16ec9337) + ) + (wire (pts (xy 156.21 107.95) (xy 162.56 107.95)) + (stroke (width 0) (type default)) + (uuid d9e44cbd-8bd8-4fcd-bc08-42e6c58762d7) + ) + (wire (pts (xy 30.48 29.21) (xy 31.75 29.21)) (stroke (width 0) (type default)) (uuid d9edc15c-6277-4c48-b905-34c3146a66e8) ) + (wire (pts (xy 184.15 129.54) (xy 180.34 129.54)) + (stroke (width 0) (type default)) + (uuid dade6820-3ce0-4aaf-8a5b-1d6fa8b7b4b2) + ) (wire (pts (xy 58.42 187.96) (xy 54.61 187.96)) (stroke (width 0) (type default)) (uuid db3a3295-adec-41a4-b321-ca17b907c511) ) - (wire (pts (xy 39.37 118.11) (xy 39.37 124.46)) + (polyline (pts (xy 161.29 152.4) (xy 161.29 97.79)) + (stroke (width 0.5) (type dot)) + (uuid db8adef1-9fd6-4b06-9f54-b167acf1ef54) + ) + + (wire (pts (xy 234.95 55.88) (xy 238.76 55.88)) (stroke (width 0) (type default)) - (uuid dc0c429b-1a26-4814-a82d-1cb986e570ff) + (uuid dc33a6d1-45ca-4dad-8bb1-300c98c1488b) ) - (wire (pts (xy 22.86 60.96) (xy 25.4 60.96)) + (wire (pts (xy 102.87 149.86) (xy 102.87 156.21)) (stroke (width 0) (type default)) - (uuid dc292753-341d-4f15-ab6f-662cec2a888b) + (uuid dc5cc847-03a2-4c0f-b468-9ed1740b5261) ) - (wire (pts (xy 234.95 55.88) (xy 238.76 55.88)) + (wire (pts (xy 100.33 181.61) (xy 102.87 181.61)) (stroke (width 0) (type default)) - (uuid dc33a6d1-45ca-4dad-8bb1-300c98c1488b) + (uuid dc8c6b78-c143-4576-9506-be23795358ab) + ) + (wire (pts (xy 100.33 168.91) (xy 102.87 168.91)) + (stroke (width 0) (type default)) + (uuid de3b4e54-5f37-4595-a3de-9b4ecdbb66d1) ) - (wire (pts (xy 158.75 63.5) (xy 163.83 63.5)) + (wire (pts (xy 119.38 86.36) (xy 116.84 86.36)) (stroke (width 0) (type default)) (uuid de3e0b90-eda6-4d20-ac9d-e725295dfc11) ) @@ -2630,10 +2661,6 @@ (stroke (width 0) (type default)) (uuid df7d5739-8e2a-469c-821d-d1e832eb8b50) ) - (wire (pts (xy 22.86 86.36) (xy 25.4 86.36)) - (stroke (width 0) (type default)) - (uuid dfc3b3a3-bae2-4448-a084-80e5408e83d2) - ) (wire (pts (xy 48.26 35.56) (xy 49.53 35.56)) (stroke (width 0) (type default)) (uuid e04faf05-1652-4275-aed2-7c1a95d43129) @@ -2646,11 +2673,15 @@ (stroke (width 0) (type default)) (uuid e09df1d4-a999-4516-b98f-4b292de15c8a) ) - (wire (pts (xy 22.86 99.06) (xy 25.4 99.06)) + (wire (pts (xy 36.83 137.16) (xy 39.37 137.16)) + (stroke (width 0) (type default)) + (uuid e0bef901-b3f0-4bb4-8609-e75bc737f356) + ) + (wire (pts (xy 22.86 54.61) (xy 25.4 54.61)) (stroke (width 0) (type default)) (uuid e0cfe7b1-b2c1-48c7-b784-45eb786b5825) ) - (wire (pts (xy 102.87 157.48) (xy 100.33 157.48)) + (wire (pts (xy 102.87 118.11) (xy 100.33 118.11)) (stroke (width 0) (type default)) (uuid e1784fd6-6fde-49c7-9638-afeb00840204) ) @@ -2658,6 +2689,10 @@ (stroke (width 0) (type default)) (uuid e212227c-829c-421a-a6ba-fe38ac03e35d) ) + (wire (pts (xy 106.68 175.26) (xy 102.87 175.26)) + (stroke (width 0) (type default)) + (uuid e2b57d70-c209-4a05-b680-fab85f64eecf) + ) (wire (pts (xy 234.95 43.18) (xy 238.76 43.18)) (stroke (width 0) (type default)) (uuid e3961be7-24b8-48c4-afb9-b5f8c2b7d995) @@ -2670,10 +2705,6 @@ (stroke (width 0) (type default)) (uuid e3defcb2-d679-452d-bded-47f43ec5805a) ) - (wire (pts (xy 36.83 80.01) (xy 39.37 80.01)) - (stroke (width 0) (type default)) - (uuid e416e720-bf1c-45db-b635-dbdc12c5af11) - ) (wire (pts (xy 48.26 29.21) (xy 49.53 29.21)) (stroke (width 0) (type default)) (uuid e443f3fc-33a1-4a47-87a8-77396ad2bf0f) @@ -2682,7 +2713,7 @@ (stroke (width 0) (type default)) (uuid e4763889-8487-4e26-ac18-8c5db6661ab8) ) - (wire (pts (xy 91.44 35.56) (xy 92.71 35.56)) + (wire (pts (xy 30.48 124.46) (xy 31.75 124.46)) (stroke (width 0) (type default)) (uuid e4e16ee2-4d7f-4c05-b4e9-21a439318761) ) @@ -2690,15 +2721,7 @@ (stroke (width 0) (type default)) (uuid e4f96e08-7a98-46f8-9a5d-b82d5765a18d) ) - (wire (pts (xy 97.79 92.71) (xy 101.6 92.71)) - (stroke (width 0) (type default)) - (uuid e4fab2e9-890c-48b6-a63d-f4e072a1d278) - ) - (wire (pts (xy 97.79 35.56) (xy 101.6 35.56)) - (stroke (width 0) (type default)) - (uuid e585ffc7-76ca-4ac6-8d30-f66a0adb57e5) - ) - (wire (pts (xy 106.68 189.23) (xy 102.87 189.23)) + (wire (pts (xy 106.68 130.81) (xy 102.87 130.81)) (stroke (width 0) (type default)) (uuid e5940831-967b-4421-ba09-5d8b22e0b668) ) @@ -2706,18 +2729,18 @@ (stroke (width 0) (type default)) (uuid e5a1258d-b679-41dc-9790-1fe5051b5302) ) - (wire (pts (xy 120.65 195.58) (xy 118.11 195.58)) + (wire (pts (xy 120.65 137.16) (xy 118.11 137.16)) (stroke (width 0) (type default)) (uuid e637bd97-a3b0-4194-970e-76061754881c) ) - (wire (pts (xy 30.48 67.31) (xy 31.75 67.31)) - (stroke (width 0) (type default)) - (uuid e674d575-843d-4fec-b5a6-af62d88f6b00) - ) (wire (pts (xy 251.46 87.63) (xy 247.65 87.63)) (stroke (width 0) (type default)) (uuid e6bf2ff1-b04c-4125-9e58-5b15b159f6d5) ) + (wire (pts (xy 156.21 105.41) (xy 162.56 105.41)) + (stroke (width 0) (type default)) + (uuid e6d8aacf-cc5d-40db-8f5b-7d87476ac15c) + ) (wire (pts (xy 226.06 68.58) (xy 229.87 68.58)) (stroke (width 0) (type default)) (uuid e7042281-223b-43bb-bba6-b790e3df7d96) @@ -2726,11 +2749,7 @@ (stroke (width 0) (type default)) (uuid e7e740d2-f0cc-4756-944a-bbc2c24cc2bd) ) - (wire (pts (xy 39.37 130.81) (xy 39.37 137.16)) - (stroke (width 0) (type default)) - (uuid e928876a-8504-4ba7-a66b-ac16ecd64041) - ) - (wire (pts (xy 22.86 29.21) (xy 25.4 29.21)) + (wire (pts (xy 22.86 22.86) (xy 25.4 22.86)) (stroke (width 0) (type default)) (uuid e96e5981-421c-49c6-92fa-a7a93f82183b) ) @@ -2738,19 +2757,11 @@ (stroke (width 0) (type default)) (uuid e9b5bd02-827c-40e7-9951-345ade9b86d9) ) - (wire (pts (xy 110.49 73.66) (xy 111.76 73.66)) - (stroke (width 0) (type default)) - (uuid e9bbed0f-4547-4ad8-acda-f7cef0ebaf6f) - ) - (wire (pts (xy 83.82 99.06) (xy 86.36 99.06)) + (wire (pts (xy 22.86 181.61) (xy 25.4 181.61)) (stroke (width 0) (type default)) (uuid ea0b1db5-c8f4-4c81-a41b-9d9ddbc84d88) ) - (wire (pts (xy 36.83 73.66) (xy 39.37 73.66)) - (stroke (width 0) (type default)) - (uuid ea1aa697-5c08-414e-8d8d-9a50ce1580da) - ) - (wire (pts (xy 86.36 182.88) (xy 88.9 182.88)) + (wire (pts (xy 86.36 137.16) (xy 88.9 137.16)) (stroke (width 0) (type default)) (uuid ea87f678-1541-4e70-a301-100e6479664d) ) @@ -2758,20 +2769,36 @@ (stroke (width 0) (type default)) (uuid eaf0def0-77a1-40e5-bee4-451dd97dc891) ) + (wire (pts (xy 161.29 60.96) (xy 160.02 60.96)) + (stroke (width 0) (type default)) + (uuid eb0a456f-3523-4bbc-b885-4fada6345158) + ) + (wire (pts (xy 184.15 132.08) (xy 180.34 132.08)) + (stroke (width 0) (type default)) + (uuid eb28100b-fd5d-421a-a284-03a45d651b55) + ) (wire (pts (xy 261.62 87.63) (xy 256.54 87.63)) (stroke (width 0) (type default)) (uuid ebab5559-4bf5-444e-aec7-03d3fda2600e) ) - (polyline (pts (xy 193.04 165.1) (xy 193.04 12.7)) + (wire (pts (xy 161.29 54.61) (xy 160.02 54.61)) + (stroke (width 0) (type default)) + (uuid ebdef9c8-b3c4-4895-b30d-73f7e9d81726) + ) + (polyline (pts (xy 193.04 90.17) (xy 193.04 12.7)) (stroke (width 0.3) (type dash)) (uuid ebfe480c-925b-45b6-bfd9-a956b3c1c20c) ) - (wire (pts (xy 180.34 69.85) (xy 180.34 76.2)) + (wire (pts (xy 120.65 187.96) (xy 118.11 187.96)) (stroke (width 0) (type default)) - (uuid ed1824d9-af2e-462a-8cae-ab572193d67d) + (uuid ecadce22-9bfb-473b-bfc6-c8e294a453b4) ) - (wire (pts (xy 83.82 86.36) (xy 86.36 86.36)) + (wire (pts (xy 36.83 162.56) (xy 39.37 162.56)) + (stroke (width 0) (type default)) + (uuid edfc0ce0-4ad5-4ff0-afd5-1bb16a5bbf77) + ) + (wire (pts (xy 22.86 168.91) (xy 25.4 168.91)) (stroke (width 0) (type default)) (uuid ee04ea11-28d6-4baa-83b7-7c6e4318cf68) ) @@ -2779,35 +2806,51 @@ (stroke (width 0) (type default)) (uuid ee7254e0-4080-4335-9d0d-fd0c4f004f96) ) - (wire (pts (xy 83.82 92.71) (xy 86.36 92.71)) + (wire (pts (xy 85.09 149.86) (xy 87.63 149.86)) + (stroke (width 0) (type default)) + (uuid eeb92df2-8dc4-49a8-be34-0818b3e93cc4) + ) + (wire (pts (xy 168.91 67.31) (xy 166.37 67.31)) + (stroke (width 0) (type default)) + (uuid ef0bd771-4a58-4732-b849-568657a8f416) + ) + (wire (pts (xy 22.86 175.26) (xy 25.4 175.26)) (stroke (width 0) (type default)) (uuid ef24cfda-066f-4c04-95fd-ab9c6704fed6) ) + (wire (pts (xy 25.4 41.91) (xy 22.86 41.91)) + (stroke (width 0) (type default)) + (uuid f0bff657-d070-4e7b-994d-61b9bafb41e7) + ) (wire (pts (xy 48.26 60.96) (xy 49.53 60.96)) (stroke (width 0) (type default)) (uuid f0e8a2ba-4951-49bb-8594-688532ee991b) ) - (wire (pts (xy 86.36 163.83) (xy 88.9 163.83)) + (wire (pts (xy 242.57 68.58) (xy 238.76 68.58)) (stroke (width 0) (type default)) - (uuid f174d807-f7b0-4c4d-a902-3d256ed522b7) + (uuid f1a90402-18eb-4d2d-be14-90c422deab23) ) - (wire (pts (xy 102.87 176.53) (xy 102.87 182.88)) + (wire (pts (xy 156.21 139.7) (xy 162.56 139.7)) (stroke (width 0) (type default)) - (uuid f190d060-9199-4029-bfe1-3b2c0d2bb4a8) + (uuid f1a9a1f4-85ba-409d-ad52-5e9e17114d5f) ) - (wire (pts (xy 242.57 68.58) (xy 238.76 68.58)) + (wire (pts (xy 97.79 35.56) (xy 101.6 35.56)) (stroke (width 0) (type default)) - (uuid f1a90402-18eb-4d2d-be14-90c422deab23) + (uuid f1af44b6-1eba-44de-b72e-96b2b1b5a036) + ) + (wire (pts (xy 156.21 129.54) (xy 162.56 129.54)) + (stroke (width 0) (type default)) + (uuid f2279b23-765e-4c00-9645-1be9a045511e) ) (wire (pts (xy 234.95 113.03) (xy 238.76 113.03)) (stroke (width 0) (type default)) (uuid f231f4f7-8b5b-46a4-8d51-b53053fb4656) ) - (wire (pts (xy 116.84 73.66) (xy 119.38 73.66)) + (wire (pts (xy 156.21 147.32) (xy 162.56 147.32)) (stroke (width 0) (type default)) - (uuid f23dec23-0c2b-4513-a708-c40ea83074a3) + (uuid f251284a-2c93-4b7e-a9d5-0b1720db1afa) ) - (wire (pts (xy 102.87 144.78) (xy 102.87 151.13)) + (wire (pts (xy 102.87 105.41) (xy 102.87 111.76)) (stroke (width 0) (type default)) (uuid f27b5c1c-f1b2-4345-aeb1-219339dab640) ) @@ -2815,14 +2858,22 @@ (stroke (width 0) (type default)) (uuid f29e0f2c-0423-4c48-af0c-f214dfaff56e) ) - (wire (pts (xy 31.75 143.51) (xy 30.48 143.51)) + (wire (pts (xy 95.25 175.26) (xy 92.71 175.26)) (stroke (width 0) (type default)) - (uuid f31879ff-9f9d-484a-9ad4-1e8cc617b360) + (uuid f2cdba0b-9e1c-4bfe-974a-ddb8b5cb372d) ) - (wire (pts (xy 86.36 189.23) (xy 88.9 189.23)) + (wire (pts (xy 184.15 110.49) (xy 180.34 110.49)) + (stroke (width 0) (type default)) + (uuid f3334a84-c7bb-4b8c-8933-b1aa179d4089) + ) + (wire (pts (xy 86.36 143.51) (xy 88.9 143.51)) (stroke (width 0) (type default)) (uuid f39f5683-1997-4170-ba13-28ffd3d60f03) ) + (wire (pts (xy 85.09 194.31) (xy 87.63 194.31)) + (stroke (width 0) (type default)) + (uuid f3d90380-c9b3-4f07-8ba4-8c99963aa877) + ) (wire (pts (xy 238.76 93.98) (xy 238.76 100.33)) (stroke (width 0) (type default)) (uuid f41d5363-a552-4769-9fa4-5f5f42329b87) @@ -2831,7 +2882,7 @@ (stroke (width 0) (type default)) (uuid f4334787-e8d9-409b-bcc0-8ce3da9d9f53) ) - (wire (pts (xy 176.53 82.55) (xy 180.34 82.55)) + (wire (pts (xy 105.41 67.31) (xy 101.6 67.31)) (stroke (width 0) (type default)) (uuid f45e214f-cf0a-4280-8954-c6ab700120aa) ) @@ -2839,19 +2890,27 @@ (stroke (width 0) (type default)) (uuid f48785d2-e9f4-424e-bb9f-cb34bddb216a) ) - (wire (pts (xy 30.48 73.66) (xy 31.75 73.66)) - (stroke (width 0) (type default)) - (uuid f50e8cf7-f5f0-4dde-98bf-044e02228cb0) - ) (wire (pts (xy 111.76 29.21) (xy 110.49 29.21)) (stroke (width 0) (type default)) (uuid f5d60145-3017-4d64-9c4f-525a5e0f2ca9) ) - (wire (pts (xy 101.6 118.11) (xy 105.41 118.11)) + (wire (pts (xy 184.15 147.32) (xy 180.34 147.32)) + (stroke (width 0) (type default)) + (uuid f6f80373-b141-4238-b678-3f10a3667c8c) + ) + (wire (pts (xy 184.15 134.62) (xy 180.34 134.62)) + (stroke (width 0) (type default)) + (uuid f701ab13-5b9b-4c41-96ec-5e8196f56e7e) + ) + (wire (pts (xy 168.91 48.26) (xy 166.37 48.26)) (stroke (width 0) (type default)) - (uuid f5f9d1b8-120a-4d85-9d1b-0bdcd8a1423c) + (uuid f74e0cf9-c869-4fd9-a78e-dceea532f18c) ) - (wire (pts (xy 158.75 38.1) (xy 163.83 38.1)) + (wire (pts (xy 100.33 194.31) (xy 102.87 194.31)) + (stroke (width 0) (type default)) + (uuid f8b60b15-2b38-45e2-b8fe-829348b67999) + ) + (wire (pts (xy 83.82 80.01) (xy 86.36 80.01)) (stroke (width 0) (type default)) (uuid f914ebb3-95ca-4933-8b5c-d85aef696848) ) @@ -2859,17 +2918,17 @@ (stroke (width 0) (type default)) (uuid f92b3467-5e9c-42c5-a9f8-b5ba0e715706) ) - (wire (pts (xy 91.44 60.96) (xy 92.71 60.96)) + (wire (pts (xy 30.48 143.51) (xy 31.75 143.51)) (stroke (width 0) (type default)) (uuid f93c0f41-b19e-43dd-96d5-939196fc1e60) ) - (wire (pts (xy 113.03 144.78) (xy 111.76 144.78)) + (wire (pts (xy 113.03 105.41) (xy 111.76 105.41)) (stroke (width 0) (type default)) (uuid f98b9a59-05a9-4fed-8866-486d93497092) ) - (wire (pts (xy 101.6 41.91) (xy 101.6 48.26)) + (wire (pts (xy 161.29 29.21) (xy 160.02 29.21)) (stroke (width 0) (type default)) - (uuid f9f032c0-e429-414e-8cb0-bb7e49d4701c) + (uuid f9a0e5de-a64b-4749-b8d2-0efe3b1c018b) ) (wire (pts (xy 48.26 105.41) (xy 49.53 105.41)) (stroke (width 0) (type default)) @@ -2879,27 +2938,27 @@ (stroke (width 0) (type default)) (uuid fa1cffdc-66c2-4d4a-83b9-b80bdd663d4e) ) - (wire (pts (xy 168.91 50.8) (xy 171.45 50.8)) + (wire (pts (xy 91.44 92.71) (xy 92.71 92.71)) (stroke (width 0) (type default)) (uuid faf01af0-ea98-4b30-97d4-af18beef6c7a) ) - (wire (pts (xy 106.68 163.83) (xy 102.87 163.83)) + (wire (pts (xy 151.13 74.93) (xy 151.13 73.66)) (stroke (width 0) (type default)) - (uuid fb0d86df-d75f-4041-b9eb-37b2177ef8c9) + (uuid fbad2709-b995-4fb4-a0fb-ffe222a8cadc) ) - (wire (pts (xy 83.82 35.56) (xy 86.36 35.56)) + (wire (pts (xy 22.86 124.46) (xy 25.4 124.46)) (stroke (width 0) (type default)) (uuid fc0e74f6-46a9-4f2e-aff5-ecf1224eacaa) ) - (wire (pts (xy 22.86 73.66) (xy 25.4 73.66)) - (stroke (width 0) (type default)) - (uuid fc242f61-3fe4-445f-90f3-4396727ea67b) - ) (wire (pts (xy 54.61 111.76) (xy 58.42 111.76)) (stroke (width 0) (type default)) (uuid fc7db876-944e-40e4-8d08-85748f0439bf) ) - (wire (pts (xy 171.45 44.45) (xy 168.91 44.45)) + (wire (pts (xy 168.91 29.21) (xy 166.37 29.21)) + (stroke (width 0) (type default)) + (uuid fccbec97-7d64-4a50-8288-0107389a2b53) + ) + (wire (pts (xy 92.71 86.36) (xy 91.44 86.36)) (stroke (width 0) (type default)) (uuid fd25523a-7ce7-406b-aee3-e85d7319ebcc) ) @@ -2911,44 +2970,44 @@ (stroke (width 0) (type default)) (uuid fdb23da6-b97a-4bc3-8e1a-a74cbb0e7328) ) - (wire (pts (xy 83.82 124.46) (xy 86.36 124.46)) - (stroke (width 0) (type default)) - (uuid fdc738c1-1d95-4085-8f4c-e1510a59c008) - ) - (wire (pts (xy 39.37 149.86) (xy 39.37 156.21)) - (stroke (width 0) (type default)) - (uuid fe03bb7d-afe0-49f6-bfd3-aea72c37839b) - ) (wire (pts (xy 91.44 29.21) (xy 92.71 29.21)) (stroke (width 0) (type default)) (uuid fe1d504c-4d1b-4d03-a011-ed54a0353068) ) - (wire (pts (xy 171.45 38.1) (xy 168.91 38.1)) + (wire (pts (xy 92.71 80.01) (xy 91.44 80.01)) (stroke (width 0) (type default)) (uuid fe538bae-66d1-404e-be6e-0fbbb9b73b96) ) - (wire (pts (xy 101.6 73.66) (xy 101.6 80.01)) + (wire (pts (xy 39.37 105.41) (xy 39.37 111.76)) (stroke (width 0) (type default)) - (uuid ff10850c-18fc-42af-be5e-55f47bd7f8fb) + (uuid fe69bcf3-c752-40aa-915f-02c7cdf8bf1c) ) - (wire (pts (xy 83.82 73.66) (xy 86.36 73.66)) + (wire (pts (xy 22.86 156.21) (xy 25.4 156.21)) (stroke (width 0) (type default)) (uuid ff3e2495-7d9f-49b8-82c8-1df78851c08b) ) - (wire (pts (xy 113.03 189.23) (xy 111.76 189.23)) + (wire (pts (xy 30.48 48.26) (xy 31.75 48.26)) + (stroke (width 0) (type default)) + (uuid ff639368-dd61-4496-89a0-df4ae44b7770) + ) + (wire (pts (xy 113.03 130.81) (xy 111.76 130.81)) (stroke (width 0) (type default)) (uuid ffb3f055-300c-4a09-8556-35dc8dbe4f36) ) - (text "PWM LEDs" (at 246.38 22.86 0) + (text "Measurement only: Never use jumpers" (at 161.29 154.94 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1ee3deae-aacc-44a8-8bf8-7acbfb1b508e) + ) + (text "Hardware Error Detection" (at 185.42 24.13 0) (effects (font (size 2 2) (thickness 1) bold) (justify right bottom)) - (uuid 75f11b91-4a18-45bc-90d3-80b9383040d2) + (uuid 5e235890-dec2-44ac-811e-9ea4f6ee343e) ) - (text "GPIO - Mux Selection" (at 182.88 21.59 0) + (text "PWM LEDs" (at 246.38 22.86 0) (effects (font (size 2 2) (thickness 1) bold) (justify right bottom)) - (uuid b8752685-67c3-464e-b0cb-6e35351516a5) + (uuid 75f11b91-4a18-45bc-90d3-80b9383040d2) ) - (text "Error LEDs " (at 97.79 138.43 0) + (text "Error LEDs " (at 91.44 101.6 0) (effects (font (size 2 2) (thickness 1) bold) (justify right bottom)) (uuid d3cfb682-8d62-4b0c-8b42-902f900458d4) ) @@ -2957,24 +3016,24 @@ (uuid f95de614-6822-4b24-9fcb-a979f526d18a) ) - (global_label "GPIO167" (shape input) (at 251.46 156.21 180) (fields_autoplaced) + (global_label "GPIO167" (shape input) (at 156.21 144.78 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 002cf4b9-3fc7-4703-9ce4-c5154e958a9e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 240.371 156.21 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 144.78 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO121" (shape output) (at 119.38 105.41 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) + (global_label "GPIO121" (shape output) (at 83.82 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 00746473-17b7-4b79-857d-5fbd1c042cd0) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 105.41 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 60.96 0) + (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO164" (shape input) (at 251.46 148.59 180) (fields_autoplaced) + (global_label "GPIO164" (shape input) (at 156.21 137.16 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 017509af-1a6e-4aa8-84a7-bcba969296b7) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 240.371 148.59 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 137.16 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -2985,10 +3044,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO163" (shape input) (at 251.46 146.05 180) (fields_autoplaced) + (global_label "GPIO163" (shape input) (at 156.21 134.62 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 0280d8bf-f352-4b28-866f-4039d21b2096) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 240.371 146.05 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 134.62 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -2999,17 +3058,31 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO148" (shape input) (at 210.82 148.59 180) (fields_autoplaced) + (global_label "GPIO1" (shape output) (at 85.09 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0528affd-2583-4dd2-94d1-fa3bf127be0d) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.42 149.86 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GPIO22" (shape output) (at 85.09 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0747c560-ee08-47c0-b337-aaf6d87eb4b8) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.2105 175.26 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GPIO148" (shape input) (at 156.21 110.49 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 08c3804c-1a1d-4ecc-948d-bf504abec944) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 199.731 148.59 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 110.49 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO130" (shape output) (at 158.75 50.8 180) (fields_autoplaced) + (global_label "GPIO130" (shape output) (at 83.82 92.71 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 0999334a-1d08-4fa3-95d3-f9b64500cc15) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 147.661 50.8 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 92.71 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3020,20 +3093,6 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO22" (shape output) (at 22.86 80.01 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 0daf418a-f5d5-4575-b8a5-7b27877d70b5) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 80.01 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO16" (shape output) (at 22.86 54.61 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 0fdb0969-6bce-496f-bd8e-64379a256ed3) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 54.61 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) (global_label "GPIO138" (shape output) (at 215.9 36.83 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 10c3392a-8e06-451c-bb1e-ed707d56771e) @@ -3041,31 +3100,17 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO118" (shape output) (at 83.82 118.11 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 120e8543-4125-4062-9b6c-add7b84034d9) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 118.11 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (global_label "GPIO108" (shape output) (at 120.65 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1319c40d-4db9-425d-ad51-d5cd2dacd680) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 149.86 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO72" (shape output) (at 83.82 60.96 180) (fields_autoplaced) + (global_label "GPIO72" (shape output) (at 22.86 143.51 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 1521341d-4496-4853-b4be-4881768dbc74) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 60.96 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO70" (shape output) (at 83.82 48.26 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 1535767e-6db8-4fa9-b4e6-d79a3f3f7d14) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 48.26 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO130" (shape output) (at 156.21 96.52 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 15f9a73f-594c-41c0-986d-f524a609729a) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 96.52 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 143.51 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3076,10 +3121,10 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO83" (shape output) (at 22.86 194.31 180) (fields_autoplaced) + (global_label "GPIO83" (shape output) (at 22.86 118.11 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 17cfc046-e2d2-4269-8383-6d99d059d8ba) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 194.31 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 118.11 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3090,18 +3135,32 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO117" (shape output) (at 83.82 111.76 180) (fields_autoplaced) + (global_label "GPIO109" (shape output) (at 120.65 156.21 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1b90f71e-5054-4f7d-9f54-10c7e043625b) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 156.21 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GPIO100" (shape output) (at 120.65 168.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1dc7a091-2804-4746-8f10-39c72c173551) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 168.91 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GPIO19" (shape output) (at 85.09 168.91 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) - (uuid 1dc2e1da-9d51-4a42-ab6a-f841b8da1963) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 111.76 0) + (uuid 1ec00d6c-3e21-48a7-9fff-39e0123cb052) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.2105 168.91 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO120" (shape output) (at 119.38 99.06 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) + (global_label "GPIO120" (shape output) (at 83.82 54.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 24000b85-37ab-4462-8e41-a41fe867851e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 99.06 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 54.61 0) + (effects (font (size 1.27 1.27)) (justify right) hide) ) ) (global_label "GPIO137" (shape output) (at 215.9 30.48 180) (fields_autoplaced) @@ -3111,24 +3170,17 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO166" (shape input) (at 251.46 153.67 180) (fields_autoplaced) + (global_label "GPIO166" (shape input) (at 156.21 142.24 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 24d155ed-4e5f-4dfb-b47a-c8b2418ea7a3) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 240.371 153.67 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 142.24 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO71" (shape output) (at 83.82 54.61 180) (fields_autoplaced) + (global_label "GPIO71" (shape output) (at 22.86 137.16 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 269b6d64-12f2-40b1-b039-c0bb6629b76f) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 54.61 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO48" (shape output) (at 83.82 124.46 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 26c8e5a2-689c-4367-b877-41cf0453bd3a) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 124.46 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 137.16 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3139,34 +3191,20 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO19" (shape output) (at 22.86 60.96 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 281e3ee2-a920-4375-a553-b4683707b1d8) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 60.96 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO161" (shape input) (at 251.46 140.97 180) (fields_autoplaced) + (global_label "GPIO161" (shape input) (at 156.21 129.54 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 289f9e1f-988e-4cad-b949-27638d7e06ec) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 240.371 140.97 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 129.54 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO29" (shape output) (at 22.86 99.06 180) (fields_autoplaced) + (global_label "GPIO29" (shape output) (at 22.86 54.61 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 2a2dc468-7dc0-4aed-84bf-399e02567f81) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 99.06 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 54.61 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux2_A1" (shape input) (at 175.26 99.06 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 2bbac006-3ea6-410f-9e0e-c83f6823c986) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.3489 99.06 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) (global_label "GPIO86" (shape output) (at 119.38 35.56 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 2bff6450-dff9-46ee-ba8f-935059a29e24) @@ -3174,17 +3212,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "Mux1_A1" (shape input) (at 175.26 106.68 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 2fb0a0d9-5d92-47e0-80dd-1f0d6c411f73) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.3489 106.68 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO80" (shape output) (at 83.82 92.71 180) (fields_autoplaced) + (global_label "GPIO80" (shape output) (at 22.86 175.26 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 2ff72288-162d-47e2-8b86-d73dfa6d77aa) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 92.71 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 175.26 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3195,13 +3226,6 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO33" (shape output) (at 22.86 124.46 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 31a89f34-1bda-486f-9482-259b382e5f77) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 124.46 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) (global_label "GPIO54" (shape output) (at 58.42 111.76 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 31c66e08-7136-4be9-9db8-2e1cf8a2e413) @@ -3223,25 +3247,18 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO1" (shape output) (at 22.86 22.86 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 36f9f4d0-35f9-4306-ba8f-3a694b04f0a4) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 14.19 22.86 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO128" (shape output) (at 156.21 99.06 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 3a047269-6dac-4f7f-8428-44543d42b527) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 99.06 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (global_label "GPIO110" (shape output) (at 120.65 162.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3a3fe89a-9c73-4993-9711-41dd2eea0717) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 162.56 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO55" (shape output) (at 156.21 88.9 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 3b89e460-f825-4692-b789-8bbbcf607577) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 146.3305 88.9 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (global_label "GPIO70" (shape output) (at 120.65 187.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3ac5ea7e-0e0f-4868-8010-dfe2ca3b8818) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 187.96 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) (global_label "GPIO162" (shape output) (at 261.62 87.63 0) (fields_autoplaced) @@ -3251,6 +3268,13 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) + (global_label "GPIO12" (shape output) (at 85.09 156.21 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3d177b12-606b-468a-a413-56b69c0f72cc) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.2105 156.21 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) (global_label "GPIO124" (shape output) (at 58.42 168.91 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 3da19fe3-611b-4838-95c2-044b5425e1a1) @@ -3258,10 +3282,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO144" (shape input) (at 269.24 158.75 0) (fields_autoplaced) + (global_label "GPIO144" (shape input) (at 184.15 147.32 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 3fb2712e-1f2a-49da-86c1-6443beff66be) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 280.329 158.75 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 147.32 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -3286,24 +3310,17 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux1_A2" (shape input) (at 175.26 109.22 0) (fields_autoplaced) + (global_label "GPIO14" (shape output) (at 168.91 54.61 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid 4305056f-8b5f-4935-8d26-327928fe3736) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.3489 109.22 0) + (uuid 43311f8d-9cce-4380-bcb0-cd0f7480b838) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 178.7895 54.61 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO15" (shape output) (at 86.36 163.83 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 43dbd198-6ff0-4107-ae74-506933afa8bb) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 163.83 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO101" (shape output) (at 120.65 182.88 0) (fields_autoplaced) + (global_label "GPIO101" (shape output) (at 120.65 124.46 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 44f8c931-1072-4a00-8713-25aa8c97b48b) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 182.88 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 124.46 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -3321,10 +3338,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO8" (shape output) (at 22.86 29.21 180) (fields_autoplaced) + (global_label "GPIO8" (shape output) (at 22.86 22.86 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 4703ec34-3224-43ee-8ee3-b80309aa0514) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 14.19 29.21 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 14.19 22.86 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3335,10 +3352,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO141" (shape input) (at 269.24 151.13 0) (fields_autoplaced) + (global_label "GPIO141" (shape input) (at 184.15 139.7 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 48300cbf-403c-46eb-81d6-24fb6a3c333c) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 280.329 151.13 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 139.7 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -3356,24 +3373,24 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO122" (shape output) (at 119.38 111.76 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) + (global_label "GPIO122" (shape output) (at 83.82 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 4d52fa9b-d1c9-4be9-ad60-0d5460f80892) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 111.76 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 67.31 0) + (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO139" (shape input) (at 269.24 146.05 0) (fields_autoplaced) + (global_label "GPIO139" (shape input) (at 184.15 134.62 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 4d5c3090-578a-4e06-81b9-341062e454d3) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 280.329 146.05 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 134.62 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO9" (shape output) (at 22.86 35.56 180) (fields_autoplaced) + (global_label "GPIO9" (shape output) (at 22.86 29.21 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 4fa2b5fd-b1ce-422d-92c2-46b732348b75) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 14.19 35.56 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 14.19 29.21 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3384,10 +3401,10 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO131" (shape output) (at 156.21 104.14 180) (fields_autoplaced) + (global_label "GPIO33" (shape output) (at 85.09 194.31 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) - (uuid 519d78d4-4036-4928-9375-0ad6e8f5b27f) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 104.14 0) + (uuid 51d845c9-9903-4b35-a835-a660580a05f1) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.2105 194.31 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3398,17 +3415,38 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO145" (shape input) (at 210.82 140.97 180) (fields_autoplaced) + (global_label "GPIO145" (shape input) (at 156.21 102.87 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 530319e9-0720-453f-b171-c97ab9079939) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 199.731 140.97 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 102.87 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO32" (shape output) (at 22.86 118.11 180) (fields_autoplaced) + (global_label "GPIO106" (shape output) (at 120.65 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 544bfd58-2887-4b49-a8d5-65d936bc2185) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 143.51 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GPIO103" (shape output) (at 120.65 175.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 54da5c43-2cb4-44e8-b698-fbfc19a95ef3) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 175.26 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GPIO32" (shape output) (at 22.86 73.66 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 55083e90-2b8f-4e2d-8d6b-1f977804b637) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 118.11 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 73.66 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GPIO16" (shape output) (at 85.09 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 550a34ea-59f9-46fa-94ad-cf3a5034a1c0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.2105 162.56 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3419,31 +3457,24 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO123" (shape output) (at 119.38 118.11 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) + (global_label "GPIO123" (shape output) (at 83.82 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 55f74bce-0066-4bd1-9ec1-59b0392f303c) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 118.11 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 41.91 0) + (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO76" (shape output) (at 83.82 67.31 180) (fields_autoplaced) + (global_label "GPIO76" (shape output) (at 22.86 149.86 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 56027456-72a8-4fbb-a9af-8143d884a37d) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 67.31 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 149.86 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux2_A0" (shape input) (at 175.26 96.52 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 564db0c5-8b89-49ca-b3ce-b2a44837294d) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.3489 96.52 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO165" (shape input) (at 251.46 151.13 180) (fields_autoplaced) + (global_label "GPIO165" (shape input) (at 156.21 139.7 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 58eb64f7-042f-45cb-b215-7e42a85bfa8c) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 240.371 151.13 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 139.7 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3454,17 +3485,24 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO82" (shape output) (at 22.86 187.96 180) (fields_autoplaced) + (global_label "GPIO117" (shape output) (at 22.86 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5a534b5b-085f-494f-a91e-10eadbfce948) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 11.771 35.56 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GPIO82" (shape output) (at 22.86 111.76 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 5af5dbd1-5669-4144-9bf9-0350589a5da1) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 187.96 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 111.76 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO34" (shape output) (at 120.65 157.48 0) (fields_autoplaced) + (global_label "GPIO34" (shape output) (at 120.65 118.11 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 5b028f9b-6063-49e5-b7ea-916e36aedb02) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 157.48 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 118.11 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -3475,24 +3513,17 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO110" (shape output) (at 24.13 156.21 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 5d4bbe8c-d606-48a4-ba29-12b7544c10e0) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 156.21 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO44" (shape output) (at 156.21 93.98 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 5e562a20-de4e-4678-9fa5-5c690f626cba) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 146.3305 93.98 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (global_label "GPIO20" (shape output) (at 168.91 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 60384809-fd04-440d-be74-c4b6ffcf8fd0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 178.7895 60.96 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO23" (shape output) (at 86.36 182.88 180) (fields_autoplaced) + (global_label "GPIO23" (shape output) (at 86.36 137.16 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 6068e3b7-b46f-4917-95c3-ee260756e001) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 182.88 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 137.16 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3503,53 +3534,39 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO73" (shape output) (at 120.65 144.78 0) (fields_autoplaced) + (global_label "GPIO73" (shape output) (at 120.65 105.41 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 62191ad7-8ffa-4f62-bd2c-165024ed9589) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 144.78 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 105.41 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO0" (shape output) (at 86.36 144.78 180) (fields_autoplaced) + (global_label "GPIO0" (shape output) (at 86.36 105.41 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 638b237b-1d6e-4ac2-92d4-68182b8e66a3) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 77.69 144.78 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO27" (shape output) (at 22.86 92.71 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 64bd6be7-5515-43de-bde6-7d6cf5d6b21d) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 92.71 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO24" (shape output) (at 22.86 86.36 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 67e73a8a-614f-4464-a7fb-2670f756e512) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 86.36 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 77.69 105.41 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO136" (shape output) (at 156.21 109.22 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 680bbef5-38a1-4117-b1ee-a98ecd0bbf7e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 109.22 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (global_label "GPIO104" (shape output) (at 168.91 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 66731b6b-34de-4b1b-ac80-c8994e8dcf25) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 179.999 73.66 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO152" (shape input) (at 210.82 158.75 180) (fields_autoplaced) + (global_label "GPIO152" (shape input) (at 156.21 120.65 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 68b1e3d8-0661-41eb-b35b-29f7e3831131) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 199.731 158.75 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 120.65 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO131" (shape output) (at 158.75 69.85 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) + (global_label "GPIO131" (shape output) (at 119.38 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 68c25317-d709-48ba-873b-7a7d066bddba) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 147.661 69.85 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 80.01 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) (global_label "GPIO141" (shape output) (at 215.9 55.88 180) (fields_autoplaced) @@ -3559,38 +3576,45 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO77" (shape output) (at 83.82 73.66 180) (fields_autoplaced) + (global_label "GPIO77" (shape output) (at 22.86 156.21 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 6c7b1659-38a2-4f40-8423-a689e178dcde) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 73.66 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 156.21 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO147" (shape input) (at 210.82 146.05 180) (fields_autoplaced) + (global_label "GPIO147" (shape input) (at 156.21 107.95 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 6ce0ea19-76cc-4e83-a7c3-f6a9cd925afc) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 199.731 146.05 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 107.95 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO112" (shape output) (at 118.11 130.81 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) + (global_label "GPIO112" (shape output) (at 22.86 194.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 7178fe44-80f3-413b-84a1-10a84dc20009) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 129.199 130.81 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 11.771 194.31 0) + (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO13" (shape output) (at 86.36 157.48 180) (fields_autoplaced) + (global_label "GPIO13" (shape output) (at 86.36 118.11 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 737c3ffa-e2bc-4c92-85e6-75c72d8f948b) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 157.48 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 118.11 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO111" (shape output) (at 24.13 162.56 180) (fields_autoplaced) + (global_label "GPIO105" (shape output) (at 120.65 181.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 771bcd59-04f7-4981-b5ad-30c5f2451141) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 181.61 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GPIO111" (shape output) (at 24.13 86.36 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 7769bcce-3ad5-4f8d-8927-5410d0d3538f) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 162.56 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 86.36 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3622,45 +3646,31 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO14" (shape output) (at 22.86 48.26 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 7a4f9360-98b6-4c3a-ab39-5af0a6789c20) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 48.26 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "Mux2_A2" (shape input) (at 175.26 101.6 0) (fields_autoplaced) + (global_label "GPIO15" (shape output) (at 168.91 48.26 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid 7ea57bc7-7146-4b16-86fc-6f1d3d5b6f7d) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.3489 101.6 0) + (uuid 80bef369-bfeb-494d-ae5f-40bd35acd2af) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 178.7895 48.26 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO57" (shape output) (at 156.21 91.44 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 80b9cd04-ca2f-4a3c-ae5c-ab5f22e65f9d) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 146.3305 91.44 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO116" (shape output) (at 83.82 105.41 180) (fields_autoplaced) + (global_label "GPIO116" (shape output) (at 22.86 187.96 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 81535959-d901-4d43-9434-a800ac57a8fb) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 105.41 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 11.771 187.96 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO149" (shape input) (at 210.82 151.13 180) (fields_autoplaced) + (global_label "GPIO149" (shape input) (at 156.21 113.03 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 822f1b3d-a239-4456-976e-c3aa1bea65e0) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 199.731 151.13 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 113.03 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO4" (shape output) (at 86.36 151.13 180) (fields_autoplaced) + (global_label "GPIO4" (shape output) (at 86.36 111.76 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8362b027-c9ba-4dce-b895-c333f3f59b41) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 77.69 151.13 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 77.69 111.76 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3671,41 +3681,34 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO162" (shape input) (at 251.46 143.51 180) (fields_autoplaced) + (global_label "GPIO162" (shape input) (at 156.21 132.08 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 83dcc4c7-3a67-478b-aa1f-c663ab326ce0) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 240.371 143.51 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 132.08 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO18" (shape output) (at 86.36 176.53 180) (fields_autoplaced) + (global_label "GPIO18" (shape output) (at 86.36 130.81 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8423dad6-ac5e-4971-a0d8-da5e475c85f4) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 176.53 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 130.81 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO102" (shape output) (at 120.65 189.23 0) (fields_autoplaced) + (global_label "GPIO102" (shape output) (at 120.65 130.81 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 85c4afb5-a22c-4a57-b0bd-23cbc83f08b4) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 189.23 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.739 130.81 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO114" (shape output) (at 24.13 175.26 180) (fields_autoplaced) + (global_label "GPIO114" (shape output) (at 24.13 99.06 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 86054fff-0a64-4f7a-9269-02a502503007) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 175.26 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 99.06 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux3_A0" (shape input) (at 175.26 88.9 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 86ad4b61-a591-4d6e-a6bc-234c9313e524) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.3489 88.9 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) (global_label "GPIO125" (shape output) (at 58.42 175.26 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 87d18036-b513-40cb-a567-041119fe15e6) @@ -3713,10 +3716,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO81" (shape output) (at 83.82 99.06 180) (fields_autoplaced) + (global_label "GPIO81" (shape output) (at 22.86 181.61 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8844479c-2064-4277-8f28-f3d949230be2) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 99.06 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 181.61 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3727,25 +3730,18 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO26" (shape output) (at 120.65 151.13 0) (fields_autoplaced) + (global_label "GPIO26" (shape output) (at 120.65 111.76 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 885dfeee-41be-41c8-a888-abd0cee4ef07) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 151.13 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 111.76 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO95" (shape output) (at 120.65 163.83 0) (fields_autoplaced) + (global_label "GPIO126" (shape output) (at 119.38 86.36 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid 88e60c73-3e2c-4d11-979d-91667784368a) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 163.83 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO126" (shape output) (at 158.75 63.5 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) (uuid 89f76835-a3cc-430c-907d-b66e9f815b20) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 147.661 63.5 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 86.36 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) (global_label "GPIO153" (shape output) (at 215.9 81.28 180) (fields_autoplaced) @@ -3755,20 +3751,27 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO36" (shape output) (at 22.86 130.81 180) (fields_autoplaced) + (global_label "GPIO36" (shape output) (at 22.86 80.01 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8c455a44-d4f9-4286-a9e6-ec63d49216d2) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 130.81 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 80.01 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO12" (shape output) (at 22.86 41.91 180) (fields_autoplaced) + (global_label "GPIO48" (shape output) (at 22.86 48.26 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) - (uuid 8d9aed6a-f21f-4687-8062-5a3cac74ff8f) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 41.91 0) + (uuid 8dfdc5da-bc19-44ea-80df-2bee2af762d0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 48.26 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) + (global_label "GPIO21" (shape output) (at 168.91 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8e4c3f82-95ae-43f2-9d52-bfe6f79304b8) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 178.7895 67.31 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) (global_label "GPIO53" (shape output) (at 58.42 105.41 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 8e883c1a-d8fd-439b-a226-02aed66e75b5) @@ -3776,10 +3779,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO159" (shape input) (at 228.6 156.21 0) (fields_autoplaced) + (global_label "GPIO159" (shape input) (at 184.15 118.11 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 8ea8779a-d3fa-40d4-8d0b-ec4bdbb6de9b) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 239.689 156.21 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 118.11 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -3797,11 +3800,11 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO132" (shape output) (at 158.75 76.2 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) + (global_label "GPIO132" (shape output) (at 119.38 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 96fb4d03-b23f-4331-b16e-8a8702c91ed0) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 147.661 76.2 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 73.66 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) (global_label "GPIO66" (shape output) (at 83.82 29.21 180) (fields_autoplaced) @@ -3825,38 +3828,38 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO137" (shape input) (at 269.24 140.97 0) (fields_autoplaced) + (global_label "GPIO137" (shape input) (at 184.15 129.54 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid 9b51fe52-2b58-4476-81d3-558454b71ec2) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 280.329 140.97 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 129.54 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO21" (shape output) (at 22.86 73.66 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 9fdbf855-001a-45ce-a0a9-1f554d2486d3) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 73.66 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (global_label "GPIO99" (shape output) (at 168.91 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9c95498e-2b0a-44e1-8443-31f67484f15c) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 178.7895 41.91 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO143" (shape input) (at 269.24 156.21 0) (fields_autoplaced) + (global_label "GPIO143" (shape input) (at 184.15 144.78 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid a0c45177-912d-4771-a16d-43ec8bdd9e11) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 280.329 156.21 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 144.78 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO153" (shape input) (at 228.6 140.97 0) (fields_autoplaced) + (global_label "GPIO153" (shape input) (at 184.15 102.87 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid a54bf829-8215-49ae-937c-d02a75a6703c) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 239.689 140.97 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 102.87 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO115" (shape output) (at 24.13 181.61 180) (fields_autoplaced) + (global_label "GPIO115" (shape output) (at 24.13 105.41 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid a78a91fa-a8d1-4363-b7a1-2433ab41480d) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 181.61 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 105.41 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3867,24 +3870,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO108" (shape output) (at 24.13 143.51 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid aaa996aa-538b-43e8-9c56-59dd7c5d8db5) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 143.51 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO113" (shape output) (at 24.13 168.91 180) (fields_autoplaced) + (global_label "GPIO113" (shape output) (at 24.13 92.71 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid ace2a205-6ea4-4482-a705-2c435eaadfe0) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 168.91 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO106" (shape output) (at 24.13 137.16 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid af65589f-624f-44b3-8c4a-2c15b7abf777) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 137.16 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 92.71 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -3895,31 +3884,31 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO17" (shape output) (at 86.36 170.18 180) (fields_autoplaced) + (global_label "GPIO17" (shape output) (at 86.36 124.46 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid b3c2bce4-5481-4e3f-b298-7623c4dba702) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 170.18 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 124.46 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO158" (shape input) (at 228.6 153.67 0) (fields_autoplaced) + (global_label "GPIO158" (shape input) (at 184.15 115.57 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid b3ca2ea2-82f2-41f3-89b5-dbd1253c2eba) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 239.689 153.67 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 115.57 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO136" (shape output) (at 158.75 82.55 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) + (global_label "GPIO136" (shape output) (at 119.38 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) (uuid b3caf4c3-fcf4-4dd1-ae48-63d79de16e28) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 147.661 82.55 0) - (effects (font (size 1.27 1.27)) (justify right) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 67.31 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO154" (shape input) (at 228.6 143.51 0) (fields_autoplaced) + (global_label "GPIO154" (shape input) (at 184.15 105.41 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid b51e4df4-89af-4be7-820c-44f5b1cfc78d) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 239.689 143.51 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 105.41 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -3930,17 +3919,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO100" (shape output) (at 119.38 67.31 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid b6e0e113-5218-4fc1-83f5-6cfe725ffd35) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 67.31 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO47" (shape output) (at 120.65 195.58 0) (fields_autoplaced) + (global_label "GPIO47" (shape output) (at 120.65 137.16 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid b6ea1721-bdbe-47e1-a8f1-dd310fb9c919) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 195.58 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 137.16 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -3965,66 +3947,66 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO25" (shape output) (at 86.36 189.23 180) (fields_autoplaced) + (global_label "GPIO25" (shape output) (at 86.36 143.51 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid b9ddffed-2c10-4cca-9ca8-13a756f511a4) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 189.23 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 76.4805 143.51 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO55" (shape output) (at 158.75 31.75 180) (fields_autoplaced) + (global_label "GPIO55" (shape output) (at 83.82 73.66 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid bb7910be-d3fe-4790-8332-5363f7453aad) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 148.8705 31.75 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 73.66 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GPIO27" (shape output) (at 85.09 187.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bb8ada65-970b-4cad-bdb4-28bd6c22f466) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.2105 187.96 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "GPIO24" (shape output) (at 85.09 181.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bd340c07-8a4d-4972-9f56-7424b42827ea) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.2105 181.61 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO168" (shape input) (at 251.46 158.75 180) (fields_autoplaced) + (global_label "GPIO168" (shape input) (at 156.21 147.32 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid c074050e-dc38-4d3f-84b8-c5b754cc2482) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 240.371 158.75 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 147.32 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO79" (shape output) (at 83.82 86.36 180) (fields_autoplaced) + (global_label "GPIO79" (shape output) (at 22.86 168.91 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid c2efeae2-5efe-47ac-a759-147db54704de) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 86.36 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 168.91 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO155" (shape input) (at 228.6 146.05 0) (fields_autoplaced) + (global_label "GPIO155" (shape input) (at 184.15 107.95 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid c3d802ee-7699-4efd-a19f-d8b945cd75e5) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 239.689 146.05 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 107.95 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO138" (shape input) (at 269.24 143.51 0) (fields_autoplaced) + (global_label "GPIO138" (shape input) (at 184.15 132.08 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid c5b6fc30-2630-4f1b-bef8-1fbdad829474) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 280.329 143.51 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO119" (shape output) (at 119.38 92.71 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid c5bc823f-143d-4ac6-86e1-288673ccbfde) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 92.71 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 132.08 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO126" (shape output) (at 156.21 101.6 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid c6a18592-b5dd-4e4c-8801-5aa1ade5ecb7) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 101.6 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO109" (shape output) (at 24.13 149.86 180) (fields_autoplaced) + (global_label "GPIO119" (shape output) (at 83.82 48.26 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) - (uuid c760cee2-5589-4edd-b829-fb711ab41e5e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 13.041 149.86 0) + (uuid c5bc823f-143d-4ac6-86e1-288673ccbfde) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 48.26 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -4035,31 +4017,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO142" (shape input) (at 269.24 153.67 0) (fields_autoplaced) + (global_label "GPIO142" (shape input) (at 184.15 142.24 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid ca92b249-0786-4e2e-ba64-b637131197ba) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 280.329 153.67 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "Mux1_A0" (shape input) (at 175.26 104.14 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid cb4f32fc-6a6f-42b1-8228-987ad03cce86) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.3489 104.14 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO20" (shape output) (at 22.86 67.31 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid cb9d005b-da25-41c0-9231-8f6859dcc8a6) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 67.31 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "Mux3_A1" (shape input) (at 175.26 91.44 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid cbd006b9-719c-4449-96f5-4aed61960bc1) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.3489 91.44 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 142.24 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -4070,66 +4031,52 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO103" (shape output) (at 119.38 73.66 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid d227ccd5-07ad-4342-8332-d973159a58ed) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 73.66 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO132" (shape output) (at 156.21 106.68 180) (fields_autoplaced) + (global_label "GPIO118" (shape output) (at 22.86 41.91 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) - (uuid d4accbe4-58bd-4f31-a724-5bc60c619db3) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 106.68 0) + (uuid d28ca2ed-8a23-4973-a7cf-4d85ca9c61c8) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 11.771 41.91 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO156" (shape input) (at 228.6 148.59 0) (fields_autoplaced) + (global_label "GPIO95" (shape output) (at 168.91 29.21 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid d5eb8807-0093-4407-9d89-c521efd54a2d) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 239.689 148.59 0) + (uuid d38e38e6-eeac-4d17-9c2f-af3cac011758) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 178.7895 29.21 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO104" (shape output) (at 119.38 80.01 0) (fields_autoplaced) + (global_label "GPIO156" (shape input) (at 184.15 110.49 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid d7f6541d-7d03-4e62-8411-e535e97f59dc) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 80.01 0) + (uuid d5eb8807-0093-4407-9d89-c521efd54a2d) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 110.49 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO151" (shape input) (at 210.82 156.21 180) (fields_autoplaced) + (global_label "GPIO151" (shape input) (at 156.21 118.11 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid d82601b6-ffd0-4214-8418-ed48254e6ced) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 199.731 156.21 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 118.11 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO96" (shape output) (at 120.65 170.18 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid d8aaa62e-993c-4d8b-b901-e72225a12cd9) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 170.18 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO69" (shape output) (at 83.82 41.91 180) (fields_autoplaced) + (global_label "GPIO69" (shape output) (at 22.86 130.81 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid d9d95710-4e89-42d0-b436-1ed65e77121e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 41.91 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 130.81 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO160" (shape input) (at 228.6 158.75 0) (fields_autoplaced) + (global_label "GPIO160" (shape input) (at 184.15 120.65 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid daaf3588-fa53-4811-bae9-e7b7990179ef) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 239.689 158.75 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 120.65 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO157" (shape input) (at 228.6 151.13 0) (fields_autoplaced) + (global_label "GPIO157" (shape input) (at 184.15 113.03 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid dab5659d-86c2-459f-9265-668bbe3be75b) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 239.689 151.13 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 113.03 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -4154,24 +4101,17 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO128" (shape output) (at 158.75 57.15 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid df298caf-7870-4e9a-b1df-3ab2c8755628) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 147.661 57.15 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "GPIO105" (shape output) (at 119.38 86.36 0) (fields_autoplaced) + (global_label "GPIO128" (shape output) (at 119.38 92.71 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid e052cd9d-0560-4d7a-9945-3fa565791900) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 86.36 0) + (uuid df298caf-7870-4e9a-b1df-3ab2c8755628) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.469 92.71 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO140" (shape input) (at 269.24 148.59 0) (fields_autoplaced) + (global_label "GPIO140" (shape input) (at 184.15 137.16 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) (uuid e0fba449-4b4f-47cc-a800-1c6c4a60fb5f) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 280.329 148.59 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 195.239 137.16 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -4189,11 +4129,11 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO107" (shape output) (at 118.11 124.46 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) + (global_label "GPIO107" (shape output) (at 83.82 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) (uuid e475c503-2d63-4213-b379-f572874951e9) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 129.199 124.46 0) - (effects (font (size 1.27 1.27)) (justify left) hide) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 72.731 35.56 0) + (effects (font (size 1.27 1.27)) (justify right) hide) ) ) (global_label "GPIO62" (shape output) (at 58.42 149.86 0) (fields_autoplaced) @@ -4217,10 +4157,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO146" (shape input) (at 210.82 143.51 180) (fields_autoplaced) + (global_label "GPIO146" (shape input) (at 156.21 105.41 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid eca0669b-70a1-436f-867f-dbf5393cbf3c) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 199.731 143.51 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 105.41 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -4231,17 +4171,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO99" (shape output) (at 120.65 176.53 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid f02217e2-cb36-4d7a-b300-a5138111a835) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 130.5295 176.53 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO44" (shape output) (at 158.75 44.45 180) (fields_autoplaced) + (global_label "GPIO44" (shape output) (at 83.82 86.36 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid f195d756-081a-4a1f-850d-77f98d601cf8) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 148.8705 44.45 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 86.36 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -4259,17 +4192,10 @@ (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "Mux3_A2" (shape input) (at 175.26 93.98 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid f4e5027e-388d-43bc-b277-eeea63c5d804) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.3489 93.98 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "GPIO57" (shape output) (at 158.75 38.1 180) (fields_autoplaced) + (global_label "GPIO57" (shape output) (at 83.82 80.01 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid f653cda4-7077-4473-a141-c69bc426da40) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 148.8705 38.1 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 80.01 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -4287,10 +4213,10 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO31" (shape output) (at 22.86 111.76 180) (fields_autoplaced) + (global_label "GPIO31" (shape output) (at 22.86 67.31 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid f6cd6f9e-5f33-4e32-8797-0ac290c04dc4) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 111.76 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 67.31 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -4301,17 +4227,17 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO150" (shape input) (at 210.82 153.67 180) (fields_autoplaced) + (global_label "GPIO150" (shape input) (at 156.21 115.57 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid fac5aa33-b7c2-4866-acb7-5b3c3ce74ef6) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 199.731 153.67 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 145.121 115.57 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO30" (shape output) (at 22.86 105.41 180) (fields_autoplaced) + (global_label "GPIO30" (shape output) (at 22.86 60.96 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid fb848238-ebce-4abe-8808-e68a886fe29a) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 105.41 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 60.96 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -4322,17 +4248,24 @@ (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "GPIO78" (shape output) (at 83.82 80.01 180) (fields_autoplaced) + (global_label "GPIO78" (shape output) (at 22.86 162.56 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid fce0c249-214c-4eb6-a6da-d1508473b602) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 80.01 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 162.56 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) - (global_label "GPIO68" (shape output) (at 83.82 35.56 180) (fields_autoplaced) + (global_label "GPIO96" (shape output) (at 168.91 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fdaadc00-8548-4926-b63f-e5ae7b07de58) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 178.7895 35.56 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "GPIO68" (shape output) (at 22.86 124.46 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid fdfec574-c548-4339-bdfd-583e62e51967) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 73.9405 35.56 0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 12.9805 124.46 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -4351,186 +4284,145 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 86.36 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 181.61 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 012441c6-6aae-4ffd-a41f-2756fddebc4c) - (property "Reference" "R22" (at 36.83 83.82 90) + (uuid 0282d0ec-bf99-4535-976d-c3565bc34092) + (property "Reference" "D72" (at 25.4 179.07 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 34.29 83.82 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 86.36 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 34.29 86.36 0) + (property "Value" "2mA" (at 27.94 179.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 86.36 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 184.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 86.36 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 169.6212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 86.36 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 174.752 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 86.36 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 166.9288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 86.36 0) + (property "Manufacturer" "Kingbright" (at 27.94 185.928 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid c1237286-03c6-4e6d-9055-2aea7136c37e)) - (pin "2" (uuid 7cf0c72b-2fb7-4395-a0e1-0516fef519a6)) + (pin "A" (uuid fc3f268e-4f58-4577-99d2-6cf2fbaa557d)) + (pin "C" (uuid 6cafedc7-574b-4d01-a7ca-3be7e8cac7a6)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R22") (unit 1) + (reference "D72") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 111.76 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 48.26 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 017272e9-7c7e-4a6e-9f29-c55ce28e129e) - (property "Reference" "R104" (at 92.71 109.22 90) + (uuid 02e2d5e9-24a9-4872-a3f1-a9345c623ee1) + (property "Reference" "R94" (at 31.75 45.72 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 109.22 90) + (property "Value" "100R" (at 34.29 45.72 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 105.41 111.76 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 44.45 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 111.76 0) + (property "Datasheet" "" (at 34.29 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 111.76 0) + (property "Mfr. No" "" (at 34.29 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 111.76 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 111.76 0) + (property "Manufacturer" "Vishay" (at 34.29 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 111.76 0) + (property "Mouser No" "" (at 34.29 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 111.76 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 3ae95827-95d3-4e07-94b7-76366768b412)) - (pin "2" (uuid 3760c211-ae6e-48c6-be7f-f1af6ec92566)) + (pin "1" (uuid 9ec5971a-9add-4b8a-8359-e01891f4ffd6)) + (pin "2" (uuid c97f94ff-7c34-4a78-be7c-8f8bb7451a23)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R104") (unit 1) + (reference "R94") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 99.06 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 92.71 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 0282d0ec-bf99-4535-976d-c3565bc34092) - (property "Reference" "D72" (at 86.36 96.52 0) + (uuid 03521e7e-805d-4f23-ac45-c6a468474d29) + (property "Reference" "D119" (at 116.84 90.17 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 96.52 0) + (property "Value" "2mA" (at 114.3 90.17 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 101.6 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 95.25 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 87.0712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 80.7212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 92.202 0) + (property "mouser#" "604-APHD1608LVBCD" (at 114.3 85.852 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 84.3788 0) + (property "manf#" "APHD1608LVBC/D" (at 114.3 78.0288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 103.378 0) + (property "Manufacturer" "Kingbright" (at 114.3 97.028 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid fc3f268e-4f58-4577-99d2-6cf2fbaa557d)) - (pin "C" (uuid 6cafedc7-574b-4d01-a7ca-3be7e8cac7a6)) + (pin "A" (uuid dc9ab544-08ae-48d2-aa4a-460e658f1974)) + (pin "C" (uuid 53b3314c-352d-49f6-912f-cb969aa3de1d)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D72") (unit 1) + (reference "D119") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 166.37 57.15 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 175.26 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 03521e7e-805d-4f23-ac45-c6a468474d29) - (property "Reference" "D119" (at 163.83 54.61 0) + (uuid 036175ce-53ae-48ee-abe7-72f2e3bb9ab1) + (property "Reference" "R73" (at 36.83 172.72 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 166.37 54.61 0) + (property "Value" "100R" (at 34.29 172.72 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 166.37 59.69 0) + (property "Datasheet" "" (at 34.29 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 166.37 45.1612 0) + (property "Mfr. No" "" (at 34.29 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 166.37 50.292 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 166.37 42.4688 0) + (property "Manufacturer" "Vishay" (at 34.29 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 166.37 61.468 0) + (property "Mouser No" "" (at 34.29 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid dc9ab544-08ae-48d2-aa4a-460e658f1974)) - (pin "C" (uuid 53b3314c-352d-49f6-912f-cb969aa3de1d)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D119") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 92.71 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 036175ce-53ae-48ee-abe7-72f2e3bb9ab1) - (property "Reference" "R73" (at 97.79 90.17 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "100R" (at 95.25 90.17 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 95.25 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 95.25 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 95.25 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 95.25 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 92.71 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 175.26 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid dc6c3f49-3925-41d2-9b3d-5c5f20628683)) @@ -4544,116 +4436,110 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 67.31 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 41.91 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 03955071-402c-46b0-b523-943a1dd3980d) - (property "Reference" "R18" (at 36.83 64.77 90) + (uuid 03ce981b-f732-40e4-b275-70d94b3e0fa9) + (property "Reference" "R110" (at 92.71 39.37 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 64.77 90) + (property "Value" "100R" (at 95.25 39.37 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 67.31 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 105.41 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 67.31 0) + (property "Datasheet" "" (at 95.25 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 67.31 0) + (property "Mfr. No" "" (at 95.25 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 67.31 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 67.31 0) + (property "Manufacturer" "Vishay" (at 95.25 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 67.31 0) + (property "Mouser No" "" (at 95.25 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 67.31 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 8816ddf1-34e5-411f-a94d-f56f344a163e)) - (pin "2" (uuid cc3661e8-cd37-499a-bb6f-24163bd2d6aa)) + (pin "1" (uuid a8c0fed4-409c-4118-91a3-81ea5433c428)) + (pin "2" (uuid 266cd787-6f6f-40a0-9c54-496b08d96dc1)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R18") (unit 1) + (reference "R110") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 118.11 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 156.21 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 03ce981b-f732-40e4-b275-70d94b3e0fa9) - (property "Reference" "R110" (at 110.49 115.57 90) + (uuid 049d21b5-7e1b-499b-82e9-5cc638c183bb) + (property "Reference" "D77" (at 118.11 153.67 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 107.95 115.57 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 97.79 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 107.95 118.11 0) + (property "Value" "2mA" (at 115.57 162.814 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 107.95 118.11 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 158.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 107.95 118.11 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 144.2212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 107.95 118.11 0) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 149.352 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 107.95 118.11 0) + (property "manf#" "APHD1608LSURCK" (at 115.57 141.5288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 118.11 0) + (property "Manufacturer" "Kingbright" (at 115.57 160.528 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid a8c0fed4-409c-4118-91a3-81ea5433c428)) - (pin "2" (uuid 266cd787-6f6f-40a0-9c54-496b08d96dc1)) + (pin "A" (uuid 5e8c6532-7b1f-4557-b1d8-d1512e631ec5)) + (pin "C" (uuid 70f489d4-6c22-4d53-9bf5-f405f96a0500)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R110") (unit 1) + (reference "D77") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 92.71 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 48.26 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 0775ca76-12dc-4ccb-a314-5db1d4980de8) - (property "Reference" "R106" (at 110.49 90.17 90) + (property "Reference" "R106" (at 92.71 45.72 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 107.95 90.17 90) + (property "Value" "100R" (at 95.25 45.72 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 97.79 92.71 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 105.41 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 107.95 92.71 0) + (property "Datasheet" "" (at 95.25 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 107.95 92.71 0) + (property "Mfr. No" "" (at 95.25 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 107.95 92.71 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 107.95 92.71 0) + (property "Manufacturer" "Vishay" (at 95.25 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 107.95 92.71 0) + (property "Mouser No" "" (at 95.25 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 92.71 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 48.26 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1c54c131-c4b2-4abd-aec4-e8050f09bda5)) @@ -4673,10 +4559,10 @@ (property "Reference" "D141" (at 256.54 59.69 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 59.69 0) + (property "Value" "2mA" (at 254 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 64.77 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 64.77 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 50.2412 0) @@ -4702,34 +4588,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 29.21 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 22.86 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 080839d1-e21f-44d9-99c3-faf691ed0424) - (property "Reference" "R8" (at 36.83 26.67 90) + (property "Reference" "R8" (at 36.83 20.32 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 26.67 90) + (property "Value" "100R" (at 33.02 20.32 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 29.21 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 29.21 0) + (property "Datasheet" "" (at 34.29 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 29.21 0) + (property "Mfr. No" "" (at 34.29 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 29.21 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 29.21 0) + (property "Manufacturer" "Vishay" (at 34.29 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 29.21 0) + (property "Mouser No" "" (at 34.29 22.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 29.21 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 22.86 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b4f6663f-d190-43ab-a465-9aa278240a71)) @@ -4743,221 +4629,180 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 124.46 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 0854942b-5c02-4a97-9194-6e74b4ca91f7) - (property "Reference" "D28" (at 25.4 121.92 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 121.92 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 127 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 112.4712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 117.602 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 109.7788 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 128.778 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 99edaf92-60f9-47f1-9e77-b0986c37959d)) - (pin "C" (uuid 6f608535-a48b-478f-ad5a-5f0e316e01ec)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D28") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 48.26 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 73.66 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 08c00e73-9f79-4da9-9e88-ddc6096fe007) - (property "Reference" "R12" (at 36.83 45.72 90) + (uuid 09350209-df8e-43fa-92da-42b841eda3e4) + (property "Reference" "R51" (at 97.79 71.12 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 45.72 90) + (property "Value" "100R" (at 93.98 71.12 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 48.26 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 48.26 0) + (property "Datasheet" "" (at 95.25 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 48.26 0) + (property "Mfr. No" "" (at 95.25 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 48.26 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 48.26 0) + (property "Manufacturer" "Vishay" (at 95.25 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 48.26 0) + (property "Mouser No" "" (at 95.25 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 48.26 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 7a07048e-e332-47bc-bf61-6531a1f54211)) - (pin "2" (uuid 801e470e-e485-44fa-b36a-87ea772eb9bc)) + (pin "1" (uuid e88fb34f-0c28-4968-80dc-213b3857246e)) + (pin "2" (uuid 0cb74759-6151-4834-a328-5e77a65ea2ca)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R12") (unit 1) + (reference "R51") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 173.99 31.75 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 80.01 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 09350209-df8e-43fa-92da-42b841eda3e4) - (property "Reference" "R51" (at 176.53 29.21 90) + (uuid 097aa4bc-7d00-4e08-a8ab-47365b4d8375) + (property "Reference" "D51" (at 86.36 77.47 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 172.72 29.21 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 31.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 173.99 31.75 0) + (property "Value" "2mA" (at 88.9 77.47 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 173.99 31.75 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 82.55 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 173.99 31.75 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 68.0212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 173.99 31.75 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 73.152 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 173.99 31.75 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 65.3288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 173.99 31.75 0) + (property "Manufacturer" "Kingbright" (at 88.9 84.328 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid e88fb34f-0c28-4968-80dc-213b3857246e)) - (pin "2" (uuid 0cb74759-6151-4834-a328-5e77a65ea2ca)) + (pin "A" (uuid 1755eb75-e3bb-408a-bfee-fda721eb5765)) + (pin "C" (uuid 81d2c2e5-8324-4690-b217-b46a0fa31cd1)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R51") (unit 1) + (reference "D51") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 166.37 38.1 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 162.56 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 097aa4bc-7d00-4e08-a8ab-47365b4d8375) - (property "Reference" "D51" (at 163.83 35.56 0) + (uuid 09c11db4-cdc5-40e0-a8be-ccf8c0012ea6) + (property "Reference" "D69" (at 25.4 160.02 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 166.37 35.56 0) + (property "Value" "2mA" (at 27.94 160.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 166.37 40.64 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 165.1 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 166.37 26.1112 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 150.5712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 166.37 31.242 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 155.702 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 166.37 23.4188 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 147.8788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 166.37 42.418 0) + (property "Manufacturer" "Kingbright" (at 27.94 166.878 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 1755eb75-e3bb-408a-bfee-fda721eb5765)) - (pin "C" (uuid 81d2c2e5-8324-4690-b217-b46a0fa31cd1)) + (pin "A" (uuid 08d17e27-5922-4049-9cc7-0a692bed781e)) + (pin "C" (uuid 90a20ecb-6eef-4e9b-a315-96538937caee)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D51") (unit 1) + (reference "D69") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 80.01 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 90.17 175.26 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 09c11db4-cdc5-40e0-a8be-ccf8c0012ea6) - (property "Reference" "D69" (at 86.36 77.47 0) + (uuid 09d41fee-6fd5-437f-a551-7e0bfd30ad2a) + (property "Reference" "D18" (at 87.63 172.72 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 77.47 0) + (property "Value" "2mA" (at 90.17 181.864 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 82.55 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 90.17 177.8 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 68.0212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 90.17 163.2712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 73.152 0) + (property "mouser#" "604-APHD1608LSURCK" (at 90.17 168.402 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 65.3288 0) + (property "manf#" "APHD1608LSURCK" (at 90.17 160.5788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 84.328 0) + (property "Manufacturer" "Kingbright" (at 90.17 179.578 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 08d17e27-5922-4049-9cc7-0a692bed781e)) - (pin "C" (uuid 90a20ecb-6eef-4e9b-a315-96538937caee)) + (pin "A" (uuid 3c366cec-fcbd-4be0-bec7-96ca340ecc8e)) + (pin "C" (uuid 201ef568-de31-4845-ae3a-bd7d19d16983)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D69") (unit 1) + (reference "D18") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 173.99 50.8 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 92.71 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 0ae4cf16-a682-41bc-98ed-6e01c311d5e0) - (property "Reference" "R114" (at 176.53 48.26 90) + (property "Reference" "R114" (at 97.79 90.17 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 172.72 48.26 90) + (property "Value" "100R" (at 93.98 90.17 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 50.8 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 173.99 50.8 0) + (property "Datasheet" "" (at 95.25 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 173.99 50.8 0) + (property "Mfr. No" "" (at 95.25 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 173.99 50.8 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 173.99 50.8 0) + (property "Manufacturer" "Vishay" (at 95.25 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 173.99 50.8 0) + (property "Mouser No" "" (at 95.25 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 173.99 50.8 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 92.71 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 38c154cb-2386-4e8f-a89e-1164f146019f)) @@ -4977,10 +4822,10 @@ (property "Reference" "D89" (at 116.84 45.72 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 45.72 0) + (property "Value" "2mA" (at 114.3 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 50.8 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 50.8 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 36.2712 0) @@ -5006,69 +4851,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 80.01 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 0cf723c8-d67f-4b7c-9c55-142cd4ddd84e) - (property "Reference" "D18" (at 25.4 77.47 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 77.47 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 68.0212 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 73.152 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 65.3288 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 84.328 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid d8784ff1-5a67-4416-95e4-4b0ab8952739)) - (pin "C" (uuid 2488c7ea-ac16-4d35-9e88-f789fffc61df)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D18") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 189.23 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 130.81 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 0d20a573-ea60-4985-b7d1-e19b7f55df22) - (property "Reference" "R96" (at 111.76 186.69 90) + (property "Reference" "R96" (at 111.76 128.27 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 106.68 186.69 90) + (property "Value" "680R" (at 106.68 128.27 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 99.06 189.23 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 99.06 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 109.22 189.23 0) + (property "Datasheet" "" (at 109.22 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 109.22 189.23 0) + (property "Mfr. No" "" (at 109.22 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 109.22 189.23 0) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 109.22 189.23 0) + (property "Manufacturer" "Vishay" (at 109.22 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 109.22 189.23 0) + (property "Mouser No" "" (at 109.22 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 189.23 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 130.81 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 3cd55ee9-1818-4275-95d3-05f9a26bde3b)) @@ -5088,10 +4898,10 @@ (property "Reference" "D39" (at 54.61 64.77 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 64.77 0) + (property "Value" "2mA" (at 52.07 64.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 69.85 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 69.85 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 55.3212 0) @@ -5117,256 +4927,256 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 175.26 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 162.56 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 0e8b4cf0-221f-43c3-9895-e109fcb54281) - (property "Reference" "D83" (at 25.4 172.72 0) + (uuid 0e427b56-883d-4e75-9dea-c284b81d3ff6) + (property "Reference" "R14" (at 100.33 160.02 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 172.72 0) + (property "Value" "680R" (at 97.79 160.02 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 177.8 0) + (property "Datasheet" "" (at 97.79 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 163.2712 0) + (property "Mfr. No" "" (at 97.79 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 168.402 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 160.5788 0) + (property "Manufacturer" "Vishay" (at 97.79 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 179.578 0) + (property "Mouser No" "" (at 97.79 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid aaed40ef-4be7-4120-8f54-751efe6bc5cb)) - (pin "C" (uuid 450ac861-743b-499f-afbf-71e0c1cb2730)) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 34d93928-9e54-4ba0-870b-7508432aeac3)) + (pin "2" (uuid a23deaeb-afd8-4a95-bbe9-a6a47961fcc9)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D83") (unit 1) + (reference "R14") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 52.07 156.21 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 99.06 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 10423f63-6f9d-4611-b233-f11439e1c214) - (property "Reference" "D57" (at 54.61 153.67 0) + (uuid 0e8b4cf0-221f-43c3-9895-e109fcb54281) + (property "Reference" "D83" (at 25.4 96.52 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 153.67 0) + (property "Value" "2mA" (at 27.94 96.52 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 158.75 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 144.2212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 87.0712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 52.07 149.352 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 92.202 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 52.07 141.5288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 84.3788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 52.07 160.528 0) + (property "Manufacturer" "Kingbright" (at 27.94 103.378 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid ac3fea79-ac06-4301-aec6-3b82a2912037)) - (pin "C" (uuid a68ef7d3-4d9b-4362-b1db-e8e4f132c8d7)) + (pin "A" (uuid aaed40ef-4be7-4120-8f54-751efe6bc5cb)) + (pin "C" (uuid 450ac861-743b-499f-afbf-71e0c1cb2730)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D57") (unit 1) + (reference "D83") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 92.71 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 187.96 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 11272027-ba6f-4203-be3f-25419b6a599b) - (property "Reference" "D71" (at 86.36 90.17 0) + (uuid 0f17a14d-8f6b-4793-b0ae-16d527f9e5c8) + (property "Reference" "R25" (at 100.33 185.42 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 90.17 0) + (property "Value" "680R" (at 97.79 185.42 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 95.25 0) + (property "Datasheet" "" (at 97.79 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 80.7212 0) + (property "Mfr. No" "" (at 97.79 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 85.852 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 78.0288 0) + (property "Manufacturer" "Vishay" (at 97.79 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 97.028 0) + (property "Mouser No" "" (at 97.79 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid e33e3e62-5d8e-4409-bb22-2dd9eb4fbc0d)) - (pin "C" (uuid 4b6dc978-169c-4471-96e9-942913057344)) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ffc4ba47-dd7f-4412-9c52-5b7dfeeb6a2a)) + (pin "2" (uuid 540879e7-afaa-481e-9add-812ea36310c7)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D71") (unit 1) + (reference "R25") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 80.01 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 52.07 156.21 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 1399ba20-949e-4583-b878-52feaa2a6210) - (property "Reference" "R20" (at 36.83 77.47 90) + (uuid 10423f63-6f9d-4611-b233-f11439e1c214) + (property "Reference" "D57" (at 54.61 153.67 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 34.29 77.47 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 80.01 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 34.29 80.01 0) + (property "Value" "2mA" (at 52.07 153.67 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 80.01 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 158.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 80.01 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 144.2212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 80.01 0) + (property "mouser#" "604-APHD1608LVBCD" (at 52.07 149.352 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 80.01 0) + (property "manf#" "APHD1608LVBC/D" (at 52.07 141.5288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 80.01 0) + (property "Manufacturer" "Kingbright" (at 52.07 160.528 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 96d732cb-aecf-4510-a8f0-7db1f04bc2f2)) - (pin "2" (uuid dce3c320-3ab0-4b5d-ad9e-62512046ffec)) + (pin "A" (uuid ac3fea79-ac06-4301-aec6-3b82a2912037)) + (pin "C" (uuid a68ef7d3-4d9b-4362-b1db-e8e4f132c8d7)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R20") (unit 1) + (reference "D57") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 232.41 62.23 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 175.26 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 13b1f8db-c8f5-415e-9bf0-acfa1b7adb9d) - (property "Reference" "R127" (at 234.95 59.69 90) + (uuid 11272027-ba6f-4203-be3f-25419b6a599b) + (property "Reference" "D71" (at 25.4 172.72 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 229.87 62.23 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 222.25 62.23 0) + (property "Value" "2mA" (at 27.94 172.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 232.41 62.23 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 232.41 62.23 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 177.8 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 232.41 62.23 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 163.2712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 232.41 62.23 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 168.402 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 232.41 62.23 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 160.5788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 232.41 62.23 0) + (property "Manufacturer" "Kingbright" (at 27.94 179.578 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid f847d5b6-c1ea-4e01-8599-4bf4c34e71c5)) - (pin "2" (uuid 9317605a-4c6e-4b23-aa5a-3347fc37ad8c)) + (pin "A" (uuid e33e3e62-5d8e-4409-bb22-2dd9eb4fbc0d)) + (pin "C" (uuid 4b6dc978-169c-4471-96e9-942913057344)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R127") (unit 1) + (reference "D71") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 22.86 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 232.41 62.23 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 1460f5b1-7651-4349-b380-317e82a55080) - (property "Reference" "R6" (at 36.83 20.32 90) + (uuid 13b1f8db-c8f5-415e-9bf0-acfa1b7adb9d) + (property "Reference" "R127" (at 234.95 59.69 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 20.32 90) + (property "Value" "100R" (at 229.87 62.23 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 22.86 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 222.25 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 22.86 0) + (property "Datasheet" "" (at 232.41 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 22.86 0) + (property "Mfr. No" "" (at 232.41 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 22.86 0) + (property "manf#" "CRCW0603100RFKEAC" (at 232.41 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 22.86 0) + (property "Manufacturer" "Vishay" (at 232.41 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 22.86 0) + (property "Mouser No" "" (at 232.41 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 22.86 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 232.41 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid fc6ade5e-7b3e-42e0-9a7e-2d2fb2e5f5d7)) - (pin "2" (uuid b6b9af14-67a8-4866-8900-861b306ad0e3)) + (pin "1" (uuid f847d5b6-c1ea-4e01-8599-4bf4c34e71c5)) + (pin "2" (uuid 9317605a-4c6e-4b23-aa5a-3347fc37ad8c)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R6") (unit 1) + (reference "R127") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 73.66 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 156.21 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 1536ac57-53a9-42fd-845d-cbac531b56f7) - (property "Reference" "D68" (at 86.36 71.12 0) + (property "Reference" "D68" (at 25.4 153.67 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 71.12 0) + (property "Value" "2mA" (at 27.94 153.67 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 76.2 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 158.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 61.6712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 144.2212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 66.802 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 149.352 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 58.9788 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 141.5288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 77.978 0) + (property "Manufacturer" "Kingbright" (at 27.94 160.528 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid e622a372-f19a-4b15-9588-6f4044dcb3de)) @@ -5509,10 +5319,10 @@ (property "Reference" "D46" (at 54.61 96.52 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 96.52 0) + (property "Value" "2mA" (at 52.07 96.52 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 101.6 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 101.6 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 87.0712 0) @@ -5538,34 +5348,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 173.99 63.5 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 86.36 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 1b986806-31db-4db0-9eb0-88c7dd5907a1) - (property "Reference" "R119" (at 176.53 60.96 90) + (property "Reference" "R119" (at 105.41 83.82 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 172.72 60.96 90) + (property "Value" "100R" (at 109.22 83.82 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 63.5 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 118.11 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 173.99 63.5 0) + (property "Datasheet" "" (at 107.95 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 173.99 63.5 0) + (property "Mfr. No" "" (at 107.95 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 173.99 63.5 0) + (property "manf#" "CRCW0603100RFKEAC" (at 107.95 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 173.99 63.5 0) + (property "Manufacturer" "Vishay" (at 107.95 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 173.99 63.5 0) + (property "Mouser No" "" (at 107.95 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 173.99 63.5 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 86.36 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ae36d86f-1ca2-43d2-b837-51efb3a81fb6)) @@ -5585,10 +5395,10 @@ (property "Reference" "D86" (at 116.84 26.67 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 26.67 0) + (property "Value" "2mA" (at 114.3 26.67 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 31.75 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 31.75 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 17.2212 0) @@ -5603,47 +5413,88 @@ (property "Manufacturer" "Kingbright" (at 114.3 33.528 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid cb6f4293-98aa-4487-933d-7ddd16503cfc)) - (pin "C" (uuid e871ceaf-87e1-43ba-b38a-bddc0492612e)) + (pin "A" (uuid cb6f4293-98aa-4487-933d-7ddd16503cfc)) + (pin "C" (uuid e871ceaf-87e1-43ba-b38a-bddc0492612e)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D86") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 52.07 175.26 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1fcc3fb6-94f8-4d13-84d8-ad28f1045c28) + (property "Reference" "D110" (at 54.61 172.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 52.07 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 163.2712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LVBCD" (at 52.07 168.402 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LVBC/D" (at 52.07 160.5788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 52.07 179.578 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid 9f2c93b2-750f-4e4b-8a67-43298dac63d7)) + (pin "C" (uuid 07e0411d-7758-4960-9d8d-7f67287d4553)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D86") (unit 1) + (reference "D110") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 52.07 175.26 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 157.48 73.66 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 1fcc3fb6-94f8-4d13-84d8-ad28f1045c28) - (property "Reference" "D110" (at 54.61 172.72 0) + (uuid 1fee161c-5558-4e85-85f0-5fe5cc40eadd) + (property "Reference" "R105" (at 154.94 71.12 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 160.02 71.12 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 172.72 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 167.64 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 177.8 0) + (property "Datasheet" "" (at 157.48 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 163.2712 0) + (property "Mfr. No" "" (at 157.48 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 52.07 168.402 0) + (property "manf#" "CRCW0603680RFKEAC" (at 157.48 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 52.07 160.5788 0) + (property "Manufacturer" "Vishay" (at 157.48 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 52.07 179.578 0) + (property "Mouser No" "" (at 157.48 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 9f2c93b2-750f-4e4b-8a67-43298dac63d7)) - (pin "C" (uuid 07e0411d-7758-4960-9d8d-7f67287d4553)) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 157.48 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cdc5585a-f523-4542-88b3-9af229542abc)) + (pin "2" (uuid 1dec5070-63e1-425d-a94b-c37b0b28a82a)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D110") (unit 1) + (reference "R105") (unit 1) ) ) ) @@ -5655,10 +5506,10 @@ (property "Reference" "D135" (at 256.54 110.49 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 110.49 0) + (property "Value" "2mA" (at 254 110.49 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 115.57 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 115.57 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 101.0412 0) @@ -5684,34 +5535,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 99.06 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 181.61 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 21769a5b-865f-474b-bacf-0fdbb92bcc87) - (property "Reference" "R74" (at 97.79 96.52 90) + (property "Reference" "R74" (at 36.83 179.07 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 96.52 90) + (property "Value" "100R" (at 34.29 179.07 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 99.06 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 99.06 0) + (property "Datasheet" "" (at 34.29 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 99.06 0) + (property "Mfr. No" "" (at 34.29 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 99.06 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 99.06 0) + (property "Manufacturer" "Vishay" (at 34.29 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 99.06 0) + (property "Mouser No" "" (at 34.29 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 99.06 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 181.61 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ca738dfc-cc12-4171-970f-53a0e60a9c11)) @@ -5731,10 +5582,10 @@ (property "Reference" "D55" (at 54.61 140.97 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 140.97 0) + (property "Value" "2mA" (at 52.07 140.97 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 146.05 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 146.05 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 131.5212 0) @@ -5801,28 +5652,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 195.58 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 137.16 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 23f2a023-0784-4d88-9f9b-7c60984a3855) - (property "Reference" "D96" (at 118.11 193.04 0) + (property "Reference" "D96" (at 118.11 134.62 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 115.57 193.04 0) + (property "Value" "2mA" (at 115.57 134.62 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 115.57 198.12 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 139.7 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 183.5912 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 125.1712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 115.57 188.722 0) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 130.302 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 115.57 180.8988 0) + (property "manf#" "APHD1608LSURCK" (at 115.57 122.4788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 115.57 199.898 0) + (property "Manufacturer" "Kingbright" (at 115.57 141.478 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid e81dfca1-2841-492b-bc5d-59dc7c7e7099)) @@ -5836,34 +5687,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 111.76 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 67.31 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 242d97b1-9b30-4bd3-8339-5a3083d05b64) - (property "Reference" "R109" (at 110.49 109.22 90) + (property "Reference" "R109" (at 92.71 64.77 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 107.95 109.22 90) + (property "Value" "100R" (at 95.25 64.77 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 97.79 111.76 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 105.41 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 107.95 111.76 0) + (property "Datasheet" "" (at 95.25 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 107.95 111.76 0) + (property "Mfr. No" "" (at 95.25 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 107.95 111.76 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 107.95 111.76 0) + (property "Manufacturer" "Vishay" (at 95.25 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 107.95 111.76 0) + (property "Mouser No" "" (at 95.25 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 111.76 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 67.31 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d838cc9e-6824-41b8-b9e5-78d25a7c091b)) @@ -5883,10 +5734,10 @@ (property "Reference" "D126" (at 220.98 66.04 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 66.04 0) + (property "Value" "2mA" (at 223.52 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 71.12 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 71.12 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 56.5912 0) @@ -5953,34 +5804,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 173.99 44.45 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 86.36 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 26083192-e796-4e95-9828-4ba902a6cb55) - (property "Reference" "R40" (at 176.53 41.91 90) + (property "Reference" "R40" (at 97.79 83.82 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 172.72 41.91 90) + (property "Value" "100R" (at 93.98 83.82 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 44.45 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 173.99 44.45 0) + (property "Datasheet" "" (at 95.25 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 173.99 44.45 0) + (property "Mfr. No" "" (at 95.25 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 173.99 44.45 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 173.99 44.45 0) + (property "Manufacturer" "Vishay" (at 95.25 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 173.99 44.45 0) + (property "Mouser No" "" (at 95.25 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 173.99 44.45 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 86.36 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 38b6e00d-d3e5-4d8e-aea0-241d0473ddd8)) @@ -6035,28 +5886,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 162.56 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 86.36 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 26ec866c-0e1a-4c1e-a07b-1dc61666e44d) - (property "Reference" "D80" (at 25.4 160.02 0) + (property "Reference" "D80" (at 25.4 83.82 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 160.02 0) + (property "Value" "2mA" (at 27.94 83.82 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 165.1 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 150.5712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 74.3712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 155.702 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 79.502 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 147.8788 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 71.6788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 166.878 0) + (property "Manufacturer" "Kingbright" (at 27.94 90.678 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid a5a14c5f-333e-4009-ab6f-30d7c7486880)) @@ -6111,34 +5962,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 173.99 69.85 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 80.01 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 289d91a8-bb88-45f5-b074-58388c52325c) - (property "Reference" "R115" (at 176.53 67.31 90) + (property "Reference" "R115" (at 105.41 77.47 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 172.72 67.31 90) + (property "Value" "100R" (at 109.22 77.47 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 69.85 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 118.11 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 173.99 69.85 0) + (property "Datasheet" "" (at 107.95 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 173.99 69.85 0) + (property "Mfr. No" "" (at 107.95 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 173.99 69.85 0) + (property "manf#" "CRCW0603100RFKEAC" (at 107.95 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 173.99 69.85 0) + (property "Manufacturer" "Vishay" (at 107.95 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 173.99 69.85 0) + (property "Mouser No" "" (at 107.95 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 173.99 69.85 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 80.01 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 755fbfc3-58b1-4c71-89d9-048de61fa98a)) @@ -6152,41 +6003,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 22.86 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 29860c3f-8b3a-403b-a991-d93bce0850e0) - (property "Reference" "D4" (at 25.4 20.32 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 20.32 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 25.4 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 10.8712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 16.002 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 8.1788 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 27.178 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 431edd26-5a40-4f11-ac5d-d8392ae335f9)) - (pin "C" (uuid 70b13b6c-bc43-4157-bc42-c00f478d33de)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D4") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 245.11 43.18 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 29b9976f-0779-4cc4-a38d-bb136a7b6dc5) @@ -6269,28 +6085,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 118.11 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 41.91 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 2bf5fc8c-1151-4cd2-9a85-2b458def806c) - (property "Reference" "D108" (at 116.84 115.57 0) + (property "Reference" "D108" (at 86.36 39.37 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 115.57 0) + (property "Value" "2mA" (at 88.9 39.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 120.65 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 44.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 106.1212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 29.9212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 111.252 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 35.052 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 103.4288 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 27.2288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 114.3 122.428 0) + (property "Manufacturer" "Kingbright" (at 88.9 46.228 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 74c843c8-a0c7-441e-a6b9-4621cba08a2d)) @@ -6304,28 +6120,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 29.21 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 22.86 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 2bfd4347-40b6-41f1-8924-d0bbfbc3f039) - (property "Reference" "D6" (at 25.4 26.67 0) + (property "Reference" "D6" (at 25.4 20.32 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 26.67 0) + (property "Value" "2mA" (at 27.94 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 31.75 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 25.4 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 17.2212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 10.8712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 22.352 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 16.002 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 14.5288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 8.1788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 33.528 0) + (property "Manufacturer" "Kingbright" (at 27.94 27.178 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 4c8ac275-6f7e-4835-bf49-81b2ea51bc89)) @@ -6339,34 +6155,69 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 144.78 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 163.83 41.91 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 2cf3c8b1-986c-492c-aae8-49a39d83ac80) + (property "Reference" "D17" (at 166.37 39.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 163.83 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 163.83 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 163.83 29.9212 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 163.83 35.052 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 163.83 27.2288 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 163.83 46.228 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "C" (uuid eb0f9e4b-9314-4c28-b5b6-6b6f7a01c355)) + (pin "A" (uuid 43d2f621-c707-4607-a520-62d36ff0a65d)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D17") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 105.41 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 2d27d823-c922-46fe-8d1a-65af29cfc921) - (property "Reference" "R43" (at 106.68 142.24 90) + (property "Reference" "R43" (at 106.68 102.87 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 111.76 142.24 90) + (property "Value" "680R" (at 111.76 102.87 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 144.78 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 109.22 144.78 0) + (property "Datasheet" "" (at 109.22 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 109.22 144.78 0) + (property "Mfr. No" "" (at 109.22 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 109.22 144.78 0) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 109.22 144.78 0) + (property "Manufacturer" "Vishay" (at 109.22 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 109.22 144.78 0) + (property "Mouser No" "" (at 109.22 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 144.78 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 105.41 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 6a6c0e55-d457-4a20-882f-134297fd8b70)) @@ -6380,19 +6231,19 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 102.87 139.7 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 102.87 102.87 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 2d8adda0-6c1f-4492-8926-9c466ff6ae0f) - (property "Reference" "#PWR018" (at 104.14 139.7 90) + (property "Reference" "#PWR018" (at 104.14 102.87 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (at 102.87 135.89 0) + (property "Value" "+3V3" (at 102.87 99.06 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 102.87 139.7 0) + (property "Footprint" "" (at 102.87 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 102.87 139.7 0) + (property "Datasheet" "" (at 102.87 102.87 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 87ed3c02-7f92-45ab-aafa-ce0d49e44910)) @@ -6487,117 +6338,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 163.83 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 2f6c7364-b3b4-4099-99dd-7dc3da457b3e) - (property "Reference" "D76" (at 118.11 161.29 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Red_2mA" (at 115.57 161.29 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 115.57 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 151.8412 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LSURCK" (at 115.57 156.972 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LSURCK" (at 115.57 149.1488 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 115.57 168.148 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "C" (uuid 06cb127e-d6ee-4c3c-9c05-58feacb8b8d3)) - (pin "A" (uuid 50cdeddb-f4b0-4697-9244-1ee65e7ddacf)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D76") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 92.71 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 30c27941-13bf-42fc-8401-179dc1db394e) - (property "Reference" "D23" (at 25.4 90.17 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 95.25 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 80.7212 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 85.852 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 78.0288 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 97.028 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid a2f1cdf6-1239-4b7a-829c-bd2716651578)) - (pin "C" (uuid 9876dd89-98ef-48bb-b5db-8dfcd19b8e9e)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D23") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 67.31 270) (mirror x) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 3103077f-24aa-4dfa-a2ca-071b13b0b1b9) - (property "Reference" "R97" (at 105.41 64.77 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "100R" (at 107.95 64.77 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 118.11 67.31 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 107.95 67.31 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 107.95 67.31 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603100RFKEAC" (at 107.95 67.31 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 107.95 67.31 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 107.95 67.31 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 67.31 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 52ddd441-220a-417e-b6c0-cbceb61cda78)) - (pin "2" (uuid c46e089a-fb0b-4f89-ba99-e4c2135cbee1)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R97") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 245.11 119.38 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 312e20ce-8b4f-4ca2-8991-68a7b60f0112) @@ -6625,56 +6365,15 @@ (property "Mouser No" "" (at 245.11 119.38 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 245.11 119.38 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8b96d1a6-ceab-4364-b3cd-7853bd8bfd38)) - (pin "2" (uuid 57dbd2ff-52b3-474d-857d-a162fd770340)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R152") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 156.21 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 31562ab4-75f9-412e-8219-cca7c1586927) - (property "Reference" "R81" (at 36.83 153.67 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "100R" (at 33.02 153.67 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 34.29 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 34.29 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 34.29 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 34.29 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 156.21 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 245.11 119.38 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 7e06075f-e80a-45af-ae4a-7f944b0316a4)) - (pin "2" (uuid f7fda17b-e3ab-4e03-832a-1e7a6f2d0074)) + (pin "1" (uuid 8b96d1a6-ceab-4364-b3cd-7853bd8bfd38)) + (pin "2" (uuid 57dbd2ff-52b3-474d-857d-a162fd770340)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R81") (unit 1) + (reference "R152") (unit 1) ) ) ) @@ -6762,47 +6461,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 48.26 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 328208bc-14ff-42b1-8091-ed350998a8ee) - (property "Reference" "R65" (at 97.79 45.72 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "100R" (at 95.25 45.72 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 95.25 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 95.25 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 95.25 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 95.25 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid eb6e727b-1a26-4376-8e47-6e577d7dc63f)) - (pin "2" (uuid 64e98203-53da-48e7-9060-b069f7687c8d)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R65") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 101.6 19.05 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 33089980-ef1c-4d0f-a972-02406d6ca5f9) @@ -6828,41 +6486,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 118.11 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 337fe2c0-350f-4741-b087-135c0cec5a98) - (property "Reference" "D103" (at 86.36 115.57 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 88.9 115.57 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 120.65 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 106.1212 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 111.252 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 103.4288 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 88.9 122.428 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 266b5352-6294-4658-a15c-0a6dcb107a5a)) - (pin "C" (uuid ff65959f-e542-4a09-acba-052a5cb2261d)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D103") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 232.41 106.68 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 33889a0c-7bb4-46a2-aa3c-b6b596e1ff71) @@ -6904,34 +6527,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 67.31 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 149.86 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 33cdef0f-9ec7-46a3-a26c-24b0596488e9) - (property "Reference" "R69" (at 97.79 64.77 90) + (property "Reference" "R69" (at 36.83 147.32 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 64.77 90) + (property "Value" "100R" (at 34.29 147.32 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 67.31 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 149.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 67.31 0) + (property "Datasheet" "" (at 34.29 149.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 67.31 0) + (property "Mfr. No" "" (at 34.29 149.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 67.31 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 149.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 67.31 0) + (property "Manufacturer" "Vishay" (at 34.29 149.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 67.31 0) + (property "Mouser No" "" (at 34.29 149.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 67.31 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 149.86 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 22e7e6a7-6f30-4b0c-9757-36d627f7fafa)) @@ -6951,10 +6574,10 @@ (property "Reference" "D115" (at 54.61 185.42 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 185.42 0) + (property "Value" "2mA" (at 52.07 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 190.5 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 190.5 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 175.9712 0) @@ -7021,110 +6644,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 48.26 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 35324e1d-1ca8-4924-993e-5369ef6c4506) - (property "Reference" "D10" (at 25.4 45.72 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 45.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 50.8 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 36.2712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 41.402 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 33.5788 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 52.578 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 58b82db8-2e85-4a9c-98b2-4993896e80f3)) - (pin "C" (uuid f0d56672-7798-40af-9b4c-eef084bc0465)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D10") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 73.66 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 353d4692-ef07-471c-8f61-605c1b14c1d4) - (property "Reference" "R19" (at 36.83 71.12 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "100R" (at 33.02 71.12 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 34.29 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 34.29 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 34.29 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 34.29 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 65a97de2-17ca-4a48-ba76-3aaa8ac7ad6b)) - (pin "2" (uuid 9e143e56-9feb-4114-a295-4a2f6355a366)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R19") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 195.58 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 137.16 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 37504a3a-25d0-4e2f-8f23-221b8beb2764) - (property "Reference" "R98" (at 111.76 193.04 90) + (property "Reference" "R98" (at 111.76 134.62 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 106.68 193.04 90) + (property "Value" "680R" (at 106.68 134.62 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 99.06 195.58 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 99.06 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 109.22 195.58 0) + (property "Datasheet" "" (at 109.22 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 109.22 195.58 0) + (property "Mfr. No" "" (at 109.22 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 109.22 195.58 0) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 109.22 195.58 0) + (property "Manufacturer" "Vishay" (at 109.22 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 109.22 195.58 0) + (property "Mouser No" "" (at 109.22 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 195.58 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 137.16 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 655aa036-79cd-44c2-be05-f14efdf97761)) @@ -7138,28 +6685,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 187.96 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 111.76 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 38022099-d7a6-4217-ba91-f0401876c877) - (property "Reference" "D73" (at 25.4 185.42 0) + (property "Reference" "D73" (at 25.4 109.22 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 185.42 0) + (property "Value" "2mA" (at 27.94 109.22 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 190.5 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 114.3 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 175.9712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 99.7712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 181.102 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 104.902 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 173.2788 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 97.0788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 192.278 0) + (property "Manufacturer" "Kingbright" (at 27.94 116.078 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid ac41e306-ba67-4ada-95be-083eb98a00d1)) @@ -7173,28 +6720,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 176.53 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 130.81 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 38026cdf-0326-4fab-ba13-4783ad933f15) - (property "Reference" "D14" (at 88.9 173.99 0) + (property "Reference" "D14" (at 88.9 128.27 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 91.44 173.99 0) + (property "Value" "2mA" (at 91.44 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 91.44 179.07 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 91.44 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 164.5412 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 118.8212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 91.44 169.672 0) + (property "mouser#" "604-APHD1608LSURCK" (at 91.44 123.952 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 91.44 161.8488 0) + (property "manf#" "APHD1608LSURCK" (at 91.44 116.1288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 91.44 180.848 0) + (property "Manufacturer" "Kingbright" (at 91.44 135.128 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid d3ff76cc-8d9b-4f5f-9a36-324e7a884be3)) @@ -7249,28 +6796,69 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 86.36 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 162.56 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 38c3233e-8c34-4ae0-8ac9-35696a83d83b) + (property "Reference" "R80" (at 106.68 160.02 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 109.22 160.02 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 109.22 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 109.22 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 109.22 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bea7e71b-7455-4834-b9ad-a899765ff763)) + (pin "2" (uuid 09321396-76e3-437c-a91c-311dde1f3eeb)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R80") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 168.91 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 3990e16a-9c0e-4c91-9fb5-5ba7edf18e56) - (property "Reference" "D70" (at 86.36 83.82 0) + (property "Reference" "D70" (at 25.4 166.37 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 83.82 0) + (property "Value" "2mA" (at 27.94 166.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 88.9 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 171.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 74.3712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 156.9212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 79.502 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 162.052 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 71.6788 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 154.2288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 90.678 0) + (property "Manufacturer" "Kingbright" (at 27.94 173.228 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid c298d229-f60a-41d1-b623-af45d7b32c34)) @@ -7284,34 +6872,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 176.53 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 130.81 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 3993400c-13d1-401e-8157-121471faa083) - (property "Reference" "R16" (at 100.33 173.99 90) + (property "Reference" "R16" (at 100.33 128.27 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 95.25 173.99 90) + (property "Value" "680R" (at 95.25 128.27 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 176.53 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 97.79 176.53 0) + (property "Datasheet" "" (at 97.79 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 97.79 176.53 0) + (property "Mfr. No" "" (at 97.79 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 97.79 176.53 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 97.79 176.53 0) + (property "Manufacturer" "Vishay" (at 97.79 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 97.79 176.53 0) + (property "Mouser No" "" (at 97.79 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 176.53 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 130.81 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid f8e69823-940d-4dc5-81f7-d6bcbeb26ead)) @@ -7325,77 +6913,112 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 254 81.28 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 156.21 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 3ab1544a-5d95-430a-a019-ebc9f90a4255) - (property "Reference" "D144" (at 256.54 78.74 0) + (uuid 39c8e7f3-12d5-4d23-bd66-68038a5cf0f2) + (property "Reference" "R10" (at 100.33 153.67 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 78.74 0) + (property "Value" "680R" (at 97.79 153.67 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 83.82 0) + (property "Datasheet" "" (at 97.79 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 69.2912 0) + (property "Mfr. No" "" (at 97.79 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 254 74.422 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 254 66.5988 0) + (property "Manufacturer" "Vishay" (at 97.79 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 254 85.598 0) + (property "Mouser No" "" (at 97.79 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid add152ee-e955-4af5-832e-970938efba2a)) - (pin "C" (uuid b58a5799-ec92-4a50-85e5-bad84275a78b)) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid edf16ae6-1d37-45e5-9725-3d22c048dcdc)) + (pin "2" (uuid a14d3a0f-3141-4bb1-98d9-42a1d1318d31)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D144") (unit 1) + (reference "R10") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 143.51 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 90.17 181.61 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 3b41403d-d7c8-464c-acc0-49d5847d3ad2) - (property "Reference" "R79" (at 36.83 140.97 90) + (uuid 39ff7516-8de4-4283-bcb0-9e740d49a08d) + (property "Reference" "D20" (at 87.63 179.07 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 140.97 90) - (effects (font (size 1.27 1.27)) (justify left)) + (property "Value" "2mA" (at 90.17 188.214 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 143.51 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 90.17 184.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 143.51 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 90.17 169.6212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 143.51 0) + (property "mouser#" "604-APHD1608LSURCK" (at 90.17 174.752 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 143.51 0) + (property "manf#" "APHD1608LSURCK" (at 90.17 166.9288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 143.51 0) + (property "Manufacturer" "Kingbright" (at 90.17 185.928 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 143.51 0) + (pin "A" (uuid ebe56536-007a-465f-9a6d-885a5fefed9f)) + (pin "C" (uuid 973440b6-6718-4f7c-a135-890fb912be0d)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D20") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 254 81.28 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 3ab1544a-5d95-430a-a019-ebc9f90a4255) + (property "Reference" "D144" (at 256.54 78.74 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 254 78.74 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 143.51 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 69.2912 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LVBCD" (at 254 74.422 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 73fa6f32-fc20-4d9f-9f29-ab5597f230c0)) - (pin "2" (uuid 87cfcf4b-9718-4037-9c24-e019a5a96a60)) + (property "manf#" "APHD1608LVBC/D" (at 254 66.5988 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 254 85.598 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid add152ee-e955-4af5-832e-970938efba2a)) + (pin "C" (uuid b58a5799-ec92-4a50-85e5-bad84275a78b)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R79") (unit 1) + (reference "D144") (unit 1) ) ) ) @@ -7407,10 +7030,10 @@ (property "Reference" "D137" (at 256.54 34.29 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 34.29 0) + (property "Value" "2mA" (at 254 34.29 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 39.37 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 39.37 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 24.8412 0) @@ -7436,34 +7059,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 151.13 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 111.76 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 3d22f60a-315c-48e7-a457-64b5147d84f1) - (property "Reference" "R7" (at 100.33 148.59 90) + (property "Reference" "R7" (at 100.33 109.22 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 95.25 148.59 90) + (property "Value" "680R" (at 95.25 109.22 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 151.13 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 97.79 151.13 0) + (property "Datasheet" "" (at 97.79 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 97.79 151.13 0) + (property "Mfr. No" "" (at 97.79 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 97.79 151.13 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 97.79 151.13 0) + (property "Manufacturer" "Vishay" (at 97.79 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 97.79 151.13 0) + (property "Mouser No" "" (at 97.79 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 151.13 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 111.76 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ba7c3b41-ed74-4a4c-9f18-dca5442c5544)) @@ -7477,34 +7100,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 181.61 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 105.41 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 3d7417e8-cbaf-4505-897b-866c7f6ee823) - (property "Reference" "R86" (at 36.83 179.07 90) + (property "Reference" "R86" (at 36.83 102.87 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 179.07 90) + (property "Value" "100R" (at 33.02 102.87 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 181.61 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 181.61 0) + (property "Datasheet" "" (at 34.29 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 181.61 0) + (property "Mfr. No" "" (at 34.29 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 181.61 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 181.61 0) + (property "Manufacturer" "Vishay" (at 34.29 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 181.61 0) + (property "Mouser No" "" (at 34.29 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 181.61 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 105.41 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 4379b249-ffef-4f62-8fce-d3f1af5c085f)) @@ -7518,34 +7141,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 86.36 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 168.91 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 3de12792-e14e-43d8-a767-ddd15fd33a10) - (property "Reference" "R72" (at 97.79 83.82 90) + (property "Reference" "R72" (at 36.83 166.37 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 83.82 90) + (property "Value" "100R" (at 34.29 166.37 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 86.36 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 168.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 86.36 0) + (property "Datasheet" "" (at 34.29 168.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 86.36 0) + (property "Mfr. No" "" (at 34.29 168.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 86.36 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 168.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 86.36 0) + (property "Manufacturer" "Vishay" (at 34.29 168.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 86.36 0) + (property "Mouser No" "" (at 34.29 168.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 86.36 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 168.91 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 832a8909-b882-4363-9500-f1b23feb2178)) @@ -7606,10 +7229,10 @@ (property "Reference" "D132" (at 220.98 104.14 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 104.14 0) + (property "Value" "2mA" (at 223.52 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 109.22 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 109.22 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 94.6912 0) @@ -7676,69 +7299,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 176.53 270) (mirror x) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 3fb869d0-8f08-4c67-bc7f-eef51dc7f5f8) - (property "Reference" "R94" (at 106.68 173.99 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "680R" (at 111.76 173.99 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 109.22 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 109.22 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603680RFKEAC" (at 109.22 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 109.22 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 109.22 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1c9c9f5d-06f7-4156-80c4-eb52134c0a95)) - (pin "2" (uuid 7391eac8-5195-4143-a6cc-28a8d2754118)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R94") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 166.37 69.85 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 80.01 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 3fbe435d-2cf8-4596-9e6c-9721b568af22) - (property "Reference" "D113" (at 163.83 67.31 0) + (property "Reference" "D113" (at 116.84 77.47 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 166.37 67.31 0) + (property "Value" "2mA" (at 114.3 77.47 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 166.37 72.39 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 82.55 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 166.37 57.8612 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 68.0212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 166.37 62.992 0) + (property "mouser#" "604-APHD1608LVBCD" (at 114.3 73.152 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 166.37 55.1688 0) + (property "manf#" "APHD1608LVBC/D" (at 114.3 65.3288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 166.37 74.168 0) + (property "Manufacturer" "Kingbright" (at 114.3 84.328 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid af7afa47-dcd3-42f6-a849-1c10cd3b5887)) @@ -7758,10 +7340,10 @@ (property "Reference" "D147" (at 256.54 97.79 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 97.79 0) + (property "Value" "2mA" (at 254 97.79 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 102.87 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 102.87 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 88.3412 0) @@ -7787,34 +7369,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 168.91 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 92.71 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 410646b9-7309-4524-a45c-db21c779c561) - (property "Reference" "R84" (at 36.83 166.37 90) + (property "Reference" "R84" (at 36.83 90.17 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 166.37 90) + (property "Value" "100R" (at 33.02 90.17 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 168.91 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 168.91 0) + (property "Datasheet" "" (at 34.29 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 168.91 0) + (property "Mfr. No" "" (at 34.29 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 168.91 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 168.91 0) + (property "Manufacturer" "Vishay" (at 34.29 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 168.91 0) + (property "Mouser No" "" (at 34.29 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 168.91 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 92.71 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 0e4c36d9-385b-49e0-9d34-85ccb0ffabc0)) @@ -7828,69 +7410,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 41.91 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 41c957f0-d6fb-4e00-b077-e82cc5c0530a) - (property "Reference" "R10" (at 36.83 39.37 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "100R" (at 33.02 39.37 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 34.29 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 34.29 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 34.29 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 34.29 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 3676c3ad-e46e-4d82-8697-2793dbd56aa8)) - (pin "2" (uuid 532b4926-64d9-4f0f-af95-9d81ae3575f9)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R10") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 181.61 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 105.41 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 41da9fd0-6f17-48a8-87d7-fad289f492ec) - (property "Reference" "D84" (at 25.4 179.07 0) + (property "Reference" "D84" (at 25.4 102.87 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 179.07 0) + (property "Value" "2mA" (at 27.94 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 184.15 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 169.6212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 93.4212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 174.752 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 98.552 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 166.9288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 90.7288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 185.928 0) + (property "Manufacturer" "Kingbright" (at 27.94 109.728 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 63197046-f059-48cc-aeda-1a407f582065)) @@ -7904,28 +7445,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 170.18 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 124.46 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 426c75d9-9de8-4ccc-9ce2-2b85b06fdd01) - (property "Reference" "D13" (at 88.9 167.64 0) + (property "Reference" "D13" (at 88.9 121.92 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 91.44 167.64 0) + (property "Value" "2mA" (at 91.44 121.92 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 91.44 172.72 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 91.44 127 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 158.1912 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 112.4712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 91.44 163.322 0) + (property "mouser#" "604-APHD1608LSURCK" (at 91.44 117.602 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 91.44 155.4988 0) + (property "manf#" "APHD1608LSURCK" (at 91.44 109.7788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 91.44 174.498 0) + (property "Manufacturer" "Kingbright" (at 91.44 128.778 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid 790dbbf6-1643-45e0-a3e1-aee7555a2345)) @@ -7980,42 +7521,42 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 92.71 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 181.61 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 45023caa-7d6e-44a0-a634-0c8b3cd07f87) - (property "Reference" "R25" (at 36.83 90.17 90) + (uuid 454c645c-b41e-4629-91be-65bf6913c053) + (property "Reference" "R102" (at 106.68 179.07 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 90.17 90) + (property "Value" "680R" (at 114.3 179.07 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 92.71 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 99.06 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 92.71 0) + (property "Datasheet" "" (at 109.22 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 92.71 0) + (property "Mfr. No" "" (at 109.22 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 92.71 0) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 92.71 0) + (property "Manufacturer" "Vishay" (at 109.22 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 92.71 0) + (property "Mouser No" "" (at 109.22 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 92.71 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 2fc8b52e-bf88-44c5-b647-06c3b4936fbd)) - (pin "2" (uuid 168b910d-f3d9-442b-abe7-d88522fd4d04)) + (pin "1" (uuid b1b21e0c-c368-4f94-9a40-96dd2531b41a)) + (pin "2" (uuid a547b7e6-5b7c-4444-967f-9b54afbe188e)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R25") (unit 1) + (reference "R102") (unit 1) ) ) ) @@ -8068,10 +7609,10 @@ (property "Reference" "D88" (at 116.84 39.37 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 39.37 0) + (property "Value" "2mA" (at 114.3 39.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 44.45 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 44.45 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 29.9212 0) @@ -8097,69 +7638,69 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 163.83 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 35.56 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 4944c795-492c-427a-b852-44cb82d40c05) - (property "Reference" "D11" (at 88.9 161.29 0) + (uuid 48aceb35-5fdd-42ae-ade5-172a3c230054) + (property "Reference" "D76" (at 25.4 33.02 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 91.44 161.29 0) + (property "Value" "2mA" (at 27.94 33.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 91.44 166.37 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 38.1 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 151.8412 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 23.5712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 91.44 156.972 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 28.702 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 91.44 149.1488 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 20.8788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 91.44 168.148 0) + (property "Manufacturer" "Kingbright" (at 27.94 39.878 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "C" (uuid a1799442-3aaf-4c57-8cde-b7cc4486302a)) - (pin "A" (uuid e15b52b2-259f-4627-9ae8-604aaa671545)) + (pin "A" (uuid c810ef32-bde5-4469-95fa-ce05b057352c)) + (pin "C" (uuid e5d0d8f2-4856-4a68-940e-ae74c4f44056)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D11") (unit 1) + (reference "D76") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 111.76 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 67.31 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 499ba412-12a4-4df3-be0a-e03d85183f7f) - (property "Reference" "R28" (at 36.83 109.22 90) + (property "Reference" "R28" (at 36.83 64.77 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 109.22 90) + (property "Value" "100R" (at 33.02 64.77 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 111.76 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 111.76 0) + (property "Datasheet" "" (at 34.29 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 111.76 0) + (property "Mfr. No" "" (at 34.29 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 111.76 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 111.76 0) + (property "Manufacturer" "Vishay" (at 34.29 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 111.76 0) + (property "Mouser No" "" (at 34.29 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 111.76 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 67.31 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 3bcdc1d8-1712-45e1-9a49-99419e0186bd)) @@ -8173,28 +7714,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 35.56 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 29.21 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 49c46250-a64c-41e3-ba62-931b276f9608) - (property "Reference" "D7" (at 25.4 33.02 0) + (property "Reference" "D7" (at 25.4 26.67 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 33.02 0) + (property "Value" "2mA" (at 27.94 26.67 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 38.1 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 31.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 23.5712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 17.2212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 28.702 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 22.352 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 20.8788 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 14.5288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 39.878 0) + (property "Manufacturer" "Kingbright" (at 27.94 33.528 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid cdc9ca95-de4f-4391-bb9c-3979467fae9f)) @@ -8255,10 +7796,10 @@ (property "Reference" "D127" (at 220.98 72.39 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 72.39 0) + (property "Value" "2mA" (at 223.52 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 77.47 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 77.47 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 62.9412 0) @@ -8325,41 +7866,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 111.76 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 4c0bcf12-3c05-4df7-956d-2a270877b73b) - (property "Reference" "D102" (at 86.36 109.22 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 88.9 109.22 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 99.7712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 104.902 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 97.0788 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 88.9 116.078 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 4e0fdd24-07b8-4fcc-8803-2057132039d0)) - (pin "C" (uuid 72a6f332-cab3-4e82-ad4d-f28af5219aa7)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D102") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 35.56 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 4d1a9a4e-0dac-418d-a7fb-fbf694c29dbf) @@ -8381,165 +7887,89 @@ (property "manf#" "CRCW0603100RFKEAC" (at 107.95 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 107.95 35.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 107.95 35.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 35.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 184ce84a-b1a7-4c28-a26b-09282fc59b3f)) - (pin "2" (uuid 4bcd1df7-5d89-456b-9281-08c71bb8c1be)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R89") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 254 55.88 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 4dc6d893-8e27-44b5-a3a9-ad7be7ee3686) - (property "Reference" "D140" (at 256.54 53.34 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 254 53.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 58.42 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 43.8912 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 254 49.022 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 254 41.1988 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 254 60.198 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid dd8663e5-47ca-4b59-a3a2-4f56557f013e)) - (pin "C" (uuid 5cee8b23-c878-43c5-a104-f485fa94c5bf)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D140") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 163.83 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 50bdb47c-b324-4292-86f1-f8fa75ecef4c) - (property "Reference" "R13" (at 100.33 161.29 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "680R" (at 95.25 161.29 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 97.79 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 97.79 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603680RFKEAC" (at 97.79 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 97.79 163.83 0) + (property "Manufacturer" "Vishay" (at 107.95 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 97.79 163.83 0) + (property "Mouser No" "" (at 107.95 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 163.83 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 35b72670-ad8b-42eb-b0fd-27212c6154ea)) - (pin "2" (uuid 2f1403a8-9e5f-4f19-a0f9-4956ee93e18d)) + (pin "1" (uuid 184ce84a-b1a7-4c28-a26b-09282fc59b3f)) + (pin "2" (uuid 4bcd1df7-5d89-456b-9281-08c71bb8c1be)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R13") (unit 1) + (reference "R89") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 176.53 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 254 55.88 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 5158b9cc-80b1-45e8-8a04-1ab3e9d87368) - (property "Reference" "D92" (at 118.11 173.99 0) + (uuid 4dc6d893-8e27-44b5-a3a9-ad7be7ee3686) + (property "Reference" "D140" (at 256.54 53.34 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 115.57 173.99 0) + (property "Value" "2mA" (at 254 53.34 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 115.57 179.07 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 164.5412 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 43.8912 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 115.57 169.672 0) + (property "mouser#" "604-APHD1608LVBCD" (at 254 49.022 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 115.57 161.8488 0) + (property "manf#" "APHD1608LVBC/D" (at 254 41.1988 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 115.57 180.848 0) + (property "Manufacturer" "Kingbright" (at 254 60.198 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "C" (uuid 9d32e22d-7d96-4e83-93df-e199b6e8b2cf)) - (pin "A" (uuid b434ece0-e26d-4028-822a-ea9bfa20299a)) + (pin "A" (uuid dd8663e5-47ca-4b59-a3a2-4f56557f013e)) + (pin "C" (uuid 5cee8b23-c878-43c5-a104-f485fa94c5bf)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D92") (unit 1) + (reference "D140") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 170.18 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 124.46 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 51993c84-e963-4243-958e-292fadf0ada4) - (property "Reference" "R15" (at 100.33 167.64 90) + (property "Reference" "R15" (at 100.33 121.92 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 95.25 167.64 90) + (property "Value" "680R" (at 95.25 121.92 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 170.18 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 97.79 170.18 0) + (property "Datasheet" "" (at 97.79 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 97.79 170.18 0) + (property "Mfr. No" "" (at 97.79 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 97.79 170.18 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 97.79 170.18 0) + (property "Manufacturer" "Vishay" (at 97.79 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 97.79 170.18 0) + (property "Mouser No" "" (at 97.79 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 170.18 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 124.46 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 68f8eed0-c794-4f2d-aa91-8eba8811e073)) @@ -8594,6 +8024,47 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 157.48 35.56 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 536ffc33-e2d0-448a-900b-61b4681224b9) + (property "Reference" "R18" (at 154.94 33.02 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 160.02 33.02 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 167.64 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 157.48 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 157.48 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 157.48 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 157.48 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 157.48 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 157.48 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 77e85fba-26bd-4573-830d-a693dadbc3bc)) + (pin "2" (uuid 032d1e11-0777-43e9-8485-370cc731fc3a)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R18") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 45.72 29.21 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 53934243-84e8-4a77-b4a7-a5ee1b938870) @@ -8701,51 +8172,16 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 124.46 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 54ea0f47-309a-4686-9a0d-b005ecc6430d) - (property "Reference" "D97" (at 86.36 121.92 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 88.9 121.92 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 127 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 112.4712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 117.602 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 109.7788 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 88.9 128.778 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 4664b651-5187-4586-9b48-df6412963588)) - (pin "C" (uuid 11edc3c2-5479-4c65-8910-80788bc2f490)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D97") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 254 106.68 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 559e583d-a3c2-42fe-aed9-2caf8bdf6a28) (property "Reference" "D134" (at 256.54 104.14 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 104.14 0) + (property "Value" "2mA" (at 254 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 109.22 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 109.22 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 94.6912 0) @@ -8771,69 +8207,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 170.18 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 55a29d1e-1c1f-4ca6-8b75-2cded2383cea) - (property "Reference" "D81" (at 118.11 167.64 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Red_2mA" (at 115.57 167.64 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 115.57 172.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 158.1912 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LSURCK" (at 115.57 163.322 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LSURCK" (at 115.57 155.4988 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 115.57 174.498 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "C" (uuid 1b39af6e-10a4-44d5-9c14-5f84e5889ec1)) - (pin "A" (uuid 137bca37-20a0-4104-bf92-d6c47358643b)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D81") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 144.78 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 105.41 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 56297cce-81d5-4d15-bc30-9a764e874271) - (property "Reference" "R5" (at 100.33 142.24 90) + (property "Reference" "R5" (at 100.33 102.87 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 95.25 142.24 90) + (property "Value" "680R" (at 95.25 102.87 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 144.78 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 97.79 144.78 0) + (property "Datasheet" "" (at 97.79 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 97.79 144.78 0) + (property "Mfr. No" "" (at 97.79 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 97.79 144.78 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 97.79 144.78 0) + (property "Manufacturer" "Vishay" (at 97.79 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 97.79 144.78 0) + (property "Mouser No" "" (at 97.79 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 144.78 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 105.41 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 84b11d55-5c5e-4005-b566-96cfa7651cd5)) @@ -8853,10 +8254,10 @@ (property "Reference" "D48" (at 54.61 109.22 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 109.22 0) + (property "Value" "2mA" (at 52.07 109.22 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 114.3 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 114.3 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 99.7712 0) @@ -8882,34 +8283,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 60.96 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 143.51 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 59a4cc34-c034-400c-a469-cddb180d1bc1) - (property "Reference" "R67" (at 97.79 58.42 90) + (property "Reference" "R67" (at 36.83 140.97 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 58.42 90) + (property "Value" "100R" (at 34.29 140.97 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 60.96 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 60.96 0) + (property "Datasheet" "" (at 34.29 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 60.96 0) + (property "Mfr. No" "" (at 34.29 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 60.96 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 60.96 0) + (property "Manufacturer" "Vishay" (at 34.29 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 60.96 0) + (property "Mouser No" "" (at 34.29 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 60.96 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 143.51 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 37589d0a-5a79-4117-8831-4f28919a138e)) @@ -8923,6 +8324,41 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 163.83 35.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 59f1e1ad-cb2a-42a5-b9b8-743d6d5ace33) + (property "Reference" "D16" (at 166.37 33.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 163.83 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 163.83 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 163.83 23.5712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 163.83 28.702 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 163.83 20.8788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 163.83 39.878 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "C" (uuid 1008d8eb-f5eb-4829-a437-329691f79735)) + (pin "A" (uuid ec02734d-6587-4716-a7a4-81ad44f94f4f)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D16") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 232.41 30.48 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 5a28e9c0-f1ac-48e8-8064-fa3e84602a5e) @@ -8964,28 +8400,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 67.31 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 149.86 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 5aba249e-5ceb-4017-b76e-86e3678241e9) - (property "Reference" "D67" (at 86.36 64.77 0) + (property "Reference" "D67" (at 25.4 147.32 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 64.77 0) + (property "Value" "2mA" (at 27.94 147.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 69.85 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 152.4 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 55.3212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 137.8712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 60.452 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 143.002 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 52.6288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 135.1788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 71.628 0) + (property "Manufacturer" "Kingbright" (at 27.94 154.178 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 27e62a35-be39-4966-90e2-8671c3a63c04)) @@ -8999,36 +8435,36 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 143.51 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 163.83 29.21 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 5ae60373-7d27-4e65-94f0-8020391582fe) - (property "Reference" "D77" (at 25.4 140.97 0) + (uuid 5aeff784-3722-4376-8bc0-e0e2f8f96146) + (property "Reference" "D10" (at 166.37 26.67 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 140.97 0) + (property "Value" "2mA" (at 163.83 26.67 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 146.05 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 163.83 31.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 131.5212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 163.83 17.2212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 136.652 0) + (property "mouser#" "604-APHD1608LSURCK" (at 163.83 22.352 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 128.8288 0) + (property "manf#" "APHD1608LSURCK" (at 163.83 14.5288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 147.828 0) + (property "Manufacturer" "Kingbright" (at 163.83 33.528 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 715c9bfe-dfa9-498a-8cec-a69d5d05e641)) - (pin "C" (uuid e2c9300e-d3bc-4e03-8581-d627975ea297)) + (pin "C" (uuid 736c704e-3a5e-449e-a44c-1fada9078761)) + (pin "A" (uuid 6a8698cb-c35e-4f64-b5e6-beb0d34ead45)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D77") (unit 1) + (reference "D10") (unit 1) ) ) ) @@ -9040,10 +8476,10 @@ (property "Reference" "D44" (at 54.61 83.82 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 83.82 0) + (property "Value" "2mA" (at 52.07 83.82 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 88.9 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 88.9 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 74.3712 0) @@ -9075,10 +8511,10 @@ (property "Reference" "D111" (at 54.61 179.07 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 179.07 0) + (property "Value" "2mA" (at 52.07 179.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 184.15 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 184.15 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 169.6212 0) @@ -9104,75 +8540,69 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 124.46 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 41.91 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 5ce0689e-7584-4e39-ba6f-6a56032688aa) - (property "Reference" "R99" (at 92.71 121.92 90) + (uuid 5c091980-9c02-49cd-9b90-04d035618ab9) + (property "Reference" "D81" (at 25.4 39.37 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 121.92 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 105.41 124.46 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 95.25 124.46 0) + (property "Value" "2mA" (at 27.94 39.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 124.46 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 44.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 124.46 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 29.9212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 124.46 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 35.052 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 124.46 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 27.2288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 124.46 0) + (property "Manufacturer" "Kingbright" (at 27.94 46.228 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid fd0072e5-57b4-4f45-89c9-4aa32058bdb8)) - (pin "2" (uuid bfd2fdb7-5ec9-4c0d-bd6d-bef9d49e0a7e)) + (pin "A" (uuid c51d371b-acee-495d-b617-b343adcc595f)) + (pin "C" (uuid 10ef1356-c59f-475e-bb6a-91dafa6acd7b)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R99") (unit 1) + (reference "D81") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 173.99 57.15 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 92.71 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 5e19280e-3a0d-41d0-aac5-e646bb035ba3) - (property "Reference" "R121" (at 176.53 54.61 90) + (property "Reference" "R121" (at 105.41 90.17 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 172.72 54.61 90) + (property "Value" "100R" (at 109.22 90.17 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 57.15 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 118.11 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 173.99 57.15 0) + (property "Datasheet" "" (at 107.95 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 173.99 57.15 0) + (property "Mfr. No" "" (at 107.95 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 173.99 57.15 0) + (property "manf#" "CRCW0603100RFKEAC" (at 107.95 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 173.99 57.15 0) + (property "Manufacturer" "Vishay" (at 107.95 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 173.99 57.15 0) + (property "Mouser No" "" (at 107.95 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 173.99 57.15 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 92.71 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1ce2c9fa-adb3-4798-8bd8-201492b53936)) @@ -9186,6 +8616,82 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 175.26 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 5e63db18-2e54-404c-912d-6f069e4a89f0) + (property "Reference" "D98" (at 118.11 172.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 115.57 181.864 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 163.2712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 168.402 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 115.57 160.5788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 115.57 179.578 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid 5a11adcc-89a7-450f-ad23-04ee11e8e091)) + (pin "C" (uuid 0997d6bd-0300-456d-a3f5-5346766ef744)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D98") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 157.48 60.96 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 5f23252a-9d14-432a-9428-54471d76dea0) + (property "Reference" "R101" (at 154.94 58.42 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 160.02 58.42 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 167.64 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 157.48 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 157.48 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 157.48 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 157.48 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 157.48 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 157.48 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 987c1001-682c-4217-8748-03312e727341)) + (pin "2" (uuid 6281018a-7cc8-4326-8c69-f0aa5bf5fa47)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R101") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 232.41 119.38 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 5ffb64ab-a529-450e-98c4-5f6c812866b3) @@ -9233,10 +8739,10 @@ (property "Reference" "D33" (at 54.61 33.02 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 33.02 0) + (property "Value" "2mA" (at 52.07 33.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 38.1 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 38.1 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 23.5712 0) @@ -9268,10 +8774,10 @@ (property "Reference" "D143" (at 256.54 72.39 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 72.39 0) + (property "Value" "2mA" (at 254 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 77.47 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 77.47 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 62.9412 0) @@ -9297,51 +8803,16 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 80.01 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 617aba00-77c7-4128-a209-5ccaa0831a54) - (property "Reference" "D99" (at 116.84 77.47 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 114.3 77.47 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 68.0212 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 73.152 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 65.3288 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 114.3 84.328 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid de92e4fb-6c36-4df2-aff8-5acc35c5b03a)) - (pin "C" (uuid 816b6340-5417-4b07-9c1a-b7cfc5740f0d)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D99") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 52.07 118.11 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 6282eafa-a4e2-4ddd-a969-b1f572ef3604) (property "Reference" "D50" (at 54.61 115.57 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 115.57 0) + (property "Value" "2mA" (at 52.07 115.57 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 120.65 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 120.65 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 106.1212 0) @@ -9367,28 +8838,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 166.37 31.75 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 73.66 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 6289b0bc-16ef-4d55-8385-02ec3ff2aad2) - (property "Reference" "D49" (at 163.83 29.21 0) + (property "Reference" "D49" (at 86.36 71.12 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 166.37 29.21 0) + (property "Value" "2mA" (at 88.9 71.12 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 166.37 34.29 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 76.2 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 166.37 19.7612 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 61.6712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 166.37 24.892 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 66.802 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 166.37 17.0688 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 58.9788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 166.37 36.068 0) + (property "Manufacturer" "Kingbright" (at 88.9 77.978 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 1ff6ab7d-7e7a-4208-9812-3f1eff474eb7)) @@ -9443,28 +8914,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 113.03 130.81 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 194.31 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 6370d85e-0aca-49bf-a1f2-81f102188409) - (property "Reference" "D66" (at 115.57 128.27 0) + (property "Reference" "D66" (at 25.4 191.77 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 113.03 128.27 0) + (property "Value" "2mA" (at 27.94 191.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 113.03 133.35 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 196.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 113.03 118.8212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 182.3212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 113.03 123.952 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 187.452 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 113.03 116.1288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 179.6288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 113.03 135.128 0) + (property "Manufacturer" "Kingbright" (at 27.94 198.628 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid f2c229de-8f4d-43fb-a2d3-9c8911a7a41d)) @@ -9484,10 +8955,10 @@ (property "Reference" "D35" (at 54.61 45.72 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 45.72 0) + (property "Value" "2mA" (at 52.07 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 50.8 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 50.8 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 36.2712 0) @@ -9513,28 +8984,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 151.13 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 111.76 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 65719cee-cff0-4c9d-ab17-159adeb1c19b) - (property "Reference" "D22" (at 118.11 148.59 0) + (property "Reference" "D22" (at 118.11 109.22 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 115.57 148.59 0) + (property "Value" "2mA" (at 115.57 109.22 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 115.57 153.67 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 114.3 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 139.1412 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 99.7712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 115.57 144.272 0) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 104.902 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 115.57 136.4488 0) + (property "manf#" "APHD1608LSURCK" (at 115.57 97.0788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 115.57 155.448 0) + (property "Manufacturer" "Kingbright" (at 115.57 116.078 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid ed2eaf05-4c0e-4ee2-8950-8852fa778670)) @@ -9548,174 +9019,174 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 166.37 82.55 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 22.86 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 6729d1ff-fcc6-427c-872c-d20abbbed120) - (property "Reference" "D116" (at 163.83 80.01 0) + (uuid 65a46b5b-1675-4a85-8ad5-482b59d79cdc) + (property "Reference" "D59" (at 86.36 20.32 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 166.37 80.01 0) + (property "Value" "2mA" (at 88.9 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 166.37 85.09 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 25.4 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 166.37 70.5612 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 10.8712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 166.37 75.692 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 16.002 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 166.37 67.8688 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 8.1788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 166.37 86.868 0) + (property "Manufacturer" "Kingbright" (at 88.9 27.178 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 24113083-3eaf-4cbc-9ea4-a33803913957)) - (pin "C" (uuid bf4eb2cb-b0f7-427d-9646-9303ef5e20fa)) + (pin "A" (uuid b6fba727-37a3-45bf-a427-648654304e2b)) + (pin "C" (uuid cceac5ec-42d9-4660-a624-888363ad504b)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D116") (unit 1) + (reference "D59") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 118.11 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 163.83 60.96 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 673cabe7-6c99-4347-b283-85ead9874951) - (property "Reference" "D27" (at 25.4 115.57 0) + (uuid 65c21e0a-71fc-4a51-bbc4-e95a1503d242) + (property "Reference" "D99" (at 166.37 58.42 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 115.57 0) + (property "Value" "2mA" (at 163.83 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 120.65 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 163.83 63.5 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 106.1212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 163.83 48.9712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 111.252 0) + (property "mouser#" "604-APHD1608LSURCK" (at 163.83 54.102 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 103.4288 0) + (property "manf#" "APHD1608LSURCK" (at 163.83 46.2788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 122.428 0) + (property "Manufacturer" "Kingbright" (at 163.83 65.278 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid e0c9727c-211d-4327-9704-7438e9e6311e)) - (pin "C" (uuid 7aafecf6-0980-4eb7-9fd0-f07da860442b)) + (pin "C" (uuid ff6a019d-a0bb-402c-8982-bab8deef10ed)) + (pin "A" (uuid 96c6259d-95c3-448d-9829-1aa4dcc4f10f)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D27") (unit 1) + (reference "D99") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 48.26 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 67.31 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 67d7c642-55c2-4fc1-939e-02c6eeaca598) - (property "Reference" "D63" (at 86.36 45.72 0) + (uuid 6729d1ff-fcc6-427c-872c-d20abbbed120) + (property "Reference" "D116" (at 116.84 64.77 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 45.72 0) + (property "Value" "2mA" (at 114.3 64.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 50.8 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 69.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 36.2712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 55.3212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 41.402 0) + (property "mouser#" "604-APHD1608LVBCD" (at 114.3 60.452 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 33.5788 0) + (property "manf#" "APHD1608LVBC/D" (at 114.3 52.6288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 52.578 0) + (property "Manufacturer" "Kingbright" (at 114.3 71.628 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 476ddda3-0b5b-42f8-bd5a-04eca1eb386b)) - (pin "C" (uuid 7b439e69-8ee0-46ba-8316-f43c9e9d1833)) + (pin "A" (uuid 24113083-3eaf-4cbc-9ea4-a33803913957)) + (pin "C" (uuid bf4eb2cb-b0f7-427d-9646-9303ef5e20fa)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D63") (unit 1) + (reference "D116") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 54.61 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 73.66 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 68d047a7-9df8-43d1-9710-ce0dd93b013d) - (property "Reference" "D12" (at 25.4 52.07 0) + (uuid 673cabe7-6c99-4347-b283-85ead9874951) + (property "Reference" "D27" (at 25.4 71.12 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 52.07 0) + (property "Value" "2mA" (at 27.94 71.12 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 57.15 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 76.2 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 42.6212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 61.6712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 47.752 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 66.802 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 39.9288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 58.9788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 58.928 0) + (property "Manufacturer" "Kingbright" (at 27.94 77.978 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid f305af4e-2fae-445a-9beb-35bb1913847e)) - (pin "C" (uuid 711cb5b3-2b59-4276-ab7a-76a8364b3996)) + (pin "A" (uuid e0c9727c-211d-4327-9704-7438e9e6311e)) + (pin "C" (uuid 7aafecf6-0980-4eb7-9fd0-f07da860442b)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D12") (unit 1) + (reference "D27") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 157.48 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 118.11 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 68ebf68b-2e07-4828-a5f7-73777cd27612) - (property "Reference" "R11" (at 100.33 154.94 90) + (property "Reference" "R11" (at 100.33 115.57 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 95.25 154.94 90) + (property "Value" "680R" (at 95.25 115.57 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 157.48 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 97.79 157.48 0) + (property "Datasheet" "" (at 97.79 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 97.79 157.48 0) + (property "Mfr. No" "" (at 97.79 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 97.79 157.48 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 97.79 157.48 0) + (property "Manufacturer" "Vishay" (at 97.79 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 97.79 157.48 0) + (property "Mouser No" "" (at 97.79 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 157.48 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid a1cb6bed-8843-42da-a0fc-c0a1a2276dc3)) @@ -9729,34 +9200,69 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 106.68 130.81 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 69ed259a-5bcb-40ee-bc58-80b80a4a572d) + (property "Reference" "D92" (at 25.4 45.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 27.94 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 36.2712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 41.402 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LVBC/D" (at 27.94 33.5788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 27.94 52.578 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid 359157ce-d4f8-4e3c-a138-0e26e4bb4cff)) + (pin "C" (uuid f1406dd7-7b4f-462f-8e89-d5f6ed5bbb82)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D92") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 194.31 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 6ab9ef25-6204-493a-9a15-5510f4e00944) - (property "Reference" "R68" (at 104.14 128.27 90) + (property "Reference" "R68" (at 36.83 191.77 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 107.95 128.27 90) + (property "Value" "100R" (at 33.02 191.77 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 116.84 130.81 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 194.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 106.68 130.81 0) + (property "Datasheet" "" (at 34.29 194.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 106.68 130.81 0) + (property "Mfr. No" "" (at 34.29 194.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 106.68 130.81 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 194.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 106.68 130.81 0) + (property "Manufacturer" "Vishay" (at 34.29 194.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 106.68 130.81 0) + (property "Mouser No" "" (at 34.29 194.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 106.68 130.81 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 194.31 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 50d5ad72-e60d-4cdc-99fa-7d4848ac07be)) @@ -9776,10 +9282,10 @@ (property "Reference" "D129" (at 220.98 85.09 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 85.09 0) + (property "Value" "2mA" (at 223.52 85.09 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 90.17 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 90.17 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 75.6412 0) @@ -9805,28 +9311,63 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 151.13 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 162.56 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 6d0fb3e3-af35-4245-acb9-b82f714f976d) + (property "Reference" "D78" (at 118.11 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 115.57 169.164 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 150.5712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 155.702 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 115.57 147.8788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 115.57 166.878 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid 22e73859-17a4-4e4a-aa15-7e8d840f8c68)) + (pin "C" (uuid 06bd40ec-ab2c-4ab1-b357-4d4a19187fbd)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D78") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 111.76 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 6d710c32-b0bb-46bf-b0a6-e5af79d05522) - (property "Reference" "D5" (at 88.9 148.59 0) + (property "Reference" "D5" (at 88.9 109.22 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 91.44 148.59 0) + (property "Value" "2mA" (at 91.44 109.22 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 91.44 153.67 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 91.44 114.3 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 139.1412 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 99.7712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 91.44 144.272 0) + (property "mouser#" "604-APHD1608LSURCK" (at 91.44 104.902 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 91.44 136.4488 0) + (property "manf#" "APHD1608LSURCK" (at 91.44 97.0788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 91.44 155.448 0) + (property "Manufacturer" "Kingbright" (at 91.44 116.078 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid ffa25e8a-21b2-445b-81f9-84f45b1b0767)) @@ -9846,10 +9387,10 @@ (property "Reference" "D47" (at 54.61 102.87 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 102.87 0) + (property "Value" "2mA" (at 52.07 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 107.95 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 107.95 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 93.4212 0) @@ -9881,10 +9422,10 @@ (property "Reference" "D53" (at 54.61 128.27 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 128.27 0) + (property "Value" "2mA" (at 52.07 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 133.35 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 133.35 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 118.8212 0) @@ -9910,28 +9451,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 166.37 76.2 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 73.66 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 6f57be67-9b64-4d49-b755-5edc08e946b1) - (property "Reference" "D114" (at 163.83 73.66 0) + (property "Reference" "D114" (at 116.84 71.12 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 166.37 73.66 0) + (property "Value" "2mA" (at 114.3 71.12 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 166.37 78.74 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 76.2 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 166.37 64.2112 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 61.6712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 166.37 69.342 0) + (property "mouser#" "604-APHD1608LVBCD" (at 114.3 66.802 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 166.37 61.5188 0) + (property "manf#" "APHD1608LVBC/D" (at 114.3 58.9788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 166.37 80.518 0) + (property "Manufacturer" "Kingbright" (at 114.3 77.978 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid a2a30002-ba47-4d4b-8fdb-8f5813e08442)) @@ -9945,47 +9486,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 170.18 270) (mirror x) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 6fcf5ec2-852b-4b26-af14-7094b9b695bb) - (property "Reference" "R83" (at 106.68 167.64 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "680R" (at 111.76 167.64 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 109.22 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 109.22 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603680RFKEAC" (at 109.22 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 109.22 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 109.22 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b837a370-8fb0-48d4-9855-09f519f0d434)) - (pin "2" (uuid f4cf1c14-4d5d-4e5e-b602-78d365da5bdc)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R83") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 45.72 124.46 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 702f7c87-1c93-4fad-a0d0-d50eac61fe56) @@ -10033,10 +9533,10 @@ (property "Reference" "D151" (at 256.54 123.19 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 123.19 0) + (property "Value" "2mA" (at 254 123.19 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 128.27 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 128.27 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 113.7412 0) @@ -10109,10 +9609,10 @@ (property "Reference" "D130" (at 220.98 91.44 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 91.44 0) + (property "Value" "2mA" (at 223.52 91.44 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 96.52 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 96.52 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 81.9912 0) @@ -10138,51 +9638,16 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 149.86 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 71d77a34-2450-4e2b-b375-f062ce351ecf) - (property "Reference" "D78" (at 25.4 147.32 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 147.32 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 152.4 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 137.8712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 143.002 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 135.1788 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 154.178 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid bf562060-33ef-434d-9eb4-2700e94f18e2)) - (pin "C" (uuid b0226c8e-7f0a-49f9-aadc-6110df324883)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D78") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 52.07 22.86 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 735398fc-bd7e-4e0b-ac0d-e2fbb83665f4) (property "Reference" "D31" (at 54.61 20.32 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 20.32 0) + (property "Value" "2mA" (at 52.07 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 25.4 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 25.4 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 10.8712 0) @@ -10290,244 +9755,320 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 67.31 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 254 49.53 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 76633d1a-d0e4-44bc-850a-01bf1fec3ada) - (property "Reference" "D95" (at 116.84 64.77 0) + (uuid 76f54014-20ce-48ee-b9b9-bcd3e8dba21e) + (property "Reference" "D139" (at 256.54 46.99 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 64.77 0) + (property "Value" "2mA" (at 254 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 69.85 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 55.3212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 37.5412 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 60.452 0) + (property "mouser#" "604-APHD1608LVBCD" (at 254 42.672 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 52.6288 0) + (property "manf#" "APHD1608LVBC/D" (at 254 34.8488 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 114.3 71.628 0) + (property "Manufacturer" "Kingbright" (at 254 53.848 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 393d1561-5e77-4df1-b0e7-c073f886d87a)) - (pin "C" (uuid 089e2108-62bd-4951-9a06-9013d0841ecb)) + (pin "A" (uuid c3ef913d-2ee1-4d0b-8e7e-4d3ce86ae87e)) + (pin "C" (uuid 7f1eb86e-fd22-49c0-b77b-21e8149260db)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D95") (unit 1) + (reference "D139") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 254 49.53 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 163.83 54.61 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 76f54014-20ce-48ee-b9b9-bcd3e8dba21e) - (property "Reference" "D139" (at 256.54 46.99 0) + (uuid 77c9f649-8f12-46e0-af62-a3d26a5da4d4) + (property "Reference" "D97" (at 166.37 52.07 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 46.99 0) + (property "Value" "2mA" (at 163.83 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 52.07 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 163.83 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 37.5412 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 163.83 42.6212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 254 42.672 0) + (property "mouser#" "604-APHD1608LSURCK" (at 163.83 47.752 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 254 34.8488 0) + (property "manf#" "APHD1608LSURCK" (at 163.83 39.9288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 254 53.848 0) + (property "Manufacturer" "Kingbright" (at 163.83 58.928 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "C" (uuid 988baf9a-a4b7-427c-bb42-a4110ed170b4)) + (pin "A" (uuid f61850d9-cf43-426e-9b0a-6cd9c495956e)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D97") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 118.11 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 77e88166-bcb8-48f8-97aa-5935b2eeeca3) + (property "Reference" "R31" (at 106.68 115.57 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 111.76 115.57 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 109.22 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 109.22 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 109.22 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 696a9264-f523-4127-91f2-5b308c2fcfec)) + (pin "2" (uuid 3690c704-9f95-4287-8c8f-4d9df0953451)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R31") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 60.96 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7872446d-445b-4ebc-9f65-1568233a73f3) + (property "Reference" "D25" (at 25.4 58.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 27.94 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 48.9712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 54.102 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LVBC/D" (at 27.94 46.2788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 27.94 65.278 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid c3ef913d-2ee1-4d0b-8e7e-4d3ce86ae87e)) - (pin "C" (uuid 7f1eb86e-fd22-49c0-b77b-21e8149260db)) + (pin "A" (uuid 141d827e-dddf-47da-8dd8-8685113da927)) + (pin "C" (uuid 03fba5f9-7866-4f4a-95ef-6178dddf7c85)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D139") (unit 1) + (reference "D25") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 157.48 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 137.16 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 77e88166-bcb8-48f8-97aa-5935b2eeeca3) - (property "Reference" "R31" (at 106.68 154.94 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "680R" (at 111.76 154.94 90) + (uuid 7a0343ee-86f4-4478-9ae1-24f46ab550b4) + (property "Reference" "D19" (at 88.9 134.62 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 157.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 109.22 157.48 0) + (property "Value" "2mA" (at 91.44 134.62 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 109.22 157.48 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 91.44 139.7 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 109.22 157.48 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 125.1712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 109.22 157.48 0) + (property "mouser#" "604-APHD1608LSURCK" (at 91.44 130.302 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 109.22 157.48 0) + (property "manf#" "APHD1608LSURCK" (at 91.44 122.4788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 157.48 0) + (property "Manufacturer" "Kingbright" (at 91.44 141.478 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 696a9264-f523-4127-91f2-5b308c2fcfec)) - (pin "2" (uuid 3690c704-9f95-4287-8c8f-4d9df0953451)) + (pin "C" (uuid 343a9300-e60c-4d2b-9129-a23ba610ca8d)) + (pin "A" (uuid 98fb6c19-8eaf-44eb-bac3-98da108147ad)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R31") (unit 1) + (reference "D19") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 105.41 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 223.52 81.28 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 7872446d-445b-4ebc-9f65-1568233a73f3) - (property "Reference" "D25" (at 25.4 102.87 0) + (uuid 7a1df872-212b-4e91-9c57-c7f625abc446) + (property "Reference" "D128" (at 220.98 78.74 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 102.87 0) + (property "Value" "2mA" (at 223.52 78.74 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 107.95 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 83.82 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 93.4212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 69.2912 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 98.552 0) + (property "mouser#" "604-APHD1608LVBCD" (at 223.52 74.422 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 90.7288 0) + (property "manf#" "APHD1608LVBC/D" (at 223.52 66.5988 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 109.728 0) + (property "Manufacturer" "Kingbright" (at 223.52 85.598 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 141d827e-dddf-47da-8dd8-8685113da927)) - (pin "C" (uuid 03fba5f9-7866-4f4a-95ef-6178dddf7c85)) + (pin "A" (uuid 7d51ac9f-0480-47f5-93d7-3b14c1c0d883)) + (pin "C" (uuid 166706c0-cb93-4866-b7ba-95bc878304ae)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D25") (unit 1) + (reference "D128") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 182.88 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 157.48 29.21 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 7a0343ee-86f4-4478-9ae1-24f46ab550b4) - (property "Reference" "D19" (at 88.9 180.34 0) + (uuid 7ab11013-d11f-42c8-af89-e2e23f18d234) + (property "Reference" "R12" (at 154.94 26.67 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 160.02 26.67 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 91.44 180.34 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 167.64 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 91.44 185.42 0) + (property "Datasheet" "" (at 157.48 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 170.8912 0) + (property "Mfr. No" "" (at 157.48 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 91.44 176.022 0) + (property "manf#" "CRCW0603680RFKEAC" (at 157.48 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 91.44 168.1988 0) + (property "Manufacturer" "Vishay" (at 157.48 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 91.44 187.198 0) + (property "Mouser No" "" (at 157.48 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "C" (uuid 343a9300-e60c-4d2b-9129-a23ba610ca8d)) - (pin "A" (uuid 98fb6c19-8eaf-44eb-bac3-98da108147ad)) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 157.48 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d2b15df7-86db-49fb-bac8-d1ec8325b0bf)) + (pin "2" (uuid 6c015559-8dfd-4713-a5e4-1a4987cd3434)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D19") (unit 1) + (reference "R12") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 223.52 81.28 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 90.17 194.31 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 7a1df872-212b-4e91-9c57-c7f625abc446) - (property "Reference" "D128" (at 220.98 78.74 0) + (uuid 7c0d8853-fcee-44fd-94db-07ebba1c7a0b) + (property "Reference" "D28" (at 87.63 191.77 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 78.74 0) + (property "Value" "2mA" (at 90.17 200.914 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 83.82 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 90.17 196.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 69.2912 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 90.17 182.3212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 223.52 74.422 0) + (property "mouser#" "604-APHD1608LSURCK" (at 90.17 187.452 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 223.52 66.5988 0) + (property "manf#" "APHD1608LSURCK" (at 90.17 179.6288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 223.52 85.598 0) + (property "Manufacturer" "Kingbright" (at 90.17 198.628 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 7d51ac9f-0480-47f5-93d7-3b14c1c0d883)) - (pin "C" (uuid 166706c0-cb93-4866-b7ba-95bc878304ae)) + (pin "A" (uuid 74a7696f-38fb-4e60-8912-12cd84d9bdf2)) + (pin "C" (uuid c125c58f-a1c2-4b70-973e-1ba706cf4008)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D128") (unit 1) + (reference "D28") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_02x08_Male") (at 260.35 149.86 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Conn_02x08_Male") (at 171.45 138.43 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 7c81bff8-8769-428e-9996-195fdc81adca) - (property "Reference" "J5" (at 262.89 137.16 0) + (property "Reference" "J5" (at 173.99 125.73 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "Conn_02x08_Male" (at 267.97 137.16 0) + (property "Value" "Conn_02x08_Male" (at 179.07 125.73 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) - (property "Footprint" "LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P" (at 267.97 235.89 0) + (property "Footprint" "LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P" (at 179.07 224.46 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 267.97 335.89 0) + (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 179.07 324.46 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "mouser#" "855-M20-9980846" (at 267.97 635.89 0) + (property "mouser#" "855-M20-9980846" (at 179.07 624.46 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer" "Harwin" (at 267.97 835.89 0) + (property "Manufacturer" "Harwin" (at 179.07 824.46 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "manf#" "M20-9980846" (at 267.97 935.89 0) + (property "manf#" "M20-9980846" (at 179.07 924.46 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) (pin "12" (uuid af3d79c2-7e78-40f9-8a18-3a66e0c5eb52)) @@ -10596,16 +10137,92 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 187.96 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7daa02db-e916-4958-9b45-4d310185318e) + (property "Reference" "D79" (at 118.11 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 115.57 194.564 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 175.9712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 181.102 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 115.57 173.2788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 115.57 192.278 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid c1f69b60-f292-414b-b6e7-80d817f4f606)) + (pin "C" (uuid e446ea14-d490-444c-9394-4b1aa7f2373c)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D79") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 149.86 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7e774c6d-aa1a-4211-8d46-1599e6a208f2) + (property "Reference" "R6" (at 100.33 147.32 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 97.79 147.32 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 97.79 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 97.79 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 97.79 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 97.79 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0bb2a24e-182f-41ce-9a2d-4cc6ab38f9b9)) + (pin "2" (uuid f2a4ab23-72b7-4b9e-bf25-e944b5cb5294)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R6") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 254 30.48 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 801b1f7d-900f-4270-9afc-b134b7ae73d8) (property "Reference" "D136" (at 256.54 27.94 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 27.94 0) + (property "Value" "2mA" (at 254 27.94 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 33.02 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 33.02 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 18.4912 0) @@ -10631,28 +10248,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 111.76 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 67.31 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 813f73eb-80af-4da8-94ce-1e67561d6963) - (property "Reference" "D26" (at 25.4 109.22 0) + (property "Reference" "D26" (at 25.4 64.77 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 109.22 0) + (property "Value" "2mA" (at 27.94 64.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 114.3 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 69.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 99.7712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 55.3212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 104.902 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 60.452 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 97.0788 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 52.6288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 116.078 0) + (property "Manufacturer" "Kingbright" (at 27.94 71.628 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 9ca93b25-95b7-4d6c-8e45-a023d647a193)) @@ -10713,10 +10330,10 @@ (property "Reference" "D148" (at 220.98 116.84 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 116.84 0) + (property "Value" "2mA" (at 223.52 116.84 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 121.92 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 121.92 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 107.3912 0) @@ -10789,10 +10406,10 @@ (property "Reference" "D36" (at 54.61 52.07 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 52.07 0) + (property "Value" "2mA" (at 52.07 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 57.15 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 42.6212 0) @@ -10818,69 +10435,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 73.66 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 8796aa43-d6a7-42a4-a91f-b94d7babbfc0) - (property "Reference" "R100" (at 110.49 71.12 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "100R" (at 107.95 71.12 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 97.79 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 107.95 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 107.95 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603100RFKEAC" (at 107.95 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 107.95 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 107.95 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 73.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 4e8c1776-a241-4a69-88d0-effe7d3af67e)) - (pin "2" (uuid 48f2174f-891a-416f-b306-f09c68ae7944)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R100") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 99.06 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 54.61 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8915d9c7-d4e3-4c60-b124-ad5ba5d49b2f) - (property "Reference" "D24" (at 25.4 96.52 0) + (property "Reference" "D24" (at 25.4 52.07 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 96.52 0) + (property "Value" "2mA" (at 27.94 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 101.6 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 87.0712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 42.6212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 92.202 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 47.752 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 84.3788 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 39.9288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 103.378 0) + (property "Manufacturer" "Kingbright" (at 27.94 58.928 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid cfe84c17-f4ae-4752-9264-1943c6d49495)) @@ -10894,28 +10470,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 157.48 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 118.11 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8ac72f51-6f58-45ae-893e-121fa41bfbeb) - (property "Reference" "D9" (at 88.9 154.94 0) + (property "Reference" "D9" (at 88.9 115.57 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 91.44 154.94 0) + (property "Value" "2mA" (at 91.44 115.57 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 91.44 160.02 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 91.44 120.65 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 145.4912 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 106.1212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 91.44 150.622 0) + (property "mouser#" "604-APHD1608LSURCK" (at 91.44 111.252 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 91.44 142.7988 0) + (property "manf#" "APHD1608LSURCK" (at 91.44 103.4288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 91.44 161.798 0) + (property "Manufacturer" "Kingbright" (at 91.44 122.428 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid 0d0772a0-5252-41a3-955b-ba25b1c35809)) @@ -10935,10 +10511,10 @@ (property "Reference" "D145" (at 256.54 85.09 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 85.09 0) + (property "Value" "2mA" (at 254 85.09 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 90.17 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 90.17 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 75.6412 0) @@ -10964,34 +10540,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 105.41 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 60.96 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8b1631c8-352c-4c16-a9c5-9f3608ceac25) - (property "Reference" "R27" (at 36.83 102.87 90) + (property "Reference" "R27" (at 36.83 58.42 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 102.87 90) + (property "Value" "100R" (at 33.02 58.42 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 105.41 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 105.41 0) + (property "Datasheet" "" (at 34.29 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 105.41 0) + (property "Mfr. No" "" (at 34.29 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 105.41 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 105.41 0) + (property "Manufacturer" "Vishay" (at 34.29 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 105.41 0) + (property "Mouser No" "" (at 34.29 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 105.41 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 60.96 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b38c2e30-1bc2-498e-a8c7-836b00cd7607)) @@ -11052,10 +10628,10 @@ (property "Reference" "D58" (at 54.61 160.02 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 160.02 0) + (property "Value" "2mA" (at 52.07 160.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 165.1 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 165.1 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 150.5712 0) @@ -11081,34 +10657,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 175.26 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 99.06 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8e0333e5-42de-4f9c-976a-56d5ffecf5ef) - (property "Reference" "R85" (at 36.83 172.72 90) + (property "Reference" "R85" (at 36.83 96.52 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 172.72 90) + (property "Value" "100R" (at 33.02 96.52 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 175.26 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 175.26 0) + (property "Datasheet" "" (at 34.29 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 175.26 0) + (property "Mfr. No" "" (at 34.29 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 175.26 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 175.26 0) + (property "Manufacturer" "Vishay" (at 34.29 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 175.26 0) + (property "Mouser No" "" (at 34.29 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 175.26 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 99.06 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5b566488-42ca-46ce-a59a-cc8babff0c90)) @@ -11122,16 +10698,51 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 90.17 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8e196765-a4c0-4a5f-aac6-428873a7f54c) + (property "Reference" "D4" (at 87.63 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 90.17 156.464 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 90.17 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 90.17 137.8712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 90.17 143.002 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 90.17 135.1788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 90.17 154.178 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid f89a5140-6f12-4432-9c5e-fed44d110697)) + (pin "C" (uuid a1990279-4d4e-48cf-b243-730258ef6a91)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D4") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 223.52 100.33 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8e601afa-f1fa-4db8-9d36-6cac19c6a362) (property "Reference" "D131" (at 220.98 97.79 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 97.79 0) + (property "Value" "2mA" (at 223.52 97.79 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 102.87 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 102.87 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 88.3412 0) @@ -11163,63 +10774,104 @@ (property "Reference" "D90" (at 116.84 52.07 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 52.07 0) + (property "Value" "2mA" (at 114.3 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 42.6212 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LVBCD" (at 114.3 47.752 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LVBC/D" (at 114.3 39.9288 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 114.3 58.928 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid 9f2989e1-c2a5-4d4f-befe-eef06c2c3162)) + (pin "C" (uuid db2cdf04-4081-4e8f-b64f-1f22382a7587)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D90") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 143.51 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8f0f3d62-4933-4ff5-838e-38451739705e) + (property "Reference" "R65" (at 106.68 140.97 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 109.22 140.97 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 57.15 0) + (property "Mfr. No" "" (at 109.22 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 42.6212 0) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 47.752 0) + (property "Manufacturer" "Vishay" (at 109.22 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 39.9288 0) + (property "Mouser No" "" (at 109.22 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 114.3 58.928 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 9f2989e1-c2a5-4d4f-befe-eef06c2c3162)) - (pin "C" (uuid db2cdf04-4081-4e8f-b64f-1f22382a7587)) + (pin "1" (uuid bbd247f7-beb0-46aa-bf12-36c279fb3b3d)) + (pin "2" (uuid a9337335-0915-472e-bb51-06a0e1b1e983)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D90") (unit 1) + (reference "R65") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 187.96 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 111.76 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8f6ca9ae-b3cc-40f7-afae-96ab21a5f691) - (property "Reference" "R75" (at 36.83 185.42 90) + (property "Reference" "R75" (at 36.83 109.22 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 34.29 185.42 90) + (property "Value" "100R" (at 34.29 109.22 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 187.96 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 187.96 0) + (property "Datasheet" "" (at 34.29 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 187.96 0) + (property "Mfr. No" "" (at 34.29 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 187.96 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 187.96 0) + (property "Manufacturer" "Vishay" (at 34.29 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 187.96 0) + (property "Mouser No" "" (at 34.29 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 187.96 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 111.76 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b0467744-7491-446c-821b-d3bb418c73b2)) @@ -11239,10 +10891,10 @@ (property "Reference" "D121" (at 220.98 34.29 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 34.29 0) + (property "Value" "2mA" (at 223.52 34.29 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 39.37 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 39.37 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 24.8412 0) @@ -11268,34 +10920,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 35.56 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 124.46 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8fdbe538-4af8-48b9-9a24-5c754d6b9f70) - (property "Reference" "R63" (at 97.79 33.02 90) + (property "Reference" "R63" (at 36.83 121.92 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 33.02 90) + (property "Value" "100R" (at 34.29 121.92 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 35.56 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 35.56 0) + (property "Datasheet" "" (at 34.29 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 35.56 0) + (property "Mfr. No" "" (at 34.29 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 35.56 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 35.56 0) + (property "Manufacturer" "Vishay" (at 34.29 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 35.56 0) + (property "Mouser No" "" (at 34.29 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 35.56 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 124.46 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ec45213d-d160-4464-9760-4a18c1c08687)) @@ -11309,34 +10961,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 105.41 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 60.96 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8fe9beb6-7104-49b1-80f9-9efc9e238c1d) - (property "Reference" "R108" (at 110.49 102.87 90) + (property "Reference" "R108" (at 92.71 58.42 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 107.95 102.87 90) + (property "Value" "100R" (at 95.25 58.42 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 97.79 105.41 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 105.41 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 107.95 105.41 0) + (property "Datasheet" "" (at 95.25 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 107.95 105.41 0) + (property "Mfr. No" "" (at 95.25 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 107.95 105.41 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 107.95 105.41 0) + (property "Manufacturer" "Vishay" (at 95.25 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 107.95 105.41 0) + (property "Mouser No" "" (at 95.25 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 105.41 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 60.96 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 7dfdff35-6e30-463b-a8dc-8495fe1aff14)) @@ -11350,28 +11002,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 189.23 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 130.81 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 908637c0-c367-4e55-be6f-0ef38567f16a) - (property "Reference" "D94" (at 118.11 186.69 0) + (property "Reference" "D94" (at 118.11 128.27 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 115.57 186.69 0) + (property "Value" "2mA" (at 115.57 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 115.57 191.77 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 177.2412 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 118.8212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 115.57 182.372 0) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 123.952 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 115.57 174.5488 0) + (property "manf#" "APHD1608LSURCK" (at 115.57 116.1288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 115.57 193.548 0) + (property "Manufacturer" "Kingbright" (at 115.57 135.128 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid 6a460480-af47-43bf-9b61-ce297c41eb97)) @@ -11426,57 +11078,16 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 137.16 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 9190d73c-681e-4796-acd4-763519f8f4c9) - (property "Reference" "R77" (at 36.83 134.62 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "100R" (at 33.02 134.62 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 34.29 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mfr. No" "" (at 34.29 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Vishay" (at 34.29 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mouser No" "" (at 34.29 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 4f13e237-c992-4c0f-8532-3403fdccba24)) - (pin "2" (uuid 9d8fb7e0-e912-464f-8241-51ee7c0c6ff3)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R77") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 223.52 30.48 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 93517487-550d-4ad6-949c-0e7f040af7bf) (property "Reference" "D120" (at 220.98 27.94 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 27.94 0) + (property "Value" "2mA" (at 223.52 27.94 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 33.02 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 33.02 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 18.4912 0) @@ -11543,112 +11154,118 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 54.61 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 187.96 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 96866bc2-79c4-4e25-a56a-b4879e3ce58c) - (property "Reference" "R14" (at 36.83 52.07 90) + (uuid 95870b87-a7ab-40fb-b73c-1209628875af) + (property "Reference" "R81" (at 106.68 185.42 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 52.07 90) + (property "Value" "680R" (at 109.22 185.42 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 54.61 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 54.61 0) + (property "Datasheet" "" (at 109.22 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 54.61 0) + (property "Mfr. No" "" (at 109.22 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 54.61 0) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 54.61 0) + (property "Manufacturer" "Vishay" (at 109.22 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 54.61 0) + (property "Mouser No" "" (at 109.22 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 54.61 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid a4aaf7e6-8280-48c1-9066-4526dffb2a4e)) - (pin "2" (uuid e82a0509-e324-44d0-b94b-e1a11bcaa431)) + (pin "1" (uuid 4856957e-864d-4266-8dc2-367bc4716ccc)) + (pin "2" (uuid 5ec17b57-0288-4b1f-8705-b9ba75ff4369)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R14") (unit 1) + (reference "R81") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 111.76 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 157.48 41.91 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 972d0aaf-2c4f-4543-b87a-adfe7e96ead8) - (property "Reference" "D107" (at 116.84 109.22 0) + (uuid 95880c71-7272-46a9-91bc-3a7b4d049c35) + (property "Reference" "R19" (at 154.94 39.37 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 109.22 0) + (property "Value" "680R" (at 160.02 39.37 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 167.64 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 114.3 0) + (property "Datasheet" "" (at 157.48 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 99.7712 0) + (property "Mfr. No" "" (at 157.48 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 104.902 0) + (property "manf#" "CRCW0603680RFKEAC" (at 157.48 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 97.0788 0) + (property "Manufacturer" "Vishay" (at 157.48 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 114.3 116.078 0) + (property "Mouser No" "" (at 157.48 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 6324ac76-bc62-4424-bb2d-5bc70005da4d)) - (pin "C" (uuid eb7806ce-4b72-4eb2-ba75-4cc13ca4dd05)) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 157.48 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ccc66b81-25ec-461c-91d1-60a04661aa97)) + (pin "2" (uuid 57ee39a9-e2eb-49b8-bbc3-ecbf9132ff12)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D107") (unit 1) + (reference "R19") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 86.36 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 67.31 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 979669db-b580-437e-8484-ab06bfcd56c8) - (property "Reference" "D20" (at 25.4 83.82 0) + (uuid 972d0aaf-2c4f-4543-b87a-adfe7e96ead8) + (property "Reference" "D107" (at 86.36 64.77 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 83.82 0) + (property "Value" "2mA" (at 88.9 64.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 88.9 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 69.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 74.3712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 55.3212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 79.502 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 60.452 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 71.6788 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 52.6288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 90.678 0) + (property "Manufacturer" "Kingbright" (at 88.9 71.628 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 06db51d9-7d2e-4edc-9b1d-1803e9f8d35d)) - (pin "C" (uuid 0a307295-bfd8-4c38-a166-dcbb2ca68d26)) + (pin "A" (uuid 6324ac76-bc62-4424-bb2d-5bc70005da4d)) + (pin "C" (uuid eb7806ce-4b72-4eb2-ba75-4cc13ca4dd05)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D20") (unit 1) + (reference "D107") (unit 1) ) ) ) @@ -11695,34 +11312,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 105.41 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 187.96 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 9c4b7aea-10c8-4484-90db-d5666748ccf5) - (property "Reference" "R103" (at 92.71 102.87 90) + (property "Reference" "R103" (at 31.75 185.42 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 102.87 90) + (property "Value" "100R" (at 34.29 185.42 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 105.41 105.41 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 44.45 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 105.41 0) + (property "Datasheet" "" (at 34.29 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 105.41 0) + (property "Mfr. No" "" (at 34.29 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 105.41 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 105.41 0) + (property "Manufacturer" "Vishay" (at 34.29 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 105.41 0) + (property "Mouser No" "" (at 34.29 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 105.41 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 187.96 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b041872c-42ba-4016-9983-192488265e85)) @@ -11736,28 +11353,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 35.56 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 124.46 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 9e156aa3-9f44-494c-aabc-02bc67f1f582) - (property "Reference" "D61" (at 86.36 33.02 0) + (property "Reference" "D61" (at 25.4 121.92 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 33.02 0) + (property "Value" "2mA" (at 27.94 121.92 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 38.1 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 127 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 23.5712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 112.4712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 28.702 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 117.602 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 20.8788 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 109.7788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 39.878 0) + (property "Manufacturer" "Kingbright" (at 27.94 128.778 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 4847e1da-aecf-4449-a694-a692c0787a54)) @@ -11771,112 +11388,77 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 149.86 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 181.61 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 9f663f27-85ca-4b56-ac31-e4b4458981f4) - (property "Reference" "R80" (at 36.83 147.32 90) + (uuid a2ccb6f6-9302-4d6a-9778-0083357f577c) + (property "Reference" "D100" (at 118.11 179.07 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 147.32 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 149.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 34.29 149.86 0) + (property "Value" "2mA" (at 115.57 188.214 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 149.86 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 184.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 149.86 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 169.6212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 149.86 0) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 174.752 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 149.86 0) + (property "manf#" "APHD1608LSURCK" (at 115.57 166.9288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 149.86 0) + (property "Manufacturer" "Kingbright" (at 115.57 185.928 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 47b6dd87-05a3-4ffa-a6c9-0f5356e9cb4f)) - (pin "2" (uuid b8df9afc-4767-4700-85a1-758ea1bba9ff)) + (pin "A" (uuid 5fba2389-3d84-4c69-88b7-a1dbd810ae17)) + (pin "C" (uuid ccb8a411-9377-4138-b87d-bffaf06672e2)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R80") (unit 1) + (reference "D100") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 73.66 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 157.48 54.61 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 9fcd1c0c-28a5-4f16-94b2-68ab04f7d544) - (property "Reference" "D17" (at 25.4 71.12 0) + (uuid a3986a03-eeb8-40d9-a986-b64e6bc491d9) + (property "Reference" "R99" (at 154.94 52.07 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 76.2 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 61.6712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 66.802 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 58.9788 0) - (effects (font (size 1.27 1.27)) hide) + (property "Value" "680R" (at 160.02 52.07 90) + (effects (font (size 1.27 1.27))) ) - (property "Manufacturer" "Kingbright" (at 27.94 77.978 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 167.64 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 0928f804-6d44-4ab7-a109-dc4590accf6b)) - (pin "C" (uuid 7d775c5c-8865-4a74-a74f-59da8fbacc96)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D17") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 137.16 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid a09831f9-cf2f-4289-b385-9348852b7362) - (property "Reference" "D75" (at 25.4 134.62 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 134.62 0) + (property "Datasheet" "" (at 157.48 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 139.7 0) + (property "Mfr. No" "" (at 157.48 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 125.1712 0) + (property "manf#" "CRCW0603680RFKEAC" (at 157.48 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 130.302 0) + (property "Manufacturer" "Vishay" (at 157.48 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 122.4788 0) + (property "Mouser No" "" (at 157.48 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 141.478 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 157.48 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid d6973c5f-b89c-4508-8d00-8b61c09800dc)) - (pin "C" (uuid fab9199e-56db-4bb9-8aed-f84a43335232)) + (pin "1" (uuid 4a05a194-f3ee-4de7-8672-db338bf4e297)) + (pin "2" (uuid 29f3889e-b669-49fc-a1c0-955d85d8a15c)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D75") (unit 1) + (reference "R99") (unit 1) ) ) ) @@ -11923,42 +11505,67 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 86.36 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 151.13 74.93 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid a3fd90df-e733-421c-bc07-56094d26acdc) - (property "Reference" "R102" (at 110.49 83.82 90) + (uuid a44a8458-8026-47cc-81f1-c8b6e5d7370a) + (property "Reference" "#PWR029" (at 153.67 77.47 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 151.13 78.74 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 107.95 83.82 90) - (effects (font (size 1.27 1.27)) (justify left)) + (property "Footprint" "" (at 151.13 74.93 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 97.79 86.36 0) + (property "Datasheet" "" (at 151.13 74.93 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 107.95 86.36 0) + (pin "1" (uuid 56047158-b293-45c7-98fc-59f170dcfaf8)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "#PWR029") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 157.48 48.26 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a4cc5b2e-6033-4032-ba01-3d0fc47e1d0f) + (property "Reference" "R13" (at 154.94 45.72 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 160.02 45.72 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 167.64 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 107.95 86.36 0) + (property "Datasheet" "" (at 157.48 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 107.95 86.36 0) + (property "Mfr. No" "" (at 157.48 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 107.95 86.36 0) + (property "manf#" "CRCW0603680RFKEAC" (at 157.48 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 107.95 86.36 0) + (property "Manufacturer" "Vishay" (at 157.48 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 86.36 0) + (property "Mouser No" "" (at 157.48 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 157.48 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 98ce52cc-9785-43c6-ad51-6c2fe30312f9)) - (pin "2" (uuid 334c51c6-dbb7-4c59-8f12-4de7a0d734c4)) + (pin "1" (uuid c3743969-4e20-4963-9ffc-e9c7ac9a7543)) + (pin "2" (uuid e5ea859d-d891-494a-a0fc-af46c769cfda)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R102") (unit 1) + (reference "R13") (unit 1) ) ) ) @@ -12005,34 +11612,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 41.91 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 130.81 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid a6736e62-99f7-4a9a-99d6-adf86e012e3d) - (property "Reference" "R64" (at 97.79 39.37 90) + (property "Reference" "R64" (at 36.83 128.27 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 39.37 90) + (property "Value" "100R" (at 34.29 128.27 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 41.91 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 41.91 0) + (property "Datasheet" "" (at 34.29 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 41.91 0) + (property "Mfr. No" "" (at 34.29 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 41.91 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 41.91 0) + (property "Manufacturer" "Vishay" (at 34.29 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 41.91 0) + (property "Mouser No" "" (at 34.29 130.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 41.91 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 130.81 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 337acf45-53ba-4d59-951f-cf43e25d2991)) @@ -12052,10 +11659,10 @@ (property "Reference" "D56" (at 54.61 147.32 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 147.32 0) + (property "Value" "2mA" (at 52.07 147.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 152.4 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 152.4 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 137.8712 0) @@ -12081,34 +11688,75 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 194.31 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 194.31 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a7edb125-be78-4b42-b2b9-bd2bba71ff69) + (property "Reference" "R30" (at 100.33 191.77 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 97.79 191.77 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 97.79 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 97.79 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 97.79 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 97.79 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6de57a5d-e7a3-4835-a796-8d5e6a532530)) + (pin "2" (uuid 12b78949-ce4d-4138-b4db-330070eaca8b)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R30") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 118.11 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid a80db9a1-710c-43fb-9d1e-4f9fb3548259) - (property "Reference" "R76" (at 36.83 191.77 90) + (property "Reference" "R76" (at 36.83 115.57 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 191.77 90) + (property "Value" "100R" (at 33.02 115.57 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 194.31 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 194.31 0) + (property "Datasheet" "" (at 34.29 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 194.31 0) + (property "Mfr. No" "" (at 34.29 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 194.31 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 194.31 0) + (property "Manufacturer" "Vishay" (at 34.29 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 194.31 0) + (property "Mouser No" "" (at 34.29 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 194.31 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b2d1f8c4-bb88-47ce-ac97-1db41599726d)) @@ -12122,34 +11770,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 80.01 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 162.56 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid a9933f60-4f55-4b3b-ae64-09817a7db147) - (property "Reference" "R71" (at 97.79 77.47 90) + (property "Reference" "R71" (at 36.83 160.02 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 77.47 90) + (property "Value" "100R" (at 34.29 160.02 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 80.01 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 80.01 0) + (property "Datasheet" "" (at 34.29 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 80.01 0) + (property "Mfr. No" "" (at 34.29 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 80.01 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 80.01 0) + (property "Manufacturer" "Vishay" (at 34.29 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 80.01 0) + (property "Mouser No" "" (at 34.29 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 80.01 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 162.56 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 6fc083c3-d685-4ad7-ab30-5cc4cbced280)) @@ -12204,180 +11852,186 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 73.66 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 143.51 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid ab32fda4-b791-43f4-bcc6-b92e7f543139) - (property "Reference" "D98" (at 116.84 71.12 0) + (uuid ab5331b1-bc86-465b-bdbc-4e5a208c3a2f) + (property "Reference" "D65" (at 25.4 140.97 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 71.12 0) + (property "Value" "2mA" (at 27.94 140.97 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 76.2 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 146.05 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 61.6712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 131.5212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 66.802 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 136.652 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 58.9788 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 128.8288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 114.3 77.978 0) + (property "Manufacturer" "Kingbright" (at 27.94 147.828 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid d15bca8a-223f-4600-91f0-d317a96f38cd)) - (pin "C" (uuid cee76c31-d50d-4746-a5b2-183a1e473a94)) + (pin "A" (uuid 9e0d423c-eb6f-4b6e-8d6f-e76520298d2e)) + (pin "C" (uuid b7cc779e-600e-4a6d-81d3-5c4e9ae38ddd)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D98") (unit 1) + (reference "D65") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 60.96 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 35.56 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid ab5331b1-bc86-465b-bdbc-4e5a208c3a2f) - (property "Reference" "D65" (at 86.36 58.42 0) + (uuid ab58f2c5-2788-4ec2-95de-a495c8b8b328) + (property "Reference" "R44" (at 97.79 33.02 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 58.42 0) + (property "Value" "100R" (at 95.25 33.02 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 63.5 0) + (property "Datasheet" "" (at 95.25 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 48.9712 0) + (property "Mfr. No" "" (at 95.25 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 54.102 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 46.2788 0) + (property "Manufacturer" "Vishay" (at 95.25 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 65.278 0) + (property "Mouser No" "" (at 95.25 35.56 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 9e0d423c-eb6f-4b6e-8d6f-e76520298d2e)) - (pin "C" (uuid b7cc779e-600e-4a6d-81d3-5c4e9ae38ddd)) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4a311e02-ea0e-40e3-b73f-f136dded48fd)) + (pin "2" (uuid fe577a0f-e4e0-4feb-81c8-751c89744ea8)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D65") (unit 1) + (reference "R44") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 106.68 124.46 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 124.46 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid ab58f2c5-2788-4ec2-95de-a495c8b8b328) - (property "Reference" "R44" (at 104.14 121.92 90) + (uuid ac8cfa87-cb08-4fca-be42-1c1b3ac9b819) + (property "Reference" "D93" (at 118.11 121.92 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 106.68 121.92 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 116.84 124.46 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 106.68 124.46 0) + (property "Value" "2mA" (at 115.57 121.92 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 106.68 124.46 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 127 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 106.68 124.46 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 112.4712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 106.68 124.46 0) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 117.602 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 106.68 124.46 0) + (property "manf#" "APHD1608LSURCK" (at 115.57 109.7788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 106.68 124.46 0) + (property "Manufacturer" "Kingbright" (at 115.57 128.778 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 4a311e02-ea0e-40e3-b73f-f136dded48fd)) - (pin "2" (uuid fe577a0f-e4e0-4feb-81c8-751c89744ea8)) + (pin "C" (uuid 510c128c-6614-4088-81f2-b6bdf93d0e07)) + (pin "A" (uuid a3596327-1db3-494a-9f35-5c9f3ee226db)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R44") (unit 1) + (reference "D93") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 182.88 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 175.26 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid ac8cfa87-cb08-4fca-be42-1c1b3ac9b819) - (property "Reference" "D93" (at 118.11 180.34 0) + (uuid ac90e599-27fa-4d72-b881-36047ccb455e) + (property "Reference" "R100" (at 106.68 172.72 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 115.57 180.34 0) + (property "Value" "680R" (at 114.3 172.72 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 99.06 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 115.57 185.42 0) + (property "Datasheet" "" (at 109.22 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 170.8912 0) + (property "Mfr. No" "" (at 109.22 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 115.57 176.022 0) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 115.57 168.1988 0) + (property "Manufacturer" "Vishay" (at 109.22 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 115.57 187.198 0) + (property "Mouser No" "" (at 109.22 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "C" (uuid 510c128c-6614-4088-81f2-b6bdf93d0e07)) - (pin "A" (uuid a3596327-1db3-494a-9f35-5c9f3ee226db)) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3bc34191-4d0a-40ce-8631-985c9ba0ea5c)) + (pin "2" (uuid d932fc23-a8da-439a-9af5-55c4e8803730)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D93") (unit 1) + (reference "R100") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 151.13 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 111.76 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid acaa0426-da70-483b-9a26-bb461760ceb9) - (property "Reference" "R24" (at 106.68 148.59 90) + (property "Reference" "R24" (at 106.68 109.22 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 111.76 148.59 90) + (property "Value" "680R" (at 111.76 109.22 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 151.13 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 109.22 151.13 0) + (property "Datasheet" "" (at 109.22 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 109.22 151.13 0) + (property "Mfr. No" "" (at 109.22 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 109.22 151.13 0) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 109.22 151.13 0) + (property "Manufacturer" "Vishay" (at 109.22 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 109.22 151.13 0) + (property "Mouser No" "" (at 109.22 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 151.13 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 111.76 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 8a50d7e1-6968-4f1e-84e4-973adffc62b4)) @@ -12391,16 +12045,57 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 35.56 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid acf48d1c-b040-4c20-9d55-67c689c5e648) + (property "Reference" "R78" (at 31.75 33.02 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "100R" (at 34.29 33.02 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 44.45 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 34.29 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 34.29 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 34.29 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 34.29 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 393f358e-034a-405d-bbc6-4783495a1d69)) + (pin "2" (uuid f051231f-4c74-47c3-8b36-9940f70f8f51)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R78") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 52.07 41.91 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid ae9e0e52-74e3-43b9-a4ab-65c7f8e5d050) (property "Reference" "D34" (at 54.61 39.37 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 39.37 0) + (property "Value" "2mA" (at 52.07 39.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 44.45 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 44.45 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 29.9212 0) @@ -12426,34 +12121,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 182.88 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 124.46 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid aef8ef92-acfa-4b5f-a3d6-0503a0cd347b) - (property "Reference" "R95" (at 106.68 180.34 90) + (property "Reference" "R95" (at 106.68 121.92 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 111.76 180.34 90) + (property "Value" "680R" (at 111.76 121.92 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 182.88 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 109.22 182.88 0) + (property "Datasheet" "" (at 109.22 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 109.22 182.88 0) + (property "Mfr. No" "" (at 109.22 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 109.22 182.88 0) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 109.22 182.88 0) + (property "Manufacturer" "Vishay" (at 109.22 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 109.22 182.88 0) + (property "Mouser No" "" (at 109.22 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 182.88 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 124.46 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 22aa4cfe-f5f8-4fbf-b81e-921f9fb2f432)) @@ -12555,10 +12250,10 @@ (property "Reference" "D45" (at 54.61 90.17 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 90.17 0) + (property "Value" "2mA" (at 52.07 90.17 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 95.25 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 95.25 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 80.7212 0) @@ -12672,10 +12367,10 @@ (property "Reference" "D37" (at 54.61 58.42 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 58.42 0) + (property "Value" "2mA" (at 52.07 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 63.5 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 63.5 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 48.9712 0) @@ -12701,16 +12396,51 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 90.17 156.21 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b323a0a0-13b0-43d4-9d95-1a89aae46d15) + (property "Reference" "D8" (at 87.63 153.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 90.17 162.814 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 90.17 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 90.17 144.2212 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 90.17 149.352 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 90.17 141.5288 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 90.17 160.528 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid e539ec73-55f9-4ef9-ba20-94d314dfaa8b)) + (pin "C" (uuid 8289f57a-79f7-417c-b3f7-89211497942e)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D8") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 254 119.38 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid b4b20c2c-2459-41fb-8153-c70981f51b24) (property "Reference" "D150" (at 256.54 116.84 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 116.84 0) + (property "Value" "2mA" (at 254 116.84 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 121.92 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 121.92 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 107.3912 0) @@ -12783,10 +12513,10 @@ (property "Reference" "D142" (at 256.54 66.04 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 66.04 0) + (property "Value" "2mA" (at 254 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 71.12 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 71.12 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 56.5912 0) @@ -12818,10 +12548,10 @@ (property "Reference" "D91" (at 116.84 58.42 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 58.42 0) + (property "Value" "2mA" (at 114.3 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 63.5 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 63.5 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 48.9712 0) @@ -12847,34 +12577,75 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 54.61 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 156.21 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b77b7cc7-ff1b-4bdc-9a69-1031a2088514) + (property "Reference" "R79" (at 106.68 153.67 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 109.22 153.67 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 109.22 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 109.22 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 109.22 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7fcf84c3-b9ad-404a-8dec-97fd03c6e9af)) + (pin "2" (uuid bd66c6f8-7b20-4787-92cd-ec10121e71f3)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R79") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 137.16 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid b82572a4-d3be-40e7-baa3-6ed57a8946c1) - (property "Reference" "R66" (at 97.79 52.07 90) + (property "Reference" "R66" (at 36.83 134.62 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 52.07 90) + (property "Value" "100R" (at 34.29 134.62 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 54.61 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 54.61 0) + (property "Datasheet" "" (at 34.29 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 54.61 0) + (property "Mfr. No" "" (at 34.29 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 54.61 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 54.61 0) + (property "Manufacturer" "Vishay" (at 34.29 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 54.61 0) + (property "Mouser No" "" (at 34.29 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 54.61 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 137.16 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b9c5ab53-6773-4b59-b199-be47d3885992)) @@ -12929,34 +12700,75 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 99.06 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 168.91 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b9b5f94f-16e9-4426-9e4f-b71fc8949d12) + (property "Reference" "R17" (at 100.33 166.37 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 97.79 166.37 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 97.79 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 97.79 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 97.79 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 97.79 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cf2b5815-db44-4dea-8741-f8e31ede620c)) + (pin "2" (uuid b2518217-66e4-44fb-b5b0-d9bdb3833042)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R17") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 54.61 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid bac80345-2531-4ac3-9a81-20b290487f70) - (property "Reference" "R26" (at 36.83 96.52 90) + (property "Reference" "R26" (at 36.83 52.07 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 96.52 90) + (property "Value" "100R" (at 33.02 52.07 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 99.06 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 99.06 0) + (property "Datasheet" "" (at 34.29 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 99.06 0) + (property "Mfr. No" "" (at 34.29 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 99.06 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 99.06 0) + (property "Manufacturer" "Vishay" (at 34.29 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 99.06 0) + (property "Mouser No" "" (at 34.29 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 99.06 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 54.61 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 181e334d-159d-42aa-9839-b8ca80d1d951)) @@ -12970,26 +12782,36 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 180.34 29.21 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 163.83 73.66 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid bdcc73db-a40d-4831-a685-18ad19b29d68) - (property "Reference" "#PWR019" (at 181.61 29.21 90) + (uuid bdd5d523-3fba-4745-80db-ff12d8e6519e) + (property "Reference" "D103" (at 166.37 71.12 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 163.83 71.12 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (at 180.34 25.4 0) - (effects (font (size 1.27 1.27))) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 163.83 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 163.83 61.6712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 163.83 66.802 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "" (at 180.34 29.21 0) + (property "manf#" "APHD1608LSURCK" (at 163.83 58.9788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 180.34 29.21 0) + (property "Manufacturer" "Kingbright" (at 163.83 77.978 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 2880d03c-9c6f-4da1-88a4-463af07877bf)) + (pin "C" (uuid 1825bd47-85fb-4a80-b0c8-1da0e10068eb)) + (pin "A" (uuid c2454769-2a9a-46c8-9f2c-ad8ab44aeb84)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "#PWR019") (unit 1) + (reference "D103") (unit 1) ) ) ) @@ -13036,28 +12858,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 194.31 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 118.11 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid be52a50b-d929-440b-88bb-338230675beb) - (property "Reference" "D74" (at 25.4 191.77 0) + (property "Reference" "D74" (at 25.4 115.57 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 191.77 0) + (property "Value" "2mA" (at 27.94 115.57 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 196.85 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 120.65 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 182.3212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 106.1212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 187.452 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 111.252 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 179.6288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 103.4288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 198.628 0) + (property "Manufacturer" "Kingbright" (at 27.94 122.428 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 93f86fd3-4644-401f-875a-0ed0a3b1690b)) @@ -13071,83 +12893,83 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 80.01 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 29.21 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid c0d1e95a-8e85-4903-ad82-26ac77f5b234) - (property "Reference" "R101" (at 110.49 77.47 90) + (uuid c14207c3-46a1-4ad7-89bb-447bb5b41eb6) + (property "Reference" "R9" (at 36.83 26.67 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 107.95 77.47 90) + (property "Value" "100R" (at 33.02 26.67 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 97.79 80.01 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 107.95 80.01 0) + (property "Datasheet" "" (at 34.29 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 107.95 80.01 0) + (property "Mfr. No" "" (at 34.29 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 107.95 80.01 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 107.95 80.01 0) + (property "Manufacturer" "Vishay" (at 34.29 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 107.95 80.01 0) + (property "Mouser No" "" (at 34.29 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 80.01 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid f089ce7b-83f4-4cbc-b30a-4d37d9ad92f6)) - (pin "2" (uuid 3d700a3d-1adb-4ae1-9692-752c50ad0fd4)) + (pin "1" (uuid 01ced630-dc4d-484b-9ae9-973dbc7fae19)) + (pin "2" (uuid 10f7097c-e970-46d3-ab65-bac06440470f)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R101") (unit 1) + (reference "R9") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 35.56 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 175.26 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid c14207c3-46a1-4ad7-89bb-447bb5b41eb6) - (property "Reference" "R9" (at 36.83 33.02 90) + (uuid c150bb78-14c6-4676-8ac7-40d1b6c5ed1b) + (property "Reference" "R20" (at 100.33 172.72 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 33.02 90) + (property "Value" "680R" (at 97.79 172.72 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 35.56 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 35.56 0) + (property "Datasheet" "" (at 97.79 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 35.56 0) + (property "Mfr. No" "" (at 97.79 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 35.56 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 35.56 0) + (property "Manufacturer" "Vishay" (at 97.79 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 35.56 0) + (property "Mouser No" "" (at 97.79 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 35.56 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 01ced630-dc4d-484b-9ae9-973dbc7fae19)) - (pin "2" (uuid 10f7097c-e970-46d3-ab65-bac06440470f)) + (pin "1" (uuid 5cc1f930-f7d8-4afb-ba2d-9fb0727a0adf)) + (pin "2" (uuid 23614048-e3b9-4330-a887-f26c2724b2a5)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R9") (unit 1) + (reference "R20") (unit 1) ) ) ) @@ -13159,10 +12981,10 @@ (property "Reference" "D40" (at 54.61 71.12 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 71.12 0) + (property "Value" "2mA" (at 52.07 71.12 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 76.2 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 76.2 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 61.6712 0) @@ -13235,10 +13057,10 @@ (property "Reference" "D60" (at 86.36 26.67 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 26.67 0) + (property "Value" "2mA" (at 88.9 26.67 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 31.75 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 31.75 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 17.2212 0) @@ -13305,34 +13127,75 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 182.88 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 181.61 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c3853c3e-0229-43ad-aa19-6ea6af10a6d1) + (property "Reference" "R22" (at 100.33 179.07 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 97.79 179.07 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 97.79 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 97.79 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 97.79 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 97.79 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 98a2e985-12d0-437b-8f94-9f0b8f69117a)) + (pin "2" (uuid 5c3e4e03-59e7-4377-9907-d896dce7636f)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R22") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 137.16 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid c38a12dc-55d7-4e75-8dd0-10cb8969cd86) - (property "Reference" "R21" (at 100.33 180.34 90) + (property "Reference" "R21" (at 100.33 134.62 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 95.25 180.34 90) + (property "Value" "680R" (at 95.25 134.62 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 182.88 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 97.79 182.88 0) + (property "Datasheet" "" (at 97.79 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 97.79 182.88 0) + (property "Mfr. No" "" (at 97.79 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 97.79 182.88 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 97.79 182.88 0) + (property "Manufacturer" "Vishay" (at 97.79 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 97.79 182.88 0) + (property "Mouser No" "" (at 97.79 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 182.88 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 137.16 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid c8f2100f-77e2-4eb4-a314-a9e9941e83e4)) @@ -13346,28 +13209,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_02x08_Male") (at 219.71 149.86 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:Conn_02x08_Male") (at 171.45 111.76 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid c3b20efb-d155-4ea1-9310-53e366507f74) - (property "Reference" "J4" (at 222.25 137.16 0) + (property "Reference" "J4" (at 173.99 99.06 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "Conn_02x08_Male" (at 227.33 137.16 0) + (property "Value" "Conn_02x08_Male" (at 179.07 99.06 0) (effects (font (size 1.27 1.27)) (justify right) hide) ) - (property "Footprint" "LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P" (at 227.33 235.89 0) + (property "Footprint" "LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P" (at 179.07 197.79 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 227.33 335.89 0) + (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 179.07 297.79 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "mouser#" "855-M20-9980846" (at 227.33 635.89 0) + (property "mouser#" "855-M20-9980846" (at 179.07 597.79 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "Manufacturer" "Harwin" (at 227.33 835.89 0) + (property "Manufacturer" "Harwin" (at 179.07 797.79 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) - (property "manf#" "M20-9980846" (at 227.33 935.89 0) + (property "manf#" "M20-9980846" (at 179.07 897.79 0) (effects (font (size 1.27 1.27)) (justify left top) hide) ) (pin "12" (uuid 8f6c5779-8fd2-4140-bb54-f8fd34ae9b20)) @@ -13442,10 +13305,10 @@ (property "Reference" "D32" (at 54.61 26.67 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 26.67 0) + (property "Value" "2mA" (at 52.07 26.67 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 31.75 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 31.75 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 17.2212 0) @@ -13471,34 +13334,75 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 162.56 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 41.91 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c62ec4a2-aebc-4406-8ddc-3be8bd5a238a) + (property "Reference" "R83" (at 31.75 39.37 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "100R" (at 34.29 39.37 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 44.45 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 34.29 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 34.29 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 34.29 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 34.29 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cca5f0d9-8c92-4712-b947-8cf5c7591569)) + (pin "2" (uuid d8571fe6-39a5-4341-922a-0efb8946f587)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R83") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 86.36 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid c93f8f6f-0aa3-4c1e-86cc-b85d26294c7d) - (property "Reference" "R82" (at 36.83 160.02 90) + (property "Reference" "R82" (at 36.83 83.82 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 160.02 90) + (property "Value" "100R" (at 33.02 83.82 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 162.56 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 162.56 0) + (property "Datasheet" "" (at 34.29 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 162.56 0) + (property "Mfr. No" "" (at 34.29 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 162.56 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 162.56 0) + (property "Manufacturer" "Vishay" (at 34.29 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 162.56 0) + (property "Mouser No" "" (at 34.29 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 162.56 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 86.36 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1b7e44fb-07dc-4a8e-bf27-148b5104981f)) @@ -13512,28 +13416,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 166.37 44.45 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 86.36 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid c98edfd6-0b63-4091-abc6-6d8d3f60dc51) - (property "Reference" "D38" (at 163.83 41.91 0) + (property "Reference" "D38" (at 86.36 83.82 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 166.37 41.91 0) + (property "Value" "2mA" (at 88.9 83.82 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 166.37 46.99 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 166.37 32.4612 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 74.3712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 166.37 37.592 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 79.502 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 166.37 29.7688 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 71.6788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 166.37 48.768 0) + (property "Manufacturer" "Kingbright" (at 88.9 90.678 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid e7ddb6a6-7919-4607-8ebc-541589d96bc5)) @@ -13547,34 +13451,69 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 99.06 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 163.83 67.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c994e7d4-601d-47bb-8870-b95b4c947e75) + (property "Reference" "D102" (at 166.37 64.77 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 163.83 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 163.83 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 163.83 55.3212 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 163.83 60.452 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 163.83 52.6288 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 163.83 71.628 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "C" (uuid 606d1f09-e27b-49ba-bce1-72e4c6633fbe)) + (pin "A" (uuid 2a967606-b67e-494b-9782-b472e0d39838)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D102") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 54.61 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid ca06c2d6-e526-4d4b-94cd-8062f543ab02) - (property "Reference" "R107" (at 110.49 96.52 90) + (property "Reference" "R107" (at 92.71 52.07 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 107.95 96.52 90) + (property "Value" "100R" (at 95.25 52.07 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 97.79 99.06 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 105.41 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 107.95 99.06 0) + (property "Datasheet" "" (at 95.25 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 107.95 99.06 0) + (property "Mfr. No" "" (at 95.25 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 107.95 99.06 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 107.95 99.06 0) + (property "Manufacturer" "Vishay" (at 95.25 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 107.95 99.06 0) + (property "Mouser No" "" (at 95.25 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 99.06 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 54.61 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b9ed257d-2cb2-49b8-97f2-7558a9864e45)) @@ -13588,28 +13527,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 54.61 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 137.16 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid cc75525d-c959-4681-9742-01b5c044dc52) - (property "Reference" "D64" (at 86.36 52.07 0) + (property "Reference" "D64" (at 25.4 134.62 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 52.07 0) + (property "Value" "2mA" (at 27.94 134.62 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 57.15 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 139.7 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 42.6212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 125.1712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 47.752 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 130.302 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 39.9288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 122.4788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 58.928 0) + (property "Manufacturer" "Kingbright" (at 27.94 141.478 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid fd1135e8-c567-4b21-989f-1c1327553479)) @@ -13623,34 +13562,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 173.99 82.55 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 67.31 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid cd1d54e1-47bc-4e68-850c-5cd642f3481a) - (property "Reference" "R118" (at 176.53 80.01 90) + (property "Reference" "R118" (at 105.41 64.77 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 172.72 80.01 90) + (property "Value" "100R" (at 109.22 64.77 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 82.55 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 118.11 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 173.99 82.55 0) + (property "Datasheet" "" (at 107.95 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 173.99 82.55 0) + (property "Mfr. No" "" (at 107.95 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 173.99 82.55 0) + (property "manf#" "CRCW0603100RFKEAC" (at 107.95 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 173.99 82.55 0) + (property "Manufacturer" "Vishay" (at 107.95 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 173.99 82.55 0) + (property "Mouser No" "" (at 107.95 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 173.99 82.55 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 67.31 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e8477874-cff6-4c5c-a0b3-81dd5d3ef680)) @@ -13664,28 +13603,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 105.41 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 187.96 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid cdcbf59d-f11e-4c22-8cfa-1b9c3871777f) - (property "Reference" "D101" (at 86.36 102.87 0) + (property "Reference" "D101" (at 25.4 185.42 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 102.87 0) + (property "Value" "2mA" (at 27.94 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 107.95 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 190.5 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 93.4212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 175.9712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 98.552 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 181.102 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 90.7288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 173.2788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 109.728 0) + (property "Manufacturer" "Kingbright" (at 27.94 192.278 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid f2341034-15c5-4475-af76-c0b9107e099b)) @@ -13699,28 +13638,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 157.48 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 118.11 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid cdf7f240-9003-42c0-a872-93c38558798c) - (property "Reference" "D29" (at 118.11 154.94 0) + (property "Reference" "D29" (at 118.11 115.57 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 115.57 154.94 0) + (property "Value" "2mA" (at 115.57 115.57 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 115.57 160.02 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 120.65 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 145.4912 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 106.1212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 115.57 150.622 0) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 111.252 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 115.57 142.7988 0) + (property "manf#" "APHD1608LSURCK" (at 115.57 103.4288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 115.57 161.798 0) + (property "Manufacturer" "Kingbright" (at 115.57 122.428 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid 1a77a574-2516-4e17-9624-b3e03267f839)) @@ -13734,28 +13673,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 166.37 50.8 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 92.71 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid cea06361-da4b-4ea8-b6d2-1c5af4f22d9d) - (property "Reference" "D112" (at 163.83 48.26 0) + (property "Reference" "D112" (at 86.36 90.17 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 166.37 48.26 0) + (property "Value" "2mA" (at 88.9 90.17 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 166.37 53.34 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 95.25 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 166.37 38.8112 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 80.7212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 166.37 43.942 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 85.852 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 166.37 36.1188 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 78.0288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 166.37 55.118 0) + (property "Manufacturer" "Kingbright" (at 88.9 97.028 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid a450538c-8e36-49b4-b252-0903a29a9aa9)) @@ -13851,63 +13790,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 67.31 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid d023e7d7-4014-4376-b605-14d4e6fda54e) - (property "Reference" "D16" (at 25.4 64.77 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 64.77 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 69.85 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 55.3212 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 60.452 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 52.6288 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 71.628 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 207d298f-9996-4771-91af-139f379ada10)) - (pin "C" (uuid 9823cffe-cd63-426f-87b3-00b2dfd27010)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D16") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 130.81 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 80.01 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid d04c432b-9010-4ce3-a1a9-d1dfe797d8e0) - (property "Reference" "D30" (at 25.4 128.27 0) + (property "Reference" "D30" (at 25.4 77.47 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 27.94 128.27 0) + (property "Value" "2mA" (at 27.94 77.47 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 133.35 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 82.55 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 118.8212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 68.0212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 123.952 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 73.152 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 116.1288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 65.3288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 27.94 135.128 0) + (property "Manufacturer" "Kingbright" (at 27.94 84.328 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 9e1cb157-6d8f-4f6e-a040-b9ad2190b232)) @@ -13921,34 +13825,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 189.23 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 97.79 143.51 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid d096aa65-d486-40ee-b703-cb39ff8c98e7) - (property "Reference" "R23" (at 100.33 186.69 90) + (property "Reference" "R23" (at 100.33 140.97 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 95.25 186.69 90) + (property "Value" "680R" (at 95.25 140.97 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 189.23 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 87.63 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 97.79 189.23 0) + (property "Datasheet" "" (at 97.79 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 97.79 189.23 0) + (property "Mfr. No" "" (at 97.79 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 97.79 189.23 0) + (property "manf#" "CRCW0603680RFKEAC" (at 97.79 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 97.79 189.23 0) + (property "Manufacturer" "Vishay" (at 97.79 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 97.79 189.23 0) + (property "Mouser No" "" (at 97.79 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 189.23 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 97.79 143.51 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d8bdbd67-daf7-4694-83eb-6ae98d1904fe)) @@ -13968,10 +13872,10 @@ (property "Reference" "D54" (at 54.61 134.62 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 134.62 0) + (property "Value" "2mA" (at 52.07 134.62 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 139.7 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 139.7 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 125.1712 0) @@ -13997,77 +13901,36 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 168.91 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 92.71 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid d30c7d01-0fc3-48f5-b58b-342d31a83e21) - (property "Reference" "D82" (at 25.4 166.37 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 156.9212 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 162.052 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 154.2288 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 173.228 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 8f5c2fc3-01be-4580-824e-1de362b3ec35)) - (pin "C" (uuid 509f3853-06ba-49f3-a52d-ac1c68a0c885)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D82") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 163.83 270) (mirror x) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid d3d960bc-d0d6-4666-9f29-6f8b4ca39655) - (property "Reference" "R78" (at 106.68 161.29 90) + (property "Reference" "D82" (at 25.4 90.17 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "680R" (at 111.76 161.29 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 109.22 163.83 0) + (property "Value" "2mA" (at 27.94 90.17 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 109.22 163.83 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 95.25 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603680RFKEAC" (at 109.22 163.83 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 80.7212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 109.22 163.83 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 85.852 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 109.22 163.83 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 78.0288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 163.83 0) + (property "Manufacturer" "Kingbright" (at 27.94 97.028 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid b0bf58bc-78b2-4c75-ab42-31229f9d491e)) - (pin "2" (uuid 089b2463-ea99-44c1-ae0a-08bed7d719d0)) + (pin "A" (uuid 8f5c2fc3-01be-4580-824e-1de362b3ec35)) + (pin "C" (uuid 509f3853-06ba-49f3-a52d-ac1c68a0c885)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R78") (unit 1) + (reference "D82") (unit 1) ) ) ) @@ -14079,10 +13942,10 @@ (property "Reference" "D146" (at 256.54 91.44 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 91.44 0) + (property "Value" "2mA" (at 254 91.44 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 96.52 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 96.52 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 81.9912 0) @@ -14114,10 +13977,10 @@ (property "Reference" "D85" (at 116.84 20.32 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 20.32 0) + (property "Value" "2mA" (at 114.3 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 25.4 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 25.4 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 10.8712 0) @@ -14184,28 +14047,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 113.03 124.46 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 35.56 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid d5407782-c605-4335-b04c-2712b54317c3) - (property "Reference" "D42" (at 115.57 121.92 0) + (property "Reference" "D42" (at 86.36 33.02 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 113.03 121.92 0) + (property "Value" "2mA" (at 88.9 33.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 113.03 127 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 38.1 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 113.03 112.4712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 23.5712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 113.03 117.602 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 28.702 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 113.03 109.7788 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 20.8788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 113.03 128.778 0) + (property "Manufacturer" "Kingbright" (at 88.9 39.878 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 991f4b82-a8c6-4e89-a481-1a99ef01a690)) @@ -14219,28 +14082,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 99.06 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 54.61 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid d5e8a173-4c70-409d-a56e-66ec2feb4232) - (property "Reference" "D105" (at 116.84 96.52 0) + (property "Reference" "D105" (at 86.36 52.07 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 96.52 0) + (property "Value" "2mA" (at 88.9 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 101.6 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 87.0712 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 42.6212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 92.202 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 47.752 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 84.3788 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 39.9288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 114.3 103.378 0) + (property "Manufacturer" "Kingbright" (at 88.9 58.928 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 64971b47-fec7-4bac-bd1c-44453e7c2e84)) @@ -14254,41 +14117,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 88.9 22.86 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid d672f0b2-01e9-405b-9383-c742c0c6212c) - (property "Reference" "D59" (at 86.36 20.32 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Red_2mA" (at 88.9 20.32 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 25.4 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 88.9 10.8712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LSURCK" (at 88.9 16.002 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LSURCK" (at 88.9 8.1788 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 88.9 27.178 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 2e5de791-0c7b-4758-9cee-8713e908ba07)) - (pin "C" (uuid 30ac8d6a-f076-449c-9c74-8ea16378dec0)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D59") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 245.11 49.53 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid d6d25488-4ace-42a6-bc05-a9b257dcc88f) @@ -14330,76 +14158,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 86.36 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid d927f716-a65e-45be-af7a-b57c70057faf) - (property "Reference" "D100" (at 116.84 83.82 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 114.3 83.82 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 74.3712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 79.502 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 71.6788 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 114.3 90.678 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid a6000a0f-8970-40c4-aee9-41ade40c3116)) - (pin "C" (uuid eae8b520-07f6-4bfe-afb3-5c2b8661a63f)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D100") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 156.21 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid d9e1d398-2b17-4a26-b9cd-15bd8f7576b9) - (property "Reference" "D79" (at 25.4 153.67 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 153.67 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 158.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 144.2212 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 149.352 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 141.5288 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 160.528 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid 2cff5ca0-408f-47f2-adfc-4ab113538c7b)) - (pin "C" (uuid 2fe95560-8084-4c84-b8dc-f5014fe75016)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D79") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 45.72 162.56 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid da1facd7-8795-4154-9560-711100339a5b) @@ -14447,10 +14205,10 @@ (property "Reference" "D52" (at 54.61 121.92 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 121.92 0) + (property "Value" "2mA" (at 52.07 121.92 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 127 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 127 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 112.4712 0) @@ -14476,28 +14234,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 41.91 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 130.81 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid db257a30-4ca0-47b4-9332-bf47a1f5e4ab) - (property "Reference" "D62" (at 86.36 39.37 0) + (property "Reference" "D62" (at 25.4 128.27 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 88.9 39.37 0) + (property "Value" "2mA" (at 27.94 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 88.9 44.45 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 27.94 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 29.9212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 118.8212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 88.9 35.052 0) + (property "mouser#" "604-APHD1608LVBCD" (at 27.94 123.952 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 88.9 27.2288 0) + (property "manf#" "APHD1608LVBC/D" (at 27.94 116.1288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 88.9 46.228 0) + (property "Manufacturer" "Kingbright" (at 27.94 135.128 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid d703fde6-4da5-4733-be09-50405b42dbce)) @@ -14552,51 +14310,16 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 60.96 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid db38ce08-bdf7-414f-909c-f81e940d0bd5) - (property "Reference" "D15" (at 25.4 58.42 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 58.42 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 63.5 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 48.9712 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 54.102 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 46.2788 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 65.278 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid a7d885b4-c87a-4f0a-b41c-e62f3340cdbd)) - (pin "C" (uuid 5989ba73-1907-4ce2-936f-79e63b428cd0)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D15") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 223.52 55.88 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid db55d1a7-b2f2-466d-bc2b-8f15bf43ee17) (property "Reference" "D124" (at 220.98 53.34 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 53.34 0) + (property "Value" "2mA" (at 223.52 53.34 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 58.42 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 58.42 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 43.8912 0) @@ -14622,28 +14345,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 144.78 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 105.41 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid dbccabe2-bfe3-4c5e-9885-fa76f45075e6) - (property "Reference" "D41" (at 118.11 142.24 0) + (property "Reference" "D41" (at 118.11 102.87 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 115.57 142.24 0) + (property "Value" "2mA" (at 115.57 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 115.57 147.32 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 132.7912 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 93.4212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 115.57 137.922 0) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 98.552 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 115.57 130.0988 0) + (property "manf#" "APHD1608LSURCK" (at 115.57 90.7288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 115.57 149.098 0) + (property "Manufacturer" "Kingbright" (at 115.57 109.728 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid 43eb469b-1052-4eec-bd1e-a54170862ef1)) @@ -14698,28 +14421,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 92.71 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 48.26 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid dc515cbd-8b08-4ece-90c8-711aa4910f4f) - (property "Reference" "D104" (at 116.84 90.17 0) + (property "Reference" "D104" (at 86.36 45.72 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 90.17 0) + (property "Value" "2mA" (at 88.9 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 95.25 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 50.8 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 80.7212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 36.2712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 85.852 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 41.402 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 78.0288 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 33.5788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 114.3 97.028 0) + (property "Manufacturer" "Kingbright" (at 88.9 52.578 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid 7a1f2793-8061-4525-8829-ffa53e586356)) @@ -14739,10 +14462,10 @@ (property "Reference" "D87" (at 116.84 33.02 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 33.02 0) + (property "Value" "2mA" (at 114.3 33.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 38.1 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 38.1 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 23.5712 0) @@ -14774,10 +14497,10 @@ (property "Reference" "D133" (at 220.98 110.49 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 110.49 0) + (property "Value" "2mA" (at 223.52 110.49 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 115.57 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 115.57 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 101.0412 0) @@ -14803,42 +14526,71 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 124.46 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 90.17 162.56 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid e3948706-4a24-4a90-ac55-d1a5841ee4bd) - (property "Reference" "R30" (at 36.83 121.92 90) + (uuid e082a20f-a6d2-419b-904d-8c68767f2315) + (property "Reference" "D12" (at 87.63 160.02 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 121.92 90) - (effects (font (size 1.27 1.27)) (justify left)) + (property "Value" "2mA" (at 90.17 169.164 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 124.46 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 90.17 165.1 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 124.46 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 90.17 150.5712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 124.46 0) + (property "mouser#" "604-APHD1608LSURCK" (at 90.17 155.702 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 124.46 0) + (property "manf#" "APHD1608LSURCK" (at 90.17 147.8788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 124.46 0) + (property "Manufacturer" "Kingbright" (at 90.17 166.878 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 124.46 0) + (pin "A" (uuid 290b5007-76a7-441a-b884-20f6117335ab)) + (pin "C" (uuid 3f927022-7168-4645-abd9-80cb21a108b8)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 90.17 168.91 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e26e7d7d-9532-433c-9481-747993ee170f) + (property "Reference" "D15" (at 87.63 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 90.17 175.514 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 124.46 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 90.17 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 90.17 156.9212 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 90.17 162.052 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 90.17 154.2288 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 90.17 173.228 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 9cb19e61-31e0-426c-9d9b-5b97daad8e14)) - (pin "2" (uuid 18d21247-1084-46d7-8352-b4c3ff8e2c1f)) + (pin "A" (uuid a5f638d7-3149-41e0-9632-f9fe55d440d4)) + (pin "C" (uuid 036ccba8-1fbd-4d15-b96b-a18c3c3852fb)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R30") (unit 1) + (reference "D15") (unit 1) ) ) ) @@ -14869,34 +14621,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 73.66 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 156.21 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid e5b0bf13-82ae-49ba-9349-04acefa0b354) - (property "Reference" "R70" (at 97.79 71.12 90) + (property "Reference" "R70" (at 36.83 153.67 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 71.12 90) + (property "Value" "100R" (at 34.29 153.67 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 73.66 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 95.25 73.66 0) + (property "Datasheet" "" (at 34.29 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 73.66 0) + (property "Mfr. No" "" (at 34.29 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 73.66 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 73.66 0) + (property "Manufacturer" "Vishay" (at 34.29 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 73.66 0) + (property "Mouser No" "" (at 34.29 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 73.66 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 156.21 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 0d5f7b40-cd1c-45f7-8c80-4705bebcf758)) @@ -14910,75 +14662,69 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 118.11 270) (mirror x) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 168.91 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid e5bc49f1-39f2-45b3-af9a-6de24de1b394) - (property "Reference" "R105" (at 92.71 115.57 90) + (uuid e69a971d-cbce-4faf-b4e7-4c5bc895b765) + (property "Reference" "D95" (at 118.11 166.37 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 95.25 115.57 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 105.41 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 95.25 118.11 0) + (property "Value" "2mA" (at 115.57 175.514 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 95.25 118.11 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 171.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 95.25 118.11 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 156.9212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 95.25 118.11 0) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 162.052 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 95.25 118.11 0) + (property "manf#" "APHD1608LSURCK" (at 115.57 154.2288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 118.11 0) + (property "Manufacturer" "Kingbright" (at 115.57 173.228 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 321a886b-ccc4-47ff-a08b-0b242f63d8a6)) - (pin "2" (uuid 0c494ddf-fd0b-4eb5-a8bd-ad509efa9257)) + (pin "A" (uuid 0de38ce3-5608-4702-83e2-e5aca2fd93cb)) + (pin "C" (uuid bfe5c647-7e80-4b72-8c48-0b25fda86efe)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R105") (unit 1) + (reference "D95") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 173.99 76.2 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 73.66 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid e6bbceec-a38b-416b-b71a-33927c6ca313) - (property "Reference" "R116" (at 176.53 73.66 90) + (property "Reference" "R116" (at 105.41 71.12 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 172.72 73.66 90) + (property "Value" "100R" (at 109.22 71.12 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 76.2 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 118.11 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 173.99 76.2 0) + (property "Datasheet" "" (at 107.95 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 173.99 76.2 0) + (property "Mfr. No" "" (at 107.95 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 173.99 76.2 0) + (property "manf#" "CRCW0603100RFKEAC" (at 107.95 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 173.99 76.2 0) + (property "Manufacturer" "Vishay" (at 107.95 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 173.99 76.2 0) + (property "Mouser No" "" (at 107.95 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 173.99 76.2 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 107.95 73.66 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid a9cb5376-7885-4f87-ae28-4f555257c3f4)) @@ -14992,34 +14738,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 118.11 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 73.66 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid e743464e-465c-44d0-b5e8-7ee2431b6e63) - (property "Reference" "R29" (at 36.83 115.57 90) + (property "Reference" "R29" (at 36.83 71.12 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 115.57 90) + (property "Value" "100R" (at 33.02 71.12 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 118.11 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 118.11 0) + (property "Datasheet" "" (at 34.29 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 118.11 0) + (property "Mfr. No" "" (at 34.29 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 118.11 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 118.11 0) + (property "Manufacturer" "Vishay" (at 34.29 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 118.11 0) + (property "Mouser No" "" (at 34.29 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 118.11 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 73.66 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 905f79fc-988c-4ad5-9943-7aa47c29667d)) @@ -15060,50 +14806,15 @@ (property "Mouser No" "" (at 232.41 113.03 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 232.41 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8910c03c-2967-4972-8c01-278f3bfabc00)) - (pin "2" (uuid b046d4c8-ae05-4218-9ea2-560821fbb4f3)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R135") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 27.94 41.91 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid e9738591-452b-472e-a7e2-c30880ad9f57) - (property "Reference" "D8" (at 25.4 39.37 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Blue_2mA" (at 27.94 39.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 27.94 44.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 27.94 29.9212 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LVBCD" (at 27.94 35.052 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LVBC/D" (at 27.94 27.2288 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 27.94 46.228 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 232.41 113.03 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "A" (uuid 38531f2c-0cae-491d-9017-5cbd3619d3ec)) - (pin "C" (uuid 22d7dfae-6576-4372-aff7-f2a30777d3aa)) + (pin "1" (uuid 8910c03c-2967-4972-8c01-278f3bfabc00)) + (pin "2" (uuid b046d4c8-ae05-4218-9ea2-560821fbb4f3)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "D8") (unit 1) + (reference "R135") (unit 1) ) ) ) @@ -15115,10 +14826,10 @@ (property "Reference" "D138" (at 256.54 40.64 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 254 40.64 0) + (property "Value" "2mA" (at 254 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 254 45.72 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 254 45.72 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 254 31.1912 0) @@ -15150,10 +14861,10 @@ (property "Reference" "D149" (at 220.98 123.19 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 123.19 0) + (property "Value" "2mA" (at 223.52 123.19 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 128.27 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 128.27 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 113.7412 0) @@ -15179,137 +14890,83 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 60.96 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 45.72 187.96 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid eabf1e1e-2351-4f12-8106-fd6f6c48521d) - (property "Reference" "R17" (at 36.83 58.42 90) + (uuid ee378791-6a65-427c-9566-c6ddf385b692) + (property "Reference" "R117" (at 43.18 185.42 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 58.42 90) + (property "Value" "100R" (at 45.72 185.42 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 60.96 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 55.88 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 60.96 0) + (property "Datasheet" "" (at 45.72 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 60.96 0) + (property "Mfr. No" "" (at 45.72 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 60.96 0) + (property "manf#" "CRCW0603100RFKEAC" (at 45.72 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 60.96 0) + (property "Manufacturer" "Vishay" (at 45.72 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 60.96 0) + (property "Mouser No" "" (at 45.72 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 60.96 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 45.72 187.96 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 3c203da6-ac33-4354-abbb-3aa8afa540d3)) - (pin "2" (uuid cabb7d0e-3c87-4ac5-98d3-c152ea71b0ea)) + (pin "1" (uuid 1ffaf410-a7e9-4089-a386-b87f410c721f)) + (pin "2" (uuid ac32ae77-b800-4522-abc7-928d0b526627)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R17") (unit 1) + (reference "R117") (unit 1) ) ) ) ) - (symbol (lib_id "LEA_SymbolLibrary:Conn_02x09_Male") (at 166.37 99.06 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 157.48 67.31 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid ec3ac7e6-f340-4679-a92c-83f27116c180) - (property "Reference" "J3" (at 166.37 114.3 0) + (uuid ee791fe6-2175-4e79-a4cc-7eedf346d5da) + (property "Reference" "R104" (at 154.94 64.77 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "Conn_02x09_Male" (at 158.75 113.03 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Footprint" "LEA_FootprintLibrary:Conn_02x09_Male" (at 185.42 193.98 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Datasheet" "https://cdn.harwin.com/pdfs/M20-998.pdf" (at 185.42 293.98 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "mouser#" "855-M20-9980946" (at 185.42 593.98 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Manufacturer_Name" "Harwin" (at 185.42 793.98 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "manf#" "M20-9980946" (at 185.42 893.98 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (property "Manufacturer" "Harwin" (at 185.42 793.98 0) - (effects (font (size 1.27 1.27)) (justify left top) hide) - ) - (pin "9" (uuid e7880c81-c58f-4ce1-b603-14598d7f4fb1)) - (pin "13" (uuid 5b9e8cfa-c72e-419b-9076-b8f1b7e89cae)) - (pin "11" (uuid c87ce267-9378-4414-b05a-63563dac4825)) - (pin "1" (uuid ef115d05-ae60-4672-8beb-0e86f5462ace)) - (pin "16" (uuid c1ba6c4c-ed29-498e-8bdd-59be22c9f671)) - (pin "5" (uuid 488658e4-b4eb-4933-ad8c-a84ffe8c2600)) - (pin "10" (uuid 79897cf3-4454-4c0f-8cf2-f7026ec54e5e)) - (pin "6" (uuid e8d4f2b2-8cf6-4260-aed2-558a102d8053)) - (pin "8" (uuid 8b5fe286-2ca1-4d6c-97fe-3e2a6f980038)) - (pin "17" (uuid 3764420d-51f8-49a9-824f-3d92af8e1147)) - (pin "14" (uuid 86f91cbf-e918-4b6b-b932-6c732e9301cb)) - (pin "12" (uuid bce1b187-2bbb-4329-adad-7fea46ccd6f7)) - (pin "18" (uuid 47485b35-0bc3-46a4-836f-d60a67850dea)) - (pin "4" (uuid 53c2a04b-ffc6-4293-a726-fd28978b1d5d)) - (pin "3" (uuid 146cc677-8d99-42ed-a2d0-0b493bd68ce3)) - (pin "15" (uuid cfd3dc92-ff1f-4100-8904-ae2a0cfc0930)) - (pin "7" (uuid 2046a92a-0957-4344-b744-838f4256bac8)) - (pin "2" (uuid 43a26a3c-e9ec-4f6f-acbd-b47c048b1000)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "J3") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 45.72 187.96 270) (mirror x) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid ee378791-6a65-427c-9566-c6ddf385b692) - (property "Reference" "R117" (at 43.18 185.42 90) + (property "Value" "680R" (at 160.02 64.77 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 45.72 185.42 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 55.88 187.96 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 167.64 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 45.72 187.96 0) + (property "Datasheet" "" (at 157.48 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 45.72 187.96 0) + (property "Mfr. No" "" (at 157.48 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 45.72 187.96 0) + (property "manf#" "CRCW0603680RFKEAC" (at 157.48 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 45.72 187.96 0) + (property "Manufacturer" "Vishay" (at 157.48 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 45.72 187.96 0) + (property "Mouser No" "" (at 157.48 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 45.72 187.96 0) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 157.48 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 1ffaf410-a7e9-4089-a386-b87f410c721f)) - (pin "2" (uuid ac32ae77-b800-4522-abc7-928d0b526627)) + (pin "1" (uuid 5f47f64f-9d7d-4287-9d4c-1014e242280a)) + (pin "2" (uuid 2867570d-31f4-407e-9354-ab84baea4bcd)) (instances (project "LCB-CTB-01" (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" - (reference "R117") (unit 1) + (reference "R104") (unit 1) ) ) ) @@ -15397,34 +15054,69 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 173.99 38.1 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 143.51 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f14cd3ec-cf02-4d64-9454-4e112ed3c30f) + (property "Reference" "D63" (at 118.11 140.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 115.57 150.114 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 131.5212 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 136.652 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 115.57 128.8288 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 115.57 147.828 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid daab1576-3a16-4044-aea2-4b581c5f7111)) + (pin "C" (uuid cb773ba8-37fd-475f-874a-d97707d5e6a0)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D63") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 95.25 80.01 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid f1a26296-a184-4133-a413-92ed8e293dbb) - (property "Reference" "R53" (at 176.53 35.56 90) + (property "Reference" "R53" (at 97.79 77.47 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 172.72 35.56 90) + (property "Value" "100R" (at 93.98 77.47 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 163.83 38.1 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 85.09 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 173.99 38.1 0) + (property "Datasheet" "" (at 95.25 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 173.99 38.1 0) + (property "Mfr. No" "" (at 95.25 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 173.99 38.1 0) + (property "manf#" "CRCW0603100RFKEAC" (at 95.25 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 173.99 38.1 0) + (property "Manufacturer" "Vishay" (at 95.25 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 173.99 38.1 0) + (property "Mouser No" "" (at 95.25 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 173.99 38.1 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 95.25 80.01 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 8d8c5585-48b6-4134-8a83-6e94cb83fc7e)) @@ -15438,28 +15130,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 144.78 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 105.41 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid f514824f-52ac-4363-b0ea-1dbad7eb9319) - (property "Reference" "D3" (at 88.9 142.24 0) + (property "Reference" "D3" (at 88.9 102.87 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 91.44 142.24 0) + (property "Value" "2mA" (at 91.44 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 91.44 147.32 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 91.44 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 132.7912 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 93.4212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 91.44 137.922 0) + (property "mouser#" "604-APHD1608LSURCK" (at 91.44 98.552 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 91.44 130.0988 0) + (property "manf#" "APHD1608LSURCK" (at 91.44 90.7288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 91.44 149.098 0) + (property "Manufacturer" "Kingbright" (at 91.44 109.728 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid c9b36f3b-1ddb-4f4b-a7ac-0fb246376625)) @@ -15479,10 +15171,10 @@ (property "Reference" "D125" (at 220.98 59.69 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 59.69 0) + (property "Value" "2mA" (at 223.52 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 64.77 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 64.77 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 50.2412 0) @@ -15508,28 +15200,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 166.37 63.5 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 86.36 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid f7fb2013-0ad3-43f7-a8c0-5ed43feffab8) - (property "Reference" "D117" (at 163.83 60.96 0) + (property "Reference" "D117" (at 116.84 83.82 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 166.37 60.96 0) + (property "Value" "2mA" (at 114.3 83.82 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 166.37 66.04 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 114.3 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 166.37 51.5112 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 74.3712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 166.37 56.642 0) + (property "mouser#" "604-APHD1608LVBCD" (at 114.3 79.502 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 166.37 48.8188 0) + (property "manf#" "APHD1608LVBC/D" (at 114.3 71.6788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 166.37 67.818 0) + (property "Manufacturer" "Kingbright" (at 114.3 90.678 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid ee494289-45e8-4669-a438-a25ff4498047)) @@ -15584,28 +15276,28 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 189.23 0) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 91.44 143.51 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid f86d7900-3a70-424b-a31b-dea5fba8eb34) - (property "Reference" "D21" (at 88.9 186.69 0) + (property "Reference" "D21" (at 88.9 140.97 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 91.44 186.69 0) + (property "Value" "2mA" (at 91.44 140.97 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 91.44 191.77 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 91.44 146.05 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 177.2412 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 91.44 131.5212 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 91.44 182.372 0) + (property "mouser#" "604-APHD1608LSURCK" (at 91.44 136.652 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 91.44 174.5488 0) + (property "manf#" "APHD1608LSURCK" (at 91.44 128.8288 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 91.44 193.548 0) + (property "Manufacturer" "Kingbright" (at 91.44 147.828 0) (effects (font (size 1.27 1.27)) hide) ) (pin "C" (uuid 9d74e107-bfaf-4ca8-9c84-33655dd02d17)) @@ -15619,34 +15311,34 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 130.81 90) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 34.29 80.01 90) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid f885e4e4-2417-4c53-8eca-d52a54c659c5) - (property "Reference" "R32" (at 36.83 128.27 90) + (property "Reference" "R32" (at 36.83 77.47 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "100R" (at 33.02 128.27 90) + (property "Value" "100R" (at 33.02 77.47 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 130.81 0) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 24.13 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 34.29 130.81 0) + (property "Datasheet" "" (at 34.29 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mfr. No" "" (at 34.29 130.81 0) + (property "Mfr. No" "" (at 34.29 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "CRCW0603100RFKEAC" (at 34.29 130.81 0) + (property "manf#" "CRCW0603100RFKEAC" (at 34.29 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Vishay" (at 34.29 130.81 0) + (property "Manufacturer" "Vishay" (at 34.29 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mouser No" "" (at 34.29 130.81 0) + (property "Mouser No" "" (at 34.29 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 130.81 0) + (property "mouser#" "71-CRCW0603100RFKEAC" (at 34.29 80.01 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 4d5b78e1-d287-467e-8eb1-f525b3a2527d)) @@ -15707,10 +15399,10 @@ (property "Reference" "D109" (at 54.61 166.37 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 166.37 0) + (property "Value" "2mA" (at 52.07 166.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 171.45 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 171.45 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 156.9212 0) @@ -15736,16 +15428,51 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 90.17 187.96 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid faefe365-3730-4c54-9b1d-39234c296260) + (property "Reference" "D23" (at 87.63 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 90.17 194.564 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 90.17 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 90.17 175.9712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 90.17 181.102 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 90.17 173.2788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 90.17 192.278 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid 264d2079-ec41-4bc3-878a-6bda4a8e2d32)) + (pin "C" (uuid 3557f943-57ba-4457-bd35-f583acf2cbb8)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D23") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 223.52 43.18 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid fb3104a0-5bc8-4419-b3fe-3914463ff419) (property "Reference" "D122" (at 220.98 40.64 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 40.64 0) + (property "Value" "2mA" (at 223.52 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 45.72 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 45.72 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 31.1912 0) @@ -15771,6 +15498,41 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 163.83 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fb74b604-9cc8-4349-9461-f37b6f6f9e02) + (property "Reference" "D11" (at 166.37 45.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 163.83 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 163.83 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 163.83 36.2712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 163.83 41.402 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 163.83 33.5788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 163.83 52.578 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "C" (uuid 5107f9fd-71bd-4db4-9b0b-cba9c1a6baad)) + (pin "A" (uuid 82f29880-e737-446d-b735-3bea573010cb)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D11") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V") (at 107.95 22.86 270) (mirror x) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid fb9dc09b-7a23-4b94-bf87-1bc70e0f9268) @@ -15812,28 +15574,63 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 114.3 105.41 0) (mirror y) (unit 1) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 115.57 149.86 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fd0129fe-cfd8-4a6b-a044-13cf99905845) + (property "Reference" "D75" (at 118.11 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 115.57 156.464 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_R" (at 115.57 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 115.57 137.8712 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APHD1608LSURCK" (at 115.57 143.002 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APHD1608LSURCK" (at 115.57 135.1788 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 115.57 154.178 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid 0543b748-8473-4790-9ac7-8c59a9be5e4b)) + (pin "C" (uuid b8ca9505-422c-48f5-921f-d521b4558d62)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "D75") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 88.9 60.96 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid fd287afe-2bc2-485e-8e95-4a88b2969974) - (property "Reference" "D106" (at 116.84 102.87 0) + (property "Reference" "D106" (at 86.36 58.42 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 114.3 102.87 0) + (property "Value" "2mA" (at 88.9 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 114.3 107.95 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 88.9 63.5 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 114.3 93.4212 0) + (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 88.9 48.9712 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LVBCD" (at 114.3 98.552 0) + (property "mouser#" "604-APHD1608LVBCD" (at 88.9 54.102 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LVBC/D" (at 114.3 90.7288 0) + (property "manf#" "APHD1608LVBC/D" (at 88.9 46.2788 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Manufacturer" "Kingbright" (at 114.3 109.728 0) + (property "Manufacturer" "Kingbright" (at 88.9 65.278 0) (effects (font (size 1.27 1.27)) hide) ) (pin "A" (uuid af842fb0-3721-4bc5-97fa-fd633cd1329d)) @@ -15853,10 +15650,10 @@ (property "Reference" "D43" (at 54.61 77.47 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 77.47 0) + (property "Value" "2mA" (at 52.07 77.47 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 82.55 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 82.55 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 68.0212 0) @@ -15882,16 +15679,98 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 149.86 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fddb8976-09c4-487d-a50a-b5c74249acc6) + (property "Reference" "R77" (at 106.68 147.32 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 109.22 147.32 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 109.22 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 109.22 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 109.22 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 30ea5f25-0649-45b6-ac61-0ecc0205a1a3)) + (pin "2" (uuid f34fd039-34b0-4296-bfef-eba67fb3ce47)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R77") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V") (at 109.22 168.91 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fe441a54-43fc-4a4e-ab4b-02ae427b7080) + (property "Reference" "R97" (at 106.68 166.37 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "680R" (at 109.22 166.37 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "LEA_FootprintLibrary:R_0603" (at 119.38 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfr. No" "" (at 109.22 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "CRCW0603680RFKEAC" (at 109.22 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vishay" (at 109.22 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mouser No" "" (at 109.22 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "71-CRCW0603680RFKEAC" (at 109.22 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a64c7781-28ee-495d-95d0-8e06ae27cf97)) + (pin "2" (uuid db960e1d-a4de-4a99-81b5-ebd569c945c8)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/4c16c2b1-51bd-4393-b426-fd62e379692e" + (reference "R97") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D") (at 223.52 49.53 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid ff381a50-ea0f-4d1e-a0f1-d35010e2fc2c) (property "Reference" "D123" (at 220.98 46.99 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 223.52 46.99 0) + (property "Value" "2mA" (at 223.52 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 223.52 52.07 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 223.52 52.07 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 223.52 37.5412 0) @@ -15923,10 +15802,10 @@ (property "Reference" "D118" (at 54.61 191.77 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Blue_2mA" (at 52.07 191.77 0) + (property "Value" "2mA" (at 52.07 191.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 52.07 196.85 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_B" (at 52.07 196.85 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf" (at 52.07 182.3212 0) diff --git a/LCB-CTB-01/PowerSupply.kicad_sch b/LCB-CTB-01/PowerSupply.kicad_sch index 62194e5..00d2dba 100644 --- a/LCB-CTB-01/PowerSupply.kicad_sch +++ b/LCB-CTB-01/PowerSupply.kicad_sch @@ -6,8 +6,8 @@ (title_block (title "LCB-CTB-01: Test Board") - (date "2024-03-02") - (rev "1.0.0") + (date "2024-04-05") + (rev "1.1.0") (company "PADERBORN UNIVERSITY DEPARTMENT OF POWER ELECTRONICS AND ELECTRICAL DRIVES") ) @@ -537,37 +537,42 @@ ) ) ) - (symbol "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "D" (at 0 5.08 0) + (symbol "LEA_SymbolLibrary:LED_0603_G_2mA_APTD1608LCGCK " (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (at 0 2.921 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Red_2mA" (at 0 2.54 0) - (effects (font (size 1.27 1.27))) + (property "Value" "2mA" (at 0 -6.477 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 0 -2.54 0) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_G" (at 0 -2.54 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 0 11.9888 0) + (property "Datasheet" "https://www.mouser.de/datasheet/2/216/APTD1608LCGCK-1102124.pdf" (at 0 11.9888 0) (effects (font (size 1.27 1.27)) hide) ) (property "Manufacturer" "Kingbright" (at 0 -4.318 0) (effects (font (size 1.27 1.27)) hide) ) - (property "mouser#" "604-APHD1608LSURCK" (at 0 6.858 0) + (property "mouser#" "604-APTD1608LCGCK" (at 0 6.858 0) (effects (font (size 1.27 1.27)) hide) ) - (property "manf#" "APHD1608LSURCK" (at 0 14.6812 0) + (property "manf#" "APTD1608LCGCK" (at 0 14.6812 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "LED 0603" (at 0 0 0) + (property "ki_description" "LED 0603, Green, If 2mA, Vf 1.9V" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (symbol "LED_0603_R_2mA_APHD1608LSURCK_0_0" + (symbol "LED_0603_G_2mA_APTD1608LCGCK _0_0" (text "0603" (at -1.905 0.635 0) (effects (font (size 0.5 0.5))) ) ) - (symbol "LED_0603_R_2mA_APHD1608LSURCK_1_1" + (symbol "LED_0603_G_2mA_APTD1608LCGCK _1_0" + (text "G" (at 1.524 0.635 0) + (effects (font (size 0.5 0.5))) + ) + ) + (symbol "LED_0603_G_2mA_APTD1608LCGCK _1_1" (polyline (pts (xy -0.6604 -1.0414) @@ -937,6 +942,9 @@ (junction (at 149.86 91.44) (diameter 0) (color 0 0 0 0) (uuid 22efa722-d95d-4737-8fa7-9867d26b373b) ) + (junction (at 251.46 80.01) (diameter 0) (color 0 0 0 0) + (uuid 25c9c1f9-9eaa-4be2-9d1d-0cd31a565055) + ) (junction (at 251.46 69.85) (diameter 0) (color 0 0 0 0) (uuid 4c11ebc2-6885-49c9-9de4-68aae112c2e8) ) @@ -1144,6 +1152,10 @@ (stroke (width 0) (type default)) (uuid b490c168-1625-4697-8813-fd03897c040d) ) + (wire (pts (xy 251.46 77.47) (xy 251.46 80.01)) + (stroke (width 0) (type default)) + (uuid b4d132a0-853c-400d-bd10-bc24cd76bddd) + ) (wire (pts (xy 121.92 81.28) (xy 123.19 81.28)) (stroke (width 0) (type default)) (uuid b9aead7d-5f93-4263-8c1a-a85b1430bd37) @@ -1272,6 +1284,41 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:LED_0603_G_2mA_APTD1608LCGCK ") (at 189.23 64.77 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 07089929-25ee-40aa-aa1e-6a6cd5ef7de9) + (property "Reference" "D2" (at 191.77 62.23 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "2mA" (at 189.23 71.247 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:LED_0603_G" (at 189.23 67.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.mouser.de/datasheet/2/216/APTD1608LCGCK-1102124.pdf" (at 189.23 52.7812 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Kingbright" (at 189.23 69.088 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "604-APTD1608LCGCK" (at 189.23 57.912 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "APTD1608LCGCK" (at 189.23 50.0888 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A" (uuid 4c8e2889-b812-4c4f-81ad-49ac44c93d67)) + (pin "C" (uuid 990004fc-c55a-4f62-b70f-cc4562f7f60d)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/71f7e37d-6088-4953-b84b-4c10f88e8c06" + (reference "D2") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:+3V3") (at 251.46 67.31 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 0770f122-4bbe-4614-b488-40f3974085d0) @@ -1401,41 +1448,6 @@ ) ) - (symbol (lib_id "LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK") (at 189.23 64.77 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 1c20d31d-5083-4b01-90c5-ee9b6fea4bf9) - (property "Reference" "D2" (at 191.77 62.23 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Red_2mA" (at 189.23 62.23 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "LEA_FootprintLibrary:LED_0603" (at 189.23 67.31 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf" (at 189.23 52.7812 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "mouser#" "604-APHD1608LSURCK" (at 189.23 57.912 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "manf#" "APHD1608LSURCK" (at 189.23 50.0888 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Manufacturer" "Kingbright" (at 189.23 69.088 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "A" (uuid b555f748-d3b9-4306-b9cc-af8f0896df1f)) - (pin "C" (uuid 0f20fae3-f7c0-4a08-8163-1ec096e9a91c)) - (instances - (project "LCB-CTB-01" - (path "/c93c15be-ff6f-40d2-a02e-24776d985292/71f7e37d-6088-4953-b84b-4c10f88e8c06" - (reference "D2") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "LEA_SymbolLibrary:TP_Keystone_Electronics_5019") (at 232.41 88.9 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 2f0932c1-5328-4a5b-853e-fbae18385467) @@ -1510,6 +1522,44 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:Conn_01x02") (at 246.38 80.01 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 3ec828ca-ee67-41e1-8819-65b6f31c298b) + (property "Reference" "J9" (at 242.57 78.74 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "01x02" (at 246.38 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical" (at 246.38 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.mouser.de/datasheet/2/181/M20-999-1218971.pdf" (at 247.65 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Harwin" (at 247.65 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "mouser#" "855-M20-9990245" (at 250.19 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "manf#" "M20-9990245" (at 247.65 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Sim.Enable" "0" (at 246.38 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "2" (uuid 825f7987-4064-4258-b3cb-9dcb9fb92685)) + (pin "1" (uuid 0afdac63-d3b5-4081-8334-e41b16116851)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/71f7e37d-6088-4953-b84b-4c10f88e8c06" + (reference "J9") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:TP_Keystone_Electronics_5019") (at 232.41 104.14 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) (uuid 486f86e5-724a-435b-a1a6-9a377e2ce496) @@ -1979,6 +2029,31 @@ ) ) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 251.46 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid bc0b5c0d-3d4d-4b35-b220-41fdb9548c76) + (property "Reference" "#PWR027" (at 254 82.55 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 251.46 83.82 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 251.46 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 251.46 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f11ca760-5ada-4175-a903-00775b8dbb77)) + (instances + (project "LCB-CTB-01" + (path "/c93c15be-ff6f-40d2-a02e-24776d985292/71f7e37d-6088-4953-b84b-4c10f88e8c06" + (reference "#PWR027") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "LEA_SymbolLibrary:GND") (at 181.61 105.41 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid c0b2e8ca-c5b0-42a4-b3a8-ad3e1ed1c6ed) diff --git a/LCB-CTB-01/fp-info-cache b/LCB-CTB-01/fp-info-cache index b136eba..17f941a 100644 --- a/LCB-CTB-01/fp-info-cache +++ b/LCB-CTB-01/fp-info-cache @@ -1,93969 +1,981 @@ -22865190774107045 -Audio_Module -Reverb_BTDR-1H -Digital Reverberation Unit, http://www.belton.co.kr/inc/downfile.php?seq=17&file=pdf (footprint from http://www.uk-electronic.de/PDF/BTDR-1.pdf) -audio belton reverb -0 -7 -7 -Audio_Module -Reverb_BTDR-1V -Digital Reverberation Unit, http://www.belton.co.kr/inc/downfile.php?seq=17&file=pdf (footprint from http://www.uk-electronic.de/PDF/BTDR-1.pdf) -audio belton reverb -0 -7 -7 -Battery -BatteryClip_Keystone_54_D16-19mm -Battery clip for batteries with a diameter between 16-19 mm: https://www.keyelco.com/product.cfm/product_id/826 -battery clip 18650 18350 17350 CR123A 2/3A +239053769116170 +LEA_FootprintLibrary +6-PinHeader_Molex_532617006 +Molex 532617006 + 0 -3 -1 -Battery -BatteryHolder_Bulgin_BX0036_1xC -Bulgin Battery Holder, BX0036, Battery Type C (https://www.bulgin.com/products/pub/media/bulgin/data/Battery_holders.pdf) -Bulgin BX0036 +8 +6 +LEA_FootprintLibrary +1731471 +1731471 +Connector 0 2 2 -Battery -BatteryHolder_ComfortableElectronic_CH273-2450_1x2450 -Comfortable Electronic CR2450 battery holder, http://www.comf.com.tw/ProductDetail.asp?no=148 -Comfortable Electronic CR2450 +LEA_FootprintLibrary +7466204 +7466204-1 +Connector 0 -2 -2 -Battery -BatteryHolder_Eagle_12BH611-GR -https://eu.mouser.com/datasheet/2/209/EPD-200766-1274481.pdf -9V Battery Holder +1 +1 +LEA_FootprintLibrary +ADAPTER_Soic16 + + 0 -2 -2 -Battery -BatteryHolder_Keystone_103_1x20mm -http://www.keyelco.com/product-pdf.cfm?p=719 -Keystone type 103 battery holder +16 +16 +LEA_FootprintLibrary +B32032A4472M000 +B32032A4472M000 +Capacitor 0 2 2 -Battery -BatteryHolder_Keystone_104_1x23mm -http://www.keyelco.com/product-pdf.cfm?p=744 -Keystone type 104 battery holder +LEA_FootprintLibrary +B32774X__31.5_x_21_ +B32774X_(31.5_x_21) +Capacitor 0 2 2 -Battery -BatteryHolder_Keystone_105_1x2430 -http://www.keyelco.com/product-pdf.cfm?p=745 -Keystone type 105 battery holder +LEA_FootprintLibrary +B65878E1012D001 +B65878E1012D001-2 +Inductor 0 -2 -2 -Battery -BatteryHolder_Keystone_106_1x20mm -http://www.keyelco.com/product-pdf.cfm?p=720 -Keystone type 106 battery holder +12 +12 +LEA_FootprintLibrary +B82801C1265A150 +B82801C1265A150 +Transformer 0 -2 -2 -Battery -BatteryHolder_Keystone_107_1x23mm -http://www.keyelco.com/product-pdf.cfm?p=746 -Keystone type 107 battery holder +12 +12 +LEA_FootprintLibrary +BGA-361_19x19_16.0x16.0mm + + 0 -2 -2 -Battery -BatteryHolder_Keystone_500 -Keystone #500, 12mm CR1220 battery holder, http://www.keyelco.com/product-pdf.cfm?p=710 -CR1220 battery holder +337 +337 +LEA_FootprintLibrary +CAPPRD500W65D1250H2200 +12.5*20 +Capacitor Polarised 0 2 2 -Battery -BatteryHolder_Keystone_590 -AA CR2 A battery holder with leaf spring, Keystone, https://ken.keyeuro.eu/cat/590.pdf -AA battery holder Keystone +LEA_FootprintLibrary +CAS-120TA + + 0 3 -1 -Battery -BatteryHolder_Keystone_1042_1x18650 -Battery holder for 18650 cylindrical cells http://www.keyelco.com/product.cfm/product_id/918 -18650 Keystone 1042 Li-ion +3 +LEA_FootprintLibrary +CAS-D20TA + + 0 -2 -2 -Battery -BatteryHolder_Keystone_1057_1x2032 -SMT (Auto-In/Ultra-Low) Holder for 2032 Cell, 33.2 x 23.9mm, 2mm height above PCB, https://www.keyelco.com/userAssets/file/M65p4.pdf -Keystone type 1057 coin cell holder +6 +6 +LEA_FootprintLibrary +CLM-107-02-L-D-K-TR + + 0 -2 -2 -Battery -BatteryHolder_Keystone_1058_1x2032 -http://www.keyelco.com/product-pdf.cfm?p=14028 -Keystone type 1058 coin cell retainer +14 +14 +LEA_FootprintLibrary +CR_0A-G3_H_VIS + +PLZ10A-G3/H 0 2 2 -Battery -BatteryHolder_Keystone_1060_1x2032 -http://www.keyelco.com/product-pdf.cfm?p=726 -CR2032 BR2032 BatteryHolder Battery +LEA_FootprintLibrary +CU8965ALD +CU8965-ALD-1 +Transformer 0 -2 -2 -Battery -BatteryHolder_Keystone_2460_1xAA -https://www.keyelco.com/product-pdf.cfm?p=1025 -AA battery cell holder +4 +4 +LEA_FootprintLibrary +C_0402 +C 0402 + 0 2 2 -Battery -BatteryHolder_Keystone_2462_2xAA -2xAA cell battery holder, Keystone P/N 2462, https://www.keyelco.com/product-pdf.cfm?p=1027 -AA battery cell holder +LEA_FootprintLibrary +C_0603 +C 0603 + 0 2 2 -Battery -BatteryHolder_Keystone_2466_1xAAA -1xAAA Battery Holder, Keystone, Plastic Case, http://www.keyelco.com/product-pdf.cfm?p=1031 -AAA battery holder Keystone +LEA_FootprintLibrary +C_0805 +C_0805 + 0 2 2 -Battery -BatteryHolder_Keystone_2468_2xAAA -2xAAA cell battery holder, Keystone P/N 2468, http://www.keyelco.com/product-pdf.cfm?p=1033 -AAA battery cell holder +LEA_FootprintLibrary +C_1206 +Case Code A +Capacitor Polarised 0 2 2 -Battery -BatteryHolder_Keystone_2479_3xAAA -Keystone Battery Holder, 2479, Battery Type 3xAAA (Script generated with StandardBox.py) (Keystone Battery Holder, 2479, Battery Type 3xAAA) -Keystone Battery Holder 2479 Battery Type 3xAAA +LEA_FootprintLibrary +C_2220_5650Metric +Capacitor SMD 2220 (5650 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator +capacitor 0 2 2 -Battery -BatteryHolder_Keystone_2993 -http://www.keyelco.com/product-pdf.cfm?p=776 -Keystone type 2993 negative battery contact -0 -1 -1 -Battery -BatteryHolder_Keystone_2998_1x6.8mm -www.keyelco.com/product-pdf.cfm?p=763 -Keystone type 2998 battery holder -0 -3 -2 -Battery -BatteryHolder_Keystone_3000_1x12mm -http://www.keyelco.com/product-pdf.cfm?p=777 -Keystone type 3000 coin cell retainer +LEA_FootprintLibrary +C_5750 +Capacitor SMD 5750 +capacitor 0 -3 2 -Battery -BatteryHolder_Keystone_3001_1x12mm -http://www.keyelco.com/product-pdf.cfm?p=778 -Keystone type 3001 coin cell retainer -0 -3 2 -Battery -BatteryHolder_Keystone_3002_1x2032 -https://www.tme.eu/it/Document/a823211ec201a9e209042d155fe22d2b/KEYS2996.pdf -BR2016 CR2016 DL2016 BR2020 CL2020 BR2025 CR2025 DL2025 DR2032 CR2032 DL2032 +LEA_FootprintLibrary +Con_BNC_PCB + +SMA THT Female Jack Vertical 0 -3 +5 2 -Battery -BatteryHolder_Keystone_3008_1x2450 -http://www.keyelco.com/product-pdf.cfm?p=786 -Keystone type 3008 coin cell retainer +LEA_FootprintLibrary +Con_DPT + + 0 -3 -2 -Battery -BatteryHolder_Keystone_3009_1x2450 -http://www.keyelco.com/product-pdf.cfm?p=787 -Keystone type 3009 coin cell retainer +50 +11 +LEA_FootprintLibrary +Con_DPT_Power + + 0 +32 3 -2 -Battery -BatteryHolder_Keystone_3034_1x20mm -Keystone 3034 SMD battery holder for 2020, 2025 and 2032 coincell batteries. http://www.keyelco.com/product-pdf.cfm?p=798 -Keystone type 3034 coin cell retainer +LEA_FootprintLibrary +Con_DPT_Signal + + 0 -3 -2 -Battery -BatteryHolder_LINX_BAT-HLD-012-SMT -SMT battery holder for CR1216/1220/1225, https://linxtechnologies.com/wp/wp-content/uploads/bat-hld-012-smt.pdf -battery holder coin cell cr1216 cr1220 cr1225 +8 +8 +LEA_FootprintLibrary +Con_SMA_PCB + +SMA THT Female Jack Vertical 0 -3 +5 2 -Battery -BatteryHolder_MPD_BA9VPC_1xPP3 -1xPP3 (9V) battery holder, Memory Protection Devices P/N BA9VPC, http://www.memoryprotectiondevices.com/datasheets/BA9VPC-datasheet.pdf -PP3 Battery Holder BA9VPC 9V +LEA_FootprintLibrary +Conn_02x03 +TSW-102-07-G-T-1 +Connector 0 -2 -2 -Battery -BatteryHolder_MPD_BC2AAPC_2xAA -2xAA cell battery holder, Memory Protection Devices P/N BC2AAPC, http://www.memoryprotectiondevices.com/datasheets/BC2AAPC-datasheet.pdf -AA battery cell holder +6 +6 +LEA_FootprintLibrary +Conn_02x05_Male +10129381-910002BLF +Connector 0 -2 -2 -Battery -BatteryHolder_MPD_BC12AAPC_2xAA -2xAA cell battery holder, Memory Protection Devices P/N BC12AAPC, http://www.memoryprotectiondevices.com/datasheets/BC12AAPC-datasheet.pdf -AA battery cell holder +10 +10 +LEA_FootprintLibrary +Conn_02x09_Male +M20-9980946 +Connector 0 -2 -2 -Battery -BatteryHolder_MPD_BC2003_1x2032 -http://www.memoryprotectiondevices.com/datasheets/BC-2003-datasheet.pdf -BC2003 CR2032 2032 Battery Holder +18 +18 +LEA_FootprintLibrary +DCDC_24V_20V_-5V + + 0 -3 -2 -Battery -BatteryHolder_MPD_BH-18650-PC2 -18650 Battery Holder (http://www.memoryprotectiondevices.com/datasheets/BK-18650-PC2-datasheet.pdf) -18650 Battery Holder +5 +5 +LEA_FootprintLibrary +DFN3820A_VIS + +SE20ND 0 2 2 -Battery -BatteryHolder_Multicomp_BC-2001_1x2032 -CR2032 retainer clip, SMT ( http://www.farnell.com/datasheets/1505853.pdf ) -BC-2001 CR2032 2032 Battery Holder +LEA_FootprintLibrary +DHVQFN14_SOT762-1_Nexpria + + 0 -3 -2 -Battery -BatteryHolder_Renata_SMTU2032-LF_1x2032 -https://www.renata.com/fr/downloads/?product=smtu2032-lf&fileid=6a9833a4d49dfb7b550194fe0f -Renata SMT battery holder coin cell 2032 +16 +14 +LEA_FootprintLibrary +DIOC1709X85N +0603Diodes +Diode 0 2 2 -Battery -BatteryHolder_Seiko_MS621F -Seiko MS621F, https://www.sii.co.jp/en/me/files/2014/02/file_EXTENDED_PRDCT_SPEC_75_FILE_11.jpg -Seiko MS621F +LEA_FootprintLibrary +DIOM5026X220N +SOD-106_(PMDS) +Diode 0 2 2 -Battery -BatteryHolder_TruPower_BH-331P_3xAA -Keystone Battery Holder BH-331P Battery Type 3xAA (Script generated with StandardBox.py) (Keystone Battery Holder BH-331P Battery Type 3xAA) -Battery Holder BH-331P Battery Type 3xAA +LEA_FootprintLibrary +DIOM5436X244N +DO-214AA +TVS Diode (Uni-directional) 0 2 2 -Battery -Battery_CR1225 -CR1225 battery -battery CR1225 coin cell -0 -0 -0 -Battery -Battery_Panasonic_CR1025-VSK_Vertical_CircularHoles -Panasonic CR-1025/VSK battery -battery CR-1025 coin cell vertical +LEA_FootprintLibrary +DIP_Murata_NKE0309DC +Murata NKE0309DC + 0 -2 -2 -Battery -Battery_Panasonic_CR1220-VCN_Vertical_CircularHoles -Panasonic CR-1220/VCN battery, https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.PDF -battery CR-1220 coin cell vertical +4 +4 +LEA_FootprintLibrary +ECQE2475JB +ECQ-E2475JB-2 +Capacitor 0 2 2 -Battery -Battery_Panasonic_CR1632-V1AN_Vertical_CircularHoles -Panasonic CR-1632-V1AN battery, https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D464.PDF -battery CR-1632 coin cell vertical +LEA_FootprintLibrary +ERF5-060-02.0 + + 0 -2 -2 -Battery -Battery_Panasonic_CR2025-V1AK_Vertical_CircularHoles -Panasonic CR-2025/V1AK battery, -battery CR-2025 coin cell vertical +120 +120 +LEA_FootprintLibrary +ERF5-075-05.0-L-DV + + 0 -2 -2 -Battery -Battery_Panasonic_CR2032-VS1N_Vertical_CircularHoles -Panasonic CR-2032/VS1N battery, https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D348.PDF -battery CR-2032 coin cell vertical -0 -2 -2 -Battery -Battery_Panasonic_CR2354-VCN_Vertical_CircularHoles -Panasonic CR-2354/VCN battery, https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D486.PDF -battery CR-2354/VCN coin cell vertical +150 +150 +LEA_FootprintLibrary +ERM5-060-02.0 + + 0 -2 -2 -Battery -Battery_Panasonic_CR2450-VAN_Vertical_CircularHoles -Panasonic CR-2450/VAN battery, https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D492.PDF -battery CR-2450 coin cell +120 +120 +LEA_FootprintLibrary +ERM5-075-05.0-L-DV +ERM5-075-05.0-L-DV + 0 -2 -2 -Battery -Battery_Panasonic_CR2477-VCN_Vertical_CircularHoles -Panasonic CR-2477/VCN battery, https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D502.PDF -battery CR-2477 coin cell vertical +150 +150 +LEA_FootprintLibrary +Fiducials_large + + 0 -2 -2 -Battery -Battery_Panasonic_CR3032-VCN_Vertical_CircularHoles -Panasonic CR-3032/VCN battery, https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D508.PDF -battery CR-3032 coin cell vertical +1 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_1.00u_PCB -Cherry MX keyswitch, 1.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 1.00u PCB +LEA_FootprintLibrary +Fiducials_medium + + 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_1.00u_Plate -Cherry MX keyswitch, 1.00u, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 1.00u plate +1 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_1.25u_PCB -Cherry MX keyswitch, 1.25u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 1.25u PCB +LEA_FootprintLibrary +Fiducials_small + + 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_1.25u_Plate -Cherry MX keyswitch, 1.25u, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 1.25u plate +1 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_1.50u_PCB -Cherry MX keyswitch, 1.50u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 1.50u PCB +LEA_FootprintLibrary +HC-49_US_ABRACON + + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_1.50u_Plate -Cherry MX keyswitch, 1.50u, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 1.50u plate +LEA_FootprintLibrary +HDRV3W66P0X254_1X3_762X254X855P +M20-9770342 +Connector 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_1.75u_PCB -Cherry MX keyswitch, 1.75u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 1.75u PCB +3 +3 +LEA_FootprintLibrary +HDRV8W66P0X254_1X8_2032X254X860P +M20-9990846 +Connector 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_1.75u_Plate -Cherry MX keyswitch, 1.75u, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 1.75u plate +8 +8 +LEA_FootprintLibrary +HDRV16W64P254_2X8_2032X508X914P +M20-9980846 +Connector 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_2.00u_PCB -Cherry MX keyswitch, 2.00u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 2.00u PCB +16 +16 +LEA_FootprintLibrary +Infineon_PG-DSO-8 +Infineon_PG-DSO-8 +DSO DSO-8 SOIC SOIC-8 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_2.00u_Plate -Cherry MX keyswitch, 2.00u, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 2.00u plate +8 +8 +LEA_FootprintLibrary +Jumper_bridge + + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_2.00u_Vertical_PCB -Cherry MX keyswitch, 2.00u, vertical, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 2.00u vertical PCB +LEA_FootprintLibrary +LCB_connector_top + + 0 -2 -2 -Button_Switch_Keyboard -SW_Cherry_MX_2.00u_Vertical_Plate -Cherry MX keyswitch, 2.00u, vertical, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 2.00u vertical plate +300 +300 +LEA_FootprintLibrary +LED_0402 +LED 0402 + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_2.25u_PCB -Cherry MX keyswitch, 2.25u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 2.25u PCB +LEA_FootprintLibrary +LED_0402_B +LED 0402 + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_2.25u_Plate -Cherry MX keyswitch, 2.25u, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 2.25u plate +LEA_FootprintLibrary +LED_0402_G +LED 0402 + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_2.75u_PCB -Cherry MX keyswitch, 2.75u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 2.75u PCB +LEA_FootprintLibrary +LED_0402_R +LED 0402 + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_2.75u_Plate -Cherry MX keyswitch, 2.75u, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 2.75u plate +LEA_FootprintLibrary +LED_0402_Y +LED 0402 + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_6.25u_PCB -Cherry MX keyswitch, 6.25u, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 6.25u PCB +LEA_FootprintLibrary +LED_0603 +LED 0603 + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_6.25u_Plate -Cherry MX keyswitch, 6.25u, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch 6.25u plate +LEA_FootprintLibrary +LED_0603_B +LED 0603 + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_ISOEnter_PCB -Cherry MX keyswitch, ISO Enter, PCB mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch ISO enter PCB +LEA_FootprintLibrary +LED_0603_G +LED 0603 + 0 2 2 -Button_Switch_Keyboard -SW_Cherry_MX_ISOEnter_Plate -Cherry MX keyswitch, ISO Enter, plate mount, http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf -Cherry MX keyswitch ISO enter plate +LEA_FootprintLibrary +LED_0603_R +LED 0603 + 0 2 2 -Button_Switch_Keyboard -SW_Matias_1.00u -Matias/ALPS keyswitch, 1.00u, http://matias.ca/switches/ -Matias ALPS keyswitch 1.00u +LEA_FootprintLibrary +LQFP-64_12x12_Pitch0.5mm_FTDI + + 0 -2 -2 -Button_Switch_Keyboard -SW_Matias_1.25u -Matias/ALPS keyswitch, 1.25u, http://matias.ca/switches/ -Matias ALPS keyswitch 1.25u +64 +64 +LEA_FootprintLibrary +L_0402 +L 0402 + 0 2 2 -Button_Switch_Keyboard -SW_Matias_1.50u -Matias/ALPS keyswitch, 1.50u, http://matias.ca/switches/ -Matias ALPS keyswitch 1.50u +LEA_FootprintLibrary +L_0603 +L 0603 + 0 2 2 -Button_Switch_Keyboard -SW_Matias_1.75u -Matias/ALPS keyswitch, 1.75u, http://matias.ca/switches/ -Matias ALPS keyswitch 1.75u +LEA_FootprintLibrary +L_0805 +C_0805 + 0 2 2 -Button_Switch_Keyboard -SW_Matias_2.00u -Matias/ALPS keyswitch, 2.00u, http://matias.ca/switches/ -Matias ALPS keyswitch 2.00u +LEA_FootprintLibrary +L_1210 +L_1210 + 0 2 2 -Button_Switch_Keyboard -SW_Matias_2.25u -Matias/ALPS keyswitch, 2.25u, http://matias.ca/switches/ -Matias ALPS keyswitch 2.25u +LEA_FootprintLibrary +MSOP-10_AnalogDevices_RM10 +MSOP-10 from Analog Devices + 0 -2 -2 -Button_Switch_Keyboard -SW_Matias_2.75u -Matias/ALPS keyswitch, 2.75u, http://matias.ca/switches/ -Matias ALPS keyswitch 2.75u +10 +10 +LEA_FootprintLibrary +MSOP8_ROHM + + 0 -2 -2 -Button_Switch_Keyboard -SW_Matias_6.25u -Matias/ALPS keyswitch, 6.25u, http://matias.ca/switches/ -Matias ALPS keyswitch 6.25u +8 +8 +LEA_FootprintLibrary +MountingHole_M3 + + 0 -2 -2 -Button_Switch_Keyboard -SW_Matias_ISOEnter -Matias/ALPS keyswitch, ISO Enter, http://matias.ca/switches/ -Matias ALPS keyswitch ISO enter +1 0 -2 -2 -Button_Switch_SMD -Nidec_Copal_CAS-120A -Slide Switch, SMD, Nidec CAS series, https://www.nidec-components.com/e/catalog/switch/cas.pdf -SMD slide switch Nidec CAS 120A +LEA_FootprintLibrary +MountingHole_M3_Plastic_Screw + + 0 -3 -3 -Button_Switch_SMD -Nidec_Copal_SH-7010A -4-bit rotary coded switch, J-hook, https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf -rotary switch bcd 0 -6 -5 -Button_Switch_SMD -Nidec_Copal_SH-7010B -4-bit rotary coded switch, gull wing, https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf -rotary switch bcd 0 -6 -5 -Button_Switch_SMD -Nidec_Copal_SH-7040B -4-bit rotary coded switch, gull wing, Gray code, https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf -rotary switch bcd +LEA_FootprintLibrary +MountingHole_M3_conn + + 0 -5 -5 -Button_Switch_SMD -Panasonic_EVQPUJ_EVQPUA -http://industrial.panasonic.com/cdbs/www-data/pdf/ATV0000/ATV0000CE5.pdf -SMD SMT SPST EVQPUJ EVQPUA +1 +1 +LEA_FootprintLibrary +Osc_ECS_2.5x2 +ECS-2520S + 0 4 -2 -Button_Switch_SMD -Panasonic_EVQPUK_EVQPUB -http://industrial.panasonic.com/cdbs/www-data/pdf/ATV0000/ATV0000CE5.pdf -SMD SMT SPST EVQPUK EVQPUB -0 4 -2 -Button_Switch_SMD -Panasonic_EVQPUL_EVQPUC -http://industrial.panasonic.com/cdbs/www-data/pdf/ATV0000/ATV0000CE5.pdf -SMD SMT SPST EVQPUL EVQPUC +LEA_FootprintLibrary +Osc_ESC_5x3.2 + + 0 4 -2 -Button_Switch_SMD -Panasonic_EVQPUM_EVQPUD -http://industrial.panasonic.com/cdbs/www-data/pdf/ATV0000/ATV0000CE5.pdf -SMD SMT SPST EVQPUM EVQPUD +4 +LEA_FootprintLibrary +PEM2S24S24S +PEM2-S24-S24-S-1 +Power Supply 0 4 -2 -Button_Switch_SMD -SW_DIP_SPSTx01_Slide_6.7x4.1mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 1x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x4.1mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin +4 +LEA_FootprintLibrary +PLZ6V2CG3H +PLZ6V2C-G3/H-2 +Zener Diode 0 2 2 -Button_Switch_SMD -SW_DIP_SPSTx01_Slide_6.7x4.1mm_W8.61mm_P2.54mm_LowProfile -SMD 1x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x4.1mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile +LEA_FootprintLibrary +PinHeader_1x01_P2.54mm_Vertical +Through hole straight pin header, 1x01, 2.54mm pitch, single row +Through hole pin header THT 1x01 2.54mm single row 0 -2 -2 -Button_Switch_SMD -SW_DIP_SPSTx01_Slide_9.78x4.72mm_W8.61mm_P2.54mm -SMD 1x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x4.72mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD +1 +1 +LEA_FootprintLibrary +PinHeader_1x02_P2.54mm_Vertical +Through hole straight pin header, 1x02, 2.54mm pitch, single row +Through hole pin header THT 1x02 2.54mm single row 0 2 2 -Button_Switch_SMD -SW_DIP_SPSTx01_Slide_Copal_CHS-01A_W5.08mm_P1.27mm_JPin -SMD 1x-dip-switch SPST Copal_CHS-01A, Slide, row spacing 5.08 mm (200 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD, JPin -SMD DIP Switch SPST Slide 5.08mm 200mil SMD JPin +LEA_FootprintLibrary +PinHeader_2x30_P2.54mm_Vertical +Through hole straight pin header, 2x30, 2.54mm pitch, double rows +Through hole pin header THT 2x30 2.54mm double row 0 -2 -2 -Button_Switch_SMD -SW_DIP_SPSTx01_Slide_Copal_CHS-01B_W7.62mm_P1.27mm -SMD 1x-dip-switch SPST Copal_CHS-01B, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD -SMD DIP Switch SPST Slide 7.62mm 300mil SMD +60 +60 +LEA_FootprintLibrary +Potentiometer_Bourns_PTV09A-1_Single_Vertical +Potentiometer, vertical, Bourns PTV09A-1 Single, http://www.bourns.com/docs/Product-Datasheets/ptv09.pdf +Potentiometer vertical Bourns PTV09A-1 Single 0 -2 -2 -Button_Switch_SMD -SW_DIP_SPSTx01_Slide_Copal_CVS-01xB_W5.9mm_P1mm -SMD 1x-dip-switch SPST Copal_CVS-01xB, Slide, row spacing 5.9 mm (232 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf) -SMD DIP Switch SPST Slide 5.9mm 232mil +3 +3 +LEA_FootprintLibrary +QSOP_16_Maxim_E16+1 + + 0 -6 +16 +16 +LEA_FootprintLibrary +ROHM_TO-252-3 +ROHM_TO-252-3 +Integrated Circuit +0 +3 3 -Button_Switch_SMD -SW_DIP_SPSTx01_Slide_Omron_A6S-110x_W8.9mm_P2.54mm -SMD 1x-dip-switch SPST Omron_A6S-110x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil +LEA_FootprintLibrary +R_0402 +R 0402 + 0 2 2 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_6.7x6.64mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 2x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x6.64mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin -0 -4 -4 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_6.7x6.64mm_W8.61mm_P2.54mm_LowProfile -SMD 2x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x6.64mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile -0 -4 -4 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_9.78x7.26mm_W8.61mm_P2.54mm -SMD 2x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x7.26mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD +LEA_FootprintLibrary +R_0603 +C 0402 + 0 -4 -4 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_Copal_CHS-02A_W5.08mm_P1.27mm_JPin -SMD 2x-dip-switch SPST Copal_CHS-02A, Slide, row spacing 5.08 mm (200 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD, JPin -SMD DIP Switch SPST Slide 5.08mm 200mil SMD JPin +2 +2 +LEA_FootprintLibrary +R_0805 +R_0805 + 0 -4 -4 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_Copal_CHS-02B_W7.62mm_P1.27mm -SMD 2x-dip-switch SPST Copal_CHS-02B, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD -SMD DIP Switch SPST Slide 7.62mm 300mil SMD +2 +2 +LEA_FootprintLibrary +R_1206 +ERJ8_B_BW_R_LW_CW_D +Resistor 0 -4 -4 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_Copal_CVS-02xB_W5.9mm_P1mm -SMD 2x-dip-switch SPST Copal_CVS-02xB, Slide, row spacing 5.9 mm (232 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf) -SMD DIP Switch SPST Slide 5.9mm 232mil +2 +2 +LEA_FootprintLibrary +R_2512 +PCS2512D +Resistor 0 -8 -5 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_KingTek_DSHP02TJ_W5.25mm_P1.27mm_JPin -SMD 2x-dip-switch SPST KingTek_DSHP02TJ, Slide, row spacing 5.25 mm (206 mils), body size (see http://www.kingtek.net.cn/pic/201601201446313350.pdf), JPin -SMD DIP Switch SPST Slide 5.25mm 206mil JPin +2 +2 +LEA_FootprintLibrary +R_Shunt_Coax + + 0 -4 -4 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_KingTek_DSHP02TS_W7.62mm_P1.27mm -SMD 2x-dip-switch SPST KingTek_DSHP02TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf) -SMD DIP Switch SPST Slide 7.62mm 300mil +18 +2 +LEA_FootprintLibrary +R_Shunt_SMD + + 0 -4 -4 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_Omron_A6H-2101_W6.15mm_P1.27mm -SMD 2x-dip-switch SPST Omron_A6H-2101, Slide, row spacing 6.15 mm (242 mils), body size (see https://www.omron.com/ecb/products/pdf/en-a6h.pdf) -SMD DIP Switch SPST Slide 6.15mm 242mil +84 +2 +LEA_FootprintLibrary +SE20NJM3H +DFN3820A +Diode 0 -4 -4 -Button_Switch_SMD -SW_DIP_SPSTx02_Slide_Omron_A6S-210x_W8.9mm_P2.54mm -SMD 2x-dip-switch SPST Omron_A6S-210x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil +2 +2 +LEA_FootprintLibrary +SIP-7_Murata_MEJ1S0305SC +Murata MEJ1S0305SC + 0 4 4 -Button_Switch_SMD -SW_DIP_SPSTx03_Slide_6.7x9.18mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 3x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x9.18mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin -0 -6 -6 -Button_Switch_SMD -SW_DIP_SPSTx03_Slide_6.7x9.18mm_W8.61mm_P2.54mm_LowProfile -SMD 3x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x9.18mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile +LEA_FootprintLibrary +SMB_Molex731000207 +Molex 731000207 + 0 -6 -6 -Button_Switch_SMD -SW_DIP_SPSTx03_Slide_9.78x9.8mm_W8.61mm_P2.54mm -SMD 3x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x9.8mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD +5 +2 +LEA_FootprintLibrary +SOD-323_Infineon + + 0 -6 -6 -Button_Switch_SMD -SW_DIP_SPSTx03_Slide_Copal_CVS-03xB_W5.9mm_P1mm -SMD 3x-dip-switch SPST Copal_CVS-03xB, Slide, row spacing 5.9 mm (232 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf) -SMD DIP Switch SPST Slide 5.9mm 232mil +2 +2 +LEA_FootprintLibrary +SOD-323_Panjit + + 0 -10 -7 -Button_Switch_SMD -SW_DIP_SPSTx03_Slide_KingTek_DSHP03TJ_W5.25mm_P1.27mm_JPin -SMD 3x-dip-switch SPST KingTek_DSHP03TJ, Slide, row spacing 5.25 mm (206 mils), body size (see http://www.kingtek.net.cn/pic/201601201446313350.pdf), JPin -SMD DIP Switch SPST Slide 5.25mm 206mil JPin +2 +2 +LEA_FootprintLibrary +SOD-323_Vishay + + 0 -6 -6 -Button_Switch_SMD -SW_DIP_SPSTx03_Slide_KingTek_DSHP03TS_W7.62mm_P1.27mm -SMD 3x-dip-switch SPST KingTek_DSHP03TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf) -SMD DIP Switch SPST Slide 7.62mm 300mil +2 +2 +LEA_FootprintLibrary +SOD2512X110N +SOD323 +Zener Diode 0 -6 -6 -Button_Switch_SMD -SW_DIP_SPSTx03_Slide_Omron_A6S-310x_W8.9mm_P2.54mm -SMD 3x-dip-switch SPST Omron_A6S-310x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil +2 +2 +LEA_FootprintLibrary +SOD2513X110N +SOD323A +TVS Diode (Bi-directional) 0 -6 -6 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_6.7x11.72mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 4x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x11.72mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin +2 +2 +LEA_FootprintLibrary +SOD3716X145N +B140HW-7 +Schottky Diode 0 -8 -8 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_6.7x11.72mm_W8.61mm_P2.54mm_LowProfile -SMD 4x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x11.72mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile +2 +2 +LEA_FootprintLibrary +SOIC-8 + + 0 8 8 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_9.78x12.34mm_W8.61mm_P2.54mm -SMD 4x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x12.34mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD +LEA_FootprintLibrary +SOIC-14 + + 0 -8 -8 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_Copal_CHS-04A_W5.08mm_P1.27mm_JPin -SMD 4x-dip-switch SPST Copal_CHS-04A, Slide, row spacing 5.08 mm (200 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD, JPin -SMD DIP Switch SPST Slide 5.08mm 200mil SMD JPin +14 +14 +LEA_FootprintLibrary +SOIC-16 + + 0 -8 -8 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_Copal_CHS-04B_W7.62mm_P1.27mm -SMD 4x-dip-switch SPST Copal_CHS-04B, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD -SMD DIP Switch SPST Slide 7.62mm 300mil SMD +16 +16 +LEA_FootprintLibrary +SOIC-16_TI_DW0016B_HV + + 0 -8 -8 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_Copal_CVS-04xB_W5.9mm_P1mm -SMD 4x-dip-switch SPST Copal_CVS-04xB, Slide, row spacing 5.9 mm (232 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf) -SMD DIP Switch SPST Slide 5.9mm 232mil +16 +16 +LEA_FootprintLibrary +SOIC-16_TI_DWW0016A_Optimized + + 0 -12 -9 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_KingTek_DSHP04TJ_W5.25mm_P1.27mm_JPin -SMD 4x-dip-switch SPST KingTek_DSHP04TJ, Slide, row spacing 5.25 mm (206 mils), body size (see http://www.kingtek.net.cn/pic/201601201446313350.pdf), JPin -SMD DIP Switch SPST Slide 5.25mm 206mil JPin +16 +16 +LEA_FootprintLibrary +SOIC127P600X175-8N +D0008A +Integrated Circuit 0 8 8 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_KingTek_DSHP04TS_W7.62mm_P1.27mm -SMD 4x-dip-switch SPST KingTek_DSHP04TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf) -SMD DIP Switch SPST Slide 7.62mm 300mil +LEA_FootprintLibrary +SOIC127P1030X265-16N +SOIC__ +Undefined or Miscellaneous 0 -8 -8 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_Omron_A6H-4101_W6.15mm_P1.27mm -SMD 4x-dip-switch SPST Omron_A6H-4101, Slide, row spacing 6.15 mm (242 mils), body size (see https://www.omron.com/ecb/products/pdf/en-a6h.pdf) -SMD DIP Switch SPST Slide 6.15mm 242mil +14 +14 +LEA_FootprintLibrary +SOIC127P1032X265-16N +W16MS+12 +Integrated Circuit 0 -8 -8 -Button_Switch_SMD -SW_DIP_SPSTx04_Slide_Omron_A6S-410x_W8.9mm_P2.54mm -SMD 4x-dip-switch SPST Omron_A6S-410x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil +16 +16 +LEA_FootprintLibrary +SOP50P310X90-8N +DCU (R-PDSO-G8) +Integrated Circuit 0 8 8 -Button_Switch_SMD -SW_DIP_SPSTx05_Slide_6.7x14.26mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 5x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x14.26mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin -0 -10 -10 -Button_Switch_SMD -SW_DIP_SPSTx05_Slide_6.7x14.26mm_W8.61mm_P2.54mm_LowProfile -SMD 5x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x14.26mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile +LEA_FootprintLibrary +SOP65P640X120-16N +RU-16 (TSSOP) +Integrated Circuit 0 -10 -10 -Button_Switch_SMD -SW_DIP_SPSTx05_Slide_9.78x14.88mm_W8.61mm_P2.54mm -SMD 5x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x14.88mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD +16 +16 +LEA_FootprintLibrary +SOP254P1016X460-4N +LTV-814S +Undefined or Miscellaneous 0 -10 -10 -Button_Switch_SMD -SW_DIP_SPSTx05_Slide_KingTek_DSHP05TJ_W5.25mm_P1.27mm_JPin -SMD 5x-dip-switch SPST KingTek_DSHP05TJ, Slide, row spacing 5.25 mm (206 mils), body size (see http://www.kingtek.net.cn/pic/201601201446313350.pdf), JPin -SMD DIP Switch SPST Slide 5.25mm 206mil JPin +4 +4 +LEA_FootprintLibrary +SOT-23-5_Microchip + + 0 -10 -10 -Button_Switch_SMD -SW_DIP_SPSTx05_Slide_KingTek_DSHP05TS_W7.62mm_P1.27mm -SMD 5x-dip-switch SPST KingTek_DSHP05TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf) -SMD DIP Switch SPST Slide 7.62mm 300mil +5 +5 +LEA_FootprintLibrary +SOT-23-5_TI + + 0 -10 -10 -Button_Switch_SMD -SW_DIP_SPSTx05_Slide_Omron_A6S-510x_W8.9mm_P2.54mm -SMD 5x-dip-switch SPST Omron_A6S-510x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil +5 +5 +LEA_FootprintLibrary +SOT-23-6_Microchip + + 0 -10 -10 -Button_Switch_SMD -SW_DIP_SPSTx06_Slide_6.7x16.8mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 6x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x16.8mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin +6 +6 +LEA_FootprintLibrary +SOT-89-3 +SOT-89-3, http://ww1.microchip.com/downloads/en/DeviceDoc/3L_SOT-89_MB_C04-029C.pdf +SOT-89-3 0 -12 -12 -Button_Switch_SMD -SW_DIP_SPSTx06_Slide_6.7x16.8mm_W8.61mm_P2.54mm_LowProfile -SMD 6x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x16.8mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile +3 +3 +LEA_FootprintLibrary +SOT-89-5 +SOT-89-5, http://www.e-devices.ricoh.co.jp/en/products/product_power/pkg/sot-89-5.pdf +SOT-89-5 0 -12 -12 -Button_Switch_SMD -SW_DIP_SPSTx06_Slide_9.78x17.42mm_W8.61mm_P2.54mm -SMD 6x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x17.42mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD +5 +5 +LEA_FootprintLibrary +SOT-223-3_TabPin2 +module CMS SOT223 4 pins +CMS SOT 0 -12 -12 -Button_Switch_SMD -SW_DIP_SPSTx06_Slide_Copal_CHS-06A_W5.08mm_P1.27mm_JPin -SMD 6x-dip-switch SPST Copal_CHS-06A, Slide, row spacing 5.08 mm (200 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD, JPin -SMD DIP Switch SPST Slide 5.08mm 200mil SMD JPin +4 +2 +LEA_FootprintLibrary +SOT-223-6_TI +module CMS SOT223 6 pins, http://www.ti.com/lit/ds/symlink/tps737.pdf +CMS SOT 0 -12 -12 -Button_Switch_SMD -SW_DIP_SPSTx06_Slide_Copal_CHS-06B_W7.62mm_P1.27mm -SMD 6x-dip-switch SPST Copal_CHS-06B, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD -SMD DIP Switch SPST Slide 7.62mm 300mil SMD -0 -12 -12 -Button_Switch_SMD -SW_DIP_SPSTx06_Slide_KingTek_DSHP06TJ_W5.25mm_P1.27mm_JPin -SMD 6x-dip-switch SPST KingTek_DSHP06TJ, Slide, row spacing 5.25 mm (206 mils), body size (see http://www.kingtek.net.cn/pic/201601201446313350.pdf), JPin -SMD DIP Switch SPST Slide 5.25mm 206mil JPin -0 -12 -12 -Button_Switch_SMD -SW_DIP_SPSTx06_Slide_KingTek_DSHP06TS_W7.62mm_P1.27mm -SMD 6x-dip-switch SPST KingTek_DSHP06TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf) -SMD DIP Switch SPST Slide 7.62mm 300mil -0 -12 -12 -Button_Switch_SMD -SW_DIP_SPSTx06_Slide_Omron_A6H-6101_W6.15mm_P1.27mm -SMD 6x-dip-switch SPST Omron_A6H-6101, Slide, row spacing 6.15 mm (242 mils), body size (see https://www.omron.com/ecb/products/pdf/en-a6h.pdf) -SMD DIP Switch SPST Slide 6.15mm 242mil -0 -12 -12 -Button_Switch_SMD -SW_DIP_SPSTx06_Slide_Omron_A6S-610x_W8.9mm_P2.54mm -SMD 6x-dip-switch SPST Omron_A6S-610x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil -0 -12 -12 -Button_Switch_SMD -SW_DIP_SPSTx07_Slide_6.7x19.34mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 7x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x19.34mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin -0 -14 -14 -Button_Switch_SMD -SW_DIP_SPSTx07_Slide_6.7x19.34mm_W8.61mm_P2.54mm_LowProfile -SMD 7x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x19.34mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile -0 -14 -14 -Button_Switch_SMD -SW_DIP_SPSTx07_Slide_9.78x19.96mm_W8.61mm_P2.54mm -SMD 7x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x19.96mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD -0 -14 -14 -Button_Switch_SMD -SW_DIP_SPSTx07_Slide_KingTek_DSHP07TJ_W5.25mm_P1.27mm_JPin -SMD 7x-dip-switch SPST KingTek_DSHP07TJ, Slide, row spacing 5.25 mm (206 mils), body size (see http://www.kingtek.net.cn/pic/201601201446313350.pdf), JPin -SMD DIP Switch SPST Slide 5.25mm 206mil JPin -0 -14 -14 -Button_Switch_SMD -SW_DIP_SPSTx07_Slide_KingTek_DSHP07TS_W7.62mm_P1.27mm -SMD 7x-dip-switch SPST KingTek_DSHP07TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf) -SMD DIP Switch SPST Slide 7.62mm 300mil -0 -14 -14 -Button_Switch_SMD -SW_DIP_SPSTx07_Slide_Omron_A6S-710x_W8.9mm_P2.54mm -SMD 7x-dip-switch SPST Omron_A6S-710x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil -0 -14 -14 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_6.7x21.88mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 8x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x21.88mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin -0 -16 -16 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_6.7x21.88mm_W8.61mm_P2.54mm_LowProfile -SMD 8x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x21.88mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile -0 -16 -16 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_9.78x22.5mm_W8.61mm_P2.54mm -SMD 8x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x22.5mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD -0 -16 -16 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_Copal_CHS-08A_W5.08mm_P1.27mm_JPin -SMD 8x-dip-switch SPST Copal_CHS-08A, Slide, row spacing 5.08 mm (200 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD, JPin -SMD DIP Switch SPST Slide 5.08mm 200mil SMD JPin -0 -16 -16 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_Copal_CHS-08B_W7.62mm_P1.27mm -SMD 8x-dip-switch SPST Copal_CHS-08B, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD -SMD DIP Switch SPST Slide 7.62mm 300mil SMD -0 -16 -16 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_Copal_CVS-08xB_W5.9mm_P1mm -SMD 8x-dip-switch SPST Copal_CVS-08xB, Slide, row spacing 5.9 mm (232 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf) -SMD DIP Switch SPST Slide 5.9mm 232mil -0 -20 -17 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_KingTek_DSHP08TJ_W5.25mm_P1.27mm_JPin -SMD 8x-dip-switch SPST KingTek_DSHP08TJ, Slide, row spacing 5.25 mm (206 mils), body size (see http://www.kingtek.net.cn/pic/201601201446313350.pdf), JPin -SMD DIP Switch SPST Slide 5.25mm 206mil JPin -0 -16 -16 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_KingTek_DSHP08TS_W7.62mm_P1.27mm -SMD 8x-dip-switch SPST KingTek_DSHP08TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf) -SMD DIP Switch SPST Slide 7.62mm 300mil -0 -16 -16 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_Omron_A6H-8101_W6.15mm_P1.27mm -SMD 8x-dip-switch SPST Omron_A6H-8101, Slide, row spacing 6.15 mm (242 mils), body size (see https://www.omron.com/ecb/products/pdf/en-a6h.pdf) -SMD DIP Switch SPST Slide 6.15mm 242mil -0 -16 -16 -Button_Switch_SMD -SW_DIP_SPSTx08_Slide_Omron_A6S-810x_W8.9mm_P2.54mm -SMD 8x-dip-switch SPST Omron_A6S-810x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil -0 -16 -16 -Button_Switch_SMD -SW_DIP_SPSTx09_Slide_6.7x24.42mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 9x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x24.42mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin -0 -18 -18 -Button_Switch_SMD -SW_DIP_SPSTx09_Slide_6.7x24.42mm_W8.61mm_P2.54mm_LowProfile -SMD 9x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x24.42mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile -0 -18 -18 -Button_Switch_SMD -SW_DIP_SPSTx09_Slide_9.78x25.04mm_W8.61mm_P2.54mm -SMD 9x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x25.04mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD -0 -18 -18 -Button_Switch_SMD -SW_DIP_SPSTx09_Slide_KingTek_DSHP09TJ_W5.25mm_P1.27mm_JPin -SMD 9x-dip-switch SPST KingTek_DSHP09TJ, Slide, row spacing 5.25 mm (206 mils), body size (see http://www.kingtek.net.cn/pic/201601201446313350.pdf), JPin -SMD DIP Switch SPST Slide 5.25mm 206mil JPin -0 -18 -18 -Button_Switch_SMD -SW_DIP_SPSTx09_Slide_KingTek_DSHP09TS_W7.62mm_P1.27mm -SMD 9x-dip-switch SPST KingTek_DSHP09TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf) -SMD DIP Switch SPST Slide 7.62mm 300mil -0 -18 -18 -Button_Switch_SMD -SW_DIP_SPSTx09_Slide_Omron_A6S-910x_W8.9mm_P2.54mm -SMD 9x-dip-switch SPST Omron_A6S-910x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil -0 -18 -18 -Button_Switch_SMD -SW_DIP_SPSTx10_Slide_6.7x26.96mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 10x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x26.96mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin -0 -20 -20 -Button_Switch_SMD -SW_DIP_SPSTx10_Slide_6.7x26.96mm_W8.61mm_P2.54mm_LowProfile -SMD 10x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x26.96mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile -0 -20 -20 -Button_Switch_SMD -SW_DIP_SPSTx10_Slide_9.78x27.58mm_W8.61mm_P2.54mm -SMD 10x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x27.58mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD -0 -20 -20 -Button_Switch_SMD -SW_DIP_SPSTx10_Slide_Copal_CHS-10A_W5.08mm_P1.27mm_JPin -SMD 10x-dip-switch SPST Copal_CHS-10A, Slide, row spacing 5.08 mm (200 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD, JPin -SMD DIP Switch SPST Slide 5.08mm 200mil SMD JPin -0 -20 -20 -Button_Switch_SMD -SW_DIP_SPSTx10_Slide_Copal_CHS-10B_W7.62mm_P1.27mm -SMD 10x-dip-switch SPST Copal_CHS-10B, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf), SMD -SMD DIP Switch SPST Slide 7.62mm 300mil SMD -0 -20 -20 -Button_Switch_SMD -SW_DIP_SPSTx10_Slide_KingTek_DSHP10TJ_W5.25mm_P1.27mm_JPin -SMD 10x-dip-switch SPST KingTek_DSHP10TJ, Slide, row spacing 5.25 mm (206 mils), body size (see http://www.kingtek.net.cn/pic/201601201446313350.pdf), JPin -SMD DIP Switch SPST Slide 5.25mm 206mil JPin -0 -20 -20 -Button_Switch_SMD -SW_DIP_SPSTx10_Slide_KingTek_DSHP10TS_W7.62mm_P1.27mm -SMD 10x-dip-switch SPST KingTek_DSHP10TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf) -SMD DIP Switch SPST Slide 7.62mm 300mil -0 -20 -20 -Button_Switch_SMD -SW_DIP_SPSTx10_Slide_Omron_A6H-10101_W6.15mm_P1.27mm -SMD 10x-dip-switch SPST Omron_A6H-10101, Slide, row spacing 6.15 mm (242 mils), body size (see https://www.omron.com/ecb/products/pdf/en-a6h.pdf) -SMD DIP Switch SPST Slide 6.15mm 242mil -0 -20 -20 -Button_Switch_SMD -SW_DIP_SPSTx10_Slide_Omron_A6S-1010x_W8.9mm_P2.54mm -SMD 10x-dip-switch SPST Omron_A6S-1010x, Slide, row spacing 8.9 mm (350 mils), body size (see http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf) -SMD DIP Switch SPST Slide 8.9mm 350mil -0 -20 -20 -Button_Switch_SMD -SW_DIP_SPSTx11_Slide_6.7x29.5mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 11x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x29.5mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin -0 -22 -22 -Button_Switch_SMD -SW_DIP_SPSTx11_Slide_6.7x29.5mm_W8.61mm_P2.54mm_LowProfile -SMD 11x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x29.5mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile -0 -22 -22 -Button_Switch_SMD -SW_DIP_SPSTx11_Slide_9.78x30.12mm_W8.61mm_P2.54mm -SMD 11x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x30.12mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD -0 -22 -22 -Button_Switch_SMD -SW_DIP_SPSTx12_Slide_6.7x32.04mm_W6.73mm_P2.54mm_LowProfile_JPin -SMD 12x-dip-switch SPST , Slide, row spacing 6.73 mm (264 mils), body size 6.7x32.04mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile, JPin -SMD DIP Switch SPST Slide 6.73mm 264mil SMD LowProfile JPin +6 +6 +LEA_FootprintLibrary +SOT65P210X110-5N +DCK +Integrated Circuit 0 -24 -24 -Button_Switch_SMD -SW_DIP_SPSTx12_Slide_6.7x32.04mm_W8.61mm_P2.54mm_LowProfile -SMD 12x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 6.7x32.04mm (see e.g. https://www.ctscorp.com/wp-content/uploads/219.pdf), SMD, LowProfile -SMD DIP Switch SPST Slide 8.61mm 338mil SMD LowProfile +5 +5 +LEA_FootprintLibrary +SOT95P237X112-3N +DBZ0003A HEIGHT 1.12 +Integrated Circuit 0 -24 -24 -Button_Switch_SMD -SW_DIP_SPSTx12_Slide_9.78x32.66mm_W8.61mm_P2.54mm -SMD 12x-dip-switch SPST , Slide, row spacing 8.61 mm (338 mils), body size 9.78x32.66mm (see e.g. https://www.ctscorp.com/wp-content/uploads/204.pdf), SMD -SMD DIP Switch SPST Slide 8.61mm 338mil SMD +3 +3 +LEA_FootprintLibrary +SOT95P280X145-5N +DBV (R-PDSO-G5) +Power Supply 0 -24 -24 -Button_Switch_SMD -SW_DPDT_CK_JS202011JCQN -Sub-miniature slide switch, vertical, SMT J bend https://dznh3ojzb2azq.cloudfront.net/products/Slide/JS/documents/datasheet.pdf -switch DPDT SMT +5 +5 +LEA_FootprintLibrary +SOT95P280X145-6L +SOT-23-6 +Undefined or Miscellaneous 0 6 6 -Button_Switch_SMD -SW_MEC_5GSH9 -MEC 5G single pole normally-open tactile switch -switch normally-open pushbutton push-button +LEA_FootprintLibrary +SOT96P240X100-3N +SOT23-ren6 +Diode +0 +3 +3 +LEA_FootprintLibrary +SOT96P240X110-3N +SOT-23 (f) +Transistor BJT PNP +0 +3 +3 +LEA_FootprintLibrary +SOT223_SC73_Nexperia + + 0 4 4 -Button_Switch_SMD -SW_Push_1P1T-MP_NO_Horizontal_Alps_SKRTLAE010 -Side push button (https://www.alps.com/prod/info/E/PDF/Tact/SurfaceMount/SKRT/SKRT.pdf) -push horizontal SPST 1P1T +LEA_FootprintLibrary +STD13N60M2 +STD13N60M2-5 +MOSFET (N-Channel) 0 -5 3 -Button_Switch_SMD -SW_Push_1P1T-SH_NO_CK_KMR2xxG -CK components KMR2 tactile switch with ground pin http://www.ckswitches.com/media/1479/kmr2.pdf -tactile switch kmr2 +3 +LEA_FootprintLibrary +SW_2MS1T1B1M2QES +E-Switch slide switch, EG series, SPDT, right angle, http://spec_sheets.e-switch.com/specs/P040042.pdf +switch SPDT 0 -5 3 -Button_Switch_SMD -SW_Push_1P1T_NO_6x6mm_H9.5mm +3 +LEA_FootprintLibrary +SW_Push tactile push button, 6x6mm e.g. PTS645xx series, height=9.5mm tact sw push 6mm smd 0 4 2 -Button_Switch_SMD -SW_Push_1P1T_NO_CK_KMR2 -CK components KMR2 tactile switch http://www.ckswitches.com/media/1479/kmr2.pdf -tactile switch kmr2 +LEA_FootprintLibrary +SYM_ESD-Logo_6.6x6mm_SilkScreen +Electrostatic discharge Logo +Logo ESD 0 -4 -2 -Button_Switch_SMD -SW_Push_1P1T_NO_CK_KSC6xxJ -CK components KSC6 tactile switch https://www.ckswitches.com/media/1972/ksc6.pdf -tactile switch ksc6 0 -4 -2 -Button_Switch_SMD -SW_Push_1P1T_NO_CK_KSC7xxJ -CK components KSC7 tactile switch https://www.ckswitches.com/media/1973/ksc7.pdf -tactile switch ksc7 0 -4 -2 -Button_Switch_SMD -SW_Push_1P1T_NO_CK_PTS125Sx43PSMTR -C&K Switches 1P1T SMD PTS125 Series 12mm Tact Switch with Pegs, https://www.ckswitches.com/media/1462/pts125.pdf -Button Tactile Switch SPST 1P1T +LEA_FootprintLibrary +SYM_lea_logo + + 0 -4 -2 -Button_Switch_SMD -SW_Push_1P1T_NO_Vertical_Wuerth_434133025816 -https://katalog.we-online.com/em/datasheet/434133025816.pdf -tactile switch Wurth Wuerth 0 -4 -2 -Button_Switch_SMD -SW_Push_1P1T_XKB_TS-1187A -SMD Tactile Switch, http://www.helloxkb.com/public/images/pdf/TS-1187A-X-X-X.pdf -SPST Tactile Switch 0 -4 -2 -Button_Switch_SMD -SW_Push_SPST_NO_Alps_SKRK -http://www.alps.com/prod/info/E/HTML/Tact/SurfaceMount/SKRK/SKRKAHE020.html -SMD SMT button +LEA_FootprintLibrary +TO-263-7_TabPin8 +TO-263 / D2PAK / DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/ +D2PAK DDPAK TO-263 D2PAK-7 TO-263-7 SOT-427 0 -2 -2 -Button_Switch_SMD -SW_SP3T_PCM13 -Ultraminiature Surface Mount Slide Switch, right-angle, https://www.ckswitches.com/media/1424/pcm.pdf - +12 +4 +LEA_FootprintLibrary +TO-263-7_TabPin8_Heatsink +TO-263 / D2PAK / DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/ +D2PAK DDPAK TO-263 D2PAK-7 TO-263-7 SOT-427 0 -8 +14 4 -Button_Switch_SMD -SW_SPDT_CK-JS102011SAQN -Sub-miniature slide switch, right-angle, http://www.ckswitches.com/media/1422/js.pdf -switch spdt +LEA_FootprintLibrary +TSOT-23 +3-pin TSOT23 package, http://www.analog.com.tw/pdf/All_In_One.pdf +TSOT-23 0 3 3 -Button_Switch_SMD -SW_SPDT_PCM12 -Ultraminiature Surface Mount Slide Switch, right-angle, https://www.ckswitches.com/media/1424/pcm.pdf +LEA_FootprintLibrary +TSOT-23-6_TI + 0 -7 -3 -Button_Switch_SMD -SW_SPDT_REED_MSDM-DT -Littlefuse 14.73x2.54mm, 175VDC 5W, SPDT Reed Switch MSDM-DT, https://www.littelfuse.com/~/media/electronics/datasheets/reed_switches/littelfuse_reed_switches_mdsm_dt_datasheet.pdf.pdf -Littlefuse Reed SPDT Switch -0 -3 -3 -Button_Switch_SMD -SW_SPST_B3S-1000 -Surface Mount Tactile Switch for High-Density Packaging -Tactile Switch +6 +6 +LEA_FootprintLibrary +TSSOP-14_TI +TSSOP-14 from Texas Instruments + 0 -4 -2 -Button_Switch_SMD -SW_SPST_B3S-1100 -Surface Mount Tactile Switch for High-Density Packaging with Ground Terminal -Tactile Switch +14 +14 +LEA_FootprintLibrary +TestPoint_KeystoneElectronics5019 + + 0 -5 -3 -Button_Switch_SMD -SW_SPST_B3SL-1002P -Middle Stroke Tactile Switch, B3SL -Middle Stroke Tactile Switch +1 +1 +LEA_FootprintLibrary +TestPoint_Pad_D1.0mm +SMD pad as test Point, diameter 1.0mm +test point SMD pad 0 -4 -2 -Button_Switch_SMD -SW_SPST_B3SL-1022P -Middle Stroke Tactile Switch, B3SL -Middle Stroke Tactile Switch +1 +1 +LEA_FootprintLibrary +Text_Debugger +Text Debugger + 0 -4 -2 -Button_Switch_SMD -SW_SPST_B3U-1000P -Ultra-small-sized Tactile Switch with High Contact Reliability, Top-actuated Model, without Ground Terminal, without Boss -Tactile Switch 0 -2 -2 -Button_Switch_SMD -SW_SPST_B3U-1000P-B -Ultra-small-sized Tactile Switch with High Contact Reliability, Top-actuated Model, without Ground Terminal, with Boss -Tactile Switch 0 -2 -2 -Button_Switch_SMD -SW_SPST_B3U-1100P -Ultra-small-sized Tactile Switch with High Contact Reliability, Top-actuated Model, with Ground Terminal, without Boss -Tactile Switch +LEA_FootprintLibrary +Trimmer_TTE_84W + + 0 3 3 -Button_Switch_SMD -SW_SPST_B3U-1100P-B -Ultra-small-sized Tactile Switch with High Contact Reliability, Top-actuated Model, with Ground Terminal, with Boss -Tactile Switch +LEA_FootprintLibrary +UCC28740QDRQ1 +D0007A_2022 +Integrated Circuit 0 -3 -3 -Button_Switch_SMD -SW_SPST_B3U-3000P -Ultra-small-sized Tactile Switch with High Contact Reliability, Side-actuated Model, without Ground Terminal, without Boss -Tactile Switch +7 +7 +LEA_FootprintLibrary +USB_C_GCT_USB4110-GF-A + + 0 -2 -2 -Button_Switch_SMD -SW_SPST_B3U-3000P-B -Ultra-small-sized Tactile Switch with High Contact Reliability, Side-actuated Model, without Ground Terminal, with Boss -Tactile Switch +16 +13 +LEA_FootprintLibrary +USB_C_Molex_217179-0001 + + 0 -2 -2 -Button_Switch_SMD -SW_SPST_B3U-3100P -Ultra-small-sized Tactile Switch with High Contact Reliability, Side-actuated Model, with Ground Terminal, without Boss -Tactile Switch -0 -3 -3 -Button_Switch_SMD -SW_SPST_B3U-3100P-B -Ultra-small-sized Tactile Switch with High Contact Reliability, Side-actuated Model, with Ground Terminal, with Boss -Tactile Switch -0 -3 -3 -Button_Switch_SMD -SW_SPST_CK_KMS2xxG -Microminiature SMT Side Actuated, 4.2 x 2.8 x 1.42mm, https://www.ckswitches.com/media/1482/kms.pdf -Switch SPST KMS2 -0 -5 -3 -Button_Switch_SMD -SW_SPST_CK_KMS2xxGP -Microminiature SMT Side Actuated, 4.2 x 2.8 x 1.42mm with pegs, https://www.ckswitches.com/media/1482/kms.pdf -Switch SPST KMS2 -0 -5 -3 -Button_Switch_SMD -SW_SPST_CK_KXT3 -https://www.ckswitches.com/media/1465/kxt3.pdf -Switch SPST KXT3 -0 -2 -2 -Button_Switch_SMD -SW_SPST_CK_RS282G05A3 -https://www.mouser.com/ds/2/60/RS-282G05A-SM_RT-1159762.pdf -SPST button tactile switch -0 -2 -2 -Button_Switch_SMD -SW_SPST_EVPBF -Light Touch Switch - -0 -4 -2 -Button_Switch_SMD -SW_SPST_EVQP0 -Light Touch Switch, https://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE28.pdf - -0 -4 -2 -Button_Switch_SMD -SW_SPST_EVQP2 -Light Touch Switch, https://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000C374.pdf -SMD SMT SPST EVQP2 -0 -4 -2 -Button_Switch_SMD -SW_SPST_EVQP7A -Light Touch Switch, 2.2 N, https://www3.panasonic.biz/ac/e_download/control/switch/light-touch/catalog/sw_lt_eng_3529s_side.pdf?f_cd=402906 -tactile switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_EVQP7C -Light Touch Switch, 2.2 N, https://www3.panasonic.biz/ac/e_download/control/switch/light-touch/catalog/sw_lt_eng_3529s_side.pdf?f_cd=402906 -tactile switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_EVQPE1 -Light Touch Switch, https://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE7.pdf - -0 -2 -2 -Button_Switch_SMD -SW_SPST_EVQQ2 -Light Touch Switch, https://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE28.pdf - -0 -4 -2 -Button_Switch_SMD -SW_SPST_FSMSM -http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=1437566-3&DocType=Customer+Drawing&DocLang=English -SPST button tactile switch -0 -2 -2 -Button_Switch_SMD -SW_SPST_Omron_B3FS-100xP -Surface Mount Tactile Switch for High-Density Mounting, 3.1mm height, https://omronfs.omron.com/en_US/ecb/products/pdf/en-b3fs.pdf -Tactile Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_Omron_B3FS-101xP -Surface Mount Tactile Switch for High-Density Mounting, 4.3mm height, https://omronfs.omron.com/en_US/ecb/products/pdf/en-b3fs.pdf -Tactile Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_Omron_B3FS-105xP -Surface Mount Tactile Switch for High-Density Mounting, 7.3mm height, https://omronfs.omron.com/en_US/ecb/products/pdf/en-b3fs.pdf -Tactile Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_PTS645 -C&K Components SPST SMD PTS645 Series 6mm Tact Switch -SPST Button Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_PTS810 -C&K Components, PTS 810 Series, Microminiature SMT Top Actuated, http://www.ckswitches.com/media/1476/pts810.pdf -SPST Button Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_Panasonic_EVQPL_3PL_5PL_PT_A08 -Light Touch Switch, http://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE3.pdf -SMD SMT SPST EVQPL EVQPT -0 -6 -3 -Button_Switch_SMD -SW_SPST_Panasonic_EVQPL_3PL_5PL_PT_A15 -Light Touch Switch, http://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE3.pdf -SMD SMT SPST EVQPL EVQPT +16 +13 +LEA_FootprintLibrary +VXO780121000 +VXO78012-1000 +Power Supply 0 -6 3 -Button_Switch_SMD -SW_SPST_REED_CT05-XXXX-G1 -Coto Technologies SPST Reed Switch CT05-XXXX-G1 -Coto Reed SPST Switch -0 -2 -2 -Button_Switch_SMD -SW_SPST_REED_CT05-XXXX-J1 -Coto Technologies SPST Reed Switch CT05-XXXX-J1 -Coto Reed SPST Switch -0 -2 -2 -Button_Switch_SMD -SW_SPST_REED_CT10-XXXX-G1 -Coto Technologies SPST Reed Switch CT10-XXXX-G1 -Coto Reed SPST Switch -0 -2 -2 -Button_Switch_SMD -SW_SPST_REED_CT10-XXXX-G2 -Coto Technologies SPST Reed Switch CT10-XXXX-G2 -Coto Reed SPST Switch -0 -2 -2 -Button_Switch_SMD -SW_SPST_REED_CT10-XXXX-G4 -Coto Technologies SPST Reed Switch CT10-XXXX-G4 -Coto Reed SPST Switch -0 -2 -2 -Button_Switch_SMD -SW_SPST_SKQG_WithStem -ALPS 5.2mm Square Low-profile Type (Surface Mount) SKQG Series, With stem, http://www.alps.com/prod/info/E/HTML/Tact/SurfaceMount/SKQG/SKQGAFE010.html -SPST Button Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_SKQG_WithoutStem -ALPS 5.2mm Square Low-profile Type (Surface Mount) SKQG Series, Without stem, http://www.alps.com/prod/info/E/HTML/Tact/SurfaceMount/SKQG/SKQGAEE010.html -SPST Button Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_TL3305A -https://www.e-switch.com/system/asset/product_line/data_sheet/213/TL3305.pdf -TL3305 Series Tact Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_TL3305B -https://www.e-switch.com/system/asset/product_line/data_sheet/213/TL3305.pdf -TL3305 Series Tact Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_TL3305C -https://www.e-switch.com/system/asset/product_line/data_sheet/213/TL3305.pdf -TL3305 Series Tact Switch -0 -4 -2 -Button_Switch_SMD -SW_SPST_TL3342 -Low-profile SMD Tactile Switch, https://www.e-switch.com/system/asset/product_line/data_sheet/165/TL3342.pdf -SPST Tactile Switch -0 -4 -2 -Button_Switch_SMD -SW_Tactile_SPST_NO_Straight_CK_PTS636Sx25SMTRLFS -Tactile switch, SPST, 6.0x3.5 mm, H2.5 mm, straight, NO, gull wing leads: https://www.ckswitches.com/media/2779/pts636.pdf -switch tactile SPST 1P1T straight NO SMTR C&K -0 -2 -2 -Button_Switch_THT -KSA_Tactile_SPST -KSA http://www.ckswitches.com/media/1457/ksa_ksl.pdf -SWITCH SMD KSA SW -0 -5 -5 -Button_Switch_THT -Nidec_Copal_SH-7010C -4-bit rotary coded switch, through-hole, https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf -rotary switch bcd -0 -6 -5 -Button_Switch_THT -Push_E-Switch_KS01Q01 -E-Switch KS01Q01 http://spec_sheets.e-switch.com/specs/29-KS01Q01.pdf -Push Button -0 -4 -4 -Button_Switch_THT -SW_CW_GPTS203211B -SPST Off-On Pushbutton, 1A, 30V, CW Industries P/N GPTS203211B, http://switches-connectors-custom.cwind.com/Asset/GPTS203211BR2.pdf -SPST button switch Off-On -0 -2 -2 -Button_Switch_THT -SW_CuK_JS202011AQN_DPDT_Angled -CuK sub miniature slide switch, JS series, DPDT, right angle, http://www.ckswitches.com/media/1422/js.pdf -switch DPDT -0 -6 -6 -Button_Switch_THT -SW_CuK_JS202011CQN_DPDT_Straight -CuK sub miniature slide switch, JS series, DPDT, right angle, http://www.ckswitches.com/media/1422/js.pdf -switch DPDT -0 -6 -6 -Button_Switch_THT -SW_CuK_OS102011MA1QN1_SPDT_Angled -CuK miniature slide switch, OS series, SPDT, right angle, http://www.ckswitches.com/media/1428/os.pdf -switch SPDT -0 -5 3 -Button_Switch_THT -SW_DIP_SPSTx01_Piano_10.8x4.1mm_W7.62mm_P2.54mm -1x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x4.1mm -DIP Switch SPST Piano 7.62mm 300mil -0 -2 -2 -Button_Switch_THT -SW_DIP_SPSTx01_Slide_6.7x4.1mm_W7.62mm_P2.54mm_LowProfile -1x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x4.1mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -2 -2 -Button_Switch_THT -SW_DIP_SPSTx01_Slide_9.78x4.72mm_W7.62mm_P2.54mm -1x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x4.72mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -2 -2 -Button_Switch_THT -SW_DIP_SPSTx02_Piano_10.8x6.64mm_W7.62mm_P2.54mm -2x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x6.64mm -DIP Switch SPST Piano 7.62mm 300mil -0 -4 -4 -Button_Switch_THT -SW_DIP_SPSTx02_Piano_CTS_Series194-2MSTN_W7.62mm_P2.54mm -2x-dip-switch SPST CTS_Series194-2MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -4 -4 -Button_Switch_THT -SW_DIP_SPSTx02_Slide_6.7x6.64mm_W7.62mm_P2.54mm_LowProfile -2x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x6.64mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -4 -4 -Button_Switch_THT -SW_DIP_SPSTx02_Slide_9.78x7.26mm_W7.62mm_P2.54mm -2x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x7.26mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -4 -4 -Button_Switch_THT -SW_DIP_SPSTx03_Piano_10.8x9.18mm_W7.62mm_P2.54mm -3x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x9.18mm -DIP Switch SPST Piano 7.62mm 300mil -0 -6 -6 -Button_Switch_THT -SW_DIP_SPSTx03_Piano_CTS_Series194-3MSTN_W7.62mm_P2.54mm -3x-dip-switch SPST CTS_Series194-3MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -6 -6 -Button_Switch_THT -SW_DIP_SPSTx03_Slide_6.7x9.18mm_W7.62mm_P2.54mm_LowProfile -3x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x9.18mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -6 -6 -Button_Switch_THT -SW_DIP_SPSTx03_Slide_9.78x9.8mm_W7.62mm_P2.54mm -3x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x9.8mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -6 -6 -Button_Switch_THT -SW_DIP_SPSTx04_Piano_10.8x11.72mm_W7.62mm_P2.54mm -4x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x11.72mm -DIP Switch SPST Piano 7.62mm 300mil -0 -8 -8 -Button_Switch_THT -SW_DIP_SPSTx04_Piano_CTS_Series194-4MSTN_W7.62mm_P2.54mm -4x-dip-switch SPST CTS_Series194-4MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -8 -8 -Button_Switch_THT -SW_DIP_SPSTx04_Slide_6.7x11.72mm_W7.62mm_P2.54mm_LowProfile -4x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x11.72mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -8 -8 -Button_Switch_THT -SW_DIP_SPSTx04_Slide_9.78x12.34mm_W7.62mm_P2.54mm -4x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x12.34mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -8 -8 -Button_Switch_THT -SW_DIP_SPSTx05_Piano_10.8x14.26mm_W7.62mm_P2.54mm -5x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x14.26mm -DIP Switch SPST Piano 7.62mm 300mil -0 -10 -10 -Button_Switch_THT -SW_DIP_SPSTx05_Piano_CTS_Series194-5MSTN_W7.62mm_P2.54mm -5x-dip-switch SPST CTS_Series194-5MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -10 -10 -Button_Switch_THT -SW_DIP_SPSTx05_Slide_6.7x14.26mm_W7.62mm_P2.54mm_LowProfile -5x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x14.26mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -10 -10 -Button_Switch_THT -SW_DIP_SPSTx05_Slide_9.78x14.88mm_W7.62mm_P2.54mm -5x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x14.88mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -10 -10 -Button_Switch_THT -SW_DIP_SPSTx06_Piano_10.8x16.8mm_W7.62mm_P2.54mm -6x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x16.8mm -DIP Switch SPST Piano 7.62mm 300mil -0 -12 -12 -Button_Switch_THT -SW_DIP_SPSTx06_Piano_CTS_Series194-6MSTN_W7.62mm_P2.54mm -6x-dip-switch SPST CTS_Series194-6MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -12 -12 -Button_Switch_THT -SW_DIP_SPSTx06_Slide_6.7x16.8mm_W7.62mm_P2.54mm_LowProfile -6x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x16.8mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -12 -12 -Button_Switch_THT -SW_DIP_SPSTx06_Slide_9.78x17.42mm_W7.62mm_P2.54mm -6x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x17.42mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -12 -12 -Button_Switch_THT -SW_DIP_SPSTx07_Piano_10.8x19.34mm_W7.62mm_P2.54mm -7x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x19.34mm -DIP Switch SPST Piano 7.62mm 300mil -0 -14 -14 -Button_Switch_THT -SW_DIP_SPSTx07_Piano_CTS_Series194-7MSTN_W7.62mm_P2.54mm -7x-dip-switch SPST CTS_Series194-7MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -14 -14 -Button_Switch_THT -SW_DIP_SPSTx07_Slide_6.7x19.34mm_W7.62mm_P2.54mm_LowProfile -7x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x19.34mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -14 -14 -Button_Switch_THT -SW_DIP_SPSTx07_Slide_9.78x19.96mm_W7.62mm_P2.54mm -7x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x19.96mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -14 -14 -Button_Switch_THT -SW_DIP_SPSTx08_Piano_10.8x21.88mm_W7.62mm_P2.54mm -8x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x21.88mm -DIP Switch SPST Piano 7.62mm 300mil -0 -16 -16 -Button_Switch_THT -SW_DIP_SPSTx08_Piano_CTS_Series194-8MSTN_W7.62mm_P2.54mm -8x-dip-switch SPST CTS_Series194-8MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -16 -16 -Button_Switch_THT -SW_DIP_SPSTx08_Slide_6.7x21.88mm_W7.62mm_P2.54mm_LowProfile -8x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x21.88mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -16 -16 -Button_Switch_THT -SW_DIP_SPSTx08_Slide_9.78x22.5mm_W7.62mm_P2.54mm -8x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x22.5mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -16 -16 -Button_Switch_THT -SW_DIP_SPSTx09_Piano_10.8x24.42mm_W7.62mm_P2.54mm -9x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x24.42mm -DIP Switch SPST Piano 7.62mm 300mil -0 -18 -18 -Button_Switch_THT -SW_DIP_SPSTx09_Piano_CTS_Series194-9MSTN_W7.62mm_P2.54mm -9x-dip-switch SPST CTS_Series194-9MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -18 -18 -Button_Switch_THT -SW_DIP_SPSTx09_Slide_6.7x24.42mm_W7.62mm_P2.54mm_LowProfile -9x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x24.42mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -18 -18 -Button_Switch_THT -SW_DIP_SPSTx09_Slide_9.78x25.04mm_W7.62mm_P2.54mm -9x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x25.04mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -18 -18 -Button_Switch_THT -SW_DIP_SPSTx10_Piano_10.8x26.96mm_W7.62mm_P2.54mm -10x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x26.96mm -DIP Switch SPST Piano 7.62mm 300mil -0 -20 -20 -Button_Switch_THT -SW_DIP_SPSTx10_Piano_CTS_Series194-10MSTN_W7.62mm_P2.54mm -10x-dip-switch SPST CTS_Series194-10MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -20 -20 -Button_Switch_THT -SW_DIP_SPSTx10_Slide_6.7x26.96mm_W7.62mm_P2.54mm_LowProfile -10x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x26.96mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -20 -20 -Button_Switch_THT -SW_DIP_SPSTx10_Slide_9.78x27.58mm_W7.62mm_P2.54mm -10x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x27.58mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -20 -20 -Button_Switch_THT -SW_DIP_SPSTx11_Piano_10.8x29.5mm_W7.62mm_P2.54mm -11x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x29.5mm -DIP Switch SPST Piano 7.62mm 300mil -0 -22 -22 -Button_Switch_THT -SW_DIP_SPSTx11_Piano_CTS_Series194-11MSTN_W7.62mm_P2.54mm -11x-dip-switch SPST CTS_Series194-11MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -22 -22 -Button_Switch_THT -SW_DIP_SPSTx11_Slide_6.7x29.5mm_W7.62mm_P2.54mm_LowProfile -11x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x29.5mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -22 -22 -Button_Switch_THT -SW_DIP_SPSTx11_Slide_9.78x30.12mm_W7.62mm_P2.54mm -11x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x30.12mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -22 -22 -Button_Switch_THT -SW_DIP_SPSTx12_Piano_10.8x32.04mm_W7.62mm_P2.54mm -12x-dip-switch SPST , Piano, row spacing 7.62 mm (300 mils), body size 10.8x32.04mm -DIP Switch SPST Piano 7.62mm 300mil -0 -24 -24 -Button_Switch_THT -SW_DIP_SPSTx12_Piano_CTS_Series194-12MSTN_W7.62mm_P2.54mm -12x-dip-switch SPST CTS_Series194-12MSTN, Piano, row spacing 7.62 mm (300 mils), body size (see https://www.ctscorp.com/wp-content/uploads/194-195.pdf) -DIP Switch SPST Piano 7.62mm 300mil -0 -24 -24 -Button_Switch_THT -SW_DIP_SPSTx12_Slide_6.7x32.04mm_W7.62mm_P2.54mm_LowProfile -12x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 6.7x32.04mm (see e.g. https://www.ctscorp.com/wp-content/uploads/209-210.pdf), LowProfile -DIP Switch SPST Slide 7.62mm 300mil LowProfile -0 -24 -24 -Button_Switch_THT -SW_DIP_SPSTx12_Slide_9.78x32.66mm_W7.62mm_P2.54mm -12x-dip-switch SPST , Slide, row spacing 7.62 mm (300 mils), body size 9.78x32.66mm (see e.g. https://www.ctscorp.com/wp-content/uploads/206-208.pdf) -DIP Switch SPST Slide 7.62mm 300mil -0 -24 -24 -Button_Switch_THT -SW_E-Switch_EG1224_SPDT_Angled -E-Switch slide switch, EG series, SPDT, right angle, http://spec_sheets.e-switch.com/specs/P040042.pdf -switch SPDT +LEA_FootprintLibrary +WSON-8-1EP_2x2mm_P0.5mm_EP0.9x1.6mm +8-Lead Plastic WSON, 2x2mm Body, 0.5mm Pitch, WSON-8, http://www.ti.com/lit/ds/symlink/lm27761.pdf +WSON 8 1EP 0 -7 -3 -Button_Switch_THT -SW_E-Switch_EG1271_SPDT -E-Switch sub miniature slide switch, EG series, SPDT, https://www.e-switch.com/wp-content/uploads/2022/06/EG.pdf -switch SPDT -0 -6 -6 -Button_Switch_THT -SW_E-Switch_EG2219_DPDT_Angled -E-Switch slide switch, EG series, DPDT, right angle, http://spec_sheets.e-switch.com/specs/P040170.pdf -switch DPDT -0 -10 -6 -Button_Switch_THT -SW_Lever_1P2T_NKK_GW12LxH -Switch, single pole double throw, right angle, http://www.nkkswitches.com/pdf/GW.pdf -switch single-pole double-throw spdt ON-ON horizontal -0 -5 -3 -Button_Switch_THT -SW_MEC_5GTH9 -MEC 5G single pole normally-open tactile switch https://cdn.sos.sk/productdata/80/f6/aabf7be6/5gth9358222.pdf -switch normally-open pushbutton push-button -0 -4 -2 -Button_Switch_THT -SW_NKK_BB15AH -https://www.nkkswitches.com/pdf/Bpushbuttons-1.pdf -Pushbutton Right-angle -0 -5 -3 -Button_Switch_THT -SW_NKK_G1xJP -Switch NKK G1xJP http://www.nkkswitches.com/pdf/gwillum.pdf -SWITCH TOGGLE ILLUM SPDT NKK -0 -6 -6 -Button_Switch_THT -SW_NKK_GW12LJP -Switch, single pole double throw, illuminated paddle, http://www.nkkswitches.com/pdf/gwillum.pdf -switch single-pole double-throw spdt ON-ON illuminated LED -0 -6 -6 -Button_Switch_THT -SW_NKK_NR01 -NKK Switches NR01 series rotary switch, 10.7 x 10.7mm. https://www.nkkswitches.com/pdf/NR01%20Rotaries.pdf -NKK NR01 SP3T SP4T SP5T -0 -10 -7 -Button_Switch_THT -SW_PUSH-12mm -SW PUSH 12mm https://www.e-switch.com/system/asset/product_line/data_sheet/143/TL1100.pdf -tact sw push 12mm -0 -4 -2 -Button_Switch_THT -SW_PUSH-12mm_Wuerth-430476085716 -SW PUSH 12mm http://katalog.we-online.de/em/datasheet/430476085716.pdf -tact sw push 12mm -0 -4 -2 -Button_Switch_THT -SW_PUSH_1P1T_6x3.5mm_H4.3_APEM_MJTP1243 -tactile push button, 6x3.5mm, https://www.apem.com/idec-apem/en_US/PCB-switches/Tactile-Switches/MJTP-6mm-through-hole/c/MJTP_6mm_through%20hole?page=1 -PHAP3362 6mm tact sw THT -0 -2 -2 -Button_Switch_THT -SW_PUSH_1P1T_6x3.5mm_H5.0_APEM_MJTP1250 -tactile push button, 6x3.5mm, https://www.apem.com/idec-apem/en_US/PCB-switches/Tactile-Switches/MJTP-6mm-through-hole/c/MJTP_6mm_through%20hole?page=1 -PHAP3362A 6mm tact sw THT -0 -2 -2 -Button_Switch_THT -SW_PUSH_6mm -https://www.omron.com/ecb/products/pdf/en-b3f.pdf -tact sw push 6mm -0 -4 -2 -Button_Switch_THT -SW_PUSH_6mm_H4.3mm -tactile push button, 6x6mm e.g. PHAP33xx series, height=4.3mm -tact sw push 6mm -0 -4 -2 -Button_Switch_THT -SW_PUSH_6mm_H5mm -tactile push button, 6x6mm e.g. PHAP33xx series, height=5mm -tact sw push 6mm -0 -4 -2 -Button_Switch_THT -SW_PUSH_6mm_H7.3mm -tactile push button, 6x6mm e.g. PHAP33xx series, height=7.3mm -tact sw push 6mm -0 -4 -2 -Button_Switch_THT -SW_PUSH_6mm_H8.5mm -tactile push button, 6x6mm e.g. PHAP33xx series, height=8.5mm -tact sw push 6mm -0 -4 -2 -Button_Switch_THT -SW_PUSH_6mm_H8mm -tactile push button, 6x6mm e.g. PHAP33xx series, height=8mm -tact sw push 6mm -0 -4 -2 -Button_Switch_THT -SW_PUSH_6mm_H9.5mm -tactile push button, 6x6mm e.g. PHAP33xx series, height=9.5mm -tact sw push 6mm -0 -4 -2 -Button_Switch_THT -SW_PUSH_6mm_H13mm -tactile push button, 6x6mm e.g. PHAP33xx series, height=13mm -tact sw push 6mm -0 -4 -2 -Button_Switch_THT -SW_PUSH_E-Switch_FS5700DP_DPDT -FS5700 series pushbutton footswitch, DPDT, https://www.e-switch.com/system/asset/product_line/data_sheet/226/FS5700.pdf -switch DPDT footswitch -0 -6 -6 -Button_Switch_THT -SW_PUSH_LCD_E3_SAxxxx -Switch with LCD screen E3 SAxxxx -switch normally-open pushbutton push-button LCD -0 -6 -6 -Button_Switch_THT -SW_PUSH_LCD_E3_SAxxxx_SocketPins -Switch with LCD screen E3 SAxxxx -switch normally-open pushbutton push-button LCD -0 -6 -6 -Button_Switch_THT -SW_Push_1P1T_NO_LED_E-Switch_TL1250 -illuminated right angle tact switch https://www.e-switch.com/system/asset/product_line/data_sheet/148/TL1250.pdf -led push switch right angle -0 -6 -4 -Button_Switch_THT -SW_Push_1P2T_Vertical_E-Switch_800UDP8P1A1M6 - right angle SPDT push button https://www.e-switch.com/system/asset/product_line/data_sheet/210/800U.pdf -IP67 ultra-miniture horizontal -0 -5 -3 -Button_Switch_THT -SW_Push_2P1T_Toggle_CK_PVA1xxH1xxxxxxV2 -momentary / push-push button, h=17.5mm C&K PVA1 https://www.ckswitches.com/media/1343/pva.pdf -tact sw push PVA1 DPST -0 -4 -4 -Button_Switch_THT -SW_Push_2P1T_Toggle_CK_PVA1xxH2xxxxxxV2 -momentary / push-push button, h=23mm C&K PVA1 https://www.ckswitches.com/media/1343/pva.pdf -tact sw push PVA1 DPST -0 -4 -4 -Button_Switch_THT -SW_Push_2P1T_Toggle_CK_PVA1xxH3xxxxxxV2 -momentary / push-push button, h=20.5mm C&K PVA1 https://www.ckswitches.com/media/1343/pva.pdf -tact sw push PVA1 DPST -0 -4 -4 -Button_Switch_THT -SW_Push_2P1T_Toggle_CK_PVA1xxH4xxxxxxV2 -momentary / push-push button, h=15mm C&K PVA1 https://www.ckswitches.com/media/1343/pva.pdf -tact sw push PVA1 DPST -0 -4 -4 -Button_Switch_THT -SW_Push_2P2T_Toggle_CK_PVA2OAH5xxxxxxV2 -momentary / push-push button, h=13mm C&K PVA2 https://www.ckswitches.com/media/1343/pva.pdf -tact sw push PVA2 DPDT -0 -6 -6 -Button_Switch_THT -SW_Push_2P2T_Toggle_CK_PVA2xxH1xxxxxxV2 -momentary / push-push button, h=17.5mm C&K PVA2 https://www.ckswitches.com/media/1343/pva.pdf -tact sw push PVA2 DPDT -0 -6 -6 -Button_Switch_THT -SW_Push_2P2T_Toggle_CK_PVA2xxH2xxxxxxV2 -momentary / push-push button, h=23mm C&K PVA2 https://www.ckswitches.com/media/1343/pva.pdf -tact sw push PVA2 DPDT -0 -6 -6 -Button_Switch_THT -SW_Push_2P2T_Toggle_CK_PVA2xxH3xxxxxxV2 -momentary / push-push button, h=20.5mm C&K PVA2 https://www.ckswitches.com/media/1343/pva.pdf -tact sw push PVA2 DPDT -0 -6 -6 -Button_Switch_THT -SW_Push_2P2T_Toggle_CK_PVA2xxH4xxxxxxV2 -momentary / push-push button, h=15mm C&K PVA2 https://www.ckswitches.com/media/1343/pva.pdf -tact sw push PVA2 DPDT -0 -6 -6 -Button_Switch_THT -SW_Push_2P2T_Vertical_E-Switch_800UDP8P1A1M6 - right angle DPDT push button https://www.e-switch.com/system/asset/product_line/data_sheet/210/800U.pdf -IP67 ultra-miniture horizontal -0 -8 -6 -Button_Switch_THT -SW_SPST_Omron_B3F-315x_Angled -tactile switch, 7.3mm x 6.25mm x 7.4mm, right angle, https://omronfs.omron.com/en_US/ecb/products/pdf/en-b3f.pdf -tactile switch Omron B3F right angle through hole -0 -4 -2 -Button_Switch_THT -SW_Slide_1P2T_CK_OS102011MS2Q -CuK miniature slide switch, OS series, SPDT, https://www.ckswitches.com/media/1428/os.pdf -switch SPDT -0 -5 -3 -Button_Switch_THT -SW_TH_Tactile_Omron_B3F-10xx -SW_TH_Tactile_Omron_B3F-10xx_https://www.omron.com/ecb/products/pdf/en-b3f.pdf -Omron B3F-10xx -0 -4 -2 -Button_Switch_THT -SW_Tactile_SKHH_Angled -tactile switch 6mm ALPS SKHH right angle http://www.alps.com/prod/info/E/HTML/Tact/SnapIn/SKHH/SKHHLUA010.html -tactile switch 6mm ALPS SKHH right angle -0 -4 -2 -Button_Switch_THT -SW_Tactile_SPST_Angled_PTS645Vx31-2LFS -tactile switch SPST right angle, PTS645VL31-2 LFS -tactile switch SPST angled PTS645VL31-2 LFS C&K Button -0 -4 -2 -Button_Switch_THT -SW_Tactile_SPST_Angled_PTS645Vx39-2LFS -tactile switch SPST right angle, PTS645VL39-2 LFS -tactile switch SPST angled PTS645VL39-2 LFS C&K Button -0 -4 -2 -Button_Switch_THT -SW_Tactile_SPST_Angled_PTS645Vx58-2LFS -tactile switch SPST right angle, PTS645VL58-2 LFS -tactile switch SPST angled PTS645VL58-2 LFS C&K Button -0 -4 -2 -Button_Switch_THT -SW_Tactile_SPST_Angled_PTS645Vx83-2LFS -tactile switch SPST right angle, PTS645VL83-2 LFS -tactile switch SPST angled PTS645VL83-2 LFS C&K Button -0 -4 -2 -Button_Switch_THT -SW_Tactile_Straight_KSA0Axx1LFTR -SW PUSH SMALL http://www.ckswitches.com/media/1457/ksa_ksl.pdf -SW PUSH SMALL Tactile C&K -0 -4 -2 -Button_Switch_THT -SW_Tactile_Straight_KSL0Axx1LFTR -SW PUSH SMALL http://www.ckswitches.com/media/1457/ksa_ksl.pdf -SW PUSH SMALL Tactile C&K -0 -4 -2 -Buzzer_Beeper -Buzzer_12x9.5RM7.6 -Generic Buzzer, D12mm height 9.5mm with RM7.6mm -buzzer -0 -2 -2 -Buzzer_Beeper -Buzzer_15x7.5RM7.6 -Generic Buzzer, D15mm height 7.5mm with RM7.6mm -buzzer -0 -2 -2 -Buzzer_Beeper -Buzzer_CUI_CPT-9019S-SMT -https://www.cui.com/product/resource/cpt-9019s-smt.pdf -buzzer piezo -0 -2 -2 -Buzzer_Beeper -Buzzer_D14mm_H7mm_P10mm -Generic Buzzer, D14mm height 7mm with pitch 10mm -buzzer -0 -2 -2 -Buzzer_Beeper -Buzzer_Mallory_AST1109MLTRQ -Mallory low-profile piezo buzzer, https://mspindy.com/spec-sheets/AST1109MLTRQ.pdf -buzzer piezo -0 -2 -2 -Buzzer_Beeper -Buzzer_Mallory_AST1240MLQ -Mallory low-profile piezo buzzer, https://www.mspindy.com/specifications/AST12140MLQ.pdf -piezo buzzer -0 -2 -2 -Buzzer_Beeper -Buzzer_Murata_PKLCS1212E -Murata Buzzer https://www.murata.com/~/media/webrenewal/support/library/catalog/products/sound/p37e.ashx -Murata Buzzer Beeper -0 -2 -2 -Buzzer_Beeper -Buzzer_Murata_PKMCS0909E -Murata Buzzer http://www.murata.com/en-us/api/pdfdownloadapi?cate=&partno=PKMCS0909E4000-R1 -Murata Buzzer Beeper -0 -2 -2 -Buzzer_Beeper -Buzzer_TDK_PS1240P02BT_D12.2mm_H6.5mm -Buzzer, D12.2mm height 6.5mm, https://product.tdk.com/info/en/catalog/datasheets/piezoelectronic_buzzer_ps_en.pdf -buzzer -0 -2 -2 -Buzzer_Beeper -Indicator_PUI_AI-1440-TWT-24V-2-R -14mm Indicator, https://www.puiaudio.com/media/SpecSheet/AI-1440-TWT-24V-2-R.pdf -piezo buzzer self drive oscillator -0 -2 -2 -Buzzer_Beeper -MagneticBuzzer_CUI_CMT-8504-100-SMT -magnetic transducer buzzer, 5V, SPL of 100 dB at 10 cm, https://www.cuidevices.com/product/resource/pdf/cmt-8504-100-smt-tr.pdf -CMT 8504 -0 -4 -4 -Buzzer_Beeper -MagneticBuzzer_CUI_CST-931RP-A -CST-931RP-A, http://www.cui.com/product/resource/cst-931rp-a.pdf -CST-931RP-A -0 -2 -2 -Buzzer_Beeper -MagneticBuzzer_Kingstate_KCG0601 -Buzzer, Elektromagnetic Beeper, Summer, -Kingstate KCG0601 -0 -2 -2 -Buzzer_Beeper -MagneticBuzzer_Kobitone_254-EMB73-RO -MagneticBuzzer_Kobitone_254-EMB73-RO https://www.mouser.es/datasheet/2/209/joytech_11092018_KT-400379-1501513.pdf -MagneticBuzzer_Kobitone_254-EMB73-RO -0 -2 -2 -Buzzer_Beeper -MagneticBuzzer_Kobitone_254-EMB84Q-RO -MagneticBuzzer Kobitone 254-EMB84Q-RO https://www.mouser.es/datasheet/2/209/KT-400385-1171904.pdf -MagneticBuzzer Kobitone 254-EMB84Q-RO -0 -3 -3 -Buzzer_Beeper -MagneticBuzzer_PUI_AT-0927-TT-6-R -Buzzer Magnetic 9mm AT-0927-TT-6-R, http://www.puiaudio.com/pdf/AT-0927-TT-6-R.pdf -Buzzer Magnetic 9mm AT-0927-TT-6-R -0 -2 -2 -Buzzer_Beeper -MagneticBuzzer_PUI_SMT-1028-T-2-R -Buzzer, 2830Hz, 3.6Vpp, 90dbA@10cm, Top sound port, 10x10x3,5mm, https://api.puiaudio.com/file/612539d9-c50b-48de-88aa-b63ef8817a91.pdf -SMT 1028 -0 -4 -3 -Buzzer_Beeper -MagneticBuzzer_ProSignal_ABI-009-RC -Buzzer, Elektromagnetic Beeper, Summer, 6V-DC, -Pro Signal ABI-009-RC -0 -2 -2 -Buzzer_Beeper -MagneticBuzzer_ProSignal_ABI-010-RC -Buzzer, Elektromagnetic Beeper, Summer, 12V-DC, -Pro Signal ABI-010-RC -0 -2 -2 -Buzzer_Beeper -MagneticBuzzer_ProSignal_ABT-410-RC -Buzzer, Elektromagnetic Beeper, Summer, 1,5V-DC, -Pro Signal ABT-410-RC -0 -2 -2 -Buzzer_Beeper -MagneticBuzzer_ProjectsUnlimited_AI-4228-TWT-R -Buzzer, Elektromagnetic Beeper, Summer, 3-28V-DC, https://www.kynix.com/uploadfiles/pdf/AI-4228-TWT-R.pdf -Projects Unlimited AI-4228-TWT-R -0 -2 -2 -Buzzer_Beeper -MagneticBuzzer_StarMicronics_HMB-06_HMB-12 -Buzzer, Elektromagnetic Beeper, Summer, -Star Micronics HMB-06 HMB-12 -0 -2 -2 -Buzzer_Beeper -PUIAudio_SMT_0825_S_4_R -SMD 8540, http://www.puiaudio.com/product-detail.aspx?partnumber=SMT-0825-S-4-R -SMD 8540 -0 -4 -4 -Buzzer_Beeper -Speaker_CUI_CMR-1206S-67 -Speaker, 30mW, 300-7000Hz, IP67 face, 12x6x2,25mm, https://www.cuidevices.com/product/resource/cmr-12062s-67.pdf -speaker CUI -0 -2 -2 -Calibration_Scale -Gauge_10mm_Type1_CopperTop -Gauge, Massstab, 10mm, CopperTop, Type 1, -Gauge Massstab 10mm CopperTop Type 1 -0 -0 -0 -Calibration_Scale -Gauge_10mm_Type1_SilkScreenTop -Gauge, Massstab, 10mm, SilkScreenTop, Type 1, -Gauge Massstab 10mm SilkScreenTop Type 1 -0 -0 -0 -Calibration_Scale -Gauge_10mm_Type2_CopperTop -Gauge, Massstab, 10mm, CopperTop, Type 2, -Gauge Massstab 10mm CopperTop Type 2 -0 -0 -0 -Calibration_Scale -Gauge_10mm_Type2_SilkScreenTop -Gauge, Massstab, 10mm, SilkScreenTop, Type 2, -Gauge Massstab 10mm SilkScreenTop Type 2 -0 -0 -0 -Calibration_Scale -Gauge_10mm_Type3_CopperTop -Gauge, Massstab, 10mm, CopperTop, Type 3, -Gauge Massstab 10mm CopperTop Type 3 -0 -0 -0 -Calibration_Scale -Gauge_10mm_Type3_SilkScreenTop -Gauge, Massstab, 10mm, SilkScreenTop, Type 3, -Gauge Massstab 10mm SilkScreenTop Type 3 -0 -0 -0 -Calibration_Scale -Gauge_10mm_Type4_CopperTop -Gauge, Massstab, 10mm, CopperTop, Type 4, -Gauge Massstab 10mm CopperTop Type 4 -0 -0 -0 -Calibration_Scale -Gauge_10mm_Type4_SilkScreenTop -Gauge, Massstab, 10mm, SilkScreenTop, Type 4, -Gauge Massstab 10mm SilkScreenTop Type 4 -0 -0 -0 -Calibration_Scale -Gauge_10mm_Type5_CopperTop -Gauge, Massstab, 10mm, CopperTop, Type 5, -Gauge Massstab 10mm CopperTop Type 5 -0 -0 -0 -Calibration_Scale -Gauge_10mm_Type5_SilkScreenTop -Gauge, Massstab, 10mm, SilkScreenTop, Type 5, -Gauge Massstab 10mm SilkScreenTop Type 5 -0 -0 -0 -Calibration_Scale -Gauge_50mm_Type1_CopperTop -Gauge, Massstab, 50mm, CopperTop, Type 1, -Gauge Massstab 50mm CopperTop Type 1 -0 -0 -0 -Calibration_Scale -Gauge_50mm_Type1_SilkScreenTop -Gauge, Massstab, 50mm, SilkScreenTop, Type 1, -Gauge Massstab 50mm SilkScreenTop Type 1 -0 -0 -0 -Calibration_Scale -Gauge_50mm_Type2_CopperTop -Gauge, Massstab, 50mm, CopperTop, Type 2, -Gauge Massstab 50mm CopperTop Type 2 -0 -0 -0 -Calibration_Scale -Gauge_50mm_Type2_SilkScreenTop -Gauge, Massstab, 50mm, SilkScreenTop, Type 2, -Gauge Massstab 50mm SilkScreenTop Type 2 -0 -0 -0 -Calibration_Scale -Gauge_100mm_Grid_Type1_CopperTop -Gauge, Massstab, 100mm, Gitter, Grid, CopperTop, Type 1, -Gauge Massstab 100mm Gitter Grid CopperTop Type 1 -0 -0 -0 -Calibration_Scale -Gauge_100mm_Type1_CopperTop -Gauge, Massstab, 100mm, CopperTop, Type 1, -Gauge Massstab 100mm CopperTop Type 1 -0 -0 -0 -Calibration_Scale -Gauge_100mm_Type1_SilkScreenTop -Gauge, Massstab, 100mm, SilkScreenTop, Type 1, -Gauge Massstab 100mm SilkScreenTop Type 1 -0 -0 -0 -Calibration_Scale -Gauge_100mm_Type2_CopperTop -Gauge, Massstab, 100mm, CopperTop, Type 2, -Gauge Massstab 100mm CopperTop Type 2 -0 -0 -0 -Calibration_Scale -Gauge_100mm_Type2_SilkScreenTop -Gauge, Massstab, 100mm, SilkScreenTop, Type 2, -Gauge Massstab 100mm SilkScreenTop Type 2 -0 -0 -0 -Capacitor_SMD -CP_Elec_3x5.3 -SMT capacitor, aluminium electrolytic, 3x5.3, Cornell Dubilier Electronics -Capacitor Electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_3x5.4 -SMD capacitor, aluminum electrolytic, Nichicon, 3.0x5.4mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_4x3 -SMD capacitor, aluminum electrolytic, Nichicon, 4.0x3mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_4x3.9 -SMD capacitor, aluminum electrolytic, Nichicon, 4.0x3.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_4x4.5 -SMD capacitor, aluminum electrolytic, Nichicon, 4.0x4.5mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_4x5.3 -SMD capacitor, aluminum electrolytic, Vishay, 4.0x5.3mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_4x5.4 -SMD capacitor, aluminum electrolytic, Panasonic A5 / Nichicon, 4.0x5.4mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_4x5.7 -SMD capacitor, aluminum electrolytic, United Chemi-Con, 4.0x5.7mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_4x5.8 -SMD capacitor, aluminum electrolytic, Panasonic, 4.0x5.8mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_5x3 -SMD capacitor, aluminum electrolytic, Nichicon, 5.0x3.0mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_5x3.9 -SMD capacitor, aluminum electrolytic, Nichicon, 5.0x3.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_5x4.4 -SMD capacitor, aluminum electrolytic, Panasonic B45, 5.0x4.4mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_5x4.5 -SMD capacitor, aluminum electrolytic, Nichicon, 5.0x4.5mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_5x5.3 -SMD capacitor, aluminum electrolytic, Nichicon, 5.0x5.3mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_5x5.4 -SMD capacitor, aluminum electrolytic, Nichicon, 5.0x5.4mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_5x5.7 -SMD capacitor, aluminum electrolytic, United Chemi-Con, 5.0x5.7mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_5x5.8 -SMD capacitor, aluminum electrolytic, Panasonic, 5.0x5.8mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_5x5.9 -SMD capacitor, aluminum electrolytic, Panasonic B6, 5.0x5.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x3 -SMD capacitor, aluminum electrolytic, Nichicon, 6.3x3.0mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x3.9 -SMD capacitor, aluminum electrolytic, Nichicon, 6.3x3.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x4.5 -SMD capacitor, aluminum electrolytic, Nichicon, 6.3x4.5mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x4.9 -SMD capacitor, aluminum electrolytic, Panasonic C5, 6.3x4.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x5.2 -SMD capacitor, aluminum electrolytic, United Chemi-Con, 6.3x5.2mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x5.3 -SMD capacitor, aluminum electrolytic, Cornell Dubilier, 6.3x5.3mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x5.4 -SMD capacitor, aluminum electrolytic, Panasonic C55, 6.3x5.4mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x5.4_Nichicon -SMD capacitor, aluminum electrolytic, Nichicon, 6.3x5.4mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x5.7 -SMD capacitor, aluminum electrolytic, United Chemi-Con, 6.3x5.7mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x5.8 -SMD capacitor, aluminum electrolytic, Nichicon, 6.3x5.8mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x5.9 -SMD capacitor, aluminum electrolytic, Panasonic C6, 6.3x5.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x7.7 -SMD capacitor, aluminum electrolytic, Nichicon, 6.3x7.7mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_6.3x9.9 -SMD capacitor, aluminum electrolytic, Panasonic C10, 6.3x9.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_8x5.4 -SMD capacitor, aluminum electrolytic, Nichicon, 8.0x5.4mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_8x6.2 -SMD capacitor, aluminum electrolytic, Nichicon, 8.0x6.2mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_8x6.5 -SMD capacitor, aluminum electrolytic, Rubycon, 8.0x6.5mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_8x6.7 -SMD capacitor, aluminum electrolytic, United Chemi-Con, 8.0x6.7mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_8x6.9 -SMD capacitor, aluminum electrolytic, Panasonic E7, 8.0x6.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_8x10 -SMD capacitor, aluminum electrolytic, Nichicon, 8.0x10mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_8x10.5 -SMD capacitor, aluminum electrolytic, Vishay 0810, 8.0x10.5mm, http://www.vishay.com/docs/28395/150crz.pdf -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_8x11.9 -SMD capacitor, aluminum electrolytic, Panasonic E12, 8.0x11.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_10x7.7 -SMD capacitor, aluminum electrolytic, Nichicon, 10.0x7.7mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_10x7.9 -SMD capacitor, aluminum electrolytic, Panasonic F8, 10.0x7.9mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_10x10 -SMD capacitor, aluminum electrolytic, Nichicon, 10.0x10.0mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_10x10.5 -SMD capacitor, aluminum electrolytic, Vishay 1010, 10.0x10.5mm, http://www.vishay.com/docs/28395/150crz.pdf -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_10x12.5 -SMD capacitor, aluminum electrolytic, Vishay 1012, 10.0x12.5mm, http://www.vishay.com/docs/28395/150crz.pdf -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_10x12.6 -SMD capacitor, aluminum electrolytic, Panasonic F12, 10.0x12.6mm -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_10x14.3 -SMD capacitor, aluminum electrolytic, Vishay 1014, 10.0x14.3mm, http://www.vishay.com/docs/28395/150crz.pdf -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_16x17.5 -SMD capacitor, aluminum electrolytic, Vishay 1616, 16.0x17.5mm, http://www.vishay.com/docs/28395/150crz.pdf -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_16x22 -SMD capacitor, aluminum electrolytic, Vishay 1621, 16.0x22.0mm, http://www.vishay.com/docs/28395/150crz.pdf -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_18x17.5 -SMD capacitor, aluminum electrolytic, Vishay 1816, 18.0x17.5mm, http://www.vishay.com/docs/28395/150crz.pdf -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_18x22 -SMD capacitor, aluminum electrolytic, Vishay 1821, 18.0x22.0mm, http://www.vishay.com/docs/28395/150crz.pdf -capacitor electrolytic -0 -2 -2 -Capacitor_SMD -CP_Elec_CAP-XX_DMF3Zxxxxxxxx3D -5.5V, 470mF supercapacitor, 45mohm, -40ºC to +70ºC, https://www.cap-xx.com/wp-content/uploads/datasheets/CAP-XX-DMF470mF-Datasheet.pdf -supercap -0 -3 -3 -Capacitor_SMD -C_0201_0603Metric -Capacitor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -capacitor -0 -4 -2 -Capacitor_SMD -C_0201_0603Metric_Pad0.64x0.40mm_HandSolder -Capacitor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -4 -2 -Capacitor_SMD -C_0402_1005Metric -Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_0402_1005Metric_Pad0.74x0.62mm_HandSolder -Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_0504_1310Metric -Capacitor SMD 0504 (1310 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_0504_1310Metric_Pad0.83x1.28mm_HandSolder -Capacitor SMD 0504 (1310 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_0603_1608Metric -Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_0805_2012Metric -Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_0805_2012Metric_Pad1.18x1.45mm_HandSolder -Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_01005_0402Metric -Capacitor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator -capacitor -0 -4 -2 -Capacitor_SMD -C_01005_0402Metric_Pad0.57x0.30mm_HandSolder -Capacitor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -4 -2 -Capacitor_SMD -C_1206_3216Metric -Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_1206_3216Metric_Pad1.33x1.80mm_HandSolder -Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_1210_3225Metric -Capacitor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_1210_3225Metric_Pad1.33x2.70mm_HandSolder -Capacitor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_1812_4532Metric -Capacitor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_1812_4532Metric_Pad1.57x3.40mm_HandSolder -Capacitor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_1825_4564Metric -Capacitor SMD 1825 (4564 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_1825_4564Metric_Pad1.57x6.80mm_HandSolder -Capacitor SMD 1825 (4564 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_2220_5750Metric -Capacitor SMD 2220 (5750 Metric), square (rectangular) end terminal, IPC-7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_2220_5750Metric_Pad1.97x5.40mm_HandSolder -Capacitor SMD 2220 (5750 Metric), square (rectangular) end terminal, IPC-7351 nominal with elongated pad for handsoldering. (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_2225_5664Metric -Capacitor SMD 2225 (5664 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_2225_5664Metric_Pad1.80x6.60mm_HandSolder -Capacitor SMD 2225 (5664 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_3640_9110Metric -Capacitor SMD 3640 (9110 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -Capacitor_SMD -C_3640_9110Metric_Pad2.10x10.45mm_HandSolder -Capacitor SMD 3640 (9110 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator -capacitor handsolder -0 -2 -2 -Capacitor_SMD -C_Elec_3x5.4 -SMD capacitor, aluminum electrolytic nonpolar, 3.0x5.4mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_4x5.4 -SMD capacitor, aluminum electrolytic nonpolar, 4.0x5.4mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_4x5.8 -SMD capacitor, aluminum electrolytic nonpolar, 4.0x5.8mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_5x5.4 -SMD capacitor, aluminum electrolytic nonpolar, 5.0x5.4mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_5x5.8 -SMD capacitor, aluminum electrolytic nonpolar, 5.0x5.8mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_6.3x5.4 -SMD capacitor, aluminum electrolytic nonpolar, 6.3x5.4mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_6.3x5.8 -SMD capacitor, aluminum electrolytic nonpolar, 6.3x5.8mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_6.3x7.7 -SMD capacitor, aluminum electrolytic nonpolar, 6.3x7.7mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_8x5.4 -SMD capacitor, aluminum electrolytic nonpolar, 8.0x5.4mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_8x6.2 -SMD capacitor, aluminum electrolytic nonpolar, 8.0x6.2mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_8x10.2 -SMD capacitor, aluminum electrolytic nonpolar, 8.0x10.2mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Elec_10x10.2 -SMD capacitor, aluminum electrolytic nonpolar, 10.0x10.2mm -capacitor electrolyic nonpolar -0 -2 -2 -Capacitor_SMD -C_Trimmer_Murata_TZB4-A -trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb - Murata TZB4 TZB4-A -0 -2 -2 -Capacitor_SMD -C_Trimmer_Murata_TZB4-B -trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb - Murata TZB4 TZB4-A -0 -2 -2 -Capacitor_SMD -C_Trimmer_Murata_TZC3 -trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb - Murata TZC3 -0 -2 -2 -Capacitor_SMD -C_Trimmer_Murata_TZR1 -trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb - Murata TZR1 -0 -2 -2 -Capacitor_SMD -C_Trimmer_Murata_TZW4 -trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb - Murata TZW4 -0 -2 -2 -Capacitor_SMD -C_Trimmer_Murata_TZY2 -trimmer capacitor SMD horizontal, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb - Murata TZY2 -0 -2 -2 -Capacitor_SMD -C_Trimmer_Sprague-Goodman_SGC3 -trimmer capacitor SMD horizontal, http://media.wix.com/ugd/d86717_38d9821e12823a7aa9cef38c6c2a73cc.pdf - Sprague Goodman SGC3 -0 -2 -2 -Capacitor_SMD -C_Trimmer_Voltronics_JN -trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf - Voltronics JN -0 -2 -2 -Capacitor_SMD -C_Trimmer_Voltronics_JQ -trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf - Voltronics JQ -0 -2 -2 -Capacitor_SMD -C_Trimmer_Voltronics_JR -trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf - Voltronics JR -0 -2 -2 -Capacitor_SMD -C_Trimmer_Voltronics_JV -trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf - Voltronics JV -0 -2 -2 -Capacitor_SMD -C_Trimmer_Voltronics_JZ -trimmer capacitor SMD horizontal, http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf - Voltronics JR -0 -2 -2 -Capacitor_THT -CP_Axial_L10.0mm_D4.5mm_P15.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=10*4.5mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 15mm length 10mm diameter 4.5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L10.0mm_D6.0mm_P15.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=10*6mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 15mm length 10mm diameter 6mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L11.0mm_D5.0mm_P18.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=18mm, , length*diameter=11*5mm^2, Electrolytic Capacitor -CP Axial series Axial Horizontal pin pitch 18mm length 11mm diameter 5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L11.0mm_D6.0mm_P18.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=18mm, , length*diameter=11*6mm^2, Electrolytic Capacitor -CP Axial series Axial Horizontal pin pitch 18mm length 11mm diameter 6mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L11.0mm_D8.0mm_P15.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=11*8mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 15mm length 11mm diameter 8mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L18.0mm_D6.5mm_P25.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=25mm, , length*diameter=18*6.5mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 25mm length 18mm diameter 6.5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L18.0mm_D8.0mm_P25.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=25mm, , length*diameter=18*8mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 25mm length 18mm diameter 8mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L18.0mm_D10.0mm_P25.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=25mm, , length*diameter=18*10mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 25mm length 18mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L20.0mm_D10.0mm_P26.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=26mm, , length*diameter=20*10mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 26mm length 20mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L20.0mm_D13.0mm_P26.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=26mm, , length*diameter=20*13mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 26mm length 20mm diameter 13mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L21.0mm_D8.0mm_P28.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=28mm, , length*diameter=21*8mm^2, Electrolytic Capacitor -CP Axial series Axial Horizontal pin pitch 28mm length 21mm diameter 8mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L25.0mm_D10.0mm_P30.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=30mm, , length*diameter=25*10mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 30mm length 25mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L26.5mm_D20.0mm_P33.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=33mm, , length*diameter=26.5*20mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 33mm length 26.5mm diameter 20mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L29.0mm_D10.0mm_P35.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=29*10mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 35mm length 29mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L29.0mm_D13.0mm_P35.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=29*13mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 35mm length 29mm diameter 13mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L29.0mm_D16.0mm_P35.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=29*16mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 35mm length 29mm diameter 16mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L29.0mm_D20.0mm_P35.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=29*20mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 35mm length 29mm diameter 20mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L30.0mm_D10.0mm_P35.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=30*10mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 35mm length 30mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L30.0mm_D12.5mm_P35.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=30*12.5mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 35mm length 30mm diameter 12.5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L30.0mm_D15.0mm_P35.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=30*15mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 35mm length 30mm diameter 15mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L30.0mm_D18.0mm_P35.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=30*18mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 35mm length 30mm diameter 18mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L34.5mm_D20.0mm_P41.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=41mm, , length*diameter=34.5*20mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 41mm length 34.5mm diameter 20mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L37.0mm_D13.0mm_P43.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=43mm, , length*diameter=37*13mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 43mm length 37mm diameter 13mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L37.0mm_D16.0mm_P43.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=43mm, , length*diameter=37*16mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 43mm length 37mm diameter 16mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L37.0mm_D20.0mm_P43.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=43mm, , length*diameter=37*20mm^2, Electrolytic Capacitor, , http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf -CP Axial series Axial Horizontal pin pitch 43mm length 37mm diameter 20mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L38.0mm_D18.0mm_P44.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=44mm, , length*diameter=38*18mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 44mm length 38mm diameter 18mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L38.0mm_D21.0mm_P44.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=44mm, , length*diameter=38*21mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/28325/021asm.pdf -CP Axial series Axial Horizontal pin pitch 44mm length 38mm diameter 21mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L40.0mm_D16.0mm_P48.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=48mm, , length*diameter=40*16mm^2, Electrolytic Capacitor -CP Axial series Axial Horizontal pin pitch 48mm length 40mm diameter 16mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L42.0mm_D23.0mm_P45.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=45mm, , length*diameter=42*23.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 45mm length 42mm diameter 23.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L42.0mm_D26.0mm_P45.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=45mm, , length*diameter=42*26mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 45mm length 42mm diameter 26mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L42.0mm_D29.0mm_P45.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=45mm, , length*diameter=42*29.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 45mm length 42mm diameter 29.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L42.0mm_D32.0mm_P45.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=45mm, , length*diameter=42*32.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 45mm length 42mm diameter 32.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L42.0mm_D35.0mm_P45.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=45mm, , length*diameter=42*35.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 45mm length 42mm diameter 35.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L42.5mm_D20.0mm_P49.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=49mm, , length*diameter=42.5*20mm^2, Electrolytic Capacitor -CP Axial series Axial Horizontal pin pitch 49mm length 42.5mm diameter 20mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L46.0mm_D20.0mm_P52.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=52mm, , length*diameter=46*20mm^2, Electrolytic Capacitor -CP Axial series Axial Horizontal pin pitch 52mm length 46mm diameter 20mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L55.0mm_D23.0mm_P60.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=60mm, , length*diameter=55*23.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 60mm length 55mm diameter 23.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L55.0mm_D26.0mm_P60.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=60mm, , length*diameter=55*26mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 60mm length 55mm diameter 26mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L55.0mm_D29.0mm_P60.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=60mm, , length*diameter=55*29.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 60mm length 55mm diameter 29.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L55.0mm_D32.0mm_P60.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=60mm, , length*diameter=55*32.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 60mm length 55mm diameter 32.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L55.0mm_D35.0mm_P60.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=60mm, , length*diameter=55*35.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 60mm length 55mm diameter 35.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L67.0mm_D23.0mm_P75.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=75mm, , length*diameter=67*23.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 75mm length 67mm diameter 23.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L67.0mm_D26.0mm_P75.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=75mm, , length*diameter=67*26mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 75mm length 67mm diameter 26mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L67.0mm_D29.0mm_P75.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=75mm, , length*diameter=67*29.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 75mm length 67mm diameter 29.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L67.0mm_D32.0mm_P75.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=75mm, , length*diameter=67*32.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 75mm length 67mm diameter 32.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L67.0mm_D35.0mm_P75.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=75mm, , length*diameter=67*35.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 75mm length 67mm diameter 35.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L80.0mm_D23.0mm_P85.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=85mm, , length*diameter=80*23.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 85mm length 80mm diameter 23.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L80.0mm_D26.0mm_P85.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=85mm, , length*diameter=80*26mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 85mm length 80mm diameter 26mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L80.0mm_D29.0mm_P85.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=85mm, , length*diameter=80*29.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 85mm length 80mm diameter 29.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L80.0mm_D32.0mm_P85.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=85mm, , length*diameter=80*32.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 85mm length 80mm diameter 32.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L80.0mm_D35.0mm_P85.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=85mm, , length*diameter=80*35.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 85mm length 80mm diameter 35.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L93.0mm_D23.0mm_P100.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=100mm, , length*diameter=93*23.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 100mm length 93mm diameter 23.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L93.0mm_D26.0mm_P100.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=100mm, , length*diameter=93*26mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 100mm length 93mm diameter 26mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L93.0mm_D29.0mm_P100.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=100mm, , length*diameter=93*29.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 100mm length 93mm diameter 29.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L93.0mm_D32.0mm_P100.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=100mm, , length*diameter=93*32.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 100mm length 93mm diameter 32.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Axial_L93.0mm_D35.0mm_P100.00mm_Horizontal -CP, Axial series, Axial, Horizontal, pin pitch=100mm, , length*diameter=93*35.0mm^2, Electrolytic Capacitor, , http://www.vishay.com/docs/42037/53d.pdf -CP Axial series Axial Horizontal pin pitch 100mm length 93mm diameter 35.0mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D4.0mm_P1.50mm -CP, Radial series, Radial, pin pitch=1.50mm, , diameter=4mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 1.50mm diameter 4mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D4.0mm_P2.00mm -CP, Radial series, Radial, pin pitch=2.00mm, , diameter=4mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.00mm diameter 4mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D5.0mm_P2.00mm -CP, Radial series, Radial, pin pitch=2.00mm, , diameter=5mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.00mm diameter 5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D5.0mm_P2.50mm -CP, Radial series, Radial, pin pitch=2.50mm, , diameter=5mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.50mm diameter 5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D6.3mm_P2.50mm -CP, Radial series, Radial, pin pitch=2.50mm, , diameter=6.3mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.50mm diameter 6.3mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D7.5mm_P2.50mm -CP, Radial series, Radial, pin pitch=2.50mm, , diameter=7.5mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.50mm diameter 7.5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D8.0mm_P2.50mm -CP, Radial series, Radial, pin pitch=2.50mm, , diameter=8mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.50mm diameter 8mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D8.0mm_P3.50mm -CP, Radial series, Radial, pin pitch=3.50mm, , diameter=8mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 3.50mm diameter 8mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D8.0mm_P3.80mm -CP, Radial series, Radial, pin pitch=3.80mm, , diameter=8mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 3.80mm diameter 8mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D8.0mm_P5.00mm -CP, Radial series, Radial, pin pitch=5.00mm, , diameter=8mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 5.00mm diameter 8mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D10.0mm_P2.50mm -CP, Radial series, Radial, pin pitch=2.50mm, , diameter=10mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.50mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D10.0mm_P2.50mm_P5.00mm -CP, Radial series, Radial, pin pitch=2.50mm 5.00mm, , diameter=10mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.50mm 5.00mm diameter 10mm Electrolytic Capacitor -0 -4 -2 -Capacitor_THT -CP_Radial_D10.0mm_P3.50mm -CP, Radial series, Radial, pin pitch=3.50mm, , diameter=10mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 3.50mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D10.0mm_P3.80mm -CP, Radial series, Radial, pin pitch=3.80mm, , diameter=10mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 3.80mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D10.0mm_P5.00mm -CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D10.0mm_P5.00mm_P7.50mm -CP, Radial series, Radial, pin pitch=5.00mm 7.50mm, , diameter=10mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 5.00mm 7.50mm diameter 10mm Electrolytic Capacitor -0 -4 -2 -Capacitor_THT -CP_Radial_D10.0mm_P7.50mm -CP, Radial series, Radial, pin pitch=7.50mm, , diameter=10mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 7.50mm diameter 10mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D12.5mm_P2.50mm -CP, Radial series, Radial, pin pitch=2.50mm, , diameter=12.5mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.50mm diameter 12.5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D12.5mm_P5.00mm -CP, Radial series, Radial, pin pitch=5.00mm, , diameter=12.5mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 5.00mm diameter 12.5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D12.5mm_P7.50mm -CP, Radial series, Radial, pin pitch=7.50mm, , diameter=12.5mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 7.50mm diameter 12.5mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D13.0mm_P2.50mm -CP, Radial series, Radial, pin pitch=2.50mm, , diameter=13mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 2.50mm diameter 13mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D13.0mm_P5.00mm -CP, Radial series, Radial, pin pitch=5.00mm, , diameter=13mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 5.00mm diameter 13mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D13.0mm_P7.50mm -CP, Radial series, Radial, pin pitch=7.50mm, , diameter=13mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 7.50mm diameter 13mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D14.0mm_P5.00mm -CP, Radial series, Radial, pin pitch=5.00mm, , diameter=14mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 5.00mm diameter 14mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D14.0mm_P7.50mm -CP, Radial series, Radial, pin pitch=7.50mm, , diameter=14mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 7.50mm diameter 14mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D16.0mm_P7.50mm -CP, Radial series, Radial, pin pitch=7.50mm, , diameter=16mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 7.50mm diameter 16mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D17.0mm_P7.50mm -CP, Radial series, Radial, pin pitch=7.50mm, , diameter=17mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 7.50mm diameter 17mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D18.0mm_P7.50mm -CP, Radial series, Radial, pin pitch=7.50mm, , diameter=18mm, Electrolytic Capacitor -CP Radial series Radial pin pitch 7.50mm diameter 18mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D22.0mm_P10.00mm_3pin_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, diameter=22mm, height=40mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 22mm height 40mm Electrolytic Capacitor -0 -3 -2 -Capacitor_THT -CP_Radial_D22.0mm_P10.00mm_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, , diameter=22mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 22mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D24.0mm_P10.00mm_3pin_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, diameter=24mm, height=40mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 24mm height 40mm Electrolytic Capacitor -0 -3 -2 -Capacitor_THT -CP_Radial_D24.0mm_P10.00mm_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, , diameter=24mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 24mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D25.0mm_P10.00mm_3pin_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, diameter=25mm, height=45mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 25mm height 45mm Electrolytic Capacitor -0 -3 -2 -Capacitor_THT -CP_Radial_D25.0mm_P10.00mm_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, , diameter=25mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 25mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D26.0mm_P10.00mm_3pin_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, diameter=26mm, height=45mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 26mm height 45mm Electrolytic Capacitor -0 -3 -2 -Capacitor_THT -CP_Radial_D26.0mm_P10.00mm_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, , diameter=26mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 26mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D30.0mm_P10.00mm_3pin_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, diameter=30mm, height=45mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 30mm height 45mm Electrolytic Capacitor -0 -3 -2 -Capacitor_THT -CP_Radial_D30.0mm_P10.00mm_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, , diameter=30mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 30mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D35.0mm_P10.00mm_3pin_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, diameter=35mm, height=50mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 35mm height 50mm Electrolytic Capacitor -0 -3 -2 -Capacitor_THT -CP_Radial_D35.0mm_P10.00mm_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, , diameter=35mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 35mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_D40.0mm_P10.00mm_3pin_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, diameter=40mm, height=50mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 40mm height 50mm Electrolytic Capacitor -0 -3 -2 -Capacitor_THT -CP_Radial_D40.0mm_P10.00mm_SnapIn -CP, Radial series, Radial, pin pitch=10.00mm, , diameter=40mm, Electrolytic Capacitor, , http://www.vishay.com/docs/28342/058059pll-si.pdf -CP Radial series Radial pin pitch 10.00mm diameter 40mm Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D4.5mm_P2.50mm -CP, Radial_Tantal series, Radial, pin pitch=2.50mm, , diameter=4.5mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 2.50mm diameter 4.5mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D4.5mm_P5.00mm -CP, Radial_Tantal series, Radial, pin pitch=5.00mm, , diameter=4.5mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 5.00mm diameter 4.5mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D5.0mm_P2.50mm -CP, Radial_Tantal series, Radial, pin pitch=2.50mm, , diameter=5.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 2.50mm diameter 5.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D5.0mm_P5.00mm -CP, Radial_Tantal series, Radial, pin pitch=5.00mm, , diameter=5.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 5.00mm diameter 5.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D5.5mm_P2.50mm -CP, Radial_Tantal series, Radial, pin pitch=2.50mm, , diameter=5.5mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 2.50mm diameter 5.5mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D5.5mm_P5.00mm -CP, Radial_Tantal series, Radial, pin pitch=5.00mm, , diameter=5.5mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 5.00mm diameter 5.5mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D6.0mm_P2.50mm -CP, Radial_Tantal series, Radial, pin pitch=2.50mm, , diameter=6.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 2.50mm diameter 6.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D6.0mm_P5.00mm -CP, Radial_Tantal series, Radial, pin pitch=5.00mm, , diameter=6.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 5.00mm diameter 6.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D7.0mm_P2.50mm -CP, Radial_Tantal series, Radial, pin pitch=2.50mm, , diameter=7.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 2.50mm diameter 7.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D7.0mm_P5.00mm -CP, Radial_Tantal series, Radial, pin pitch=5.00mm, , diameter=7.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 5.00mm diameter 7.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D8.0mm_P2.50mm -CP, Radial_Tantal series, Radial, pin pitch=2.50mm, , diameter=8.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 2.50mm diameter 8.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D8.0mm_P5.00mm -CP, Radial_Tantal series, Radial, pin pitch=5.00mm, , diameter=8.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 5.00mm diameter 8.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D9.0mm_P2.50mm -CP, Radial_Tantal series, Radial, pin pitch=2.50mm, , diameter=9.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 2.50mm diameter 9.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D9.0mm_P5.00mm -CP, Radial_Tantal series, Radial, pin pitch=5.00mm, , diameter=9.0mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 5.00mm diameter 9.0mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D10.5mm_P2.50mm -CP, Radial_Tantal series, Radial, pin pitch=2.50mm, , diameter=10.5mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 2.50mm diameter 10.5mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -CP_Radial_Tantal_D10.5mm_P5.00mm -CP, Radial_Tantal series, Radial, pin pitch=5.00mm, , diameter=10.5mm, Tantal Electrolytic Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf -CP Radial_Tantal series Radial pin pitch 5.00mm diameter 10.5mm Tantal Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=7.5mm, , length*diameter=3.8*2.6mm^2, http://www.vishay.com/docs/45231/arseries.pdf -C Axial series Axial Horizontal pin pitch 7.5mm length 3.8mm diameter 2.6mm -0 -2 -2 -Capacitor_THT -C_Axial_L3.8mm_D2.6mm_P10.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=10mm, , length*diameter=3.8*2.6mm^2, http://www.vishay.com/docs/45231/arseries.pdf -C Axial series Axial Horizontal pin pitch 10mm length 3.8mm diameter 2.6mm -0 -2 -2 -Capacitor_THT -C_Axial_L3.8mm_D2.6mm_P12.50mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=12.5mm, , length*diameter=3.8*2.6mm^2, http://www.vishay.com/docs/45231/arseries.pdf -C Axial series Axial Horizontal pin pitch 12.5mm length 3.8mm diameter 2.6mm -0 -2 -2 -Capacitor_THT -C_Axial_L3.8mm_D2.6mm_P15.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=3.8*2.6mm^2, http://www.vishay.com/docs/45231/arseries.pdf -C Axial series Axial Horizontal pin pitch 15mm length 3.8mm diameter 2.6mm -0 -2 -2 -Capacitor_THT -C_Axial_L5.1mm_D3.1mm_P7.50mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=7.5mm, , length*diameter=5.1*3.1mm^2, http://www.vishay.com/docs/45231/arseries.pdf -C Axial series Axial Horizontal pin pitch 7.5mm length 5.1mm diameter 3.1mm -0 -2 -2 -Capacitor_THT -C_Axial_L5.1mm_D3.1mm_P10.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=10mm, , length*diameter=5.1*3.1mm^2, http://www.vishay.com/docs/45231/arseries.pdf -C Axial series Axial Horizontal pin pitch 10mm length 5.1mm diameter 3.1mm -0 -2 -2 -Capacitor_THT -C_Axial_L5.1mm_D3.1mm_P12.50mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=12.5mm, , length*diameter=5.1*3.1mm^2, http://www.vishay.com/docs/45231/arseries.pdf -C Axial series Axial Horizontal pin pitch 12.5mm length 5.1mm diameter 3.1mm -0 -2 -2 -Capacitor_THT -C_Axial_L5.1mm_D3.1mm_P15.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=5.1*3.1mm^2, http://www.vishay.com/docs/45231/arseries.pdf -C Axial series Axial Horizontal pin pitch 15mm length 5.1mm diameter 3.1mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D6.5mm_P15.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=12*6.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 15mm length 12mm diameter 6.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D6.5mm_P20.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=20mm, , length*diameter=12*6.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 20mm length 12mm diameter 6.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D7.5mm_P15.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=12*7.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 15mm length 12mm diameter 7.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D7.5mm_P20.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=20mm, , length*diameter=12*7.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 20mm length 12mm diameter 7.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D8.5mm_P15.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=12*8.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 15mm length 12mm diameter 8.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D8.5mm_P20.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=20mm, , length*diameter=12*8.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 20mm length 12mm diameter 8.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D9.5mm_P15.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=12*9.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 15mm length 12mm diameter 9.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D9.5mm_P20.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=20mm, , length*diameter=12*9.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 20mm length 12mm diameter 9.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D10.5mm_P15.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=15mm, , length*diameter=12*10.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 15mm length 12mm diameter 10.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L12.0mm_D10.5mm_P20.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=20mm, , length*diameter=12*10.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 20mm length 12mm diameter 10.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L17.0mm_D6.5mm_P20.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=20mm, , length*diameter=17*6.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 20mm length 17mm diameter 6.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L17.0mm_D6.5mm_P25.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=25mm, , length*diameter=17*6.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 25mm length 17mm diameter 6.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L17.0mm_D7.0mm_P20.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=20mm, , length*diameter=17*7.0mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 20mm length 17mm diameter 7.0mm -0 -2 -2 -Capacitor_THT -C_Axial_L17.0mm_D7.0mm_P25.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=25mm, , length*diameter=17*7.0mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 25mm length 17mm diameter 7.0mm -0 -2 -2 -Capacitor_THT -C_Axial_L19.0mm_D7.5mm_P25.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=25mm, , length*diameter=19*7.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 25mm length 19mm diameter 7.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L19.0mm_D8.0mm_P25.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=25mm, , length*diameter=19*8.0mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 25mm length 19mm diameter 8.0mm -0 -2 -2 -Capacitor_THT -C_Axial_L19.0mm_D9.0mm_P25.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=25mm, , length*diameter=19*9mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 25mm length 19mm diameter 9mm -0 -2 -2 -Capacitor_THT -C_Axial_L19.0mm_D9.5mm_P25.00mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=25mm, , length*diameter=19*9.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 25mm length 19mm diameter 9.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L22.0mm_D9.5mm_P27.50mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=27.5mm, , length*diameter=22*9.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 27.5mm length 22mm diameter 9.5mm -0 -2 -2 -Capacitor_THT -C_Axial_L22.0mm_D10.5mm_P27.50mm_Horizontal -C, Axial series, Axial, Horizontal, pin pitch=27.5mm, , length*diameter=22*10.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf -C Axial series Axial Horizontal pin pitch 27.5mm length 22mm diameter 10.5mm -0 -2 -2 -Capacitor_THT -C_Disc_D3.0mm_W1.6mm_P2.50mm -C, Disc series, Radial, pin pitch=2.50mm, , diameter*width=3.0*1.6mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf -C Disc series Radial pin pitch 2.50mm diameter 3.0mm width 1.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D3.0mm_W2.0mm_P2.50mm -C, Disc series, Radial, pin pitch=2.50mm, , diameter*width=3*2mm^2, Capacitor -C Disc series Radial pin pitch 2.50mm diameter 3mm width 2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D3.4mm_W2.1mm_P2.50mm -C, Disc series, Radial, pin pitch=2.50mm, , diameter*width=3.4*2.1mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf -C Disc series Radial pin pitch 2.50mm diameter 3.4mm width 2.1mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D3.8mm_W2.6mm_P2.50mm -C, Disc series, Radial, pin pitch=2.50mm, , diameter*width=3.8*2.6mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf -C Disc series Radial pin pitch 2.50mm diameter 3.8mm width 2.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D4.3mm_W1.9mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.3*1.9mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf -C Disc series Radial pin pitch 5.00mm diameter 4.3mm width 1.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D4.7mm_W2.5mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf -C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D5.0mm_W2.5mm_P2.50mm -C, Disc series, Radial, pin pitch=2.50mm, , diameter*width=5*2.5mm^2, Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf -C Disc series Radial pin pitch 2.50mm diameter 5mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D5.0mm_W2.5mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=5*2.5mm^2, Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf -C Disc series Radial pin pitch 5.00mm diameter 5mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D5.1mm_W3.2mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=5.1*3.2mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf -C Disc series Radial pin pitch 5.00mm diameter 5.1mm width 3.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D6.0mm_W2.5mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=6*2.5mm^2, Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf -C Disc series Radial pin pitch 5.00mm diameter 6mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D6.0mm_W4.4mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=6*4.4mm^2, Capacitor -C Disc series Radial pin pitch 5.00mm diameter 6mm width 4.4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D7.0mm_W2.5mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=7*2.5mm^2, Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf -C Disc series Radial pin pitch 5.00mm diameter 7mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D7.5mm_W2.5mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=7.5*2.5mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 5.00mm diameter 7.5mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D7.5mm_W4.4mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=7.5*4.4mm^2, Capacitor -C Disc series Radial pin pitch 5.00mm diameter 7.5mm width 4.4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D7.5mm_W5.0mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=7.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 5.00mm diameter 7.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D7.5mm_W5.0mm_P7.50mm -C, Disc series, Radial, pin pitch=7.50mm, , diameter*width=7.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 7.50mm diameter 7.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D7.5mm_W5.0mm_P10.00mm -C, Disc series, Radial, pin pitch=10.00mm, , diameter*width=7.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 10.00mm diameter 7.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D8.0mm_W2.5mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=8*2.5mm^2, Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf -C Disc series Radial pin pitch 5.00mm diameter 8mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D8.0mm_W5.0mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=8*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 5.00mm diameter 8mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D8.0mm_W5.0mm_P7.50mm -C, Disc series, Radial, pin pitch=7.50mm, , diameter*width=8*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 7.50mm diameter 8mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D8.0mm_W5.0mm_P10.00mm -C, Disc series, Radial, pin pitch=10.00mm, , diameter*width=8*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 10.00mm diameter 8mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D9.0mm_W2.5mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=9*2.5mm^2, Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf -C Disc series Radial pin pitch 5.00mm diameter 9mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D9.0mm_W5.0mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=9*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 5.00mm diameter 9mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D9.0mm_W5.0mm_P7.50mm -C, Disc series, Radial, pin pitch=7.50mm, , diameter*width=9*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 7.50mm diameter 9mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D9.0mm_W5.0mm_P10.00mm -C, Disc series, Radial, pin pitch=10.00mm, , diameter*width=9*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 10.00mm diameter 9mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D10.0mm_W2.5mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=10*2.5mm^2, Capacitor, http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf -C Disc series Radial pin pitch 5.00mm diameter 10mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D10.5mm_W5.0mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=10.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 5.00mm diameter 10.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D10.5mm_W5.0mm_P7.50mm -C, Disc series, Radial, pin pitch=7.50mm, , diameter*width=10.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 7.50mm diameter 10.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D10.5mm_W5.0mm_P10.00mm -C, Disc series, Radial, pin pitch=10.00mm, , diameter*width=10.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 10.00mm diameter 10.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D11.0mm_W5.0mm_P5.00mm -C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=11*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 5.00mm diameter 11mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D11.0mm_W5.0mm_P7.50mm -C, Disc series, Radial, pin pitch=7.50mm, , diameter*width=11*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 7.50mm diameter 11mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D11.0mm_W5.0mm_P10.00mm -C, Disc series, Radial, pin pitch=10.00mm, , diameter*width=11*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 10.00mm diameter 11mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D12.0mm_W4.4mm_P7.75mm -C, Disc series, Radial, pin pitch=7.75mm, , diameter*width=12*4.4mm^2, Capacitor -C Disc series Radial pin pitch 7.75mm diameter 12mm width 4.4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D12.5mm_W5.0mm_P7.50mm -C, Disc series, Radial, pin pitch=7.50mm, , diameter*width=12.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 7.50mm diameter 12.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D12.5mm_W5.0mm_P10.00mm -C, Disc series, Radial, pin pitch=10.00mm, , diameter*width=12.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 10.00mm diameter 12.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D14.5mm_W5.0mm_P7.50mm -C, Disc series, Radial, pin pitch=7.50mm, , diameter*width=14.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 7.50mm diameter 14.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D14.5mm_W5.0mm_P10.00mm -C, Disc series, Radial, pin pitch=10.00mm, , diameter*width=14.5*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 10.00mm diameter 14.5mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D16.0mm_W5.0mm_P7.50mm -C, Disc series, Radial, pin pitch=7.50mm, , diameter*width=16.0*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 7.50mm diameter 16.0mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Disc_D16.0mm_W5.0mm_P10.00mm -C, Disc series, Radial, pin pitch=10.00mm, , diameter*width=16.0*5.0mm^2, Capacitor, http://www.vishay.com/docs/28535/vy2series.pdf -C Disc series Radial pin pitch 10.00mm diameter 16.0mm width 5.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D4.0mm_H5.0mm_P1.50mm -C, Radial series, Radial, pin pitch=1.50mm, diameter=4mm, height=5mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 1.50mm diameter 4mm height 5mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D4.0mm_H7.0mm_P1.50mm -C, Radial series, Radial, pin pitch=1.50mm, diameter=4mm, height=7mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 1.50mm diameter 4mm height 7mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D5.0mm_H5.0mm_P2.00mm -C, Radial series, Radial, pin pitch=2.00mm, diameter=5mm, height=5mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 2.00mm diameter 5mm height 5mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D5.0mm_H7.0mm_P2.00mm -C, Radial series, Radial, pin pitch=2.00mm, diameter=5mm, height=7mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 2.00mm diameter 5mm height 7mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D5.0mm_H11.0mm_P2.00mm -C, Radial series, Radial, pin pitch=2.00mm, diameter=5mm, height=11mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 2.00mm diameter 5mm height 11mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D6.3mm_H5.0mm_P2.50mm -C, Radial series, Radial, pin pitch=2.50mm, diameter=6.3mm, height=5mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 2.50mm diameter 6.3mm height 5mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D6.3mm_H7.0mm_P2.50mm -C, Radial series, Radial, pin pitch=2.50mm, diameter=6.3mm, height=7mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 2.50mm diameter 6.3mm height 7mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D6.3mm_H11.0mm_P2.50mm -C, Radial series, Radial, pin pitch=2.50mm, diameter=6.3mm, height=11mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 2.50mm diameter 6.3mm height 11mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D8.0mm_H7.0mm_P3.50mm -C, Radial series, Radial, pin pitch=3.50mm, diameter=8mm, height=7mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 3.50mm diameter 8mm height 7mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D8.0mm_H11.5mm_P3.50mm -C, Radial series, Radial, pin pitch=3.50mm, diameter=8mm, height=11.5mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 3.50mm diameter 8mm height 11.5mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D10.0mm_H12.5mm_P5.00mm -C, Radial series, Radial, pin pitch=5.00mm, diameter=10mm, height=12.5mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 5.00mm diameter 10mm height 12.5mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D10.0mm_H16.0mm_P5.00mm -C, Radial series, Radial, pin pitch=5.00mm, diameter=10mm, height=16mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 5.00mm diameter 10mm height 16mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D10.0mm_H20.0mm_P5.00mm -C, Radial series, Radial, pin pitch=5.00mm, diameter=10mm, height=20mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 5.00mm diameter 10mm height 20mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D12.5mm_H20.0mm_P5.00mm -C, Radial series, Radial, pin pitch=5.00mm, diameter=12.5mm, height=20mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 5.00mm diameter 12.5mm height 20mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D12.5mm_H25.0mm_P5.00mm -C, Radial series, Radial, pin pitch=5.00mm, diameter=12.5mm, height=25mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 5.00mm diameter 12.5mm height 25mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D16.0mm_H25.0mm_P7.50mm -C, Radial series, Radial, pin pitch=7.50mm, diameter=16mm, height=25mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 7.50mm diameter 16mm height 25mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D16.0mm_H31.5mm_P7.50mm -C, Radial series, Radial, pin pitch=7.50mm, diameter=16mm, height=31.5mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 7.50mm diameter 16mm height 31.5mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Radial_D18.0mm_H35.5mm_P7.50mm -C, Radial series, Radial, pin pitch=7.50mm, diameter=18mm, height=35.5mm, Non-Polar Electrolytic Capacitor -C Radial series Radial pin pitch 7.50mm diameter 18mm height 35.5mm Non-Polar Electrolytic Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L4.0mm_W2.5mm_P2.50mm -C, Rect series, Radial, pin pitch=2.50mm, , length*width=4*2.5mm^2, Capacitor -C Rect series Radial pin pitch 2.50mm length 4mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L4.6mm_W2.0mm_P2.50mm_MKS02_FKP02 -C, Rect series, Radial, pin pitch=2.50mm, , length*width=4.6*2mm^2, Capacitor, http://www.wima.de/DE/WIMA_MKS_02.pdf -C Rect series Radial pin pitch 2.50mm length 4.6mm width 2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L4.6mm_W3.0mm_P2.50mm_MKS02_FKP02 -C, Rect series, Radial, pin pitch=2.50mm, , length*width=4.6*3.0mm^2, Capacitor, http://www.wima.de/DE/WIMA_MKS_02.pdf -C Rect series Radial pin pitch 2.50mm length 4.6mm width 3.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02 -C, Rect series, Radial, pin pitch=2.50mm, , length*width=4.6*3.8mm^2, Capacitor, http://www.wima.de/DE/WIMA_MKS_02.pdf -C Rect series Radial pin pitch 2.50mm length 4.6mm width 3.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L4.6mm_W4.6mm_P2.50mm_MKS02_FKP02 -C, Rect series, Radial, pin pitch=2.50mm, , length*width=4.6*4.6mm^2, Capacitor, http://www.wima.de/DE/WIMA_MKS_02.pdf -C Rect series Radial pin pitch 2.50mm length 4.6mm width 4.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L4.6mm_W5.5mm_P2.50mm_MKS02_FKP02 -C, Rect series, Radial, pin pitch=2.50mm, , length*width=4.6*5.5mm^2, Capacitor, http://www.wima.de/DE/WIMA_MKS_02.pdf -C Rect series Radial pin pitch 2.50mm length 4.6mm width 5.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.0mm_W2.0mm_P5.00mm -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*2mm^2, Capacitor -C Rect series Radial pin pitch 5.00mm length 7mm width 2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.0mm_W2.5mm_P5.00mm -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*2.5mm^2, Capacitor -C Rect series Radial pin pitch 5.00mm length 7mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.0mm_W3.5mm_P2.50mm_P5.00mm -C, Rect series, Radial, pin pitch=2.50mm 5.00mm, , length*width=7*3.5mm^2, Capacitor -C Rect series Radial pin pitch 2.50mm 5.00mm length 7mm width 3.5mm Capacitor -0 -4 -2 -Capacitor_THT -C_Rect_L7.0mm_W3.5mm_P5.00mm -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*3.5mm^2, Capacitor -C Rect series Radial pin pitch 5.00mm length 7mm width 3.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.0mm_W4.5mm_P5.00mm -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*4.5mm^2, Capacitor -C Rect series Radial pin pitch 5.00mm length 7mm width 4.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.0mm_W6.0mm_P5.00mm -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*6mm^2, Capacitor -C Rect series Radial pin pitch 5.00mm length 7mm width 6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.0mm_W6.5mm_P5.00mm -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*6.5mm^2, Capacitor -C Rect series Radial pin pitch 5.00mm length 7mm width 6.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.2mm_W2.5mm_P5.00mm_FKS2_FKP2_MKS2_MKP2 -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7.2*2.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_2.pdf -C Rect series Radial pin pitch 5.00mm length 7.2mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.2mm_W3.0mm_P5.00mm_FKS2_FKP2_MKS2_MKP2 -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7.2*3.0mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_2.pdf -C Rect series Radial pin pitch 5.00mm length 7.2mm width 3.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.2mm_W3.5mm_P5.00mm_FKS2_FKP2_MKS2_MKP2 -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7.2*3.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_2.pdf -C Rect series Radial pin pitch 5.00mm length 7.2mm width 3.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.2mm_W4.5mm_P5.00mm_FKS2_FKP2_MKS2_MKP2 -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7.2*4.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_2.pdf -C Rect series Radial pin pitch 5.00mm length 7.2mm width 4.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.2mm_W5.5mm_P5.00mm_FKS2_FKP2_MKS2_MKP2 -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7.2*5.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_2.pdf -C Rect series Radial pin pitch 5.00mm length 7.2mm width 5.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.2mm_W7.2mm_P5.00mm_FKS2_FKP2_MKS2_MKP2 -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7.2*7.2mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_2.pdf -C Rect series Radial pin pitch 5.00mm length 7.2mm width 7.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.2mm_W8.5mm_P5.00mm_FKP2_FKP2_MKS2_MKP2 -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7.2*8.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_2.pdf -C Rect series Radial pin pitch 5.00mm length 7.2mm width 8.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.2mm_W11.0mm_P5.00mm_FKS2_FKP2_MKS2_MKP2 -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7.2*11mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_2.pdf -C Rect series Radial pin pitch 5.00mm length 7.2mm width 11mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L7.5mm_W6.5mm_P5.00mm -C, Rect series, Radial, pin pitch=5.00mm, , length*width=7.5*6.5mm^2, Capacitor -C Rect series Radial pin pitch 5.00mm length 7.5mm width 6.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W2.5mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*2.5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W2.6mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*2.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 2.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W2.7mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*2.7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 2.7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W3.2mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*3.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 3.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W3.3mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*3.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 3.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W3.4mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*3.4mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 3.4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W3.6mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*3.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 3.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W3.8mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*3.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 3.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W3.9mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*3.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 3.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W4.0mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*4.0mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 4.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W4.2mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*4.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 4.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W4.9mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*4.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 4.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W5.1mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*5.1mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 5.1mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W5.7mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*5.7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 5.7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W6.4mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*6.4mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 6.4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W6.7mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*6.7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 6.7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W7.7mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*7.7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 7.7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W8.5mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*8.5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 8.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W9.5mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*9.5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 9.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L9.0mm_W9.8mm_P7.50mm_MKT -C, Rect series, Radial, pin pitch=7.50mm, , length*width=9*9.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 7.50mm length 9mm width 9.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L10.0mm_W2.5mm_P7.50mm_MKS4 -C, Rect series, Radial, pin pitch=7.50mm, , length*width=10*2.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 7.50mm length 10mm width 2.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L10.0mm_W3.0mm_P7.50mm_FKS3_FKP3 -C, Rect series, Radial, pin pitch=7.50mm, , length*width=10*3mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf -C Rect series Radial pin pitch 7.50mm length 10mm width 3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L10.0mm_W3.0mm_P7.50mm_MKS4 -C, Rect series, Radial, pin pitch=7.50mm, , length*width=10*3.0mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 7.50mm length 10mm width 3.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L10.0mm_W4.0mm_P7.50mm_FKS3_FKP3 -C, Rect series, Radial, pin pitch=7.50mm, , length*width=10*4mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf -C Rect series Radial pin pitch 7.50mm length 10mm width 4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L10.0mm_W4.0mm_P7.50mm_MKS4 -C, Rect series, Radial, pin pitch=7.50mm, , length*width=10*4.0mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 7.50mm length 10mm width 4.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L10.0mm_W5.0mm_P5.00mm_P7.50mm -C, Rect series, Radial, pin pitch=5.00mm 7.50mm, , length*width=10*5mm^2, Capacitor -C Rect series Radial pin pitch 5.00mm 7.50mm length 10mm width 5mm Capacitor -0 -4 -2 -Capacitor_THT -C_Rect_L10.3mm_W4.5mm_P7.50mm_MKS4 -C, Rect series, Radial, pin pitch=7.50mm, , length*width=10.3*4.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 7.50mm length 10.3mm width 4.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L10.3mm_W5.0mm_P7.50mm_MKS4 -C, Rect series, Radial, pin pitch=7.50mm, , length*width=10.3*5mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 7.50mm length 10.3mm width 5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L10.3mm_W5.7mm_P7.50mm_MKS4 -C, Rect series, Radial, pin pitch=7.50mm, , length*width=10.3*5.7mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 7.50mm length 10.3mm width 5.7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L10.3mm_W7.2mm_P7.50mm_MKS4 -C, Rect series, Radial, pin pitch=7.50mm, , length*width=10.3*7.2mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 7.50mm length 10.3mm width 7.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W2.8mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*2.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 2.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W3.4mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*3.4mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 3.4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W3.5mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*3.5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 3.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W4.2mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*4.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 4.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W4.3mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*4.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 4.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W5.1mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*5.1mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 5.1mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W5.3mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*5.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 5.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W6.3mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*6.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 6.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W6.4mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*6.4mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 6.4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W7.3mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*7.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 7.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.0mm_W8.8mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.0*8.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.0mm width 8.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W2.0mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W2.6mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*2.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 2.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W2.8mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*2.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 2.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W3.2mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*3.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 3.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W3.5mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*3.5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 3.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W3.6mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*3.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 3.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W4.0mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*4.0mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 4.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W4.3mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*4.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 4.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W4.5mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*4.5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 4.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W5.0mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W5.1mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*5.1mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 5.1mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W5.2mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*5.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 5.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W5.6mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*5.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 5.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W6.4mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*6.4mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 6.4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W6.6mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*6.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 6.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W6.9mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*6.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 6.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W7.3mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*7.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 7.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W7.5mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*7.5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 7.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W7.8mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*7.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 7.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W8.0mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*8.0mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 8.0mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W8.8mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*8.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 8.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W9.5mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*9.5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 9.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L11.5mm_W9.8mm_P10.00mm_MKT -C, Rect series, Radial, pin pitch=10.00mm, , length*width=11.5*9.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 10.00mm length 11.5mm width 9.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L13.0mm_W3.0mm_P10.00mm_FKS3_FKP3_MKS4 -C, Rect series, Radial, pin pitch=10.00mm, , length*width=13*3mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 10.00mm length 13mm width 3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L13.0mm_W4.0mm_P10.00mm_FKS3_FKP3_MKS4 -C, Rect series, Radial, pin pitch=10.00mm, , length*width=13*4mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 10.00mm length 13mm width 4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L13.0mm_W5.0mm_P10.00mm_FKS3_FKP3_MKS4 -C, Rect series, Radial, pin pitch=10.00mm, , length*width=13*5mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 10.00mm length 13mm width 5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L13.0mm_W6.0mm_P10.00mm_FKS3_FKP3_MKS4 -C, Rect series, Radial, pin pitch=10.00mm, , length*width=13*6mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 10.00mm length 13mm width 6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L13.0mm_W6.5mm_P7.50mm_P10.00mm -C, Rect series, Radial, pin pitch=7.50mm 10.00mm, , length*width=13*6.5mm^2, Capacitor -C Rect series Radial pin pitch 7.50mm 10.00mm length 13mm width 6.5mm Capacitor -0 -4 -2 -Capacitor_THT -C_Rect_L13.0mm_W8.0mm_P10.00mm_FKS3_FKP3_MKS4 -C, Rect series, Radial, pin pitch=10.00mm, , length*width=13*8mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 10.00mm length 13mm width 8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L13.5mm_W4.0mm_P10.00mm_FKS3_FKP3_MKS4 -C, Rect series, Radial, pin pitch=10.00mm, , length*width=13.5*4mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 10.00mm length 13.5mm width 4mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L13.5mm_W5.0mm_P10.00mm_FKS3_FKP3_MKS4 -C, Rect series, Radial, pin pitch=10.00mm, , length*width=13.5*5mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 10.00mm length 13.5mm width 5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W4.7mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*4.7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 4.7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W4.9mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*4.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 4.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W5.0mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W6.0mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W7.0mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W7.3mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*7.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 7.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W8.7mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*8.7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 8.7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W8.9mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*8.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 8.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W9.0mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W9.2mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*9.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 9.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W10.7mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*10.7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 10.7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W10.9mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*10.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 10.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W11.2mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*11.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 11.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W11.8mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*11.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 11.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W13.5mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*13.5mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 13.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W13.7mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*13.7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 13.7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L16.5mm_W13.9mm_P15.00mm_MKT -C, Rect series, Radial, pin pitch=15.00mm, , length*width=16.5*13.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 15.00mm length 16.5mm width 13.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L18.0mm_W5.0mm_P15.00mm_FKS3_FKP3 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=18*5mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf -C Rect series Radial pin pitch 15.00mm length 18mm width 5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L18.0mm_W6.0mm_P15.00mm_FKS3_FKP3 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=18*6mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf -C Rect series Radial pin pitch 15.00mm length 18mm width 6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L18.0mm_W7.0mm_P15.00mm_FKS3_FKP3 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=18*7mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf -C Rect series Radial pin pitch 15.00mm length 18mm width 7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L18.0mm_W8.0mm_P15.00mm_FKS3_FKP3 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=18*8mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf -C Rect series Radial pin pitch 15.00mm length 18mm width 8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L18.0mm_W9.0mm_P15.00mm_FKS3_FKP3 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=18*9mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf -C Rect series Radial pin pitch 15.00mm length 18mm width 9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L18.0mm_W11.0mm_P15.00mm_FKS3_FKP3 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=18*11mm^2, Capacitor, http://www.wima.com/EN/WIMA_FKS_3.pdf -C Rect series Radial pin pitch 15.00mm length 18mm width 11mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L19.0mm_W5.0mm_P15.00mm_MKS4 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=19*5mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 15.00mm length 19mm width 5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L19.0mm_W6.0mm_P15.00mm_MKS4 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=19*6mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 15.00mm length 19mm width 6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L19.0mm_W7.0mm_P15.00mm_MKS4 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=19*7mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 15.00mm length 19mm width 7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L19.0mm_W8.0mm_P15.00mm_MKS4 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=19*8mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 15.00mm length 19mm width 8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L19.0mm_W9.0mm_P15.00mm_MKS4 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=19*9mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 15.00mm length 19mm width 9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L19.0mm_W11.0mm_P15.00mm_MKS4 -C, Rect series, Radial, pin pitch=15.00mm, , length*width=19*11mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 15.00mm length 19mm width 11mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L24.0mm_W7.0mm_P22.50mm_MKT -C, Rect series, Radial, pin pitch=22.50mm, , length*width=24*7mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 22.50mm length 24mm width 7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L24.0mm_W8.3mm_P22.50mm_MKT -C, Rect series, Radial, pin pitch=22.50mm, , length*width=24*8.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 22.50mm length 24mm width 8.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L24.0mm_W8.6mm_P22.50mm_MKT -C, Rect series, Radial, pin pitch=22.50mm, , length*width=24*8.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 22.50mm length 24mm width 8.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L24.0mm_W10.1mm_P22.50mm_MKT -C, Rect series, Radial, pin pitch=22.50mm, , length*width=24*10.1mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 22.50mm length 24mm width 10.1mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L24.0mm_W10.3mm_P22.50mm_MKT -C, Rect series, Radial, pin pitch=22.50mm, , length*width=24*10.3mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 22.50mm length 24mm width 10.3mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L24.0mm_W10.9mm_P22.50mm_MKT -C, Rect series, Radial, pin pitch=22.50mm, , length*width=24*10.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 22.50mm length 24mm width 10.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L24.0mm_W12.2mm_P22.50mm_MKT -C, Rect series, Radial, pin pitch=22.50mm, , length*width=24*12.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 22.50mm length 24mm width 12.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L24.0mm_W12.6mm_P22.50mm_MKT -C, Rect series, Radial, pin pitch=22.50mm, , length*width=24*12.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 22.50mm length 24mm width 12.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L24.0mm_W12.8mm_P22.50mm_MKT -C, Rect series, Radial, pin pitch=22.50mm, , length*width=24*12.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 22.50mm length 24mm width 12.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L26.5mm_W5.0mm_P22.50mm_MKS4 -C, Rect series, Radial, pin pitch=22.50mm, , length*width=26.5*5mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 22.50mm length 26.5mm width 5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L26.5mm_W6.0mm_P22.50mm_MKS4 -C, Rect series, Radial, pin pitch=22.50mm, , length*width=26.5*6mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 22.50mm length 26.5mm width 6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L26.5mm_W7.0mm_P22.50mm_MKS4 -C, Rect series, Radial, pin pitch=22.50mm, , length*width=26.5*7mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 22.50mm length 26.5mm width 7mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L26.5mm_W8.5mm_P22.50mm_MKS4 -C, Rect series, Radial, pin pitch=22.50mm, , length*width=26.5*8.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 22.50mm length 26.5mm width 8.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L26.5mm_W10.5mm_P22.50mm_MKS4 -C, Rect series, Radial, pin pitch=22.50mm, , length*width=26.5*10.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 22.50mm length 26.5mm width 10.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L26.5mm_W11.5mm_P22.50mm_MKS4 -C, Rect series, Radial, pin pitch=22.50mm, , length*width=26.5*11.5mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 22.50mm length 26.5mm width 11.5mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L27.0mm_W9.0mm_P22.00mm -C, Rect series, Radial, pin pitch=22.00mm, , length*width=27*9mm^2, Capacitor -C Rect series Radial pin pitch 22.00mm length 27mm width 9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L27.0mm_W9.0mm_P23.00mm -C, Rect series, Radial, pin pitch=23.00mm, , length*width=27*9mm^2, Capacitor -C Rect series Radial pin pitch 23.00mm length 27mm width 9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L27.0mm_W11.0mm_P22.00mm -C, Rect series, Radial, pin pitch=22.00mm, , length*width=27*11mm^2, Capacitor -C Rect series Radial pin pitch 22.00mm length 27mm width 11mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L28.0mm_W8.0mm_P22.50mm_MKS4 -C, Rect series, Radial, pin pitch=22.50mm, , length*width=28*8mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 22.50mm length 28mm width 8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L28.0mm_W10.0mm_P22.50mm_MKS4 -C, Rect series, Radial, pin pitch=22.50mm, , length*width=28*10mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 22.50mm length 28mm width 10mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L28.0mm_W12.0mm_P22.50mm_MKS4 -C, Rect series, Radial, pin pitch=22.50mm, , length*width=28*12mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 22.50mm length 28mm width 12mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W7.6mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*7.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 7.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W7.8mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*7.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 7.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W7.9mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*7.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 7.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W9.1mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*9.1mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 9.1mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W9.6mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*9.6mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 9.6mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W11.0mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*11mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 11mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W11.9mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*11.9mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 11.9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W12.2mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*12.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 12.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W13.0mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*13mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 13mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W13.8mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*13.8mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 13.8mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W14.2mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*14.2mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 14.2mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L29.0mm_W16.0mm_P27.50mm_MKT -C, Rect series, Radial, pin pitch=27.50mm, , length*width=29*16mm^2, Capacitor, https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf -C Rect series Radial pin pitch 27.50mm length 29mm width 16mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L31.5mm_W9.0mm_P27.50mm_MKS4 -C, Rect series, Radial, pin pitch=27.50mm, , length*width=31.5*9mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 27.50mm length 31.5mm width 9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L31.5mm_W11.0mm_P27.50mm_MKS4 -C, Rect series, Radial, pin pitch=27.50mm, , length*width=31.5*11mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 27.50mm length 31.5mm width 11mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L31.5mm_W13.0mm_P27.50mm_MKS4 -C, Rect series, Radial, pin pitch=27.50mm, , length*width=31.5*13mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 27.50mm length 31.5mm width 13mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L31.5mm_W15.0mm_P27.50mm_MKS4 -C, Rect series, Radial, pin pitch=27.50mm, , length*width=31.5*15mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 27.50mm length 31.5mm width 15mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L31.5mm_W17.0mm_P27.50mm_MKS4 -C, Rect series, Radial, pin pitch=27.50mm, , length*width=31.5*17mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 27.50mm length 31.5mm width 17mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L31.5mm_W20.0mm_P27.50mm_MKS4 -C, Rect series, Radial, pin pitch=27.50mm, , length*width=31.5*20mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 27.50mm length 31.5mm width 20mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L32.0mm_W15.0mm_P27.00mm -C, Rect series, Radial, pin pitch=27.00mm, , length*width=32*15mm^2, Capacitor -C Rect series Radial pin pitch 27.00mm length 32mm width 15mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L33.0mm_W13.0mm_P27.50mm_MKS4 -C, Rect series, Radial, pin pitch=27.50mm, , length*width=33*13mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 27.50mm length 33mm width 13mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L33.0mm_W15.0mm_P27.50mm_MKS4 -C, Rect series, Radial, pin pitch=27.50mm, , length*width=33*15mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 27.50mm length 33mm width 15mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L33.0mm_W20.0mm_P27.50mm_MKS4 -C, Rect series, Radial, pin pitch=27.50mm, , length*width=33*20mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 27.50mm length 33mm width 20mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W9.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*9mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 9mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W11.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*11mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 11mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W13.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*13mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 13mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W15.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*15mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 15mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W17.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*17mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 17mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W19.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*19mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 19mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W20.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*20mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 20mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W24.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*24mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 24mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W31.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*31mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 31mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W35.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*35mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 35mm Capacitor -0 -2 -2 -Capacitor_THT -C_Rect_L41.5mm_W40.0mm_P37.50mm_MKS4 -C, Rect series, Radial, pin pitch=37.50mm, , length*width=41.5*40mm^2, Capacitor, http://www.wima.com/EN/WIMA_MKS_4.pdf -C Rect series Radial pin pitch 37.50mm length 41.5mm width 40mm Capacitor -0 -2 -2 -Capacitor_THT -DX_5R5HxxxxU_D11.5mm_P10.00mm -CP, Radial series, Radial, pin pitch=10.00mm, diameter=11.5mm, Supercapacitor, http://www.elna.co.jp/en/capacitor/double_layer/catalog/pdf/dx_e.pdf -CP Radial series Radial pin pitch 10.00mm diameter 11.5mm supercapacitor -0 -2 -2 -Capacitor_THT -DX_5R5VxxxxU_D11.5mm_P5.00mm -CP, Radial series, Radial, pin pitch=5.00mm, diameter=11.5mm, Supercapacitor, http://www.elna.co.jp/en/capacitor/double_layer/catalog/pdf/dx_e.pdf -CP Radial series Radial pin pitch 5.00mm diameter 11.5mm supercapacitor -0 -2 -2 -Capacitor_THT -DX_5R5VxxxxU_D19.0mm_P5.00mm -CP, Radial series, Radial, pin pitch=5.00mm, diameter=19mm, Supercapacitor, http://www.elna.co.jp/en/capacitor/double_layer/catalog/pdf/dx_e.pdf -CP Radial series Radial pin pitch 5.00mm diameter 19mm supercapacitor -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-1608-08_AVX-J -Tantalum Capacitor SMD AVX-J (1608-08 Metric), IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/48064/_t58_vmn_pt0471_1601.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-1608-08_AVX-J_Pad1.25x1.05mm_HandSolder -Tantalum Capacitor SMD AVX-J (1608-08 Metric), IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/48064/_t58_vmn_pt0471_1601.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-1608-10_AVX-L -Tantalum Capacitor SMD AVX-L (1608-10 Metric), IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/48064/_t58_vmn_pt0471_1601.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-1608-10_AVX-L_Pad1.25x1.05mm_HandSolder -Tantalum Capacitor SMD AVX-L (1608-10 Metric), IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/48064/_t58_vmn_pt0471_1601.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-2012-12_Kemet-R -Tantalum Capacitor SMD Kemet-R (2012-12 Metric), IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/40182/tmch.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-2012-12_Kemet-R_Pad1.30x1.05mm_HandSolder -Tantalum Capacitor SMD Kemet-R (2012-12 Metric), IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/40182/tmch.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-2012-15_AVX-P -Tantalum Capacitor SMD AVX-P (2012-15 Metric), IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/40182/tmch.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-2012-15_AVX-P_Pad1.30x1.05mm_HandSolder -Tantalum Capacitor SMD AVX-P (2012-15 Metric), IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/40182/tmch.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3216-10_Kemet-I -Tantalum Capacitor SMD Kemet-I (3216-10 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3216-10_Kemet-I_Pad1.58x1.35mm_HandSolder -Tantalum Capacitor SMD Kemet-I (3216-10 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3216-12_Kemet-S -Tantalum Capacitor SMD Kemet-S (3216-12 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3216-12_Kemet-S_Pad1.58x1.35mm_HandSolder -Tantalum Capacitor SMD Kemet-S (3216-12 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3216-18_Kemet-A -Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3216-18_Kemet-A_Pad1.58x1.35mm_HandSolder -Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3528-12_Kemet-T -Tantalum Capacitor SMD Kemet-T (3528-12 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3528-12_Kemet-T_Pad1.50x2.35mm_HandSolder -Tantalum Capacitor SMD Kemet-T (3528-12 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3528-15_AVX-H -Tantalum Capacitor SMD AVX-H (3528-15 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3528-15_AVX-H_Pad1.50x2.35mm_HandSolder -Tantalum Capacitor SMD AVX-H (3528-15 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3528-21_Kemet-B -Tantalum Capacitor SMD Kemet-B (3528-21 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-3528-21_Kemet-B_Pad1.50x2.35mm_HandSolder -Tantalum Capacitor SMD Kemet-B (3528-21 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-6032-15_Kemet-U -Tantalum Capacitor SMD Kemet-U (6032-15 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-6032-15_Kemet-U_Pad2.25x2.35mm_HandSolder -Tantalum Capacitor SMD Kemet-U (6032-15 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-6032-20_AVX-F -Tantalum Capacitor SMD AVX-F (6032-20 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-6032-20_AVX-F_Pad2.25x2.35mm_HandSolder -Tantalum Capacitor SMD AVX-F (6032-20 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-6032-28_Kemet-C -Tantalum Capacitor SMD Kemet-C (6032-28 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-6032-28_Kemet-C_Pad2.25x2.35mm_HandSolder -Tantalum Capacitor SMD Kemet-C (6032-28 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7132-20_AVX-U -Tantalum Capacitor SMD AVX-U (7132-20 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7132-20_AVX-U_Pad2.72x3.50mm_HandSolder -Tantalum Capacitor SMD AVX-U (7132-20 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7132-28_AVX-C -Tantalum Capacitor SMD AVX-C (7132-28 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7132-28_AVX-C_Pad2.72x3.50mm_HandSolder -Tantalum Capacitor SMD AVX-C (7132-28 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7260-15_AVX-R -Tantalum Capacitor SMD AVX-R (7260-15 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7260-15_AVX-R_Pad2.68x6.30mm_HandSolder -Tantalum Capacitor SMD AVX-R (7260-15 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7260-20_AVX-M -Tantalum Capacitor SMD AVX-M (7260-20 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7260-20_AVX-M_Pad2.68x6.30mm_HandSolder -Tantalum Capacitor SMD AVX-M (7260-20 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7260-28_AVX-M -Tantalum Capacitor SMD AVX-M (7260-28 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7260-28_AVX-M_Pad2.68x6.30mm_HandSolder -Tantalum Capacitor SMD AVX-M (7260-28 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7260-38_AVX-R -Tantalum Capacitor SMD AVX-R (7260-38 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7260-38_AVX-R_Pad2.68x6.30mm_HandSolder -Tantalum Capacitor SMD AVX-R (7260-38 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/F72-F75.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-15_Kemet-W -Tantalum Capacitor SMD Kemet-W (7343-15 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-15_Kemet-W_Pad2.25x2.55mm_HandSolder -Tantalum Capacitor SMD Kemet-W (7343-15 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-20_Kemet-V -Tantalum Capacitor SMD Kemet-V (7343-20 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-20_Kemet-V_Pad2.25x2.55mm_HandSolder -Tantalum Capacitor SMD Kemet-V (7343-20 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-30_AVX-N -Tantalum Capacitor SMD AVX-N (7343-30 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-30_AVX-N_Pad2.25x2.55mm_HandSolder -Tantalum Capacitor SMD AVX-N (7343-30 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-31_Kemet-D -Tantalum Capacitor SMD Kemet-D (7343-31 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-31_Kemet-D_Pad2.25x2.55mm_HandSolder -Tantalum Capacitor SMD Kemet-D (7343-31 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-40_Kemet-Y -Tantalum Capacitor SMD Kemet-Y (7343-40 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-40_Kemet-Y_Pad2.25x2.55mm_HandSolder -Tantalum Capacitor SMD Kemet-Y (7343-40 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-43_Kemet-X -Tantalum Capacitor SMD Kemet-X (7343-43 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7343-43_Kemet-X_Pad2.25x2.55mm_HandSolder -Tantalum Capacitor SMD Kemet-X (7343-43 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7360-38_Kemet-E -Tantalum Capacitor SMD Kemet-E (7360-38 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7360-38_Kemet-E_Pad2.25x4.25mm_HandSolder -Tantalum Capacitor SMD Kemet-E (7360-38 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7361-38_AVX-V -Tantalum Capacitor SMD AVX-V (7361-38 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/NOS.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7361-38_AVX-V_Pad2.18x3.30mm_HandSolder -Tantalum Capacitor SMD AVX-V (7361-38 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/NOS.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7361-438_AVX-U -Tantalum Capacitor SMD AVX-U (7361-438 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/NOS.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Capacitor_Tantalum_SMD -CP_EIA-7361-438_AVX-U_Pad2.18x3.30mm_HandSolder -Tantalum Capacitor SMD AVX-U (7361-438 Metric), IPC_7351 nominal, (Body size from: http://datasheets.avx.com/NOS.pdf), generated with kicad-footprint-generator -capacitor tantalum -0 -2 -2 -Connector -Banana_Cliff_FCR7350x_S16N-PC_Horizontal -Cliff single 4mm shrouded banana panel socket, through-hole, horizontal, https://www.cliffuk.co.uk/products/testleads/sockets/S16NPC.pdf -cliff 4mm socket jack banana -0 -1 -1 -Connector -Banana_Jack_1Pin -Single banana socket, footprint - 6mm drill -banana socket -0 -1 -1 -Connector -Banana_Jack_2Pin -Dual banana socket, footprint - 2 x 6mm drills -banana socket -0 -2 -2 -Connector -Banana_Jack_3Pin -Triple banana socket, footprint - 3 x 6mm drills -banana socket -0 -3 -3 -Connector -CUI_PD-30 -3 pin connector, PD-30, http://www.cui.com/product/resource/pd-30.pdf -connector 3-pin PD-30 power DIN -0 -4 -4 -Connector -CUI_PD-30S -3-pin nonstandard DIN connector, shielded, PD-30S, http://www.cui.com/product/resource/pd-30s.pdf -connector 3-pin PD-30S power DIN shielded -0 -8 -5 -Connector -CUI_PD-30S_CircularHoles -3-pin nonstandard DIN connector, shielded, PD-30S, http://www.cui.com/product/resource/pd-30s.pdf -connector 3-pin PD-30S power DIN shielded -0 -8 -5 -Connector -CalTest_CT3151 -Right-angle standard banana jack, http://www.caltestelectronics.com/images/attachments/P315100rH_drawing.pdf -banana jack horizontal -0 -4 -1 -Connector -Connector_SFP_and_Cage -https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=2227302&DocType=Customer+Drawing&DocLang=English -SFP+ SFP -0 -40 -21 -Connector -DTF13-12Px -http://www.te.com/usa-en/product-DTF13-12PA-G003.html -DEUTSCH DT header 12 pin -0 -12 -12 -Connector -FanPinHeader_1x03_P2.54mm_Vertical -3-pin CPU fan Through hole pin header, see http://www.formfactors.org/developer%5Cspecs%5Crev1_2_public.pdf -pin header 3-pin CPU fan -0 -3 -3 -Connector -FanPinHeader_1x04_P2.54mm_Vertical -4-pin CPU fan Through hole pin header, e.g. for Wieson part number 2366C888-007 Molex 47053-1000, Foxconn HF27040-M1, Tyco 1470947-1 or equivalent, see http://www.formfactors.org/developer%5Cspecs%5Crev1_2_public.pdf -pin header 4-pin CPU fan -0 -4 -4 -Connector -GB042-34S-H10 -http://www.lsmtron.com/pdf/Connector&Antenna_catalog.PDF -34pin SMD connector -0 -34 -34 -Connector -IHI_B6A-PCB-45_Vertical -https://lugsdirect.com/PDF_Webprint/B6A-PCB-45-XX(-X).pdf -connector IHI B6A-PCB-45 -0 -49 -1 -Connector -JWT_A3963_1x02_P3.96mm_Vertical -JWT A3963, 3.96mm pitch Pin head connector (http://www.jwt.com.tw/pro_pdf/A3963.pdf) -connector JWT A3963 pinhead -0 -2 -2 -Connector -Joint-Tech_C5080WR-04P_1x04_P5.08mm_Vertical -C5080 SERIES 90° Wafer, (https://datasheet.lcsc.com/lcsc/1912261836_HR-Joint-Tech-Elec-C5080WR-04P_C477015.pdf) -connector side-entry ATA PATA IDE 5.25 inch floppy drive power -0 -6 -5 -Connector -NS-Tech_Grove_1x04_P2mm_Vertical -https://statics3.seeedstudio.com/images/opl/datasheet/3470130P1.pdf -Grove-1x04 -0 -4 -4 -Connector -OCN_OK-01GM030-04_2x15_P0.4mm_Vertical -Board to board connector, 2x15, 0.4mm pitch, http://www.main-soul.com/datasheet/ocn/connector/Spec_Draw_OK-01.pdf -board-to-board -0 -30 -30 -Connector -SpringContact_Harwin_S1941-46R -7.25mm SMT Multi-directional Spring Contact (T+R), https://cdn.harwin.com/pdfs/S1941R.pdf -spring contact emi emc shield -0 -1 -1 -Connector -Tag-Connect_TC2030-IDC-FP_2x03_P1.27mm_Vertical -Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2030-IDC.pdf -tag connect programming header pogo pins -0 -6 -6 -Connector -Tag-Connect_TC2030-IDC-NL_2x03_P1.27mm_Vertical -Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2030-IDC-NL.pdf -tag connect programming header pogo pins -0 -6 -6 -Connector -Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical -Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf -tag connect programming header pogo pins -0 -10 -10 -Connector -Tag-Connect_TC2050-IDC-NL_2x05_P1.27mm_Vertical -Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-NL%20Datasheet.pdf -tag connect programming header pogo pins -0 -10 -10 -Connector -Tag-Connect_TC2050-IDC-NL_2x05_P1.27mm_Vertical_with_bottom_clip -Tag-Connect programming header with bottom courtyard for TC2050-NL Clip board ; https://www.tag-connect.com/wp-content/uploads/bsk-pdf-manager/TC2050-IDC-NL_Datasheet_8.pdf https://www.tag-connect.com/wp-content/uploads/bsk-pdf-manager/TC2050-CLIP_Datasheet_25.pdf -tag connect programming header pogo pins -0 -10 -10 -Connector -Tag-Connect_TC2070-IDC-FP_2x07_P1.27mm_Vertical -Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2070-IDC%20Datasheet.pdf -tag connect programming header pogo pins -0 -14 -14 -Connector_AMASS -AMASS_MR30PW-FB_1x03_P3.50mm_Horizontal -Connector XT30 Horizontal PCB Female, https://www.tme.eu/en/Document/5e47640ba39fa492dbd4c0f4c8ae7b93/MR30PW%20SPEC.pdf -RC Connector XT30 -0 -5 -3 -Connector_AMASS -AMASS_MR30PW-M_1x03_P3.50mm_Horizontal -Connector XT30 Horizontal PCB Male, https://www.tme.eu/en/Document/5e47640ba39fa492dbd4c0f4c8ae7b93/MR30PW%20SPEC.pdf -RC Connector XT30 -0 -5 -3 -Connector_AMASS -AMASS_XT30PW-F_1x02_P2.50mm_Horizontal -Connector XT30 Horizontal PCB Female, https://www.tme.eu/en/Document/ce4077e36b79046da520ca73227e15de/XT30PW%20SPEC.pdf -RC Connector XT30 -0 -4 -2 -Connector_AMASS -AMASS_XT30PW-M_1x02_P2.50mm_Horizontal -Connector XT30 Horizontal PCB Male, https://www.tme.eu/en/Document/ce4077e36b79046da520ca73227e15de/XT30PW%20SPEC.pdf -RC Connector XT30 -0 -4 -2 -Connector_AMASS -AMASS_XT30U-F_1x02_P5.0mm_Vertical -Connector XT30 Vertical Cable Female, https://www.tme.eu/en/Document/3cbfa5cfa544d79584972dd5234a409e/XT30U%20SPEC.pdf -RC Connector XT30 -0 -2 -2 -Connector_AMASS -AMASS_XT30U-M_1x02_P5.0mm_Vertical -Connector XT30 Vertical Cable Male, https://www.tme.eu/en/Document/3cbfa5cfa544d79584972dd5234a409e/XT30U%20SPEC.pdf -RC Connector XT30 -0 -2 -2 -Connector_AMASS -AMASS_XT30UPB-F_1x02_P5.0mm_Vertical -Connector XT30 Vertical PCB Female, https://www.tme.eu/en/Document/4acc913878197f8c2e30d4b8cdc47230/XT30UPB%20SPEC.pdf -RC Connector XT30 -0 -2 -2 -Connector_AMASS -AMASS_XT30UPB-M_1x02_P5.0mm_Vertical -Connector XT30 Vertical PCB Male, https://www.tme.eu/en/Document/4acc913878197f8c2e30d4b8cdc47230/XT30UPB%20SPEC.pdf -RC Connector XT30 -0 -2 -2 -Connector_AMASS -AMASS_XT60-F_1x02_P7.20mm_Vertical -AMASS female XT60, through hole, vertical, https://www.tme.eu/Document/2d152ced3b7a446066e6c419d84bb460/XT60%20SPEC.pdf -XT60 female vertical -0 -2 -2 -Connector_AMASS -AMASS_XT60-M_1x02_P7.20mm_Vertical -AMASS female XT60, through hole, vertical, https://www.tme.eu/Document/2d152ced3b7a446066e6c419d84bb460/XT60%20SPEC.pdf -XT60 female vertical -0 -2 -2 -Connector_AMASS -AMASS_XT60IPW-M_1x03_P7.20mm_Horizontal -Connector XT60 Horizontal PCB Male, https://www.bto.pl/pdf/08988/XT60IPW-M3.pdf -RC Connector XT60 -0 -5 -3 -Connector_AMASS -AMASS_XT60PW-F_1x02_P7.20mm_Horizontal -Connector XT60 Horizontal PCB Female, https://www.tme.eu/en/Document/9b8d0c5eb7094295f3d3112c214d3ade/XT60PW%20SPEC.pdf -RC Connector XT60 -0 -4 -2 -Connector_AMASS -AMASS_XT60PW-M_1x02_P7.20mm_Horizontal -Connector XT60 Horizontal PCB Female, https://www.tme.eu/en/Document/9b8d0c5eb7094295f3d3112c214d3ade/XT60PW%20SPEC.pdf -RC Connector XT60 -0 -4 -2 -Connector_Amphenol -Amphenol_M8S-03PMMR-SF8001 -M8 Male connector for panel, 90° PCB mount (https://www.amphenolltw.com/2012download/2D%20PDF/03_M%20Series%20Sensor%20Connectors/M8S-XXPMMR-SF8001.pdf) -three-pin M8 -0 -5 -4 -Connector_Audio -Jack_3.5mm_CUI_SJ-3523-SMT_Horizontal -3.5 mm, Stereo, Right Angle, Surface Mount (SMT), Audio Jack Connector (https://www.cui.com/product/resource/sj-352x-smt-series.pdf) -3.5mm audio cui horizontal jack stereo -0 -3 -3 -Connector_Audio -Jack_3.5mm_CUI_SJ-3524-SMT_Horizontal -3.5 mm, Stereo, Right Angle, Surface Mount (SMT), Audio Jack Connector (https://www.cui.com/product/resource/sj-352x-smt-series.pdf) -3.5mm audio cui horizontal jack stereo -0 -4 -4 -Connector_Audio -Jack_3.5mm_CUI_SJ1-3523N_Horizontal -TRS 3.5mm, horizontal, through-hole, https://www.cuidevices.com/product/resource/pdf/sj1-352xn.pdf -TRS audio jack stereo horizontal -0 -3 -3 -Connector_Audio -Jack_3.5mm_CUI_SJ1-3524N_Horizontal -TRS 3.5mm, horizontal, through-hole, https://www.cuidevices.com/product/resource/pdf/sj1-352xn.pdf -TRS audio jack stereo horizontal -0 -4 -4 -Connector_Audio -Jack_3.5mm_CUI_SJ1-3525N_Horizontal -TRS 3.5mm, horizontal, through-hole, https://www.cuidevices.com/product/resource/pdf/sj1-352xn.pdf -TRS audio jack stereo horizontal -0 -5 -5 -Connector_Audio -Jack_3.5mm_CUI_SJ1-3533NG_Horizontal -TRS 3.5mm, horizontal, through-hole, https://www.cui.com/product/resource/sj1-353xng.pdf -TRS audio jack stereo horizontal -0 -3 -3 -Connector_Audio -Jack_3.5mm_CUI_SJ1-3533NG_Horizontal_CircularHoles -TRS 3.5mm, horizontal, through-hole, , circular holeshttps://www.cui.com/product/resource/sj1-353xng.pdf -TRS audio jack stereo horizontal circular -0 -3 -3 -Connector_Audio -Jack_3.5mm_CUI_SJ1-3535NG_Horizontal -TRS 3.5mm, horizontal, through-hole, with switch, https://www.cui.com/product/resource/sj1-353xng.pdf -TRS audio jack stereo horizontal -0 -5 -5 -Connector_Audio -Jack_3.5mm_CUI_SJ1-3535NG_Horizontal_CircularHoles -TRS 3.5mm, horizontal, through-hole, with switch, circular holes, https://www.cui.com/product/resource/sj1-353xng.pdf -TRS audio jack stereo horizontal circular -0 -5 -5 -Connector_Audio -Jack_3.5mm_CUI_SJ2-3593D-SMT_Horizontal -3.5 mm, Stereo, Right Angle, Surface Mount (SMT), Audio Jack Connector (https://www.cuidevices.com/product/resource/sj2-359x-smt.pdf) -3.5mm audio cui horizontal jack stereo -0 -5 -5 -Connector_Audio -Jack_3.5mm_KoreanHropartsElec_PJ-320D-4A_Horizontal -Korean Hroparts Elec PJ-320D-4A (https://datasheet.lcsc.com/lcsc/1810121716_Korean-Hroparts-Elec-PJ-320D-4A_C95562.pdf) -smt female jack horizontal -0 -4 -4 -Connector_Audio -Jack_3.5mm_Ledino_KB3SPRS_Horizontal -https://www.reichelt.de/index.html?ACTION=7&LA=3&OPEN=0&INDEX=0&FILENAME=C160%252FKB3SPRS.pdf -jack stereo TRS -0 -5 -5 -Connector_Audio -Jack_3.5mm_Lumberg_1503_02_Horizontal -TRS 3.5mm, horizontal, Surface Mount (SMT), https://downloads.lumberg.com/datenblaetter/en/1503_02.pdf -TRS audio jack horizontal -0 -4 -3 -Connector_Audio -Jack_3.5mm_Lumberg_1503_03_Horizontal -TRS 3.5mm, horizontal, Surface Mount (SMT), https://downloads.lumberg.com/datenblaetter/en/1503_03.pdf -TRS audio jack horizontal -0 -5 -4 -Connector_Audio -Jack_3.5mm_Lumberg_1503_07_Horizontal -TRS 3.5mm, horizontal, through-hole, height 5mm, width 6.5mm, https://downloads.lumberg.com/datenblaetter/en/1503_07.pdf -TRS audio jack horizontal -0 -4 -3 -Connector_Audio -Jack_3.5mm_PJ311_Horizontal -PJ311 6pin SMD 3.5mm stereo headphones jack. -headphones jack plug stereo 3.5mm PJ311 -0 -6 -6 -Connector_Audio -Jack_3.5mm_PJ320D_Horizontal -Headphones with microphone connector, 3.5mm, 4 pins (http://www.qingpu-electronics.com/en/products/WQP-PJ320D-72.html) -3.5mm jack mic microphone phones headphones 4pins audio plug -0 -4 -4 -Connector_Audio -Jack_3.5mm_PJ320E_Horizontal -Headphones with microphone connector, 3.5mm, 4 pins (http://www.qingpu-electronics.com/en/products/WQP-PJ320E-177.html) -3.5mm jack mic microphone phones headphones 4pins audio plug -0 -5 -4 -Connector_Audio -Jack_3.5mm_PJ31060-I_Horizontal -PJ31060-I 6pin SMD 3.5mm headphones jack (http://www.china-bsun.com/Product48/1577.html) -headphones jack plug stereo 3.5mm PJ31060-I PJ31060 -0 -6 -6 -Connector_Audio -Jack_3.5mm_QingPu_WQP-PJ398SM_Vertical_CircularHoles -TRS 3.5mm, vertical, Thonkiconn, PCB mount, (http://www.qingpu-electronics.com/en/products/WQP-PJ398SM-362.html) -WQP-PJ398SM WQP-PJ301M-12 TRS 3.5mm mono vertical jack thonkiconn qingpu -0 -3 -3 -Connector_Audio -Jack_3.5mm_Switronic_ST-005-G_horizontal -3.5mm horizontal headphones jack, http://akizukidenshi.com/download/ds/switronic/ST-005-G.pdf -Connector Audio Switronic ST-005-G -0 -4 -3 -Connector_Audio -Jack_3.5mm_Technik_TWP-3002_Horizontal -3.5mm Horizontal Waterproof Stereo Headphones Jack, https://www.technik.com.hk/images/pdf_product/WP3002-PA66-A.pdf -audio jack stereo horizontal waterproof -0 -7 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NJ2FD-V_Vertical -6.35mm (1/4 in) Vertical Jack, Non-switching mono jack (T/S), https://www.neutrik.com/en/product/nj2fd-v -neutrik jack vertical -0 -2 -2 -Connector_Audio -Jack_6.35mm_Neutrik_NJ3FD-V_Vertical -6.35mm (1/4 in) Vertical Jack, Non-switching stereo jack (T/R/S), https://www.neutrik.com/en/product/nj3fd-v -neutrik jack vertical -0 -3 -3 -Connector_Audio -Jack_6.35mm_Neutrik_NJ5FD-V_Vertical -6.35mm (1/4 in) Vertical Jack, 2 x switching (normalling) stereo jack (T/TN/R/RN/S), https://www.neutrik.com/en/product/nj5fd-v -neutrik jack vertical -0 -5 -5 -Connector_Audio -Jack_6.35mm_Neutrik_NJ6FD-V_Vertical -6.35mm (1/4 in) Vertical Jack, 3 x switching (normalling) stereo jack (T/TN/R/RN/S/SN), https://www.neutrik.com/en/product/nj6fd-v -neutrik jack vertical -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NJ6TB-V_Vertical -6.35mm (1/4 in) Vertical Jack, 3 x switching (normalling) stereo jack B-gauge type (T/TN/R/RN/S/SN), https://www.neutrik.com/en/product/nj6tb-v -neutrik jack vertical -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ4HCD2_Horizontal -M Series, 6.35mm (1/4in) mono jack, switched, with chrome ferrule and straight PCB pins, https://www.neutrik.com/en/product/nmj4hcd2 -neutrik jack m -0 -4 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ4HFD2_Horizontal -M Series, 6.35mm (1/4in) mono jack, switched, with full threaded nose and straight PCB pins, https://www.neutrik.com/en/product/nmj4hfd2 -neutrik jack m -0 -4 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ4HFD3_Horizontal -M Series, 6.35mm (1/4in) mono jack, switched, with a full threaded nose and offset PCB pins, https://www.neutrik.com/en/product/nmj4hfd3 -neutrik jack m -0 -4 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ4HHD2_Horizontal -M Series, 6.35mm (1/4in) mono jack, switched, with a half threaded nose and offset PCB pins, https://www.neutrik.com/en/product/nmj4hhd2 -neutrik jack m -0 -4 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ6HCD2_Horizontal -M Series, 6.35mm (1/4in) stereo jack, switched, with chrome ferrule and straight PCB pins, https://www.neutrik.com/en/product/nmj6hcd2 -neutrik jack m -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ6HCD3_Horizontal -M Series, 6.35mm (1/4in) stereo jack, switched, with chrome ferrule and offset PCB pins, https://www.neutrik.com/en/product/nmj6hcd3 -neutrik jack m -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ6HFD2-AU_Horizontal -M Series, 6.35mm (1/4in) stereo jack, switched, full threaded nose, straight PCB pins, gold plated contacts, https://www.neutrik.com/en/product/nmj6hfd2-au -neutrik jack m -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ6HFD2_Horizontal -M Series, 6.35mm (1/4in) stereo jack, switched, with full threaded nose and straight PCB pins, https://www.neutrik.com/en/product/nmj6hfd2 -neutrik jack m -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ6HFD3_Horizontal -M Series, 6.35mm (1/4in) stereo jack, switched, with full threaded nose and offset PCB pins, https://www.neutrik.com/en/product/nmj6hfd3 -neutrik jack m -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ6HFD4_Horizontal -M Series, 6.35mm (1/4in) stereo jack, switched, with full threaded nose and fishtail PCB pins, https://www.neutrik.com/en/product/nmj6hfd4 -neutrik jack m -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NMJ6HHD2_Horizontal -M Series, 6.35mm (1/4in) stereo jack, switched, with half threaded nose and offset PCB pins, https://www.neutrik.com/en/product/nmj6hhd2 -neutrik jack m -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ3HF-1_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, unswitched, fully threaded nose, sleeve contact/front panel connection, https://www.neutrik.com/en/product/nrj3hf-1 -neutrik jack slim -0 -4 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ4HF-1_Horizontal -Slim Jacks, 6.35mm (1/4in) mono jack, switched, fully threaded nose, sleeve contact/front panel connection, https://www.neutrik.com/en/product/nrj4hf-1 -neutrik jack slim -0 -4 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ4HF_Horizontal -Slim Jacks, 6.35mm (1/4in) mono jack, switched, fully threaded nose, https://www.neutrik.com/en/product/nrj4hf -neutrik jack slim -0 -4 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ4HH-1_Horizontal -Slim Jacks, 6.35mm (1/4in) mono jack, switched, half threaded nose, sleeve contact/front panel connection, https://www.neutrik.com/en/product/nrj4hh-1 -neutrik jack slim -0 -4 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ4HH_Horizontal -Slim Jacks, 6.35mm (1/4in) mono jack, switched, half threaded nose, https://www.neutrik.com/en/product/nrj4hh -neutrik jack slim -0 -4 -4 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HF-1-AU_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, switched, gold plated contacts, fully threaded nose, sleeve contact/front panel connection, https://www.neutrik.com/en/product/nrj6hf-1-au -neutrik jack slim -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HF-1_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, switched, fully threaded nose, sleeve contact/front panel connection, https://www.neutrik.com/en/product/nrj6hf-1 -neutrik jack slim -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HF-AU_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, switched, gold plated contacts, fully threaded nose, https://www.neutrik.com/en/product/nrj6hf-au -neutrik jack slim -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HF_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, switched, fully threaded nose, https://www.neutrik.com/en/product/nrj6hf -neutrik jack slim -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HH-1_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, switched, half threaded nose, sleeve contact/front panel connection, https://www.neutrik.com/en/product/nrj6hh-1 -neutrik jack slim -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HH-AU_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, switched, gold plated contacts, half threaded nose, https://www.neutrik.com/en/product/nrj6hh-au -neutrik jack slim -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HH_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, switched, half threaded nose, https://www.neutrik.com/en/product/nrj6hh -neutrik jack slim -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HM-1-AU_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, metal nose, gold plated contacts, efficient chassis ground connection, T+R+S normalling contact, https://www.neutrik.com/en/product/nrj6hm-1-au -neutrik jack slim -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HM-1-PRE_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, metal nose, efficient chassis ground connection, T+R normalling contact, https://www.neutrik.com/en/product/nrj6hm-1-pre -neutrik jack slim -0 -6 -5 -Connector_Audio -Jack_6.35mm_Neutrik_NRJ6HM-1_Horizontal -Slim Jacks, 6.35mm (1/4in) stereo jack, metal nose with efficient chassis ground connection, T+R+S normalling contact, https://www.neutrik.com/en/product/nrj6hm-1 -neutrik jack slim -0 -6 -6 -Connector_Audio -Jack_6.35mm_Neutrik_NSJ8HC_Horizontal -Stacking Jacks, Mono dual jack, full nose, https://www.neutrik.com/en/product/nsj8hc -neutrik jack stacking -0 -9 -9 -Connector_Audio -Jack_6.35mm_Neutrik_NSJ8HL_Horizontal -Stacking Jacks, Mono dual jack, quick fix nose, https://www.neutrik.com/en/product/nsj8hl -neutrik jack stacking -0 -9 -9 -Connector_Audio -Jack_6.35mm_Neutrik_NSJ12HC_Horizontal -Stacking Jacks, Stereo dual jack, full nose, https://www.neutrik.com/en/product/nsj12hc -neutrik jack stacking -0 -13 -13 -Connector_Audio -Jack_6.35mm_Neutrik_NSJ12HF-1_Horizontal -Stacking Jacks, Stereo dual jack, full threaded nose, https://www.neutrik.com/en/product/nsj12hf-1 -neutrik jack stacking -0 -13 -13 -Connector_Audio -Jack_6.35mm_Neutrik_NSJ12HH-1_Horizontal -Stacking Jacks, Stereo dual jack, half threaded nose, https://www.neutrik.com/en/product/nsj12hh-1 -neutrik jack stacking -0 -13 -13 -Connector_Audio -Jack_6.35mm_Neutrik_NSJ12HL_Horizontal -Stacking Jacks, Stereo dual jack, quick fix nose, https://www.neutrik.com/en/product/nsj12hl -neutrik jack stacking -0 -13 -13 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ5FI-H-0_Horizontal -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) mono jack without switching contact, horizontal PCB mount, https://www.neutrik.com/en/product/ncj5fi-h-0 -neutrik jack combo i -0 -6 -6 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ5FI-H_Horizontal -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) mono jack without switching contact, horizontal PCB mount, retention spring, https://www.neutrik.com/en/product/ncj5fi-h -neutrik jack combo i -0 -6 -6 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ5FI-V-0_Vertical -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) mono jack without switching contact, vertical PCB mount, https://www.neutrik.com/en/product/ncj5fi-v-0 -neutrik jack combo i -0 -6 -6 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ5FI-V_Vertical -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) mono jack without switching contact, vertical PCB mount, retention spring, https://www.neutrik.com/en/product/ncj5fi-v -neutrik jack combo i -0 -6 -6 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FA-H-0_Horizontal -Combo A series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack, horizontal PCB mount, retention spring, https://www.neutrik.com/en/product/ncj6fa-h-0 -neutrik jack combo a -0 -8 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FA-H-DA_Horizontal -Combo A series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack, horizontal PCB mount, asymmetric push, https://www.neutrik.com/en/product/ncj6fa-h-da -neutrik jack combo a -0 -8 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FA-H_Horizontal -Combo A series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack, horizontal PCB mount, https://www.neutrik.com/en/product/ncj6fa-h -neutrik jack combo a -0 -8 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FA-V-0_Vertical -Combo A series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack, vertical PCB mount, retention spring, https://www.neutrik.com/en/product/ncj6fa-v-0 -neutrik jack combo a -0 -8 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FA-V-DA_Vertical -Combo A series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack, vertical PCB mount, asymmetric push, https://www.neutrik.com/en/product/ncj6fa-v-da -neutrik jack combo a -0 -8 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FA-V_Vertical -Combo A series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack, vertical PCB mount, https://www.neutrik.com/en/product/ncj6fa-v -neutrik jack combo a -0 -8 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FI-H-0_Horizontal -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack without switching contact, horizontal PCB mount, https://www.neutrik.com/en/product/ncj6fi-h-0 -neutrik jack combo i -0 -7 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FI-H_Horizontal -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack without switching contact, horizontal PCB mount, retention spring, https://www.neutrik.com/en/product/ncj6fi-h -neutrik jack combo i -0 -7 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FI-V-0_Vertical -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack without switching contact, vertical PCB mount, https://www.neutrik.com/en/product/ncj6fi-v-0 -neutrik jack combo i -0 -7 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ6FI-V_Vertical -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) stereo jack without switching contact, vertical PCB mount, retention spring, https://www.neutrik.com/en/product/ncj6fi-v -neutrik jack combo i -0 -7 -7 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ9FI-H-0_Horizontal -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) switching stereo jack, horizontal PCB mount, https://www.neutrik.com/en/product/ncj9fi-h-0 -neutrik jack combo i -0 -10 -10 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ9FI-H_Horizontal -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) switching stereo jack, horizontal PCB mount, retention spring, https://www.neutrik.com/en/product/ncj9fi-h -neutrik jack combo i -0 -10 -10 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ9FI-V-0_Vertical -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) switching stereo jack, vertical PCB mount, https://www.neutrik.com/en/product/ncj9fi-v-0 -neutrik jack combo i -0 -10 -10 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ9FI-V_Vertical -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) switching stereo jack, vertical PCB mount, retention spring, https://www.neutrik.com/en/product/ncj9fi-v -neutrik jack combo i -0 -10 -10 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ10FI-H-0_Horizontal -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) switching stereo jack and switching ground contact, horizontal PCB mount, https://www.neutrik.com/en/product/ncj10fi-h-0 -neutrik jack combo i -0 -11 -11 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ10FI-H_Horizontal -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) switching stereo jack and switching ground contact, horizontal PCB mount, retention spring, https://www.neutrik.com/en/product/ncj10fi-h -neutrik jack combo i -0 -11 -11 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ10FI-V-0_Vertical -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) switching stereo jack and switching ground contact, vertical PCB mount, https://www.neutrik.com/en/product/ncj10fi-v-0 -neutrik jack combo i -0 -11 -11 -Connector_Audio -Jack_XLR-6.35mm_Neutrik_NCJ10FI-V_Vertical -Combo I series, 3 pole XLR female receptacle with 6.35mm (1/4in) switching stereo jack and switching ground contact, vertical PCB mount, retention spring, https://www.neutrik.com/en/product/ncj10fi-v -neutrik jack combo i -0 -11 -11 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAH-0_Horizontal -AA Series, 3 pole female XLR receptacle, grounding: without ground/shell contact, horizontal PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3faah-0 -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAH1-0_Horizontal -AA Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3faah1-0 -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAH1-DA_Horizontal -AA Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3faah1-da -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAH1_Horizontal -AA Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc3faah1 -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAH2-0_Horizontal -AA Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3faah2-0 -neutrik xlr aa -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAH2_Horizontal -AA Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc3faah2 -neutrik xlr aa -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAH_Horizontal -AA Series, 3 pole female XLR receptacle, grounding: without ground/shell contact, horizontal PCB mount, https://www.neutrik.com/en/product/nc3faah -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAV-0_Vertical -AA Series, 3 pole female receptacle, grounding: without ground/shell contact, vertical PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3faav-0 -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAV1-0_Vertical -AA Series, 3 pole female receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3faav1-0 -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAV1-DA_Vertical -AA Series, 3 pole female receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3faav1-da -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAV1_Vertical -AA Series, 3 pole female receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3faav1 -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAV2-0_Vertical -AA Series, 3 pole female receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3faav2-0 -neutrik xlr aa -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAV2_Vertical -AA Series, 3 pole female receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3faav2 -neutrik xlr aa -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAAV_Vertical -AA Series, 3 pole female receptacle, grounding: without ground/shell contact, vertical PCB mount, https://www.neutrik.com/en/product/nc3faav -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAH-0_Horizontal -A Series, 3 pole female XLR receptacle, grounding: without ground/shell contact, horizontal PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fah-0 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAH1-0_Horizontal -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fah1-0 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAH1-DA_Horizontal -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3fah1-da -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAH1_Horizontal -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc3fah1 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAH2-0_Horizontal -A Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fah2-0 -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAH2-DA_Horizontal -A Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3fah2-da -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAH2_Horizontal -A Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc3fah2 -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAHL-0_Horizontal -A Series, 3 pole female XLR receptacle, grounding: without ground/shell contact, lateral left PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fahl-0 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAHL1-0_Horizontal -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, lateral left PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fahl1-0 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAHL1_Horizontal -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, lateral left PCB mount, https://www.neutrik.com/en/product/nc3fahl1 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAHR-0_Horizontal -A Series, 3 pole female XLR receptacle, grounding: without ground / shell contact, lateral right PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fahr-0 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAHR1-0_Horizontal -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, lateral right PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fahr1-0 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAHR1_Horizontal -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, lateral right PCB mount, https://www.neutrik.com/en/product/nc3fahr1 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAHR2-0_Horizontal -A Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, lateral right PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fahr2-0 -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAHR2_Horizontal -A Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, lateral right PCB mount, https://www.neutrik.com/en/product/nc3fahr2 -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAH_Horizontal -A Series, 3 pole female XLR receptacle, grounding: without ground/shell contact, horizontal PCB mount, https://www.neutrik.com/en/product/nc3fah -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAV-0_Vertical -A Series, 3 pole female XLR receptacle, grounding: without ground / shell contact, vertical PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fav-0 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAV1-0_Vertical -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fav1-0 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAV1-DA_Vertical -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3fav1-da -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAV1_Vertical -A Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3fav1 -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FAV2-0_Vertical -A Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc3fav2-0 -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAV2-DA_Vertical -A Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3fav2-da -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAV2_Vertical -A Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3fav2 -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FAV_Vertical -A Series, 3 pole female XLR receptacle, grounding: without ground / shell contact, vertical PCB mount, https://www.neutrik.com/en/product/nc3fav -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBH1-B_Horizontal -B Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc3fbh1-b -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBH1-DA_Horizontal -B Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3fbh1-da -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBH1-E_Horizontal -B Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, additional ground contacts, https://www.neutrik.com/en/product/nc3fbh1-e -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBH1_Horizontal -B Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc3fbh1 -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBH2-B_Horizontal -B Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc3fbh2-b -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FBH2-DA_Horizontal -B Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3fbh2-da -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FBH2-E_Horizontal -B Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, additional ground contacts, https://www.neutrik.com/en/product/nc3fbh2-e -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FBH2_Horizontal -B Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc3fbh2 -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FBHL1_Horizontal -B Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, lateral left PCB mount, https://www.neutrik.com/en/product/nc3fbhl1 -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBV1-0_Vertical -B Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, retention spring, no latch, https://www.neutrik.com/en/product/nc3fbv1-0 -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBV1-B_Vertical -B Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc3fbv1-b -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBV1-DA_Vertical -B Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3fbv1-da -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBV1_Vertical -B Series, 3 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3fbv1 -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3FBV2-B_Vertical -B Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc3fbv2-b -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FBV2-DA_Vertical -B Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc3fbv2-da -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3FBV2-SW_Vertical -B Series, 3 pole female XLR receptacle, switching contacts, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3fbv2-sw -neutrik xlr b -0 -7 -7 -Connector_Audio -Jack_XLR_Neutrik_NC3FBV2_Vertical -B Series, 3 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3fbv2 -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAAH-0_Horizontal -AA Series, 3 pole male XLR receptacle, grounding: ground contact connected to shell ground, but not to front panel and Pin 1, horizontal PCB mount, https://www.neutrik.com/en/product/nc3maah-0 -neutrik xlr aa -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAAH-1_Horizontal -AA Series, 3 pole male XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc3maah-1 -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3MAAH_Horizontal -AA Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc3maah -neutrik xlr aa -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAAV-0_Vertical -AA Series, 3 pole male XLR receptacle, grounding: ground contact connected to shell ground, but not to front panel and Pin 1, vertical PCB mount, https://www.neutrik.com/en/product/nc3maav-0 -neutrik xlr aa -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAAV-1_Vertical -AA Series, 3 pole male XLR receptacle, grounding: mating connector shell to pin1 and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3maav-1 -neutrik xlr aa -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3MAAV_Vertical -AA Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3maav -neutrik xlr aa -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAFH-PH_Horizontal -A Series, Chassis connector H female (A series layout), mates with Phoenix MSTB2,5/3-ST, horizontal PCB mount, https://www.neutrik.com/en/product/nc3mafh-ph -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3MAH-0_Horizontal -A Series, 3 pole male XLR receptacle, grounding: ground contact connected to shell ground, but not to front panel and Pin 1, horizontal PCB mount, https://www.neutrik.com/en/product/nc3mah-0 -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAHL_Horizontal -A Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, lateral left PCB mount, https://www.neutrik.com/en/product/nc3mahl -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAHR_Horizontal -A Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, lateral right PCB mount, https://www.neutrik.com/en/product/nc3mahr -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAH_Horizontal -A Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc3mah -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAMH-PH_Horizontal -A Series, Chassis connector Phoenix H male (A series layout), mates with Phoenix MSTB 2,5/3-ST, horizontal PCB mount, https://www.neutrik.com/en/product/nc3mamh-ph -neutrik xlr a -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3MAV-0_Vertical -A Series, 3 pole male XLR receptacle, grounding: ground contact connected to shell ground, but not to front panel and Pin 1, vertical PCB mount, https://www.neutrik.com/en/product/nc3mav-0 -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MAV_Vertical -A Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3mav -neutrik xlr a -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBH-0_Horizontal -B Series, 3 pole male XLR receptacle, grounding: ground contact connected to shell ground, but not to front panel and Pin 1, steel retention lug, horizontal PCB mount, https://www.neutrik.com/en/product/nc3mbh-0 -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBH-1_Horizontal -B Series, 3 pole male XLR receptacle, grounding: mating connector shell to pin1 and front panel, steel retention lug, horizontal PCB mount, https://www.neutrik.com/en/product/nc3mbh-1 -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3MBH-B_Horizontal -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, horizontal PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc3mbh-b -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBH-E_Horizontal -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, horizontal PCB mount, additional ground contacts, https://www.neutrik.com/en/product/nc3mbh-e -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBHL-B_Horizontal -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, lateral left PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc3mbhl-b -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBHL_Horizontal -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, lateral left PCB mount, https://www.neutrik.com/en/product/nc3mbhl -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBHR-B_Horizontal -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, lateral right PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc3mbhr-b -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBHR_Horizontal -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, lateral right PCB mount, https://www.neutrik.com/en/product/nc3mbhr -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBH_Horizontal -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, horizontal PCB mount, https://www.neutrik.com/en/product/nc3mbh -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBV-0_Vertical -B Series, 3 pole male XLR receptacle, grounding: ground contact connected to shell ground, but not to front panel and Pin 1, steel retention lug, vertical PCB mount, https://www.neutrik.com/en/product/nc3mbv-0 -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBV-1_Vertical -B Series, 3 pole male XLR receptacle, grounding: mating connector shell to pin1 and front panel, steel retention lug, vertical PCB mount, https://www.neutrik.com/en/product/nc3mbv-1 -neutrik xlr b -0 -3 -3 -Connector_Audio -Jack_XLR_Neutrik_NC3MBV-B_Vertical -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, vertical PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc3mbv-b -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBV-E_Vertical -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, vertical PCB mount, additional ground contacts, https://www.neutrik.com/en/product/nc3mbv-e -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC3MBV-SW_Vertical -B Series, 3 pole male XLR receptacle, switching contacts, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc3mbv-sw -neutrik xlr b -0 -7 -7 -Connector_Audio -Jack_XLR_Neutrik_NC3MBV_Vertical -B Series, 3 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, steel retention lug, vertical PCB mount, https://www.neutrik.com/en/product/nc3mbv -neutrik xlr b -0 -4 -4 -Connector_Audio -Jack_XLR_Neutrik_NC4FAH-0_Horizontal -A Series, 4 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc4fah-0 -neutrik xlr a -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC4FAH_Horizontal -A Series, 4 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc4fah -neutrik xlr a -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC4FAV-0_Vertical -A Series, 4 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc4fav-0 -neutrik xlr a -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC4FAV_Vertical -A Series, 4 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc4fav -neutrik xlr a -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC4FBH_Horizontal -B Series, 4 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc4fbh -neutrik xlr b -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC4FBV_Vertical -B Series, 4 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc4fbv -neutrik xlr b -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC4MAH_Horizontal -A Series, 4 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc4mah -neutrik xlr a -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC4MAV_Vertical -A Series, 4 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc4mav -neutrik xlr a -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC4MBH_Horizontal -B Series, 4 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc4mbh -neutrik xlr b -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC4MBV_Vertical -B Series, 4 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc4mbv -neutrik xlr b -0 -5 -5 -Connector_Audio -Jack_XLR_Neutrik_NC5FAH-0_Horizontal -A Series, 5 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, retention spring instead of latch, https://www.neutrik.com/en/product/nc5fah-0 -neutrik xlr a -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5FAH-DA_Horizontal -A Series, 5 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc5fah-da -neutrik xlr a -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5FAH_Horizontal -A Series, 5 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc5fah -neutrik xlr a -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5FAV-DA_Vertical -A Series, 5 pole female XLR receptacle, grounding: mating connector shell to pin1 and front panel, horizontal PCB mount, asymmetric push, https://www.neutrik.com/en/product/nc5fav-da -neutrik xlr a -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5FAV-SW_Vertical -A Series, 5 pole female XLR receptacle, switching contacts, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, color coding possible, https://www.neutrik.com/en/product/nc5fav-sw -neutrik xlr a -0 -9 -9 -Connector_Audio -Jack_XLR_Neutrik_NC5FAV_Vertical -A Series, 5 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc5fav -neutrik xlr a -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5FBH-B_Horizontal -B Series, 5 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc5fbh-b -neutrik xlr b -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5FBH_Horizontal -B Series, 5 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc5fbh -neutrik xlr b -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5FBV-B_Vertical -B Series, 5 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc5fbv-b -neutrik xlr b -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5FBV-SW_Vertical -B Series, 5 pole female XLR receptacle, switching contacts, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc5fbv-sw -neutrik xlr b -0 -9 -9 -Connector_Audio -Jack_XLR_Neutrik_NC5FBV_Vertical -B Series, 5 pole female XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc5fbv -neutrik xlr b -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5MAH_Horizontal -A Series, 5 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc5mah -neutrik xlr a -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5MAV-SW_Vertical -A Series, 5 pole male XLR receptacle, switching contacts, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc5mav-sw -neutrik xlr a -0 -9 -9 -Connector_Audio -Jack_XLR_Neutrik_NC5MAV_Vertical -A Series, 5 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc5mav -neutrik xlr a -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5MBH-B_Horizontal -B Series, 5 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc5mbh-b -neutrik xlr b -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5MBH_Horizontal -B Series, 5 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, horizontal PCB mount, https://www.neutrik.com/en/product/nc5mbh -neutrik xlr b -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5MBV-B_Vertical -B Series, 5 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, black chrome shell, https://www.neutrik.com/en/product/nc5mbv-b -neutrik xlr b -0 -6 -6 -Connector_Audio -Jack_XLR_Neutrik_NC5MBV-SW_Vertical -B Series, 5 pole male XLR receptacle, switching contacts, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc5mbv-sw -neutrik xlr b -0 -9 -9 -Connector_Audio -Jack_XLR_Neutrik_NC5MBV_Vertical -B Series, 5 pole male XLR receptacle, grounding: separate ground contact to mating connector shell and front panel, vertical PCB mount, https://www.neutrik.com/en/product/nc5mbv -neutrik xlr b -0 -6 -6 -Connector_Audio -Jack_speakON-6.35mm_Neutrik_NLJ2MDXX-H_Horizontal -speakON Combo, 2 pole combination of speakON socket and 6.35mm (1/4in) jack receptacle, horizontal pcb mount, replaces NLJ2MD-H, https://www.neutrik.com/en/product/nlj2mdxx-h -neutrik speakon combo -0 -2 -2 -Connector_Audio -Jack_speakON-6.35mm_Neutrik_NLJ2MDXX-V_Vertical -speakON Combo, 2 pole combination of speakON socket and 6.35mm (1/4in) jack receptacle, vertical pcb mount, replaces NLJ2MD-V, https://www.neutrik.com/en/product/nlj2mdxx-v -neutrik speakon combo -0 -2 -2 -Connector_Audio -Jack_speakON_Neutrik_NL2MDXX-H-3_Horizontal -speakON Chassis Connectors, 2 pole chassis connector, gray D-size flange, countersunk thru holes, horizontal PCB, replaces NL2MD-H, https://www.neutrik.com/en/product/nl2mdxx-h-3 -neutrik speakon -0 -2 -2 -Connector_Audio -Jack_speakON_Neutrik_NL2MDXX-V_Vertical -speakON Chassis Connectors, 2 pole chassis connector, black D-size flange, countersunk thru holes, vertical PCB mount, replaces NL2MD-V, https://www.neutrik.com/en/product/nl2mdxx-v -neutrik speakon -0 -2 -2 -Connector_Audio -Jack_speakON_Neutrik_NL4MDXX-H-2_Horizontal -speakON Chassis Connectors, 4 pole chassis connector, black D-size flange, mirrored self tapping screw holes (A-screw), horizontal PCB mount, replaces NL4MD-H-2, https://www.neutrik.com/en/product/nl4mdxx-h-2 -neutrik speakon -0 -4 -4 -Connector_Audio -Jack_speakON_Neutrik_NL4MDXX-H-3_Horizontal -speakON Chassis Connectors, 4 pole chassis connector, grey D-size flange, self tapping screw holes (A-screw), horizontal PCB mount, replaces NL4MD-H, NL4MD-H-1, NL4MD-H-3, https://www.neutrik.com/en/product/nl4mdxx-h-3 -neutrik speakon -0 -4 -4 -Connector_Audio -Jack_speakON_Neutrik_NL4MDXX-V-2_Vertical -speakON Chassis Connectors, 4 pole chassis connector, black D-size flange, mirrored self tapping screw holes (A-screw), vertical PCB mount, replaces NL4MD-V-2, https://www.neutrik.com/en/product/nl4mdxx-v-2 -neutrik speakon -0 -4 -4 -Connector_Audio -Jack_speakON_Neutrik_NL4MDXX-V-3_Vertical -speakON Chassis Connectors, 4 pole chassis connector, grey D-size flange, self tapping screw holes (A-screw), vertical PCB mount, replaces NL4MD-V-1, https://www.neutrik.com/en/product/nl4mdxx-v-3 -neutrik speakon -0 -4 -4 -Connector_Audio -Jack_speakON_Neutrik_NL4MDXX-V_Vertical -speakON Chassis Connectors, 4 pole chassis connector, black D-size flange, countersunk thru holes, vertical PCB mount, replaces NL4MD-V, NL4MD-V-R, NL4MD-V-S, https://www.neutrik.com/en/product/nl4mdxx-v -neutrik speakon -0 -4 -4 -Connector_Audio -Jack_speakON_Neutrik_NL8MDXX-V-3_Vertical -speakON Chassis Connectors, 8 pole chassis connector, nickel metal square G-size flange, self tapping screw holes (A-screw), vertical PCB mount, replaces NL8MD-V-1, https://www.neutrik.com/en/product/nl8mdxx-v-3 -neutrik speakon -0 -8 -8 -Connector_Audio -Jack_speakON_Neutrik_NL8MDXX-V_Vertical -speakON Chassis Connectors, 8 pole chassis connector, nickel metal square G-size flange, countersunk thru holes, vertical PCB mount, replaces NL8MD-V, https://www.neutrik.com/en/product/nl8mdxx-v -neutrik speakon -0 -8 -8 -Connector_Audio -Jack_speakON_Neutrik_NLT4MD-V_Vertical -STX Series, 4 pole male chassis connector, metal housing, vertical PCB mount, self tapping screw holes (A-screw), https://www.neutrik.com/en/product/nlt4md-v -neutrik speakon stx -0 -4 -4 -Connector_Audio -MiniXLR-5_Switchcraft_TRAPC_Horizontal -http://www.switchcraft.com/ProductSummary.aspx?Parent=620 http://www.switchcraft.com/Drawings/TRAPC_X-TRASM_X_SERIES_CD.PDF -THT Mini XLR 5Pin right angle -0 -5 -5 -Connector_Audio -Plug_3.5mm_CUI_SP-3541 -4 contacts, 3.5mm audio plug, https://www.cuidevices.com/product/resource/pdf/sp-3541.pdf -3.5mm plug TRRS -0 -4 -4 -Connector_BarrelJack -BarrelJack_CLIFF_FC681465S_SMT_Horizontal -Surface-mount DC Barrel Jack, https://www.cliffuk.co.uk/products/dcconnectors/FC681465S.pdf -Power Jack SMT -0 -4 -3 -Connector_BarrelJack -BarrelJack_CUI_PJ-036AH-SMT_Horizontal -Surface-mount DC Barrel Jack, http://www.cui.com/product/resource/pj-036ah-smt.pdf -Power Jack SMT -0 -3 -3 -Connector_BarrelJack -BarrelJack_CUI_PJ-063AH_Horizontal -Barrel Jack, 2.0mm ID, 5.5mm OD, 24V, 8A, no switch, https://www.cui.com/product/resource/pj-063ah.pdf -barrel jack cui dc power -0 -4 -3 -Connector_BarrelJack -BarrelJack_CUI_PJ-063AH_Horizontal_CircularHoles -Barrel Jack, 2.0mm ID, 5.5mm OD, 24V, 8A, no switch, https://www.cui.com/product/resource/pj-063ah.pdf -barrel jack cui dc power -0 -4 -3 -Connector_BarrelJack -BarrelJack_CUI_PJ-079BH_Horizontal -Barrel Jack, 2.5mm ID, 5.5mm OD, 24V, 5A, switch, https://www.cui.com/product/resource/pj-079bh.pdf -barrel jack cui dc power -0 -5 -4 -Connector_BarrelJack -BarrelJack_CUI_PJ-102AH_Horizontal -Thin-pin DC Barrel Jack, https://cdn-shop.adafruit.com/datasheets/21mmdcjackDatasheet.pdf -Power Jack -0 -3 -3 -Connector_BarrelJack -BarrelJack_GCT_DCJ200-10-A_Horizontal -Barrel jack connector (5.5 mm outer diameter, 2.05 inner diameter ), https://gct.co/files/drawings/dcj200-10.pdf -connector barrel jack -0 -3 -3 -Connector_BarrelJack -BarrelJack_Horizontal -DC Barrel Jack -Power Jack -0 -3 -3 -Connector_BarrelJack -BarrelJack_Kycon_KLDX-0202-xC_Horizontal -DC Barrel Jack 2mm or 2.5mm center pin, https://www.kycon.com/Pub_Eng_Draw/KLDX-0202-AC%20&%20BC.pdf -power jack 2mm 2.5mm KLDX-0202-BC KLDX-0202-AC -0 -3 -3 -Connector_BarrelJack -BarrelJack_SwitchcraftConxall_RAPC10U_Horizontal -DC Power Jack, 13A, 24V, Panel Mount,Through-hole, https://www.switchcraft.com/Drawings/RAPC10U_CD.pdf -barreljack switchcraftconxall dc power -0 -2 -2 -Connector_BarrelJack -BarrelJack_Wuerth_694106106102_2.0x5.5mm -Wuerth Elektronik 694106106102 Barrel Jack, 2.0x5.5mm, https://www.we-online.com/components/products/datasheet/694106106102.pdf -barrel jack Wuerth Elektronik 694106106102 -0 -4 -3 -Connector_BarrelJack -BarrelJack_Wuerth_694108106102_2.5x5.5mm -Wuerth Elektronik 694108106102 Barrel Jack, 2.5x5.5mm, https://www.we-online.com/components/products/datasheet/694106106102.pdf -barrel jack Wuerth Elektronik 694108106102 -0 -4 -3 -Connector_BarrelJack -BarrelJack_Wuerth_6941xx301002 -Wuerth electronics barrel jack connector (5.5mm outher diameter, inner diameter 2.05mm or 2.55mm depending on exact order number), See: http://katalog.we-online.de/em/datasheet/6941xx301002.pdf -connector barrel jack -0 -3 -3 -Connector_Card -CF-Card_3M_N7E50-A516xx-30 -Compact Flash Card connector, polarization inverse (https://multimedia.3m.com/mws/media/22424O/3mtm-cf-card-header-type-i-low-profile-surface-mount-ts0747.pdf) -connector cf -0 -54 -50 -Connector_Card -CF-Card_3M_N7E50-E516xx-30 -Compact Flash Card connector, normal polarization (https://multimedia.3m.com/mws/media/22424O/3mtm-cf-card-header-type-i-low-profile-surface-mount-ts0747.pdf) -connector cf -0 -54 -50 -Connector_Card -SD_Hirose_DM1AA_SF_PEJ82 -SD, SMD, top-mount, push-push (https://www.hirose.com/product/document?clcode=CL0609-0004-8-82&productname=DM1AA-SF-PEJ(82)&series=DM1&documenttype=2DDrawing&lang=en&documentid=0000915301) -SD card connector -0 -16 -13 -Connector_Card -SD_Kyocera_145638009211859+ -SD Card Connector, Normal Type, Outer Tail, Without Ejector (https://global.kyocera.com/prdct/electro/product/pdf/5638.pdf) -sd card smt -0 -13 -13 -Connector_Card -SD_Kyocera_145638009511859+ -SD Card Connector, Normal Type, Outer Tail, Spring Eject Type (https://global.kyocera.com/prdct/electro/product/pdf/5638.pdf) -sd card smt -0 -13 -13 -Connector_Card -SD_Kyocera_145638109211859+ -SD Card Connector, Reverse Type, Outer Tail, Without Ejector (https://global.kyocera.com/prdct/electro/product/pdf/5638.pdf) -sd card smt -0 -13 -13 -Connector_Card -SD_Kyocera_145638109511859+ -SD Card Connector, Reverse Type, Outer Tail, Spring Eject Type (https://global.kyocera.com/prdct/electro/product/pdf/5638.pdf) -sd card smt -0 -13 -13 -Connector_Card -SD_TE_2041021 -SD card connector, top mount, SMT (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F2041021%7FB%7Fpdf%7FEnglish%7FENG_CD_2041021_B_C_2041021_B.pdf%7F2041021-4) -sd card -0 -15 -12 -Connector_Card -microSD_HC_Hirose_DM3AT-SF-PEJM5 -Micro SD, SMD, right-angle, push-pull (https://www.hirose.com/product/en/download_file/key_name/DM3AT-SF-PEJM5/category/Drawing%20(2D)/doc_file_id/44099/?file_category_id=6&item_id=06090031000&is_series=) -Micro SD -0 -14 -11 -Connector_Card -microSD_HC_Hirose_DM3BT-DSF-PEJS -Micro SD, SMD, reverse on-board, right-angle, push-pull (https://www.hirose.com/product/en/download_file/key_name/DM3BT-DSF-PEJS/category/Drawing%20(2D)/doc_file_id/44097/?file_category_id=6&item_id=06090029900&is_series=) -Micro SD -0 -16 -11 -Connector_Card -microSD_HC_Hirose_DM3D-SF -Micro SD, SMD, right-angle, push-pull (https://media.digikey.com/PDF/Data%20Sheets/Hirose%20PDFs/DM3D-SF.pdf) -Micro SD -0 -14 -11 -Connector_Card -microSD_HC_Molex_47219-2001 -1.10mm Pitch microSD Card Connector, Hinge Type, https://www.molex.com/pdm_docs/sd/472192001_sd.pdf -Micro SD -0 -12 -9 -Connector_Card -microSD_HC_Molex_104031-0811 -1.10mm Pitch microSD Memory Card Connector, Surface Mount, Push-Pull Type, 1.42mm Height, with Detect Switch (https://www.molex.com/pdm_docs/sd/1040310811_sd.pdf) -microSD SD molex -0 -14 -11 -Connector_Card -microSD_HC_Wuerth_693072010801 -http://katalog.we-online.de/em/datasheet/693072010801.pdf -Micro SD Wuerth Wurth Würth -0 -12 -9 -Connector_Card -microSIM_JAE_SF53S006VCBR2000 -micro SIM card connector, 6pos., 1.45mm height, Push-pull type, https://www.jae.com/direct/topics/topics_file_download/topics_id=68883&ext_no=06 -microSIM GSM Card -0 -14 -7 -Connector_Coaxial -BNC_Amphenol_031-5539_Vertical -BNC coaxial connector vertical, Amphenol 031-71059, https://www.amphenolrf.com/library/download/link/link_id/594427/parent/031-5539/ -BNC Amphenol Vertical -0 -4 -2 -Connector_Coaxial -BNC_Amphenol_031-6575_Horizontal -dual independently isolated BNC plug (https://www.amphenolrf.com/downloads/dl/file/id/2980/product/644/031_6575_customer_drawing.pdf) -Dual BNC Amphenol Horizontal -0 -6 -4 -Connector_Coaxial -BNC_Amphenol_B6252HB-NPP3G-50_Horizontal -http://www.farnell.com/datasheets/612848.pdf -BNC Amphenol Horizontal -0 -4 -2 -Connector_Coaxial -BNC_PanelMountable_Vertical -Panel-mountable BNC connector mounted through PCB, vertical -BNC connector -0 -2 -2 -Connector_Coaxial -BNC_TEConnectivity_1478035_Horizontal -BNC RF Interface, PCB mount 4 pin, Right Angle, Bayonet, 50Ohm, 4GHz, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1478035%7FB1%7Fpdf%7FEnglish%7FENG_CD_1478035_B1.pdf%7F1-1478035-0 -BNC RF interface bayonet 50ohm -0 -5 -2 -Connector_Coaxial -BNC_TEConnectivity_1478204_Vertical -BNC female PCB mount 4 pin straight chassis connector http://www.te.com/usa-en/product-1-1478204-0.html -BNC female PCB mount 4 pin straight chassis connector -0 -5 -2 -Connector_Coaxial -BNC_Win_364A2x95_Horizontal -Dual front isolated BNC plug (https://www.winconn.com/wp-content/uploads/364A2595.pdf) -Dual BNC Horizontal Isolated -0 -6 -3 -Connector_Coaxial -CoaxialSwitch_Hirose_MS-156C3_Horizontal -Subminiature Coaxial Switch 1.35mm High, DC to 11GHz -Switch Coaxial RF -0 -12 -3 -Connector_Coaxial -MMCX_Molex_73415-0961_Horizontal_0.8mm-PCB -Molex MMCX Horizontal Coaxial https://www.molex.com/pdm_docs/sd/734150961_sd.pdf -Molex MMCX Horizontal Coaxial -0 -3 -2 -Connector_Coaxial -MMCX_Molex_73415-0961_Horizontal_1.0mm-PCB -Molex MMCX Horizontal Coaxial https://www.molex.com/pdm_docs/sd/734150961_sd.pdf -Molex MMCX Horizontal Coaxial -0 -3 -2 -Connector_Coaxial -MMCX_Molex_73415-0961_Horizontal_1.6mm-PCB -Molex MMCX Horizontal Coaxial https://www.molex.com/pdm_docs/sd/734150961_sd.pdf -Molex MMCX Horizontal Coaxial -0 -3 -2 -Connector_Coaxial -MMCX_Molex_73415-1471_Vertical -http://www.molex.com/pdm_docs/sd/734151471_sd.pdf -Molex MMCX Coaxial Connector 50 ohms Female Jack Vertical THT -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_901-143_Horizontal - Amphenol RF 901-143 https://www.amphenolrf.com/library/download/link/link_id/585682 -SMA THT Female Jack Horizontal -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_901-144_Vertical -https://www.amphenolrf.com/downloads/dl/file/id/7023/product/3103/901_144_customer_drawing.pdf -SMA THT Female Jack Vertical -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_132134-10_Vertical -https://www.amphenolrf.com/downloads/dl/file/id/4007/product/2974/132134_10_customer_drawing.pdf -SMA SMD Female Jack Vertical -0 -3 -2 -Connector_Coaxial -SMA_Amphenol_132134-11_Vertical -https://www.amphenolrf.com/downloads/dl/file/id/3406/product/2975/132134_11_customer_drawing.pdf -SMA THT Female Jack Vertical ExtendedLegs -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_132134-14_Vertical -https://www.amphenolrf.com/downloads/dl/file/id/1793/product/2976/132134_14_customer_drawing.pdf -SMA THT Female Jack Vertical ExtendedLegs -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_132134-16_Vertical -https://www.amphenolrf.com/downloads/dl/file/id/1141/product/2978/132134_16_customer_drawing.pdf -SMA THT Female Jack Vertical ExtendedLegs -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_132134_Vertical -https://www.amphenolrf.com/downloads/dl/file/id/2187/product/2843/132134_customer_drawing.pdf -SMA THT Female Jack Vertical ExtendedLegs -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_132203-12_Horizontal -https://www.amphenolrf.com/media/downloads/1769/132203-12.pdf -SMA THT Female Jack Horizontal -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_132289_EdgeMount -http://www.amphenolrf.com/132289.html -SMA -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_132291-12_Vertical -https://www.amphenolrf.com/downloads/dl/file/id/1688/product/3020/132291_12_customer_drawing.pdf -SMA THT Female Jack Vertical Bulkhead -0 -5 -2 -Connector_Coaxial -SMA_Amphenol_132291_Vertical -https://www.amphenolrf.com/downloads/dl/file/id/3222/product/2918/132291_customer_drawing.pdf -SMA THT Female Jack Vertical Bulkhead -0 -5 -2 -Connector_Coaxial -SMA_Molex_73251-1153_EdgeMount_Horizontal -Molex SMA RF Connectors, Edge Mount, (http://www.molex.com/pdm_docs/sd/732511150_sd.pdf) -sma edge -0 -11 -2 -Connector_Coaxial -SMA_Molex_73251-2120_EdgeMount_Horizontal -Molex SMA RF Connector, Edge Mount, (http://www.molex.com/pdm_docs/sd/732512120_sd.pdf) -sma edge -0 -7 -2 -Connector_Coaxial -SMA_Molex_73251-2200_Horizontal -https://www.molex.com/webdocs/datasheets/pdf/en-us/0732512200_RF_COAX_CONNECTORS.pdf -SMA THT Female Jack Horizontal -0 -5 -2 -Connector_Coaxial -SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount -Connector SMA, 0Hz to 20GHz, 50Ohm, Edge Mount (http://suddendocs.samtec.com/prints/sma-j-p-x-st-em1-mkt.pdf) -SMA Straight Samtec Edge Mount -0 -5 -2 -Connector_Coaxial -SMA_Wurth_60312102114405_Vertical -Würth WR-SMA PCB SMT Jack, Vertical (https://www.we-online.com/components/products/datasheet/60312102114405.pdf) -Coaxial Connector 50 ohms Female -0 -3 -2 -Connector_Coaxial -SMA_Wurth_60312002114503_Vertical -https://www.we-online.de/katalog/datasheet/60312002114503.pdf -SMA THT Female Jack Vertical ExtendedLegs -0 -5 -2 -Connector_Coaxial -SMB_Jack_Vertical -SMB pcb mounting jack -SMB Jack Striaght -0 -5 -2 -Connector_Coaxial -U.FL_Hirose_U.FL-R-SMT-1_Vertical -Hirose U.FL Coaxial https://www.hirose.com/product/en/products/U.FL/U.FL-R-SMT-1%2810%29/ -Hirose U.FL Coaxial -0 -3 -2 -Connector_Coaxial -U.FL_Molex_MCRF_73412-0110_Vertical -Molex Microcoaxial RF Connectors (MCRF), mates Hirose U.FL, (http://www.molex.com/pdm_docs/sd/734120110_sd.pdf) -mcrf hirose ufl u.fl microcoaxial -0 -4 -2 -Connector_Coaxial -WR-MMCX_Wuerth_66012102111404_Vertical -Würth WR-MMCX PCB SMT Jack, Vertical (https://www.we-online.com/components/products/datasheet/66012102111404.pdf) -Coaxial Connector 50 ohms Female -0 -3 -2 -Connector_Coaxial -WR-MMCX_Wuerth_66011102111302_Horizontal -Würth WR-MMCX PCB SMT Jack, Horizontal (https://www.we-online.com/components/products/datasheet/66011102111302.pdf) -Coaxial Connector 50 ohms Female -0 -7 -2 -Connector_DIN -DIN41612_B2_2x8_Female_Vertical_THT -DIN41612 connector, type B/2, Vertical, 2 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B/2 -0 -16 -16 -Connector_DIN -DIN41612_B2_2x8_Male_Horizontal_THT -DIN41612 connector, type B/2, Horizontal, 2 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B/2 -0 -16 -16 -Connector_DIN -DIN41612_B2_2x16_Female_Vertical_THT -DIN41612 connector, type B/2, Vertical, 2 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B/2 -0 -32 -32 -Connector_DIN -DIN41612_B2_2x16_Male_Horizontal_THT -DIN41612 connector, type B/2, Horizontal, 2 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B/2 -0 -32 -32 -Connector_DIN -DIN41612_B3_2x5_Female_Vertical_THT -DIN41612 connector, type B/3, Vertical, 2 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B/3 -0 -10 -10 -Connector_DIN -DIN41612_B3_2x5_Male_Horizontal_THT -DIN41612 connector, type B/3, Horizontal, 2 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B/3 -0 -10 -10 -Connector_DIN -DIN41612_B3_2x10_Female_Vertical_THT -DIN41612 connector, type B/3, Vertical, 2 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B/3 -0 -20 -20 -Connector_DIN -DIN41612_B3_2x10_Male_Horizontal_THT -DIN41612 connector, type B/3, Horizontal, 2 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B/3 -0 -20 -20 -Connector_DIN -DIN41612_B_1x32_Female_Vertical_THT -DIN41612 connector, type B, Vertical, 2 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B -0 -32 -32 -Connector_DIN -DIN41612_B_1x32_Male_Horizontal_THT -DIN41612 connector, type B, Horizontal, 2 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B -0 -32 -32 -Connector_DIN -DIN41612_B_2x16_Female_Vertical_THT -DIN41612 connector, type B, Vertical, 2 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B -0 -32 -32 -Connector_DIN -DIN41612_B_2x16_Male_Horizontal_THT -DIN41612 connector, type B, Horizontal, 2 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B -0 -32 -32 -Connector_DIN -DIN41612_B_2x32_Female_Vertical_THT -DIN41612 connector, type B, Vertical, 2 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B -0 -64 -64 -Connector_DIN -DIN41612_B_2x32_Male_Horizontal_THT -DIN41612 connector, type B, Horizontal, 2 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 B -0 -64 -64 -Connector_DIN -DIN41612_C2_2x16_Female_Vertical_THT -DIN41612 connector, type C/2, Vertical, 3 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C/2 -0 -32 -32 -Connector_DIN -DIN41612_C2_2x16_Male_Horizontal_THT -DIN41612 connector, type C/2, Horizontal, 3 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C/2 -0 -32 -32 -Connector_DIN -DIN41612_C2_3x16_Female_Vertical_THT -DIN41612 connector, type C/2, Vertical, 3 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C/2 -0 -48 -48 -Connector_DIN -DIN41612_C2_3x16_Male_Horizontal_THT -DIN41612 connector, type C/2, Horizontal, 3 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C/2 -0 -48 -48 -Connector_DIN -DIN41612_C3_2x10_Female_Vertical_THT -DIN41612 connector, type C/3, Vertical, 3 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C/3 -0 -20 -20 -Connector_DIN -DIN41612_C3_2x10_Male_Horizontal_THT -DIN41612 connector, type C/3, Horizontal, 3 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C/3 -0 -20 -20 -Connector_DIN -DIN41612_C3_3x10_Female_Vertical_THT -DIN41612 connector, type C/3, Vertical, 3 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C/3 -0 -30 -30 -Connector_DIN -DIN41612_C3_3x10_Male_Horizontal_THT -DIN41612 connector, type C/3, Horizontal, 3 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C/3 -0 -30 -30 -Connector_DIN -DIN41612_C_1x32_Female_Vertical_THT -DIN41612 connector, type C, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -32 -32 -Connector_DIN -DIN41612_C_1x32_Male_Horizontal_THT -DIN41612 connector, type C, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -32 -32 -Connector_DIN -DIN41612_C_2x16_Female_Vertical_THT -DIN41612 connector, type C, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -32 -32 -Connector_DIN -DIN41612_C_2x16_Male_Horizontal_THT -DIN41612 connector, type C, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -32 -32 -Connector_DIN -DIN41612_C_2x32_Female_Vertical_THT -DIN41612 connector, type C, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -64 -64 -Connector_DIN -DIN41612_C_2x32_Male_Horizontal_THT -DIN41612 connector, type C, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -64 -64 -Connector_DIN -DIN41612_C_3x16_Female_Vertical_THT -DIN41612 connector, type C, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -48 -48 -Connector_DIN -DIN41612_C_3x16_Male_Horizontal_THT -DIN41612 connector, type C, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -48 -48 -Connector_DIN -DIN41612_C_3x32_Female_Vertical_THT -DIN41612 connector, type C, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -96 -96 -Connector_DIN -DIN41612_C_3x32_Male_Horizontal_THT -DIN41612 connector, type C, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 C -0 -96 -96 -Connector_DIN -DIN41612_D_2x8_Female_Vertical_THT -DIN41612 connector, type D, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 D -0 -16 -16 -Connector_DIN -DIN41612_D_2x8_Male_Horizontal_THT -DIN41612 connector, type D, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 D -0 -16 -16 -Connector_DIN -DIN41612_D_2x16_Female_Vertical_THT -DIN41612 connector, type D, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 D -0 -32 -32 -Connector_DIN -DIN41612_D_2x16_Male_Horizontal_THT -DIN41612 connector, type D, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 D -0 -32 -32 -Connector_DIN -DIN41612_E_2x16_Female_Vertical_THT -DIN41612 connector, type E, Vertical, 5 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 E -0 -32 -32 -Connector_DIN -DIN41612_E_2x16_Male_Horizontal_THT -DIN41612 connector, type E, Horizontal, 5 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 E -0 -32 -32 -Connector_DIN -DIN41612_E_2x16_RowsAC_Female_Vertical_THT -DIN41612 connector, type E, Vertical, 5 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 E -0 -32 -32 -Connector_DIN -DIN41612_E_2x16_RowsAC_Male_Horizontal_THT -DIN41612 connector, type E, Horizontal, 5 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 E -0 -32 -32 -Connector_DIN -DIN41612_E_3x16_Female_Vertical_THT -DIN41612 connector, type E, Vertical, 5 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 E -0 -48 -48 -Connector_DIN -DIN41612_E_3x16_Male_Horizontal_THT -DIN41612 connector, type E, Horizontal, 5 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 E -0 -48 -48 -Connector_DIN -DIN41612_F_2x16_Female_Vertical_THT -DIN41612 connector, type F, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 F -0 -32 -32 -Connector_DIN -DIN41612_F_2x16_Male_Horizontal_THT -DIN41612 connector, type F, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 F -0 -32 -32 -Connector_DIN -DIN41612_F_2x16_RowsZD_Female_Vertical_THT -DIN41612 connector, type F, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 F -0 -32 -32 -Connector_DIN -DIN41612_F_2x16_RowsZD_Male_Horizontal_THT -DIN41612 connector, type F, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 F -0 -32 -32 -Connector_DIN -DIN41612_F_3x16_Female_Vertical_THT -DIN41612 connector, type F, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 F -0 -48 -48 -Connector_DIN -DIN41612_F_3x16_Male_Horizontal_THT -DIN41612 connector, type F, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 F -0 -48 -48 -Connector_DIN -DIN41612_Q2_2x16_Female_Horizontal_THT -DIN41612 connector, type Q/2, Horizontal, 2 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 Q/2 -0 -32 -32 -Connector_DIN -DIN41612_Q2_2x16_Male_Vertical_THT -DIN41612 connector, type Q/2, Vertical, 2 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 Q/2 -0 -32 -32 -Connector_DIN -DIN41612_Q3_2x10_Female_Horizontal_THT -DIN41612 connector, type Q/3, Horizontal, 2 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 Q/3 -0 -20 -20 -Connector_DIN -DIN41612_Q3_2x10_Male_Vertical_THT -DIN41612 connector, type Q/3, Vertical, 2 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 Q/3 -0 -20 -20 -Connector_DIN -DIN41612_Q_2x32_Female_Horizontal_THT -DIN41612 connector, type Q, Horizontal, 2 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 Q -0 -64 -64 -Connector_DIN -DIN41612_Q_2x32_Male_Vertical_THT -DIN41612 connector, type Q, Vertical, 2 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 Q -0 -64 -64 -Connector_DIN -DIN41612_R2_2x16_Female_Horizontal_THT -DIN41612 connector, type R/2, Horizontal, 3 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R/2 -0 -32 -32 -Connector_DIN -DIN41612_R2_2x16_Male_Vertical_THT -DIN41612 connector, type R/2, Vertical, 3 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R/2 -0 -32 -32 -Connector_DIN -DIN41612_R2_3x16_Female_Horizontal_THT -DIN41612 connector, type R/2, Horizontal, 3 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R/2 -0 -48 -48 -Connector_DIN -DIN41612_R2_3x16_Male_Vertical_THT -DIN41612 connector, type R/2, Vertical, 3 rows 16 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R/2 -0 -48 -48 -Connector_DIN -DIN41612_R3_2x10_Female_Horizontal_THT -DIN41612 connector, type R/3, Horizontal, 3 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R/3 -0 -20 -20 -Connector_DIN -DIN41612_R3_2x10_Male_Vertical_THT -DIN41612 connector, type R/3, Vertical, 3 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R/3 -0 -20 -20 -Connector_DIN -DIN41612_R3_3x10_Female_Horizontal_THT -DIN41612 connector, type R/3, Horizontal, 3 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R/3 -0 -30 -30 -Connector_DIN -DIN41612_R3_3x10_Male_Vertical_THT -DIN41612 connector, type R/3, Vertical, 3 rows 10 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R/3 -0 -30 -30 -Connector_DIN -DIN41612_R_1x32_Female_Horizontal_THT -DIN41612 connector, type R, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -32 -32 -Connector_DIN -DIN41612_R_1x32_Male_Vertical_THT -DIN41612 connector, type R, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -32 -32 -Connector_DIN -DIN41612_R_2x16_Female_Horizontal_THT -DIN41612 connector, type R, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -32 -32 -Connector_DIN -DIN41612_R_2x16_Male_Vertical_THT -DIN41612 connector, type R, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -32 -32 -Connector_DIN -DIN41612_R_2x32_Female_Horizontal_THT -DIN41612 connector, type R, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -64 -64 -Connector_DIN -DIN41612_R_2x32_Male_Vertical_THT -DIN41612 connector, type R, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -64 -64 -Connector_DIN -DIN41612_R_3x16_Female_Horizontal_THT -DIN41612 connector, type R, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -48 -48 -Connector_DIN -DIN41612_R_3x16_Male_Vertical_THT -DIN41612 connector, type R, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -48 -48 -Connector_DIN -DIN41612_R_3x32_Female_Horizontal_THT -DIN41612 connector, type R, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -96 -96 -Connector_DIN -DIN41612_R_3x32_Male_Vertical_THT -DIN41612 connector, type R, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf -DIN 41612 IEC 60603 R -0 -96 -96 -Connector_Dsub -DSUB-9_Female_EdgeMount_P2.77mm -9-pin D-Sub connector, solder-cups edge-mounted, female, x-pin-pitch 2.77mm, distance of mounting holes 25mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector edge mount solder cup female x-pin-pitch 2.77mm mounting holes distance 25mm -0 -9 -9 -Connector_Dsub -DSUB-9_Female_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -9-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.54mm pin-PCB-offset 9.4mm -0 -9 -9 -Connector_Dsub -DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 4.9399999999999995mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 7.4799999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 4.9399999999999995mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 7.699999999999999mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 9.12mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 7.699999999999999mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -9-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 9.4mm -0 -9 -9 -Connector_Dsub -DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 9.9mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 11.32mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 9.9mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 8.2mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 15.979999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Female_Vertical_P2.77x2.84mm -9-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.77x2.84mm, distance of mounting holes 25mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector straight vertical THT female pitch 2.77x2.84mm mounting holes distance 25mm -0 -9 -9 -Connector_Dsub -DSUB-9_Female_Vertical_P2.77x2.84mm_MountingHoles -9-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.77x2.84mm, distance of mounting holes 25mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector straight vertical THT female pitch 2.77x2.84mm mounting holes distance 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Male_EdgeMount_P2.77mm -9-pin D-Sub connector, solder-cups edge-mounted, male, x-pin-pitch 2.77mm, distance of mounting holes 25mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector edge mount solder cup male x-pin-pitch 2.77mm mounting holes distance 25mm -0 -9 -9 -Connector_Dsub -DSUB-9_Male_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -9-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.54mm pin-PCB-offset 9.4mm -0 -9 -9 -Connector_Dsub -DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 4.9399999999999995mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 7.4799999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 4.9399999999999995mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 7.699999999999999mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 9.12mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 7.699999999999999mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -9-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 9.4mm -0 -9 -9 -Connector_Dsub -DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 9.9mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 11.32mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 9.9mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 8.2mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm -9-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 15.979999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -11 -10 -Connector_Dsub -DSUB-9_Male_Vertical_P2.77x2.84mm -9-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.77x2.84mm, distance of mounting holes 25mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector straight vertical THT male pitch 2.77x2.84mm mounting holes distance 25mm -0 -9 -9 -Connector_Dsub -DSUB-9_Male_Vertical_P2.77x2.84mm_MountingHoles -9-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.77x2.84mm, distance of mounting holes 25mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -9-pin D-Sub connector straight vertical THT male pitch 2.77x2.84mm mounting holes distance 25mm -0 -11 -10 -Connector_Dsub -DSUB-15-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 3.0300000000000002mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 4.9399999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 3.0300000000000002mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -17 -16 -Connector_Dsub -DSUB-15-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 8.35mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 10.889999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 8.35mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -17 -16 -Connector_Dsub -DSUB-15-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 9.4mm -0 -15 -15 -Connector_Dsub -DSUB-15-HD_Female_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x2.54mm pin-PCB-offset 9.4mm -0 -15 -15 -Connector_Dsub -DSUB-15-HD_Female_Vertical_P2.29x1.98mm_MountingHoles -15-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.29x1.98mm, distance of mounting holes 25mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector straight vertical THT female pitch 2.29x1.98mm mounting holes distance 25mm -0 -17 -16 -Connector_Dsub -DSUB-15-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x1.98mm, pin-PCB-offset 3.0300000000000002mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 4.9399999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x1.98mm pin-PCB-offset 3.0300000000000002mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -17 -16 -Connector_Dsub -DSUB-15-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x1.98mm, pin-PCB-offset 8.35mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 10.889999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x1.98mm pin-PCB-offset 8.35mm mounting-holes-distance 25mm mounting-hole-offset 25mm -0 -17 -16 -Connector_Dsub -DSUB-15-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x1.98mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x1.98mm pin-PCB-offset 9.4mm -0 -15 -15 -Connector_Dsub -DSUB-15-HD_Male_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x2.54mm pin-PCB-offset 9.4mm -0 -15 -15 -Connector_Dsub -DSUB-15-HD_Male_Vertical_P2.29x1.98mm_MountingHoles -15-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.29x1.98mm, distance of mounting holes 25mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector straight vertical THT male pitch 2.29x1.98mm mounting holes distance 25mm -0 -17 -16 -Connector_Dsub -DSUB-15_Female_EdgeMount_P2.77mm -15-pin D-Sub connector, solder-cups edge-mounted, female, x-pin-pitch 2.77mm, distance of mounting holes 33.3mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector edge mount solder cup female x-pin-pitch 2.77mm mounting holes distance 33.3mm -0 -15 -15 -Connector_Dsub -DSUB-15_Female_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.54mm pin-PCB-offset 9.4mm -0 -15 -15 -Connector_Dsub -DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 4.9399999999999995mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 7.4799999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 4.9399999999999995mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 7.699999999999999mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 9.12mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 7.699999999999999mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 9.4mm -0 -15 -15 -Connector_Dsub -DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 9.9mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 11.32mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 9.9mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 8.2mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 15.979999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Female_Vertical_P2.77x2.84mm -15-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.77x2.84mm, distance of mounting holes 33.3mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector straight vertical THT female pitch 2.77x2.84mm mounting holes distance 33.3mm -0 -15 -15 -Connector_Dsub -DSUB-15_Female_Vertical_P2.77x2.84mm_MountingHoles -15-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.77x2.84mm, distance of mounting holes 33.3mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector straight vertical THT female pitch 2.77x2.84mm mounting holes distance 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Male_EdgeMount_P2.77mm -15-pin D-Sub connector, solder-cups edge-mounted, male, x-pin-pitch 2.77mm, distance of mounting holes 33.3mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector edge mount solder cup male x-pin-pitch 2.77mm mounting holes distance 33.3mm -0 -15 -15 -Connector_Dsub -DSUB-15_Male_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.54mm pin-PCB-offset 9.4mm -0 -15 -15 -Connector_Dsub -DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 4.9399999999999995mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 7.4799999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 4.9399999999999995mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 7.699999999999999mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 9.12mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 7.699999999999999mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 9.4mm -0 -15 -15 -Connector_Dsub -DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 9.9mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 11.32mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 9.9mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 8.2mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm -15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 15.979999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-15_Male_Vertical_P2.77x2.84mm -15-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.77x2.84mm, distance of mounting holes 33.3mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector straight vertical THT male pitch 2.77x2.84mm mounting holes distance 33.3mm -0 -15 -15 -Connector_Dsub -DSUB-15_Male_Vertical_P2.77x2.84mm_MountingHoles -15-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.77x2.84mm, distance of mounting holes 33.3mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -15-pin D-Sub connector straight vertical THT male pitch 2.77x2.84mm mounting holes distance 33.3mm -0 -17 -16 -Connector_Dsub -DSUB-25_Female_EdgeMount_P2.77mm -25-pin D-Sub connector, solder-cups edge-mounted, female, x-pin-pitch 2.77mm, distance of mounting holes 47.1mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector edge mount solder cup female x-pin-pitch 2.77mm mounting holes distance 47.1mm -0 -25 -25 -Connector_Dsub -DSUB-25_Female_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -25-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.54mm pin-PCB-offset 9.4mm -0 -25 -25 -Connector_Dsub -DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 4.9399999999999995mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 7.4799999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 4.9399999999999995mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 7.699999999999999mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 9.12mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 7.699999999999999mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -25-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 9.4mm -0 -25 -25 -Connector_Dsub -DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 9.9mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 11.32mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 9.9mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 8.2mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 15.979999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Female_Vertical_P2.77x2.84mm -25-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.77x2.84mm, distance of mounting holes 47.1mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector straight vertical THT female pitch 2.77x2.84mm mounting holes distance 47.1mm -0 -25 -25 -Connector_Dsub -DSUB-25_Female_Vertical_P2.77x2.84mm_MountingHoles -25-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.77x2.84mm, distance of mounting holes 47.1mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector straight vertical THT female pitch 2.77x2.84mm mounting holes distance 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Male_EdgeMount_P2.77mm -25-pin D-Sub connector, solder-cups edge-mounted, male, x-pin-pitch 2.77mm, distance of mounting holes 47.1mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector edge mount solder cup male x-pin-pitch 2.77mm mounting holes distance 47.1mm -0 -25 -25 -Connector_Dsub -DSUB-25_Male_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -25-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.54mm pin-PCB-offset 9.4mm -0 -25 -25 -Connector_Dsub -DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 4.9399999999999995mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 7.4799999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 4.9399999999999995mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 7.699999999999999mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 9.12mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 7.699999999999999mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -25-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 9.4mm -0 -25 -25 -Connector_Dsub -DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 9.9mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 11.32mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 9.9mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 8.2mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm -25-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 15.979999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-25_Male_Vertical_P2.77x2.84mm -25-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.77x2.84mm, distance of mounting holes 47.1mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector straight vertical THT male pitch 2.77x2.84mm mounting holes distance 47.1mm -0 -25 -25 -Connector_Dsub -DSUB-25_Male_Vertical_P2.77x2.84mm_MountingHoles -25-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.77x2.84mm, distance of mounting holes 47.1mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -25-pin D-Sub connector straight vertical THT male pitch 2.77x2.84mm mounting holes distance 47.1mm -0 -27 -26 -Connector_Dsub -DSUB-26-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm -26-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 3.0300000000000002mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 4.9399999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -26-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 3.0300000000000002mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -28 -27 -Connector_Dsub -DSUB-26-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm -26-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 8.35mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 10.889999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -26-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 8.35mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -28 -27 -Connector_Dsub -DSUB-26-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm -26-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -26-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 9.4mm -0 -26 -26 -Connector_Dsub -DSUB-26-HD_Female_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm -26-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -26-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x2.54mm pin-PCB-offset 9.4mm -0 -26 -26 -Connector_Dsub -DSUB-26-HD_Female_Vertical_P2.29x1.98mm_MountingHoles -26-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.29x1.98mm, distance of mounting holes 33.3mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -26-pin D-Sub connector straight vertical THT female pitch 2.29x1.98mm mounting holes distance 33.3mm -0 -28 -27 -Connector_Dsub -DSUB-26-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm -26-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x1.98mm, pin-PCB-offset 3.0300000000000002mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 4.9399999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -26-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x1.98mm pin-PCB-offset 3.0300000000000002mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -28 -27 -Connector_Dsub -DSUB-26-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm -26-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x1.98mm, pin-PCB-offset 8.35mm, distance of mounting holes 33.3mm, distance of mounting holes to PCB edge 10.889999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -26-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x1.98mm pin-PCB-offset 8.35mm mounting-holes-distance 33.3mm mounting-hole-offset 33.3mm -0 -28 -27 -Connector_Dsub -DSUB-26-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm -26-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x1.98mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -26-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x1.98mm pin-PCB-offset 9.4mm -0 -26 -26 -Connector_Dsub -DSUB-26-HD_Male_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm -26-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -26-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x2.54mm pin-PCB-offset 9.4mm -0 -26 -26 -Connector_Dsub -DSUB-26-HD_Male_Vertical_P2.29x1.98mm_MountingHoles -26-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.29x1.98mm, distance of mounting holes 33.3mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -26-pin D-Sub connector straight vertical THT male pitch 2.29x1.98mm mounting holes distance 33.3mm -0 -28 -27 -Connector_Dsub -DSUB-37_Female_EdgeMount_P2.77mm -37-pin D-Sub connector, solder-cups edge-mounted, female, x-pin-pitch 2.77mm, distance of mounting holes 63.5mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector edge mount solder cup female x-pin-pitch 2.77mm mounting holes distance 63.5mm -0 -37 -37 -Connector_Dsub -DSUB-37_Female_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -37-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.54mm pin-PCB-offset 9.4mm -0 -37 -37 -Connector_Dsub -DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 4.9399999999999995mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 7.4799999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 4.9399999999999995mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 7.699999999999999mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 9.12mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 7.699999999999999mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -37-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 9.4mm -0 -37 -37 -Connector_Dsub -DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 9.9mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 11.32mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 9.9mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 8.2mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 15.979999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT female pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Female_Vertical_P2.77x2.84mm -37-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.77x2.84mm, distance of mounting holes 63.5mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector straight vertical THT female pitch 2.77x2.84mm mounting holes distance 63.5mm -0 -37 -37 -Connector_Dsub -DSUB-37_Female_Vertical_P2.77x2.84mm_MountingHoles -37-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.77x2.84mm, distance of mounting holes 63.5mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector straight vertical THT female pitch 2.77x2.84mm mounting holes distance 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Male_EdgeMount_P2.77mm -37-pin D-Sub connector, solder-cups edge-mounted, male, x-pin-pitch 2.77mm, distance of mounting holes 63.5mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector edge mount solder cup male x-pin-pitch 2.77mm mounting holes distance 63.5mm -0 -37 -37 -Connector_Dsub -DSUB-37_Male_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -37-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.54mm pin-PCB-offset 9.4mm -0 -37 -37 -Connector_Dsub -DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 4.9399999999999995mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 7.4799999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 4.9399999999999995mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 7.699999999999999mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 9.12mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 7.699999999999999mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -37-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 9.4mm -0 -37 -37 -Connector_Dsub -DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 9.9mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 11.32mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 9.9mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 8.2mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm -37-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.77x2.84mm, pin-PCB-offset 14.56mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 15.979999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector horizontal angled 90deg THT male pitch 2.77x2.84mm pin-PCB-offset 14.56mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-37_Male_Vertical_P2.77x2.84mm -37-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.77x2.84mm, distance of mounting holes 63.5mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector straight vertical THT male pitch 2.77x2.84mm mounting holes distance 63.5mm -0 -37 -37 -Connector_Dsub -DSUB-37_Male_Vertical_P2.77x2.84mm_MountingHoles -37-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.77x2.84mm, distance of mounting holes 63.5mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -37-pin D-Sub connector straight vertical THT male pitch 2.77x2.84mm mounting holes distance 63.5mm -0 -39 -38 -Connector_Dsub -DSUB-44-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm -44-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 3.0300000000000002mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 4.9399999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -44-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 3.0300000000000002mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -46 -45 -Connector_Dsub -DSUB-44-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm -44-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 8.35mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 10.889999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -44-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 8.35mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -46 -45 -Connector_Dsub -DSUB-44-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm -44-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -44-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 9.4mm -0 -44 -44 -Connector_Dsub -DSUB-44-HD_Female_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm -44-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -44-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x2.54mm pin-PCB-offset 9.4mm -0 -44 -44 -Connector_Dsub -DSUB-44-HD_Female_Vertical_P2.29x1.98mm_MountingHoles -44-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.29x1.98mm, distance of mounting holes 47.1mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -44-pin D-Sub connector straight vertical THT female pitch 2.29x1.98mm mounting holes distance 47.1mm -0 -46 -45 -Connector_Dsub -DSUB-44-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm -44-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x1.98mm, pin-PCB-offset 3.0300000000000002mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 4.9399999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -44-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x1.98mm pin-PCB-offset 3.0300000000000002mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -46 -45 -Connector_Dsub -DSUB-44-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm -44-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x1.98mm, pin-PCB-offset 8.35mm, distance of mounting holes 47.1mm, distance of mounting holes to PCB edge 10.889999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -44-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x1.98mm pin-PCB-offset 8.35mm mounting-holes-distance 47.1mm mounting-hole-offset 47.1mm -0 -46 -45 -Connector_Dsub -DSUB-44-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm -44-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x1.98mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -44-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x1.98mm pin-PCB-offset 9.4mm -0 -44 -44 -Connector_Dsub -DSUB-44-HD_Male_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm -44-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.29x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -44-pin D-Sub connector horizontal angled 90deg THT male pitch 2.29x2.54mm pin-PCB-offset 9.4mm -0 -44 -44 -Connector_Dsub -DSUB-44-HD_Male_Vertical_P2.29x1.98mm_MountingHoles -44-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.29x1.98mm, distance of mounting holes 47.1mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -44-pin D-Sub connector straight vertical THT male pitch 2.29x1.98mm mounting holes distance 47.1mm -0 -46 -45 -Connector_Dsub -DSUB-62-HD_Female_Horizontal_P2.41x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm -62-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.41x1.98mm, pin-PCB-offset 3.0300000000000002mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 4.9399999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -62-pin D-Sub connector horizontal angled 90deg THT female pitch 2.41x1.98mm pin-PCB-offset 3.0300000000000002mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -64 -63 -Connector_Dsub -DSUB-62-HD_Female_Horizontal_P2.41x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm -62-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.41x1.98mm, pin-PCB-offset 8.35mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 10.889999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -62-pin D-Sub connector horizontal angled 90deg THT female pitch 2.41x1.98mm pin-PCB-offset 8.35mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -64 -63 -Connector_Dsub -DSUB-62-HD_Female_Horizontal_P2.41x1.98mm_EdgePinOffset9.40mm -62-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.41x1.98mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -62-pin D-Sub connector horizontal angled 90deg THT female pitch 2.41x1.98mm pin-PCB-offset 9.4mm -0 -62 -62 -Connector_Dsub -DSUB-62-HD_Female_Horizontal_P2.41x2.54mm_EdgePinOffset9.40mm -62-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.41x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -62-pin D-Sub connector horizontal angled 90deg THT female pitch 2.41x2.54mm pin-PCB-offset 9.4mm -0 -62 -62 -Connector_Dsub -DSUB-62-HD_Female_Vertical_P2.41x1.98mm_MountingHoles -62-pin D-Sub connector, straight/vertical, THT-mount, female, pitch 2.41x1.98mm, distance of mounting holes 63.5mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -62-pin D-Sub connector straight vertical THT female pitch 2.41x1.98mm mounting holes distance 63.5mm -0 -64 -63 -Connector_Dsub -DSUB-62-HD_Male_Horizontal_P2.41x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm -62-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.41x1.98mm, pin-PCB-offset 3.0300000000000002mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 4.9399999999999995mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -62-pin D-Sub connector horizontal angled 90deg THT male pitch 2.41x1.98mm pin-PCB-offset 3.0300000000000002mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -64 -63 -Connector_Dsub -DSUB-62-HD_Male_Horizontal_P2.41x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm -62-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.41x1.98mm, pin-PCB-offset 8.35mm, distance of mounting holes 63.5mm, distance of mounting holes to PCB edge 10.889999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -62-pin D-Sub connector horizontal angled 90deg THT male pitch 2.41x1.98mm pin-PCB-offset 8.35mm mounting-holes-distance 63.5mm mounting-hole-offset 63.5mm -0 -64 -63 -Connector_Dsub -DSUB-62-HD_Male_Horizontal_P2.41x1.98mm_EdgePinOffset9.40mm -62-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.41x1.98mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -62-pin D-Sub connector horizontal angled 90deg THT male pitch 2.41x1.98mm pin-PCB-offset 9.4mm -0 -62 -62 -Connector_Dsub -DSUB-62-HD_Male_Horizontal_P2.41x2.54mm_EdgePinOffset9.40mm -62-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, male, pitch 2.41x2.54mm, pin-PCB-offset 9.4mm, see http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf -62-pin D-Sub connector horizontal angled 90deg THT male pitch 2.41x2.54mm pin-PCB-offset 9.4mm -0 -62 -62 -Connector_Dsub -DSUB-62-HD_Male_Vertical_P2.41x1.98mm_MountingHoles -62-pin D-Sub connector, straight/vertical, THT-mount, male, pitch 2.41x1.98mm, distance of mounting holes 63.5mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf -62-pin D-Sub connector straight vertical THT male pitch 2.41x1.98mm mounting holes distance 63.5mm -0 -64 -63 -Connector_FFC-FPC -Hirose_FH12-6S-0.5SH_1x06-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-6S-0.5SH, 6 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -8 -7 -Connector_FFC-FPC -Hirose_FH12-8S-0.5SH_1x08-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-8S-0.5SH, 8 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -10 -9 -Connector_FFC-FPC -Hirose_FH12-10S-0.5SH_1x10-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-10S-0.5SH, 10 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -12 -11 -Connector_FFC-FPC -Hirose_FH12-11S-0.5SH_1x11-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-11S-0.5SH, 11 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -13 -12 -Connector_FFC-FPC -Hirose_FH12-12S-0.5SH_1x12-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-12S-0.5SH, 12 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -14 -13 -Connector_FFC-FPC -Hirose_FH12-13S-0.5SH_1x13-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-13S-0.5SH, 13 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -15 -14 -Connector_FFC-FPC -Hirose_FH12-14S-0.5SH_1x14-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-14S-0.5SH, 14 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -16 -15 -Connector_FFC-FPC -Hirose_FH12-15S-0.5SH_1x15-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-15S-0.5SH, 15 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -17 -16 -Connector_FFC-FPC -Hirose_FH12-16S-0.5SH_1x16-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-16S-0.5SH, 16 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -18 -17 -Connector_FFC-FPC -Hirose_FH12-17S-0.5SH_1x17-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-17S-0.5SH, 17 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -19 -18 -Connector_FFC-FPC -Hirose_FH12-18S-0.5SH_1x18-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-18S-0.5SH, 18 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -20 -19 -Connector_FFC-FPC -Hirose_FH12-19S-0.5SH_1x19-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-19S-0.5SH, 19 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -21 -20 -Connector_FFC-FPC -Hirose_FH12-20S-0.5SH_1x20-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-20S-0.5SH, 20 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -22 -21 -Connector_FFC-FPC -Hirose_FH12-22S-0.5SH_1x22-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-22S-0.5SH, 22 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -24 -23 -Connector_FFC-FPC -Hirose_FH12-24S-0.5SH_1x24-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-24S-0.5SH, 24 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -26 -25 -Connector_FFC-FPC -Hirose_FH12-25S-0.5SH_1x25-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-25S-0.5SH, 25 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -27 -26 -Connector_FFC-FPC -Hirose_FH12-26S-0.5SH_1x26-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-26S-0.5SH, 26 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -28 -27 -Connector_FFC-FPC -Hirose_FH12-28S-0.5SH_1x28-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-28S-0.5SH, 28 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -30 -29 -Connector_FFC-FPC -Hirose_FH12-30S-0.5SH_1x30-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-30S-0.5SH, 30 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -32 -31 -Connector_FFC-FPC -Hirose_FH12-32S-0.5SH_1x32-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-32S-0.5SH, 32 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -34 -33 -Connector_FFC-FPC -Hirose_FH12-33S-0.5SH_1x33-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-33S-0.5SH, 33 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -35 -34 -Connector_FFC-FPC -Hirose_FH12-34S-0.5SH_1x34-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-34S-0.5SH, 34 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -36 -35 -Connector_FFC-FPC -Hirose_FH12-35S-0.5SH_1x35-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-35S-0.5SH, 35 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -37 -36 -Connector_FFC-FPC -Hirose_FH12-36S-0.5SH_1x36-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-36S-0.5SH, 36 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -38 -37 -Connector_FFC-FPC -Hirose_FH12-40S-0.5SH_1x40-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-40S-0.5SH, 40 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -42 -41 -Connector_FFC-FPC -Hirose_FH12-45S-0.5SH_1x45-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-45S-0.5SH, 45 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -47 -46 -Connector_FFC-FPC -Hirose_FH12-50S-0.5SH_1x50-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-50S-0.5SH, 50 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -52 -51 -Connector_FFC-FPC -Hirose_FH12-53S-0.5SH_1x53-1MP_P0.50mm_Horizontal -Hirose FH12, FFC/FPC connector, FH12-53S-0.5SH, 53 Pins per row (https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/), generated with kicad-footprint-generator -connector Hirose FH12 horizontal -0 -55 -54 -Connector_FFC-FPC -Hirose_FH41-30S-0.5SH_1x30_1MP_1SH_P0.5mm_Horizontal -Hirose FH41, FFC/FPC connector, FH41-30S-0.5SH, 30 Pins per row (https://www.hirose.com/fr/product/document?clcode=CL0580-2218-5-05&productname=FH41-30S-0.5SH(05)&series=FH41&documenttype=2DDrawing&lang=fr&documentid=0001001704) -connector Hirose FH41 horizontal -0 -38 -32 -Connector_FFC-FPC -JAE_FF0825SA1_2Rows-25Pins_P0.40mm_Horizontal -Molex JAE 0.2mm pitch, 1mm overall height FFC/FPC connector, FF0825SA1, 25 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -25 -25 -Connector_FFC-FPC -JAE_FF0829SA1_2Rows-29Pins_P0.40mm_Horizontal -Molex JAE 0.2mm pitch, 1mm overall height FFC/FPC connector, FF0829SA1, 29 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -29 -29 -Connector_FFC-FPC -JAE_FF0841SA1_2Rows-41Pins_P0.40mm_Horizontal -Molex JAE 0.2mm pitch, 1mm overall height FFC/FPC connector, FF0841SA1, 41 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -41 -41 -Connector_FFC-FPC -JAE_FF0851SA1_2Rows-51Pins_P0.40mm_Horizontal -Molex JAE 0.2mm pitch, 1mm overall height FFC/FPC connector, FF0851SA1, 51 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -51 -51 -Connector_FFC-FPC -JAE_FF0871SA1_2Rows-71Pins_P0.40mm_Horizontal -Molex JAE 0.2mm pitch, 1mm overall height FFC/FPC connector, FF0871SA1, 71 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -71 -71 -Connector_FFC-FPC -JAE_FF0881SA1_2Rows-81Pins_P0.40mm_Horizontal -Molex JAE 0.2mm pitch, 1mm overall height FFC/FPC connector, FF0881SA1, 81 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -81 -81 -Connector_FFC-FPC -Jushuo_AFC07-S06FCA-00_1x6-1MP_P0.50_Horizontal -Jushuo AFC07, FFC/FPC connector, AFC07-S06FCA-00, 6 Pins per row (https://datasheet.lcsc.com/lcsc/1811040204_JUSHUO-AFC07-S32FCC-00_C11061.pdf) -connector jushuo horizontal -0 -8 -7 -Connector_FFC-FPC -Jushuo_AFC07-S24FCA-00_1x24-1MP_P0.50_Horizontal -Jushuo AFC07, FFC/FPC connector, AFC07-S24FCA-00, 24 Pins per row (https://datasheet.lcsc.com/lcsc/1811040204_JUSHUO-AFC07-S32FCC-00_C11061.pdf) -connector jushuo horizontal -0 -26 -25 -Connector_FFC-FPC -Molex_52559-3652_2x18-1MP_P0.5mm_Vertical -Molex 0.50mm Pitch Easy-On Type FFC/FPC, 52559-3652, 36 Circuits (https://www.molex.com/pdm_docs/sd/525593652_sd.pdf) -connector Molex top entry -0 -40 -37 -Connector_FFC-FPC -Molex_54132-5033_1x50-1MP_P0.5mm_Horizontal -Molex FFC/FPC connector, 50 bottom-side contacts, 0.5mm pitch, 2.0mm height, https://www.molex.com/pdm_docs/sd/541325033_sd.pdf -FFC FPC -0 -54 -51 -Connector_FFC-FPC -Molex_54548-1071_1x10-1MP_P0.5mm_Horizontal -Molex FFC/FPC connector, 10 bottom-side contacts, 0.5mm pitch, 1.2mm height, https://www.molex.com/pdm_docs/sd/545481071_sd.pdf -FFC FPC connector -0 -12 -11 -Connector_FFC-FPC -Molex_200528-0040_1x04-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0040, 4 Circuits (https://www.molex.com/pdm_docs/sd/2005280040_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -6 -5 -Connector_FFC-FPC -Molex_200528-0050_1x05-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0050, 5 Circuits (https://www.molex.com/pdm_docs/sd/2005280050_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -7 -6 -Connector_FFC-FPC -Molex_200528-0060_1x06-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0060, 6 Circuits (https://www.molex.com/pdm_docs/sd/2005280060_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -8 -7 -Connector_FFC-FPC -Molex_200528-0070_1x07-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0070, 7 Circuits (https://www.molex.com/pdm_docs/sd/2005280070_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -9 -8 -Connector_FFC-FPC -Molex_200528-0080_1x08-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0080, 8 Circuits (https://www.molex.com/pdm_docs/sd/2005280080_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -10 -9 -Connector_FFC-FPC -Molex_200528-0090_1x09-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0090, 9 Circuits (https://www.molex.com/pdm_docs/sd/2005280090_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -11 -10 -Connector_FFC-FPC -Molex_200528-0100_1x10-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0100, 10 Circuits (https://www.molex.com/pdm_docs/sd/2005280100_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -12 -11 -Connector_FFC-FPC -Molex_200528-0110_1x11-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0110, 11 Circuits (https://www.molex.com/pdm_docs/sd/2005280110_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -13 -12 -Connector_FFC-FPC -Molex_200528-0120_1x12-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0120, 12 Circuits (https://www.molex.com/pdm_docs/sd/2005280120_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -14 -13 -Connector_FFC-FPC -Molex_200528-0130_1x13-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0130, 13 Circuits (https://www.molex.com/pdm_docs/sd/2005280130_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -15 -14 -Connector_FFC-FPC -Molex_200528-0140_1x14-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0140, 14 Circuits (https://www.molex.com/pdm_docs/sd/2005280140_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -16 -15 -Connector_FFC-FPC -Molex_200528-0150_1x15-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0150, 15 Circuits (https://www.molex.com/pdm_docs/sd/2005280150_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -17 -16 -Connector_FFC-FPC -Molex_200528-0160_1x16-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0160, 16 Circuits (https://www.molex.com/pdm_docs/sd/2005280160_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -18 -17 -Connector_FFC-FPC -Molex_200528-0170_1x17-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0170, 17 Circuits (https://www.molex.com/pdm_docs/sd/2005280170_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -19 -18 -Connector_FFC-FPC -Molex_200528-0180_1x18-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0180, 18 Circuits (https://www.molex.com/pdm_docs/sd/2005280180_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -20 -19 -Connector_FFC-FPC -Molex_200528-0190_1x19-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0190, 19 Circuits (https://www.molex.com/pdm_docs/sd/2005280190_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -21 -20 -Connector_FFC-FPC -Molex_200528-0200_1x20-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0200, 20 Circuits (https://www.molex.com/pdm_docs/sd/2005280200_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -22 -21 -Connector_FFC-FPC -Molex_200528-0210_1x21-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0210, 21 Circuits (https://www.molex.com/pdm_docs/sd/2005280210_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -23 -22 -Connector_FFC-FPC -Molex_200528-0220_1x22-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0220, 22 Circuits (https://www.molex.com/pdm_docs/sd/2005280220_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -24 -23 -Connector_FFC-FPC -Molex_200528-0230_1x23-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0230, 23 Circuits (https://www.molex.com/pdm_docs/sd/2005280230_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -25 -24 -Connector_FFC-FPC -Molex_200528-0240_1x24-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0240, 24 Circuits (https://www.molex.com/pdm_docs/sd/2005280240_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -26 -25 -Connector_FFC-FPC -Molex_200528-0250_1x25-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0250, 25 Circuits (https://www.molex.com/pdm_docs/sd/2005280250_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -27 -26 -Connector_FFC-FPC -Molex_200528-0260_1x26-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0260, 26 Circuits (https://www.molex.com/pdm_docs/sd/2005280260_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -28 -27 -Connector_FFC-FPC -Molex_200528-0270_1x27-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0270, 27 Circuits (https://www.molex.com/pdm_docs/sd/2005280270_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -29 -28 -Connector_FFC-FPC -Molex_200528-0280_1x28-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0280, 28 Circuits (https://www.molex.com/pdm_docs/sd/2005280280_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -30 -29 -Connector_FFC-FPC -Molex_200528-0290_1x29-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0290, 29 Circuits (https://www.molex.com/pdm_docs/sd/2005280290_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -31 -30 -Connector_FFC-FPC -Molex_200528-0300_1x30-1MP_P1.00mm_Horizontal -Molex Molex 1.00mm Pitch Easy-On BackFlip, Right-Angle, Bottom Contact FFC/FPC, 200528-0300, 30 Circuits (https://www.molex.com/pdm_docs/sd/2005280300_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -32 -31 -Connector_FFC-FPC -Molex_502231-1500_1x15-1SH_P0.5mm_Vertical -Molex 0.50mm Pitch Easy-On Type FFC/FPC Connector, For LVDS, 6.05mm Height, Vertical, Surface Mount, ZIF, 15 Circuits (https://www.molex.com/pdm_docs/sd/5022311500_sd.pdf) -molex FFC/FPC connector Pitch 0.5mm vertical -0 -17 -16 -Connector_FFC-FPC -Molex_502231-2400_1x24-1SH_P0.5mm_Vertical -Molex 0.50mm Pitch Easy-On Type FFC/FPC Connector, For LVDS, 6.05mm Height, Vertical, Surface Mount, ZIF, 24 Circuits (https://www.molex.com/pdm_docs/sd/5022312400_sd.pdf) -molex FFC/FPC connector Pitch 0.5mm vertical -0 -26 -25 -Connector_FFC-FPC -Molex_502231-3300_1x33-1SH_P0.5mm_Vertical -Molex 0.50mm Pitch Easy-On Type FFC/FPC Connector, For LVDS, 6.05mm Height, Vertical, Surface Mount, ZIF, 33 Circuits (https://www.molex.com/pdm_docs/sd/5022313300_sd.pdf) -molex FFC/FPC connector Pitch 0.5mm vertical -0 -36 -34 -Connector_FFC-FPC -Molex_502244-1530_1x15-1MP_P0.5mm_Horizontal -Molex 0.50mm Pitch Easy-On Type FFC/FPC Connector, For LVDS, 2.33mm Height, Right Angle, Surface Mount, ZIF, Bottom Contact Style, 15 Circuits (http://www.molex.com/pdm_docs/sd/5022441530_sd.pdf) -molex FFC/FPC connector Pitch 0.5mm right angle -0 -19 -16 -Connector_FFC-FPC -Molex_502244-2430_1x24-1MP_P0.5mm_Horizontal -Molex 0.50mm Pitch Easy-On Type FFC/FPC Connector, For LVDS, 2.33mm Height, Right Angle, Surface Mount, ZIF, Bottom Contact Style, 24 Circuits (http://www.molex.com/pdm_docs/sd/5022441530_sd.pdf) -molex FFC/FPC connector Pitch 0.5mm right angle -0 -28 -25 -Connector_FFC-FPC -Molex_502244-3330_1x33-1MP_P0.5mm_Horizontal -Molex 0.50mm Pitch Easy-On Type FFC/FPC Connector, For LVDS, 2.33mm Height, Right Angle, Surface Mount, ZIF, Bottom Contact Style, 33 Circuits (http://www.molex.com/pdm_docs/sd/5022441530_sd.pdf) -molex FFC/FPC connector Pitch 0.5mm right angle -0 -39 -34 -Connector_FFC-FPC -Molex_502250-1791_2Rows-17Pins-1MP_P0.60mm_Horizontal -Molex Molex 0.30mm Pitch Easy-On BackFlip Type FFC/FPC, 502250-1791, 17 Circuits (http://www.molex.com/pdm_docs/sd/5022501791_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -19 -18 -Connector_FFC-FPC -Molex_502250-2191_2Rows-21Pins-1MP_P0.60mm_Horizontal -Molex Molex 0.30mm Pitch Easy-On BackFlip Type FFC/FPC, 502250-2191, 21 Circuits (http://www.molex.com/pdm_docs/sd/5022502191_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -23 -22 -Connector_FFC-FPC -Molex_502250-2391_2Rows-23Pins-1MP_P0.60mm_Horizontal -Molex Molex 0.30mm Pitch Easy-On BackFlip Type FFC/FPC, 502250-2391, 23 Circuits (http://www.molex.com/pdm_docs/sd/5022502391_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -25 -24 -Connector_FFC-FPC -Molex_502250-2791_2Rows-27Pins-1MP_P0.60mm_Horizontal -Molex Molex 0.30mm Pitch Easy-On BackFlip Type FFC/FPC, 502250-2791, 27 Circuits (http://www.molex.com/pdm_docs/sd/5022502791_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -29 -28 -Connector_FFC-FPC -Molex_502250-3391_2Rows-33Pins-1MP_P0.60mm_Horizontal -Molex Molex 0.30mm Pitch Easy-On BackFlip Type FFC/FPC, 502250-3391, 33 Circuits (http://www.molex.com/pdm_docs/sd/5022503391_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -35 -34 -Connector_FFC-FPC -Molex_502250-3591_2Rows-35Pins-1MP_P0.60mm_Horizontal -Molex Molex 0.30mm Pitch Easy-On BackFlip Type FFC/FPC, 502250-3591, 35 Circuits (http://www.molex.com/pdm_docs/sd/5022503591_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -37 -36 -Connector_FFC-FPC -Molex_502250-3991_2Rows-39Pins-1MP_P0.60mm_Horizontal -Molex Molex 0.30mm Pitch Easy-On BackFlip Type FFC/FPC, 502250-3991, 39 Circuits (http://www.molex.com/pdm_docs/sd/5022503991_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -41 -40 -Connector_FFC-FPC -Molex_502250-4191_2Rows-41Pins-1MP_P0.60mm_Horizontal -Molex Molex 0.30mm Pitch Easy-On BackFlip Type FFC/FPC, 502250-4191, 41 Circuits (http://www.molex.com/pdm_docs/sd/5022504191_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -43 -42 -Connector_FFC-FPC -Molex_502250-5191_2Rows-51Pins-1MP_P0.60mm_Horizontal -Molex Molex 0.30mm Pitch Easy-On BackFlip Type FFC/FPC, 502250-5191, 51 Circuits (http://www.molex.com/pdm_docs/sd/5022505191_sd.pdf), generated with kicad-footprint-generator -connector Molex top entry -0 -53 -52 -Connector_FFC-FPC -Omron_XF2M-4015-1A_1x40-1MP_P0.5mm_Horizontal -Omron FPC connector, 40 top-side contacts, 0.5mm pitch, SMT, https://omronfs.omron.com/en_US/ecb/products/pdf/en-xf2m.pdf -omron fpc xf2m -0 -42 -41 -Connector_FFC-FPC -TE_0-1734839-5_1x05-1MP_P0.5mm_Horizontal -TE FPC connector, 05 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -7 -6 -Connector_FFC-FPC -TE_0-1734839-6_1x06-1MP_P0.5mm_Horizontal -TE FPC connector, 06 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -8 -7 -Connector_FFC-FPC -TE_0-1734839-7_1x07-1MP_P0.5mm_Horizontal -TE FPC connector, 07 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -9 -8 -Connector_FFC-FPC -TE_0-1734839-8_1x08-1MP_P0.5mm_Horizontal -TE FPC connector, 08 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -10 -9 -Connector_FFC-FPC -TE_0-1734839-9_1x09-1MP_P0.5mm_Horizontal -TE FPC connector, 09 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -11 -10 -Connector_FFC-FPC -TE_1-84952-0_1x10-1MP_P1.0mm_Horizontal -TE FPC connector, 10 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -12 -11 -Connector_FFC-FPC -TE_1-84952-1_1x11-1MP_P1.0mm_Horizontal -TE FPC connector, 11 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -13 -12 -Connector_FFC-FPC -TE_1-84952-2_1x12-1MP_P1.0mm_Horizontal -TE FPC connector, 12 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -14 -13 -Connector_FFC-FPC -TE_1-84952-3_1x13-1MP_P1.0mm_Horizontal -TE FPC connector, 13 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -15 -14 -Connector_FFC-FPC -TE_1-84952-4_1x14-1MP_P1.0mm_Horizontal -TE FPC connector, 14 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -16 -15 -Connector_FFC-FPC -TE_1-84952-5_1x15-1MP_P1.0mm_Horizontal -TE FPC connector, 15 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -17 -16 -Connector_FFC-FPC -TE_1-84952-6_1x16-1MP_P1.0mm_Horizontal -TE FPC connector, 16 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -18 -17 -Connector_FFC-FPC -TE_1-84952-7_1x17-1MP_P1.0mm_Horizontal -TE FPC connector, 17 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -19 -18 -Connector_FFC-FPC -TE_1-84952-8_1x18-1MP_P1.0mm_Horizontal -TE FPC connector, 18 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -20 -19 -Connector_FFC-FPC -TE_1-84952-9_1x19-1MP_P1.0mm_Horizontal -TE FPC connector, 19 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -21 -20 -Connector_FFC-FPC -TE_1-84953-0_1x10-1MP_P1.0mm_Horizontal -TE FPC connector, 10 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -12 -11 -Connector_FFC-FPC -TE_1-84953-1_1x11-1MP_P1.0mm_Horizontal -TE FPC connector, 11 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -13 -12 -Connector_FFC-FPC -TE_1-84953-2_1x12-1MP_P1.0mm_Horizontal -TE FPC connector, 12 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -14 -13 -Connector_FFC-FPC -TE_1-84953-3_1x13-1MP_P1.0mm_Horizontal -TE FPC connector, 13 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -15 -14 -Connector_FFC-FPC -TE_1-84953-4_1x14-1MP_P1.0mm_Horizontal -TE FPC connector, 14 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -16 -15 -Connector_FFC-FPC -TE_1-84953-5_1x15-1MP_P1.0mm_Horizontal -TE FPC connector, 15 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -17 -16 -Connector_FFC-FPC -TE_1-84953-6_1x16-1MP_P1.0mm_Horizontal -TE FPC connector, 16 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -18 -17 -Connector_FFC-FPC -TE_1-84953-7_1x17-1MP_P1.0mm_Horizontal -TE FPC connector, 17 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -19 -18 -Connector_FFC-FPC -TE_1-84953-8_1x18-1MP_P1.0mm_Horizontal -TE FPC connector, 18 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -20 -19 -Connector_FFC-FPC -TE_1-84953-9_1x19-1MP_P1.0mm_Horizontal -TE FPC connector, 19 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -21 -20 -Connector_FFC-FPC -TE_1-1734839-0_1x10-1MP_P0.5mm_Horizontal -TE FPC connector, 10 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -12 -11 -Connector_FFC-FPC -TE_1-1734839-1_1x11-1MP_P0.5mm_Horizontal -TE FPC connector, 11 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -13 -12 -Connector_FFC-FPC -TE_1-1734839-2_1x12-1MP_P0.5mm_Horizontal -TE FPC connector, 12 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -14 -13 -Connector_FFC-FPC -TE_1-1734839-3_1x13-1MP_P0.5mm_Horizontal -TE FPC connector, 13 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -15 -14 -Connector_FFC-FPC -TE_1-1734839-4_1x14-1MP_P0.5mm_Horizontal -TE FPC connector, 14 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -16 -15 -Connector_FFC-FPC -TE_1-1734839-5_1x15-1MP_P0.5mm_Horizontal -TE FPC connector, 15 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -17 -16 -Connector_FFC-FPC -TE_1-1734839-6_1x16-1MP_P0.5mm_Horizontal -TE FPC connector, 16 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -18 -17 -Connector_FFC-FPC -TE_1-1734839-7_1x17-1MP_P0.5mm_Horizontal -TE FPC connector, 17 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -19 -18 -Connector_FFC-FPC -TE_1-1734839-8_1x18-1MP_P0.5mm_Horizontal -TE FPC connector, 18 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -20 -19 -Connector_FFC-FPC -TE_1-1734839-9_1x19-1MP_P0.5mm_Horizontal -TE FPC connector, 19 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -21 -20 -Connector_FFC-FPC -TE_2-84952-0_1x20-1MP_P1.0mm_Horizontal -TE FPC connector, 20 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -22 -21 -Connector_FFC-FPC -TE_2-84952-1_1x21-1MP_P1.0mm_Horizontal -TE FPC connector, 21 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -23 -22 -Connector_FFC-FPC -TE_2-84952-2_1x22-1MP_P1.0mm_Horizontal -TE FPC connector, 22 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -24 -23 -Connector_FFC-FPC -TE_2-84952-3_1x23-1MP_P1.0mm_Horizontal -TE FPC connector, 23 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -25 -24 -Connector_FFC-FPC -TE_2-84952-4_1x24-1MP_P1.0mm_Horizontal -TE FPC connector, 24 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -26 -25 -Connector_FFC-FPC -TE_2-84952-5_1x25-1MP_P1.0mm_Horizontal -TE FPC connector, 25 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -27 -26 -Connector_FFC-FPC -TE_2-84952-6_1x26-1MP_P1.0mm_Horizontal -TE FPC connector, 26 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -28 -27 -Connector_FFC-FPC -TE_2-84952-7_1x27-1MP_P1.0mm_Horizontal -TE FPC connector, 27 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -29 -28 -Connector_FFC-FPC -TE_2-84952-8_1x28-1MP_P1.0mm_Horizontal -TE FPC connector, 28 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -30 -29 -Connector_FFC-FPC -TE_2-84952-9_1x29-1MP_P1.0mm_Horizontal -TE FPC connector, 29 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -31 -30 -Connector_FFC-FPC -TE_2-84953-0_1x20-1MP_P1.0mm_Horizontal -TE FPC connector, 20 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -22 -21 -Connector_FFC-FPC -TE_2-84953-1_1x21-1MP_P1.0mm_Horizontal -TE FPC connector, 21 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -23 -22 -Connector_FFC-FPC -TE_2-84953-2_1x22-1MP_P1.0mm_Horizontal -TE FPC connector, 22 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -24 -23 -Connector_FFC-FPC -TE_2-84953-3_1x23-1MP_P1.0mm_Horizontal -TE FPC connector, 23 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -25 -24 -Connector_FFC-FPC -TE_2-84953-4_1x24-1MP_P1.0mm_Horizontal -TE FPC connector, 24 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -26 -25 -Connector_FFC-FPC -TE_2-84953-5_1x25-1MP_P1.0mm_Horizontal -TE FPC connector, 25 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -27 -26 -Connector_FFC-FPC -TE_2-84953-6_1x26-1MP_P1.0mm_Horizontal -TE FPC connector, 26 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -28 -27 -Connector_FFC-FPC -TE_2-84953-7_1x27-1MP_P1.0mm_Horizontal -TE FPC connector, 27 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -29 -28 -Connector_FFC-FPC -TE_2-84953-8_1x28-1MP_P1.0mm_Horizontal -TE FPC connector, 28 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -30 -29 -Connector_FFC-FPC -TE_2-84953-9_1x29-1MP_P1.0mm_Horizontal -TE FPC connector, 29 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -31 -30 -Connector_FFC-FPC -TE_2-1734839-0_1x20-1MP_P0.5mm_Horizontal -TE FPC connector, 20 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -22 -21 -Connector_FFC-FPC -TE_2-1734839-1_1x21-1MP_P0.5mm_Horizontal -TE FPC connector, 21 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -23 -22 -Connector_FFC-FPC -TE_2-1734839-2_1x22-1MP_P0.5mm_Horizontal -TE FPC connector, 22 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -24 -23 -Connector_FFC-FPC -TE_2-1734839-3_1x23-1MP_P0.5mm_Horizontal -TE FPC connector, 23 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -25 -24 -Connector_FFC-FPC -TE_2-1734839-4_1x24-1MP_P0.5mm_Horizontal -TE FPC connector, 24 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -26 -25 -Connector_FFC-FPC -TE_2-1734839-5_1x25-1MP_P0.5mm_Horizontal -TE FPC connector, 25 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -27 -26 -Connector_FFC-FPC -TE_2-1734839-6_1x26-1MP_P0.5mm_Horizontal -TE FPC connector, 26 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -28 -27 -Connector_FFC-FPC -TE_2-1734839-7_1x27-1MP_P0.5mm_Horizontal -TE FPC connector, 27 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -29 -28 -Connector_FFC-FPC -TE_2-1734839-8_1x28-1MP_P0.5mm_Horizontal -TE FPC connector, 28 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -30 -29 -Connector_FFC-FPC -TE_2-1734839-9_1x29-1MP_P0.5mm_Horizontal -TE FPC connector, 29 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -31 -30 -Connector_FFC-FPC -TE_3-84952-0_1x30-1MP_P1.0mm_Horizontal -TE FPC connector, 30 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -32 -31 -Connector_FFC-FPC -TE_3-84953-0_1x30-1MP_P1.0mm_Horizontal -TE FPC connector, 30 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -32 -31 -Connector_FFC-FPC -TE_3-1734839-0_1x30-1MP_P0.5mm_Horizontal -TE FPC connector, 30 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -32 -31 -Connector_FFC-FPC -TE_3-1734839-1_1x31-1MP_P0.5mm_Horizontal -TE FPC connector, 31 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -33 -32 -Connector_FFC-FPC -TE_3-1734839-2_1x32-1MP_P0.5mm_Horizontal -TE FPC connector, 32 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -34 -33 -Connector_FFC-FPC -TE_3-1734839-3_1x33-1MP_P0.5mm_Horizontal -TE FPC connector, 33 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -35 -34 -Connector_FFC-FPC -TE_3-1734839-4_1x34-1MP_P0.5mm_Horizontal -TE FPC connector, 34 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -36 -35 -Connector_FFC-FPC -TE_3-1734839-5_1x35-1MP_P0.5mm_Horizontal -TE FPC connector, 35 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -37 -36 -Connector_FFC-FPC -TE_3-1734839-6_1x36-1MP_P0.5mm_Horizontal -TE FPC connector, 36 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -38 -37 -Connector_FFC-FPC -TE_3-1734839-7_1x37-1MP_P0.5mm_Horizontal -TE FPC connector, 37 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -39 -38 -Connector_FFC-FPC -TE_3-1734839-8_1x38-1MP_P0.5mm_Horizontal -TE FPC connector, 38 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -40 -39 -Connector_FFC-FPC -TE_3-1734839-9_1x39-1MP_P0.5mm_Horizontal -TE FPC connector, 39 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -41 -40 -Connector_FFC-FPC -TE_4-1734839-0_1x40-1MP_P0.5mm_Horizontal -TE FPC connector, 40 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -42 -41 -Connector_FFC-FPC -TE_4-1734839-1_1x41-1MP_P0.5mm_Horizontal -TE FPC connector, 41 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -43 -42 -Connector_FFC-FPC -TE_4-1734839-2_1x42-1MP_P0.5mm_Horizontal -TE FPC connector, 42 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -44 -43 -Connector_FFC-FPC -TE_4-1734839-3_1x43-1MP_P0.5mm_Horizontal -TE FPC connector, 43 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -45 -44 -Connector_FFC-FPC -TE_4-1734839-4_1x44-1MP_P0.5mm_Horizontal -TE FPC connector, 44 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -46 -45 -Connector_FFC-FPC -TE_4-1734839-5_1x45-1MP_P0.5mm_Horizontal -TE FPC connector, 45 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -47 -46 -Connector_FFC-FPC -TE_4-1734839-6_1x46-1MP_P0.5mm_Horizontal -TE FPC connector, 46 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -48 -47 -Connector_FFC-FPC -TE_4-1734839-7_1x47-1MP_P0.5mm_Horizontal -TE FPC connector, 47 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -49 -48 -Connector_FFC-FPC -TE_4-1734839-8_1x48-1MP_P0.5mm_Horizontal -TE FPC connector, 48 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -50 -49 -Connector_FFC-FPC -TE_4-1734839-9_1x49-1MP_P0.5mm_Horizontal -TE FPC connector, 49 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -51 -50 -Connector_FFC-FPC -TE_5-1734839-0_1x50-1MP_P0.5mm_Horizontal -TE FPC connector, 50 top-side contacts, 0.5mm pitch, SMT, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1734839%7FC%7Fpdf%7FEnglish%7FENG_CD_1734839_C_C_1734839.pdf%7F4-1734839-0 -te fpc 1734839 -0 -52 -51 -Connector_FFC-FPC -TE_84952-4_1x04-1MP_P1.0mm_Horizontal -TE FPC connector, 04 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -6 -5 -Connector_FFC-FPC -TE_84952-5_1x05-1MP_P1.0mm_Horizontal -TE FPC connector, 05 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -7 -6 -Connector_FFC-FPC -TE_84952-6_1x06-1MP_P1.0mm_Horizontal -TE FPC connector, 06 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -8 -7 -Connector_FFC-FPC -TE_84952-7_1x07-1MP_P1.0mm_Horizontal -TE FPC connector, 07 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -9 -8 -Connector_FFC-FPC -TE_84952-8_1x08-1MP_P1.0mm_Horizontal -TE FPC connector, 08 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -10 -9 -Connector_FFC-FPC -TE_84952-9_1x09-1MP_P1.0mm_Horizontal -TE FPC connector, 09 bottom-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4 -te fpc 84952 -0 -11 -10 -Connector_FFC-FPC -TE_84953-4_1x04-1MP_P1.0mm_Horizontal -TE FPC connector, 04 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -6 -5 -Connector_FFC-FPC -TE_84953-5_1x05-1MP_P1.0mm_Horizontal -TE FPC connector, 05 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -7 -6 -Connector_FFC-FPC -TE_84953-6_1x06-1MP_P1.0mm_Horizontal -TE FPC connector, 06 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -8 -7 -Connector_FFC-FPC -TE_84953-7_1x07-1MP_P1.0mm_Horizontal -TE FPC connector, 07 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -9 -8 -Connector_FFC-FPC -TE_84953-8_1x08-1MP_P1.0mm_Horizontal -TE FPC connector, 08 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -10 -9 -Connector_FFC-FPC -TE_84953-9_1x09-1MP_P1.0mm_Horizontal -TE FPC connector, 09 top-side contacts, 1.0mm pitch, 1.0mm height, SMT, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4 -te fpc 84953 -0 -11 -10 -Connector_FFC-FPC -Wuerth_68611214422_1x12-1MP_P1.0mm_Horizontal -http://katalog.we-online.de/em/datasheet/68611214422.pdf -Wuerth FPC 68611214422 connector 12 bottom-side contacts 1.0mm pitch 1.0mm height SMT -0 -14 -13 -Connector_HDMI -HDMI_A_Amphenol_10029449-x01xLF_Horizontal -HDMI Type A connector, Amphenol 10029449-001TLF 10029449-001RLF 10029449-101TLF 10029449-101RLF, Right Angle(https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10029449.pdf) -hdmi right angle -0 -23 -20 -Connector_HDMI -HDMI_A_Contact_Technology_HDMI-19APL2_Horizontal -HDMI Contact Technology Type A http://www.contactswitch.com/en/download.aspx?id=1449 -HDMI Contact Technology Type A -0 -23 -20 -Connector_HDMI -HDMI_A_Kycon_KDMIX-SL1-NS-WS-B15_VerticalRightAngle -HDMI, Type A, Kycon KDMIX-SL1-NS-WS-B15, Vertical Right Angle, http://www.kycon.com/Pub_Eng_Draw/KDMIX-SL1-NS-WS-B15.pdf -hdmi type a -0 -23 -20 -Connector_HDMI -HDMI_A_Molex_208658-1001_Horizontal -HDMI Molex Type A https://www.molex.com/pdm_docs/sd/2086581001_sd.pdf -HDMI Molex Type A -0 -23 -20 -Connector_HDMI -HDMI_Micro-D_Molex_46765-0x01 -HDMI, Micro, Type D, SMD, 0.4mm pitch, 19 ckt, right angle (http://www.molex.com/pdm_docs/sd/467651301_sd.pdf) -hdmi micro type d right angle smd -0 -23 -20 -Connector_HDMI -HDMI_Micro-D_Molex_46765-1x01 -HDMI, Micro, Type D, THT, 0.4mm pitch, 19 ckt, right angle (http://www.molex.com/pdm_docs/sd/467651301_sd.pdf) -hdmi micro type d right angle tht -0 -23 -20 -Connector_HDMI -HDMI_Micro-D_Molex_46765-2x0x -HDMI, Micro, Type D, THT/SMD hybrid, 0.4mm pitch, 19 ckt, right angle (http://www.molex.com/pdm_docs/sd/467651301_sd.pdf) -hdmi micro type d right angle tht smd hybrid -0 -23 -20 -Connector_Harting -Harting_har-flexicon_14110213001xxx_1x02-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14110213001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -4 -3 -Connector_Harting -Harting_har-flexicon_14110213002xxx_1x02-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110213002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -4 -3 -Connector_Harting -Harting_har-flexicon_14110213010xxx_1x02-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110213010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -4 -3 -Connector_Harting -Harting_har-flexicon_14110313001xxx_1x03-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14110313001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -5 -4 -Connector_Harting -Harting_har-flexicon_14110313002xxx_1x03-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110313002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -5 -4 -Connector_Harting -Harting_har-flexicon_14110313010xxx_1x03-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110313010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -5 -4 -Connector_Harting -Harting_har-flexicon_14110413001xxx_1x04-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14110413001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -6 -5 -Connector_Harting -Harting_har-flexicon_14110413002xxx_1x04-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110413002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -6 -5 -Connector_Harting -Harting_har-flexicon_14110413010xxx_1x04-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110413010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -6 -5 -Connector_Harting -Harting_har-flexicon_14110513001xxx_1x05-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14110513001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -7 -6 -Connector_Harting -Harting_har-flexicon_14110513002xxx_1x05-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110513002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -7 -6 -Connector_Harting -Harting_har-flexicon_14110513010xxx_1x05-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110513010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -7 -6 -Connector_Harting -Harting_har-flexicon_14110613001xxx_1x06-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14110613001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -8 -7 -Connector_Harting -Harting_har-flexicon_14110613002xxx_1x06-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110613002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -8 -7 -Connector_Harting -Harting_har-flexicon_14110613010xxx_1x06-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110613010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -8 -7 -Connector_Harting -Harting_har-flexicon_14110713001xxx_1x07-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14110713001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -9 -8 -Connector_Harting -Harting_har-flexicon_14110713002xxx_1x07-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110713002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -9 -8 -Connector_Harting -Harting_har-flexicon_14110713010xxx_1x07-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110713010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -9 -8 -Connector_Harting -Harting_har-flexicon_14110813001xxx_1x08-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14110813001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -10 -9 -Connector_Harting -Harting_har-flexicon_14110813002xxx_1x08-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110813002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -10 -9 -Connector_Harting -Harting_har-flexicon_14110813010xxx_1x08-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110813010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -10 -9 -Connector_Harting -Harting_har-flexicon_14110913001xxx_1x09-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14110913001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -11 -10 -Connector_Harting -Harting_har-flexicon_14110913002xxx_1x09-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110913002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -11 -10 -Connector_Harting -Harting_har-flexicon_14110913010xxx_1x09-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14110913010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -11 -10 -Connector_Harting -Harting_har-flexicon_14111013001xxx_1x10-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14111013001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -12 -11 -Connector_Harting -Harting_har-flexicon_14111013002xxx_1x10-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14111013002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -12 -11 -Connector_Harting -Harting_har-flexicon_14111013010xxx_1x10-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14111013010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -12 -11 -Connector_Harting -Harting_har-flexicon_14111113001xxx_1x11-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14111113001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -13 -12 -Connector_Harting -Harting_har-flexicon_14111113002xxx_1x11-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14111113002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -13 -12 -Connector_Harting -Harting_har-flexicon_14111113010xxx_1x11-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14111113010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -13 -12 -Connector_Harting -Harting_har-flexicon_14111213001xxx_1x12-MP_P2.54mm_Vertical -Harting har-flexicon series connector, 14111213001xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13001XXX_100228421DRW046C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon vertical -0 -14 -13 -Connector_Harting -Harting_har-flexicon_14111213002xxx_1x12-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14111213002xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13002XXX_100228421DRW035C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -14 -13 -Connector_Harting -Harting_har-flexicon_14111213010xxx_1x12-MP_P2.54mm_Horizontal -Harting har-flexicon series connector, 14111213010xxx (https://b2b.harting.com/files/download/PRD/PDF_TS/1411XX13010XXX_100228421DRW063C.pdf), generated with kicad-footprint-generator -connector Harting har-flexicon horizontal -0 -14 -13 -Connector_Harwin -Harwin_Gecko-G125-FVX0605L0X_2x03_P1.25mm_Vertical -Harwin Gecko Connector, 6 pins, dual row female, vertical entry, PN:G125-FVX0605L0X -connector harwin gecko -0 -6 -6 -Connector_Harwin -Harwin_Gecko-G125-FVX1005L0X_2x05_P1.25mm_Vertical -Harwin Gecko Connector, 10 pins, dual row female, vertical entry, PN:G125-FVX1005L0X -connector harwin gecko -0 -10 -10 -Connector_Harwin -Harwin_Gecko-G125-FVX1205L0X_2x06_P1.25mm_Vertical -Harwin Gecko Connector, 12 pins, dual row female, vertical entry, PN:G125-FVX1205L0X -connector harwin gecko -0 -12 -12 -Connector_Harwin -Harwin_Gecko-G125-FVX1605L0X_2x08_P1.25mm_Vertical -Harwin Gecko Connector, 16 pins, dual row female, vertical entry, PN:G125-FVX1605L0X -connector harwin gecko -0 -16 -16 -Connector_Harwin -Harwin_Gecko-G125-FVX2005L0X_2x10_P1.25mm_Vertical -Harwin Gecko Connector, 20 pins, dual row female, vertical entry, PN:G125-FVX2005L0X -connector harwin gecko -0 -20 -20 -Connector_Harwin -Harwin_Gecko-G125-FVX2605L0X_2x13_P1.25mm_Vertical -Harwin Gecko Connector, 26 pins, dual row female, vertical entry, PN:G125-FVX2605L0X -connector harwin gecko -0 -26 -26 -Connector_Harwin -Harwin_Gecko-G125-FVX3405L0X_2x17_P1.25mm_Vertical -Harwin Gecko Connector, 34 pins, dual row female, vertical entry, PN:G125-FVX3405L0X -connector harwin gecko -0 -34 -34 -Connector_Harwin -Harwin_Gecko-G125-FVX5005L0X_2x25_P1.25mm_Vertical -Harwin Gecko Connector, 50 pins, dual row female, vertical entry, PN:G125-FVX5005L0X -connector harwin gecko -0 -50 -50 -Connector_Harwin -Harwin_Gecko-G125-MVX0605L0X_2x03_P1.25mm_Vertical -Harwin Gecko Connector, 6 pins, dual row male, vertical entry, no latches, PN:G125-MVX0605L0X -connector harwin gecko -0 -6 -6 -Connector_Harwin -Harwin_Gecko-G125-MVX0605L1X_2x03_P1.25mm_Vertical -Harwin Gecko Connector, 6 pins, dual row male, vertical entry, with latches, PN:G125-MVX0605L1X -connector harwin gecko -0 -6 -6 -Connector_Harwin -Harwin_Gecko-G125-MVX1005L0X_2x05_P1.25mm_Vertical -Harwin Gecko Connector, 10 pins, dual row male, vertical entry, no latches, PN:G125-MVX1005L0X -connector harwin gecko -0 -10 -10 -Connector_Harwin -Harwin_Gecko-G125-MVX1005L1X_2x05_P1.25mm_Vertical -Harwin Gecko Connector, 10 pins, dual row male, vertical entry, with latches, PN:G125-MVX1005L1X -connector harwin gecko -0 -10 -10 -Connector_Harwin -Harwin_Gecko-G125-MVX1205L0X_2x06_P1.25mm_Vertical -Harwin Gecko Connector, 12 pins, dual row male, vertical entry, no latches, PN:G125-MVX1205L0X -connector harwin gecko -0 -12 -12 -Connector_Harwin -Harwin_Gecko-G125-MVX1205L1X_2x06_P1.25mm_Vertical -Harwin Gecko Connector, 12 pins, dual row male, vertical entry, with latches, PN:G125-MVX1205L1X -connector harwin gecko -0 -12 -12 -Connector_Harwin -Harwin_Gecko-G125-MVX1605L0X_2x08_P1.25mm_Vertical -Harwin Gecko Connector, 16 pins, dual row male, vertical entry, no latches, PN:G125-MVX1605L0X -connector harwin gecko -0 -16 -16 -Connector_Harwin -Harwin_Gecko-G125-MVX1605L1X_2x08_P1.25mm_Vertical -Harwin Gecko Connector, 16 pins, dual row male, vertical entry, with latches, PN:G125-MVX1605L1X -connector harwin gecko -0 -16 -16 -Connector_Harwin -Harwin_Gecko-G125-MVX2005L0X_2x10_P1.25mm_Vertical -Harwin Gecko Connector, 20 pins, dual row male, vertical entry, no latches, PN:G125-MVX2005L0X -connector harwin gecko -0 -20 -20 -Connector_Harwin -Harwin_Gecko-G125-MVX2005L1X_2x10_P1.25mm_Vertical -Harwin Gecko Connector, 20 pins, dual row male, vertical entry, with latches, PN:G125-MVX2005L1X -connector harwin gecko -0 -20 -20 -Connector_Harwin -Harwin_Gecko-G125-MVX2605L0X_2x13_P1.25mm_Vertical -Harwin Gecko Connector, 26 pins, dual row male, vertical entry, no latches, PN:G125-MVX2605L0X -connector harwin gecko -0 -26 -26 -Connector_Harwin -Harwin_Gecko-G125-MVX2605L1X_2x13_P1.25mm_Vertical -Harwin Gecko Connector, 26 pins, dual row male, vertical entry, with latches, PN:G125-MVX2605L1X -connector harwin gecko -0 -26 -26 -Connector_Harwin -Harwin_Gecko-G125-MVX3405L0X_2x17_P1.25mm_Vertical -Harwin Gecko Connector, 34 pins, dual row male, vertical entry, no latches, PN:G125-MVX3405L0X -connector harwin gecko -0 -34 -34 -Connector_Harwin -Harwin_Gecko-G125-MVX3405L1X_2x17_P1.25mm_Vertical -Harwin Gecko Connector, 34 pins, dual row male, vertical entry, with latches, PN:G125-MVX3405L1X -connector harwin gecko -0 -34 -34 -Connector_Harwin -Harwin_Gecko-G125-MVX5005L0X_2x25_P1.25mm_Vertical -Harwin Gecko Connector, 50 pins, dual row male, vertical entry, no latches, PN:G125-MVX5005L0X -connector harwin gecko -0 -50 -50 -Connector_Harwin -Harwin_Gecko-G125-MVX5005L1X_2x25_P1.25mm_Vertical -Harwin Gecko Connector, 50 pins, dual row male, vertical entry, with latches, PN:G125-MVX5005L1X -connector harwin gecko -0 -50 -50 -Connector_Harwin -Harwin_LTek-Male_02_P2.00mm_Vertical -Harwin LTek Connector, 2 pins, single row male, vertical entry -connector harwin ltek M80 -0 -2 -2 -Connector_Harwin -Harwin_LTek-Male_02_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 2 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -6 -2 -Connector_Harwin -Harwin_LTek-Male_2x02_P2.00mm_Vertical -Harwin LTek Connector, 4 pins, single row male, vertical entry -connector harwin ltek M80 -0 -4 -4 -Connector_Harwin -Harwin_LTek-Male_2x02_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 4 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -8 -4 -Connector_Harwin -Harwin_LTek-Male_2x03_P2.00mm_Vertical -Harwin LTek Connector, 6 pins, single row male, vertical entry -connector harwin ltek M80 -0 -6 -6 -Connector_Harwin -Harwin_LTek-Male_2x03_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 6 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -10 -6 -Connector_Harwin -Harwin_LTek-Male_2x04_P2.00mm_Vertical -Harwin LTek Connector, 8 pins, single row male, vertical entry -connector harwin ltek M80 -0 -8 -8 -Connector_Harwin -Harwin_LTek-Male_2x04_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 8 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -12 -8 -Connector_Harwin -Harwin_LTek-Male_2x05_P2.00mm_Vertical -Harwin LTek Connector, 10 pins, single row male, vertical entry -connector harwin ltek M80 -0 -10 -10 -Connector_Harwin -Harwin_LTek-Male_2x05_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 10 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -14 -10 -Connector_Harwin -Harwin_LTek-Male_2x06_P2.00mm_Vertical -Harwin LTek Connector, 12 pins, single row male, vertical entry -connector harwin ltek M80 -0 -12 -12 -Connector_Harwin -Harwin_LTek-Male_2x06_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 12 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -16 -12 -Connector_Harwin -Harwin_LTek-Male_2x07_P2.00mm_Vertical -Harwin LTek Connector, 14 pins, single row male, vertical entry -connector harwin ltek M80 -0 -14 -14 -Connector_Harwin -Harwin_LTek-Male_2x07_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 14 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -18 -14 -Connector_Harwin -Harwin_LTek-Male_2x08_P2.00mm_Vertical -Harwin LTek Connector, 16 pins, single row male, vertical entry -connector harwin ltek M80 -0 -16 -16 -Connector_Harwin -Harwin_LTek-Male_2x08_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 16 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -20 -16 -Connector_Harwin -Harwin_LTek-Male_2x09_P2.00mm_Vertical -Harwin LTek Connector, 18 pins, single row male, vertical entry -connector harwin ltek M80 -0 -18 -18 -Connector_Harwin -Harwin_LTek-Male_2x09_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 18 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -22 -18 -Connector_Harwin -Harwin_LTek-Male_2x10_P2.00mm_Vertical -Harwin LTek Connector, 20 pins, single row male, vertical entry -connector harwin ltek M80 -0 -20 -20 -Connector_Harwin -Harwin_LTek-Male_2x10_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 20 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -24 -20 -Connector_Harwin -Harwin_LTek-Male_2x13_P2.00mm_Vertical -Harwin LTek Connector, 26 pins, single row male, vertical entry -connector harwin ltek M80 -0 -26 -26 -Connector_Harwin -Harwin_LTek-Male_2x13_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 26 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -30 -26 -Connector_Harwin -Harwin_LTek-Male_2x17_P2.00mm_Vertical -Harwin LTek Connector, 34 pins, single row male, vertical entry -connector harwin ltek M80 -0 -34 -34 -Connector_Harwin -Harwin_LTek-Male_2x17_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 34 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -38 -34 -Connector_Harwin -Harwin_LTek-Male_2x22_P2.00mm_Vertical -Harwin LTek Connector, 44 pins, single row male, vertical entry -connector harwin ltek M80 -0 -44 -44 -Connector_Harwin -Harwin_LTek-Male_2x22_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 44 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -48 -44 -Connector_Harwin -Harwin_LTek-Male_03_P2.00mm_Vertical -Harwin LTek Connector, 3 pins, single row male, vertical entry -connector harwin ltek M80 -0 -3 -3 -Connector_Harwin -Harwin_LTek-Male_03_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 3 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -7 -3 -Connector_Harwin -Harwin_LTek-Male_04_P2.00mm_Vertical -Harwin LTek Connector, 4 pins, single row male, vertical entry -connector harwin ltek M80 -0 -4 -4 -Connector_Harwin -Harwin_LTek-Male_04_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 4 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -8 -4 -Connector_Harwin -Harwin_LTek-Male_05_P2.00mm_Vertical -Harwin LTek Connector, 5 pins, single row male, vertical entry -connector harwin ltek M80 -0 -5 -5 -Connector_Harwin -Harwin_LTek-Male_05_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 5 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -9 -5 -Connector_Harwin -Harwin_LTek-Male_06_P2.00mm_Vertical -Harwin LTek Connector, 6 pins, single row male, vertical entry -connector harwin ltek M80 -0 -6 -6 -Connector_Harwin -Harwin_LTek-Male_06_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 6 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -10 -6 -Connector_Harwin -Harwin_LTek-Male_07_P2.00mm_Vertical -Harwin LTek Connector, 7 pins, single row male, vertical entry -connector harwin ltek M80 -0 -7 -7 -Connector_Harwin -Harwin_LTek-Male_07_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 7 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -11 -7 -Connector_Harwin -Harwin_LTek-Male_17_P2.00mm_Vertical -Harwin LTek Connector, 17 pins, single row male, vertical entry -connector harwin ltek M80 -0 -17 -17 -Connector_Harwin -Harwin_LTek-Male_17_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 17 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -21 -17 -Connector_Harwin -Harwin_LTek-Male_22_P2.00mm_Vertical -Harwin LTek Connector, 22 pins, single row male, vertical entry -connector harwin ltek M80 -0 -22 -22 -Connector_Harwin -Harwin_LTek-Male_22_P2.00mm_Vertical_StrainRelief -Harwin LTek Connector, 22 pins, single row male, vertical entry, strain relief clip -connector harwin ltek M80 -0 -26 -22 -Connector_Harwin -Harwin_M20-89003xx_1x03_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89003xx, 3 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -4 -3 -Connector_Harwin -Harwin_M20-89004xx_1x04_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89004xx, 4 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -5 -4 -Connector_Harwin -Harwin_M20-89005xx_1x05_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89005xx, 5 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -6 -5 -Connector_Harwin -Harwin_M20-89006xx_1x06_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89006xx, 6 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -7 -6 -Connector_Harwin -Harwin_M20-89007xx_1x07_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89007xx, 7 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -8 -7 -Connector_Harwin -Harwin_M20-89008xx_1x08_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89008xx, 8 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -9 -8 -Connector_Harwin -Harwin_M20-89009xx_1x09_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89009xx, 9 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -10 -9 -Connector_Harwin -Harwin_M20-89010xx_1x10_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89010xx, 10 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -11 -10 -Connector_Harwin -Harwin_M20-89011xx_1x11_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89011xx, 11 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -12 -11 -Connector_Harwin -Harwin_M20-89012xx_1x12_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89012xx, 12 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -13 -12 -Connector_Harwin -Harwin_M20-89013xx_1x13_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89013xx, 13 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -14 -13 -Connector_Harwin -Harwin_M20-89014xx_1x14_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89014xx, 14 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -15 -14 -Connector_Harwin -Harwin_M20-89015xx_1x15_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89015xx, 15 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -16 -15 -Connector_Harwin -Harwin_M20-89016xx_1x16_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89016xx, 16 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -17 -16 -Connector_Harwin -Harwin_M20-89017xx_1x17_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89017xx, 17 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -18 -17 -Connector_Harwin -Harwin_M20-89018xx_1x18_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89018xx, 18 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -19 -18 -Connector_Harwin -Harwin_M20-89019xx_1x19_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89019xx, 19 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -20 -19 -Connector_Harwin -Harwin_M20-89020xx_1x20_P2.54mm_Horizontal -Harwin Male Horizontal Surface Mount Single Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-89020xx, 20 Pins per row (https://cdn.harwin.com/pdfs/M20-890.pdf), generated with kicad-footprint-generator -connector Harwin M20-890 horizontal -0 -21 -20 -Connector_Harwin -Harwin_M20-7810245_2x02_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7810245, 2 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -4 -4 -Connector_Harwin -Harwin_M20-7810345_2x03_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7810345, 3 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -6 -6 -Connector_Harwin -Harwin_M20-7810445_2x04_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7810445, 4 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -8 -8 -Connector_Harwin -Harwin_M20-7810545_2x05_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7810545, 5 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -10 -10 -Connector_Harwin -Harwin_M20-7810645_2x06_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7810645, 6 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -12 -12 -Connector_Harwin -Harwin_M20-7810745_2x07_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7810745, 7 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -14 -14 -Connector_Harwin -Harwin_M20-7810845_2x08_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7810845, 8 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -16 -16 -Connector_Harwin -Harwin_M20-7810945_2x09_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7810945, 9 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -18 -18 -Connector_Harwin -Harwin_M20-7811045_2x10_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7811045, 10 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -20 -20 -Connector_Harwin -Harwin_M20-7811245_2x12_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7811245, 12 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -24 -24 -Connector_Harwin -Harwin_M20-7811545_2x15_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7811545, 15 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -30 -30 -Connector_Harwin -Harwin_M20-7812045_2x20_P2.54mm_Vertical -Harwin Female Vertical Surface Mount Double Row 2.54mm (0.1 inch) Pitch PCB Connector, M20-7812045, 20 Pins per row (https://cdn.harwin.com/pdfs/M20-781.pdf), generated with kicad-footprint-generator -connector Harwin M20 side entry -0 -40 -40 -Connector_Hirose -Hirose_BM24_BM24-40DP-2-0.35V_2x20_P0.35mm_PowerPin2_Vertical -Hirose BM24 series connector, BM24-40DP/2-0.35V (https://www.hirose.com/product/en/download_file/key_name/BM24/category/Catalog/doc_file_id/47680/?file_category_id=4&item_id=50&is_series=1) -connector Hirose BM24 40pin header -0 -44 -42 -Connector_Hirose -Hirose_BM24_BM24-40DS-2-0.35V_2x20_P0.35mm_PowerPin2_Vertical -Hirose BM24 series connector, BM24-40DS/2-0.35V (https://www.hirose.com/product/en/download_file/key_name/BM24/category/Catalog/doc_file_id/47680/?file_category_id=4&item_id=50&is_series=1) -connector Hirose 40pin receptacle vertical -0 -42 -42 -Connector_Hirose -Hirose_DF3EA-02P-2H_1x02-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-02P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -4 -3 -Connector_Hirose -Hirose_DF3EA-03P-2H_1x03-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-03P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -5 -4 -Connector_Hirose -Hirose_DF3EA-04P-2H_1x04-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-04P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -6 -5 -Connector_Hirose -Hirose_DF3EA-05P-2H_1x05-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-05P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -7 -6 -Connector_Hirose -Hirose_DF3EA-06P-2H_1x06-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-06P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -8 -7 -Connector_Hirose -Hirose_DF3EA-07P-2H_1x07-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-07P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -9 -8 -Connector_Hirose -Hirose_DF3EA-08P-2H_1x08-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-08P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -10 -9 -Connector_Hirose -Hirose_DF3EA-09P-2H_1x09-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-09P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -11 -10 -Connector_Hirose -Hirose_DF3EA-10P-2H_1x10-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-10P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -12 -11 -Connector_Hirose -Hirose_DF3EA-11P-2H_1x11-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-11P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -13 -12 -Connector_Hirose -Hirose_DF3EA-12P-2H_1x12-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-12P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -14 -13 -Connector_Hirose -Hirose_DF3EA-13P-2H_1x13-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-13P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -15 -14 -Connector_Hirose -Hirose_DF3EA-14P-2H_1x14-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-14P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -16 -15 -Connector_Hirose -Hirose_DF3EA-15P-2H_1x15-1MP_P2.00mm_Horizontal -Hirose series connector, DF3EA-15P-2H (https://www.hirose.com/product/document?clcode=CL0543-0332-0-51&productname=DF3EA-5P-2H(51)&series=DF3&documenttype=2DDrawing&lang=en&documentid=0001163317), generated with kicad-footprint-generator -connector Hirose top entry -0 -17 -16 -Connector_Hirose -Hirose_DF11-4DP-2DSA_2x02_P2.00mm_Vertical -Hirose DF11 through hole, DF11-4DP-2DSA, 2 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -4 -4 -Connector_Hirose -Hirose_DF11-6DP-2DSA_2x03_P2.00mm_Vertical -Hirose DF11 through hole, DF11-6DP-2DSA, 3 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -6 -6 -Connector_Hirose -Hirose_DF11-8DP-2DSA_2x04_P2.00mm_Vertical -Hirose DF11 through hole, DF11-8DP-2DSA, 4 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -8 -8 -Connector_Hirose -Hirose_DF11-10DP-2DSA_2x05_P2.00mm_Vertical -Hirose DF11 through hole, DF11-10DP-2DSA, 5 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -10 -10 -Connector_Hirose -Hirose_DF11-12DP-2DSA_2x06_P2.00mm_Vertical -Hirose DF11 through hole, DF11-12DP-2DSA, 6 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -12 -12 -Connector_Hirose -Hirose_DF11-14DP-2DSA_2x07_P2.00mm_Vertical -Hirose DF11 through hole, DF11-14DP-2DSA, 7 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -14 -14 -Connector_Hirose -Hirose_DF11-16DP-2DSA_2x08_P2.00mm_Vertical -Hirose DF11 through hole, DF11-16DP-2DSA, 8 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -16 -16 -Connector_Hirose -Hirose_DF11-18DP-2DSA_2x09_P2.00mm_Vertical -Hirose DF11 through hole, DF11-18DP-2DSA, 9 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -18 -18 -Connector_Hirose -Hirose_DF11-20DP-2DSA_2x10_P2.00mm_Vertical -Hirose DF11 through hole, DF11-20DP-2DSA, 10 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -20 -20 -Connector_Hirose -Hirose_DF11-22DP-2DSA_2x11_P2.00mm_Vertical -Hirose DF11 through hole, DF11-22DP-2DSA, 11 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -22 -22 -Connector_Hirose -Hirose_DF11-24DP-2DSA_2x12_P2.00mm_Vertical -Hirose DF11 through hole, DF11-24DP-2DSA, 12 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -24 -24 -Connector_Hirose -Hirose_DF11-26DP-2DSA_2x13_P2.00mm_Vertical -Hirose DF11 through hole, DF11-26DP-2DSA, 13 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -26 -26 -Connector_Hirose -Hirose_DF11-28DP-2DSA_2x14_P2.00mm_Vertical -Hirose DF11 through hole, DF11-28DP-2DSA, 14 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -28 -28 -Connector_Hirose -Hirose_DF11-30DP-2DSA_2x15_P2.00mm_Vertical -Hirose DF11 through hole, DF11-30DP-2DSA, 15 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -30 -30 -Connector_Hirose -Hirose_DF11-32DP-2DSA_2x16_P2.00mm_Vertical -Hirose DF11 through hole, DF11-32DP-2DSA, 16 Pins per row (https://www.hirose.com/product/document?clcode=&productname=&series=DF11&documenttype=Catalog&lang=en&documentid=D31688_en), generated with kicad-footprint-generator -connector Hirose DF11 vertical -0 -32 -32 -Connector_Hirose -Hirose_DF12_DF12C3.0-10DS-0.5V_2x05_P0.50mm_Vertical -Hirose DF12C SMD, DF12C3.0-10DS-0.5V, 10 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0694-9-81&productname=DF12C(3.0)-50DS-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000994748), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -20 -10 -Connector_Hirose -Hirose_DF12_DF12C3.0-14DS-0.5V_2x07_P0.50mm_Vertical -Hirose DF12C SMD, DF12C3.0-14DS-0.5V, 14 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0694-9-81&productname=DF12C(3.0)-50DS-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000994748), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -28 -14 -Connector_Hirose -Hirose_DF12_DF12C3.0-20DS-0.5V_2x10_P0.50mm_Vertical -Hirose DF12C SMD, DF12C3.0-20DS-0.5V, 20 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0694-9-81&productname=DF12C(3.0)-50DS-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000994748), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -40 -20 -Connector_Hirose -Hirose_DF12_DF12C3.0-30DS-0.5V_2x15_P0.50mm_Vertical -Hirose DF12C SMD, DF12C3.0-30DS-0.5V, 30 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0694-9-81&productname=DF12C(3.0)-50DS-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000994748), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -60 -30 -Connector_Hirose -Hirose_DF12_DF12C3.0-32DS-0.5V_2x16_P0.50mm_Vertical -Hirose DF12C SMD, DF12C3.0-32DS-0.5V, 32 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0694-9-81&productname=DF12C(3.0)-50DS-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000994748), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -64 -32 -Connector_Hirose -Hirose_DF12_DF12C3.0-36DS-0.5V_2x18_P0.50mm_Vertical -Hirose DF12C SMD, DF12C3.0-36DS-0.5V, 36 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0694-9-81&productname=DF12C(3.0)-50DS-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000994748), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -72 -36 -Connector_Hirose -Hirose_DF12_DF12C3.0-40DS-0.5V_2x20_P0.50mm_Vertical -Hirose DF12C SMD, DF12C3.0-40DS-0.5V, 40 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0694-9-81&productname=DF12C(3.0)-50DS-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000994748), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -80 -40 -Connector_Hirose -Hirose_DF12_DF12C3.0-50DS-0.5V_2x25_P0.50mm_Vertical -Hirose DF12C SMD, DF12C3.0-50DS-0.5V, 50 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0694-9-81&productname=DF12C(3.0)-50DS-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000994748), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -100 -50 -Connector_Hirose -Hirose_DF12_DF12C3.0-60DS-0.5V_2x30_P0.50mm_Vertical -Hirose DF12C SMD, DF12C3.0-60DS-0.5V, 60 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0694-9-81&productname=DF12C(3.0)-50DS-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000994748), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -120 -60 -Connector_Hirose -Hirose_DF12_DF12E3.0-10DP-0.5V_2x05_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-10DP-0.5V, 10 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -20 -10 -Connector_Hirose -Hirose_DF12_DF12E3.0-14DP-0.5V_2x07_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-14DP-0.5V, 14 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -28 -14 -Connector_Hirose -Hirose_DF12_DF12E3.0-20DP-0.5V_2x10_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-20DP-0.5V, 20 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -40 -20 -Connector_Hirose -Hirose_DF12_DF12E3.0-30DP-0.5V_2x15_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-30DP-0.5V, 30 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -60 -30 -Connector_Hirose -Hirose_DF12_DF12E3.0-32DP-0.5V_2x16_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-32DP-0.5V, 32 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -64 -32 -Connector_Hirose -Hirose_DF12_DF12E3.0-36DP-0.5V_2x18_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-36DP-0.5V, 36 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -72 -36 -Connector_Hirose -Hirose_DF12_DF12E3.0-40DP-0.5V_2x20_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-40DP-0.5V, 40 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -80 -40 -Connector_Hirose -Hirose_DF12_DF12E3.0-50DP-0.5V_2x25_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-50DP-0.5V, 50 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -100 -50 -Connector_Hirose -Hirose_DF12_DF12E3.0-60DP-0.5V_2x30_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-60DP-0.5V, 60 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -120 -60 -Connector_Hirose -Hirose_DF12_DF12E3.0-80DP-0.5V_2x40_P0.50mm_Vertical -Hirose DF12E SMD, DF12E3.0-80DP-0.5V, 80 Pins per row (https://www.hirose.com/product/document?clcode=CL0537-0834-6-81&productname=DF12E(3.0)-50DP-0.5V(81)&series=DF12&documenttype=2DDrawing&lang=en&documentid=0000992393), generated with kicad-footprint-generator -connector Hirose DF12 vertical -0 -160 -80 -Connector_Hirose -Hirose_DF13-02P-1.25DSA_1x02_P1.25mm_Vertical -Hirose DF13 through hole, DF13-02P-1.25DSA, 2 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -2 -2 -Connector_Hirose -Hirose_DF13-02P-1.25DS_1x02_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-02P-1.25DS, 2 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -2 -2 -Connector_Hirose -Hirose_DF13-03P-1.25DSA_1x03_P1.25mm_Vertical -Hirose DF13 through hole, DF13-03P-1.25DSA, 3 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -3 -3 -Connector_Hirose -Hirose_DF13-03P-1.25DS_1x03_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-03P-1.25DS, 3 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -3 -3 -Connector_Hirose -Hirose_DF13-04P-1.25DSA_1x04_P1.25mm_Vertical -Hirose DF13 through hole, DF13-04P-1.25DSA, 4 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -4 -4 -Connector_Hirose -Hirose_DF13-04P-1.25DS_1x04_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-04P-1.25DS, 4 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -4 -4 -Connector_Hirose -Hirose_DF13-05P-1.25DSA_1x05_P1.25mm_Vertical -Hirose DF13 through hole, DF13-05P-1.25DSA, 5 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -5 -5 -Connector_Hirose -Hirose_DF13-05P-1.25DS_1x05_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-05P-1.25DS, 5 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -5 -5 -Connector_Hirose -Hirose_DF13-06P-1.25DSA_1x06_P1.25mm_Vertical -Hirose DF13 through hole, DF13-06P-1.25DSA, 6 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -6 -6 -Connector_Hirose -Hirose_DF13-06P-1.25DS_1x06_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-06P-1.25DS, 6 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -6 -6 -Connector_Hirose -Hirose_DF13-07P-1.25DSA_1x07_P1.25mm_Vertical -Hirose DF13 through hole, DF13-07P-1.25DSA, 7 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -7 -7 -Connector_Hirose -Hirose_DF13-07P-1.25DS_1x07_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-07P-1.25DS, 7 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -7 -7 -Connector_Hirose -Hirose_DF13-08P-1.25DSA_1x08_P1.25mm_Vertical -Hirose DF13 through hole, DF13-08P-1.25DSA, 8 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -8 -8 -Connector_Hirose -Hirose_DF13-08P-1.25DS_1x08_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-08P-1.25DS, 8 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -8 -8 -Connector_Hirose -Hirose_DF13-09P-1.25DSA_1x09_P1.25mm_Vertical -Hirose DF13 through hole, DF13-09P-1.25DSA, 9 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -9 -9 -Connector_Hirose -Hirose_DF13-09P-1.25DS_1x09_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-09P-1.25DS, 9 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -9 -9 -Connector_Hirose -Hirose_DF13-10P-1.25DSA_1x10_P1.25mm_Vertical -Hirose DF13 through hole, DF13-10P-1.25DSA, 10 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -10 -10 -Connector_Hirose -Hirose_DF13-10P-1.25DS_1x10_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-10P-1.25DS, 10 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -10 -10 -Connector_Hirose -Hirose_DF13-11P-1.25DSA_1x11_P1.25mm_Vertical -Hirose DF13 through hole, DF13-11P-1.25DSA, 11 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -11 -11 -Connector_Hirose -Hirose_DF13-11P-1.25DS_1x11_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-11P-1.25DS, 11 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -11 -11 -Connector_Hirose -Hirose_DF13-12P-1.25DSA_1x12_P1.25mm_Vertical -Hirose DF13 through hole, DF13-12P-1.25DSA, 12 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -12 -12 -Connector_Hirose -Hirose_DF13-12P-1.25DS_1x12_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-12P-1.25DS, 12 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -12 -12 -Connector_Hirose -Hirose_DF13-13P-1.25DSA_1x13_P1.25mm_Vertical -Hirose DF13 through hole, DF13-13P-1.25DSA, 13 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -13 -13 -Connector_Hirose -Hirose_DF13-14P-1.25DSA_1x14_P1.25mm_Vertical -Hirose DF13 through hole, DF13-14P-1.25DSA, 14 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -14 -14 -Connector_Hirose -Hirose_DF13-14P-1.25DS_1x14_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-14P-1.25DS, 14 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -14 -14 -Connector_Hirose -Hirose_DF13-15P-1.25DSA_1x15_P1.25mm_Vertical -Hirose DF13 through hole, DF13-15P-1.25DSA, 15 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/), generated with kicad-footprint-generator -connector Hirose DF13 vertical -0 -15 -15 -Connector_Hirose -Hirose_DF13-15P-1.25DS_1x15_P1.25mm_Horizontal -Hirose DF13 through hole, DF13-15P-1.25DS, 15 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/), generated with kicad-footprint-generator -connector Hirose DF13 horizontal -0 -15 -15 -Connector_Hirose -Hirose_DF13C_CL535-0402-2-51_1x02-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0402-2-51, 2 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -4 -3 -Connector_Hirose -Hirose_DF13C_CL535-0403-5-51_1x03-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0403-5-51, 3 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -5 -4 -Connector_Hirose -Hirose_DF13C_CL535-0404-8-51_1x04-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0404-8-51, 4 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -6 -5 -Connector_Hirose -Hirose_DF13C_CL535-0405-0-51_1x05-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0405-0-51, 5 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -7 -6 -Connector_Hirose -Hirose_DF13C_CL535-0406-3-51_1x06-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0406-3-51, 6 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -8 -7 -Connector_Hirose -Hirose_DF13C_CL535-0407-6-51_1x07-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0407-6-51, 7 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -9 -8 -Connector_Hirose -Hirose_DF13C_CL535-0408-9-51_1x08-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0408-9-51, 8 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -10 -9 -Connector_Hirose -Hirose_DF13C_CL535-0409-1-51_1x09-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0409-1-51, 9 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -11 -10 -Connector_Hirose -Hirose_DF13C_CL535-0410-4-51_1x10-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0410-4-51, 10 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -12 -11 -Connector_Hirose -Hirose_DF13C_CL535-0411-3-51_1x11-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0411-3-51, 11 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -13 -12 -Connector_Hirose -Hirose_DF13C_CL535-0412-6-51_1x12-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0412-6-51, 12 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -14 -13 -Connector_Hirose -Hirose_DF13C_CL535-0414-1-51_1x14-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0414-1-51, 14 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -16 -15 -Connector_Hirose -Hirose_DF13C_CL535-0415-4-51_1x15-1MP_P1.25mm_Vertical -Hirose DF13C SMD, CL535-0415-4-51, 15 Pins per row (https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/), generated with kicad-footprint-generator -connector Hirose DF13C vertical -0 -17 -16 -Connector_Hirose -Hirose_DF52-2S-0.8H_1x02-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-2S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -4 -3 -Connector_Hirose -Hirose_DF52-3S-0.8H_1x03-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-3S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -5 -4 -Connector_Hirose -Hirose_DF52-4S-0.8H_1x04-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-4S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -6 -5 -Connector_Hirose -Hirose_DF52-5S-0.8H_1x05-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-5S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -7 -6 -Connector_Hirose -Hirose_DF52-6S-0.8H_1x06-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-6S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -8 -7 -Connector_Hirose -Hirose_DF52-7S-0.8H_1x07-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-7S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -9 -8 -Connector_Hirose -Hirose_DF52-8S-0.8H_1x08-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-8S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -10 -9 -Connector_Hirose -Hirose_DF52-9S-0.8H_1x09-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-9S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -11 -10 -Connector_Hirose -Hirose_DF52-10S-0.8H_1x10-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-10S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -12 -11 -Connector_Hirose -Hirose_DF52-11S-0.8H_1x11-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-11S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -13 -12 -Connector_Hirose -Hirose_DF52-12S-0.8H_1x12-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-12S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -14 -13 -Connector_Hirose -Hirose_DF52-14S-0.8H_1x14-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-14S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -16 -15 -Connector_Hirose -Hirose_DF52-15S-0.8H_1x15-1MP_P0.80mm_Horizontal -Hirose series connector, DF52-15S-0.8H (https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/), generated with kicad-footprint-generator -connector Hirose top entry -0 -17 -16 -Connector_Hirose -Hirose_DF63-5P-3.96DSA_1x05_P3.96mm_Vertical -Hirose DF63 through hole, DF63-5P-3.96DSA, 5 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -5 -5 -Connector_Hirose -Hirose_DF63-6P-3.96DSA_1x06_P3.96mm_Vertical -Hirose DF63 through hole, DF63-6P-3.96DSA, 6 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -6 -6 -Connector_Hirose -Hirose_DF63M-1P-3.96DSA_1x01_P3.96mm_Vertical -Hirose DF63 through hole, DF63M-1P-3.96DSA, 1 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -1 -1 -Connector_Hirose -Hirose_DF63M-2P-3.96DSA_1x02_P3.96mm_Vertical -Hirose DF63 through hole, DF63M-2P-3.96DSA, 2 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -2 -2 -Connector_Hirose -Hirose_DF63M-3P-3.96DSA_1x03_P3.96mm_Vertical -Hirose DF63 through hole, DF63M-3P-3.96DSA, 3 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -3 -3 -Connector_Hirose -Hirose_DF63M-4P-3.96DSA_1x04_P3.96mm_Vertical -Hirose DF63 through hole, DF63M-4P-3.96DSA, 4 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -4 -4 -Connector_Hirose -Hirose_DF63R-1P-3.96DSA_1x01_P3.96mm_Vertical -Hirose DF63 through hole, DF63R-1P-3.96DSA, 1 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -1 -1 -Connector_Hirose -Hirose_DF63R-2P-3.96DSA_1x02_P3.96mm_Vertical -Hirose DF63 through hole, DF63R-2P-3.96DSA, 2 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -2 -2 -Connector_Hirose -Hirose_DF63R-3P-3.96DSA_1x03_P3.96mm_Vertical -Hirose DF63 through hole, DF63R-3P-3.96DSA, 3 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -3 -3 -Connector_Hirose -Hirose_DF63R-4P-3.96DSA_1x04_P3.96mm_Vertical -Hirose DF63 through hole, DF63R-4P-3.96DSA, 4 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -4 -4 -Connector_Hirose -Hirose_DF63R-5P-3.96DSA_1x05_P3.96mm_Vertical -Hirose DF63 through hole, DF63R-5P-3.96DSA, 5 Pins per row (https://www.hirose.com/product/en/products/DF63/), generated with kicad-footprint-generator -connector Hirose DF63 vertical -0 -5 -5 -Connector_IDC -IDC-Header_2x03_P2.54mm_Horizontal -Through hole IDC box header, 2x03, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x03 2.54mm double row -0 -6 -6 -Connector_IDC -IDC-Header_2x03_P2.54mm_Vertical -Through hole IDC box header, 2x03, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x03 2.54mm double row -0 -6 -6 -Connector_IDC -IDC-Header_2x03_P2.54mm_Vertical_SMD -SMD IDC box header, 2x03, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x03 2.54mm double row -0 -6 -6 -Connector_IDC -IDC-Header_2x04_P2.54mm_Horizontal -Through hole IDC box header, 2x04, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x04 2.54mm double row -0 -8 -8 -Connector_IDC -IDC-Header_2x04_P2.54mm_Vertical -Through hole IDC box header, 2x04, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x04 2.54mm double row -0 -8 -8 -Connector_IDC -IDC-Header_2x04_P2.54mm_Vertical_SMD -SMD IDC box header, 2x04, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x04 2.54mm double row -0 -8 -8 -Connector_IDC -IDC-Header_2x05-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x05 2.54mm double row -0 -12 -11 -Connector_IDC -IDC-Header_2x05-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x05 2.54mm double row -0 -12 -11 -Connector_IDC -IDC-Header_2x05-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x05 2.54mm double row -0 -12 -11 -Connector_IDC -IDC-Header_2x05-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x05 2.54mm double row -0 -12 -11 -Connector_IDC -IDC-Header_2x05-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x05 2.54mm double row -0 -12 -11 -Connector_IDC -IDC-Header_2x05_P2.54mm_Horizontal -Through hole IDC box header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x05 2.54mm double row -0 -10 -10 -Connector_IDC -IDC-Header_2x05_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x05 2.54mm double row -0 -10 -10 -Connector_IDC -IDC-Header_2x05_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x05 2.54mm double row -0 -10 -10 -Connector_IDC -IDC-Header_2x05_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x05 2.54mm double row -0 -10 -10 -Connector_IDC -IDC-Header_2x05_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x05 2.54mm double row -0 -10 -10 -Connector_IDC -IDC-Header_2x05_P2.54mm_Latch_Vertical -Through hole IDC header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x05 2.54mm double row -0 -10 -10 -Connector_IDC -IDC-Header_2x05_P2.54mm_Vertical -Through hole IDC box header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x05 2.54mm double row -0 -10 -10 -Connector_IDC -IDC-Header_2x05_P2.54mm_Vertical_SMD -SMD IDC box header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x05 2.54mm double row -0 -10 -10 -Connector_IDC -IDC-Header_2x06-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x06 2.54mm double row -0 -14 -13 -Connector_IDC -IDC-Header_2x06-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x06 2.54mm double row -0 -14 -13 -Connector_IDC -IDC-Header_2x06-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x06 2.54mm double row -0 -14 -13 -Connector_IDC -IDC-Header_2x06-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x06 2.54mm double row -0 -14 -13 -Connector_IDC -IDC-Header_2x06-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x06 2.54mm double row -0 -14 -13 -Connector_IDC -IDC-Header_2x06_P2.54mm_Horizontal -Through hole IDC box header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x06 2.54mm double row -0 -12 -12 -Connector_IDC -IDC-Header_2x06_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x06 2.54mm double row -0 -12 -12 -Connector_IDC -IDC-Header_2x06_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x06 2.54mm double row -0 -12 -12 -Connector_IDC -IDC-Header_2x06_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x06 2.54mm double row -0 -12 -12 -Connector_IDC -IDC-Header_2x06_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x06 2.54mm double row -0 -12 -12 -Connector_IDC -IDC-Header_2x06_P2.54mm_Latch_Vertical -Through hole IDC header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x06 2.54mm double row -0 -12 -12 -Connector_IDC -IDC-Header_2x06_P2.54mm_Vertical -Through hole IDC box header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x06 2.54mm double row -0 -12 -12 -Connector_IDC -IDC-Header_2x06_P2.54mm_Vertical_SMD -SMD IDC box header, 2x06, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x06 2.54mm double row -0 -12 -12 -Connector_IDC -IDC-Header_2x07-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x07 2.54mm double row -0 -16 -15 -Connector_IDC -IDC-Header_2x07-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x07 2.54mm double row -0 -16 -15 -Connector_IDC -IDC-Header_2x07-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x07 2.54mm double row -0 -16 -15 -Connector_IDC -IDC-Header_2x07-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x07 2.54mm double row -0 -16 -15 -Connector_IDC -IDC-Header_2x07-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x07 2.54mm double row -0 -16 -15 -Connector_IDC -IDC-Header_2x07_P2.54mm_Horizontal -Through hole IDC box header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x07 2.54mm double row -0 -14 -14 -Connector_IDC -IDC-Header_2x07_P2.54mm_Horizontal_Lock -Connector IDC Locked, 10 contacts, compatible header: PANCON HE10 (Series 50, (https://www.reboul.fr/storage/00003af6.pdf) -connector idc locked -0 -16 -14 -Connector_IDC -IDC-Header_2x07_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x07 2.54mm double row -0 -14 -14 -Connector_IDC -IDC-Header_2x07_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x07 2.54mm double row -0 -14 -14 -Connector_IDC -IDC-Header_2x07_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x07 2.54mm double row -0 -14 -14 -Connector_IDC -IDC-Header_2x07_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x07 2.54mm double row -0 -14 -14 -Connector_IDC -IDC-Header_2x07_P2.54mm_Latch_Vertical -Through hole IDC header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x07 2.54mm double row -0 -14 -14 -Connector_IDC -IDC-Header_2x07_P2.54mm_Vertical -Through hole IDC box header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x07 2.54mm double row -0 -14 -14 -Connector_IDC -IDC-Header_2x07_P2.54mm_Vertical_SMD -SMD IDC box header, 2x07, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x07 2.54mm double row -0 -14 -14 -Connector_IDC -IDC-Header_2x08-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x08 2.54mm double row -0 -18 -17 -Connector_IDC -IDC-Header_2x08-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x08 2.54mm double row -0 -18 -17 -Connector_IDC -IDC-Header_2x08-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x08 2.54mm double row -0 -18 -17 -Connector_IDC -IDC-Header_2x08-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x08 2.54mm double row -0 -18 -17 -Connector_IDC -IDC-Header_2x08-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x08 2.54mm double row -0 -18 -17 -Connector_IDC -IDC-Header_2x08_P2.54mm_Horizontal -Through hole IDC box header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x08 2.54mm double row -0 -16 -16 -Connector_IDC -IDC-Header_2x08_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x08 2.54mm double row -0 -16 -16 -Connector_IDC -IDC-Header_2x08_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x08 2.54mm double row -0 -16 -16 -Connector_IDC -IDC-Header_2x08_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x08 2.54mm double row -0 -16 -16 -Connector_IDC -IDC-Header_2x08_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x08 2.54mm double row -0 -16 -16 -Connector_IDC -IDC-Header_2x08_P2.54mm_Latch_Vertical -Through hole IDC header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x08 2.54mm double row -0 -16 -16 -Connector_IDC -IDC-Header_2x08_P2.54mm_Vertical -Through hole IDC box header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x08 2.54mm double row -0 -16 -16 -Connector_IDC -IDC-Header_2x08_P2.54mm_Vertical_SMD -SMD IDC box header, 2x08, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x08 2.54mm double row -0 -16 -16 -Connector_IDC -IDC-Header_2x10-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x10 2.54mm double row -0 -22 -21 -Connector_IDC -IDC-Header_2x10-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x10 2.54mm double row -0 -22 -21 -Connector_IDC -IDC-Header_2x10-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x10 2.54mm double row -0 -22 -21 -Connector_IDC -IDC-Header_2x10-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x10 2.54mm double row -0 -22 -21 -Connector_IDC -IDC-Header_2x10-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x10 2.54mm double row -0 -22 -21 -Connector_IDC -IDC-Header_2x10_P2.54mm_Horizontal -Through hole IDC box header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x10 2.54mm double row -0 -20 -20 -Connector_IDC -IDC-Header_2x10_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x10 2.54mm double row -0 -20 -20 -Connector_IDC -IDC-Header_2x10_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x10 2.54mm double row -0 -20 -20 -Connector_IDC -IDC-Header_2x10_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x10 2.54mm double row -0 -20 -20 -Connector_IDC -IDC-Header_2x10_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x10 2.54mm double row -0 -20 -20 -Connector_IDC -IDC-Header_2x10_P2.54mm_Latch_Vertical -Through hole IDC header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x10 2.54mm double row -0 -20 -20 -Connector_IDC -IDC-Header_2x10_P2.54mm_Vertical -Through hole IDC box header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x10 2.54mm double row -0 -20 -20 -Connector_IDC -IDC-Header_2x10_P2.54mm_Vertical_SMD -SMD IDC box header, 2x10, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x10 2.54mm double row -0 -20 -20 -Connector_IDC -IDC-Header_2x12-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x12 2.54mm double row -0 -26 -25 -Connector_IDC -IDC-Header_2x12-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x12 2.54mm double row -0 -26 -25 -Connector_IDC -IDC-Header_2x12-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x12 2.54mm double row -0 -26 -25 -Connector_IDC -IDC-Header_2x12-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x12 2.54mm double row -0 -26 -25 -Connector_IDC -IDC-Header_2x12-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x12 2.54mm double row -0 -26 -25 -Connector_IDC -IDC-Header_2x12_P2.54mm_Horizontal -Through hole IDC box header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x12 2.54mm double row -0 -24 -24 -Connector_IDC -IDC-Header_2x12_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x12 2.54mm double row -0 -24 -24 -Connector_IDC -IDC-Header_2x12_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x12 2.54mm double row -0 -24 -24 -Connector_IDC -IDC-Header_2x12_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x12 2.54mm double row -0 -24 -24 -Connector_IDC -IDC-Header_2x12_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x12 2.54mm double row -0 -24 -24 -Connector_IDC -IDC-Header_2x12_P2.54mm_Latch_Vertical -Through hole IDC header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x12 2.54mm double row -0 -24 -24 -Connector_IDC -IDC-Header_2x12_P2.54mm_Vertical -Through hole IDC box header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x12 2.54mm double row -0 -24 -24 -Connector_IDC -IDC-Header_2x12_P2.54mm_Vertical_SMD -SMD IDC box header, 2x12, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x12 2.54mm double row -0 -24 -24 -Connector_IDC -IDC-Header_2x13-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x13 2.54mm double row -0 -28 -27 -Connector_IDC -IDC-Header_2x13-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x13 2.54mm double row -0 -28 -27 -Connector_IDC -IDC-Header_2x13-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x13 2.54mm double row -0 -28 -27 -Connector_IDC -IDC-Header_2x13-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x13 2.54mm double row -0 -28 -27 -Connector_IDC -IDC-Header_2x13-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x13 2.54mm double row -0 -28 -27 -Connector_IDC -IDC-Header_2x13_P2.54mm_Horizontal -Through hole IDC box header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x13 2.54mm double row -0 -26 -26 -Connector_IDC -IDC-Header_2x13_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x13 2.54mm double row -0 -26 -26 -Connector_IDC -IDC-Header_2x13_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x13 2.54mm double row -0 -26 -26 -Connector_IDC -IDC-Header_2x13_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x13 2.54mm double row -0 -26 -26 -Connector_IDC -IDC-Header_2x13_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x13 2.54mm double row -0 -26 -26 -Connector_IDC -IDC-Header_2x13_P2.54mm_Latch_Vertical -Through hole IDC header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x13 2.54mm double row -0 -26 -26 -Connector_IDC -IDC-Header_2x13_P2.54mm_Vertical -Through hole IDC box header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x13 2.54mm double row -0 -26 -26 -Connector_IDC -IDC-Header_2x13_P2.54mm_Vertical_SMD -SMD IDC box header, 2x13, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x13 2.54mm double row -0 -26 -26 -Connector_IDC -IDC-Header_2x15-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x15 2.54mm double row -0 -32 -31 -Connector_IDC -IDC-Header_2x15-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x15 2.54mm double row -0 -32 -31 -Connector_IDC -IDC-Header_2x15-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x15 2.54mm double row -0 -32 -31 -Connector_IDC -IDC-Header_2x15-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x15 2.54mm double row -0 -32 -31 -Connector_IDC -IDC-Header_2x15-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x15 2.54mm double row -0 -32 -31 -Connector_IDC -IDC-Header_2x15_P2.54mm_Horizontal -Through hole IDC box header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x15 2.54mm double row -0 -30 -30 -Connector_IDC -IDC-Header_2x15_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x15 2.54mm double row -0 -30 -30 -Connector_IDC -IDC-Header_2x15_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x15 2.54mm double row -0 -30 -30 -Connector_IDC -IDC-Header_2x15_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x15 2.54mm double row -0 -30 -30 -Connector_IDC -IDC-Header_2x15_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x15 2.54mm double row -0 -30 -30 -Connector_IDC -IDC-Header_2x15_P2.54mm_Latch_Vertical -Through hole IDC header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x15 2.54mm double row -0 -30 -30 -Connector_IDC -IDC-Header_2x15_P2.54mm_Vertical -Through hole IDC box header, 2x15, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x15 2.54mm double row -0 -30 -30 -Connector_IDC -IDC-Header_2x17-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x17 2.54mm double row -0 -36 -35 -Connector_IDC -IDC-Header_2x17-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x17 2.54mm double row -0 -36 -35 -Connector_IDC -IDC-Header_2x17-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x17 2.54mm double row -0 -36 -35 -Connector_IDC -IDC-Header_2x17-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x17 2.54mm double row -0 -36 -35 -Connector_IDC -IDC-Header_2x17-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x17 2.54mm double row -0 -36 -35 -Connector_IDC -IDC-Header_2x17_P2.54mm_Horizontal -Through hole IDC box header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x17 2.54mm double row -0 -34 -34 -Connector_IDC -IDC-Header_2x17_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x17 2.54mm double row -0 -34 -34 -Connector_IDC -IDC-Header_2x17_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x17 2.54mm double row -0 -34 -34 -Connector_IDC -IDC-Header_2x17_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x17 2.54mm double row -0 -34 -34 -Connector_IDC -IDC-Header_2x17_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x17 2.54mm double row -0 -34 -34 -Connector_IDC -IDC-Header_2x17_P2.54mm_Latch_Vertical -Through hole IDC header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x17 2.54mm double row -0 -34 -34 -Connector_IDC -IDC-Header_2x17_P2.54mm_Vertical -Through hole IDC box header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x17 2.54mm double row -0 -34 -34 -Connector_IDC -IDC-Header_2x20-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x20 2.54mm double row -0 -42 -41 -Connector_IDC -IDC-Header_2x20-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x20 2.54mm double row -0 -42 -41 -Connector_IDC -IDC-Header_2x20-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x20 2.54mm double row -0 -42 -41 -Connector_IDC -IDC-Header_2x20-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x20 2.54mm double row -0 -42 -41 -Connector_IDC -IDC-Header_2x20-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x20 2.54mm double row -0 -42 -41 -Connector_IDC -IDC-Header_2x20_P2.54mm_Horizontal -Through hole IDC box header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x20 2.54mm double row -0 -40 -40 -Connector_IDC -IDC-Header_2x20_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x20 2.54mm double row -0 -40 -40 -Connector_IDC -IDC-Header_2x20_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x20 2.54mm double row -0 -40 -40 -Connector_IDC -IDC-Header_2x20_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x20 2.54mm double row -0 -40 -40 -Connector_IDC -IDC-Header_2x20_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x20 2.54mm double row -0 -40 -40 -Connector_IDC -IDC-Header_2x20_P2.54mm_Latch_Vertical -Through hole IDC header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x20 2.54mm double row -0 -40 -40 -Connector_IDC -IDC-Header_2x20_P2.54mm_Vertical -Through hole IDC box header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x20 2.54mm double row -0 -40 -40 -Connector_IDC -IDC-Header_2x20_P2.54mm_Vertical_SMD -SMD IDC box header, 2x20, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x20 2.54mm double row -0 -40 -40 -Connector_IDC -IDC-Header_2x25-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x25 2.54mm double row -0 -52 -51 -Connector_IDC -IDC-Header_2x25-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x25 2.54mm double row -0 -52 -51 -Connector_IDC -IDC-Header_2x25-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x25 2.54mm double row -0 -52 -51 -Connector_IDC -IDC-Header_2x25-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x25 2.54mm double row -0 -52 -51 -Connector_IDC -IDC-Header_2x25-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x25 2.54mm double row -0 -52 -51 -Connector_IDC -IDC-Header_2x25_P2.54mm_Horizontal -Through hole IDC box header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x25 2.54mm double row -0 -50 -50 -Connector_IDC -IDC-Header_2x25_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x25 2.54mm double row -0 -50 -50 -Connector_IDC -IDC-Header_2x25_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x25 2.54mm double row -0 -50 -50 -Connector_IDC -IDC-Header_2x25_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x25 2.54mm double row -0 -50 -50 -Connector_IDC -IDC-Header_2x25_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x25 2.54mm double row -0 -50 -50 -Connector_IDC -IDC-Header_2x25_P2.54mm_Latch_Vertical -Through hole IDC header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x25 2.54mm double row -0 -50 -50 -Connector_IDC -IDC-Header_2x25_P2.54mm_Vertical -Through hole IDC box header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x25 2.54mm double row -0 -50 -50 -Connector_IDC -IDC-Header_2x25_P2.54mm_Vertical_SMD -SMD IDC box header, 2x25, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x25 2.54mm double row -0 -50 -50 -Connector_IDC -IDC-Header_2x30-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x30 2.54mm double row -0 -62 -61 -Connector_IDC -IDC-Header_2x30-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x30 2.54mm double row -0 -62 -61 -Connector_IDC -IDC-Header_2x30-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x30 2.54mm double row -0 -62 -61 -Connector_IDC -IDC-Header_2x30-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x30 2.54mm double row -0 -62 -61 -Connector_IDC -IDC-Header_2x30-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x30 2.54mm double row -0 -62 -61 -Connector_IDC -IDC-Header_2x30_P2.54mm_Horizontal -Through hole IDC box header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x30 2.54mm double row -0 -60 -60 -Connector_IDC -IDC-Header_2x30_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x30 2.54mm double row -0 -60 -60 -Connector_IDC -IDC-Header_2x30_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x30 2.54mm double row -0 -60 -60 -Connector_IDC -IDC-Header_2x30_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x30 2.54mm double row -0 -60 -60 -Connector_IDC -IDC-Header_2x30_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x30 2.54mm double row -0 -60 -60 -Connector_IDC -IDC-Header_2x30_P2.54mm_Latch_Vertical -Through hole IDC header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x30 2.54mm double row -0 -60 -60 -Connector_IDC -IDC-Header_2x30_P2.54mm_Vertical -Through hole IDC box header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x30 2.54mm double row -0 -60 -60 -Connector_IDC -IDC-Header_2x30_P2.54mm_Vertical_SMD -SMD IDC box header, 2x30, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://www.tme.eu/Document/4baa0e952ce73e37bc68cf730b541507/T821M114A1S100CEU-B.pdf -SMD vertical IDC box header 2x30 2.54mm double row -0 -60 -60 -Connector_IDC -IDC-Header_2x32-1MP_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x32 2.54mm double row -0 -66 -65 -Connector_IDC -IDC-Header_2x32-1MP_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x32 2.54mm double row -0 -66 -65 -Connector_IDC -IDC-Header_2x32-1MP_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x32 2.54mm double row -0 -66 -65 -Connector_IDC -IDC-Header_2x32-1MP_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x32 2.54mm double row -0 -66 -65 -Connector_IDC -IDC-Header_2x32-1MP_P2.54mm_Latch_Vertical -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, mounting holes, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x32 2.54mm double row -0 -66 -65 -Connector_IDC -IDC-Header_2x32_P2.54mm_Horizontal -Through hole IDC box header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC box header THT 2x32 2.54mm double row -0 -64 -64 -Connector_IDC -IDC-Header_2x32_P2.54mm_Latch6.5mm_Vertical -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 6.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x32 2.54mm double row -0 -64 -64 -Connector_IDC -IDC-Header_2x32_P2.54mm_Latch9.5mm_Vertical -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 9.5mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x32 2.54mm double row -0 -64 -64 -Connector_IDC -IDC-Header_2x32_P2.54mm_Latch12.0mm_Vertical -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, 12.0mm latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x32 2.54mm double row -0 -64 -64 -Connector_IDC -IDC-Header_2x32_P2.54mm_Latch_Horizontal -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole horizontal IDC header THT 2x32 2.54mm double row -0 -64 -64 -Connector_IDC -IDC-Header_2x32_P2.54mm_Latch_Vertical -Through hole IDC header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows latches, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC header THT 2x32 2.54mm double row -0 -64 -64 -Connector_IDC -IDC-Header_2x32_P2.54mm_Vertical -Through hole IDC box header, 2x32, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0 -Through hole vertical IDC box header THT 2x32 2.54mm double row -0 -64 -64 -Connector_JAE -JAE_LY20-4P-DLT1_2x02_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-4P-DLT1, 2 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -4 -4 -Connector_JAE -JAE_LY20-4P-DT1_2x02_P2.00mm_Vertical -Molex LY 20 series connector, LY20-4P-DT1, 2 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -4 -4 -Connector_JAE -JAE_LY20-6P-DLT1_2x03_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-6P-DLT1, 3 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -6 -6 -Connector_JAE -JAE_LY20-6P-DT1_2x03_P2.00mm_Vertical -Molex LY 20 series connector, LY20-6P-DT1, 3 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -6 -6 -Connector_JAE -JAE_LY20-8P-DLT1_2x04_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-8P-DLT1, 4 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -8 -8 -Connector_JAE -JAE_LY20-8P-DT1_2x04_P2.00mm_Vertical -Molex LY 20 series connector, LY20-8P-DT1, 4 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -8 -8 -Connector_JAE -JAE_LY20-10P-DLT1_2x05_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-10P-DLT1, 5 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -10 -10 -Connector_JAE -JAE_LY20-10P-DT1_2x05_P2.00mm_Vertical -Molex LY 20 series connector, LY20-10P-DT1, 5 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -10 -10 -Connector_JAE -JAE_LY20-12P-DLT1_2x06_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-12P-DLT1, 6 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -12 -12 -Connector_JAE -JAE_LY20-12P-DT1_2x06_P2.00mm_Vertical -Molex LY 20 series connector, LY20-12P-DT1, 6 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -12 -12 -Connector_JAE -JAE_LY20-14P-DLT1_2x07_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-14P-DLT1, 7 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -14 -14 -Connector_JAE -JAE_LY20-14P-DT1_2x07_P2.00mm_Vertical -Molex LY 20 series connector, LY20-14P-DT1, 7 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -14 -14 -Connector_JAE -JAE_LY20-16P-DLT1_2x08_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-16P-DLT1, 8 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -16 -16 -Connector_JAE -JAE_LY20-16P-DT1_2x08_P2.00mm_Vertical -Molex LY 20 series connector, LY20-16P-DT1, 8 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -16 -16 -Connector_JAE -JAE_LY20-18P-DLT1_2x09_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-18P-DLT1, 9 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -18 -18 -Connector_JAE -JAE_LY20-18P-DT1_2x09_P2.00mm_Vertical -Molex LY 20 series connector, LY20-18P-DT1, 9 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -18 -18 -Connector_JAE -JAE_LY20-20P-DLT1_2x10_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-20P-DLT1, 10 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -20 -20 -Connector_JAE -JAE_LY20-20P-DT1_2x10_P2.00mm_Vertical -Molex LY 20 series connector, LY20-20P-DT1, 10 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -20 -20 -Connector_JAE -JAE_LY20-22P-DLT1_2x11_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-22P-DLT1, 11 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -22 -22 -Connector_JAE -JAE_LY20-22P-DT1_2x11_P2.00mm_Vertical -Molex LY 20 series connector, LY20-22P-DT1, 11 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -22 -22 -Connector_JAE -JAE_LY20-24P-DLT1_2x12_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-24P-DLT1, 12 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -24 -24 -Connector_JAE -JAE_LY20-24P-DT1_2x12_P2.00mm_Vertical -Molex LY 20 series connector, LY20-24P-DT1, 12 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -24 -24 -Connector_JAE -JAE_LY20-26P-DLT1_2x13_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-26P-DLT1, 13 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -26 -26 -Connector_JAE -JAE_LY20-26P-DT1_2x13_P2.00mm_Vertical -Molex LY 20 series connector, LY20-26P-DT1, 13 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -26 -26 -Connector_JAE -JAE_LY20-28P-DLT1_2x14_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-28P-DLT1, 14 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -28 -28 -Connector_JAE -JAE_LY20-28P-DT1_2x14_P2.00mm_Vertical -Molex LY 20 series connector, LY20-28P-DT1, 14 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -28 -28 -Connector_JAE -JAE_LY20-30P-DLT1_2x15_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-30P-DLT1, 15 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -30 -30 -Connector_JAE -JAE_LY20-30P-DT1_2x15_P2.00mm_Vertical -Molex LY 20 series connector, LY20-30P-DT1, 15 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -30 -30 -Connector_JAE -JAE_LY20-32P-DLT1_2x16_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-32P-DLT1, 16 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -32 -32 -Connector_JAE -JAE_LY20-32P-DT1_2x16_P2.00mm_Vertical -Molex LY 20 series connector, LY20-32P-DT1, 16 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -32 -32 -Connector_JAE -JAE_LY20-34P-DLT1_2x17_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-34P-DLT1, 17 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -34 -34 -Connector_JAE -JAE_LY20-34P-DT1_2x17_P2.00mm_Vertical -Molex LY 20 series connector, LY20-34P-DT1, 17 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -34 -34 -Connector_JAE -JAE_LY20-36P-DLT1_2x18_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-36P-DLT1, 18 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -36 -36 -Connector_JAE -JAE_LY20-36P-DT1_2x18_P2.00mm_Vertical -Molex LY 20 series connector, LY20-36P-DT1, 18 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -36 -36 -Connector_JAE -JAE_LY20-38P-DLT1_2x19_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-38P-DLT1, 19 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -38 -38 -Connector_JAE -JAE_LY20-38P-DT1_2x19_P2.00mm_Vertical -Molex LY 20 series connector, LY20-38P-DT1, 19 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -38 -38 -Connector_JAE -JAE_LY20-40P-DLT1_2x20_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-40P-DLT1, 20 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -40 -40 -Connector_JAE -JAE_LY20-40P-DT1_2x20_P2.00mm_Vertical -Molex LY 20 series connector, LY20-40P-DT1, 20 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -40 -40 -Connector_JAE -JAE_LY20-42P-DLT1_2x21_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-42P-DLT1, 21 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -42 -42 -Connector_JAE -JAE_LY20-42P-DT1_2x21_P2.00mm_Vertical -Molex LY 20 series connector, LY20-42P-DT1, 21 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -42 -42 -Connector_JAE -JAE_LY20-44P-DLT1_2x22_P2.00mm_Horizontal -Molex LY 20 series connector, LY20-44P-DLT1, 22 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf), generated with kicad-footprint-generator -connector JAE top entry -0 -44 -44 -Connector_JAE -JAE_LY20-44P-DT1_2x22_P2.00mm_Vertical -Molex LY 20 series connector, LY20-44P-DT1, 22 Circuits (http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf), generated with kicad-footprint-generator -connector JAE side entry -0 -44 -44 -Connector_JAE -JAE_MM70-314-310B1 -http://www.heilind.com/marketing/documents/jae/JAE_MM70.pdf -connector JAE MXM -0 -280 -279 -Connector_JAE -JAE_SIM_Card_SF72S006 -SIM Card, Push-Push, https://www.jae.com/direct/topics/topics_file_download/topics_id=68892&ext_no=06&index=0&_lang=en&v=202003111511468456809 -SIM Card with Detect Switch -0 -18 -9 -Connector_JST -JST_ACH_BM01B-ACHSS-A-GAN-ETF_1x01-1MP_P1.20mm_Vertical -JST ACH series connector, BM01B-ACHSS-A-GAN-ETF (http://www.jst-mfg.com/product/pdf/eng/eACH.pdf), generated with kicad-footprint-generator -connector JST ACH vertical -0 -3 -2 -Connector_JST -JST_ACH_BM02B-ACHSS-GAN-ETF_1x02-1MP_P1.20mm_Vertical -JST ACH series connector, BM02B-ACHSS-GAN-ETF (http://www.jst-mfg.com/product/pdf/eng/eACH.pdf), generated with kicad-footprint-generator -connector JST ACH vertical -0 -4 -3 -Connector_JST -JST_ACH_BM03B-ACHSS-GAN-ETF_1x03-1MP_P1.20mm_Vertical -JST ACH series connector, BM03B-ACHSS-GAN-ETF (http://www.jst-mfg.com/product/pdf/eng/eACH.pdf), generated with kicad-footprint-generator -connector JST ACH vertical -0 -5 -4 -Connector_JST -JST_ACH_BM04B-ACHSS-A-GAN-ETF_1x04-1MP_P1.20mm_Vertical -JST ACH series connector, BM04B-ACHSS-A-GAN-ETF (http://www.jst-mfg.com/product/pdf/eng/eACH.pdf), generated with kicad-footprint-generator -connector JST ACH vertical -0 -6 -5 -Connector_JST -JST_ACH_BM05B-ACHSS-A-GAN-ETF_1x05-1MP_P1.20mm_Vertical -JST ACH series connector, BM05B-ACHSS-A-GAN-ETF (http://www.jst-mfg.com/product/pdf/eng/eACH.pdf), generated with kicad-footprint-generator -connector JST ACH vertical -0 -7 -6 -Connector_JST -JST_AUH_BM03B-AUHKS-GA-TB_1x03-1MP_P1.50mm_Vertical -JST AUH series connector, BM03B-AUHKS-GA-TB (http://www.jst-mfg.com/product/pdf/eng/eAUH.pdf), generated with kicad-footprint-generator -connector JST AUH side entry -0 -5 -4 -Connector_JST -JST_AUH_BM05B-AUHKS-GA-TB_1x05-1MP_P1.50mm_Vertical -JST AUH series connector, BM05B-AUHKS-GA-TB (http://www.jst-mfg.com/product/pdf/eng/eAUH.pdf), generated with kicad-footprint-generator -connector JST AUH side entry -0 -7 -6 -Connector_JST -JST_EH_B2B-EH-A_1x02_P2.50mm_Vertical -JST EH series connector, B2B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH vertical -0 -2 -2 -Connector_JST -JST_EH_B3B-EH-A_1x03_P2.50mm_Vertical -JST EH series connector, B3B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH vertical -0 -3 -3 -Connector_JST -JST_EH_B4B-EH-A_1x04_P2.50mm_Vertical -JST EH series connector, B4B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH vertical -0 -4 -4 -Connector_JST -JST_EH_B5B-EH-A_1x05_P2.50mm_Vertical -JST EH series connector, B5B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH vertical -0 -5 -5 -Connector_JST -JST_EH_B6B-EH-A_1x06_P2.50mm_Vertical -JST EH series connector, B6B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH vertical -0 -6 -6 -Connector_JST -JST_EH_B7B-EH-A_1x07_P2.50mm_Vertical -JST EH series connector, B7B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH vertical -0 -7 -7 -Connector_JST -JST_EH_B8B-EH-A_1x08_P2.50mm_Vertical -JST EH series connector, B8B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH vertical -0 -8 -8 -Connector_JST -JST_EH_B9B-EH-A_1x09_P2.50mm_Vertical -JST EH series connector, B9B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH vertical -0 -9 -9 -Connector_JST -JST_EH_B10B-EH-A_1x10_P2.50mm_Vertical -JST EH series connector, B10B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH side entry -0 -10 -10 -Connector_JST -JST_EH_B11B-EH-A_1x11_P2.50mm_Vertical -JST EH series connector, B11B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH side entry -0 -11 -11 -Connector_JST -JST_EH_B12B-EH-A_1x12_P2.50mm_Vertical -JST EH series connector, B12B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH side entry -0 -12 -12 -Connector_JST -JST_EH_B13B-EH-A_1x13_P2.50mm_Vertical -JST EH series connector, B13B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH side entry -0 -13 -13 -Connector_JST -JST_EH_B14B-EH-A_1x14_P2.50mm_Vertical -JST EH series connector, B14B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH side entry -0 -14 -14 -Connector_JST -JST_EH_B15B-EH-A_1x15_P2.50mm_Vertical -JST EH series connector, B15B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH side entry -0 -15 -15 -Connector_JST -JST_EH_S2B-EH_1x02_P2.50mm_Horizontal -JST EH series connector, S2B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH horizontal -0 -2 -2 -Connector_JST -JST_EH_S3B-EH_1x03_P2.50mm_Horizontal -JST EH series connector, S3B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH horizontal -0 -3 -3 -Connector_JST -JST_EH_S4B-EH_1x04_P2.50mm_Horizontal -JST EH series connector, S4B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH horizontal -0 -4 -4 -Connector_JST -JST_EH_S5B-EH_1x05_P2.50mm_Horizontal -JST EH series connector, S5B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH horizontal -0 -5 -5 -Connector_JST -JST_EH_S6B-EH_1x06_P2.50mm_Horizontal -JST EH series connector, S6B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH horizontal -0 -6 -6 -Connector_JST -JST_EH_S7B-EH_1x07_P2.50mm_Horizontal -JST EH series connector, S7B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH horizontal -0 -7 -7 -Connector_JST -JST_EH_S8B-EH_1x08_P2.50mm_Horizontal -JST EH series connector, S8B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH horizontal -0 -8 -8 -Connector_JST -JST_EH_S9B-EH_1x09_P2.50mm_Horizontal -JST EH series connector, S9B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH horizontal -0 -9 -9 -Connector_JST -JST_EH_S10B-EH_1x10_P2.50mm_Horizontal -JST EH series connector, S10B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH top entry -0 -10 -10 -Connector_JST -JST_EH_S11B-EH_1x11_P2.50mm_Horizontal -JST EH series connector, S11B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH top entry -0 -11 -11 -Connector_JST -JST_EH_S12B-EH_1x12_P2.50mm_Horizontal -JST EH series connector, S12B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH top entry -0 -12 -12 -Connector_JST -JST_EH_S13B-EH_1x13_P2.50mm_Horizontal -JST EH series connector, S13B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH top entry -0 -13 -13 -Connector_JST -JST_EH_S14B-EH_1x14_P2.50mm_Horizontal -JST EH series connector, S14B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH top entry -0 -14 -14 -Connector_JST -JST_EH_S15B-EH_1x15_P2.50mm_Horizontal -JST EH series connector, S15B-EH (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator -connector JST EH top entry -0 -15 -15 -Connector_JST -JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical -JST GH series connector, BM02B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -4 -3 -Connector_JST -JST_GH_BM03B-GHS-TBT_1x03-1MP_P1.25mm_Vertical -JST GH series connector, BM03B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -5 -4 -Connector_JST -JST_GH_BM04B-GHS-TBT_1x04-1MP_P1.25mm_Vertical -JST GH series connector, BM04B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -6 -5 -Connector_JST -JST_GH_BM05B-GHS-TBT_1x05-1MP_P1.25mm_Vertical -JST GH series connector, BM05B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -7 -6 -Connector_JST -JST_GH_BM06B-GHS-TBT_1x06-1MP_P1.25mm_Vertical -JST GH series connector, BM06B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -8 -7 -Connector_JST -JST_GH_BM07B-GHS-TBT_1x07-1MP_P1.25mm_Vertical -JST GH series connector, BM07B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -9 -8 -Connector_JST -JST_GH_BM08B-GHS-TBT_1x08-1MP_P1.25mm_Vertical -JST GH series connector, BM08B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -10 -9 -Connector_JST -JST_GH_BM09B-GHS-TBT_1x09-1MP_P1.25mm_Vertical -JST GH series connector, BM09B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -11 -10 -Connector_JST -JST_GH_BM10B-GHS-TBT_1x10-1MP_P1.25mm_Vertical -JST GH series connector, BM10B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -12 -11 -Connector_JST -JST_GH_BM11B-GHS-TBT_1x11-1MP_P1.25mm_Vertical -JST GH series connector, BM11B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -13 -12 -Connector_JST -JST_GH_BM12B-GHS-TBT_1x12-1MP_P1.25mm_Vertical -JST GH series connector, BM12B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -14 -13 -Connector_JST -JST_GH_BM13B-GHS-TBT_1x13-1MP_P1.25mm_Vertical -JST GH series connector, BM13B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -15 -14 -Connector_JST -JST_GH_BM14B-GHS-TBT_1x14-1MP_P1.25mm_Vertical -JST GH series connector, BM14B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -16 -15 -Connector_JST -JST_GH_BM15B-GHS-TBT_1x15-1MP_P1.25mm_Vertical -JST GH series connector, BM15B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH side entry -0 -17 -16 -Connector_JST -JST_GH_SM02B-GHS-TB_1x02-1MP_P1.25mm_Horizontal -JST GH series connector, SM02B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -4 -3 -Connector_JST -JST_GH_SM03B-GHS-TB_1x03-1MP_P1.25mm_Horizontal -JST GH series connector, SM03B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -5 -4 -Connector_JST -JST_GH_SM04B-GHS-TB_1x04-1MP_P1.25mm_Horizontal -JST GH series connector, SM04B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -6 -5 -Connector_JST -JST_GH_SM05B-GHS-TB_1x05-1MP_P1.25mm_Horizontal -JST GH series connector, SM05B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -7 -6 -Connector_JST -JST_GH_SM06B-GHS-TB_1x06-1MP_P1.25mm_Horizontal -JST GH series connector, SM06B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -8 -7 -Connector_JST -JST_GH_SM07B-GHS-TB_1x07-1MP_P1.25mm_Horizontal -JST GH series connector, SM07B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -9 -8 -Connector_JST -JST_GH_SM08B-GHS-TB_1x08-1MP_P1.25mm_Horizontal -JST GH series connector, SM08B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -10 -9 -Connector_JST -JST_GH_SM09B-GHS-TB_1x09-1MP_P1.25mm_Horizontal -JST GH series connector, SM09B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -11 -10 -Connector_JST -JST_GH_SM10B-GHS-TB_1x10-1MP_P1.25mm_Horizontal -JST GH series connector, SM10B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -12 -11 -Connector_JST -JST_GH_SM11B-GHS-TB_1x11-1MP_P1.25mm_Horizontal -JST GH series connector, SM11B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -13 -12 -Connector_JST -JST_GH_SM12B-GHS-TB_1x12-1MP_P1.25mm_Horizontal -JST GH series connector, SM12B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -14 -13 -Connector_JST -JST_GH_SM13B-GHS-TB_1x13-1MP_P1.25mm_Horizontal -JST GH series connector, SM13B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -15 -14 -Connector_JST -JST_GH_SM14B-GHS-TB_1x14-1MP_P1.25mm_Horizontal -JST GH series connector, SM14B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -16 -15 -Connector_JST -JST_GH_SM15B-GHS-TB_1x15-1MP_P1.25mm_Horizontal -JST GH series connector, SM15B-GHS-TB (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator -connector JST GH top entry -0 -17 -16 -Connector_JST -JST_J2100_B06B-J21DK-GGXR_2x03_P2.50x4.00mm_Vertical -JST J2100 series connector, B06B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 vertical -0 -8 -6 -Connector_JST -JST_J2100_B08B-J21DK-GGXR_2x04_P2.50x4.00mm_Vertical -JST J2100 series connector, B08B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 vertical -0 -10 -8 -Connector_JST -JST_J2100_B10B-J21DK-GGXR_2x05_P2.50x4.00mm_Vertical -JST J2100 series connector, B10B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 vertical -0 -12 -10 -Connector_JST -JST_J2100_B12B-J21DK-GGXR_2x06_P2.50x4.00mm_Vertical -JST J2100 series connector, B12B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 vertical -0 -14 -12 -Connector_JST -JST_J2100_B16B-J21DK-GGXR_2x08_P2.50x4.00mm_Vertical -JST J2100 series connector, B16B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 vertical -0 -18 -16 -Connector_JST -JST_J2100_B20B-J21DK-GGXR_2x10_P2.50x4.00mm_Vertical -JST J2100 series connector, B20B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 vertical -0 -22 -20 -Connector_JST -JST_J2100_S06B-J21DK-GGXR_2x03_P2.50mm_Horizontal -JST J2100 series connector, S06B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 horizontal -0 -7 -6 -Connector_JST -JST_J2100_S08B-J21DK-GGXR_2x04_P2.50mm_Horizontal -JST J2100 series connector, S08B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 horizontal -0 -10 -8 -Connector_JST -JST_J2100_S10B-J21DK-GGXR_2x05_P2.50mm_Horizontal -JST J2100 series connector, S10B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 horizontal -0 -12 -10 -Connector_JST -JST_J2100_S12B-J21DK-GGXR_2x06_P2.50mm_Horizontal -JST J2100 series connector, S12B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 horizontal -0 -14 -12 -Connector_JST -JST_J2100_S16B-J21DK-GGXR_2x08_P2.50mm_Horizontal -JST J2100 series connector, S16B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 horizontal -0 -18 -16 -Connector_JST -JST_J2100_S20B-J21DK-GGXR_2x10_P2.50mm_Horizontal -JST J2100 series connector, S20B-J21DK-GGXR (http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf), generated with kicad-footprint-generator -connector JST J2100 horizontal -0 -22 -20 -Connector_JST -JST_JWPF_B02B-JWPF-SK-R_1x02_P2.00mm_Vertical -JST JWPF series connector, B02B-JWPF-SK-R (http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf), generated with kicad-footprint-generator -connector JST JWPF side entry -0 -2 -2 -Connector_JST -JST_JWPF_B03B-JWPF-SK-R_1x03_P2.00mm_Vertical -JST JWPF series connector, B03B-JWPF-SK-R (http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf), generated with kicad-footprint-generator -connector JST JWPF side entry -0 -3 -3 -Connector_JST -JST_JWPF_B04B-JWPF-SK-R_1x04_P2.00mm_Vertical -JST JWPF series connector, B04B-JWPF-SK-R (http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf), generated with kicad-footprint-generator -connector JST JWPF side entry -0 -4 -4 -Connector_JST -JST_JWPF_B06B-JWPF-SK-R_2x03_P2.00mm_Vertical -JST JWPF series connector, B06B-JWPF-SK-R (http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf), generated with kicad-footprint-generator -connector JST JWPF side entry -0 -6 -6 -Connector_JST -JST_JWPF_B08B-JWPF-SK-R_2x04_P2.00mm_Vertical -JST JWPF series connector, B08B-JWPF-SK-R (http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf), generated with kicad-footprint-generator -connector JST JWPF side entry -0 -8 -8 -Connector_JST -JST_LEA_SM02B-LEASS-TF_1x02-1MP_P4.20mm_Horizontal -JST LEA series connector, SM02B-LEASS-TF (http://www.jst-mfg.com/product/pdf/eng/eLEA.pdf), generated with kicad-footprint-generator -connector JST LEA top entry -0 -4 -3 -Connector_JST -JST_NV_B02P-NV_1x02_P5.00mm_Vertical -JST NV series connector, B02P-NV (http://www.jst-mfg.com/product/pdf/eng/eNV.pdf), generated with kicad-footprint-generator -connector JST NV side entry -0 -2 -2 -Connector_JST -JST_NV_B03P-NV_1x03_P5.00mm_Vertical -JST NV series connector, B03P-NV (http://www.jst-mfg.com/product/pdf/eng/eNV.pdf), generated with kicad-footprint-generator -connector JST NV side entry -0 -3 -3 -Connector_JST -JST_NV_B04P-NV_1x04_P5.00mm_Vertical -JST NV series connector, B04P-NV (http://www.jst-mfg.com/product/pdf/eng/eNV.pdf), generated with kicad-footprint-generator -connector JST NV side entry -0 -4 -4 -Connector_JST -JST_PHD_B8B-PHDSS_2x04_P2.00mm_Vertical -JST PHD series connector, B8B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -8 -8 -Connector_JST -JST_PHD_B10B-PHDSS_2x05_P2.00mm_Vertical -JST PHD series connector, B10B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -10 -10 -Connector_JST -JST_PHD_B12B-PHDSS_2x06_P2.00mm_Vertical -JST PHD series connector, B12B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -12 -12 -Connector_JST -JST_PHD_B14B-PHDSS_2x07_P2.00mm_Vertical -JST PHD series connector, B14B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -14 -14 -Connector_JST -JST_PHD_B16B-PHDSS_2x08_P2.00mm_Vertical -JST PHD series connector, B16B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -16 -16 -Connector_JST -JST_PHD_B18B-PHDSS_2x09_P2.00mm_Vertical -JST PHD series connector, B18B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -18 -18 -Connector_JST -JST_PHD_B20B-PHDSS_2x10_P2.00mm_Vertical -JST PHD series connector, B20B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -20 -20 -Connector_JST -JST_PHD_B22B-PHDSS_2x11_P2.00mm_Vertical -JST PHD series connector, B22B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -22 -22 -Connector_JST -JST_PHD_B24B-PHDSS_2x12_P2.00mm_Vertical -JST PHD series connector, B24B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -24 -24 -Connector_JST -JST_PHD_B26B-PHDSS_2x13_P2.00mm_Vertical -JST PHD series connector, B26B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -26 -26 -Connector_JST -JST_PHD_B28B-PHDSS_2x14_P2.00mm_Vertical -JST PHD series connector, B28B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -28 -28 -Connector_JST -JST_PHD_B30B-PHDSS_2x15_P2.00mm_Vertical -JST PHD series connector, B30B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -30 -30 -Connector_JST -JST_PHD_B32B-PHDSS_2x16_P2.00mm_Vertical -JST PHD series connector, B32B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -32 -32 -Connector_JST -JST_PHD_B34B-PHDSS_2x17_P2.00mm_Vertical -JST PHD series connector, B34B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD vertical -0 -34 -34 -Connector_JST -JST_PHD_S8B-PHDSS_2x04_P2.00mm_Horizontal -JST PHD series connector, S8B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -8 -8 -Connector_JST -JST_PHD_S10B-PHDSS_2x05_P2.00mm_Horizontal -JST PHD series connector, S10B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -10 -10 -Connector_JST -JST_PHD_S12B-PHDSS_2x06_P2.00mm_Horizontal -JST PHD series connector, S12B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -12 -12 -Connector_JST -JST_PHD_S14B-PHDSS_2x07_P2.00mm_Horizontal -JST PHD series connector, S14B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -14 -14 -Connector_JST -JST_PHD_S16B-PHDSS_2x08_P2.00mm_Horizontal -JST PHD series connector, S16B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -16 -16 -Connector_JST -JST_PHD_S18B-PHDSS_2x09_P2.00mm_Horizontal -JST PHD series connector, S18B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -18 -18 -Connector_JST -JST_PHD_S20B-PHDSS_2x10_P2.00mm_Horizontal -JST PHD series connector, S20B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -20 -20 -Connector_JST -JST_PHD_S22B-PHDSS_2x11_P2.00mm_Horizontal -JST PHD series connector, S22B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -22 -22 -Connector_JST -JST_PHD_S24B-PHDSS_2x12_P2.00mm_Horizontal -JST PHD series connector, S24B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -24 -24 -Connector_JST -JST_PHD_S26B-PHDSS_2x13_P2.00mm_Horizontal -JST PHD series connector, S26B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -26 -26 -Connector_JST -JST_PHD_S28B-PHDSS_2x14_P2.00mm_Horizontal -JST PHD series connector, S28B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -28 -28 -Connector_JST -JST_PHD_S30B-PHDSS_2x15_P2.00mm_Horizontal -JST PHD series connector, S30B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -30 -30 -Connector_JST -JST_PHD_S32B-PHDSS_2x16_P2.00mm_Horizontal -JST PHD series connector, S32B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -32 -32 -Connector_JST -JST_PHD_S34B-PHDSS_2x17_P2.00mm_Horizontal -JST PHD series connector, S34B-PHDSS (http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf), generated with kicad-footprint-generator -connector JST PHD horizontal -0 -34 -34 -Connector_JST -JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical -JST PH series connector, B2B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -2 -2 -Connector_JST -JST_PH_B2B-PH-SM4-TB_1x02-1MP_P2.00mm_Vertical -JST PH series connector, B2B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -4 -3 -Connector_JST -JST_PH_B3B-PH-K_1x03_P2.00mm_Vertical -JST PH series connector, B3B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -3 -3 -Connector_JST -JST_PH_B3B-PH-SM4-TB_1x03-1MP_P2.00mm_Vertical -JST PH series connector, B3B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -5 -4 -Connector_JST -JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical -JST PH series connector, B4B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -4 -4 -Connector_JST -JST_PH_B4B-PH-SM4-TB_1x04-1MP_P2.00mm_Vertical -JST PH series connector, B4B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -6 -5 -Connector_JST -JST_PH_B5B-PH-K_1x05_P2.00mm_Vertical -JST PH series connector, B5B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -5 -5 -Connector_JST -JST_PH_B5B-PH-SM4-TB_1x05-1MP_P2.00mm_Vertical -JST PH series connector, B5B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -7 -6 -Connector_JST -JST_PH_B6B-PH-K_1x06_P2.00mm_Vertical -JST PH series connector, B6B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -6 -6 -Connector_JST -JST_PH_B6B-PH-SM4-TB_1x06-1MP_P2.00mm_Vertical -JST PH series connector, B6B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -8 -7 -Connector_JST -JST_PH_B7B-PH-K_1x07_P2.00mm_Vertical -JST PH series connector, B7B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -7 -7 -Connector_JST -JST_PH_B7B-PH-SM4-TB_1x07-1MP_P2.00mm_Vertical -JST PH series connector, B7B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -9 -8 -Connector_JST -JST_PH_B8B-PH-K_1x08_P2.00mm_Vertical -JST PH series connector, B8B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -8 -8 -Connector_JST -JST_PH_B8B-PH-SM4-TB_1x08-1MP_P2.00mm_Vertical -JST PH series connector, B8B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -10 -9 -Connector_JST -JST_PH_B9B-PH-K_1x09_P2.00mm_Vertical -JST PH series connector, B9B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -9 -9 -Connector_JST -JST_PH_B9B-PH-SM4-TB_1x09-1MP_P2.00mm_Vertical -JST PH series connector, B9B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -11 -10 -Connector_JST -JST_PH_B10B-PH-K_1x10_P2.00mm_Vertical -JST PH series connector, B10B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -10 -10 -Connector_JST -JST_PH_B10B-PH-SM4-TB_1x10-1MP_P2.00mm_Vertical -JST PH series connector, B10B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -12 -11 -Connector_JST -JST_PH_B11B-PH-K_1x11_P2.00mm_Vertical -JST PH series connector, B11B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -11 -11 -Connector_JST -JST_PH_B11B-PH-SM4-TB_1x11-1MP_P2.00mm_Vertical -JST PH series connector, B11B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -13 -12 -Connector_JST -JST_PH_B12B-PH-K_1x12_P2.00mm_Vertical -JST PH series connector, B12B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -12 -12 -Connector_JST -JST_PH_B12B-PH-SM4-TB_1x12-1MP_P2.00mm_Vertical -JST PH series connector, B12B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -14 -13 -Connector_JST -JST_PH_B13B-PH-K_1x13_P2.00mm_Vertical -JST PH series connector, B13B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -13 -13 -Connector_JST -JST_PH_B13B-PH-SM4-TB_1x13-1MP_P2.00mm_Vertical -JST PH series connector, B13B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -15 -14 -Connector_JST -JST_PH_B14B-PH-K_1x14_P2.00mm_Vertical -JST PH series connector, B14B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -14 -14 -Connector_JST -JST_PH_B14B-PH-SM4-TB_1x14-1MP_P2.00mm_Vertical -JST PH series connector, B14B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -16 -15 -Connector_JST -JST_PH_B15B-PH-K_1x15_P2.00mm_Vertical -JST PH series connector, B15B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -15 -15 -Connector_JST -JST_PH_B15B-PH-SM4-TB_1x15-1MP_P2.00mm_Vertical -JST PH series connector, B15B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -17 -16 -Connector_JST -JST_PH_B16B-PH-K_1x16_P2.00mm_Vertical -JST PH series connector, B16B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -16 -16 -Connector_JST -JST_PH_B16B-PH-SM4-TB_1x16-1MP_P2.00mm_Vertical -JST PH series connector, B16B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH side entry -0 -18 -17 -Connector_JST -JST_PH_S2B-PH-K_1x02_P2.00mm_Horizontal -JST PH series connector, S2B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -2 -2 -Connector_JST -JST_PH_S2B-PH-SM4-TB_1x02-1MP_P2.00mm_Horizontal -JST PH series connector, S2B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -4 -3 -Connector_JST -JST_PH_S3B-PH-K_1x03_P2.00mm_Horizontal -JST PH series connector, S3B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -3 -3 -Connector_JST -JST_PH_S3B-PH-SM4-TB_1x03-1MP_P2.00mm_Horizontal -JST PH series connector, S3B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -5 -4 -Connector_JST -JST_PH_S4B-PH-K_1x04_P2.00mm_Horizontal -JST PH series connector, S4B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -4 -4 -Connector_JST -JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal -JST PH series connector, S4B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -6 -5 -Connector_JST -JST_PH_S5B-PH-K_1x05_P2.00mm_Horizontal -JST PH series connector, S5B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -5 -5 -Connector_JST -JST_PH_S5B-PH-SM4-TB_1x05-1MP_P2.00mm_Horizontal -JST PH series connector, S5B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -7 -6 -Connector_JST -JST_PH_S6B-PH-K_1x06_P2.00mm_Horizontal -JST PH series connector, S6B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -6 -6 -Connector_JST -JST_PH_S6B-PH-SM4-TB_1x06-1MP_P2.00mm_Horizontal -JST PH series connector, S6B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -8 -7 -Connector_JST -JST_PH_S7B-PH-K_1x07_P2.00mm_Horizontal -JST PH series connector, S7B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -7 -7 -Connector_JST -JST_PH_S7B-PH-SM4-TB_1x07-1MP_P2.00mm_Horizontal -JST PH series connector, S7B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -9 -8 -Connector_JST -JST_PH_S8B-PH-K_1x08_P2.00mm_Horizontal -JST PH series connector, S8B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -8 -8 -Connector_JST -JST_PH_S8B-PH-SM4-TB_1x08-1MP_P2.00mm_Horizontal -JST PH series connector, S8B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -10 -9 -Connector_JST -JST_PH_S9B-PH-K_1x09_P2.00mm_Horizontal -JST PH series connector, S9B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -9 -9 -Connector_JST -JST_PH_S9B-PH-SM4-TB_1x09-1MP_P2.00mm_Horizontal -JST PH series connector, S9B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -11 -10 -Connector_JST -JST_PH_S10B-PH-K_1x10_P2.00mm_Horizontal -JST PH series connector, S10B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -10 -10 -Connector_JST -JST_PH_S10B-PH-SM4-TB_1x10-1MP_P2.00mm_Horizontal -JST PH series connector, S10B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -12 -11 -Connector_JST -JST_PH_S11B-PH-K_1x11_P2.00mm_Horizontal -JST PH series connector, S11B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -11 -11 -Connector_JST -JST_PH_S11B-PH-SM4-TB_1x11-1MP_P2.00mm_Horizontal -JST PH series connector, S11B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -13 -12 -Connector_JST -JST_PH_S12B-PH-K_1x12_P2.00mm_Horizontal -JST PH series connector, S12B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -12 -12 -Connector_JST -JST_PH_S12B-PH-SM4-TB_1x12-1MP_P2.00mm_Horizontal -JST PH series connector, S12B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -14 -13 -Connector_JST -JST_PH_S13B-PH-K_1x13_P2.00mm_Horizontal -JST PH series connector, S13B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -13 -13 -Connector_JST -JST_PH_S13B-PH-SM4-TB_1x13-1MP_P2.00mm_Horizontal -JST PH series connector, S13B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -15 -14 -Connector_JST -JST_PH_S14B-PH-K_1x14_P2.00mm_Horizontal -JST PH series connector, S14B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -14 -14 -Connector_JST -JST_PH_S14B-PH-SM4-TB_1x14-1MP_P2.00mm_Horizontal -JST PH series connector, S14B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -16 -15 -Connector_JST -JST_PH_S15B-PH-K_1x15_P2.00mm_Horizontal -JST PH series connector, S15B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -15 -15 -Connector_JST -JST_PH_S15B-PH-SM4-TB_1x15-1MP_P2.00mm_Horizontal -JST PH series connector, S15B-PH-SM4-TB (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -17 -16 -Connector_JST -JST_PH_S16B-PH-K_1x16_P2.00mm_Horizontal -JST PH series connector, S16B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator -connector JST PH top entry -0 -16 -16 -Connector_JST -JST_PUD_B08B-PUDSS_2x04_P2.00mm_Vertical -JST PUD series connector, B08B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -8 -8 -Connector_JST -JST_PUD_B10B-PUDSS_2x05_P2.00mm_Vertical -JST PUD series connector, B10B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -10 -10 -Connector_JST -JST_PUD_B12B-PUDSS_2x06_P2.00mm_Vertical -JST PUD series connector, B12B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -12 -12 -Connector_JST -JST_PUD_B14B-PUDSS_2x07_P2.00mm_Vertical -JST PUD series connector, B14B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -14 -14 -Connector_JST -JST_PUD_B16B-PUDSS_2x08_P2.00mm_Vertical -JST PUD series connector, B16B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -16 -16 -Connector_JST -JST_PUD_B18B-PUDSS_2x09_P2.00mm_Vertical -JST PUD series connector, B18B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -18 -18 -Connector_JST -JST_PUD_B20B-PUDSS_2x10_P2.00mm_Vertical -JST PUD series connector, B20B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -20 -20 -Connector_JST -JST_PUD_B22B-PUDSS_2x11_P2.00mm_Vertical -JST PUD series connector, B22B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -22 -22 -Connector_JST -JST_PUD_B24B-PUDSS_2x12_P2.00mm_Vertical -JST PUD series connector, B24B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -24 -24 -Connector_JST -JST_PUD_B26B-PUDSS_2x13_P2.00mm_Vertical -JST PUD series connector, B26B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -26 -26 -Connector_JST -JST_PUD_B28B-PUDSS_2x14_P2.00mm_Vertical -JST PUD series connector, B28B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -28 -28 -Connector_JST -JST_PUD_B30B-PUDSS_2x15_P2.00mm_Vertical -JST PUD series connector, B30B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -30 -30 -Connector_JST -JST_PUD_B32B-PUDSS_2x16_P2.00mm_Vertical -JST PUD series connector, B32B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -32 -32 -Connector_JST -JST_PUD_B34B-PUDSS_2x17_P2.00mm_Vertical -JST PUD series connector, B34B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -34 -34 -Connector_JST -JST_PUD_B36B-PUDSS_2x18_P2.00mm_Vertical -JST PUD series connector, B36B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -36 -36 -Connector_JST -JST_PUD_B38B-PUDSS_2x19_P2.00mm_Vertical -JST PUD series connector, B38B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -38 -38 -Connector_JST -JST_PUD_B40B-PUDSS_2x20_P2.00mm_Vertical -JST PUD series connector, B40B-PUDSS (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD side entry -0 -40 -40 -Connector_JST -JST_PUD_S08B-PUDSS-1_2x04_P2.00mm_Horizontal -JST PUD series connector, S08B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -8 -8 -Connector_JST -JST_PUD_S10B-PUDSS-1_2x05_P2.00mm_Horizontal -JST PUD series connector, S10B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -10 -10 -Connector_JST -JST_PUD_S12B-PUDSS-1_2x06_P2.00mm_Horizontal -JST PUD series connector, S12B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -12 -12 -Connector_JST -JST_PUD_S14B-PUDSS-1_2x07_P2.00mm_Horizontal -JST PUD series connector, S14B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -14 -14 -Connector_JST -JST_PUD_S16B-PUDSS-1_2x08_P2.00mm_Horizontal -JST PUD series connector, S16B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -16 -16 -Connector_JST -JST_PUD_S18B-PUDSS-1_2x09_P2.00mm_Horizontal -JST PUD series connector, S18B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -18 -18 -Connector_JST -JST_PUD_S20B-PUDSS-1_2x10_P2.00mm_Horizontal -JST PUD series connector, S20B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -20 -20 -Connector_JST -JST_PUD_S22B-PUDSS-1_2x11_P2.00mm_Horizontal -JST PUD series connector, S22B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -22 -22 -Connector_JST -JST_PUD_S24B-PUDSS-1_2x12_P2.00mm_Horizontal -JST PUD series connector, S24B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -24 -24 -Connector_JST -JST_PUD_S26B-PUDSS-1_2x13_P2.00mm_Horizontal -JST PUD series connector, S26B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -26 -26 -Connector_JST -JST_PUD_S28B-PUDSS-1_2x14_P2.00mm_Horizontal -JST PUD series connector, S28B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -28 -28 -Connector_JST -JST_PUD_S30B-PUDSS-1_2x15_P2.00mm_Horizontal -JST PUD series connector, S30B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -30 -30 -Connector_JST -JST_PUD_S32B-PUDSS-1_2x16_P2.00mm_Horizontal -JST PUD series connector, S32B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -32 -32 -Connector_JST -JST_PUD_S34B-PUDSS-1_2x17_P2.00mm_Horizontal -JST PUD series connector, S34B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -34 -34 -Connector_JST -JST_PUD_S36B-PUDSS-1_2x18_P2.00mm_Horizontal -JST PUD series connector, S36B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -36 -36 -Connector_JST -JST_PUD_S38B-PUDSS-1_2x19_P2.00mm_Horizontal -JST PUD series connector, S38B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -38 -38 -Connector_JST -JST_PUD_S40B-PUDSS-1_2x20_P2.00mm_Horizontal -JST PUD series connector, S40B-PUDSS-1 (http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf), generated with kicad-footprint-generator -connector JST PUD top entry -0 -40 -40 -Connector_JST -JST_SFH_SM02B-SFHRS-TF_1x02-1MP_P4.20mm_Horizontal -JST SFH series connector, SM02B-SFHRS-TF (http://www.jst-mfg.com/product/pdf/eng/eSFH.pdf), generated with kicad-footprint-generator -connector JST SFH horizontal -0 -4 -3 -Connector_JST -JST_SHL_SM02B-SHLS-TF_1x02-1MP_P1.00mm_Horizontal -JST SHL series connector, SM02B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -4 -3 -Connector_JST -JST_SHL_SM05B-SHLS-TF_1x05-1MP_P1.00mm_Horizontal -JST SHL series connector, SM05B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -7 -6 -Connector_JST -JST_SHL_SM06B-SHLS-TF_1x06-1MP_P1.00mm_Horizontal -JST SHL series connector, SM06B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -8 -7 -Connector_JST -JST_SHL_SM07B-SHLS-TF_1x07-1MP_P1.00mm_Horizontal -JST SHL series connector, SM07B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -9 -8 -Connector_JST -JST_SHL_SM08B-SHLS-TF_1x08-1MP_P1.00mm_Horizontal -JST SHL series connector, SM08B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -10 -9 -Connector_JST -JST_SHL_SM10B-SHLS-TF_1x10-1MP_P1.00mm_Horizontal -JST SHL series connector, SM10B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -12 -11 -Connector_JST -JST_SHL_SM11B-SHLS-TF_1x11-1MP_P1.00mm_Horizontal -JST SHL series connector, SM11B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -13 -12 -Connector_JST -JST_SHL_SM12B-SHLS-TF_1x12-1MP_P1.00mm_Horizontal -JST SHL series connector, SM12B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -14 -13 -Connector_JST -JST_SHL_SM14B-SHLS-TF_1x14-1MP_P1.00mm_Horizontal -JST SHL series connector, SM14B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -16 -15 -Connector_JST -JST_SHL_SM16B-SHLS-TF_1x16-1MP_P1.00mm_Horizontal -JST SHL series connector, SM16B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -18 -17 -Connector_JST -JST_SHL_SM20B-SHLS-TF_1x20-1MP_P1.00mm_Horizontal -JST SHL series connector, SM20B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -22 -21 -Connector_JST -JST_SHL_SM22B-SHLS-TF_1x22-1MP_P1.00mm_Horizontal -JST SHL series connector, SM22B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -24 -23 -Connector_JST -JST_SHL_SM26B-SHLS-TF_1x26-1MP_P1.00mm_Horizontal -JST SHL series connector, SM26B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -28 -27 -Connector_JST -JST_SHL_SM30B-SHLS-TF_1x30-1MP_P1.00mm_Horizontal -JST SHL series connector, SM30B-SHLS-TF (http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf), generated with kicad-footprint-generator -connector JST SHL top entry -0 -32 -31 -Connector_JST -JST_SH_BM02B-SRSS-TB_1x02-1MP_P1.00mm_Vertical -JST SH series connector, BM02B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -4 -3 -Connector_JST -JST_SH_BM03B-SRSS-TB_1x03-1MP_P1.00mm_Vertical -JST SH series connector, BM03B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -5 -4 -Connector_JST -JST_SH_BM04B-SRSS-TB_1x04-1MP_P1.00mm_Vertical -JST SH series connector, BM04B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -6 -5 -Connector_JST -JST_SH_BM05B-SRSS-TB_1x05-1MP_P1.00mm_Vertical -JST SH series connector, BM05B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -7 -6 -Connector_JST -JST_SH_BM06B-SRSS-TB_1x06-1MP_P1.00mm_Vertical -JST SH series connector, BM06B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -8 -7 -Connector_JST -JST_SH_BM07B-SRSS-TB_1x07-1MP_P1.00mm_Vertical -JST SH series connector, BM07B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -9 -8 -Connector_JST -JST_SH_BM08B-SRSS-TB_1x08-1MP_P1.00mm_Vertical -JST SH series connector, BM08B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -10 -9 -Connector_JST -JST_SH_BM09B-SRSS-TB_1x09-1MP_P1.00mm_Vertical -JST SH series connector, BM09B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -11 -10 -Connector_JST -JST_SH_BM10B-SRSS-TB_1x10-1MP_P1.00mm_Vertical -JST SH series connector, BM10B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -12 -11 -Connector_JST -JST_SH_BM11B-SRSS-TB_1x11-1MP_P1.00mm_Vertical -JST SH series connector, BM11B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -13 -12 -Connector_JST -JST_SH_BM12B-SRSS-TB_1x12-1MP_P1.00mm_Vertical -JST SH series connector, BM12B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -14 -13 -Connector_JST -JST_SH_BM13B-SRSS-TB_1x13-1MP_P1.00mm_Vertical -JST SH series connector, BM13B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -15 -14 -Connector_JST -JST_SH_BM14B-SRSS-TB_1x14-1MP_P1.00mm_Vertical -JST SH series connector, BM14B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -16 -15 -Connector_JST -JST_SH_BM15B-SRSS-TB_1x15-1MP_P1.00mm_Vertical -JST SH series connector, BM15B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH side entry -0 -17 -16 -Connector_JST -JST_SH_SM02B-SRSS-TB_1x02-1MP_P1.00mm_Horizontal -JST SH series connector, SM02B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -4 -3 -Connector_JST -JST_SH_SM03B-SRSS-TB_1x03-1MP_P1.00mm_Horizontal -JST SH series connector, SM03B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -5 -4 -Connector_JST -JST_SH_SM04B-SRSS-TB_1x04-1MP_P1.00mm_Horizontal -JST SH series connector, SM04B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -6 -5 -Connector_JST -JST_SH_SM05B-SRSS-TB_1x05-1MP_P1.00mm_Horizontal -JST SH series connector, SM05B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -7 -6 -Connector_JST -JST_SH_SM06B-SRSS-TB_1x06-1MP_P1.00mm_Horizontal -JST SH series connector, SM06B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -8 -7 -Connector_JST -JST_SH_SM07B-SRSS-TB_1x07-1MP_P1.00mm_Horizontal -JST SH series connector, SM07B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -9 -8 -Connector_JST -JST_SH_SM08B-SRSS-TB_1x08-1MP_P1.00mm_Horizontal -JST SH series connector, SM08B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -10 -9 -Connector_JST -JST_SH_SM09B-SRSS-TB_1x09-1MP_P1.00mm_Horizontal -JST SH series connector, SM09B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -11 -10 -Connector_JST -JST_SH_SM10B-SRSS-TB_1x10-1MP_P1.00mm_Horizontal -JST SH series connector, SM10B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -12 -11 -Connector_JST -JST_SH_SM11B-SRSS-TB_1x11-1MP_P1.00mm_Horizontal -JST SH series connector, SM11B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -13 -12 -Connector_JST -JST_SH_SM12B-SRSS-TB_1x12-1MP_P1.00mm_Horizontal -JST SH series connector, SM12B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -14 -13 -Connector_JST -JST_SH_SM13B-SRSS-TB_1x13-1MP_P1.00mm_Horizontal -JST SH series connector, SM13B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -15 -14 -Connector_JST -JST_SH_SM14B-SRSS-TB_1x14-1MP_P1.00mm_Horizontal -JST SH series connector, SM14B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -16 -15 -Connector_JST -JST_SH_SM15B-SRSS-TB_1x15-1MP_P1.00mm_Horizontal -JST SH series connector, SM15B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -17 -16 -Connector_JST -JST_SH_SM20B-SRSS-TB_1x20-1MP_P1.00mm_Horizontal -JST SH series connector, SM20B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator -connector JST SH top entry -0 -22 -21 -Connector_JST -JST_SUR_BM02B-SURS-TF_1x02-1MP_P0.80mm_Vertical -JST SUR series connector, BM02B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -4 -3 -Connector_JST -JST_SUR_BM03B-SURS-TF_1x03-1MP_P0.80mm_Vertical -JST SUR series connector, BM03B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -5 -4 -Connector_JST -JST_SUR_BM04B-SURS-TF_1x04-1MP_P0.80mm_Vertical -JST SUR series connector, BM04B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -6 -5 -Connector_JST -JST_SUR_BM05B-SURS-TF_1x05-1MP_P0.80mm_Vertical -JST SUR series connector, BM05B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -7 -6 -Connector_JST -JST_SUR_BM06B-SURS-TF_1x06-1MP_P0.80mm_Vertical -JST SUR series connector, BM06B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -8 -7 -Connector_JST -JST_SUR_BM08B-SURS-TF_1x08-1MP_P0.80mm_Vertical -JST SUR series connector, BM08B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -10 -9 -Connector_JST -JST_SUR_BM10B-SURS-TF_1x10-1MP_P0.80mm_Vertical -JST SUR series connector, BM10B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -12 -11 -Connector_JST -JST_SUR_BM12B-SURS-TF_1x12-1MP_P0.80mm_Vertical -JST SUR series connector, BM12B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -14 -13 -Connector_JST -JST_SUR_BM14B-SURS-TF_1x14-1MP_P0.80mm_Vertical -JST SUR series connector, BM14B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -16 -15 -Connector_JST -JST_SUR_BM15B-SURS-TF_1x15-1MP_P0.80mm_Vertical -JST SUR series connector, BM15B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -17 -16 -Connector_JST -JST_SUR_BM16B-SURS-TF_1x16-1MP_P0.80mm_Vertical -JST SUR series connector, BM16B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -18 -17 -Connector_JST -JST_SUR_BM17B-SURS-TF_1x17-1MP_P0.80mm_Vertical -JST SUR series connector, BM17B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -19 -18 -Connector_JST -JST_SUR_BM20B-SURS-TF_1x20-1MP_P0.80mm_Vertical -JST SUR series connector, BM20B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR side entry -0 -22 -21 -Connector_JST -JST_SUR_SM02B-SURS-TF_1x02-1MP_P0.80mm_Horizontal -JST SUR series connector, SM02B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -4 -3 -Connector_JST -JST_SUR_SM03B-SURS-TF_1x03-1MP_P0.80mm_Horizontal -JST SUR series connector, SM03B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -5 -4 -Connector_JST -JST_SUR_SM04B-SURS-TF_1x04-1MP_P0.80mm_Horizontal -JST SUR series connector, SM04B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -6 -5 -Connector_JST -JST_SUR_SM05B-SURS-TF_1x05-1MP_P0.80mm_Horizontal -JST SUR series connector, SM05B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -7 -6 -Connector_JST -JST_SUR_SM06B-SURS-TF_1x06-1MP_P0.80mm_Horizontal -JST SUR series connector, SM06B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -8 -7 -Connector_JST -JST_SUR_SM08B-SURS-TF_1x08-1MP_P0.80mm_Horizontal -JST SUR series connector, SM08B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -10 -9 -Connector_JST -JST_SUR_SM10B-SURS-TF_1x10-1MP_P0.80mm_Horizontal -JST SUR series connector, SM10B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -12 -11 -Connector_JST -JST_SUR_SM12B-SURS-TF_1x12-1MP_P0.80mm_Horizontal -JST SUR series connector, SM12B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -14 -13 -Connector_JST -JST_SUR_SM14B-SURS-TF_1x14-1MP_P0.80mm_Horizontal -JST SUR series connector, SM14B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -16 -15 -Connector_JST -JST_SUR_SM15B-SURS-TF_1x15-1MP_P0.80mm_Horizontal -JST SUR series connector, SM15B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -17 -16 -Connector_JST -JST_SUR_SM16B-SURS-TF_1x16-1MP_P0.80mm_Horizontal -JST SUR series connector, SM16B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -18 -17 -Connector_JST -JST_SUR_SM17B-SURS-TF_1x17-1MP_P0.80mm_Horizontal -JST SUR series connector, SM17B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -19 -18 -Connector_JST -JST_SUR_SM20B-SURS-TF_1x20-1MP_P0.80mm_Horizontal -JST SUR series connector, SM20B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -22 -21 -Connector_JST -JST_SUR_SM22B-SURS-TF_1x22-1MP_P0.80mm_Horizontal -JST SUR series connector, SM22B-SURS-TF (http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf), generated with kicad-footprint-generator -connector JST SUR top entry -0 -24 -23 -Connector_JST -JST_VH_B2P-VH-B_1x02_P3.96mm_Vertical -JST VH PBT series connector, B2P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -2 -2 -Connector_JST -JST_VH_B2P-VH-FB-B_1x02_P3.96mm_Vertical -JST VH series connector, B2P-VH-FB-B, shrouded (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH side entry -0 -2 -2 -Connector_JST -JST_VH_B2P-VH_1x02_P3.96mm_Vertical -JST VH series connector, B2P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -2 -2 -Connector_JST -JST_VH_B2P3-VH_1x02_P7.92mm_Vertical -JST VH series connector, B2P3-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -2 -2 -Connector_JST -JST_VH_B2PS-VH_1x02_P3.96mm_Horizontal -JST VH series connector, B2PS-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -2 -2 -Connector_JST -JST_VH_B3P-VH-B_1x03_P3.96mm_Vertical -JST VH PBT series connector, B3P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -3 -3 -Connector_JST -JST_VH_B3P-VH-FB-B_1x03_P3.96mm_Vertical -JST VH series connector, B3P-VH-FB-B, shrouded (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH side entry -0 -3 -3 -Connector_JST -JST_VH_B3P-VH_1x03_P3.96mm_Vertical -JST VH series connector, B3P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -3 -3 -Connector_JST -JST_VH_B3PS-VH_1x03_P3.96mm_Horizontal -JST VH series connector, B3PS-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -3 -3 -Connector_JST -JST_VH_B4P-VH-B_1x04_P3.96mm_Vertical -JST VH PBT series connector, B4P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -4 -4 -Connector_JST -JST_VH_B4P-VH-FB-B_1x04_P3.96mm_Vertical -JST VH series connector, B4P-VH-FB-B, shrouded (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH side entry -0 -4 -4 -Connector_JST -JST_VH_B4P-VH_1x04_P3.96mm_Vertical -JST VH series connector, B4P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -4 -4 -Connector_JST -JST_VH_B4PS-VH_1x04_P3.96mm_Horizontal -JST VH series connector, B4PS-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -4 -4 -Connector_JST -JST_VH_B5P-VH-B_1x05_P3.96mm_Vertical -JST VH PBT series connector, B5P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -5 -5 -Connector_JST -JST_VH_B5P-VH-FB-B_1x05_P3.96mm_Vertical -JST VH series connector, B5P-VH-FB-B, shrouded (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH side entry -0 -5 -5 -Connector_JST -JST_VH_B5P-VH_1x05_P3.96mm_Vertical -JST VH series connector, B5P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -5 -5 -Connector_JST -JST_VH_B5PS-VH_1x05_P3.96mm_Horizontal -JST VH series connector, B5PS-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -5 -5 -Connector_JST -JST_VH_B6P-VH-B_1x06_P3.96mm_Vertical -JST VH PBT series connector, B6P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -6 -6 -Connector_JST -JST_VH_B6P-VH-FB-B_1x06_P3.96mm_Vertical -JST VH series connector, B6P-VH-FB-B, shrouded (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH side entry -0 -6 -6 -Connector_JST -JST_VH_B6P-VH_1x06_P3.96mm_Vertical -JST VH series connector, B6P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -6 -6 -Connector_JST -JST_VH_B6PS-VH_1x06_P3.96mm_Horizontal -JST VH series connector, B6PS-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -6 -6 -Connector_JST -JST_VH_B7P-VH-B_1x07_P3.96mm_Vertical -JST VH PBT series connector, B7P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -7 -7 -Connector_JST -JST_VH_B7P-VH-FB-B_1x07_P3.96mm_Vertical -JST VH series connector, B7P-VH-FB-B, shrouded (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH side entry -0 -7 -7 -Connector_JST -JST_VH_B7P-VH_1x07_P3.96mm_Vertical -JST VH series connector, B7P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -7 -7 -Connector_JST -JST_VH_B7PS-VH_1x07_P3.96mm_Horizontal -JST VH series connector, B7PS-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -7 -7 -Connector_JST -JST_VH_B8P-VH-B_1x08_P3.96mm_Vertical -JST VH PBT series connector, B8P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -8 -8 -Connector_JST -JST_VH_B8P-VH-FB-B_1x08_P3.96mm_Vertical -JST VH series connector, B8P-VH-FB-B, shrouded (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH side entry -0 -8 -8 -Connector_JST -JST_VH_B8P-VH_1x08_P3.96mm_Vertical -JST VH series connector, B8P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -8 -8 -Connector_JST -JST_VH_B8PS-VH_1x08_P3.96mm_Horizontal -JST VH series connector, B8PS-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -8 -8 -Connector_JST -JST_VH_B9P-VH-B_1x09_P3.96mm_Vertical -JST VH PBT series connector, B9P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -9 -9 -Connector_JST -JST_VH_B9P-VH-FB-B_1x09_P3.96mm_Vertical -JST VH series connector, B9P-VH-FB-B, shrouded (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH side entry -0 -9 -9 -Connector_JST -JST_VH_B9P-VH_1x09_P3.96mm_Vertical -JST VH series connector, B9P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -9 -9 -Connector_JST -JST_VH_B9PS-VH_1x09_P3.96mm_Horizontal -JST VH series connector, B9PS-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -9 -9 -Connector_JST -JST_VH_B10P-VH-B_1x10_P3.96mm_Vertical -JST VH PBT series connector, B10P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -10 -10 -Connector_JST -JST_VH_B10P-VH-FB-B_1x10_P3.96mm_Vertical -JST VH series connector, B10P-VH-FB-B, shrouded (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH side entry -0 -10 -10 -Connector_JST -JST_VH_B10P-VH_1x10_P3.96mm_Vertical -JST VH series connector, B10P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -10 -10 -Connector_JST -JST_VH_B10PS-VH_1x10_P3.96mm_Horizontal -JST VH series connector, B10PS-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -10 -10 -Connector_JST -JST_VH_B11P-VH-B_1x11_P3.96mm_Vertical -JST VH PBT series connector, B11P-VH-B (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH vertical -0 -11 -11 -Connector_JST -JST_VH_S2P-VH_1x02_P3.96mm_Horizontal -JST VH series connector, S2P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -2 -2 -Connector_JST -JST_VH_S3P-VH_1x03_P3.96mm_Horizontal -JST VH series connector, S3P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -3 -3 -Connector_JST -JST_VH_S4P-VH_1x04_P3.96mm_Horizontal -JST VH series connector, S4P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -4 -4 -Connector_JST -JST_VH_S5P-VH_1x05_P3.96mm_Horizontal -JST VH series connector, S5P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -5 -5 -Connector_JST -JST_VH_S6P-VH_1x06_P3.96mm_Horizontal -JST VH series connector, S6P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -6 -6 -Connector_JST -JST_VH_S7P-VH_1x07_P3.96mm_Horizontal -JST VH series connector, S7P-VH (http://www.jst-mfg.com/product/pdf/eng/eVH.pdf), generated with kicad-footprint-generator -connector JST VH top entry -0 -7 -7 -Connector_JST -JST_XAG_SM05B-XAGKS-BN-TB_1x05-1MP_P2.50mm_Horizontal -JST XAG series connector, SM05B-XAGKS-BN-TB (http://www.jst-mfg.com/product/pdf/eng/eXAG.pdf), generated with kicad-footprint-generator -connector JST XAG top entry -0 -7 -6 -Connector_JST -JST_XA_B02B-XASK-1-A_1x02_P2.50mm_Vertical -JST XA series connector, B02B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -2 -2 -Connector_JST -JST_XA_B02B-XASK-1_1x02_P2.50mm_Vertical -JST XA series connector, B02B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -2 -2 -Connector_JST -JST_XA_B03B-XASK-1-A_1x03_P2.50mm_Vertical -JST XA series connector, B03B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -3 -3 -Connector_JST -JST_XA_B03B-XASK-1_1x03_P2.50mm_Vertical -JST XA series connector, B03B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -3 -3 -Connector_JST -JST_XA_B04B-XASK-1-A_1x04_P2.50mm_Vertical -JST XA series connector, B04B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -4 -4 -Connector_JST -JST_XA_B04B-XASK-1_1x04_P2.50mm_Vertical -JST XA series connector, B04B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -4 -4 -Connector_JST -JST_XA_B05B-XASK-1-A_1x05_P2.50mm_Vertical -JST XA series connector, B05B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -5 -5 -Connector_JST -JST_XA_B05B-XASK-1_1x05_P2.50mm_Vertical -JST XA series connector, B05B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -5 -5 -Connector_JST -JST_XA_B06B-XASK-1-A_1x06_P2.50mm_Vertical -JST XA series connector, B06B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -6 -6 -Connector_JST -JST_XA_B06B-XASK-1_1x06_P2.50mm_Vertical -JST XA series connector, B06B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -6 -6 -Connector_JST -JST_XA_B07B-XASK-1-A_1x07_P2.50mm_Vertical -JST XA series connector, B07B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -7 -7 -Connector_JST -JST_XA_B07B-XASK-1_1x07_P2.50mm_Vertical -JST XA series connector, B07B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -7 -7 -Connector_JST -JST_XA_B08B-XASK-1-A_1x08_P2.50mm_Vertical -JST XA series connector, B08B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -8 -8 -Connector_JST -JST_XA_B08B-XASK-1_1x08_P2.50mm_Vertical -JST XA series connector, B08B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -8 -8 -Connector_JST -JST_XA_B09B-XASK-1-A_1x09_P2.50mm_Vertical -JST XA series connector, B09B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -9 -9 -Connector_JST -JST_XA_B09B-XASK-1_1x09_P2.50mm_Vertical -JST XA series connector, B09B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -9 -9 -Connector_JST -JST_XA_B10B-XASK-1-A_1x10_P2.50mm_Vertical -JST XA series connector, B10B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -10 -10 -Connector_JST -JST_XA_B10B-XASK-1_1x10_P2.50mm_Vertical -JST XA series connector, B10B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -10 -10 -Connector_JST -JST_XA_B11B-XASK-1-A_1x11_P2.50mm_Vertical -JST XA series connector, B11B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -11 -11 -Connector_JST -JST_XA_B11B-XASK-1_1x11_P2.50mm_Vertical -JST XA series connector, B11B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -11 -11 -Connector_JST -JST_XA_B12B-XASK-1-A_1x12_P2.50mm_Vertical -JST XA series connector, B12B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -12 -12 -Connector_JST -JST_XA_B12B-XASK-1_1x12_P2.50mm_Vertical -JST XA series connector, B12B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -12 -12 -Connector_JST -JST_XA_B13B-XASK-1-A_1x13_P2.50mm_Vertical -JST XA series connector, B13B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -13 -13 -Connector_JST -JST_XA_B13B-XASK-1_1x13_P2.50mm_Vertical -JST XA series connector, B13B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -13 -13 -Connector_JST -JST_XA_B14B-XASK-1-A_1x14_P2.50mm_Vertical -JST XA series connector, B14B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -14 -14 -Connector_JST -JST_XA_B14B-XASK-1_1x14_P2.50mm_Vertical -JST XA series connector, B14B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -14 -14 -Connector_JST -JST_XA_B15B-XASK-1-A_1x15_P2.50mm_Vertical -JST XA series connector, B15B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -15 -15 -Connector_JST -JST_XA_B15B-XASK-1_1x15_P2.50mm_Vertical -JST XA series connector, B15B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -15 -15 -Connector_JST -JST_XA_B18B-XASK-1_1x18_P2.50mm_Vertical -JST XA series connector, B18B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -18 -18 -Connector_JST -JST_XA_B20B-XASK-1-A_1x20_P2.50mm_Vertical -JST XA series connector, B20B-XASK-1-A (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical boss -0 -20 -20 -Connector_JST -JST_XA_B20B-XASK-1_1x20_P2.50mm_Vertical -JST XA series connector, B20B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA vertical -0 -20 -20 -Connector_JST -JST_XA_S02B-XASK-1N-BN_1x02_P2.50mm_Horizontal -JST XA series connector, S02B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -2 -2 -Connector_JST -JST_XA_S02B-XASK-1_1x02_P2.50mm_Horizontal -JST XA series connector, S02B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -2 -2 -Connector_JST -JST_XA_S03B-XASK-1N-BN_1x03_P2.50mm_Horizontal -JST XA series connector, S03B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -3 -3 -Connector_JST -JST_XA_S03B-XASK-1_1x03_P2.50mm_Horizontal -JST XA series connector, S03B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -3 -3 -Connector_JST -JST_XA_S04B-XASK-1N-BN_1x04_P2.50mm_Horizontal -JST XA series connector, S04B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -4 -4 -Connector_JST -JST_XA_S04B-XASK-1_1x04_P2.50mm_Horizontal -JST XA series connector, S04B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -4 -4 -Connector_JST -JST_XA_S05B-XASK-1N-BN_1x05_P2.50mm_Horizontal -JST XA series connector, S05B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -5 -5 -Connector_JST -JST_XA_S05B-XASK-1_1x05_P2.50mm_Horizontal -JST XA series connector, S05B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -5 -5 -Connector_JST -JST_XA_S06B-XASK-1N-BN_1x06_P2.50mm_Horizontal -JST XA series connector, S06B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -6 -6 -Connector_JST -JST_XA_S06B-XASK-1_1x06_P2.50mm_Horizontal -JST XA series connector, S06B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -6 -6 -Connector_JST -JST_XA_S07B-XASK-1N-BN_1x07_P2.50mm_Horizontal -JST XA series connector, S07B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -7 -7 -Connector_JST -JST_XA_S07B-XASK-1_1x07_P2.50mm_Horizontal -JST XA series connector, S07B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -7 -7 -Connector_JST -JST_XA_S08B-XASK-1N-BN_1x08_P2.50mm_Horizontal -JST XA series connector, S08B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -8 -8 -Connector_JST -JST_XA_S08B-XASK-1_1x08_P2.50mm_Horizontal -JST XA series connector, S08B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -8 -8 -Connector_JST -JST_XA_S09B-XASK-1N-BN_1x09_P2.50mm_Horizontal -JST XA series connector, S09B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -9 -9 -Connector_JST -JST_XA_S09B-XASK-1_1x09_P2.50mm_Horizontal -JST XA series connector, S09B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -9 -9 -Connector_JST -JST_XA_S10B-XASK-1N-BN_1x10_P2.50mm_Horizontal -JST XA series connector, S10B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -10 -10 -Connector_JST -JST_XA_S10B-XASK-1_1x10_P2.50mm_Horizontal -JST XA series connector, S10B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -10 -10 -Connector_JST -JST_XA_S11B-XASK-1N-BN_1x11_P2.50mm_Horizontal -JST XA series connector, S11B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -11 -11 -Connector_JST -JST_XA_S11B-XASK-1_1x11_P2.50mm_Horizontal -JST XA series connector, S11B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -11 -11 -Connector_JST -JST_XA_S12B-XASK-1N-BN_1x12_P2.50mm_Horizontal -JST XA series connector, S12B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -12 -12 -Connector_JST -JST_XA_S12B-XASK-1_1x12_P2.50mm_Horizontal -JST XA series connector, S12B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -12 -12 -Connector_JST -JST_XA_S13B-XASK-1N-BN_1x13_P2.50mm_Horizontal -JST XA series connector, S13B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -13 -13 -Connector_JST -JST_XA_S13B-XASK-1_1x13_P2.50mm_Horizontal -JST XA series connector, S13B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -13 -13 -Connector_JST -JST_XA_S14B-XASK-1N-BN_1x14_P2.50mm_Horizontal -JST XA series connector, S14B-XASK-1N-BN (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal -0 -14 -14 -Connector_JST -JST_XA_S14B-XASK-1_1x14_P2.50mm_Horizontal -JST XA series connector, S14B-XASK-1 (http://www.jst-mfg.com/product/pdf/eng/eXA1.pdf), generated with kicad-footprint-generator -connector JST XA horizontal hook -0 -14 -14 -Connector_JST -JST_XH_B1B-XH-AM_1x01_P2.50mm_Vertical -JST XH series connector, B1B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical boss -0 -1 -1 -Connector_JST -JST_XH_B2B-XH-AM_1x02_P2.50mm_Vertical -JST XH series connector, B2B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical boss -0 -2 -2 -Connector_JST -JST_XH_B2B-XH-A_1x02_P2.50mm_Vertical -JST XH series connector, B2B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical -0 -2 -2 -Connector_JST -JST_XH_B3B-XH-AM_1x03_P2.50mm_Vertical -JST XH series connector, B3B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical boss -0 -3 -3 -Connector_JST -JST_XH_B3B-XH-A_1x03_P2.50mm_Vertical -JST XH series connector, B3B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical -0 -3 -3 -Connector_JST -JST_XH_B4B-XH-AM_1x04_P2.50mm_Vertical -JST XH series connector, B4B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical boss -0 -4 -4 -Connector_JST -JST_XH_B4B-XH-A_1x04_P2.50mm_Vertical -JST XH series connector, B4B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical -0 -4 -4 -Connector_JST -JST_XH_B5B-XH-AM_1x05_P2.50mm_Vertical -JST XH series connector, B5B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical boss -0 -5 -5 -Connector_JST -JST_XH_B5B-XH-A_1x05_P2.50mm_Vertical -JST XH series connector, B5B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical -0 -5 -5 -Connector_JST -JST_XH_B6B-XH-AM_1x06_P2.50mm_Vertical -JST XH series connector, B6B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical boss -0 -6 -6 -Connector_JST -JST_XH_B6B-XH-A_1x06_P2.50mm_Vertical -JST XH series connector, B6B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical -0 -6 -6 -Connector_JST -JST_XH_B7B-XH-AM_1x07_P2.50mm_Vertical -JST XH series connector, B7B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical boss -0 -7 -7 -Connector_JST -JST_XH_B7B-XH-A_1x07_P2.50mm_Vertical -JST XH series connector, B7B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical -0 -7 -7 -Connector_JST -JST_XH_B8B-XH-AM_1x08_P2.50mm_Vertical -JST XH series connector, B8B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical boss -0 -8 -8 -Connector_JST -JST_XH_B8B-XH-A_1x08_P2.50mm_Vertical -JST XH series connector, B8B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical -0 -8 -8 -Connector_JST -JST_XH_B9B-XH-AM_1x09_P2.50mm_Vertical -JST XH series connector, B9B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical boss -0 -9 -9 -Connector_JST -JST_XH_B9B-XH-A_1x09_P2.50mm_Vertical -JST XH series connector, B9B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH vertical -0 -9 -9 -Connector_JST -JST_XH_B10B-XH-AM_1x10_P2.50mm_Vertical -JST XH series connector, B10B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry boss -0 -10 -10 -Connector_JST -JST_XH_B10B-XH-A_1x10_P2.50mm_Vertical -JST XH series connector, B10B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry -0 -10 -10 -Connector_JST -JST_XH_B11B-XH-A_1x11_P2.50mm_Vertical -JST XH series connector, B11B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry -0 -11 -11 -Connector_JST -JST_XH_B12B-XH-AM_1x12_P2.50mm_Vertical -JST XH series connector, B12B-XH-AM, with boss (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry boss -0 -12 -12 -Connector_JST -JST_XH_B12B-XH-A_1x12_P2.50mm_Vertical -JST XH series connector, B12B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry -0 -12 -12 -Connector_JST -JST_XH_B13B-XH-A_1x13_P2.50mm_Vertical -JST XH series connector, B13B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry -0 -13 -13 -Connector_JST -JST_XH_B14B-XH-A_1x14_P2.50mm_Vertical -JST XH series connector, B14B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry -0 -14 -14 -Connector_JST -JST_XH_B15B-XH-A_1x15_P2.50mm_Vertical -JST XH series connector, B15B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry -0 -15 -15 -Connector_JST -JST_XH_B16B-XH-A_1x16_P2.50mm_Vertical -JST XH series connector, B16B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry -0 -16 -16 -Connector_JST -JST_XH_B20B-XH-A_1x20_P2.50mm_Vertical -JST XH series connector, B20B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH side entry -0 -20 -20 -Connector_JST -JST_XH_S2B-XH-A-1_1x02_P2.50mm_Horizontal -JST XH series connector, S2B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -2 -2 -Connector_JST -JST_XH_S2B-XH-A_1x02_P2.50mm_Horizontal -JST XH series connector, S2B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -2 -2 -Connector_JST -JST_XH_S3B-XH-A-1_1x03_P2.50mm_Horizontal -JST XH series connector, S3B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -3 -3 -Connector_JST -JST_XH_S3B-XH-A_1x03_P2.50mm_Horizontal -JST XH series connector, S3B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -3 -3 -Connector_JST -JST_XH_S4B-XH-A-1_1x04_P2.50mm_Horizontal -JST XH series connector, S4B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -4 -4 -Connector_JST -JST_XH_S4B-XH-A_1x04_P2.50mm_Horizontal -JST XH series connector, S4B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -4 -4 -Connector_JST -JST_XH_S5B-XH-A-1_1x05_P2.50mm_Horizontal -JST XH series connector, S5B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -5 -5 -Connector_JST -JST_XH_S5B-XH-A_1x05_P2.50mm_Horizontal -JST XH series connector, S5B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -5 -5 -Connector_JST -JST_XH_S6B-XH-A-1_1x06_P2.50mm_Horizontal -JST XH series connector, S6B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -6 -6 -Connector_JST -JST_XH_S6B-XH-A_1x06_P2.50mm_Horizontal -JST XH series connector, S6B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -6 -6 -Connector_JST -JST_XH_S7B-XH-A-1_1x07_P2.50mm_Horizontal -JST XH series connector, S7B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -7 -7 -Connector_JST -JST_XH_S7B-XH-A_1x07_P2.50mm_Horizontal -JST XH series connector, S7B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -7 -7 -Connector_JST -JST_XH_S8B-XH-A-1_1x08_P2.50mm_Horizontal -JST XH series connector, S8B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -8 -8 -Connector_JST -JST_XH_S8B-XH-A_1x08_P2.50mm_Horizontal -JST XH series connector, S8B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -8 -8 -Connector_JST -JST_XH_S9B-XH-A-1_1x09_P2.50mm_Horizontal -JST XH series connector, S9B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -9 -9 -Connector_JST -JST_XH_S9B-XH-A_1x09_P2.50mm_Horizontal -JST XH series connector, S9B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH horizontal -0 -9 -9 -Connector_JST -JST_XH_S10B-XH-A-1_1x10_P2.50mm_Horizontal -JST XH series connector, S10B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -10 -10 -Connector_JST -JST_XH_S10B-XH-A_1x10_P2.50mm_Horizontal -JST XH series connector, S10B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -10 -10 -Connector_JST -JST_XH_S11B-XH-A-1_1x11_P2.50mm_Horizontal -JST XH series connector, S11B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -11 -11 -Connector_JST -JST_XH_S11B-XH-A_1x11_P2.50mm_Horizontal -JST XH series connector, S11B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -11 -11 -Connector_JST -JST_XH_S12B-XH-A-1_1x12_P2.50mm_Horizontal -JST XH series connector, S12B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -12 -12 -Connector_JST -JST_XH_S12B-XH-A_1x12_P2.50mm_Horizontal -JST XH series connector, S12B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -12 -12 -Connector_JST -JST_XH_S13B-XH-A-1_1x13_P2.50mm_Horizontal -JST XH series connector, S13B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -13 -13 -Connector_JST -JST_XH_S13B-XH-A_1x13_P2.50mm_Horizontal -JST XH series connector, S13B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -13 -13 -Connector_JST -JST_XH_S14B-XH-A-1_1x14_P2.50mm_Horizontal -JST XH series connector, S14B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -14 -14 -Connector_JST -JST_XH_S14B-XH-A_1x14_P2.50mm_Horizontal -JST XH series connector, S14B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -14 -14 -Connector_JST -JST_XH_S15B-XH-A-1_1x15_P2.50mm_Horizontal -JST XH series connector, S15B-XH-A-1 (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -15 -15 -Connector_JST -JST_XH_S15B-XH-A_1x15_P2.50mm_Horizontal -JST XH series connector, S15B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -15 -15 -Connector_JST -JST_XH_S16B-XH-A_1x16_P2.50mm_Horizontal -JST XH series connector, S16B-XH-A (http://www.jst-mfg.com/product/pdf/eng/eXH.pdf), generated with kicad-footprint-generator -connector JST XH top entry -0 -16 -16 -Connector_JST -JST_ZE_B02B-ZESK-1D_1x02_P1.50mm_Vertical -JST ZE series connector, B02B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -2 -2 -Connector_JST -JST_ZE_B03B-ZESK-1D_1x03_P1.50mm_Vertical -JST ZE series connector, B03B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -3 -3 -Connector_JST -JST_ZE_B03B-ZESK-D_1x03_P1.50mm_Vertical -JST ZE series connector, B03B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -3 -3 -Connector_JST -JST_ZE_B04B-ZESK-1D_1x04_P1.50mm_Vertical -JST ZE series connector, B04B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -4 -4 -Connector_JST -JST_ZE_B04B-ZESK-D_1x04_P1.50mm_Vertical -JST ZE series connector, B04B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -4 -4 -Connector_JST -JST_ZE_B05B-ZESK-1D_1x05_P1.50mm_Vertical -JST ZE series connector, B05B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -5 -5 -Connector_JST -JST_ZE_B05B-ZESK-D_1x05_P1.50mm_Vertical -JST ZE series connector, B05B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -5 -5 -Connector_JST -JST_ZE_B06B-ZESK-1D_1x06_P1.50mm_Vertical -JST ZE series connector, B06B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -6 -6 -Connector_JST -JST_ZE_B06B-ZESK-D_1x06_P1.50mm_Vertical -JST ZE series connector, B06B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -6 -6 -Connector_JST -JST_ZE_B07B-ZESK-1D_1x07_P1.50mm_Vertical -JST ZE series connector, B07B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -7 -7 -Connector_JST -JST_ZE_B07B-ZESK-D_1x07_P1.50mm_Vertical -JST ZE series connector, B07B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -7 -7 -Connector_JST -JST_ZE_B08B-ZESK-1D_1x08_P1.50mm_Vertical -JST ZE series connector, B08B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -8 -8 -Connector_JST -JST_ZE_B08B-ZESK-D_1x08_P1.50mm_Vertical -JST ZE series connector, B08B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -8 -8 -Connector_JST -JST_ZE_B09B-ZESK-1D_1x09_P1.50mm_Vertical -JST ZE series connector, B09B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -9 -9 -Connector_JST -JST_ZE_B09B-ZESK-D_1x09_P1.50mm_Vertical -JST ZE series connector, B09B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -9 -9 -Connector_JST -JST_ZE_B10B-ZESK-1D_1x10_P1.50mm_Vertical -JST ZE series connector, B10B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -10 -10 -Connector_JST -JST_ZE_B10B-ZESK-D_1x10_P1.50mm_Vertical -JST ZE series connector, B10B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -10 -10 -Connector_JST -JST_ZE_B11B-ZESK-1D_1x11_P1.50mm_Vertical -JST ZE series connector, B11B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -11 -11 -Connector_JST -JST_ZE_B11B-ZESK-D_1x11_P1.50mm_Vertical -JST ZE series connector, B11B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -11 -11 -Connector_JST -JST_ZE_B12B-ZESK-1D_1x12_P1.50mm_Vertical -JST ZE series connector, B12B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -12 -12 -Connector_JST -JST_ZE_B12B-ZESK-D_1x12_P1.50mm_Vertical -JST ZE series connector, B12B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -12 -12 -Connector_JST -JST_ZE_B13B-ZESK-1D_1x13_P1.50mm_Vertical -JST ZE series connector, B13B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -13 -13 -Connector_JST -JST_ZE_B13B-ZESK-D_1x13_P1.50mm_Vertical -JST ZE series connector, B13B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -13 -13 -Connector_JST -JST_ZE_B14B-ZESK-1D_1x14_P1.50mm_Vertical -JST ZE series connector, B14B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -14 -14 -Connector_JST -JST_ZE_B14B-ZESK-D_1x14_P1.50mm_Vertical -JST ZE series connector, B14B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -14 -14 -Connector_JST -JST_ZE_B15B-ZESK-1D_1x15_P1.50mm_Vertical -JST ZE series connector, B15B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -15 -15 -Connector_JST -JST_ZE_B15B-ZESK-D_1x15_P1.50mm_Vertical -JST ZE series connector, B15B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -15 -15 -Connector_JST -JST_ZE_B16B-ZESK-1D_1x16_P1.50mm_Vertical -JST ZE series connector, B16B-ZESK-1D, with boss (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry boss -0 -16 -16 -Connector_JST -JST_ZE_B16B-ZESK-D_1x16_P1.50mm_Vertical -JST ZE series connector, B16B-ZESK-D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE side entry -0 -16 -16 -Connector_JST -JST_ZE_BM02B-ZESS-TBT_1x02-1MP_P1.50mm_Vertical -JST ZE series connector, BM02B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -4 -3 -Connector_JST -JST_ZE_BM03B-ZESS-TBT_1x03-1MP_P1.50mm_Vertical -JST ZE series connector, BM03B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -5 -4 -Connector_JST -JST_ZE_BM04B-ZESS-TBT_1x04-1MP_P1.50mm_Vertical -JST ZE series connector, BM04B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -6 -5 -Connector_JST -JST_ZE_BM05B-ZESS-TBT_1x05-1MP_P1.50mm_Vertical -JST ZE series connector, BM05B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -7 -6 -Connector_JST -JST_ZE_BM06B-ZESS-TBT_1x06-1MP_P1.50mm_Vertical -JST ZE series connector, BM06B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -8 -7 -Connector_JST -JST_ZE_BM07B-ZESS-TBT_1x07-1MP_P1.50mm_Vertical -JST ZE series connector, BM07B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -9 -8 -Connector_JST -JST_ZE_BM08B-ZESS-TBT_1x08-1MP_P1.50mm_Vertical -JST ZE series connector, BM08B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -10 -9 -Connector_JST -JST_ZE_BM09B-ZESS-TBT_1x09-1MP_P1.50mm_Vertical -JST ZE series connector, BM09B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -11 -10 -Connector_JST -JST_ZE_BM10B-ZESS-TBT_1x10-1MP_P1.50mm_Vertical -JST ZE series connector, BM10B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -12 -11 -Connector_JST -JST_ZE_BM11B-ZESS-TBT_1x11-1MP_P1.50mm_Vertical -JST ZE series connector, BM11B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -13 -12 -Connector_JST -JST_ZE_BM12B-ZESS-TBT_1x12-1MP_P1.50mm_Vertical -JST ZE series connector, BM12B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -14 -13 -Connector_JST -JST_ZE_BM13B-ZESS-TBT_1x13-1MP_P1.50mm_Vertical -JST ZE series connector, BM13B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -15 -14 -Connector_JST -JST_ZE_BM14B-ZESS-TBT_1x14-1MP_P1.50mm_Vertical -JST ZE series connector, BM14B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -16 -15 -Connector_JST -JST_ZE_BM15B-ZESS-TBT_1x15-1MP_P1.50mm_Vertical -JST ZE series connector, BM15B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -17 -16 -Connector_JST -JST_ZE_BM16B-ZESS-TBT_1x16-1MP_P1.50mm_Vertical -JST ZE series connector, BM16B-ZESS-TBT (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE vertical -0 -18 -17 -Connector_JST -JST_ZE_S02B-ZESK-2D_1x02_P1.50mm_Horizontal -JST ZE series connector, S02B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -2 -2 -Connector_JST -JST_ZE_S03B-ZESK-2D_1x03_P1.50mm_Horizontal -JST ZE series connector, S03B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -3 -3 -Connector_JST -JST_ZE_S04B-ZESK-2D_1x04_P1.50mm_Horizontal -JST ZE series connector, S04B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -4 -4 -Connector_JST -JST_ZE_S05B-ZESK-2D_1x05_P1.50mm_Horizontal -JST ZE series connector, S05B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -5 -5 -Connector_JST -JST_ZE_S06B-ZESK-2D_1x06_P1.50mm_Horizontal -JST ZE series connector, S06B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -6 -6 -Connector_JST -JST_ZE_S07B-ZESK-2D_1x07_P1.50mm_Horizontal -JST ZE series connector, S07B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -7 -7 -Connector_JST -JST_ZE_S08B-ZESK-2D_1x08_P1.50mm_Horizontal -JST ZE series connector, S08B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -8 -8 -Connector_JST -JST_ZE_S09B-ZESK-2D_1x09_P1.50mm_Horizontal -JST ZE series connector, S09B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -9 -9 -Connector_JST -JST_ZE_S10B-ZESK-2D_1x10_P1.50mm_Horizontal -JST ZE series connector, S10B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -10 -10 -Connector_JST -JST_ZE_S11B-ZESK-2D_1x11_P1.50mm_Horizontal -JST ZE series connector, S11B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -11 -11 -Connector_JST -JST_ZE_S12B-ZESK-2D_1x12_P1.50mm_Horizontal -JST ZE series connector, S12B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -12 -12 -Connector_JST -JST_ZE_S13B-ZESK-2D_1x13_P1.50mm_Horizontal -JST ZE series connector, S13B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -13 -13 -Connector_JST -JST_ZE_S14B-ZESK-2D_1x14_P1.50mm_Horizontal -JST ZE series connector, S14B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -14 -14 -Connector_JST -JST_ZE_S15B-ZESK-2D_1x15_P1.50mm_Horizontal -JST ZE series connector, S15B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -15 -15 -Connector_JST -JST_ZE_S16B-ZESK-2D_1x16_P1.50mm_Horizontal -JST ZE series connector, S16B-ZESK-2D (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE top entry -0 -16 -16 -Connector_JST -JST_ZE_SM02B-ZESS-TB_1x02-1MP_P1.50mm_Horizontal -JST ZE series connector, SM02B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -4 -3 -Connector_JST -JST_ZE_SM03B-ZESS-TB_1x03-1MP_P1.50mm_Horizontal -JST ZE series connector, SM03B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -5 -4 -Connector_JST -JST_ZE_SM04B-ZESS-TB_1x04-1MP_P1.50mm_Horizontal -JST ZE series connector, SM04B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -6 -5 -Connector_JST -JST_ZE_SM05B-ZESS-TB_1x05-1MP_P1.50mm_Horizontal -JST ZE series connector, SM05B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -7 -6 -Connector_JST -JST_ZE_SM06B-ZESS-TB_1x06-1MP_P1.50mm_Horizontal -JST ZE series connector, SM06B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -8 -7 -Connector_JST -JST_ZE_SM07B-ZESS-TB_1x07-1MP_P1.50mm_Horizontal -JST ZE series connector, SM07B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -9 -8 -Connector_JST -JST_ZE_SM08B-ZESS-TB_1x08-1MP_P1.50mm_Horizontal -JST ZE series connector, SM08B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -10 -9 -Connector_JST -JST_ZE_SM09B-ZESS-TB_1x09-1MP_P1.50mm_Horizontal -JST ZE series connector, SM09B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -11 -10 -Connector_JST -JST_ZE_SM10B-ZESS-TB_1x10-1MP_P1.50mm_Horizontal -JST ZE series connector, SM10B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -12 -11 -Connector_JST -JST_ZE_SM11B-ZESS-TB_1x11-1MP_P1.50mm_Horizontal -JST ZE series connector, SM11B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -13 -12 -Connector_JST -JST_ZE_SM12B-ZESS-TB_1x12-1MP_P1.50mm_Horizontal -JST ZE series connector, SM12B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -14 -13 -Connector_JST -JST_ZE_SM13B-ZESS-TB_1x13-1MP_P1.50mm_Horizontal -JST ZE series connector, SM13B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -15 -14 -Connector_JST -JST_ZE_SM14B-ZESS-TB_1x14-1MP_P1.50mm_Horizontal -JST ZE series connector, SM14B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -16 -15 -Connector_JST -JST_ZE_SM15B-ZESS-TB_1x15-1MP_P1.50mm_Horizontal -JST ZE series connector, SM15B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -17 -16 -Connector_JST -JST_ZE_SM16B-ZESS-TB_1x16-1MP_P1.50mm_Horizontal -JST ZE series connector, SM16B-ZESS-TB (http://www.jst-mfg.com/product/pdf/eng/eZE.pdf), generated with kicad-footprint-generator -connector JST ZE horizontal -0 -18 -17 -Connector_Molex -Molex_CLIK-Mate_502382-0270_1x02-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-0270 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -4 -3 -Connector_Molex -Molex_CLIK-Mate_502382-0370_1x03-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-0370 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -5 -4 -Connector_Molex -Molex_CLIK-Mate_502382-0470_1x04-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-0470 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -6 -5 -Connector_Molex -Molex_CLIK-Mate_502382-0570_1x05-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-0570 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -7 -6 -Connector_Molex -Molex_CLIK-Mate_502382-0670_1x06-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-0670 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -8 -7 -Connector_Molex -Molex_CLIK-Mate_502382-0770_1x07-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-0770 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -9 -8 -Connector_Molex -Molex_CLIK-Mate_502382-0870_1x08-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-0870 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -10 -9 -Connector_Molex -Molex_CLIK-Mate_502382-0970_1x09-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-0970 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -11 -10 -Connector_Molex -Molex_CLIK-Mate_502382-1070_1x10-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-1070 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -12 -11 -Connector_Molex -Molex_CLIK-Mate_502382-1170_1x11-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-1170 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -13 -12 -Connector_Molex -Molex_CLIK-Mate_502382-1270_1x12-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-1270 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -14 -13 -Connector_Molex -Molex_CLIK-Mate_502382-1370_1x13-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-1370 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -15 -14 -Connector_Molex -Molex_CLIK-Mate_502382-1470_1x14-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-1470 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -16 -15 -Connector_Molex -Molex_CLIK-Mate_502382-1570_1x15-1MP_P1.25mm_Vertical -Molex CLIK-Mate series connector, 502382-1570 (http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -17 -16 -Connector_Molex -Molex_CLIK-Mate_502386-0270_1x02-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-0270 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -4 -3 -Connector_Molex -Molex_CLIK-Mate_502386-0370_1x03-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-0370 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -5 -4 -Connector_Molex -Molex_CLIK-Mate_502386-0470_1x04-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-0470 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -6 -5 -Connector_Molex -Molex_CLIK-Mate_502386-0570_1x05-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-0570 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -7 -6 -Connector_Molex -Molex_CLIK-Mate_502386-0670_1x06-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-0670 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -8 -7 -Connector_Molex -Molex_CLIK-Mate_502386-0770_1x07-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-0770 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -9 -8 -Connector_Molex -Molex_CLIK-Mate_502386-0870_1x08-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-0870 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -10 -9 -Connector_Molex -Molex_CLIK-Mate_502386-0970_1x09-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-0970 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -11 -10 -Connector_Molex -Molex_CLIK-Mate_502386-1070_1x10-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-1070 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -12 -11 -Connector_Molex -Molex_CLIK-Mate_502386-1170_1x11-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-1170 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -13 -12 -Connector_Molex -Molex_CLIK-Mate_502386-1270_1x12-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-1270 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -14 -13 -Connector_Molex -Molex_CLIK-Mate_502386-1370_1x13-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-1370 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -15 -14 -Connector_Molex -Molex_CLIK-Mate_502386-1470_1x14-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-1470 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -16 -15 -Connector_Molex -Molex_CLIK-Mate_502386-1570_1x15-1MP_P1.25mm_Horizontal -Molex CLIK-Mate series connector, 502386-1570 (http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -17 -16 -Connector_Molex -Molex_CLIK-Mate_502443-0270_1x02-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-0270 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -4 -3 -Connector_Molex -Molex_CLIK-Mate_502443-0370_1x03-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-0370 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -5 -4 -Connector_Molex -Molex_CLIK-Mate_502443-0470_1x04-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-0470 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -6 -5 -Connector_Molex -Molex_CLIK-Mate_502443-0570_1x05-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-0570 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -7 -6 -Connector_Molex -Molex_CLIK-Mate_502443-0670_1x06-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-0670 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -8 -7 -Connector_Molex -Molex_CLIK-Mate_502443-0770_1x07-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-0770 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -9 -8 -Connector_Molex -Molex_CLIK-Mate_502443-0870_1x08-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-0870 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -10 -9 -Connector_Molex -Molex_CLIK-Mate_502443-0970_1x09-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-0970 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -11 -10 -Connector_Molex -Molex_CLIK-Mate_502443-1270_1x12-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-1270 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -14 -13 -Connector_Molex -Molex_CLIK-Mate_502443-1370_1x13-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-1370 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -15 -14 -Connector_Molex -Molex_CLIK-Mate_502443-1470_1x14-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-1470 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -16 -15 -Connector_Molex -Molex_CLIK-Mate_502443-1570_1x15-1MP_P2.00mm_Vertical -Molex CLIK-Mate series connector, 502443-1570 (http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -17 -16 -Connector_Molex -Molex_CLIK-Mate_502494-0270_1x02-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-0270 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -4 -3 -Connector_Molex -Molex_CLIK-Mate_502494-0370_1x03-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-0370 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -5 -4 -Connector_Molex -Molex_CLIK-Mate_502494-0470_1x04-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-0470 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -6 -5 -Connector_Molex -Molex_CLIK-Mate_502494-0670_1x06-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-0670 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -8 -7 -Connector_Molex -Molex_CLIK-Mate_502494-0870_1x08-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-0870 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -10 -9 -Connector_Molex -Molex_CLIK-Mate_502494-1070_1x10-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-1070 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -12 -11 -Connector_Molex -Molex_CLIK-Mate_502494-1270_1x12-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-1270 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -14 -13 -Connector_Molex -Molex_CLIK-Mate_502494-1370_1x13-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-1370 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -15 -14 -Connector_Molex -Molex_CLIK-Mate_502494-1470_1x14-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-1470 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -16 -15 -Connector_Molex -Molex_CLIK-Mate_502494-1570_1x15-1MP_P2.00mm_Horizontal -Molex CLIK-Mate series connector, 502494-1570 (http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -17 -16 -Connector_Molex -Molex_CLIK-Mate_502585-0270_1x02-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-0270 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -4 -3 -Connector_Molex -Molex_CLIK-Mate_502585-0370_1x03-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-0370 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -5 -4 -Connector_Molex -Molex_CLIK-Mate_502585-0470_1x04-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-0470 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -6 -5 -Connector_Molex -Molex_CLIK-Mate_502585-0570_1x05-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-0570 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -7 -6 -Connector_Molex -Molex_CLIK-Mate_502585-0670_1x06-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-0670 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -8 -7 -Connector_Molex -Molex_CLIK-Mate_502585-0770_1x07-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-0770 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -9 -8 -Connector_Molex -Molex_CLIK-Mate_502585-0870_1x08-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-0870 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -10 -9 -Connector_Molex -Molex_CLIK-Mate_502585-0970_1x09-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-0970 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -11 -10 -Connector_Molex -Molex_CLIK-Mate_502585-1070_1x10-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-1070 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -12 -11 -Connector_Molex -Molex_CLIK-Mate_502585-1170_1x11-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-1170 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -13 -12 -Connector_Molex -Molex_CLIK-Mate_502585-1270_1x12-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-1270 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -14 -13 -Connector_Molex -Molex_CLIK-Mate_502585-1370_1x13-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-1370 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -15 -14 -Connector_Molex -Molex_CLIK-Mate_502585-1470_1x14-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-1470 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -16 -15 -Connector_Molex -Molex_CLIK-Mate_502585-1570_1x15-1MP_P1.50mm_Horizontal -Molex CLIK-Mate series connector, 502585-1570 (http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate top entry -0 -17 -16 -Connector_Molex -Molex_CLIK-Mate_505405-0270_1x02-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-0270 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -4 -3 -Connector_Molex -Molex_CLIK-Mate_505405-0370_1x03-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-0370 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -5 -4 -Connector_Molex -Molex_CLIK-Mate_505405-0470_1x04-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-0470 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -6 -5 -Connector_Molex -Molex_CLIK-Mate_505405-0570_1x05-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-0570 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -7 -6 -Connector_Molex -Molex_CLIK-Mate_505405-0670_1x06-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-0670 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -8 -7 -Connector_Molex -Molex_CLIK-Mate_505405-0770_1x07-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-0770 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -9 -8 -Connector_Molex -Molex_CLIK-Mate_505405-0870_1x08-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-0870 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -10 -9 -Connector_Molex -Molex_CLIK-Mate_505405-0970_1x09-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-0970 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -11 -10 -Connector_Molex -Molex_CLIK-Mate_505405-1070_1x10-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-1070 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -12 -11 -Connector_Molex -Molex_CLIK-Mate_505405-1170_1x11-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-1170 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -13 -12 -Connector_Molex -Molex_CLIK-Mate_505405-1270_1x12-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-1270 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -14 -13 -Connector_Molex -Molex_CLIK-Mate_505405-1370_1x13-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-1370 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -15 -14 -Connector_Molex -Molex_CLIK-Mate_505405-1470_1x14-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-1470 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -16 -15 -Connector_Molex -Molex_CLIK-Mate_505405-1570_1x15-1MP_P1.50mm_Vertical -Molex CLIK-Mate series connector, 505405-1570 (http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf), generated with kicad-footprint-generator -connector Molex CLIK-Mate side entry -0 -17 -16 -Connector_Molex -Molex_KK-254_AE-6410-02A_1x02_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-02A example for new part number: 22-27-2021, 2 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -2 -2 -Connector_Molex -Molex_KK-254_AE-6410-03A_1x03_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-03A example for new part number: 22-27-2031, 3 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -3 -3 -Connector_Molex -Molex_KK-254_AE-6410-04A_1x04_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-04A example for new part number: 22-27-2041, 4 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -4 -4 -Connector_Molex -Molex_KK-254_AE-6410-05A_1x05_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-05A example for new part number: 22-27-2051, 5 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -5 -5 -Connector_Molex -Molex_KK-254_AE-6410-06A_1x06_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-06A example for new part number: 22-27-2061, 6 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -6 -6 -Connector_Molex -Molex_KK-254_AE-6410-07A_1x07_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-07A example for new part number: 22-27-2071, 7 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -7 -7 -Connector_Molex -Molex_KK-254_AE-6410-08A_1x08_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-08A example for new part number: 22-27-2081, 8 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -8 -8 -Connector_Molex -Molex_KK-254_AE-6410-09A_1x09_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-09A example for new part number: 22-27-2091, 9 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -9 -9 -Connector_Molex -Molex_KK-254_AE-6410-10A_1x10_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-10A example for new part number: 22-27-2101, 10 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -10 -10 -Connector_Molex -Molex_KK-254_AE-6410-11A_1x11_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-11A example for new part number: 22-27-2111, 11 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -11 -11 -Connector_Molex -Molex_KK-254_AE-6410-12A_1x12_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-12A example for new part number: 22-27-2121, 12 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -12 -12 -Connector_Molex -Molex_KK-254_AE-6410-13A_1x13_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-13A example for new part number: 22-27-2131, 13 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -13 -13 -Connector_Molex -Molex_KK-254_AE-6410-14A_1x14_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-14A example for new part number: 22-27-2141, 14 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -14 -14 -Connector_Molex -Molex_KK-254_AE-6410-15A_1x15_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-15A example for new part number: 22-27-2151, 15 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -15 -15 -Connector_Molex -Molex_KK-254_AE-6410-16A_1x16_P2.54mm_Vertical -Molex KK-254 Interconnect System, old/engineering part number: AE-6410-16A example for new part number: 22-27-2161, 16 Pins (http://www.molex.com/pdm_docs/sd/022272021_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-254 vertical -0 -16 -16 -Connector_Molex -Molex_KK-396_5273-02A_1x02_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-02A example for new part number: 09-65-2028, 2 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -2 -2 -Connector_Molex -Molex_KK-396_5273-03A_1x03_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-03A example for new part number: 09-65-2038, 3 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -3 -3 -Connector_Molex -Molex_KK-396_5273-04A_1x04_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-04A example for new part number: 09-65-2048, 4 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -4 -4 -Connector_Molex -Molex_KK-396_5273-05A_1x05_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-05A example for new part number: 09-65-2058, 5 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -5 -5 -Connector_Molex -Molex_KK-396_5273-06A_1x06_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-06A example for new part number: 09-65-2068, 6 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -6 -6 -Connector_Molex -Molex_KK-396_5273-07A_1x07_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-07A example for new part number: 09-65-2078, 7 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -7 -7 -Connector_Molex -Molex_KK-396_5273-08A_1x08_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-08A example for new part number: 09-65-2088, 8 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -8 -8 -Connector_Molex -Molex_KK-396_5273-09A_1x09_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-09A example for new part number: 09-65-2098, 9 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -9 -9 -Connector_Molex -Molex_KK-396_5273-10A_1x10_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-10A example for new part number: 09-65-2108, 10 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -10 -10 -Connector_Molex -Molex_KK-396_5273-11A_1x11_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-11A example for new part number: 09-65-2118, 11 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -11 -11 -Connector_Molex -Molex_KK-396_5273-12A_1x12_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: 5273-12A example for new part number: 09-65-2128, 12 Pins (https://www.molex.com/pdm_docs/sd/009652028_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -12 -12 -Connector_Molex -Molex_KK-396_A-41791-0002_1x02_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0002 example for new part number: 26-60-4020, 2 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -2 -2 -Connector_Molex -Molex_KK-396_A-41791-0003_1x03_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0003 example for new part number: 26-60-4030, 3 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -3 -3 -Connector_Molex -Molex_KK-396_A-41791-0004_1x04_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0004 example for new part number: 26-60-4040, 4 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -4 -4 -Connector_Molex -Molex_KK-396_A-41791-0005_1x05_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0005 example for new part number: 26-60-4050, 5 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -5 -5 -Connector_Molex -Molex_KK-396_A-41791-0006_1x06_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0006 example for new part number: 26-60-4060, 6 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -6 -6 -Connector_Molex -Molex_KK-396_A-41791-0007_1x07_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0007 example for new part number: 26-60-4070, 7 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -7 -7 -Connector_Molex -Molex_KK-396_A-41791-0008_1x08_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0008 example for new part number: 26-60-4080, 8 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -8 -8 -Connector_Molex -Molex_KK-396_A-41791-0009_1x09_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0009 example for new part number: 26-60-4090, 9 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -9 -9 -Connector_Molex -Molex_KK-396_A-41791-0010_1x10_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0010 example for new part number: 26-60-4100, 10 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -10 -10 -Connector_Molex -Molex_KK-396_A-41791-0011_1x11_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0011 example for new part number: 26-60-4110, 11 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -11 -11 -Connector_Molex -Molex_KK-396_A-41791-0012_1x12_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0012 example for new part number: 26-60-4120, 12 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -12 -12 -Connector_Molex -Molex_KK-396_A-41791-0013_1x13_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0013 example for new part number: 26-60-4130, 13 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -13 -13 -Connector_Molex -Molex_KK-396_A-41791-0014_1x14_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0014 example for new part number: 26-60-4140, 14 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -14 -14 -Connector_Molex -Molex_KK-396_A-41791-0015_1x15_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0015 example for new part number: 26-60-4150, 15 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -15 -15 -Connector_Molex -Molex_KK-396_A-41791-0016_1x16_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0016 example for new part number: 26-60-4160, 16 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -16 -16 -Connector_Molex -Molex_KK-396_A-41791-0017_1x17_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0017 example for new part number: 26-60-4170, 17 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -17 -17 -Connector_Molex -Molex_KK-396_A-41791-0018_1x18_P3.96mm_Vertical -Molex KK 396 Interconnect System, old/engineering part number: A-41791-0018 example for new part number: 26-60-4180, 18 Pins (https://www.molex.com/pdm_docs/sd/026604020_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 vertical -0 -18 -18 -Connector_Molex -Molex_KK-396_A-41792-0002_1x02_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0002 example for new part number: 26-60-5020, 2 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -2 -2 -Connector_Molex -Molex_KK-396_A-41792-0003_1x03_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0003 example for new part number: 26-60-5030, 3 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -3 -3 -Connector_Molex -Molex_KK-396_A-41792-0004_1x04_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0004 example for new part number: 26-60-5040, 4 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -4 -4 -Connector_Molex -Molex_KK-396_A-41792-0005_1x05_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0005 example for new part number: 26-60-5050, 5 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -5 -5 -Connector_Molex -Molex_KK-396_A-41792-0006_1x06_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0006 example for new part number: 26-60-5060, 6 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -6 -6 -Connector_Molex -Molex_KK-396_A-41792-0007_1x07_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0007 example for new part number: 26-60-5070, 7 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -7 -7 -Connector_Molex -Molex_KK-396_A-41792-0008_1x08_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0008 example for new part number: 26-60-5080, 8 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -8 -8 -Connector_Molex -Molex_KK-396_A-41792-0009_1x09_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0009 example for new part number: 26-60-5090, 9 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -9 -9 -Connector_Molex -Molex_KK-396_A-41792-0010_1x10_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0010 example for new part number: 26-60-5100, 10 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -10 -10 -Connector_Molex -Molex_KK-396_A-41792-0011_1x11_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0011 example for new part number: 26-60-5110, 11 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -11 -11 -Connector_Molex -Molex_KK-396_A-41792-0012_1x12_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0012 example for new part number: 26-60-5120, 12 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -12 -12 -Connector_Molex -Molex_KK-396_A-41792-0013_1x13_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0013 example for new part number: 26-60-5130, 13 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -13 -13 -Connector_Molex -Molex_KK-396_A-41792-0014_1x14_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0014 example for new part number: 26-60-5140, 14 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -14 -14 -Connector_Molex -Molex_KK-396_A-41792-0015_1x15_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0015 example for new part number: 26-60-5150, 15 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -15 -15 -Connector_Molex -Molex_KK-396_A-41792-0016_1x16_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0016 example for new part number: 26-60-5160, 16 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -16 -16 -Connector_Molex -Molex_KK-396_A-41792-0017_1x17_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0017 example for new part number: 26-60-5170, 17 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -17 -17 -Connector_Molex -Molex_KK-396_A-41792-0018_1x18_P3.96mm_Horizontal -Molex KK 396 Interconnect System, old/engineering part number: A-41792-0018 example for new part number: 26-60-5180, 18 Pins (https://www.molex.com/pdm_docs/sd/026605050_sd.pdf), generated with kicad-footprint-generator -connector Molex KK-396 horizontal -0 -18 -18 -Connector_Molex -Molex_Mega-Fit_76825-0002_2x01_P5.70mm_Horizontal -Molex Mega-Fit Power Connectors, 76825-0002 (compatible alternatives: 172064-0002, 172064-1002), 1 Pins per row (http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit top entry -0 -2 -2 -Connector_Molex -Molex_Mega-Fit_76825-0004_2x02_P5.70mm_Horizontal -Molex Mega-Fit Power Connectors, 76825-0004 (compatible alternatives: 172064-0004, 172064-1004), 2 Pins per row (http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit top entry -0 -4 -4 -Connector_Molex -Molex_Mega-Fit_76825-0006_2x03_P5.70mm_Horizontal -Molex Mega-Fit Power Connectors, 76825-0006 (compatible alternatives: 172064-0006, 172064-1006), 3 Pins per row (http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit top entry -0 -6 -6 -Connector_Molex -Molex_Mega-Fit_76825-0008_2x04_P5.70mm_Horizontal -Molex Mega-Fit Power Connectors, 76825-0008 (compatible alternatives: 172064-0008, 172064-1008), 4 Pins per row (http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit top entry -0 -8 -8 -Connector_Molex -Molex_Mega-Fit_76825-0010_2x05_P5.70mm_Horizontal -Molex Mega-Fit Power Connectors, 76825-0010 (compatible alternatives: 172064-0010, 172064-1010), 5 Pins per row (http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit top entry -0 -10 -10 -Connector_Molex -Molex_Mega-Fit_76825-0012_2x06_P5.70mm_Horizontal -Molex Mega-Fit Power Connectors, 76825-0012 (compatible alternatives: 172064-0012, 172064-1012), 6 Pins per row (http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit top entry -0 -12 -12 -Connector_Molex -Molex_Mega-Fit_76829-0002_2x01_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0002 (compatible alternatives: 172065-0002, 172065-1002), 1 Pins per row (http://www.molex.com/pdm_docs/sd/768290004_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -2 -2 -Connector_Molex -Molex_Mega-Fit_76829-0004_2x02_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0004 (compatible alternatives: 172065-0004, 172065-1004), 2 Pins per row (http://www.molex.com/pdm_docs/sd/768290004_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -4 -4 -Connector_Molex -Molex_Mega-Fit_76829-0006_2x03_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0006 (compatible alternatives: 172065-0006, 172065-1006), 3 Pins per row (http://www.molex.com/pdm_docs/sd/768290004_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -6 -6 -Connector_Molex -Molex_Mega-Fit_76829-0008_2x04_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0008 (compatible alternatives: 172065-0008, 172065-1008), 4 Pins per row (http://www.molex.com/pdm_docs/sd/768290004_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -8 -8 -Connector_Molex -Molex_Mega-Fit_76829-0010_2x05_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0010 (compatible alternatives: 172065-0010, 172065-1010), 5 Pins per row (http://www.molex.com/pdm_docs/sd/768290004_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -10 -10 -Connector_Molex -Molex_Mega-Fit_76829-0012_2x06_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0012 (compatible alternatives: 172065-0012, 172065-1012), 6 Pins per row (http://www.molex.com/pdm_docs/sd/768290004_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -12 -12 -Connector_Molex -Molex_Mega-Fit_76829-0102_2x01_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0102 (compatible alternatives: 172065-0202, 172065-0302), 1 Pins per row (http://www.molex.com/pdm_docs/sd/768290102_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -2 -2 -Connector_Molex -Molex_Mega-Fit_76829-0104_2x02_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0104 (compatible alternatives: 172065-0204, 172065-0304), 2 Pins per row (http://www.molex.com/pdm_docs/sd/768290102_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -4 -4 -Connector_Molex -Molex_Mega-Fit_76829-0106_2x03_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0106 (compatible alternatives: 172065-0206, 172065-0306), 3 Pins per row (http://www.molex.com/pdm_docs/sd/768290102_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -6 -6 -Connector_Molex -Molex_Mega-Fit_76829-0108_2x04_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0108 (compatible alternatives: 172065-0208, 172065-0308), 4 Pins per row (http://www.molex.com/pdm_docs/sd/768290102_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -8 -8 -Connector_Molex -Molex_Mega-Fit_76829-0110_2x05_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0110 (compatible alternatives: 172065-0210, 172065-0310), 5 Pins per row (http://www.molex.com/pdm_docs/sd/768290102_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -10 -10 -Connector_Molex -Molex_Mega-Fit_76829-0112_2x06_P5.70mm_Vertical -Molex Mega-Fit Power Connectors, 76829-0112 (compatible alternatives: 172065-0212, 172065-0312), 6 Pins per row (http://www.molex.com/pdm_docs/sd/768290102_sd.pdf), generated with kicad-footprint-generator -connector Molex Mega-Fit side entry -0 -12 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0200_2x01_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0200 (alternative finishes: 43045-020x), 1 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -2 -2 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0210_2x01-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0210 (compatible alternatives: 43045-0211, 43045-0209), 1 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -4 -3 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0212_2x01_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0212 (compatible alternatives: 43045-0213, 43045-0224), 1 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -2 -2 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0215_2x01_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0215 (compatible alternatives: 43045-0216, 43045-0217), 1 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -2 -2 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0218_2x01-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0218 (compatible alternatives: 43045-0219, 43045-0220), 1 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -4 -3 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0221_2x01-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0221 (alternative finishes: 43045-022x), 1 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -4 -3 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0400_2x02_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0400 (alternative finishes: 43045-040x), 2 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -4 -4 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0410_2x02-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0410 (compatible alternatives: 43045-0411, 43045-0409), 2 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -6 -5 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0412_2x02_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0412 (compatible alternatives: 43045-0413, 43045-0424), 2 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -4 -4 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0415_2x02_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0415 (compatible alternatives: 43045-0416, 43045-0417), 2 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -4 -4 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0418_2x02-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0418 (compatible alternatives: 43045-0419, 43045-0420), 2 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -6 -5 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0421_2x02-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0421 (alternative finishes: 43045-042x), 2 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -6 -5 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0600_2x03_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0600 (alternative finishes: 43045-060x), 3 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -6 -6 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0610_2x03-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0610 (compatible alternatives: 43045-0611, 43045-0609), 3 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -8 -7 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0612_2x03_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0612 (compatible alternatives: 43045-0613, 43045-0624), 3 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -6 -6 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0615_2x03_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0615 (compatible alternatives: 43045-0616, 43045-0617), 3 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -6 -6 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0618_2x03-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0618 (compatible alternatives: 43045-0619, 43045-0620), 3 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -8 -7 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0621_2x03-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0621 (alternative finishes: 43045-062x), 3 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -8 -7 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0800_2x04_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0800 (alternative finishes: 43045-080x), 4 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -8 -8 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0810_2x04-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0810 (compatible alternatives: 43045-0811, 43045-0809), 4 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -10 -9 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0812_2x04_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0812 (compatible alternatives: 43045-0813, 43045-0824), 4 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -8 -8 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0815_2x04_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0815 (compatible alternatives: 43045-0816, 43045-0817), 4 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -8 -8 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0818_2x04-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-0818 (compatible alternatives: 43045-0819, 43045-0820), 4 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -10 -9 -Connector_Molex -Molex_Micro-Fit_3.0_43045-0821_2x04-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-0821 (alternative finishes: 43045-082x), 4 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -10 -9 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1000_2x05_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1000 (alternative finishes: 43045-100x), 5 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -10 -10 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1010_2x05-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1010 (compatible alternatives: 43045-1011, 43045-1009), 5 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -12 -11 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1012_2x05_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1012 (compatible alternatives: 43045-1013, 43045-1024), 5 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -10 -10 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1015_2x05_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1015 (compatible alternatives: 43045-1016, 43045-1017), 5 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -10 -10 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1018_2x05-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1018 (compatible alternatives: 43045-1019, 43045-1020), 5 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -12 -11 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1021_2x05-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1021 (alternative finishes: 43045-102x), 5 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -12 -11 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1200_2x06_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1200 (alternative finishes: 43045-120x), 6 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -12 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1210_2x06-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1210 (compatible alternatives: 43045-1211, 43045-1209), 6 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -14 -13 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1212_2x06_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1212 (compatible alternatives: 43045-1213, 43045-1224), 6 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -12 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1215_2x06_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1215 (compatible alternatives: 43045-1216, 43045-1217), 6 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -12 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1218_2x06-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1218 (compatible alternatives: 43045-1219, 43045-1220), 6 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -14 -13 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1221_2x06-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1221 (alternative finishes: 43045-122x), 6 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -14 -13 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1400_2x07_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1400 (alternative finishes: 43045-140x), 7 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -14 -14 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1410_2x07-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1410 (compatible alternatives: 43045-1411, 43045-1409), 7 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -16 -15 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1412_2x07_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1412 (compatible alternatives: 43045-1413, 43045-1424), 7 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -14 -14 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1415_2x07_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1415 (compatible alternatives: 43045-1416, 43045-1417), 7 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -14 -14 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1418_2x07-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1418 (compatible alternatives: 43045-1419, 43045-1420), 7 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -16 -15 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1421_2x07-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1421 (alternative finishes: 43045-142x), 7 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -16 -15 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1600_2x08_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1600 (alternative finishes: 43045-160x), 8 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -16 -16 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1610_2x08-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1610 (compatible alternatives: 43045-1611, 43045-1609), 8 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -18 -17 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1612_2x08_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1612 (compatible alternatives: 43045-1613, 43045-1624), 8 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -16 -16 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1615_2x08_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1615 (compatible alternatives: 43045-1616, 43045-1617), 8 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -16 -16 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1618_2x08-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1618 (compatible alternatives: 43045-1619, 43045-1620), 8 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -18 -17 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1621_2x08-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1621 (alternative finishes: 43045-162x), 8 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -18 -17 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1800_2x09_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1800 (alternative finishes: 43045-180x), 9 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -18 -18 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1810_2x09-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1810 (compatible alternatives: 43045-1811, 43045-1809), 9 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -20 -19 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1812_2x09_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1812 (compatible alternatives: 43045-1813, 43045-1824), 9 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -18 -18 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1815_2x09_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1815 (compatible alternatives: 43045-1816, 43045-1817), 9 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -18 -18 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1818_2x09-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-1818 (compatible alternatives: 43045-1819, 43045-1820), 9 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -20 -19 -Connector_Molex -Molex_Micro-Fit_3.0_43045-1821_2x09-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-1821 (alternative finishes: 43045-182x), 9 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -20 -19 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2000_2x10_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-2000 (alternative finishes: 43045-200x), 10 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -20 -20 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2010_2x10-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-2010 (compatible alternatives: 43045-2011, 43045-2009), 10 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -22 -21 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2012_2x10_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-2012 (compatible alternatives: 43045-2013, 43045-2024), 10 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -20 -20 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2015_2x10_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-2015 (compatible alternatives: 43045-2016, 43045-2017), 10 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -20 -20 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2018_2x10-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-2018 (compatible alternatives: 43045-2019, 43045-2020), 10 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -22 -21 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2021_2x10-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-2021 (alternative finishes: 43045-202x), 10 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -22 -21 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2200_2x11_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-2200 (alternative finishes: 43045-220x), 11 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -22 -22 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2210_2x11-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-2210 (compatible alternatives: 43045-2211, 43045-2209), 11 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -24 -23 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2212_2x11_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-2212 (compatible alternatives: 43045-2213, 43045-2224), 11 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -22 -22 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2215_2x11_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-2215 (compatible alternatives: 43045-2216, 43045-2217), 11 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -22 -22 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2218_2x11-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-2218 (compatible alternatives: 43045-2219, 43045-2220), 11 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -24 -23 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2221_2x11-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-2221 (alternative finishes: 43045-222x), 11 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -24 -23 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2400_2x12_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-2400 (alternative finishes: 43045-240x), 12 Pins per row (https://www.molex.com/pdm_docs/sd/430450201_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -24 -24 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2410_2x12-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-2410 (compatible alternatives: 43045-2411, 43045-2409), 12 Pins per row (http://www.molex.com/pdm_docs/sd/430450210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -26 -25 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2412_2x12_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-2412 (compatible alternatives: 43045-2413, 43045-2424), 12 Pins per row (http://www.molex.com/pdm_docs/sd/430450212_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -24 -24 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2415_2x12_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-2415 (compatible alternatives: 43045-2416, 43045-2417), 12 Pins per row (http://www.molex.com/pdm_docs/sd/430450217_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -24 -24 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2418_2x12-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43045-2418 (compatible alternatives: 43045-2419, 43045-2420), 12 Pins per row (http://www.molex.com/pdm_docs/sd/430450218_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -26 -25 -Connector_Molex -Molex_Micro-Fit_3.0_43045-2421_2x12-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43045-2421 (alternative finishes: 43045-242x), 12 Pins per row (https://www.molex.com/pdm_docs/sd/430450221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -26 -25 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0200_1x02_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0200 (compatible alternatives: 43650-0201, 43650-0202), 2 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -2 -2 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0210_1x02-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0210 (compatible alternatives: 43650-0211, 43650-0209), 2 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -4 -3 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0210_1x02-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-0210 (compatible alternatives: 43650-0211, 43650-0209), 2 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -4 -3 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0215_1x02_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0215 (compatible alternatives: 43650-0216, 43650-0217), 2 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -2 -2 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0221_1x02_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0221 (compatible alternatives: 43650-0222, 43650-0223), 2 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -2 -2 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0224_1x02-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0224 (compatible alternatives: 43650-0225, 43650-0226), 2 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -4 -3 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0300_1x03_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0300 (compatible alternatives: 43650-0301, 43650-0302), 3 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -3 -3 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0310_1x03-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0310 (compatible alternatives: 43650-0311, 43650-0309), 3 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -5 -4 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0310_1x03-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-0310 (compatible alternatives: 43650-0311, 43650-0309), 3 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -5 -4 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0315_1x03_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0315 (compatible alternatives: 43650-0316, 43650-0317), 3 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -3 -3 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0321_1x03_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0321 (compatible alternatives: 43650-0322, 43650-0323), 3 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -3 -3 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0324_1x03-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0324 (compatible alternatives: 43650-0325, 43650-0326), 3 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -5 -4 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0400_1x04_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0400 (compatible alternatives: 43650-0401, 43650-0402), 4 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -4 -4 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0410_1x04-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0410 (compatible alternatives: 43650-0411, 43650-0409), 4 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -6 -5 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0410_1x04-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-0410 (compatible alternatives: 43650-0411, 43650-0409), 4 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -6 -5 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0415_1x04_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0415 (compatible alternatives: 43650-0416, 43650-0417), 4 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -4 -4 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0421_1x04_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0421 (compatible alternatives: 43650-0422, 43650-0423), 4 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -4 -4 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0424_1x04-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0424 (compatible alternatives: 43650-0425, 43650-0426), 4 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -6 -5 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0500_1x05_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0500 (compatible alternatives: 43650-0501, 43650-0502), 5 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -5 -5 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0510_1x05-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0510 (compatible alternatives: 43650-0511, 43650-0509), 5 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -7 -6 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0510_1x05-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-0510 (compatible alternatives: 43650-0511, 43650-0509), 5 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -7 -6 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0515_1x05_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0515 (compatible alternatives: 43650-0516, 43650-0517), 5 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -5 -5 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0521_1x05_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0521 (compatible alternatives: 43650-0522, 43650-0523), 5 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -5 -5 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0524_1x05-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0524 (compatible alternatives: 43650-0525, 43650-0526), 5 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -7 -6 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0600_1x06_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0600 (compatible alternatives: 43650-0601, 43650-0602), 6 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -6 -6 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0610_1x06-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0610 (compatible alternatives: 43650-0611, 43650-0609), 6 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -8 -7 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0610_1x06-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-0610 (compatible alternatives: 43650-0611, 43650-0609), 6 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -8 -7 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0615_1x06_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0615 (compatible alternatives: 43650-0616, 43650-0617), 6 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -6 -6 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0621_1x06_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0621 (compatible alternatives: 43650-0622, 43650-0623), 6 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -6 -6 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0624_1x06-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0624 (compatible alternatives: 43650-0625, 43650-0626), 6 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -8 -7 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0700_1x07_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0700 (compatible alternatives: 43650-0701, 43650-0702), 7 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -7 -7 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0710_1x07-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0710 (compatible alternatives: 43650-0711, 43650-0709), 7 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -9 -8 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0710_1x07-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-0710 (compatible alternatives: 43650-0711, 43650-0709), 7 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -9 -8 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0715_1x07_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0715 (compatible alternatives: 43650-0716, 43650-0717), 7 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -7 -7 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0721_1x07_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0721 (compatible alternatives: 43650-0722, 43650-0723), 7 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -7 -7 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0724_1x07-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0724 (compatible alternatives: 43650-0725, 43650-0726), 7 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -9 -8 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0800_1x08_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0800 (compatible alternatives: 43650-0801, 43650-0802), 8 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -8 -8 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0810_1x08-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0810 (compatible alternatives: 43650-0811, 43650-0809), 8 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -10 -9 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0810_1x08-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-0810 (compatible alternatives: 43650-0811, 43650-0809), 8 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -10 -9 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0815_1x08_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0815 (compatible alternatives: 43650-0816, 43650-0817), 8 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -8 -8 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0821_1x08_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0821 (compatible alternatives: 43650-0822, 43650-0823), 8 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -8 -8 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0824_1x08-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0824 (compatible alternatives: 43650-0825, 43650-0826), 8 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -10 -9 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0900_1x09_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0900 (compatible alternatives: 43650-0901, 43650-0902), 9 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -9 -9 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0910_1x09-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-0910 (compatible alternatives: 43650-0911, 43650-0909), 9 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -11 -10 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0910_1x09-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-0910 (compatible alternatives: 43650-0911, 43650-0909), 9 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -11 -10 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0915_1x09_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0915 (compatible alternatives: 43650-0916, 43650-0917), 9 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -9 -9 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0921_1x09_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0921 (compatible alternatives: 43650-0922, 43650-0923), 9 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -9 -9 -Connector_Molex -Molex_Micro-Fit_3.0_43650-0924_1x09-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-0924 (compatible alternatives: 43650-0925, 43650-0926), 9 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -11 -10 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1000_1x10_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-1000 (compatible alternatives: 43650-1001, 43650-1002), 10 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -10 -10 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1010_1x10-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-1010 (compatible alternatives: 43650-1011, 43650-1009), 10 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -12 -11 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1010_1x10-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-1010 (compatible alternatives: 43650-1011, 43650-1009), 10 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -12 -11 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1015_1x10_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-1015 (compatible alternatives: 43650-1016, 43650-1017), 10 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -10 -10 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1021_1x10_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-1021 (compatible alternatives: 43650-1022, 43650-1023), 10 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -10 -10 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1024_1x10-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-1024 (compatible alternatives: 43650-1025, 43650-1026), 10 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -12 -11 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1100_1x11_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-1100 (compatible alternatives: 43650-1101, 43650-1102), 11 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -11 -11 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1110_1x11-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-1110 (compatible alternatives: 43650-1111, 43650-1109), 11 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -13 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1110_1x11-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-1110 (compatible alternatives: 43650-1111, 43650-1109), 11 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -13 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1115_1x11_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-1115 (compatible alternatives: 43650-1116, 43650-1117), 11 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -11 -11 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1121_1x11_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-1121 (compatible alternatives: 43650-1122, 43650-1123), 11 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -11 -11 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1124_1x11-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-1124 (compatible alternatives: 43650-1125, 43650-1126), 11 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -13 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1200_1x12_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-1200 (compatible alternatives: 43650-1201, 43650-1202), 12 Pins per row (https://www.molex.com/pdm_docs/sd/436500300_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 top entry -0 -12 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1210_1x12-1MP_P3.00mm_Horizontal -Molex Micro-Fit 3.0 Connector System, 43650-1210 (compatible alternatives: 43650-1211, 43650-1209), 12 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -14 -13 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1210_1x12-1MP_P3.00mm_Horizontal_PnP -Molex Micro-Fit 3.0 Connector System, 43650-1210 (compatible alternatives: 43650-1211, 43650-1209), 12 Pins per row (https://www.molex.com/pdm_docs/sd/436500210_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 horizontal -0 -14 -13 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1215_1x12_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-1215 (compatible alternatives: 43650-1216, 43650-1217), 12 Pins per row (http://www.molex.com/pdm_docs/sd/436500215_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -12 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1221_1x12_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-1221 (compatible alternatives: 43650-1222, 43650-1223), 12 Pins per row (https://www.molex.com/pdm_docs/sd/436500221_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -12 -12 -Connector_Molex -Molex_Micro-Fit_3.0_43650-1224_1x12-1MP_P3.00mm_Vertical -Molex Micro-Fit 3.0 Connector System, 43650-1224 (compatible alternatives: 43650-1225, 43650-1226), 12 Pins per row (https://www.molex.com/pdm_docs/sd/436500224_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Fit_3.0 vertical -0 -14 -13 -Connector_Molex -Molex_Micro-Latch_53253-0270_1x02_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-0270 (compatible alternatives: 53253-0250), 2 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -2 -2 -Connector_Molex -Molex_Micro-Latch_53253-0370_1x03_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-0370 (compatible alternatives: 53253-0350), 3 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -3 -3 -Connector_Molex -Molex_Micro-Latch_53253-0470_1x04_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-0470 (compatible alternatives: 53253-0450), 4 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -4 -4 -Connector_Molex -Molex_Micro-Latch_53253-0570_1x05_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-0570 (compatible alternatives: 53253-0550), 5 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -5 -5 -Connector_Molex -Molex_Micro-Latch_53253-0670_1x06_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-0670 (compatible alternatives: 53253-0650), 6 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -6 -6 -Connector_Molex -Molex_Micro-Latch_53253-0770_1x07_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-0770 (compatible alternatives: 53253-0750), 7 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -7 -7 -Connector_Molex -Molex_Micro-Latch_53253-0870_1x08_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-0870 (compatible alternatives: 53253-0850), 8 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -8 -8 -Connector_Molex -Molex_Micro-Latch_53253-0970_1x09_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-0970 (compatible alternatives: 53253-0950), 9 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -9 -9 -Connector_Molex -Molex_Micro-Latch_53253-1070_1x10_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-1070 (compatible alternatives: 53253-1050), 10 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -10 -10 -Connector_Molex -Molex_Micro-Latch_53253-1170_1x11_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-1170 (compatible alternatives: 53253-1150), 11 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -11 -11 -Connector_Molex -Molex_Micro-Latch_53253-1270_1x12_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-1270 (compatible alternatives: 53253-1250), 12 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -12 -12 -Connector_Molex -Molex_Micro-Latch_53253-1370_1x13_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-1370 (compatible alternatives: 53253-1350), 13 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -13 -13 -Connector_Molex -Molex_Micro-Latch_53253-1470_1x14_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-1470 (compatible alternatives: 53253-1450), 14 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -14 -14 -Connector_Molex -Molex_Micro-Latch_53253-1570_1x15_P2.00mm_Vertical -Molex Micro-Latch Wire-to-Board Connector System, 53253-1570 (compatible alternatives: 53253-1550), 15 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch side entry -0 -15 -15 -Connector_Molex -Molex_Micro-Latch_53254-0270_1x02_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-0270 (compatible alternatives: 53254-0250), 2 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -2 -2 -Connector_Molex -Molex_Micro-Latch_53254-0370_1x03_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-0370 (compatible alternatives: 53254-0350), 3 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -3 -3 -Connector_Molex -Molex_Micro-Latch_53254-0470_1x04_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-0470 (compatible alternatives: 53254-0450), 4 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -4 -4 -Connector_Molex -Molex_Micro-Latch_53254-0570_1x05_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-0570 (compatible alternatives: 53254-0550), 5 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -5 -5 -Connector_Molex -Molex_Micro-Latch_53254-0670_1x06_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-0670 (compatible alternatives: 53254-0650), 6 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -6 -6 -Connector_Molex -Molex_Micro-Latch_53254-0770_1x07_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-0770 (compatible alternatives: 53254-0750), 7 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -7 -7 -Connector_Molex -Molex_Micro-Latch_53254-0870_1x08_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-0870 (compatible alternatives: 53254-0850), 8 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -8 -8 -Connector_Molex -Molex_Micro-Latch_53254-0970_1x09_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-0970 (compatible alternatives: 53254-0950), 9 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -9 -9 -Connector_Molex -Molex_Micro-Latch_53254-1070_1x10_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-1070 (compatible alternatives: 53254-1050), 10 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -10 -10 -Connector_Molex -Molex_Micro-Latch_53254-1170_1x11_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-1170 (compatible alternatives: 53254-1150), 11 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -11 -11 -Connector_Molex -Molex_Micro-Latch_53254-1270_1x12_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-1270 (compatible alternatives: 53254-1250), 12 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -12 -12 -Connector_Molex -Molex_Micro-Latch_53254-1370_1x13_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-1370 (compatible alternatives: 53254-1350), 13 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -13 -13 -Connector_Molex -Molex_Micro-Latch_53254-1470_1x14_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-1470 (compatible alternatives: 53254-1450), 14 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -14 -14 -Connector_Molex -Molex_Micro-Latch_53254-1570_1x15_P2.00mm_Horizontal -Molex Micro-Latch Wire-to-Board Connector System, 53254-1570 (compatible alternatives: 53254-1550), 15 Pins per row (http://www.molex.com/pdm_docs/sd/532530770_sd.pdf), generated with kicad-footprint-generator -connector Molex Micro-Latch top entry -0 -15 -15 -Connector_Molex -Molex_MicroClasp_55932-0210_1x02_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0210, with PCB locator, 2 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -2 -2 -Connector_Molex -Molex_MicroClasp_55932-0230_1x02_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0230, 2 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -2 -2 -Connector_Molex -Molex_MicroClasp_55932-0310_1x03_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0310, with PCB locator, 3 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -3 -3 -Connector_Molex -Molex_MicroClasp_55932-0330_1x03_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0330, 3 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -3 -3 -Connector_Molex -Molex_MicroClasp_55932-0410_1x04_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0410, with PCB locator, 4 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -4 -4 -Connector_Molex -Molex_MicroClasp_55932-0430_1x04_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0430, 4 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -4 -4 -Connector_Molex -Molex_MicroClasp_55932-0510_1x05_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0510, with PCB locator, 5 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -5 -5 -Connector_Molex -Molex_MicroClasp_55932-0530_1x05_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0530, 5 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -5 -5 -Connector_Molex -Molex_MicroClasp_55932-0610_1x06_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0610, with PCB locator, 6 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -6 -6 -Connector_Molex -Molex_MicroClasp_55932-0630_1x06_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0630, 6 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -6 -6 -Connector_Molex -Molex_MicroClasp_55932-0710_1x07_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0710, with PCB locator, 7 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -7 -7 -Connector_Molex -Molex_MicroClasp_55932-0730_1x07_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0730, 7 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -7 -7 -Connector_Molex -Molex_MicroClasp_55932-0810_1x08_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0810, with PCB locator, 8 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -8 -8 -Connector_Molex -Molex_MicroClasp_55932-0830_1x08_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0830, 8 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -8 -8 -Connector_Molex -Molex_MicroClasp_55932-0910_1x09_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0910, with PCB locator, 9 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -9 -9 -Connector_Molex -Molex_MicroClasp_55932-0930_1x09_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-0930, 9 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -9 -9 -Connector_Molex -Molex_MicroClasp_55932-1010_1x10_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1010, with PCB locator, 10 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -10 -10 -Connector_Molex -Molex_MicroClasp_55932-1030_1x10_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1030, 10 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -10 -10 -Connector_Molex -Molex_MicroClasp_55932-1110_1x11_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1110, with PCB locator, 11 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -11 -11 -Connector_Molex -Molex_MicroClasp_55932-1130_1x11_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1130, 11 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -11 -11 -Connector_Molex -Molex_MicroClasp_55932-1210_1x12_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1210, with PCB locator, 12 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -12 -12 -Connector_Molex -Molex_MicroClasp_55932-1230_1x12_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1230, 12 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -12 -12 -Connector_Molex -Molex_MicroClasp_55932-1310_1x13_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1310, with PCB locator, 13 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -13 -13 -Connector_Molex -Molex_MicroClasp_55932-1330_1x13_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1330, 13 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -13 -13 -Connector_Molex -Molex_MicroClasp_55932-1410_1x14_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1410, with PCB locator, 14 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -14 -14 -Connector_Molex -Molex_MicroClasp_55932-1430_1x14_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1430, 14 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -14 -14 -Connector_Molex -Molex_MicroClasp_55932-1510_1x15_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1510, with PCB locator, 15 Pins (http://www.molex.com/pdm_docs/sd/559320210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -15 -15 -Connector_Molex -Molex_MicroClasp_55932-1530_1x15_P2.00mm_Vertical -Molex MicroClasp Wire-to-Board System, 55932-1530, 15 Pins (http://www.molex.com/pdm_docs/sd/559320530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp side entry -0 -15 -15 -Connector_Molex -Molex_MicroClasp_55935-0210_1x02_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0210, with PCB locator, 2 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -2 -2 -Connector_Molex -Molex_MicroClasp_55935-0230_1x02_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0230, 2 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -2 -2 -Connector_Molex -Molex_MicroClasp_55935-0310_1x03_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0310, with PCB locator, 3 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -3 -3 -Connector_Molex -Molex_MicroClasp_55935-0330_1x03_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0330, 3 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -3 -3 -Connector_Molex -Molex_MicroClasp_55935-0410_1x04_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0410, with PCB locator, 4 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -4 -4 -Connector_Molex -Molex_MicroClasp_55935-0430_1x04_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0430, 4 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -4 -4 -Connector_Molex -Molex_MicroClasp_55935-0510_1x05_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0510, with PCB locator, 5 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -5 -5 -Connector_Molex -Molex_MicroClasp_55935-0530_1x05_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0530, 5 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -5 -5 -Connector_Molex -Molex_MicroClasp_55935-0610_1x06_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0610, with PCB locator, 6 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -6 -6 -Connector_Molex -Molex_MicroClasp_55935-0630_1x06_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0630, 6 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -6 -6 -Connector_Molex -Molex_MicroClasp_55935-0710_1x07_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0710, with PCB locator, 7 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -7 -7 -Connector_Molex -Molex_MicroClasp_55935-0730_1x07_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0730, 7 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -7 -7 -Connector_Molex -Molex_MicroClasp_55935-0810_1x08_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0810, with PCB locator, 8 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -8 -8 -Connector_Molex -Molex_MicroClasp_55935-0830_1x08_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0830, 8 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -8 -8 -Connector_Molex -Molex_MicroClasp_55935-0910_1x09_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0910, with PCB locator, 9 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -9 -9 -Connector_Molex -Molex_MicroClasp_55935-0930_1x09_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-0930, 9 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -9 -9 -Connector_Molex -Molex_MicroClasp_55935-1010_1x10_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1010, with PCB locator, 10 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -10 -10 -Connector_Molex -Molex_MicroClasp_55935-1030_1x10_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1030, 10 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -10 -10 -Connector_Molex -Molex_MicroClasp_55935-1110_1x11_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1110, with PCB locator, 11 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -11 -11 -Connector_Molex -Molex_MicroClasp_55935-1130_1x11_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1130, 11 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -11 -11 -Connector_Molex -Molex_MicroClasp_55935-1210_1x12_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1210, with PCB locator, 12 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -12 -12 -Connector_Molex -Molex_MicroClasp_55935-1230_1x12_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1230, 12 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -12 -12 -Connector_Molex -Molex_MicroClasp_55935-1310_1x13_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1310, with PCB locator, 13 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -13 -13 -Connector_Molex -Molex_MicroClasp_55935-1330_1x13_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1330, 13 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -13 -13 -Connector_Molex -Molex_MicroClasp_55935-1410_1x14_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1410, with PCB locator, 14 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -14 -14 -Connector_Molex -Molex_MicroClasp_55935-1430_1x14_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1430, 14 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -14 -14 -Connector_Molex -Molex_MicroClasp_55935-1510_1x15_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1510, with PCB locator, 15 Pins (http://www.molex.com/pdm_docs/sd/559350210_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -15 -15 -Connector_Molex -Molex_MicroClasp_55935-1530_1x15_P2.00mm_Horizontal -Molex MicroClasp Wire-to-Board System, 55935-1530, 15 Pins (http://www.molex.com/pdm_docs/sd/559350530_sd.pdf), generated with kicad-footprint-generator -connector Molex MicroClasp horizontal -0 -15 -15 -Connector_Molex -Molex_Mini-Fit_Jr_5566-02A2_2x01_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-02A2, example for new mpn: 39-28-902x, 1 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -2 -2 -Connector_Molex -Molex_Mini-Fit_Jr_5566-02A_2x01_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-02A, example for new mpn: 39-28-x02x, 1 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -2 -2 -Connector_Molex -Molex_Mini-Fit_Jr_5566-04A2_2x02_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-04A2, example for new mpn: 39-28-904x, 2 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -4 -4 -Connector_Molex -Molex_Mini-Fit_Jr_5566-04A_2x02_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-04A, example for new mpn: 39-28-x04x, 2 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -4 -4 -Connector_Molex -Molex_Mini-Fit_Jr_5566-06A2_2x03_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-06A2, example for new mpn: 39-28-906x, 3 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -6 -6 -Connector_Molex -Molex_Mini-Fit_Jr_5566-06A_2x03_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-06A, example for new mpn: 39-28-x06x, 3 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -6 -6 -Connector_Molex -Molex_Mini-Fit_Jr_5566-08A2_2x04_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-08A2, example for new mpn: 39-28-908x, 4 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -8 -8 -Connector_Molex -Molex_Mini-Fit_Jr_5566-08A_2x04_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-08A, example for new mpn: 39-28-x08x, 4 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -8 -8 -Connector_Molex -Molex_Mini-Fit_Jr_5566-10A2_2x05_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-10A2, example for new mpn: 39-28-910x, 5 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -10 -10 -Connector_Molex -Molex_Mini-Fit_Jr_5566-10A_2x05_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-10A, example for new mpn: 39-28-x10x, 5 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -10 -10 -Connector_Molex -Molex_Mini-Fit_Jr_5566-12A2_2x06_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-12A2, example for new mpn: 39-28-912x, 6 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -12 -12 -Connector_Molex -Molex_Mini-Fit_Jr_5566-12A_2x06_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-12A, example for new mpn: 39-28-x12x, 6 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -12 -12 -Connector_Molex -Molex_Mini-Fit_Jr_5566-14A2_2x07_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-14A2, example for new mpn: 39-28-914x, 7 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -14 -14 -Connector_Molex -Molex_Mini-Fit_Jr_5566-14A_2x07_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-14A, example for new mpn: 39-28-x14x, 7 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -14 -14 -Connector_Molex -Molex_Mini-Fit_Jr_5566-16A2_2x08_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-16A2, example for new mpn: 39-28-916x, 8 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -16 -16 -Connector_Molex -Molex_Mini-Fit_Jr_5566-16A_2x08_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-16A, example for new mpn: 39-28-x16x, 8 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -16 -16 -Connector_Molex -Molex_Mini-Fit_Jr_5566-18A2_2x09_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-18A2, example for new mpn: 39-28-918x, 9 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -18 -18 -Connector_Molex -Molex_Mini-Fit_Jr_5566-18A_2x09_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-18A, example for new mpn: 39-28-x18x, 9 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -18 -18 -Connector_Molex -Molex_Mini-Fit_Jr_5566-20A2_2x10_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-20A2, example for new mpn: 39-28-920x, 10 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -20 -20 -Connector_Molex -Molex_Mini-Fit_Jr_5566-20A_2x10_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-20A, example for new mpn: 39-28-x20x, 10 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -20 -20 -Connector_Molex -Molex_Mini-Fit_Jr_5566-22A2_2x11_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-22A2, example for new mpn: 39-28-922x, 11 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -22 -22 -Connector_Molex -Molex_Mini-Fit_Jr_5566-22A_2x11_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-22A, example for new mpn: 39-28-x22x, 11 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -22 -22 -Connector_Molex -Molex_Mini-Fit_Jr_5566-24A2_2x12_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-24A2, example for new mpn: 39-28-924x, 12 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039289068_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entryplastic_peg -0 -24 -24 -Connector_Molex -Molex_Mini-Fit_Jr_5566-24A_2x12_P4.20mm_Vertical -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5566-24A, example for new mpn: 39-28-x24x, 12 Pins per row, Mounting: (http://www.molex.com/pdm_docs/sd/039281043_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr side entry -0 -24 -24 -Connector_Molex -Molex_Mini-Fit_Jr_5569-02A1_2x01_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-02A1, example for new mpn: 39-29-4029, 1 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -2 -2 -Connector_Molex -Molex_Mini-Fit_Jr_5569-02A2_2x01_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-02A2, example for new mpn: 39-30-0020, 1 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -2 -2 -Connector_Molex -Molex_Mini-Fit_Jr_5569-04A1_2x02_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-04A1, example for new mpn: 39-29-4049, 2 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -4 -4 -Connector_Molex -Molex_Mini-Fit_Jr_5569-04A2_2x02_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-04A2, example for new mpn: 39-30-0040, 2 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -4 -4 -Connector_Molex -Molex_Mini-Fit_Jr_5569-06A1_2x03_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-06A1, example for new mpn: 39-29-4069, 3 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -6 -6 -Connector_Molex -Molex_Mini-Fit_Jr_5569-06A2_2x03_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-06A2, example for new mpn: 39-30-0060, 3 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -6 -6 -Connector_Molex -Molex_Mini-Fit_Jr_5569-08A1_2x04_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-08A1, example for new mpn: 39-29-4089, 4 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -8 -8 -Connector_Molex -Molex_Mini-Fit_Jr_5569-08A2_2x04_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-08A2, example for new mpn: 39-30-0080, 4 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -8 -8 -Connector_Molex -Molex_Mini-Fit_Jr_5569-10A1_2x05_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-10A1, example for new mpn: 39-29-4109, 5 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -10 -10 -Connector_Molex -Molex_Mini-Fit_Jr_5569-10A2_2x05_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-10A2, example for new mpn: 39-30-0100, 5 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -10 -10 -Connector_Molex -Molex_Mini-Fit_Jr_5569-12A1_2x06_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-12A1, example for new mpn: 39-29-4129, 6 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -12 -12 -Connector_Molex -Molex_Mini-Fit_Jr_5569-12A2_2x06_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-12A2, example for new mpn: 39-30-0120, 6 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -12 -12 -Connector_Molex -Molex_Mini-Fit_Jr_5569-14A1_2x07_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-14A1, example for new mpn: 39-29-4149, 7 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -14 -14 -Connector_Molex -Molex_Mini-Fit_Jr_5569-14A2_2x07_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-14A2, example for new mpn: 39-30-0140, 7 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -14 -14 -Connector_Molex -Molex_Mini-Fit_Jr_5569-16A1_2x08_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-16A1, example for new mpn: 39-29-4169, 8 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -16 -16 -Connector_Molex -Molex_Mini-Fit_Jr_5569-16A2_2x08_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-16A2, example for new mpn: 39-30-0160, 8 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -16 -16 -Connector_Molex -Molex_Mini-Fit_Jr_5569-18A1_2x09_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-18A1, example for new mpn: 39-29-4189, 9 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -18 -18 -Connector_Molex -Molex_Mini-Fit_Jr_5569-18A2_2x09_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-18A2, example for new mpn: 39-30-0180, 9 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -18 -18 -Connector_Molex -Molex_Mini-Fit_Jr_5569-20A1_2x10_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-20A1, example for new mpn: 39-29-4209, 10 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -20 -20 -Connector_Molex -Molex_Mini-Fit_Jr_5569-20A2_2x10_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-20A2, example for new mpn: 39-30-0200, 10 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -20 -20 -Connector_Molex -Molex_Mini-Fit_Jr_5569-22A1_2x11_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-22A1, example for new mpn: 39-29-4229, 11 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -22 -22 -Connector_Molex -Molex_Mini-Fit_Jr_5569-22A2_2x11_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-22A2, example for new mpn: 39-30-0220, 11 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -22 -22 -Connector_Molex -Molex_Mini-Fit_Jr_5569-24A1_2x12_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-24A1, example for new mpn: 39-29-4249, 12 Pins per row, Mounting: PCB Mounting Flange (http://www.molex.com/pdm_docs/sd/039291047_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryscrew_flange -0 -24 -24 -Connector_Molex -Molex_Mini-Fit_Jr_5569-24A2_2x12_P4.20mm_Horizontal -Molex Mini-Fit Jr. Power Connectors, old mpn/engineering number: 5569-24A2, example for new mpn: 39-30-0240, 12 Pins per row, Mounting: Snap-in Plastic Peg PCB Lock (http://www.molex.com/pdm_docs/sd/039300020_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Jr top entryplastic_peg -0 -24 -24 -Connector_Molex -Molex_Mini-Fit_Sr_42819-22XX_1x02_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 42819-22XX, 2 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -6 -2 -Connector_Molex -Molex_Mini-Fit_Sr_42819-22XX_1x02_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42819-22XX, With thermal vias in pads, 2 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -32 -2 -Connector_Molex -Molex_Mini-Fit_Sr_42819-32XX_1x03_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 42819-32XX, 3 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -8 -3 -Connector_Molex -Molex_Mini-Fit_Sr_42819-32XX_1x03_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42819-32XX, With thermal vias in pads, 3 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -47 -3 -Connector_Molex -Molex_Mini-Fit_Sr_42819-42XX_1x04_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 42819-42XX, 4 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -10 -4 -Connector_Molex -Molex_Mini-Fit_Sr_42819-42XX_1x04_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42819-42XX, With thermal vias in pads, 4 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -62 -4 -Connector_Molex -Molex_Mini-Fit_Sr_42819-52XX_1x05_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 42819-52XX, 5 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -12 -5 -Connector_Molex -Molex_Mini-Fit_Sr_42819-52XX_1x05_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42819-52XX, With thermal vias in pads, 5 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -77 -5 -Connector_Molex -Molex_Mini-Fit_Sr_42819-62XX_1x06_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 42819-62XX, 6 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -14 -6 -Connector_Molex -Molex_Mini-Fit_Sr_42819-62XX_1x06_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42819-62XX, With thermal vias in pads, 6 Pins per row (http://www.molex.com/pdm_docs/sd/428192214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -92 -6 -Connector_Molex -Molex_Mini-Fit_Sr_42820-22XX_1x02_P10.00mm_Horizontal -Molex Mini-Fit Sr. Power Connectors, 42820-22XX, 2 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -6 -2 -Connector_Molex -Molex_Mini-Fit_Sr_42820-22XX_1x02_P10.00mm_Horizontal_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42820-22XX, With thermal vias in pads, 2 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -32 -2 -Connector_Molex -Molex_Mini-Fit_Sr_42820-32XX_1x03_P10.00mm_Horizontal -Molex Mini-Fit Sr. Power Connectors, 42820-32XX, 3 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -8 -3 -Connector_Molex -Molex_Mini-Fit_Sr_42820-32XX_1x03_P10.00mm_Horizontal_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42820-32XX, With thermal vias in pads, 3 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -47 -3 -Connector_Molex -Molex_Mini-Fit_Sr_42820-42XX_1x04_P10.00mm_Horizontal -Molex Mini-Fit Sr. Power Connectors, 42820-42XX, 4 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -10 -4 -Connector_Molex -Molex_Mini-Fit_Sr_42820-42XX_1x04_P10.00mm_Horizontal_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42820-42XX, With thermal vias in pads, 4 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -62 -4 -Connector_Molex -Molex_Mini-Fit_Sr_42820-52XX_1x05_P10.00mm_Horizontal -Molex Mini-Fit Sr. Power Connectors, 42820-52XX, 5 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -12 -5 -Connector_Molex -Molex_Mini-Fit_Sr_42820-52XX_1x05_P10.00mm_Horizontal_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42820-52XX, With thermal vias in pads, 5 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -77 -5 -Connector_Molex -Molex_Mini-Fit_Sr_42820-62XX_1x06_P10.00mm_Horizontal -Molex Mini-Fit Sr. Power Connectors, 42820-62XX, 6 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -14 -6 -Connector_Molex -Molex_Mini-Fit_Sr_42820-62XX_1x06_P10.00mm_Horizontal_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 42820-62XX, With thermal vias in pads, 6 Pins per row (http://www.molex.com/pdm_docs/sd/428202214_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr top entry -0 -92 -6 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx06_2x03_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 43915-xx06, 3 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -14 -6 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx06_2x03_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 43915-xx06, With thermal vias in pads, 3 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -92 -6 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx08_2x04_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 43915-xx08, 4 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -18 -8 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx08_2x04_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 43915-xx08, With thermal vias in pads, 4 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -122 -8 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx10_2x05_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 43915-xx10, 5 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -22 -10 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx10_2x05_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 43915-xx10, With thermal vias in pads, 5 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -152 -10 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx12_2x06_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 43915-xx12, 6 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -26 -12 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx12_2x06_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 43915-xx12, With thermal vias in pads, 6 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -182 -12 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx14_2x07_P10.00mm_Vertical -Molex Mini-Fit Sr. Power Connectors, 43915-xx14, 7 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -30 -14 -Connector_Molex -Molex_Mini-Fit_Sr_43915-xx14_2x07_P10.00mm_Vertical_ThermalVias -Molex Mini-Fit Sr. Power Connectors, 43915-xx14, With thermal vias in pads, 7 Pins per row (http://www.molex.com/pdm_docs/sd/439151404_sd.pdf), generated with kicad-footprint-generator -connector Molex Mini-Fit_Sr side entry -0 -212 -14 -Connector_Molex -Molex_Nano-Fit_105309-xx02_1x02_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105309-xx02, 2 Pins per row (http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -2 -2 -Connector_Molex -Molex_Nano-Fit_105309-xx03_1x03_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105309-xx03, 3 Pins per row (http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -3 -3 -Connector_Molex -Molex_Nano-Fit_105309-xx04_1x04_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105309-xx04, 4 Pins per row (http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -4 -4 -Connector_Molex -Molex_Nano-Fit_105309-xx05_1x05_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105309-xx05, 5 Pins per row (http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -5 -5 -Connector_Molex -Molex_Nano-Fit_105309-xx06_1x06_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105309-xx06, 6 Pins per row (http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -6 -6 -Connector_Molex -Molex_Nano-Fit_105309-xx07_1x07_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105309-xx07, 7 Pins per row (http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -7 -7 -Connector_Molex -Molex_Nano-Fit_105309-xx08_1x08_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105309-xx08, 8 Pins per row (http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -8 -8 -Connector_Molex -Molex_Nano-Fit_105310-xx04_2x02_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105310-xx04, 2 Pins per row (http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -4 -4 -Connector_Molex -Molex_Nano-Fit_105310-xx06_2x03_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105310-xx06, 3 Pins per row (http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -6 -6 -Connector_Molex -Molex_Nano-Fit_105310-xx08_2x04_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105310-xx08, 4 Pins per row (http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -8 -8 -Connector_Molex -Molex_Nano-Fit_105310-xx10_2x05_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105310-xx10, 5 Pins per row (http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -10 -10 -Connector_Molex -Molex_Nano-Fit_105310-xx12_2x06_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105310-xx12, 6 Pins per row (http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -12 -12 -Connector_Molex -Molex_Nano-Fit_105310-xx14_2x07_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105310-xx14, 7 Pins per row (http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -14 -14 -Connector_Molex -Molex_Nano-Fit_105310-xx16_2x08_P2.50mm_Vertical -Molex Nano-Fit Power Connectors, 105310-xx16, 8 Pins per row (http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit side entry -0 -16 -16 -Connector_Molex -Molex_Nano-Fit_105313-xx02_1x02_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105313-xx02, 2 Pins per row (http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -2 -2 -Connector_Molex -Molex_Nano-Fit_105313-xx03_1x03_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105313-xx03, 3 Pins per row (http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -3 -3 -Connector_Molex -Molex_Nano-Fit_105313-xx04_1x04_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105313-xx04, 4 Pins per row (http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -4 -4 -Connector_Molex -Molex_Nano-Fit_105313-xx05_1x05_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105313-xx05, 5 Pins per row (http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -5 -5 -Connector_Molex -Molex_Nano-Fit_105313-xx06_1x06_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105313-xx06, 6 Pins per row (http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -6 -6 -Connector_Molex -Molex_Nano-Fit_105313-xx07_1x07_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105313-xx07, 7 Pins per row (http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -7 -7 -Connector_Molex -Molex_Nano-Fit_105313-xx08_1x08_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105313-xx08, 8 Pins per row (http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -8 -8 -Connector_Molex -Molex_Nano-Fit_105314-xx04_2x02_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105314-xx04, 2 Pins per row (http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -4 -4 -Connector_Molex -Molex_Nano-Fit_105314-xx06_2x03_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105314-xx06, 3 Pins per row (http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -6 -6 -Connector_Molex -Molex_Nano-Fit_105314-xx08_2x04_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105314-xx08, 4 Pins per row (http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -8 -8 -Connector_Molex -Molex_Nano-Fit_105314-xx10_2x05_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105314-xx10, 5 Pins per row (http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -10 -10 -Connector_Molex -Molex_Nano-Fit_105314-xx12_2x06_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105314-xx12, 6 Pins per row (http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -12 -12 -Connector_Molex -Molex_Nano-Fit_105314-xx14_2x07_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105314-xx14, 7 Pins per row (http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -14 -14 -Connector_Molex -Molex_Nano-Fit_105314-xx16_2x08_P2.50mm_Horizontal -Molex Nano-Fit Power Connectors, 105314-xx16, 8 Pins per row (http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf), generated with kicad-footprint-generator -connector Molex Nano-Fit top entry -0 -16 -16 -Connector_Molex -Molex_Panelmate_53780-0270_1x02-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-0270 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -4 -3 -Connector_Molex -Molex_Panelmate_53780-0370_1x03-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-0370 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -5 -4 -Connector_Molex -Molex_Panelmate_53780-0470_1x04-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-0470 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -6 -5 -Connector_Molex -Molex_Panelmate_53780-0570_1x05-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-0570 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -7 -6 -Connector_Molex -Molex_Panelmate_53780-0670_1x06-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-0670 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -8 -7 -Connector_Molex -Molex_Panelmate_53780-0770_1x07-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-0770 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -9 -8 -Connector_Molex -Molex_Panelmate_53780-0870_1x08-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-0870 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -10 -9 -Connector_Molex -Molex_Panelmate_53780-0970_1x09-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-0970 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -11 -10 -Connector_Molex -Molex_Panelmate_53780-1070_1x10-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-1070 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -12 -11 -Connector_Molex -Molex_Panelmate_53780-1270_1x12-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-1270 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -14 -13 -Connector_Molex -Molex_Panelmate_53780-1470_1x14-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-1470 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -16 -15 -Connector_Molex -Molex_Panelmate_53780-1570_1x15-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-1570 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -17 -16 -Connector_Molex -Molex_Panelmate_53780-1870_1x18-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-1870 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -20 -19 -Connector_Molex -Molex_Panelmate_53780-3070_1x30-1MP_P1.25mm_Horizontal -Molex Panelmate series connector, 53780-3070 (), generated with kicad-footprint-generator -connector Molex Panelmate top entry -0 -32 -31 -Connector_Molex -Molex_Pico-Clasp_202396-0207_1x02-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-0207 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -4 -3 -Connector_Molex -Molex_Pico-Clasp_202396-0307_1x03-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-0307 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -5 -4 -Connector_Molex -Molex_Pico-Clasp_202396-0407_1x04-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-0407 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -6 -5 -Connector_Molex -Molex_Pico-Clasp_202396-0507_1x05-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-0507 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -7 -6 -Connector_Molex -Molex_Pico-Clasp_202396-0607_1x06-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-0607 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -8 -7 -Connector_Molex -Molex_Pico-Clasp_202396-0707_1x07-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-0707 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -9 -8 -Connector_Molex -Molex_Pico-Clasp_202396-0807_1x08-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-0807 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -10 -9 -Connector_Molex -Molex_Pico-Clasp_202396-0907_1x09-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-0907 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -11 -10 -Connector_Molex -Molex_Pico-Clasp_202396-1007_1x10-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-1007 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -12 -11 -Connector_Molex -Molex_Pico-Clasp_202396-1107_1x11-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-1107 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -13 -12 -Connector_Molex -Molex_Pico-Clasp_202396-1207_1x12-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-1207 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -14 -13 -Connector_Molex -Molex_Pico-Clasp_202396-1307_1x13-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-1307 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -15 -14 -Connector_Molex -Molex_Pico-Clasp_202396-1407_1x14-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-1407 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -16 -15 -Connector_Molex -Molex_Pico-Clasp_202396-1507_1x15-1MP_P1.00mm_Horizontal -Molex Pico-Clasp series connector, 202396-1507 (http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp top entry -0 -17 -16 -Connector_Molex -Molex_Pico-Clasp_501331-0207_1x02-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-0207 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -4 -3 -Connector_Molex -Molex_Pico-Clasp_501331-0307_1x03-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-0307 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -5 -4 -Connector_Molex -Molex_Pico-Clasp_501331-0407_1x04-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-0407 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -6 -5 -Connector_Molex -Molex_Pico-Clasp_501331-0507_1x05-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-0507 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -7 -6 -Connector_Molex -Molex_Pico-Clasp_501331-0607_1x06-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-0607 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -8 -7 -Connector_Molex -Molex_Pico-Clasp_501331-0707_1x07-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-0707 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -9 -8 -Connector_Molex -Molex_Pico-Clasp_501331-0807_1x08-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-0807 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -10 -9 -Connector_Molex -Molex_Pico-Clasp_501331-0907_1x09-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-0907 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -11 -10 -Connector_Molex -Molex_Pico-Clasp_501331-1007_1x10-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-1007 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -12 -11 -Connector_Molex -Molex_Pico-Clasp_501331-1107_1x11-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-1107 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -13 -12 -Connector_Molex -Molex_Pico-Clasp_501331-1207_1x12-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-1207 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -14 -13 -Connector_Molex -Molex_Pico-Clasp_501331-1307_1x13-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-1307 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -15 -14 -Connector_Molex -Molex_Pico-Clasp_501331-1407_1x14-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-1407 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -16 -15 -Connector_Molex -Molex_Pico-Clasp_501331-1507_1x15-1MP_P1.00mm_Vertical -Molex Pico-Clasp series connector, 501331-1507 (http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Clasp side entry -0 -17 -16 -Connector_Molex -Molex_Pico-EZmate_78171-0002_1x02-1MP_P1.20mm_Vertical -Molex Pico-EZmate series connector, 78171-0002 (http://www.molex.com/pdm_docs/sd/781710002_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-EZmate side entry -0 -4 -3 -Connector_Molex -Molex_Pico-EZmate_78171-0003_1x03-1MP_P1.20mm_Vertical -Molex Pico-EZmate series connector, 78171-0003 (http://www.molex.com/pdm_docs/sd/781710002_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-EZmate side entry -0 -5 -4 -Connector_Molex -Molex_Pico-EZmate_78171-0004_1x04-1MP_P1.20mm_Vertical -Molex Pico-EZmate series connector, 78171-0004 (http://www.molex.com/pdm_docs/sd/781710002_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-EZmate side entry -0 -6 -5 -Connector_Molex -Molex_Pico-EZmate_78171-0005_1x05-1MP_P1.20mm_Vertical -Molex Pico-EZmate series connector, 78171-0005 (http://www.molex.com/pdm_docs/sd/781710002_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-EZmate side entry -0 -7 -6 -Connector_Molex -Molex_Pico-EZmate_Slim_202656-0021_1x02-1MP_P1.20mm_Vertical -Molex Pico-EZmate_Slim series connector, 202656-0021 (http://www.molex.com/pdm_docs/sd/2026560021_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-EZmate_Slim side entry -0 -4 -3 -Connector_Molex -Molex_Pico-Lock_504050-0491_1x04-1MP_P1.50mm_Horizontal -Molex Pico-Lock series connector, 504050-0491 (http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Lock horizontal -0 -6 -5 -Connector_Molex -Molex_Pico-Lock_504050-0591_1x05-1MP_P1.50mm_Horizontal -Molex Pico-Lock series connector, 504050-0591 (http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Lock horizontal -0 -7 -6 -Connector_Molex -Molex_Pico-Lock_504050-0691_1x06-1MP_P1.50mm_Horizontal -Molex Pico-Lock series connector, 504050-0691 (http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Lock horizontal -0 -8 -7 -Connector_Molex -Molex_Pico-Lock_504050-0791_1x07-1MP_P1.50mm_Horizontal -Molex Pico-Lock series connector, 504050-0791 (http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Lock horizontal -0 -9 -8 -Connector_Molex -Molex_Pico-Lock_504050-0891_1x08-1MP_P1.50mm_Horizontal -Molex Pico-Lock series connector, 504050-0891 (http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Lock horizontal -0 -10 -9 -Connector_Molex -Molex_Pico-Lock_504050-1091_1x10-1MP_P1.50mm_Horizontal -Molex Pico-Lock series connector, 504050-1091 (http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Lock horizontal -0 -12 -11 -Connector_Molex -Molex_Pico-Lock_504050-1291_1x12-1MP_P1.50mm_Horizontal -Molex Pico-Lock series connector, 504050-1291 (http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf), generated with kicad-footprint-generator -connector Molex Pico-Lock horizontal -0 -14 -13 -Connector_Molex -Molex_Pico-SPOX_87437-1443_1x14-P1.5mm_Vertical -Molex Pico-SPOX Connector System, 87437-1443, 14 Pins per row (https://www.molex.com/pdm_docs/sd/874371443_sd.pdf#page=2) -molex pico spox 14 -0 -28 -14 -Connector_Molex -Molex_PicoBlade_53047-0210_1x02_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-0210, 2 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -2 -2 -Connector_Molex -Molex_PicoBlade_53047-0310_1x03_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-0310, 3 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -3 -3 -Connector_Molex -Molex_PicoBlade_53047-0410_1x04_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-0410, 4 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -4 -4 -Connector_Molex -Molex_PicoBlade_53047-0510_1x05_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-0510, 5 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -5 -5 -Connector_Molex -Molex_PicoBlade_53047-0610_1x06_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-0610, 6 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -6 -6 -Connector_Molex -Molex_PicoBlade_53047-0710_1x07_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-0710, 7 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -7 -7 -Connector_Molex -Molex_PicoBlade_53047-0810_1x08_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-0810, 8 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -8 -8 -Connector_Molex -Molex_PicoBlade_53047-0910_1x09_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-0910, 9 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -9 -9 -Connector_Molex -Molex_PicoBlade_53047-1010_1x10_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-1010, 10 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -10 -10 -Connector_Molex -Molex_PicoBlade_53047-1110_1x11_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-1110, 11 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -11 -11 -Connector_Molex -Molex_PicoBlade_53047-1210_1x12_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-1210, 12 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -12 -12 -Connector_Molex -Molex_PicoBlade_53047-1310_1x13_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-1310, 13 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -13 -13 -Connector_Molex -Molex_PicoBlade_53047-1410_1x14_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-1410, 14 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -14 -14 -Connector_Molex -Molex_PicoBlade_53047-1510_1x15_P1.25mm_Vertical -Molex PicoBlade Connector System, 53047-1510, 15 Pins per row (http://www.molex.com/pdm_docs/sd/530470610_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -15 -15 -Connector_Molex -Molex_PicoBlade_53048-0210_1x02_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-0210, 2 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -2 -2 -Connector_Molex -Molex_PicoBlade_53048-0310_1x03_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-0310, 3 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -3 -3 -Connector_Molex -Molex_PicoBlade_53048-0410_1x04_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-0410, 4 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -4 -4 -Connector_Molex -Molex_PicoBlade_53048-0510_1x05_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-0510, 5 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -5 -5 -Connector_Molex -Molex_PicoBlade_53048-0610_1x06_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-0610, 6 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -6 -6 -Connector_Molex -Molex_PicoBlade_53048-0710_1x07_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-0710, 7 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -7 -7 -Connector_Molex -Molex_PicoBlade_53048-0810_1x08_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-0810, 8 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -8 -8 -Connector_Molex -Molex_PicoBlade_53048-0910_1x09_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-0910, 9 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -9 -9 -Connector_Molex -Molex_PicoBlade_53048-1010_1x10_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-1010, 10 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -10 -10 -Connector_Molex -Molex_PicoBlade_53048-1110_1x11_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-1110, 11 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -11 -11 -Connector_Molex -Molex_PicoBlade_53048-1210_1x12_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-1210, 12 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -12 -12 -Connector_Molex -Molex_PicoBlade_53048-1310_1x13_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-1310, 13 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -13 -13 -Connector_Molex -Molex_PicoBlade_53048-1410_1x14_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-1410, 14 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -14 -14 -Connector_Molex -Molex_PicoBlade_53048-1510_1x15_P1.25mm_Horizontal -Molex PicoBlade Connector System, 53048-1510, 15 Pins per row (http://www.molex.com/pdm_docs/sd/530480210_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -15 -15 -Connector_Molex -Molex_PicoBlade_53261-0271_1x02-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-0271 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -4 -3 -Connector_Molex -Molex_PicoBlade_53261-0371_1x03-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-0371 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -5 -4 -Connector_Molex -Molex_PicoBlade_53261-0471_1x04-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-0471 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -6 -5 -Connector_Molex -Molex_PicoBlade_53261-0571_1x05-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-0571 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -7 -6 -Connector_Molex -Molex_PicoBlade_53261-0671_1x06-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-0671 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -8 -7 -Connector_Molex -Molex_PicoBlade_53261-0771_1x07-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-0771 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -9 -8 -Connector_Molex -Molex_PicoBlade_53261-0871_1x08-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-0871 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -10 -9 -Connector_Molex -Molex_PicoBlade_53261-0971_1x09-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-0971 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -11 -10 -Connector_Molex -Molex_PicoBlade_53261-1071_1x10-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-1071 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -12 -11 -Connector_Molex -Molex_PicoBlade_53261-1171_1x11-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-1171 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -13 -12 -Connector_Molex -Molex_PicoBlade_53261-1271_1x12-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-1271 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -14 -13 -Connector_Molex -Molex_PicoBlade_53261-1371_1x13-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-1371 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -15 -14 -Connector_Molex -Molex_PicoBlade_53261-1471_1x14-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-1471 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -16 -15 -Connector_Molex -Molex_PicoBlade_53261-1571_1x15-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-1571 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -17 -16 -Connector_Molex -Molex_PicoBlade_53261-1771_1x17-1MP_P1.25mm_Horizontal -Molex PicoBlade series connector, 53261-1771 (http://www.molex.com/pdm_docs/sd/532610271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade top entry -0 -19 -18 -Connector_Molex -Molex_PicoBlade_53398-0271_1x02-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-0271 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -4 -3 -Connector_Molex -Molex_PicoBlade_53398-0371_1x03-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-0371 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -5 -4 -Connector_Molex -Molex_PicoBlade_53398-0471_1x04-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-0471 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -6 -5 -Connector_Molex -Molex_PicoBlade_53398-0571_1x05-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-0571 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -7 -6 -Connector_Molex -Molex_PicoBlade_53398-0671_1x06-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-0671 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -8 -7 -Connector_Molex -Molex_PicoBlade_53398-0771_1x07-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-0771 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -9 -8 -Connector_Molex -Molex_PicoBlade_53398-0871_1x08-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-0871 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -10 -9 -Connector_Molex -Molex_PicoBlade_53398-0971_1x09-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-0971 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -11 -10 -Connector_Molex -Molex_PicoBlade_53398-1071_1x10-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-1071 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -12 -11 -Connector_Molex -Molex_PicoBlade_53398-1171_1x11-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-1171 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -13 -12 -Connector_Molex -Molex_PicoBlade_53398-1271_1x12-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-1271 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -14 -13 -Connector_Molex -Molex_PicoBlade_53398-1371_1x13-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-1371 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -15 -14 -Connector_Molex -Molex_PicoBlade_53398-1471_1x14-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-1471 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -16 -15 -Connector_Molex -Molex_PicoBlade_53398-1571_1x15-1MP_P1.25mm_Vertical -Molex PicoBlade series connector, 53398-1571 (http://www.molex.com/pdm_docs/sd/533980271_sd.pdf), generated with kicad-footprint-generator -connector Molex PicoBlade side entry -0 -17 -16 -Connector_Molex -Molex_Picoflex_90325-0004_2x02_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0004, 4 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -4 -4 -Connector_Molex -Molex_Picoflex_90325-0006_2x03_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0006, 6 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -6 -6 -Connector_Molex -Molex_Picoflex_90325-0008_2x04_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0008, 8 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -8 -8 -Connector_Molex -Molex_Picoflex_90325-0010_2x05_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0010, 10 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -10 -10 -Connector_Molex -Molex_Picoflex_90325-0012_2x06_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0012, 12 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -12 -12 -Connector_Molex -Molex_Picoflex_90325-0014_2x07_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0014, 14 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -14 -14 -Connector_Molex -Molex_Picoflex_90325-0016_2x08_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0016, 16 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -16 -16 -Connector_Molex -Molex_Picoflex_90325-0018_2x09_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0018, 18 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -18 -18 -Connector_Molex -Molex_Picoflex_90325-0020_2x10_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0020, 20 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -20 -20 -Connector_Molex -Molex_Picoflex_90325-0022_2x11_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0022, 22 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -22 -22 -Connector_Molex -Molex_Picoflex_90325-0024_2x12_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0024, 24 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -24 -24 -Connector_Molex -Molex_Picoflex_90325-0026_2x13_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90325-0026, 26 Pins (http://www.molex.com/pdm_docs/sd/903250004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -26 -26 -Connector_Molex -Molex_Picoflex_90814-0004_2x02_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0004, 4 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -4 -4 -Connector_Molex -Molex_Picoflex_90814-0006_2x03_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0006, 6 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -6 -6 -Connector_Molex -Molex_Picoflex_90814-0008_2x04_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0008, 8 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -8 -8 -Connector_Molex -Molex_Picoflex_90814-0010_2x05_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0010, 10 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -10 -10 -Connector_Molex -Molex_Picoflex_90814-0012_2x06_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0012, 12 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -12 -12 -Connector_Molex -Molex_Picoflex_90814-0014_2x07_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0014, 14 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -14 -14 -Connector_Molex -Molex_Picoflex_90814-0016_2x08_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0016, 16 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -16 -16 -Connector_Molex -Molex_Picoflex_90814-0018_2x09_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0018, 18 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -18 -18 -Connector_Molex -Molex_Picoflex_90814-0020_2x10_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0020, 20 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -20 -20 -Connector_Molex -Molex_Picoflex_90814-0022_2x11_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0022, 22 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -22 -22 -Connector_Molex -Molex_Picoflex_90814-0024_2x12_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0024, 24 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -24 -24 -Connector_Molex -Molex_Picoflex_90814-0026_2x13_P1.27mm_Vertical -Molex Picoflex Ribbon-Cable Connectors, 90814-0026, 26 Pins (http://www.molex.com/pdm_docs/sd/908140004_sd.pdf), generated with kicad-footprint-generator -connector Molex Picoflex side entry -0 -26 -26 -Connector_Molex -Molex_SL_171971-0002_1x02_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0002 (compatible alternatives: 171971-0102, 171971-0202), 2 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -2 -2 -Connector_Molex -Molex_SL_171971-0003_1x03_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0003 (compatible alternatives: 171971-0103, 171971-0203), 3 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -3 -3 -Connector_Molex -Molex_SL_171971-0004_1x04_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0004 (compatible alternatives: 171971-0104, 171971-0204), 4 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -4 -4 -Connector_Molex -Molex_SL_171971-0005_1x05_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0005 (compatible alternatives: 171971-0105, 171971-0205), 5 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -5 -5 -Connector_Molex -Molex_SL_171971-0006_1x06_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0006 (compatible alternatives: 171971-0106, 171971-0206), 6 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -6 -6 -Connector_Molex -Molex_SL_171971-0007_1x07_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0007 (compatible alternatives: 171971-0107, 171971-0207), 7 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -7 -7 -Connector_Molex -Molex_SL_171971-0008_1x08_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0008 (compatible alternatives: 171971-0108, 171971-0208), 8 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -8 -8 -Connector_Molex -Molex_SL_171971-0009_1x09_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0009 (compatible alternatives: 171971-0109, 171971-0209), 9 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -9 -9 -Connector_Molex -Molex_SL_171971-0010_1x10_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0010 (compatible alternatives: 171971-0110, 171971-0210), 10 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -10 -10 -Connector_Molex -Molex_SL_171971-0011_1x11_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0011 (compatible alternatives: 171971-0111, 171971-0211), 11 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -11 -11 -Connector_Molex -Molex_SL_171971-0012_1x12_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0012 (compatible alternatives: 171971-0112, 171971-0212), 12 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -12 -12 -Connector_Molex -Molex_SL_171971-0013_1x13_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0013 (compatible alternatives: 171971-0113, 171971-0213), 13 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -13 -13 -Connector_Molex -Molex_SL_171971-0014_1x14_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0014 (compatible alternatives: 171971-0114, 171971-0214), 14 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -14 -14 -Connector_Molex -Molex_SL_171971-0015_1x15_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0015 (compatible alternatives: 171971-0115, 171971-0215), 15 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -15 -15 -Connector_Molex -Molex_SL_171971-0016_1x16_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0016 (compatible alternatives: 171971-0116, 171971-0216), 16 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -16 -16 -Connector_Molex -Molex_SL_171971-0017_1x17_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0017 (compatible alternatives: 171971-0117, 171971-0217), 17 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -17 -17 -Connector_Molex -Molex_SL_171971-0018_1x18_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0018 (compatible alternatives: 171971-0118, 171971-0218), 18 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -18 -18 -Connector_Molex -Molex_SL_171971-0019_1x19_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0019 (compatible alternatives: 171971-0119, 171971-0219), 19 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -19 -19 -Connector_Molex -Molex_SL_171971-0020_1x20_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0020 (compatible alternatives: 171971-0120, 171971-0220), 20 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -20 -20 -Connector_Molex -Molex_SL_171971-0021_1x21_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0021 (compatible alternatives: 171971-0121, 171971-0221), 21 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -21 -21 -Connector_Molex -Molex_SL_171971-0022_1x22_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0022 (compatible alternatives: 171971-0122, 171971-0222), 22 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -22 -22 -Connector_Molex -Molex_SL_171971-0023_1x23_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0023 (compatible alternatives: 171971-0123, 171971-0223), 23 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -23 -23 -Connector_Molex -Molex_SL_171971-0024_1x24_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0024 (compatible alternatives: 171971-0124, 171971-0224), 24 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -24 -24 -Connector_Molex -Molex_SL_171971-0025_1x25_P2.54mm_Vertical -Molex Stackable Linear Connector, 171971-0025 (compatible alternatives: 171971-0125, 171971-0225), 25 Pins per row (https://www.molex.com/pdm_docs/sd/1719710002_sd.pdf), generated with kicad-footprint-generator -connector Molex SL vertical -0 -25 -25 -Connector_Molex -Molex_SPOX_5267-02A_1x02_P2.50mm_Vertical -Molex SPOX Connector System, 5267-02A, 2 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -2 -2 -Connector_Molex -Molex_SPOX_5267-03A_1x03_P2.50mm_Vertical -Molex SPOX Connector System, 5267-03A, 3 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -3 -3 -Connector_Molex -Molex_SPOX_5267-04A_1x04_P2.50mm_Vertical -Molex SPOX Connector System, 5267-04A, 4 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -4 -4 -Connector_Molex -Molex_SPOX_5267-05A_1x05_P2.50mm_Vertical -Molex SPOX Connector System, 5267-05A, 5 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -5 -5 -Connector_Molex -Molex_SPOX_5267-06A_1x06_P2.50mm_Vertical -Molex SPOX Connector System, 5267-06A, 6 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -6 -6 -Connector_Molex -Molex_SPOX_5267-07A_1x07_P2.50mm_Vertical -Molex SPOX Connector System, 5267-07A, 7 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -7 -7 -Connector_Molex -Molex_SPOX_5267-08A_1x08_P2.50mm_Vertical -Molex SPOX Connector System, 5267-08A, 8 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -8 -8 -Connector_Molex -Molex_SPOX_5267-09A_1x09_P2.50mm_Vertical -Molex SPOX Connector System, 5267-09A, 9 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -9 -9 -Connector_Molex -Molex_SPOX_5267-10A_1x10_P2.50mm_Vertical -Molex SPOX Connector System, 5267-10A, 10 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -10 -10 -Connector_Molex -Molex_SPOX_5267-11A_1x11_P2.50mm_Vertical -Molex SPOX Connector System, 5267-11A, 11 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -11 -11 -Connector_Molex -Molex_SPOX_5267-12A_1x12_P2.50mm_Vertical -Molex SPOX Connector System, 5267-12A, 12 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -12 -12 -Connector_Molex -Molex_SPOX_5267-13A_1x13_P2.50mm_Vertical -Molex SPOX Connector System, 5267-13A, 13 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -13 -13 -Connector_Molex -Molex_SPOX_5267-14A_1x14_P2.50mm_Vertical -Molex SPOX Connector System, 5267-14A, 14 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -14 -14 -Connector_Molex -Molex_SPOX_5267-15A_1x15_P2.50mm_Vertical -Molex SPOX Connector System, 5267-15A, 15 Pins per row (http://www.molex.com/pdm_docs/sd/022035035_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX side entry -0 -15 -15 -Connector_Molex -Molex_SPOX_5268-02A_1x02_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-02A, 2 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -2 -2 -Connector_Molex -Molex_SPOX_5268-03A_1x03_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-03A, 3 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -3 -3 -Connector_Molex -Molex_SPOX_5268-04A_1x04_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-04A, 4 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -4 -4 -Connector_Molex -Molex_SPOX_5268-05A_1x05_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-05A, 5 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -5 -5 -Connector_Molex -Molex_SPOX_5268-06A_1x06_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-06A, 6 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -6 -6 -Connector_Molex -Molex_SPOX_5268-07A_1x07_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-07A, 7 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -7 -7 -Connector_Molex -Molex_SPOX_5268-08A_1x08_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-08A, 8 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -8 -8 -Connector_Molex -Molex_SPOX_5268-09A_1x09_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-09A, 9 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -9 -9 -Connector_Molex -Molex_SPOX_5268-10A_1x10_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-10A, 10 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -10 -10 -Connector_Molex -Molex_SPOX_5268-11A_1x11_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-11A, 11 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -11 -11 -Connector_Molex -Molex_SPOX_5268-12A_1x12_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-12A, 12 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -12 -12 -Connector_Molex -Molex_SPOX_5268-13A_1x13_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-13A, 13 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -13 -13 -Connector_Molex -Molex_SPOX_5268-14A_1x14_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-14A, 14 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -14 -14 -Connector_Molex -Molex_SPOX_5268-15A_1x15_P2.50mm_Horizontal -Molex SPOX Connector System, 5268-15A, 15 Pins per row (https://www.molex.com/pdm_docs/sd/022057045_sd.pdf), generated with kicad-footprint-generator -connector Molex SPOX horizontal -0 -15 -15 -Connector_Molex -Molex_Sabre_43160-0102_1x02_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-0102, 2 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -4 -2 -Connector_Molex -Molex_Sabre_43160-0102_1x02_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-0102, With thermal vias in pads, 2 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -30 -2 -Connector_Molex -Molex_Sabre_43160-0103_1x03_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-0103, 3 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -6 -3 -Connector_Molex -Molex_Sabre_43160-0103_1x03_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-0103, With thermal vias in pads, 3 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -45 -3 -Connector_Molex -Molex_Sabre_43160-0104_1x04_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-0104, 4 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -8 -4 -Connector_Molex -Molex_Sabre_43160-0104_1x04_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-0104, With thermal vias in pads, 4 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -60 -4 -Connector_Molex -Molex_Sabre_43160-0105_1x05_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-0105, 5 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -10 -5 -Connector_Molex -Molex_Sabre_43160-0105_1x05_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-0105, With thermal vias in pads, 5 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -75 -5 -Connector_Molex -Molex_Sabre_43160-0106_1x06_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-0106, 6 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -12 -6 -Connector_Molex -Molex_Sabre_43160-0106_1x06_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-0106, With thermal vias in pads, 6 Pins per row (http://www.molex.com/pdm_docs/sd/431600105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -90 -6 -Connector_Molex -Molex_Sabre_43160-1102_1x02_P7.49mm_Horizontal -Molex Sabre Power Connector, 43160-1102, 2 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -6 -2 -Connector_Molex -Molex_Sabre_43160-1102_1x02_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 43160-1102, With thermal vias in pads, 2 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -32 -2 -Connector_Molex -Molex_Sabre_43160-1103_1x03_P7.49mm_Horizontal -Molex Sabre Power Connector, 43160-1103, 3 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -8 -3 -Connector_Molex -Molex_Sabre_43160-1103_1x03_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 43160-1103, With thermal vias in pads, 3 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -47 -3 -Connector_Molex -Molex_Sabre_43160-1104_1x04_P7.49mm_Horizontal -Molex Sabre Power Connector, 43160-1104, 4 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -10 -4 -Connector_Molex -Molex_Sabre_43160-1104_1x04_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 43160-1104, With thermal vias in pads, 4 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -62 -4 -Connector_Molex -Molex_Sabre_43160-1105_1x05_P7.49mm_Horizontal -Molex Sabre Power Connector, 43160-1105, 5 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -12 -5 -Connector_Molex -Molex_Sabre_43160-1105_1x05_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 43160-1105, With thermal vias in pads, 5 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -77 -5 -Connector_Molex -Molex_Sabre_43160-1106_1x06_P7.49mm_Horizontal -Molex Sabre Power Connector, 43160-1106, 6 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -14 -6 -Connector_Molex -Molex_Sabre_43160-1106_1x06_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 43160-1106, With thermal vias in pads, 6 Pins per row (http://www.molex.com/pdm_docs/sd/431605304_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -92 -6 -Connector_Molex -Molex_Sabre_43160-2102_1x02_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-2102, 2 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -6 -2 -Connector_Molex -Molex_Sabre_43160-2102_1x02_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-2102, With thermal vias in pads, 2 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -32 -2 -Connector_Molex -Molex_Sabre_43160-2103_1x03_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-2103, 3 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -8 -3 -Connector_Molex -Molex_Sabre_43160-2103_1x03_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-2103, With thermal vias in pads, 3 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -47 -3 -Connector_Molex -Molex_Sabre_43160-2104_1x04_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-2104, 4 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -10 -4 -Connector_Molex -Molex_Sabre_43160-2104_1x04_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-2104, With thermal vias in pads, 4 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -62 -4 -Connector_Molex -Molex_Sabre_43160-2105_1x05_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-2105, 5 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -12 -5 -Connector_Molex -Molex_Sabre_43160-2105_1x05_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-2105, With thermal vias in pads, 5 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -77 -5 -Connector_Molex -Molex_Sabre_43160-2106_1x06_P7.49mm_Vertical -Molex Sabre Power Connector, 43160-2106, 6 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -14 -6 -Connector_Molex -Molex_Sabre_43160-2106_1x06_P7.49mm_Vertical_ThermalVias -Molex Sabre Power Connector, 43160-2106, With thermal vias in pads, 6 Pins per row (http://www.molex.com/pdm_docs/sd/431602102_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre side entry -0 -92 -6 -Connector_Molex -Molex_Sabre_46007-1102_1x02_P7.49mm_Horizontal -Molex Sabre Power Connector, 46007-1102, 2 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -4 -2 -Connector_Molex -Molex_Sabre_46007-1102_1x02_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 46007-1102, With thermal vias in pads, 2 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -30 -2 -Connector_Molex -Molex_Sabre_46007-1103_1x03_P7.49mm_Horizontal -Molex Sabre Power Connector, 46007-1103, 3 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -6 -3 -Connector_Molex -Molex_Sabre_46007-1103_1x03_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 46007-1103, With thermal vias in pads, 3 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -45 -3 -Connector_Molex -Molex_Sabre_46007-1104_1x04_P7.49mm_Horizontal -Molex Sabre Power Connector, 46007-1104, 4 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -8 -4 -Connector_Molex -Molex_Sabre_46007-1104_1x04_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 46007-1104, With thermal vias in pads, 4 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -60 -4 -Connector_Molex -Molex_Sabre_46007-1105_1x05_P7.49mm_Horizontal -Molex Sabre Power Connector, 46007-1105, 5 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -10 -5 -Connector_Molex -Molex_Sabre_46007-1105_1x05_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 46007-1105, With thermal vias in pads, 5 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -75 -5 -Connector_Molex -Molex_Sabre_46007-1106_1x06_P7.49mm_Horizontal -Molex Sabre Power Connector, 46007-1106, 6 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -12 -6 -Connector_Molex -Molex_Sabre_46007-1106_1x06_P7.49mm_Horizontal_ThermalVias -Molex Sabre Power Connector, 46007-1106, With thermal vias in pads, 6 Pins per row (http://www.molex.com/pdm_docs/sd/460071105_sd.pdf), generated with kicad-footprint-generator -connector Molex Sabre top entry -0 -90 -6 -Connector_Molex -Molex_SlimStack_52991-0208_2x10_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 52991-0208, 20 Pins (http://www.molex.com/pdm_docs/sd/529910308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -20 -20 -Connector_Molex -Molex_SlimStack_52991-0308_2x15_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 52991-0308, 30 Pins (http://www.molex.com/pdm_docs/sd/529910308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -30 -30 -Connector_Molex -Molex_SlimStack_52991-0408_2x20_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 52991-0408, 40 Pins (http://www.molex.com/pdm_docs/sd/529910308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -40 -40 -Connector_Molex -Molex_SlimStack_52991-0508_2x25_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 52991-0508, 50 Pins (http://www.molex.com/pdm_docs/sd/529910308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -50 -50 -Connector_Molex -Molex_SlimStack_52991-0608_2x30_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 52991-0608, 60 Pins (http://www.molex.com/pdm_docs/sd/529910308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -60 -60 -Connector_Molex -Molex_SlimStack_52991-0708_2x35_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 52991-0708, 70 Pins (http://www.molex.com/pdm_docs/sd/529910308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -70 -70 -Connector_Molex -Molex_SlimStack_52991-0808_2x40_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 52991-0808, 80 Pins (http://www.molex.com/pdm_docs/sd/529910308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -80 -80 -Connector_Molex -Molex_SlimStack_53748-0208_2x10_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 53748-0208, 20 Pins (https://www.molex.com/pdm_docs/sd/537480308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -20 -20 -Connector_Molex -Molex_SlimStack_53748-0308_2x15_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 53748-0308, 30 Pins (https://www.molex.com/pdm_docs/sd/537480308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -30 -30 -Connector_Molex -Molex_SlimStack_53748-0408_2x20_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 53748-0408, 40 Pins (https://www.molex.com/pdm_docs/sd/537480308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -40 -40 -Connector_Molex -Molex_SlimStack_53748-0608_2x30_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 53748-0608, 60 Pins (https://www.molex.com/pdm_docs/sd/537480308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -60 -60 -Connector_Molex -Molex_SlimStack_53748-0708_2x35_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 53748-0708, 70 Pins (https://www.molex.com/pdm_docs/sd/537480308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -70 -70 -Connector_Molex -Molex_SlimStack_53748-0808_2x40_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 53748-0808, 80 Pins (https://www.molex.com/pdm_docs/sd/537480308_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack vertical -0 -80 -80 -Connector_Molex -Molex_SlimStack_54722-0164_2x08_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0164, 16 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -16 -16 -Connector_Molex -Molex_SlimStack_54722-0204_2x10_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0204, 20 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -20 -20 -Connector_Molex -Molex_SlimStack_54722-0224_2x11_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0224, 22 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -22 -22 -Connector_Molex -Molex_SlimStack_54722-0244_2x12_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0244, 24 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -24 -24 -Connector_Molex -Molex_SlimStack_54722-0304_2x15_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0304, 30 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -30 -30 -Connector_Molex -Molex_SlimStack_54722-0344_2x17_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0344, 34 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -34 -34 -Connector_Molex -Molex_SlimStack_54722-0404_2x20_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0404, 40 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -40 -40 -Connector_Molex -Molex_SlimStack_54722-0504_2x25_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0504, 50 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -50 -50 -Connector_Molex -Molex_SlimStack_54722-0604_2x30_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0604, 60 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -60 -60 -Connector_Molex -Molex_SlimStack_54722-0804_2x40_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 54722-0804, 80 Pins (http://www.molex.com/pdm_docs/sd/547220804_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -80 -80 -Connector_Molex -Molex_SlimStack_55560-0161_2x08_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0161, 16 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -16 -16 -Connector_Molex -Molex_SlimStack_55560-0201_2x10_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0201, 20 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -20 -20 -Connector_Molex -Molex_SlimStack_55560-0221_2x11_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0221, 22 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -22 -22 -Connector_Molex -Molex_SlimStack_55560-0241_2x12_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0241, 24 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -24 -24 -Connector_Molex -Molex_SlimStack_55560-0301_2x15_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0301, 30 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -30 -30 -Connector_Molex -Molex_SlimStack_55560-0341_2x17_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0341, 34 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -34 -34 -Connector_Molex -Molex_SlimStack_55560-0401_2x20_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0401, 40 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -40 -40 -Connector_Molex -Molex_SlimStack_55560-0501_2x25_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0501, 50 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -50 -50 -Connector_Molex -Molex_SlimStack_55560-0601_2x30_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0601, 60 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -60 -60 -Connector_Molex -Molex_SlimStack_55560-0801_2x40_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 55560-0801, 80 Pins (http://www.molex.com/pdm_docs/sd/555600207_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -80 -80 -Connector_Molex -Molex_SlimStack_501920-3001_2x15_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 501920-3001, 30 Pins (http://www.molex.com/pdm_docs/sd/5019204001_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -30 -30 -Connector_Molex -Molex_SlimStack_501920-4001_2x20_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 501920-4001, 40 Pins (http://www.molex.com/pdm_docs/sd/5019204001_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -40 -40 -Connector_Molex -Molex_SlimStack_501920-5001_2x25_P0.50mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 501920-5001, 50 Pins (http://www.molex.com/pdm_docs/sd/5019204001_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -50 -50 -Connector_Molex -Molex_SlimStack_502426-0810_2x04_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-0810, 8 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -8 -8 -Connector_Molex -Molex_SlimStack_502426-1410_2x07_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-1410, 14 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -14 -14 -Connector_Molex -Molex_SlimStack_502426-2010_2x10_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-2010, 20 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -20 -20 -Connector_Molex -Molex_SlimStack_502426-2210_2x11_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-2210, 22 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -22 -22 -Connector_Molex -Molex_SlimStack_502426-2410_2x12_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-2410, 24 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -24 -24 -Connector_Molex -Molex_SlimStack_502426-2610_2x13_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-2610, 26 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -26 -26 -Connector_Molex -Molex_SlimStack_502426-3010_2x15_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-3010, 30 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -30 -30 -Connector_Molex -Molex_SlimStack_502426-3210_2x16_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-3210, 32 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -32 -32 -Connector_Molex -Molex_SlimStack_502426-3410_2x17_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-3410, 34 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -34 -34 -Connector_Molex -Molex_SlimStack_502426-4010_2x20_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-4010, 40 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -40 -40 -Connector_Molex -Molex_SlimStack_502426-4410_2x22_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-4410, 44 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -44 -44 -Connector_Molex -Molex_SlimStack_502426-5010_2x25_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-5010, 50 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -50 -50 -Connector_Molex -Molex_SlimStack_502426-6010_2x30_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-6010, 60 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -60 -60 -Connector_Molex -Molex_SlimStack_502426-6410_2x32_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-6410, 64 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -64 -64 -Connector_Molex -Molex_SlimStack_502426-8010_2x40_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502426-8010, 80 Pins (http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -80 -80 -Connector_Molex -Molex_SlimStack_502430-0820_2x04_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-0820, 8 Pins (http://www.molex.com/pdm_docs/sd/5024300820_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -8 -8 -Connector_Molex -Molex_SlimStack_502430-1410_2x07_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-1410, 14 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -14 -14 -Connector_Molex -Molex_SlimStack_502430-2010_2x10_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-2010, 20 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -20 -20 -Connector_Molex -Molex_SlimStack_502430-2210_2x11_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-2210, 22 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -22 -22 -Connector_Molex -Molex_SlimStack_502430-2410_2x12_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-2410, 24 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -24 -24 -Connector_Molex -Molex_SlimStack_502430-2610_2x13_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-2610, 26 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -26 -26 -Connector_Molex -Molex_SlimStack_502430-3010_2x15_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-3010, 30 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -30 -30 -Connector_Molex -Molex_SlimStack_502430-3210_2x16_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-3210, 32 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -32 -32 -Connector_Molex -Molex_SlimStack_502430-3410_2x17_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-3410, 34 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -34 -34 -Connector_Molex -Molex_SlimStack_502430-4010_2x20_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-4010, 40 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -40 -40 -Connector_Molex -Molex_SlimStack_502430-4410_2x22_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-4410, 44 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -44 -44 -Connector_Molex -Molex_SlimStack_502430-5010_2x25_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-5010, 50 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -50 -50 -Connector_Molex -Molex_SlimStack_502430-6010_2x30_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-6010, 60 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -60 -60 -Connector_Molex -Molex_SlimStack_502430-6410_2x32_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-6410, 64 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -64 -64 -Connector_Molex -Molex_SlimStack_502430-8010_2x40_P0.40mm_Vertical -Molex SlimStack Fine-Pitch SMT Board-to-Board Connectors, 502430-8010, 80 Pins (http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf), generated with kicad-footprint-generator -connector Molex SlimStack side entry -0 -80 -80 -Connector_PCBEdge -4UCON_10156_2x40_P1.27mm_Socket_Horizontal -4UCON 10156 Card edge socket with 80 contacts (40 each side), through-hole, http://www.4uconnector.com/online/object/4udrawing/10156.pdf -4UCON 10156 Card edge socket with 80 contacts -0 -80 -80 -Connector_PCBEdge -BUS_AT -AT ISA 16 bits Bus Edge Connector -BUS ISA AT Edge connector -0 -98 -98 -Connector_PCBEdge -BUS_PCI -PCI bus Edge Connector -PCI bus Edge Connector -0 -240 -120 -Connector_PCBEdge -BUS_PCI_Express_Mini -Mini-PCI Express bus connector (https://s3.amazonaws.com/fit-iot/download/facet-cards/documents/PCI_Express_miniCard_Electromechanical_specs_rev1.2.pdf#page=11) -mini pcie -0 -54 -53 -Connector_PCBEdge -BUS_PCI_Express_Mini_Dual -Mini-PCI Express bus connector full size with dual clips (https://s3.amazonaws.com/fit-iot/download/facet-cards/documents/PCI_Express_miniCard_Electromechanical_specs_rev1.2.pdf#page=28) -mini pcie -0 -54 -53 -Connector_PCBEdge -BUS_PCI_Express_Mini_Full -Mini-PCI Express bus connector full size with clips (https://s3.amazonaws.com/fit-iot/download/facet-cards/documents/PCI_Express_miniCard_Electromechanical_specs_rev1.2.pdf#page=24) -mini pcie -0 -54 -53 -Connector_PCBEdge -BUS_PCI_Express_Mini_Half -Mini-PCI Express bus connector half size with clips (https://s3.amazonaws.com/fit-iot/download/facet-cards/documents/PCI_Express_miniCard_Electromechanical_specs_rev1.2.pdf#page=25) -mini pcie -0 -54 -53 -Connector_PCBEdge -BUS_PCIexpress_x1 -PCIexpress Bus Edge Connector x1 http://www.ritrontek.com/uploadfile/2016/1026/20161026105231124.pdf#page=70 -PCIe -0 -36 -36 -Connector_PCBEdge -BUS_PCIexpress_x4 -PCIexpress Bus Edge Connector x1 http://www.ritrontek.com/uploadfile/2016/1026/20161026105231124.pdf#page=70 -PCIe -0 -64 -64 -Connector_PCBEdge -BUS_PCIexpress_x8 -PCIexpress Bus Edge Connector x1 http://www.ritrontek.com/uploadfile/2016/1026/20161026105231124.pdf#page=70 -PCIe -0 -98 -98 -Connector_PCBEdge -BUS_PCIexpress_x16 -PCIexpress Bus Edge Connector x1 http://www.ritrontek.com/uploadfile/2016/1026/20161026105231124.pdf#page=70 -PCIe -0 -164 -164 -Connector_PCBEdge -JAE_MM60-EZH039-Bx_BUS_PCI_Express_Holder -PCI Express mini card latch for 3.9mm connector height, https://www.jae.com/direct/topics/topics_file_download/?topics_id=68909&ext_no=06&index=0&_lang=en&v=2022071912512930114109 -MiniPCI -0 -6 -1 -Connector_PCBEdge -JAE_MM60-EZH059-Bx_BUS_PCI_Express_Holder -PCI Express mini card latch for 5.9mm connector height, https://www.jae.com/direct/topics/topics_file_download/topics_id=68910&ext_no=06&index=0&_lang=en&v= -MiniPCI -0 -6 -1 -Connector_PCBEdge -SODIMM-200_1.8V_Card_edge -SODIMM 200-pins edge connector, Jedec MO-224 Variation AB compliant (1.8V DDR2 SDRAM), 0.6mm pitch, https://www.jst-mfg.com/product/pdf/eng/eDM-200P.pdf -sodimm -0 -200 -200 -Connector_PCBEdge -SODIMM-200_2.5V_Card_edge -SODIMM 200-pins edge connector, Jedec MO-224 Variation AA compliant (2.5V DDR SDRAM), 0.6mm pitch, https://www.jst-mfg.com/product/pdf/eng/eDM-200P.pdf -sodimm -0 -200 -200 -Connector_PCBEdge -Samtec_MECF-05-0_-L-DV_2x05_P1.27mm_Polarized_Edge -Highspeed card edge connector for PCB's with 05 contacts (polarized) -conn samtec card-edge high-speed -0 -8 -8 -Connector_PCBEdge -Samtec_MECF-05-0_-NP-L-DV_2x05_P1.27mm_Edge -Highspeed card edge connector for PCB's with 05 contacts (not polarized) -conn samtec card-edge high-speed -0 -10 -10 -Connector_PCBEdge -Samtec_MECF-05-01-L-DV-WT_2x05_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 05 contacts (polarized) -conn samtec card-edge high-speed -0 -10 -8 -Connector_PCBEdge -Samtec_MECF-05-01-L-DV_2x05_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 05 contacts (polarized) -conn samtec card-edge high-speed -0 -8 -8 -Connector_PCBEdge -Samtec_MECF-05-01-NP-L-DV-WT_2x05_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 05 contacts (not polarized) -conn samtec card-edge high-speed -0 -12 -10 -Connector_PCBEdge -Samtec_MECF-05-01-NP-L-DV_2x05_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 05 contacts (not polarized) -conn samtec card-edge high-speed -0 -10 -10 -Connector_PCBEdge -Samtec_MECF-05-02-L-DV-WT_2x05_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 05 contacts (polarized) -conn samtec card-edge high-speed -0 -10 -8 -Connector_PCBEdge -Samtec_MECF-05-02-L-DV_2x05_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 05 contacts (polarized) -conn samtec card-edge high-speed -0 -8 -8 -Connector_PCBEdge -Samtec_MECF-05-02-NP-L-DV-WT_2x05_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 05 contacts (not polarized) -conn samtec card-edge high-speed -0 -12 -10 -Connector_PCBEdge -Samtec_MECF-05-02-NP-L-DV_2x05_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 05 contacts (not polarized) -conn samtec card-edge high-speed -0 -10 -10 -Connector_PCBEdge -Samtec_MECF-08-0_-L-DV_2x08_P1.27mm_Polarized_Edge -Highspeed card edge connector for PCB's with 08 contacts (polarized) -conn samtec card-edge high-speed -0 -14 -14 -Connector_PCBEdge -Samtec_MECF-08-0_-NP-L-DV_2x08_P1.27mm_Edge -Highspeed card edge connector for PCB's with 08 contacts (not polarized) -conn samtec card-edge high-speed -0 -16 -16 -Connector_PCBEdge -Samtec_MECF-08-01-L-DV-WT_2x08_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 08 contacts (polarized) -conn samtec card-edge high-speed -0 -16 -14 -Connector_PCBEdge -Samtec_MECF-08-01-L-DV_2x08_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 08 contacts (polarized) -conn samtec card-edge high-speed -0 -14 -14 -Connector_PCBEdge -Samtec_MECF-08-01-NP-L-DV-WT_2x08_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 08 contacts (not polarized) -conn samtec card-edge high-speed -0 -18 -16 -Connector_PCBEdge -Samtec_MECF-08-01-NP-L-DV_2x08_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 08 contacts (not polarized) -conn samtec card-edge high-speed -0 -16 -16 -Connector_PCBEdge -Samtec_MECF-08-02-L-DV-WT_2x08_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 08 contacts (polarized) -conn samtec card-edge high-speed -0 -16 -14 -Connector_PCBEdge -Samtec_MECF-08-02-L-DV_2x08_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 08 contacts (polarized) -conn samtec card-edge high-speed -0 -14 -14 -Connector_PCBEdge -Samtec_MECF-08-02-NP-L-DV-WT_2x08_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 08 contacts (not polarized) -conn samtec card-edge high-speed -0 -18 -16 -Connector_PCBEdge -Samtec_MECF-08-02-NP-L-DV_2x08_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 08 contacts (not polarized) -conn samtec card-edge high-speed -0 -16 -16 -Connector_PCBEdge -Samtec_MECF-20-0_-L-DV_2x20_P1.27mm_Polarized_Edge -Highspeed card edge connector for PCB's with 20 contacts (polarized) -conn samtec card-edge high-speed -0 -38 -38 -Connector_PCBEdge -Samtec_MECF-20-0_-NP-L-DV_2x20_P1.27mm_Edge -Highspeed card edge connector for PCB's with 20 contacts (not polarized) -conn samtec card-edge high-speed -0 -40 -40 -Connector_PCBEdge -Samtec_MECF-20-01-L-DV-WT_2x20_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 20 contacts (polarized) -conn samtec card-edge high-speed -0 -40 -38 -Connector_PCBEdge -Samtec_MECF-20-01-L-DV_2x20_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 20 contacts (polarized) -conn samtec card-edge high-speed -0 -38 -38 -Connector_PCBEdge -Samtec_MECF-20-01-NP-L-DV-WT_2x20_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 20 contacts (not polarized) -conn samtec card-edge high-speed -0 -42 -40 -Connector_PCBEdge -Samtec_MECF-20-01-NP-L-DV_2x20_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 20 contacts (not polarized) -conn samtec card-edge high-speed -0 -40 -40 -Connector_PCBEdge -Samtec_MECF-20-02-L-DV-WT_2x20_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 20 contacts (polarized) -conn samtec card-edge high-speed -0 -40 -38 -Connector_PCBEdge -Samtec_MECF-20-02-L-DV_2x20_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 20 contacts (polarized) -conn samtec card-edge high-speed -0 -38 -38 -Connector_PCBEdge -Samtec_MECF-20-02-NP-L-DV-WT_2x20_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 20 contacts (not polarized) -conn samtec card-edge high-speed -0 -42 -40 -Connector_PCBEdge -Samtec_MECF-20-02-NP-L-DV_2x20_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 20 contacts (not polarized) -conn samtec card-edge high-speed -0 -40 -40 -Connector_PCBEdge -Samtec_MECF-30-0_-L-DV_2x30_P1.27mm_Polarized_Edge -Highspeed card edge connector for PCB's with 30 contacts (polarized) -conn samtec card-edge high-speed -0 -58 -58 -Connector_PCBEdge -Samtec_MECF-30-0_-NP-L-DV_2x30_P1.27mm_Edge -Highspeed card edge connector for PCB's with 30 contacts (not polarized) -conn samtec card-edge high-speed -0 -60 -60 -Connector_PCBEdge -Samtec_MECF-30-01-L-DV-WT_2x30_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 30 contacts (polarized) -conn samtec card-edge high-speed -0 -60 -58 -Connector_PCBEdge -Samtec_MECF-30-01-L-DV_2x30_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 30 contacts (polarized) -conn samtec card-edge high-speed -0 -58 -58 -Connector_PCBEdge -Samtec_MECF-30-01-NP-L-DV-WT_2x30_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 30 contacts (not polarized) -conn samtec card-edge high-speed -0 -62 -60 -Connector_PCBEdge -Samtec_MECF-30-01-NP-L-DV_2x30_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 30 contacts (not polarized) -conn samtec card-edge high-speed -0 -60 -60 -Connector_PCBEdge -Samtec_MECF-30-02-L-DV-WT_2x30_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 30 contacts (polarized) -conn samtec card-edge high-speed -0 -60 -58 -Connector_PCBEdge -Samtec_MECF-30-02-L-DV_2x30_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 30 contacts (polarized) -conn samtec card-edge high-speed -0 -58 -58 -Connector_PCBEdge -Samtec_MECF-30-02-NP-L-DV-WT_2x30_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 30 contacts (not polarized) -conn samtec card-edge high-speed -0 -62 -60 -Connector_PCBEdge -Samtec_MECF-30-02-NP-L-DV_2x30_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 30 contacts (not polarized) -conn samtec card-edge high-speed -0 -60 -60 -Connector_PCBEdge -Samtec_MECF-40-0_-L-DV_2x40_P1.27mm_Polarized_Edge -Highspeed card edge connector for PCB's with 40 contacts (polarized) -conn samtec card-edge high-speed -0 -78 -78 -Connector_PCBEdge -Samtec_MECF-40-0_-NP-L-DV_2x40_P1.27mm_Edge -Highspeed card edge connector for PCB's with 40 contacts (not polarized) -conn samtec card-edge high-speed -0 -80 -80 -Connector_PCBEdge -Samtec_MECF-40-01-L-DV-WT_2x40_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 40 contacts (polarized) -conn samtec card-edge high-speed -0 -80 -78 -Connector_PCBEdge -Samtec_MECF-40-01-L-DV_2x40_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 40 contacts (polarized) -conn samtec card-edge high-speed -0 -78 -78 -Connector_PCBEdge -Samtec_MECF-40-01-NP-L-DV-WT_2x40_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 40 contacts (not polarized) -conn samtec card-edge high-speed -0 -82 -80 -Connector_PCBEdge -Samtec_MECF-40-01-NP-L-DV_2x40_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 40 contacts (not polarized) -conn samtec card-edge high-speed -0 -80 -80 -Connector_PCBEdge -Samtec_MECF-40-02-L-DV-WT_2x40_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 40 contacts (polarized) -conn samtec card-edge high-speed -0 -80 -78 -Connector_PCBEdge -Samtec_MECF-40-02-L-DV_2x40_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 40 contacts (polarized) -conn samtec card-edge high-speed -0 -78 -78 -Connector_PCBEdge -Samtec_MECF-40-02-NP-L-DV-WT_2x40_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 40 contacts (not polarized) -conn samtec card-edge high-speed -0 -82 -80 -Connector_PCBEdge -Samtec_MECF-40-02-NP-L-DV_2x40_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 40 contacts (not polarized) -conn samtec card-edge high-speed -0 -80 -80 -Connector_PCBEdge -Samtec_MECF-50-0_-L-DV_2x50_P1.27mm_Polarized_Edge -Highspeed card edge connector for PCB's with 50 contacts (polarized) -conn samtec card-edge high-speed -0 -98 -98 -Connector_PCBEdge -Samtec_MECF-50-0_-NP-L-DV_2x50_P1.27mm_Edge -Highspeed card edge connector for PCB's with 50 contacts (not polarized) -conn samtec card-edge high-speed -0 -100 -100 -Connector_PCBEdge -Samtec_MECF-50-01-L-DV-WT_2x50_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 50 contacts (polarized) -conn samtec card-edge high-speed -0 -100 -98 -Connector_PCBEdge -Samtec_MECF-50-01-L-DV_2x50_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 50 contacts (polarized) -conn samtec card-edge high-speed -0 -98 -98 -Connector_PCBEdge -Samtec_MECF-50-01-NP-L-DV-WT_2x50_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 50 contacts (not polarized) -conn samtec card-edge high-speed -0 -102 -100 -Connector_PCBEdge -Samtec_MECF-50-01-NP-L-DV_2x50_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 50 contacts (not polarized) -conn samtec card-edge high-speed -0 -100 -100 -Connector_PCBEdge -Samtec_MECF-50-02-L-DV-WT_2x50_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 50 contacts (polarized) -conn samtec card-edge high-speed -0 -100 -98 -Connector_PCBEdge -Samtec_MECF-50-02-L-DV_2x50_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 50 contacts (polarized) -conn samtec card-edge high-speed -0 -98 -98 -Connector_PCBEdge -Samtec_MECF-50-02-NP-L-DV-WT_2x50_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 50 contacts (not polarized) -conn samtec card-edge high-speed -0 -102 -100 -Connector_PCBEdge -Samtec_MECF-50-02-NP-L-DV_2x50_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 50 contacts (not polarized) -conn samtec card-edge high-speed -0 -100 -100 -Connector_PCBEdge -Samtec_MECF-60-0_-L-DV_2x60_P1.27mm_Polarized_Edge -Highspeed card edge connector for PCB's with 60 contacts (polarized) -conn samtec card-edge high-speed -0 -116 -116 -Connector_PCBEdge -Samtec_MECF-60-0_-NP-L-DV_2x60_P1.27mm_Edge -Highspeed card edge connector for PCB's with 60 contacts (not polarized) -conn samtec card-edge high-speed -0 -120 -120 -Connector_PCBEdge -Samtec_MECF-60-01-L-DV-WT_2x60_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 60 contacts (polarized) -conn samtec card-edge high-speed -0 -118 -116 -Connector_PCBEdge -Samtec_MECF-60-01-L-DV_2x60_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 60 contacts (polarized) -conn samtec card-edge high-speed -0 -116 -116 -Connector_PCBEdge -Samtec_MECF-60-01-NP-L-DV-WT_2x60_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 60 contacts (not polarized) -conn samtec card-edge high-speed -0 -122 -120 -Connector_PCBEdge -Samtec_MECF-60-01-NP-L-DV_2x60_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 60 contacts (not polarized) -conn samtec card-edge high-speed -0 -120 -120 -Connector_PCBEdge -Samtec_MECF-60-02-L-DV-WT_2x60_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 60 contacts (polarized) -conn samtec card-edge high-speed -0 -118 -116 -Connector_PCBEdge -Samtec_MECF-60-02-L-DV_2x60_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 60 contacts (polarized) -conn samtec card-edge high-speed -0 -116 -116 -Connector_PCBEdge -Samtec_MECF-60-02-NP-L-DV-WT_2x60_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 60 contacts (not polarized) -conn samtec card-edge high-speed -0 -122 -120 -Connector_PCBEdge -Samtec_MECF-60-02-NP-L-DV_2x60_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 60 contacts (not polarized) -conn samtec card-edge high-speed -0 -120 -120 -Connector_PCBEdge -Samtec_MECF-70-0_-L-DV_2x70_P1.27mm_Polarized_Edge -Highspeed card edge connector for PCB's with 70 contacts (polarized) -conn samtec card-edge high-speed -0 -136 -136 -Connector_PCBEdge -Samtec_MECF-70-0_-NP-L-DV_2x70_P1.27mm_Edge -Highspeed card edge connector for PCB's with 70 contacts (not polarized) -conn samtec card-edge high-speed -0 -140 -140 -Connector_PCBEdge -Samtec_MECF-70-01-L-DV-WT_2x70_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 70 contacts (polarized) -conn samtec card-edge high-speed -0 -138 -136 -Connector_PCBEdge -Samtec_MECF-70-01-L-DV_2x70_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 70 contacts (polarized) -conn samtec card-edge high-speed -0 -136 -136 -Connector_PCBEdge -Samtec_MECF-70-01-NP-L-DV-WT_2x70_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 70 contacts (not polarized) -conn samtec card-edge high-speed -0 -142 -140 -Connector_PCBEdge -Samtec_MECF-70-01-NP-L-DV_2x70_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 1.6mm PCB's with 70 contacts (not polarized) -conn samtec card-edge high-speed -0 -140 -140 -Connector_PCBEdge -Samtec_MECF-70-02-L-DV-WT_2x70_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 70 contacts (polarized) -conn samtec card-edge high-speed -0 -138 -136 -Connector_PCBEdge -Samtec_MECF-70-02-L-DV_2x70_P1.27mm_Polarized_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 70 contacts (polarized) -conn samtec card-edge high-speed -0 -136 -136 -Connector_PCBEdge -Samtec_MECF-70-02-NP-L-DV-WT_2x70_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 70 contacts (not polarized) -conn samtec card-edge high-speed -0 -142 -140 -Connector_PCBEdge -Samtec_MECF-70-02-NP-L-DV_2x70_P1.27mm_Socket_Horizontal -Highspeed card edge connector for 2.4mm PCB's with 70 contacts (not polarized) -conn samtec card-edge high-speed -0 -140 -140 -Connector_PCBEdge -molex_EDGELOCK_2-CKT -https://www.molex.com/pdm_docs/sd/2008900106_sd.pdf -Connector PCBEdge molex EDGELOCK -0 -2 -2 -Connector_PCBEdge -molex_EDGELOCK_4-CKT -https://www.molex.com/pdm_docs/sd/2008900106_sd.pdf -Connector PCBEdge molex EDGELOCK -0 -4 -4 -Connector_PCBEdge -molex_EDGELOCK_6-CKT -https://www.molex.com/pdm_docs/sd/2008900106_sd.pdf -Connector PCBEdge molex EDGELOCK -0 -6 -6 -Connector_PCBEdge -molex_EDGELOCK_8-CKT -https://www.molex.com/pdm_docs/sd/2008900106_sd.pdf -Connector PCBEdge molex EDGELOCK -0 -8 -8 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_2-G-7,62_1x02_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/2-G-7,62; number of pins: 02; pin pitch: 7.62mm; Angled || order number: 1766233 12A 630V -phoenix_contact connector GMSTBA_01x02_G_7.62mm -0 -2 -2 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_2-G_1x02_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/2-G; number of pins: 02; pin pitch: 7.50mm; Angled || order number: 1766343 12A 630V -phoenix_contact connector GMSTBA_01x02_G_7.50mm -0 -2 -2 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_3-G-7,62_1x03_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/3-G-7,62; number of pins: 03; pin pitch: 7.62mm; Angled || order number: 1766246 12A 630V -phoenix_contact connector GMSTBA_01x03_G_7.62mm -0 -3 -3 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_3-G_1x03_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/3-G; number of pins: 03; pin pitch: 7.50mm; Angled || order number: 1766356 12A 630V -phoenix_contact connector GMSTBA_01x03_G_7.50mm -0 -3 -3 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_4-G-7,62_1x04_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/4-G-7,62; number of pins: 04; pin pitch: 7.62mm; Angled || order number: 1766259 12A 630V -phoenix_contact connector GMSTBA_01x04_G_7.62mm -0 -4 -4 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_4-G_1x04_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/4-G; number of pins: 04; pin pitch: 7.50mm; Angled || order number: 1766369 12A 630V -phoenix_contact connector GMSTBA_01x04_G_7.50mm -0 -4 -4 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_5-G-7,62_1x05_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/5-G-7,62; number of pins: 05; pin pitch: 7.62mm; Angled || order number: 1766262 12A 630V -phoenix_contact connector GMSTBA_01x05_G_7.62mm -0 -5 -5 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_5-G_1x05_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/5-G; number of pins: 05; pin pitch: 7.50mm; Angled || order number: 1766372 12A 630V -phoenix_contact connector GMSTBA_01x05_G_7.50mm -0 -5 -5 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_6-G-7,62_1x06_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/6-G-7,62; number of pins: 06; pin pitch: 7.62mm; Angled || order number: 1766275 12A 630V -phoenix_contact connector GMSTBA_01x06_G_7.62mm -0 -6 -6 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_6-G_1x06_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/6-G; number of pins: 06; pin pitch: 7.50mm; Angled || order number: 1766385 12A 630V -phoenix_contact connector GMSTBA_01x06_G_7.50mm -0 -6 -6 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_7-G-7,62_1x07_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/7-G-7,62; number of pins: 07; pin pitch: 7.62mm; Angled || order number: 1766288 12A 630V -phoenix_contact connector GMSTBA_01x07_G_7.62mm -0 -7 -7 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_7-G_1x07_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/7-G; number of pins: 07; pin pitch: 7.50mm; Angled || order number: 1766398 12A 630V -phoenix_contact connector GMSTBA_01x07_G_7.50mm -0 -7 -7 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_8-G-7,62_1x08_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/8-G-7,62; number of pins: 08; pin pitch: 7.62mm; Angled || order number: 1766291 12A 630V -phoenix_contact connector GMSTBA_01x08_G_7.62mm -0 -8 -8 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_8-G_1x08_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/8-G; number of pins: 08; pin pitch: 7.50mm; Angled || order number: 1766408 12A 630V -phoenix_contact connector GMSTBA_01x08_G_7.50mm -0 -8 -8 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_9-G-7,62_1x09_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/9-G-7,62; number of pins: 09; pin pitch: 7.62mm; Angled || order number: 1766301 12A 630V -phoenix_contact connector GMSTBA_01x09_G_7.62mm -0 -9 -9 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_9-G_1x09_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/9-G; number of pins: 09; pin pitch: 7.50mm; Angled || order number: 1766411 12A 630V -phoenix_contact connector GMSTBA_01x09_G_7.50mm -0 -9 -9 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_10-G-7,62_1x10_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/10-G-7,62; number of pins: 10; pin pitch: 7.62mm; Angled || order number: 1766314 12A 630V -phoenix_contact connector GMSTBA_01x10_G_7.62mm -0 -10 -10 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_10-G_1x10_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/10-G; number of pins: 10; pin pitch: 7.50mm; Angled || order number: 1766424 12A 630V -phoenix_contact connector GMSTBA_01x10_G_7.50mm -0 -10 -10 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_11-G-7,62_1x11_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/11-G-7,62; number of pins: 11; pin pitch: 7.62mm; Angled || order number: 1766327 12A 630V -phoenix_contact connector GMSTBA_01x11_G_7.62mm -0 -11 -11 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_11-G_1x11_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/11-G; number of pins: 11; pin pitch: 7.50mm; Angled || order number: 1766437 12A 630V -phoenix_contact connector GMSTBA_01x11_G_7.50mm -0 -11 -11 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_12-G-7,62_1x12_P7.62mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/12-G-7,62; number of pins: 12; pin pitch: 7.62mm; Angled || order number: 1766330 12A 630V -phoenix_contact connector GMSTBA_01x12_G_7.62mm -0 -12 -12 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBA_2,5_12-G_1x12_P7.50mm_Horizontal -Generic Phoenix Contact connector footprint for: GMSTBA_2,5/12-G; number of pins: 12; pin pitch: 7.50mm; Angled || order number: 1766440 12A 630V -phoenix_contact connector GMSTBA_01x12_G_7.50mm -0 -12 -12 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_2-G-7,62_1x02_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/2-G-7,62; number of pins: 02; pin pitch: 7.62mm; Vertical || order number: 1766770 12A 630V -phoenix_contact connector GMSTBVA_01x02_G_7.62mm -0 -2 -2 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_2-G_1x02_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/2-G; number of pins: 02; pin pitch: 7.50mm; Vertical || order number: 1766660 12A 630V -phoenix_contact connector GMSTBVA_01x02_G_7.50mm -0 -2 -2 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_3-G-7,62_1x03_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/3-G-7,62; number of pins: 03; pin pitch: 7.62mm; Vertical || order number: 1766783 12A 630V -phoenix_contact connector GMSTBVA_01x03_G_7.62mm -0 -3 -3 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_3-G_1x03_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/3-G; number of pins: 03; pin pitch: 7.50mm; Vertical || order number: 1766673 12A 630V -phoenix_contact connector GMSTBVA_01x03_G_7.50mm -0 -3 -3 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_4-G-7,62_1x04_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/4-G-7,62; number of pins: 04; pin pitch: 7.62mm; Vertical || order number: 1766796 12A 630V -phoenix_contact connector GMSTBVA_01x04_G_7.62mm -0 -4 -4 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_4-G_1x04_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/4-G; number of pins: 04; pin pitch: 7.50mm; Vertical || order number: 1766686 12A 630V -phoenix_contact connector GMSTBVA_01x04_G_7.50mm -0 -4 -4 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_5-G-7,62_1x05_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/5-G-7,62; number of pins: 05; pin pitch: 7.62mm; Vertical || order number: 1766806 12A 630V -phoenix_contact connector GMSTBVA_01x05_G_7.62mm -0 -5 -5 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_5-G_1x05_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/5-G; number of pins: 05; pin pitch: 7.50mm; Vertical || order number: 1766699 12A 630V -phoenix_contact connector GMSTBVA_01x05_G_7.50mm -0 -5 -5 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_6-G-7,62_1x06_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/6-G-7,62; number of pins: 06; pin pitch: 7.62mm; Vertical || order number: 1766819 12A 630V -phoenix_contact connector GMSTBVA_01x06_G_7.62mm -0 -6 -6 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_6-G_1x06_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/6-G; number of pins: 06; pin pitch: 7.50mm; Vertical || order number: 1766709 12A 630V -phoenix_contact connector GMSTBVA_01x06_G_7.50mm -0 -6 -6 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_7-G-7,62_1x07_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/7-G-7,62; number of pins: 07; pin pitch: 7.62mm; Vertical || order number: 1766822 12A 630V -phoenix_contact connector GMSTBVA_01x07_G_7.62mm -0 -7 -7 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_7-G_1x07_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/7-G; number of pins: 07; pin pitch: 7.50mm; Vertical || order number: 1766712 12A 630V -phoenix_contact connector GMSTBVA_01x07_G_7.50mm -0 -7 -7 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_8-G-7,62_1x08_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/8-G-7,62; number of pins: 08; pin pitch: 7.62mm; Vertical || order number: 1766835 12A 630V -phoenix_contact connector GMSTBVA_01x08_G_7.62mm -0 -8 -8 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_8-G_1x08_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/8-G; number of pins: 08; pin pitch: 7.50mm; Vertical || order number: 1766725 12A 630V -phoenix_contact connector GMSTBVA_01x08_G_7.50mm -0 -8 -8 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_9-G-7,62_1x09_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/9-G-7,62; number of pins: 09; pin pitch: 7.62mm; Vertical || order number: 1766848 12A 630V -phoenix_contact connector GMSTBVA_01x09_G_7.62mm -0 -9 -9 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_9-G_1x09_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/9-G; number of pins: 09; pin pitch: 7.50mm; Vertical || order number: 1766738 12A 630V -phoenix_contact connector GMSTBVA_01x09_G_7.50mm -0 -9 -9 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_10-G-7,62_1x10_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/10-G-7,62; number of pins: 10; pin pitch: 7.62mm; Vertical || order number: 1766851 12A 630V -phoenix_contact connector GMSTBVA_01x10_G_7.62mm -0 -10 -10 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_10-G_1x10_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/10-G; number of pins: 10; pin pitch: 7.50mm; Vertical || order number: 1766741 12A 630V -phoenix_contact connector GMSTBVA_01x10_G_7.50mm -0 -10 -10 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_11-G-7,62_1x11_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/11-G-7,62; number of pins: 11; pin pitch: 7.62mm; Vertical || order number: 1766864 12A 630V -phoenix_contact connector GMSTBVA_01x11_G_7.62mm -0 -11 -11 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_11-G_1x11_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/11-G; number of pins: 11; pin pitch: 7.50mm; Vertical || order number: 1766754 12A 630V -phoenix_contact connector GMSTBVA_01x11_G_7.50mm -0 -11 -11 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_12-G-7,62_1x12_P7.62mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/12-G-7,62; number of pins: 12; pin pitch: 7.62mm; Vertical || order number: 1766877 12A 630V -phoenix_contact connector GMSTBVA_01x12_G_7.62mm -0 -12 -12 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBVA_2,5_12-G_1x12_P7.50mm_Vertical -Generic Phoenix Contact connector footprint for: GMSTBVA_2,5/12-G; number of pins: 12; pin pitch: 7.50mm; Vertical || order number: 1766767 12A 630V -phoenix_contact connector GMSTBVA_01x12_G_7.50mm -0 -12 -12 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_2-GF-7,62_1x02_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/2-GF-7,62; number of pins: 02; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829154 12A 630V -phoenix_contact connector GMSTBV_01x02_GF_7.62mm -0 -2 -2 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_2-GF-7,62_1x02_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/2-GF-7,62; number of pins: 02; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829154 12A 630V -phoenix_contact connector GMSTBV_01x02_GF_7.62mm_MH -0 -2 -2 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_3-GF-7,62_1x03_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/3-GF-7,62; number of pins: 03; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829167 12A 630V -phoenix_contact connector GMSTBV_01x03_GF_7.62mm -0 -3 -3 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_3-GF-7,62_1x03_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/3-GF-7,62; number of pins: 03; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829167 12A 630V -phoenix_contact connector GMSTBV_01x03_GF_7.62mm_MH -0 -3 -3 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_4-GF-7,62_1x04_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/4-GF-7,62; number of pins: 04; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829170 12A 630V -phoenix_contact connector GMSTBV_01x04_GF_7.62mm -0 -4 -4 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_4-GF-7,62_1x04_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/4-GF-7,62; number of pins: 04; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829170 12A 630V -phoenix_contact connector GMSTBV_01x04_GF_7.62mm_MH -0 -4 -4 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_5-GF-7,62_1x05_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/5-GF-7,62; number of pins: 05; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829183 12A 630V -phoenix_contact connector GMSTBV_01x05_GF_7.62mm -0 -5 -5 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_5-GF-7,62_1x05_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/5-GF-7,62; number of pins: 05; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829183 12A 630V -phoenix_contact connector GMSTBV_01x05_GF_7.62mm_MH -0 -5 -5 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_6-GF-7,62_1x06_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/6-GF-7,62; number of pins: 06; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829196 12A 630V -phoenix_contact connector GMSTBV_01x06_GF_7.62mm -0 -6 -6 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_6-GF-7,62_1x06_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/6-GF-7,62; number of pins: 06; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829196 12A 630V -phoenix_contact connector GMSTBV_01x06_GF_7.62mm_MH -0 -6 -6 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_7-GF-7,62_1x07_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/7-GF-7,62; number of pins: 07; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829206 12A 630V -phoenix_contact connector GMSTBV_01x07_GF_7.62mm -0 -7 -7 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_7-GF-7,62_1x07_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/7-GF-7,62; number of pins: 07; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829206 12A 630V -phoenix_contact connector GMSTBV_01x07_GF_7.62mm_MH -0 -7 -7 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_8-GF-7,62_1x08_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/8-GF-7,62; number of pins: 08; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829219 12A 630V -phoenix_contact connector GMSTBV_01x08_GF_7.62mm -0 -8 -8 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_8-GF-7,62_1x08_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/8-GF-7,62; number of pins: 08; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829219 12A 630V -phoenix_contact connector GMSTBV_01x08_GF_7.62mm_MH -0 -8 -8 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_9-GF-7,62_1x09_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/9-GF-7,62; number of pins: 09; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829222 12A 630V -phoenix_contact connector GMSTBV_01x09_GF_7.62mm -0 -9 -9 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_9-GF-7,62_1x09_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/9-GF-7,62; number of pins: 09; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829222 12A 630V -phoenix_contact connector GMSTBV_01x09_GF_7.62mm_MH -0 -9 -9 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_10-GF-7,62_1x10_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/10-GF-7,62; number of pins: 10; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829235 12A 630V -phoenix_contact connector GMSTBV_01x10_GF_7.62mm -0 -10 -10 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_10-GF-7,62_1x10_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/10-GF-7,62; number of pins: 10; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829235 12A 630V -phoenix_contact connector GMSTBV_01x10_GF_7.62mm_MH -0 -10 -10 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_11-GF-7,62_1x11_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/11-GF-7,62; number of pins: 11; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829248 12A 630V -phoenix_contact connector GMSTBV_01x11_GF_7.62mm -0 -11 -11 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_11-GF-7,62_1x11_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/11-GF-7,62; number of pins: 11; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829248 12A 630V -phoenix_contact connector GMSTBV_01x11_GF_7.62mm_MH -0 -11 -11 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_12-GF-7,62_1x12_P7.62mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/12-GF-7,62; number of pins: 12; pin pitch: 7.62mm; Vertical; threaded flange || order number: 1829251 12A 630V -phoenix_contact connector GMSTBV_01x12_GF_7.62mm -0 -12 -12 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTBV_2,5_12-GF-7,62_1x12_P7.62mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTBV_2,5/12-GF-7,62; number of pins: 12; pin pitch: 7.62mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1829251 12A 630V -phoenix_contact connector GMSTBV_01x12_GF_7.62mm_MH -0 -12 -12 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_2-GF-7,62_1x02_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/2-GF-7,62; number of pins: 02; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806229 12A 630V -phoenix_contact connector GMSTB_01x02_GF_7.62mm -0 -2 -2 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_2-GF-7,62_1x02_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/2-GF-7,62; number of pins: 02; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806229 12A 630V -phoenix_contact connector GMSTB_01x02_GF_7.62mm_MH -0 -2 -2 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_3-GF-7,62_1x03_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/3-GF-7,62; number of pins: 03; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806232 12A 630V -phoenix_contact connector GMSTB_01x03_GF_7.62mm -0 -3 -3 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_3-GF-7,62_1x03_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/3-GF-7,62; number of pins: 03; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806232 12A 630V -phoenix_contact connector GMSTB_01x03_GF_7.62mm_MH -0 -3 -3 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_4-GF-7,62_1x04_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/4-GF-7,62; number of pins: 04; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806245 12A 630V -phoenix_contact connector GMSTB_01x04_GF_7.62mm -0 -4 -4 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_4-GF-7,62_1x04_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/4-GF-7,62; number of pins: 04; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806245 12A 630V -phoenix_contact connector GMSTB_01x04_GF_7.62mm_MH -0 -4 -4 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_5-GF-7,62_1x05_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/5-GF-7,62; number of pins: 05; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806258 12A 630V -phoenix_contact connector GMSTB_01x05_GF_7.62mm -0 -5 -5 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_5-GF-7,62_1x05_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/5-GF-7,62; number of pins: 05; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806258 12A 630V -phoenix_contact connector GMSTB_01x05_GF_7.62mm_MH -0 -5 -5 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_6-GF-7,62_1x06_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/6-GF-7,62; number of pins: 06; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806261 12A 630V -phoenix_contact connector GMSTB_01x06_GF_7.62mm -0 -6 -6 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_6-GF-7,62_1x06_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/6-GF-7,62; number of pins: 06; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806261 12A 630V -phoenix_contact connector GMSTB_01x06_GF_7.62mm_MH -0 -6 -6 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_7-GF-7,62_1x07_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/7-GF-7,62; number of pins: 07; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806274 12A 630V -phoenix_contact connector GMSTB_01x07_GF_7.62mm -0 -7 -7 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_7-GF-7,62_1x07_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/7-GF-7,62; number of pins: 07; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806274 12A 630V -phoenix_contact connector GMSTB_01x07_GF_7.62mm_MH -0 -7 -7 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_8-GF-7,62_1x08_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/8-GF-7,62; number of pins: 08; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806287 12A 630V -phoenix_contact connector GMSTB_01x08_GF_7.62mm -0 -8 -8 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_8-GF-7,62_1x08_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/8-GF-7,62; number of pins: 08; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806287 12A 630V -phoenix_contact connector GMSTB_01x08_GF_7.62mm_MH -0 -8 -8 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_9-GF-7,62_1x09_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/9-GF-7,62; number of pins: 09; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806290 12A 630V -phoenix_contact connector GMSTB_01x09_GF_7.62mm -0 -9 -9 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_9-GF-7,62_1x09_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/9-GF-7,62; number of pins: 09; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806290 12A 630V -phoenix_contact connector GMSTB_01x09_GF_7.62mm_MH -0 -9 -9 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_10-GF-7,62_1x10_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/10-GF-7,62; number of pins: 10; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806300 12A 630V -phoenix_contact connector GMSTB_01x10_GF_7.62mm -0 -10 -10 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_10-GF-7,62_1x10_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/10-GF-7,62; number of pins: 10; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806300 12A 630V -phoenix_contact connector GMSTB_01x10_GF_7.62mm_MH -0 -10 -10 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_11-GF-7,62_1x11_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/11-GF-7,62; number of pins: 11; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806313 12A 630V -phoenix_contact connector GMSTB_01x11_GF_7.62mm -0 -11 -11 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_11-GF-7,62_1x11_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/11-GF-7,62; number of pins: 11; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806313 12A 630V -phoenix_contact connector GMSTB_01x11_GF_7.62mm_MH -0 -11 -11 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_12-GF-7,62_1x12_P7.62mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: GMSTB_2,5/12-GF-7,62; number of pins: 12; pin pitch: 7.62mm; Angled; threaded flange || order number: 1806326 12A 630V -phoenix_contact connector GMSTB_01x12_GF_7.62mm -0 -12 -12 -Connector_Phoenix_GMSTB -PhoenixContact_GMSTB_2,5_12-GF-7,62_1x12_P7.62mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: GMSTB_2,5/12-GF-7,62; number of pins: 12; pin pitch: 7.62mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1806326 12A 630V -phoenix_contact connector GMSTB_01x12_GF_7.62mm_MH -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_2-G-3.5_1x02_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/2-G-3.5; number of pins: 02; pin pitch: 3.50mm; Vertical || order number: 1843606 8A 160V -phoenix_contact connector MCV_01x02_G_3.5mm -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_2-G-3.81_1x02_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/2-G-3.81; number of pins: 02; pin pitch: 3.81mm; Vertical || order number: 1803426 8A 160V -phoenix_contact connector MCV_01x02_G_3.81mm -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_2-GF-3.5_1x02_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/2-GF-3.5; number of pins: 02; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843224 8A 160V -phoenix_contact connector MCV_01x02_GF_3.5mm -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_2-GF-3.5_1x02_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/2-GF-3.5; number of pins: 02; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843224 8A 160V -phoenix_contact connector MCV_01x02_GF_3.5mm_MH -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_2-GF-3.81_1x02_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/2-GF-3.81; number of pins: 02; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830596 8A 160V -phoenix_contact connector MCV_01x02_GF_3.81mm -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_2-GF-3.81_1x02_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/2-GF-3.81; number of pins: 02; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830596 8A 160V -phoenix_contact connector MCV_01x02_GF_3.81mm_MH -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_3-G-3.5_1x03_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/3-G-3.5; number of pins: 03; pin pitch: 3.50mm; Vertical || order number: 1843619 8A 160V -phoenix_contact connector MCV_01x03_G_3.5mm -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_3-G-3.81_1x03_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/3-G-3.81; number of pins: 03; pin pitch: 3.81mm; Vertical || order number: 1803439 8A 160V -phoenix_contact connector MCV_01x03_G_3.81mm -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_3-GF-3.5_1x03_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/3-GF-3.5; number of pins: 03; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843237 8A 160V -phoenix_contact connector MCV_01x03_GF_3.5mm -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_3-GF-3.5_1x03_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/3-GF-3.5; number of pins: 03; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843237 8A 160V -phoenix_contact connector MCV_01x03_GF_3.5mm_MH -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_3-GF-3.81_1x03_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/3-GF-3.81; number of pins: 03; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830606 8A 160V -phoenix_contact connector MCV_01x03_GF_3.81mm -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_3-GF-3.81_1x03_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/3-GF-3.81; number of pins: 03; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830606 8A 160V -phoenix_contact connector MCV_01x03_GF_3.81mm_MH -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_4-G-3.5_1x04_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/4-G-3.5; number of pins: 04; pin pitch: 3.50mm; Vertical || order number: 1843622 8A 160V -phoenix_contact connector MCV_01x04_G_3.5mm -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_4-G-3.81_1x04_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/4-G-3.81; number of pins: 04; pin pitch: 3.81mm; Vertical || order number: 1803442 8A 160V -phoenix_contact connector MCV_01x04_G_3.81mm -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_4-GF-3.5_1x04_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/4-GF-3.5; number of pins: 04; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843240 8A 160V -phoenix_contact connector MCV_01x04_GF_3.5mm -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_4-GF-3.5_1x04_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/4-GF-3.5; number of pins: 04; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843240 8A 160V -phoenix_contact connector MCV_01x04_GF_3.5mm_MH -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_4-GF-3.81_1x04_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/4-GF-3.81; number of pins: 04; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830619 8A 160V -phoenix_contact connector MCV_01x04_GF_3.81mm -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_4-GF-3.81_1x04_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/4-GF-3.81; number of pins: 04; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830619 8A 160V -phoenix_contact connector MCV_01x04_GF_3.81mm_MH -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_5-G-3.5_1x05_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/5-G-3.5; number of pins: 05; pin pitch: 3.50mm; Vertical || order number: 1843635 8A 160V -phoenix_contact connector MCV_01x05_G_3.5mm -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_5-G-3.81_1x05_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/5-G-3.81; number of pins: 05; pin pitch: 3.81mm; Vertical || order number: 1803455 8A 160V -phoenix_contact connector MCV_01x05_G_3.81mm -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_5-GF-3.5_1x05_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/5-GF-3.5; number of pins: 05; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843253 8A 160V -phoenix_contact connector MCV_01x05_GF_3.5mm -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_5-GF-3.5_1x05_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/5-GF-3.5; number of pins: 05; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843253 8A 160V -phoenix_contact connector MCV_01x05_GF_3.5mm_MH -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_5-GF-3.81_1x05_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/5-GF-3.81; number of pins: 05; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830622 8A 160V -phoenix_contact connector MCV_01x05_GF_3.81mm -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_5-GF-3.81_1x05_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/5-GF-3.81; number of pins: 05; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830622 8A 160V -phoenix_contact connector MCV_01x05_GF_3.81mm_MH -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_6-G-3.5_1x06_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/6-G-3.5; number of pins: 06; pin pitch: 3.50mm; Vertical || order number: 1843648 8A 160V -phoenix_contact connector MCV_01x06_G_3.5mm -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_6-G-3.81_1x06_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/6-G-3.81; number of pins: 06; pin pitch: 3.81mm; Vertical || order number: 1803468 8A 160V -phoenix_contact connector MCV_01x06_G_3.81mm -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_6-GF-3.5_1x06_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/6-GF-3.5; number of pins: 06; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843266 8A 160V -phoenix_contact connector MCV_01x06_GF_3.5mm -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_6-GF-3.5_1x06_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/6-GF-3.5; number of pins: 06; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843266 8A 160V -phoenix_contact connector MCV_01x06_GF_3.5mm_MH -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_6-GF-3.81_1x06_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/6-GF-3.81; number of pins: 06; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830635 8A 160V -phoenix_contact connector MCV_01x06_GF_3.81mm -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_6-GF-3.81_1x06_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/6-GF-3.81; number of pins: 06; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830635 8A 160V -phoenix_contact connector MCV_01x06_GF_3.81mm_MH -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_7-G-3.5_1x07_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/7-G-3.5; number of pins: 07; pin pitch: 3.50mm; Vertical || order number: 1843651 8A 160V -phoenix_contact connector MCV_01x07_G_3.5mm -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_7-G-3.81_1x07_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/7-G-3.81; number of pins: 07; pin pitch: 3.81mm; Vertical || order number: 1803471 8A 160V -phoenix_contact connector MCV_01x07_G_3.81mm -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_7-GF-3.5_1x07_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/7-GF-3.5; number of pins: 07; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843279 8A 160V -phoenix_contact connector MCV_01x07_GF_3.5mm -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_7-GF-3.5_1x07_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/7-GF-3.5; number of pins: 07; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843279 8A 160V -phoenix_contact connector MCV_01x07_GF_3.5mm_MH -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_7-GF-3.81_1x07_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/7-GF-3.81; number of pins: 07; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830648 8A 160V -phoenix_contact connector MCV_01x07_GF_3.81mm -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_7-GF-3.81_1x07_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/7-GF-3.81; number of pins: 07; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830648 8A 160V -phoenix_contact connector MCV_01x07_GF_3.81mm_MH -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_8-G-3.5_1x08_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/8-G-3.5; number of pins: 08; pin pitch: 3.50mm; Vertical || order number: 1843664 8A 160V -phoenix_contact connector MCV_01x08_G_3.5mm -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_8-G-3.81_1x08_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/8-G-3.81; number of pins: 08; pin pitch: 3.81mm; Vertical || order number: 1803484 8A 160V -phoenix_contact connector MCV_01x08_G_3.81mm -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_8-GF-3.5_1x08_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/8-GF-3.5; number of pins: 08; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843282 8A 160V -phoenix_contact connector MCV_01x08_GF_3.5mm -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_8-GF-3.5_1x08_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/8-GF-3.5; number of pins: 08; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843282 8A 160V -phoenix_contact connector MCV_01x08_GF_3.5mm_MH -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_8-GF-3.81_1x08_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/8-GF-3.81; number of pins: 08; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830651 8A 160V -phoenix_contact connector MCV_01x08_GF_3.81mm -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_8-GF-3.81_1x08_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/8-GF-3.81; number of pins: 08; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830651 8A 160V -phoenix_contact connector MCV_01x08_GF_3.81mm_MH -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_9-G-3.5_1x09_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/9-G-3.5; number of pins: 09; pin pitch: 3.50mm; Vertical || order number: 1843677 8A 160V -phoenix_contact connector MCV_01x09_G_3.5mm -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_9-G-3.81_1x09_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/9-G-3.81; number of pins: 09; pin pitch: 3.81mm; Vertical || order number: 1803497 8A 160V -phoenix_contact connector MCV_01x09_G_3.81mm -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_9-GF-3.5_1x09_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/9-GF-3.5; number of pins: 09; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843295 8A 160V -phoenix_contact connector MCV_01x09_GF_3.5mm -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_9-GF-3.5_1x09_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/9-GF-3.5; number of pins: 09; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843295 8A 160V -phoenix_contact connector MCV_01x09_GF_3.5mm_MH -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_9-GF-3.81_1x09_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/9-GF-3.81; number of pins: 09; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830664 8A 160V -phoenix_contact connector MCV_01x09_GF_3.81mm -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_9-GF-3.81_1x09_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/9-GF-3.81; number of pins: 09; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830664 8A 160V -phoenix_contact connector MCV_01x09_GF_3.81mm_MH -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_10-G-3.5_1x10_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/10-G-3.5; number of pins: 10; pin pitch: 3.50mm; Vertical || order number: 1843680 8A 160V -phoenix_contact connector MCV_01x10_G_3.5mm -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_10-G-3.81_1x10_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/10-G-3.81; number of pins: 10; pin pitch: 3.81mm; Vertical || order number: 1803507 8A 160V -phoenix_contact connector MCV_01x10_G_3.81mm -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_10-GF-3.5_1x10_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/10-GF-3.5; number of pins: 10; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843305 8A 160V -phoenix_contact connector MCV_01x10_GF_3.5mm -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_10-GF-3.5_1x10_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/10-GF-3.5; number of pins: 10; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843305 8A 160V -phoenix_contact connector MCV_01x10_GF_3.5mm_MH -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_10-GF-3.81_1x10_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/10-GF-3.81; number of pins: 10; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830677 8A 160V -phoenix_contact connector MCV_01x10_GF_3.81mm -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_10-GF-3.81_1x10_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/10-GF-3.81; number of pins: 10; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830677 8A 160V -phoenix_contact connector MCV_01x10_GF_3.81mm_MH -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_11-G-3.5_1x11_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/11-G-3.5; number of pins: 11; pin pitch: 3.50mm; Vertical || order number: 1843693 8A 160V -phoenix_contact connector MCV_01x11_G_3.5mm -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_11-G-3.81_1x11_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/11-G-3.81; number of pins: 11; pin pitch: 3.81mm; Vertical || order number: 1803510 8A 160V -phoenix_contact connector MCV_01x11_G_3.81mm -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_11-GF-3.5_1x11_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/11-GF-3.5; number of pins: 11; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843318 8A 160V -phoenix_contact connector MCV_01x11_GF_3.5mm -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_11-GF-3.5_1x11_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/11-GF-3.5; number of pins: 11; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843318 8A 160V -phoenix_contact connector MCV_01x11_GF_3.5mm_MH -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_11-GF-3.81_1x11_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/11-GF-3.81; number of pins: 11; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830680 8A 160V -phoenix_contact connector MCV_01x11_GF_3.81mm -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_11-GF-3.81_1x11_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/11-GF-3.81; number of pins: 11; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830680 8A 160V -phoenix_contact connector MCV_01x11_GF_3.81mm_MH -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_12-G-3.5_1x12_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/12-G-3.5; number of pins: 12; pin pitch: 3.50mm; Vertical || order number: 1843703 8A 160V -phoenix_contact connector MCV_01x12_G_3.5mm -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_12-G-3.81_1x12_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/12-G-3.81; number of pins: 12; pin pitch: 3.81mm; Vertical || order number: 1803523 8A 160V -phoenix_contact connector MCV_01x12_G_3.81mm -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_12-GF-3.5_1x12_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/12-GF-3.5; number of pins: 12; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843321 8A 160V -phoenix_contact connector MCV_01x12_GF_3.5mm -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_12-GF-3.5_1x12_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/12-GF-3.5; number of pins: 12; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843321 8A 160V -phoenix_contact connector MCV_01x12_GF_3.5mm_MH -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_12-GF-3.81_1x12_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/12-GF-3.81; number of pins: 12; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830693 8A 160V -phoenix_contact connector MCV_01x12_GF_3.81mm -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_12-GF-3.81_1x12_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/12-GF-3.81; number of pins: 12; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830693 8A 160V -phoenix_contact connector MCV_01x12_GF_3.81mm_MH -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_13-G-3.5_1x13_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/13-G-3.5; number of pins: 13; pin pitch: 3.50mm; Vertical || order number: 1843716 8A 160V -phoenix_contact connector MCV_01x13_G_3.5mm -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_13-G-3.81_1x13_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/13-G-3.81; number of pins: 13; pin pitch: 3.81mm; Vertical || order number: 1803536 8A 160V -phoenix_contact connector MCV_01x13_G_3.81mm -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_13-GF-3.5_1x13_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/13-GF-3.5; number of pins: 13; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843334 8A 160V -phoenix_contact connector MCV_01x13_GF_3.5mm -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_13-GF-3.5_1x13_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/13-GF-3.5; number of pins: 13; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843334 8A 160V -phoenix_contact connector MCV_01x13_GF_3.5mm_MH -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_13-GF-3.81_1x13_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/13-GF-3.81; number of pins: 13; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830703 8A 160V -phoenix_contact connector MCV_01x13_GF_3.81mm -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_13-GF-3.81_1x13_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/13-GF-3.81; number of pins: 13; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830703 8A 160V -phoenix_contact connector MCV_01x13_GF_3.81mm_MH -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_14-G-3.5_1x14_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/14-G-3.5; number of pins: 14; pin pitch: 3.50mm; Vertical || order number: 1843729 8A 160V -phoenix_contact connector MCV_01x14_G_3.5mm -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_14-G-3.81_1x14_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/14-G-3.81; number of pins: 14; pin pitch: 3.81mm; Vertical || order number: 1803549 8A 160V -phoenix_contact connector MCV_01x14_G_3.81mm -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_14-GF-3.5_1x14_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/14-GF-3.5; number of pins: 14; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843347 8A 160V -phoenix_contact connector MCV_01x14_GF_3.5mm -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_14-GF-3.5_1x14_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/14-GF-3.5; number of pins: 14; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843347 8A 160V -phoenix_contact connector MCV_01x14_GF_3.5mm_MH -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_14-GF-3.81_1x14_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/14-GF-3.81; number of pins: 14; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830716 8A 160V -phoenix_contact connector MCV_01x14_GF_3.81mm -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_14-GF-3.81_1x14_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/14-GF-3.81; number of pins: 14; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830716 8A 160V -phoenix_contact connector MCV_01x14_GF_3.81mm_MH -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_15-G-3.5_1x15_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/15-G-3.5; number of pins: 15; pin pitch: 3.50mm; Vertical || order number: 1843732 8A 160V -phoenix_contact connector MCV_01x15_G_3.5mm -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_15-G-3.81_1x15_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/15-G-3.81; number of pins: 15; pin pitch: 3.81mm; Vertical || order number: 1803552 8A 160V -phoenix_contact connector MCV_01x15_G_3.81mm -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_15-GF-3.5_1x15_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/15-GF-3.5; number of pins: 15; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843350 8A 160V -phoenix_contact connector MCV_01x15_GF_3.5mm -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_15-GF-3.5_1x15_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/15-GF-3.5; number of pins: 15; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843350 8A 160V -phoenix_contact connector MCV_01x15_GF_3.5mm_MH -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_15-GF-3.81_1x15_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/15-GF-3.81; number of pins: 15; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830729 8A 160V -phoenix_contact connector MCV_01x15_GF_3.81mm -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_15-GF-3.81_1x15_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/15-GF-3.81; number of pins: 15; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830729 8A 160V -phoenix_contact connector MCV_01x15_GF_3.81mm_MH -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_16-G-3.5_1x16_P3.50mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/16-G-3.5; number of pins: 16; pin pitch: 3.50mm; Vertical || order number: 1843745 8A 160V -phoenix_contact connector MCV_01x16_G_3.5mm -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_16-G-3.81_1x16_P3.81mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/16-G-3.81; number of pins: 16; pin pitch: 3.81mm; Vertical || order number: 1803565 8A 160V -phoenix_contact connector MCV_01x16_G_3.81mm -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_16-GF-3.5_1x16_P3.50mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/16-GF-3.5; number of pins: 16; pin pitch: 3.50mm; Vertical; threaded flange || order number: 1843363 8A 160V -phoenix_contact connector MCV_01x16_GF_3.5mm -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_16-GF-3.5_1x16_P3.50mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/16-GF-3.5; number of pins: 16; pin pitch: 3.50mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843363 8A 160V -phoenix_contact connector MCV_01x16_GF_3.5mm_MH -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_16-GF-3.81_1x16_P3.81mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/16-GF-3.81; number of pins: 16; pin pitch: 3.81mm; Vertical; threaded flange || order number: 1830732 8A 160V -phoenix_contact connector MCV_01x16_GF_3.81mm -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MCV_1,5_16-GF-3.81_1x16_P3.81mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/16-GF-3.81; number of pins: 16; pin pitch: 3.81mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1830732 8A 160V -phoenix_contact connector MCV_01x16_GF_3.81mm_MH -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_2-G-3.5_1x02_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/2-G-3.5; number of pins: 02; pin pitch: 3.50mm; Angled || order number: 1844210 8A 160V -phoenix_contact connector MC_01x02_G_3.5mm -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_2-G-3.81_1x02_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/2-G-3.81; number of pins: 02; pin pitch: 3.81mm; Angled || order number: 1803277 8A 160V -phoenix_contact connector MC_01x02_G_3.81mm -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_2-GF-3.5_1x02_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/2-GF-3.5; number of pins: 02; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843790 8A 160V -phoenix_contact connector MC_01x02_GF_3.5mm -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_2-GF-3.5_1x02_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/2-GF-3.5; number of pins: 02; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843790 8A 160V -phoenix_contact connector MC_01x02_GF_3.5mm_MH -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_2-GF-3.81_1x02_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/2-GF-3.81; number of pins: 02; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827868 8A 160V -phoenix_contact connector MC_01x02_GF_3.81mm -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_2-GF-3.81_1x02_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/2-GF-3.81; number of pins: 02; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827868 8A 160V -phoenix_contact connector MC_01x02_GF_3.81mm_MH -0 -2 -2 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_3-G-3.5_1x03_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/3-G-3.5; number of pins: 03; pin pitch: 3.50mm; Angled || order number: 1844223 8A 160V -phoenix_contact connector MC_01x03_G_3.5mm -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_3-G-3.81_1x03_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/3-G-3.81; number of pins: 03; pin pitch: 3.81mm; Angled || order number: 1803280 8A 160V -phoenix_contact connector MC_01x03_G_3.81mm -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_3-GF-3.5_1x03_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/3-GF-3.5; number of pins: 03; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843800 8A 160V -phoenix_contact connector MC_01x03_GF_3.5mm -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_3-GF-3.5_1x03_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/3-GF-3.5; number of pins: 03; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843800 8A 160V -phoenix_contact connector MC_01x03_GF_3.5mm_MH -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_3-GF-3.81_1x03_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/3-GF-3.81; number of pins: 03; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827871 8A 160V -phoenix_contact connector MC_01x03_GF_3.81mm -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_3-GF-3.81_1x03_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/3-GF-3.81; number of pins: 03; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827871 8A 160V -phoenix_contact connector MC_01x03_GF_3.81mm_MH -0 -3 -3 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_4-G-3.5_1x04_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/4-G-3.5; number of pins: 04; pin pitch: 3.50mm; Angled || order number: 1844236 8A 160V -phoenix_contact connector MC_01x04_G_3.5mm -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_4-G-3.81_1x04_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/4-G-3.81; number of pins: 04; pin pitch: 3.81mm; Angled || order number: 1803293 8A 160V -phoenix_contact connector MC_01x04_G_3.81mm -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_4-GF-3.5_1x04_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/4-GF-3.5; number of pins: 04; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843813 8A 160V -phoenix_contact connector MC_01x04_GF_3.5mm -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_4-GF-3.5_1x04_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/4-GF-3.5; number of pins: 04; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843813 8A 160V -phoenix_contact connector MC_01x04_GF_3.5mm_MH -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_4-GF-3.81_1x04_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/4-GF-3.81; number of pins: 04; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827884 8A 160V -phoenix_contact connector MC_01x04_GF_3.81mm -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_4-GF-3.81_1x04_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/4-GF-3.81; number of pins: 04; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827884 8A 160V -phoenix_contact connector MC_01x04_GF_3.81mm_MH -0 -4 -4 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_5-G-3.5_1x05_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/5-G-3.5; number of pins: 05; pin pitch: 3.50mm; Angled || order number: 1844249 8A 160V -phoenix_contact connector MC_01x05_G_3.5mm -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_5-G-3.81_1x05_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/5-G-3.81; number of pins: 05; pin pitch: 3.81mm; Angled || order number: 1803303 8A 160V -phoenix_contact connector MC_01x05_G_3.81mm -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_5-GF-3.5_1x05_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/5-GF-3.5; number of pins: 05; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843826 8A 160V -phoenix_contact connector MC_01x05_GF_3.5mm -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_5-GF-3.5_1x05_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/5-GF-3.5; number of pins: 05; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843826 8A 160V -phoenix_contact connector MC_01x05_GF_3.5mm_MH -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_5-GF-3.81_1x05_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/5-GF-3.81; number of pins: 05; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827897 8A 160V -phoenix_contact connector MC_01x05_GF_3.81mm -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_5-GF-3.81_1x05_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/5-GF-3.81; number of pins: 05; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827897 8A 160V -phoenix_contact connector MC_01x05_GF_3.81mm_MH -0 -5 -5 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_6-G-3.5_1x06_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/6-G-3.5; number of pins: 06; pin pitch: 3.50mm; Angled || order number: 1844252 8A 160V -phoenix_contact connector MC_01x06_G_3.5mm -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_6-G-3.81_1x06_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/6-G-3.81; number of pins: 06; pin pitch: 3.81mm; Angled || order number: 1803316 8A 160V -phoenix_contact connector MC_01x06_G_3.81mm -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_6-GF-3.5_1x06_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/6-GF-3.5; number of pins: 06; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843839 8A 160V -phoenix_contact connector MC_01x06_GF_3.5mm -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_6-GF-3.5_1x06_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/6-GF-3.5; number of pins: 06; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843839 8A 160V -phoenix_contact connector MC_01x06_GF_3.5mm_MH -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_6-GF-3.81_1x06_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/6-GF-3.81; number of pins: 06; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827907 8A 160V -phoenix_contact connector MC_01x06_GF_3.81mm -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_6-GF-3.81_1x06_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/6-GF-3.81; number of pins: 06; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827907 8A 160V -phoenix_contact connector MC_01x06_GF_3.81mm_MH -0 -6 -6 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_7-G-3.5_1x07_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/7-G-3.5; number of pins: 07; pin pitch: 3.50mm; Angled || order number: 1844265 8A 160V -phoenix_contact connector MC_01x07_G_3.5mm -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_7-G-3.81_1x07_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/7-G-3.81; number of pins: 07; pin pitch: 3.81mm; Angled || order number: 1803329 8A 160V -phoenix_contact connector MC_01x07_G_3.81mm -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_7-GF-3.5_1x07_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/7-GF-3.5; number of pins: 07; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843842 8A 160V -phoenix_contact connector MC_01x07_GF_3.5mm -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_7-GF-3.5_1x07_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/7-GF-3.5; number of pins: 07; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843842 8A 160V -phoenix_contact connector MC_01x07_GF_3.5mm_MH -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_7-GF-3.81_1x07_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/7-GF-3.81; number of pins: 07; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827910 8A 160V -phoenix_contact connector MC_01x07_GF_3.81mm -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_7-GF-3.81_1x07_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/7-GF-3.81; number of pins: 07; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827910 8A 160V -phoenix_contact connector MC_01x07_GF_3.81mm_MH -0 -7 -7 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_8-G-3.5_1x08_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/8-G-3.5; number of pins: 08; pin pitch: 3.50mm; Angled || order number: 1844278 8A 160V -phoenix_contact connector MC_01x08_G_3.5mm -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_8-G-3.81_1x08_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/8-G-3.81; number of pins: 08; pin pitch: 3.81mm; Angled || order number: 1803332 8A 160V -phoenix_contact connector MC_01x08_G_3.81mm -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_8-GF-3.5_1x08_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/8-GF-3.5; number of pins: 08; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843855 8A 160V -phoenix_contact connector MC_01x08_GF_3.5mm -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_8-GF-3.5_1x08_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/8-GF-3.5; number of pins: 08; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843855 8A 160V -phoenix_contact connector MC_01x08_GF_3.5mm_MH -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_8-GF-3.81_1x08_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/8-GF-3.81; number of pins: 08; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827923 8A 160V -phoenix_contact connector MC_01x08_GF_3.81mm -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_8-GF-3.81_1x08_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/8-GF-3.81; number of pins: 08; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827923 8A 160V -phoenix_contact connector MC_01x08_GF_3.81mm_MH -0 -8 -8 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_9-G-3.5_1x09_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/9-G-3.5; number of pins: 09; pin pitch: 3.50mm; Angled || order number: 1844281 8A 160V -phoenix_contact connector MC_01x09_G_3.5mm -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_9-G-3.81_1x09_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/9-G-3.81; number of pins: 09; pin pitch: 3.81mm; Angled || order number: 1803345 8A 160V -phoenix_contact connector MC_01x09_G_3.81mm -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_9-GF-3.5_1x09_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/9-GF-3.5; number of pins: 09; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843868 8A 160V -phoenix_contact connector MC_01x09_GF_3.5mm -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_9-GF-3.5_1x09_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/9-GF-3.5; number of pins: 09; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843868 8A 160V -phoenix_contact connector MC_01x09_GF_3.5mm_MH -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_9-GF-3.81_1x09_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/9-GF-3.81; number of pins: 09; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827936 8A 160V -phoenix_contact connector MC_01x09_GF_3.81mm -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_9-GF-3.81_1x09_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/9-GF-3.81; number of pins: 09; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827936 8A 160V -phoenix_contact connector MC_01x09_GF_3.81mm_MH -0 -9 -9 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_10-G-3.5_1x10_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/10-G-3.5; number of pins: 10; pin pitch: 3.50mm; Angled || order number: 1844294 8A 160V -phoenix_contact connector MC_01x10_G_3.5mm -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_10-G-3.81_1x10_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/10-G-3.81; number of pins: 10; pin pitch: 3.81mm; Angled || order number: 1803358 8A 160V -phoenix_contact connector MC_01x10_G_3.81mm -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_10-GF-3.5_1x10_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/10-GF-3.5; number of pins: 10; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843871 8A 160V -phoenix_contact connector MC_01x10_GF_3.5mm -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_10-GF-3.5_1x10_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/10-GF-3.5; number of pins: 10; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843871 8A 160V -phoenix_contact connector MC_01x10_GF_3.5mm_MH -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_10-GF-3.81_1x10_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/10-GF-3.81; number of pins: 10; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827949 8A 160V -phoenix_contact connector MC_01x10_GF_3.81mm -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_10-GF-3.81_1x10_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/10-GF-3.81; number of pins: 10; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827949 8A 160V -phoenix_contact connector MC_01x10_GF_3.81mm_MH -0 -10 -10 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_11-G-3.5_1x11_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/11-G-3.5; number of pins: 11; pin pitch: 3.50mm; Angled || order number: 1844304 8A 160V -phoenix_contact connector MC_01x11_G_3.5mm -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_11-G-3.81_1x11_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/11-G-3.81; number of pins: 11; pin pitch: 3.81mm; Angled || order number: 1803361 8A 160V -phoenix_contact connector MC_01x11_G_3.81mm -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_11-GF-3.5_1x11_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/11-GF-3.5; number of pins: 11; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843884 8A 160V -phoenix_contact connector MC_01x11_GF_3.5mm -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_11-GF-3.5_1x11_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/11-GF-3.5; number of pins: 11; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843884 8A 160V -phoenix_contact connector MC_01x11_GF_3.5mm_MH -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_11-GF-3.81_1x11_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/11-GF-3.81; number of pins: 11; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827952 8A 160V -phoenix_contact connector MC_01x11_GF_3.81mm -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_11-GF-3.81_1x11_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/11-GF-3.81; number of pins: 11; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827952 8A 160V -phoenix_contact connector MC_01x11_GF_3.81mm_MH -0 -11 -11 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_12-G-3.5_1x12_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/12-G-3.5; number of pins: 12; pin pitch: 3.50mm; Angled || order number: 1844317 8A 160V -phoenix_contact connector MC_01x12_G_3.5mm -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_12-G-3.81_1x12_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/12-G-3.81; number of pins: 12; pin pitch: 3.81mm; Angled || order number: 1803374 8A 160V -phoenix_contact connector MC_01x12_G_3.81mm -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_12-GF-3.5_1x12_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/12-GF-3.5; number of pins: 12; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843897 8A 160V -phoenix_contact connector MC_01x12_GF_3.5mm -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_12-GF-3.5_1x12_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/12-GF-3.5; number of pins: 12; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843897 8A 160V -phoenix_contact connector MC_01x12_GF_3.5mm_MH -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_12-GF-3.81_1x12_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/12-GF-3.81; number of pins: 12; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827965 8A 160V -phoenix_contact connector MC_01x12_GF_3.81mm -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_12-GF-3.81_1x12_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/12-GF-3.81; number of pins: 12; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827965 8A 160V -phoenix_contact connector MC_01x12_GF_3.81mm_MH -0 -12 -12 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_13-G-3.5_1x13_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/13-G-3.5; number of pins: 13; pin pitch: 3.50mm; Angled || order number: 1844320 8A 160V -phoenix_contact connector MC_01x13_G_3.5mm -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_13-G-3.81_1x13_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/13-G-3.81; number of pins: 13; pin pitch: 3.81mm; Angled || order number: 1803387 8A 160V -phoenix_contact connector MC_01x13_G_3.81mm -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_13-GF-3.5_1x13_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/13-GF-3.5; number of pins: 13; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843907 8A 160V -phoenix_contact connector MC_01x13_GF_3.5mm -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_13-GF-3.5_1x13_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/13-GF-3.5; number of pins: 13; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843907 8A 160V -phoenix_contact connector MC_01x13_GF_3.5mm_MH -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_13-GF-3.81_1x13_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/13-GF-3.81; number of pins: 13; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827978 8A 160V -phoenix_contact connector MC_01x13_GF_3.81mm -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_13-GF-3.81_1x13_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/13-GF-3.81; number of pins: 13; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827978 8A 160V -phoenix_contact connector MC_01x13_GF_3.81mm_MH -0 -13 -13 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_14-G-3.5_1x14_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/14-G-3.5; number of pins: 14; pin pitch: 3.50mm; Angled || order number: 1844333 8A 160V -phoenix_contact connector MC_01x14_G_3.5mm -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_14-G-3.81_1x14_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/14-G-3.81; number of pins: 14; pin pitch: 3.81mm; Angled || order number: 1803390 8A 160V -phoenix_contact connector MC_01x14_G_3.81mm -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_14-GF-3.5_1x14_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/14-GF-3.5; number of pins: 14; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843910 8A 160V -phoenix_contact connector MC_01x14_GF_3.5mm -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_14-GF-3.5_1x14_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/14-GF-3.5; number of pins: 14; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843910 8A 160V -phoenix_contact connector MC_01x14_GF_3.5mm_MH -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_14-GF-3.81_1x14_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/14-GF-3.81; number of pins: 14; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827981 8A 160V -phoenix_contact connector MC_01x14_GF_3.81mm -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_14-GF-3.81_1x14_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/14-GF-3.81; number of pins: 14; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827981 8A 160V -phoenix_contact connector MC_01x14_GF_3.81mm_MH -0 -14 -14 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_15-G-3.5_1x15_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/15-G-3.5; number of pins: 15; pin pitch: 3.50mm; Angled || order number: 1844346 8A 160V -phoenix_contact connector MC_01x15_G_3.5mm -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_15-G-3.81_1x15_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/15-G-3.81; number of pins: 15; pin pitch: 3.81mm; Angled || order number: 1803400 8A 160V -phoenix_contact connector MC_01x15_G_3.81mm -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_15-GF-3.5_1x15_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/15-GF-3.5; number of pins: 15; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843923 8A 160V -phoenix_contact connector MC_01x15_GF_3.5mm -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_15-GF-3.5_1x15_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/15-GF-3.5; number of pins: 15; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843923 8A 160V -phoenix_contact connector MC_01x15_GF_3.5mm_MH -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_15-GF-3.81_1x15_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/15-GF-3.81; number of pins: 15; pin pitch: 3.81mm; Angled; threaded flange || order number: 1827994 8A 160V -phoenix_contact connector MC_01x15_GF_3.81mm -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_15-GF-3.81_1x15_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/15-GF-3.81; number of pins: 15; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1827994 8A 160V -phoenix_contact connector MC_01x15_GF_3.81mm_MH -0 -15 -15 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_16-G-3.5_1x16_P3.50mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/16-G-3.5; number of pins: 16; pin pitch: 3.50mm; Angled || order number: 1844359 8A 160V -phoenix_contact connector MC_01x16_G_3.5mm -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_16-G-3.81_1x16_P3.81mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/16-G-3.81; number of pins: 16; pin pitch: 3.81mm; Angled || order number: 1803413 8A 160V -phoenix_contact connector MC_01x16_G_3.81mm -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_16-GF-3.5_1x16_P3.50mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/16-GF-3.5; number of pins: 16; pin pitch: 3.50mm; Angled; threaded flange || order number: 1843936 8A 160V -phoenix_contact connector MC_01x16_GF_3.5mm -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_16-GF-3.5_1x16_P3.50mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/16-GF-3.5; number of pins: 16; pin pitch: 3.50mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1843936 8A 160V -phoenix_contact connector MC_01x16_GF_3.5mm_MH -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_16-GF-3.81_1x16_P3.81mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/16-GF-3.81; number of pins: 16; pin pitch: 3.81mm; Angled; threaded flange || order number: 1828003 8A 160V -phoenix_contact connector MC_01x16_GF_3.81mm -0 -16 -16 -Connector_Phoenix_MC -PhoenixContact_MC_1,5_16-GF-3.81_1x16_P3.81mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/16-GF-3.81; number of pins: 16; pin pitch: 3.81mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1828003 8A 160V -phoenix_contact connector MC_01x16_GF_3.81mm_MH -0 -16 -16 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_2-G-5.08_1x02_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/2-G-5.08; number of pins: 02; pin pitch: 5.08mm; Vertical || order number: 1836299 8A 320V -phoenix_contact connector MCV_01x02_G_5.08mm -0 -2 -2 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_2-GF-5.08_1x02_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/2-GF-5.08; number of pins: 02; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847615 8A 320V -phoenix_contact connector MCV_01x02_GF_5.08mm -0 -2 -2 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_2-GF-5.08_1x02_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/2-GF-5.08; number of pins: 02; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847615 8A 320V -phoenix_contact connector MCV_01x02_GF_5.08mm_MH -0 -2 -2 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_3-G-5.08_1x03_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/3-G-5.08; number of pins: 03; pin pitch: 5.08mm; Vertical || order number: 1836309 8A 320V -phoenix_contact connector MCV_01x03_G_5.08mm -0 -3 -3 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_3-GF-5.08_1x03_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/3-GF-5.08; number of pins: 03; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847628 8A 320V -phoenix_contact connector MCV_01x03_GF_5.08mm -0 -3 -3 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_3-GF-5.08_1x03_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/3-GF-5.08; number of pins: 03; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847628 8A 320V -phoenix_contact connector MCV_01x03_GF_5.08mm_MH -0 -3 -3 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_4-G-5.08_1x04_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/4-G-5.08; number of pins: 04; pin pitch: 5.08mm; Vertical || order number: 1836312 8A 320V -phoenix_contact connector MCV_01x04_G_5.08mm -0 -4 -4 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_4-GF-5.08_1x04_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/4-GF-5.08; number of pins: 04; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847631 8A 320V -phoenix_contact connector MCV_01x04_GF_5.08mm -0 -4 -4 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_4-GF-5.08_1x04_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/4-GF-5.08; number of pins: 04; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847631 8A 320V -phoenix_contact connector MCV_01x04_GF_5.08mm_MH -0 -4 -4 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_5-G-5.08_1x05_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/5-G-5.08; number of pins: 05; pin pitch: 5.08mm; Vertical || order number: 1836325 8A 320V -phoenix_contact connector MCV_01x05_G_5.08mm -0 -5 -5 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_5-GF-5.08_1x05_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/5-GF-5.08; number of pins: 05; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847644 8A 320V -phoenix_contact connector MCV_01x05_GF_5.08mm -0 -5 -5 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_5-GF-5.08_1x05_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/5-GF-5.08; number of pins: 05; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847644 8A 320V -phoenix_contact connector MCV_01x05_GF_5.08mm_MH -0 -5 -5 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_6-G-5.08_1x06_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/6-G-5.08; number of pins: 06; pin pitch: 5.08mm; Vertical || order number: 1836338 8A 320V -phoenix_contact connector MCV_01x06_G_5.08mm -0 -6 -6 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_6-GF-5.08_1x06_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/6-GF-5.08; number of pins: 06; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847657 8A 320V -phoenix_contact connector MCV_01x06_GF_5.08mm -0 -6 -6 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_6-GF-5.08_1x06_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/6-GF-5.08; number of pins: 06; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847657 8A 320V -phoenix_contact connector MCV_01x06_GF_5.08mm_MH -0 -6 -6 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_7-G-5.08_1x07_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/7-G-5.08; number of pins: 07; pin pitch: 5.08mm; Vertical || order number: 1836341 8A 320V -phoenix_contact connector MCV_01x07_G_5.08mm -0 -7 -7 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_7-GF-5.08_1x07_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/7-GF-5.08; number of pins: 07; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847660 8A 320V -phoenix_contact connector MCV_01x07_GF_5.08mm -0 -7 -7 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_7-GF-5.08_1x07_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/7-GF-5.08; number of pins: 07; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847660 8A 320V -phoenix_contact connector MCV_01x07_GF_5.08mm_MH -0 -7 -7 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_8-G-5.08_1x08_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/8-G-5.08; number of pins: 08; pin pitch: 5.08mm; Vertical || order number: 1836354 8A 320V -phoenix_contact connector MCV_01x08_G_5.08mm -0 -8 -8 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_8-GF-5.08_1x08_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/8-GF-5.08; number of pins: 08; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847673 8A 320V -phoenix_contact connector MCV_01x08_GF_5.08mm -0 -8 -8 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_8-GF-5.08_1x08_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/8-GF-5.08; number of pins: 08; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847673 8A 320V -phoenix_contact connector MCV_01x08_GF_5.08mm_MH -0 -8 -8 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_9-G-5.08_1x09_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/9-G-5.08; number of pins: 09; pin pitch: 5.08mm; Vertical || order number: 1836367 8A 320V -phoenix_contact connector MCV_01x09_G_5.08mm -0 -9 -9 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_9-GF-5.08_1x09_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/9-GF-5.08; number of pins: 09; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847686 8A 320V -phoenix_contact connector MCV_01x09_GF_5.08mm -0 -9 -9 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_9-GF-5.08_1x09_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/9-GF-5.08; number of pins: 09; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847686 8A 320V -phoenix_contact connector MCV_01x09_GF_5.08mm_MH -0 -9 -9 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_10-G-5.08_1x10_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/10-G-5.08; number of pins: 10; pin pitch: 5.08mm; Vertical || order number: 1836370 8A 320V -phoenix_contact connector MCV_01x10_G_5.08mm -0 -10 -10 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_10-GF-5.08_1x10_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/10-GF-5.08; number of pins: 10; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847699 8A 320V -phoenix_contact connector MCV_01x10_GF_5.08mm -0 -10 -10 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_10-GF-5.08_1x10_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/10-GF-5.08; number of pins: 10; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847699 8A 320V -phoenix_contact connector MCV_01x10_GF_5.08mm_MH -0 -10 -10 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_11-G-5.08_1x11_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/11-G-5.08; number of pins: 11; pin pitch: 5.08mm; Vertical || order number: 1836383 8A 320V -phoenix_contact connector MCV_01x11_G_5.08mm -0 -11 -11 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_11-GF-5.08_1x11_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/11-GF-5.08; number of pins: 11; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847709 8A 320V -phoenix_contact connector MCV_01x11_GF_5.08mm -0 -11 -11 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_11-GF-5.08_1x11_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/11-GF-5.08; number of pins: 11; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847709 8A 320V -phoenix_contact connector MCV_01x11_GF_5.08mm_MH -0 -11 -11 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_12-G-5.08_1x12_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MCV_1,5/12-G-5.08; number of pins: 12; pin pitch: 5.08mm; Vertical || order number: 1836396 8A 320V -phoenix_contact connector MCV_01x12_G_5.08mm -0 -12 -12 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_12-GF-5.08_1x12_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MCV_1,5/12-GF-5.08; number of pins: 12; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1847712 8A 320V -phoenix_contact connector MCV_01x12_GF_5.08mm -0 -12 -12 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MCV_1,5_12-GF-5.08_1x12_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MCV_1,5/12-GF-5.08; number of pins: 12; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847712 8A 320V -phoenix_contact connector MCV_01x12_GF_5.08mm_MH -0 -12 -12 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_2-G-5.08_1x02_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/2-G-5.08; number of pins: 02; pin pitch: 5.08mm; Angled || order number: 1836189 8A 320V -phoenix_contact connector MC_01x02_G_5.08mm -0 -2 -2 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_2-GF-5.08_1x02_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/2-GF-5.08; number of pins: 02; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847466 8A 320V -phoenix_contact connector MC_01x02_GF_5.08mm -0 -2 -2 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_2-GF-5.08_1x02_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/2-GF-5.08; number of pins: 02; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847466 8A 320V -phoenix_contact connector MC_01x02_GF_5.08mm_MH -0 -2 -2 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_3-G-5.08_1x03_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/3-G-5.08; number of pins: 03; pin pitch: 5.08mm; Angled || order number: 1836192 8A 320V -phoenix_contact connector MC_01x03_G_5.08mm -0 -3 -3 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_3-GF-5.08_1x03_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/3-GF-5.08; number of pins: 03; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847479 8A 320V -phoenix_contact connector MC_01x03_GF_5.08mm -0 -3 -3 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_3-GF-5.08_1x03_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/3-GF-5.08; number of pins: 03; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847479 8A 320V -phoenix_contact connector MC_01x03_GF_5.08mm_MH -0 -3 -3 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_4-G-5.08_1x04_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/4-G-5.08; number of pins: 04; pin pitch: 5.08mm; Angled || order number: 1836202 8A 320V -phoenix_contact connector MC_01x04_G_5.08mm -0 -4 -4 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_4-GF-5.08_1x04_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/4-GF-5.08; number of pins: 04; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847482 8A 320V -phoenix_contact connector MC_01x04_GF_5.08mm -0 -4 -4 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_4-GF-5.08_1x04_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/4-GF-5.08; number of pins: 04; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847482 8A 320V -phoenix_contact connector MC_01x04_GF_5.08mm_MH -0 -4 -4 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_5-G-5.08_1x05_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/5-G-5.08; number of pins: 05; pin pitch: 5.08mm; Angled || order number: 1836215 8A 320V -phoenix_contact connector MC_01x05_G_5.08mm -0 -5 -5 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_5-GF-5.08_1x05_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/5-GF-5.08; number of pins: 05; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847495 8A 320V -phoenix_contact connector MC_01x05_GF_5.08mm -0 -5 -5 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_5-GF-5.08_1x05_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/5-GF-5.08; number of pins: 05; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847495 8A 320V -phoenix_contact connector MC_01x05_GF_5.08mm_MH -0 -5 -5 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_6-G-5.08_1x06_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/6-G-5.08; number of pins: 06; pin pitch: 5.08mm; Angled || order number: 1836228 8A 320V -phoenix_contact connector MC_01x06_G_5.08mm -0 -6 -6 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_6-GF-5.08_1x06_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/6-GF-5.08; number of pins: 06; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847505 8A 320V -phoenix_contact connector MC_01x06_GF_5.08mm -0 -6 -6 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_6-GF-5.08_1x06_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/6-GF-5.08; number of pins: 06; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847505 8A 320V -phoenix_contact connector MC_01x06_GF_5.08mm_MH -0 -6 -6 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_7-G-5.08_1x07_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/7-G-5.08; number of pins: 07; pin pitch: 5.08mm; Angled || order number: 1836231 8A 320V -phoenix_contact connector MC_01x07_G_5.08mm -0 -7 -7 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_7-GF-5.08_1x07_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/7-GF-5.08; number of pins: 07; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847518 8A 320V -phoenix_contact connector MC_01x07_GF_5.08mm -0 -7 -7 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_7-GF-5.08_1x07_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/7-GF-5.08; number of pins: 07; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847518 8A 320V -phoenix_contact connector MC_01x07_GF_5.08mm_MH -0 -7 -7 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_8-G-5.08_1x08_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/8-G-5.08; number of pins: 08; pin pitch: 5.08mm; Angled || order number: 1836244 8A 320V -phoenix_contact connector MC_01x08_G_5.08mm -0 -8 -8 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_8-GF-5.08_1x08_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/8-GF-5.08; number of pins: 08; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847521 8A 320V -phoenix_contact connector MC_01x08_GF_5.08mm -0 -8 -8 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_8-GF-5.08_1x08_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/8-GF-5.08; number of pins: 08; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847521 8A 320V -phoenix_contact connector MC_01x08_GF_5.08mm_MH -0 -8 -8 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_9-G-5.08_1x09_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/9-G-5.08; number of pins: 09; pin pitch: 5.08mm; Angled || order number: 1836257 8A 320V -phoenix_contact connector MC_01x09_G_5.08mm -0 -9 -9 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_9-GF-5.08_1x09_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/9-GF-5.08; number of pins: 09; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847534 8A 320V -phoenix_contact connector MC_01x09_GF_5.08mm -0 -9 -9 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_9-GF-5.08_1x09_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/9-GF-5.08; number of pins: 09; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847534 8A 320V -phoenix_contact connector MC_01x09_GF_5.08mm_MH -0 -9 -9 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_10-G-5.08_1x10_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/10-G-5.08; number of pins: 10; pin pitch: 5.08mm; Angled || order number: 1836260 8A 320V -phoenix_contact connector MC_01x10_G_5.08mm -0 -10 -10 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_10-GF-5.08_1x10_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/10-GF-5.08; number of pins: 10; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847547 8A 320V -phoenix_contact connector MC_01x10_GF_5.08mm -0 -10 -10 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_10-GF-5.08_1x10_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/10-GF-5.08; number of pins: 10; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847547 8A 320V -phoenix_contact connector MC_01x10_GF_5.08mm_MH -0 -10 -10 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_11-G-5.08_1x11_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/11-G-5.08; number of pins: 11; pin pitch: 5.08mm; Angled || order number: 1836273 8A 320V -phoenix_contact connector MC_01x11_G_5.08mm -0 -11 -11 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_11-GF-5.08_1x11_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/11-GF-5.08; number of pins: 11; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847550 8A 320V -phoenix_contact connector MC_01x11_GF_5.08mm -0 -11 -11 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_11-GF-5.08_1x11_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/11-GF-5.08; number of pins: 11; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847550 8A 320V -phoenix_contact connector MC_01x11_GF_5.08mm_MH -0 -11 -11 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_12-G-5.08_1x12_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MC_1,5/12-G-5.08; number of pins: 12; pin pitch: 5.08mm; Angled || order number: 1836286 8A 320V -phoenix_contact connector MC_01x12_G_5.08mm -0 -12 -12 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_12-GF-5.08_1x12_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MC_1,5/12-GF-5.08; number of pins: 12; pin pitch: 5.08mm; Angled; threaded flange || order number: 1847563 8A 320V -phoenix_contact connector MC_01x12_GF_5.08mm -0 -12 -12 -Connector_Phoenix_MC_HighVoltage -PhoenixContact_MC_1,5_12-GF-5.08_1x12_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MC_1,5/12-GF-5.08; number of pins: 12; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x4.5 C or ISO 7049-ST 2.2x4.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1847563 8A 320V -phoenix_contact connector MC_01x12_GF_5.08mm_MH -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_2-G-5,08_1x02_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/2-G-5,08; number of pins: 02; pin pitch: 5.08mm; Angled || order number: 1757242 12A || order number: 1923869 16A (HC) -phoenix_contact connector MSTBA_01x02_G_5.08mm -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_2-G_1x02_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/2-G; number of pins: 02; pin pitch: 5.00mm; Angled || order number: 1757475 12A || order number: 1923759 16A (HC) -phoenix_contact connector MSTBA_01x02_G_5.00mm -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_3-G-5,08_1x03_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/3-G-5,08; number of pins: 03; pin pitch: 5.08mm; Angled || order number: 1757255 12A || order number: 1923872 16A (HC) -phoenix_contact connector MSTBA_01x03_G_5.08mm -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_3-G_1x03_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/3-G; number of pins: 03; pin pitch: 5.00mm; Angled || order number: 1757488 12A || order number: 1923762 16A (HC) -phoenix_contact connector MSTBA_01x03_G_5.00mm -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_4-G-5,08_1x04_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/4-G-5,08; number of pins: 04; pin pitch: 5.08mm; Angled || order number: 1757268 12A || order number: 1923885 16A (HC) -phoenix_contact connector MSTBA_01x04_G_5.08mm -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_4-G_1x04_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/4-G; number of pins: 04; pin pitch: 5.00mm; Angled || order number: 1757491 12A || order number: 1923775 16A (HC) -phoenix_contact connector MSTBA_01x04_G_5.00mm -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_5-G-5,08_1x05_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/5-G-5,08; number of pins: 05; pin pitch: 5.08mm; Angled || order number: 1757271 12A || order number: 1923898 16A (HC) -phoenix_contact connector MSTBA_01x05_G_5.08mm -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_5-G_1x05_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/5-G; number of pins: 05; pin pitch: 5.00mm; Angled || order number: 1757501 12A || order number: 1923788 16A (HC) -phoenix_contact connector MSTBA_01x05_G_5.00mm -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_6-G-5,08_1x06_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/6-G-5,08; number of pins: 06; pin pitch: 5.08mm; Angled || order number: 1757284 12A || order number: 1923908 16A (HC) -phoenix_contact connector MSTBA_01x06_G_5.08mm -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_6-G_1x06_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/6-G; number of pins: 06; pin pitch: 5.00mm; Angled || order number: 1757514 12A || order number: 1923791 16A (HC) -phoenix_contact connector MSTBA_01x06_G_5.00mm -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_7-G-5,08_1x07_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/7-G-5,08; number of pins: 07; pin pitch: 5.08mm; Angled || order number: 1757297 12A || order number: 1923911 16A (HC) -phoenix_contact connector MSTBA_01x07_G_5.08mm -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_7-G_1x07_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/7-G; number of pins: 07; pin pitch: 5.00mm; Angled || order number: 1757493 12A || order number: 1923801 16A (HC) -phoenix_contact connector MSTBA_01x07_G_5.00mm -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_8-G-5,08_1x08_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/8-G-5,08; number of pins: 08; pin pitch: 5.08mm; Angled || order number: 1757307 12A || order number: 1923924 16A (HC) -phoenix_contact connector MSTBA_01x08_G_5.08mm -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_8-G_1x08_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/8-G; number of pins: 08; pin pitch: 5.00mm; Angled || order number: 1757527 12A || order number: 1923814 16A (HC) -phoenix_contact connector MSTBA_01x08_G_5.00mm -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_9-G-5,08_1x09_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/9-G-5,08; number of pins: 09; pin pitch: 5.08mm; Angled || order number: 1757310 12A || order number: 1923937 16A (HC) -phoenix_contact connector MSTBA_01x09_G_5.08mm -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_9-G_1x09_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/9-G; number of pins: 09; pin pitch: 5.00mm; Angled || order number: 1757530 12A || order number: 1923827 16A (HC) -phoenix_contact connector MSTBA_01x09_G_5.00mm -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_10-G-5,08_1x10_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/10-G-5,08; number of pins: 10; pin pitch: 5.08mm; Angled || order number: 1757323 12A || order number: 1923940 16A (HC) -phoenix_contact connector MSTBA_01x10_G_5.08mm -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_10-G_1x10_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/10-G; number of pins: 10; pin pitch: 5.00mm; Angled || order number: 1757543 12A || order number: 1923830 16A (HC) -phoenix_contact connector MSTBA_01x10_G_5.00mm -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_11-G-5,08_1x11_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/11-G-5,08; number of pins: 11; pin pitch: 5.08mm; Angled || order number: 1757336 12A || order number: 1923953 16A (HC) -phoenix_contact connector MSTBA_01x11_G_5.08mm -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_11-G_1x11_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/11-G; number of pins: 11; pin pitch: 5.00mm; Angled || order number: 1757556 12A || order number: 1923843 16A (HC) -phoenix_contact connector MSTBA_01x11_G_5.00mm -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_12-G-5,08_1x12_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/12-G-5,08; number of pins: 12; pin pitch: 5.08mm; Angled || order number: 1757349 12A || order number: 1923966 16A (HC) -phoenix_contact connector MSTBA_01x12_G_5.08mm -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_12-G_1x12_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/12-G; number of pins: 12; pin pitch: 5.00mm; Angled || order number: 1757569 12A || order number: 1923856 16A (HC) -phoenix_contact connector MSTBA_01x12_G_5.00mm -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_13-G-5,08_1x13_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/13-G-5,08; number of pins: 13; pin pitch: 5.08mm; Angled || order number: 1757352 12A -phoenix_contact connector MSTBA_01x13_G_5.08mm -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_13-G_1x13_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/13-G; number of pins: 13; pin pitch: 5.00mm; Angled || order number: 1757572 12A -phoenix_contact connector MSTBA_01x13_G_5.00mm -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_14-G-5,08_1x14_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/14-G-5,08; number of pins: 14; pin pitch: 5.08mm; Angled || order number: 1757365 12A -phoenix_contact connector MSTBA_01x14_G_5.08mm -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_14-G_1x14_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/14-G; number of pins: 14; pin pitch: 5.00mm; Angled || order number: 1757585 12A -phoenix_contact connector MSTBA_01x14_G_5.00mm -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_15-G-5,08_1x15_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/15-G-5,08; number of pins: 15; pin pitch: 5.08mm; Angled || order number: 1757378 12A -phoenix_contact connector MSTBA_01x15_G_5.08mm -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_15-G_1x15_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/15-G; number of pins: 15; pin pitch: 5.00mm; Angled || order number: 1757598 12A -phoenix_contact connector MSTBA_01x15_G_5.00mm -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_16-G-5,08_1x16_P5.08mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/16-G-5,08; number of pins: 16; pin pitch: 5.08mm; Angled || order number: 1757381 12A -phoenix_contact connector MSTBA_01x16_G_5.08mm -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTBA_2,5_16-G_1x16_P5.00mm_Horizontal -Generic Phoenix Contact connector footprint for: MSTBA_2,5/16-G; number of pins: 16; pin pitch: 5.00mm; Angled || order number: 1757608 12A -phoenix_contact connector MSTBA_01x16_G_5.00mm -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_2-G-5,08_1x02_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/2-G-5,08; number of pins: 02; pin pitch: 5.08mm; Vertical || order number: 1755736 12A || order number: 1924305 16A (HC) -phoenix_contact connector MSTBVA_01x02_G_5.08mm -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_2-G_1x02_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/2-G; number of pins: 02; pin pitch: 5.00mm; Vertical || order number: 1755516 12A || order number: 1924198 16A (HC) -phoenix_contact connector MSTBVA_01x02_G_5.00mm -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_3-G-5,08_1x03_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/3-G-5,08; number of pins: 03; pin pitch: 5.08mm; Vertical || order number: 1755749 12A || order number: 1924318 16A (HC) -phoenix_contact connector MSTBVA_01x03_G_5.08mm -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_3-G_1x03_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/3-G; number of pins: 03; pin pitch: 5.00mm; Vertical || order number: 1755529 12A || order number: 1924208 16A (HC) -phoenix_contact connector MSTBVA_01x03_G_5.00mm -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_4-G-5,08_1x04_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/4-G-5,08; number of pins: 04; pin pitch: 5.08mm; Vertical || order number: 1755752 12A || order number: 1924321 16A (HC) -phoenix_contact connector MSTBVA_01x04_G_5.08mm -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_4-G_1x04_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/4-G; number of pins: 04; pin pitch: 5.00mm; Vertical || order number: 1755532 12A || order number: 1924211 16A (HC) -phoenix_contact connector MSTBVA_01x04_G_5.00mm -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_5-G-5,08_1x05_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/5-G-5,08; number of pins: 05; pin pitch: 5.08mm; Vertical || order number: 1755765 12A || order number: 1924334 16A (HC) -phoenix_contact connector MSTBVA_01x05_G_5.08mm -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_5-G_1x05_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/5-G; number of pins: 05; pin pitch: 5.00mm; Vertical || order number: 1755545 12A || order number: 1924224 16A (HC) -phoenix_contact connector MSTBVA_01x05_G_5.00mm -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_6-G-5,08_1x06_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/6-G-5,08; number of pins: 06; pin pitch: 5.08mm; Vertical || order number: 1755778 12A || order number: 1924347 16A (HC) -phoenix_contact connector MSTBVA_01x06_G_5.08mm -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_6-G_1x06_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/6-G; number of pins: 06; pin pitch: 5.00mm; Vertical || order number: 1755558 12A || order number: 1924237 16A (HC) -phoenix_contact connector MSTBVA_01x06_G_5.00mm -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_7-G-5,08_1x07_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/7-G-5,08; number of pins: 07; pin pitch: 5.08mm; Vertical || order number: 1755781 12A || order number: 1924350 16A (HC) -phoenix_contact connector MSTBVA_01x07_G_5.08mm -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_7-G_1x07_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/7-G; number of pins: 07; pin pitch: 5.00mm; Vertical || order number: 1755561 12A || order number: 1924240 16A (HC) -phoenix_contact connector MSTBVA_01x07_G_5.00mm -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_8-G-5,08_1x08_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/8-G-5,08; number of pins: 08; pin pitch: 5.08mm; Vertical || order number: 1755794 12A || order number: 1924363 16A (HC) -phoenix_contact connector MSTBVA_01x08_G_5.08mm -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_8-G_1x08_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/8-G; number of pins: 08; pin pitch: 5.00mm; Vertical || order number: 1755574 12A || order number: 1924253 16A (HC) -phoenix_contact connector MSTBVA_01x08_G_5.00mm -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_9-G-5,08_1x09_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/9-G-5,08; number of pins: 09; pin pitch: 5.08mm; Vertical || order number: 1755804 12A || order number: 1924376 16A (HC) -phoenix_contact connector MSTBVA_01x09_G_5.08mm -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_9-G_1x09_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/9-G; number of pins: 09; pin pitch: 5.00mm; Vertical || order number: 1755587 12A || order number: 1924266 16A (HC) -phoenix_contact connector MSTBVA_01x09_G_5.00mm -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_10-G-5,08_1x10_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/10-G-5,08; number of pins: 10; pin pitch: 5.08mm; Vertical || order number: 1755817 12A || order number: 1924389 16A (HC) -phoenix_contact connector MSTBVA_01x10_G_5.08mm -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_10-G_1x10_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/10-G; number of pins: 10; pin pitch: 5.00mm; Vertical || order number: 1755503 12A || order number: 1924279 16A (HC) -phoenix_contact connector MSTBVA_01x10_G_5.00mm -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_11-G-5,08_1x11_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/11-G-5,08; number of pins: 11; pin pitch: 5.08mm; Vertical || order number: 1755820 12A || order number: 1924392 16A (HC) -phoenix_contact connector MSTBVA_01x11_G_5.08mm -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_11-G_1x11_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/11-G; number of pins: 11; pin pitch: 5.00mm; Vertical || order number: 1755590 12A || order number: 1924282 16A (HC) -phoenix_contact connector MSTBVA_01x11_G_5.00mm -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_12-G-5,08_1x12_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/12-G-5,08; number of pins: 12; pin pitch: 5.08mm; Vertical || order number: 1755833 12A || order number: 1924402 16A (HC) -phoenix_contact connector MSTBVA_01x12_G_5.08mm -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_12-G_1x12_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/12-G; number of pins: 12; pin pitch: 5.00mm; Vertical || order number: 1755600 12A || order number: 1924295 16A (HC) -phoenix_contact connector MSTBVA_01x12_G_5.00mm -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_13-G-5,08_1x13_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/13-G-5,08; number of pins: 13; pin pitch: 5.08mm; Vertical || order number: 1755846 12A -phoenix_contact connector MSTBVA_01x13_G_5.08mm -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_13-G_1x13_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/13-G; number of pins: 13; pin pitch: 5.00mm; Vertical || order number: 1755613 12A -phoenix_contact connector MSTBVA_01x13_G_5.00mm -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_14-G-5,08_1x14_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/14-G-5,08; number of pins: 14; pin pitch: 5.08mm; Vertical || order number: 1755859 12A -phoenix_contact connector MSTBVA_01x14_G_5.08mm -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_14-G_1x14_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/14-G; number of pins: 14; pin pitch: 5.00mm; Vertical || order number: 1755626 12A -phoenix_contact connector MSTBVA_01x14_G_5.00mm -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_15-G-5,08_1x15_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/15-G-5,08; number of pins: 15; pin pitch: 5.08mm; Vertical || order number: 1755862 12A -phoenix_contact connector MSTBVA_01x15_G_5.08mm -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_15-G_1x15_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/15-G; number of pins: 15; pin pitch: 5.00mm; Vertical || order number: 1755639 12A -phoenix_contact connector MSTBVA_01x15_G_5.00mm -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_16-G-5,08_1x16_P5.08mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/16-G-5,08; number of pins: 16; pin pitch: 5.08mm; Vertical || order number: 1755875 12A -phoenix_contact connector MSTBVA_01x16_G_5.08mm -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTBVA_2,5_16-G_1x16_P5.00mm_Vertical -Generic Phoenix Contact connector footprint for: MSTBVA_2,5/16-G; number of pins: 16; pin pitch: 5.00mm; Vertical || order number: 1755642 12A -phoenix_contact connector MSTBVA_01x16_G_5.00mm -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_2-GF-5,08_1x02_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/2-GF-5,08; number of pins: 02; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777073 12A || order number: 1924525 16A (HC) -phoenix_contact connector MSTBV_01x02_GF_5.08mm -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_2-GF-5,08_1x02_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/2-GF-5,08; number of pins: 02; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777073 12A || order number: 1924525 16A (HC) -phoenix_contact connector MSTBV_01x02_GF_5.08mm_MH -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_2-GF_1x02_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/2-GF; number of pins: 02; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776883 12A || order number: 1924415 16A (HC) -phoenix_contact connector MSTBV_01x02_GF_5.00mm -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_2-GF_1x02_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/2-GF; number of pins: 02; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776883 12A || order number: 1924415 16A (HC) -phoenix_contact connector MSTBV_01x02_GF_5.00mm_MH -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_3-GF-5,08_1x03_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/3-GF-5,08; number of pins: 03; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777086 12A || order number: 1924538 16A (HC) -phoenix_contact connector MSTBV_01x03_GF_5.08mm -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_3-GF-5,08_1x03_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/3-GF-5,08; number of pins: 03; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777086 12A || order number: 1924538 16A (HC) -phoenix_contact connector MSTBV_01x03_GF_5.08mm_MH -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_3-GF_1x03_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/3-GF; number of pins: 03; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776896 12A || order number: 1924428 16A (HC) -phoenix_contact connector MSTBV_01x03_GF_5.00mm -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_3-GF_1x03_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/3-GF; number of pins: 03; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776896 12A || order number: 1924428 16A (HC) -phoenix_contact connector MSTBV_01x03_GF_5.00mm_MH -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_4-GF-5,08_1x04_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/4-GF-5,08; number of pins: 04; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777099 12A || order number: 1924541 16A (HC) -phoenix_contact connector MSTBV_01x04_GF_5.08mm -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_4-GF-5,08_1x04_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/4-GF-5,08; number of pins: 04; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777099 12A || order number: 1924541 16A (HC) -phoenix_contact connector MSTBV_01x04_GF_5.08mm_MH -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_4-GF_1x04_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/4-GF; number of pins: 04; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776906 12A || order number: 1924431 16A (HC) -phoenix_contact connector MSTBV_01x04_GF_5.00mm -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_4-GF_1x04_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/4-GF; number of pins: 04; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776906 12A || order number: 1924431 16A (HC) -phoenix_contact connector MSTBV_01x04_GF_5.00mm_MH -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_5-GF-5,08_1x05_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/5-GF-5,08; number of pins: 05; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777109 12A || order number: 1924554 16A (HC) -phoenix_contact connector MSTBV_01x05_GF_5.08mm -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_5-GF-5,08_1x05_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/5-GF-5,08; number of pins: 05; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777109 12A || order number: 1924554 16A (HC) -phoenix_contact connector MSTBV_01x05_GF_5.08mm_MH -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_5-GF_1x05_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/5-GF; number of pins: 05; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776919 12A || order number: 1924444 16A (HC) -phoenix_contact connector MSTBV_01x05_GF_5.00mm -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_5-GF_1x05_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/5-GF; number of pins: 05; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776919 12A || order number: 1924444 16A (HC) -phoenix_contact connector MSTBV_01x05_GF_5.00mm_MH -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_6-GF-5,08_1x06_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/6-GF-5,08; number of pins: 06; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777112 12A || order number: 1924567 16A (HC) -phoenix_contact connector MSTBV_01x06_GF_5.08mm -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_6-GF-5,08_1x06_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/6-GF-5,08; number of pins: 06; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777112 12A || order number: 1924567 16A (HC) -phoenix_contact connector MSTBV_01x06_GF_5.08mm_MH -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_6-GF_1x06_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/6-GF; number of pins: 06; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776922 12A || order number: 1924457 16A (HC) -phoenix_contact connector MSTBV_01x06_GF_5.00mm -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_6-GF_1x06_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/6-GF; number of pins: 06; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776922 12A || order number: 1924457 16A (HC) -phoenix_contact connector MSTBV_01x06_GF_5.00mm_MH -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_7-GF-5,08_1x07_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/7-GF-5,08; number of pins: 07; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777125 12A || order number: 1924570 16A (HC) -phoenix_contact connector MSTBV_01x07_GF_5.08mm -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_7-GF-5,08_1x07_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/7-GF-5,08; number of pins: 07; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777125 12A || order number: 1924570 16A (HC) -phoenix_contact connector MSTBV_01x07_GF_5.08mm_MH -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_7-GF_1x07_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/7-GF; number of pins: 07; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776935 12A || order number: 1924460 16A (HC) -phoenix_contact connector MSTBV_01x07_GF_5.00mm -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_7-GF_1x07_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/7-GF; number of pins: 07; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776935 12A || order number: 1924460 16A (HC) -phoenix_contact connector MSTBV_01x07_GF_5.00mm_MH -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_8-GF-5,08_1x08_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/8-GF-5,08; number of pins: 08; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777138 12A || order number: 1924583 16A (HC) -phoenix_contact connector MSTBV_01x08_GF_5.08mm -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_8-GF-5,08_1x08_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/8-GF-5,08; number of pins: 08; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777138 12A || order number: 1924583 16A (HC) -phoenix_contact connector MSTBV_01x08_GF_5.08mm_MH -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_8-GF_1x08_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/8-GF; number of pins: 08; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776948 12A || order number: 1924473 16A (HC) -phoenix_contact connector MSTBV_01x08_GF_5.00mm -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_8-GF_1x08_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/8-GF; number of pins: 08; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776948 12A || order number: 1924473 16A (HC) -phoenix_contact connector MSTBV_01x08_GF_5.00mm_MH -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_9-GF-5,08_1x09_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/9-GF-5,08; number of pins: 09; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777141 12A || order number: 1924596 16A (HC) -phoenix_contact connector MSTBV_01x09_GF_5.08mm -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_9-GF-5,08_1x09_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/9-GF-5,08; number of pins: 09; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777141 12A || order number: 1924596 16A (HC) -phoenix_contact connector MSTBV_01x09_GF_5.08mm_MH -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_9-GF_1x09_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/9-GF; number of pins: 09; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776951 12A || order number: 1924486 16A (HC) -phoenix_contact connector MSTBV_01x09_GF_5.00mm -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_9-GF_1x09_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/9-GF; number of pins: 09; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776951 12A || order number: 1924486 16A (HC) -phoenix_contact connector MSTBV_01x09_GF_5.00mm_MH -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_10-GF-5,08_1x10_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/10-GF-5,08; number of pins: 10; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777154 12A || order number: 1924606 16A (HC) -phoenix_contact connector MSTBV_01x10_GF_5.08mm -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_10-GF-5,08_1x10_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/10-GF-5,08; number of pins: 10; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777154 12A || order number: 1924606 16A (HC) -phoenix_contact connector MSTBV_01x10_GF_5.08mm_MH -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_10-GF_1x10_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/10-GF; number of pins: 10; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776964 12A || order number: 1924499 16A (HC) -phoenix_contact connector MSTBV_01x10_GF_5.00mm -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_10-GF_1x10_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/10-GF; number of pins: 10; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776964 12A || order number: 1924499 16A (HC) -phoenix_contact connector MSTBV_01x10_GF_5.00mm_MH -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_11-GF-5,08_1x11_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/11-GF-5,08; number of pins: 11; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777167 12A || order number: 1924619 16A (HC) -phoenix_contact connector MSTBV_01x11_GF_5.08mm -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_11-GF-5,08_1x11_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/11-GF-5,08; number of pins: 11; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777167 12A || order number: 1924619 16A (HC) -phoenix_contact connector MSTBV_01x11_GF_5.08mm_MH -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_11-GF_1x11_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/11-GF; number of pins: 11; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776977 12A || order number: 1924509 16A (HC) -phoenix_contact connector MSTBV_01x11_GF_5.00mm -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_11-GF_1x11_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/11-GF; number of pins: 11; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776977 12A || order number: 1924509 16A (HC) -phoenix_contact connector MSTBV_01x11_GF_5.00mm_MH -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_12-GF-5,08_1x12_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/12-GF-5,08; number of pins: 12; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777170 12A || order number: 1924622 16A (HC) -phoenix_contact connector MSTBV_01x12_GF_5.08mm -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_12-GF-5,08_1x12_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/12-GF-5,08; number of pins: 12; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777170 12A || order number: 1924622 16A (HC) -phoenix_contact connector MSTBV_01x12_GF_5.08mm_MH -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_12-GF_1x12_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/12-GF; number of pins: 12; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776980 12A || order number: 1924512 16A (HC) -phoenix_contact connector MSTBV_01x12_GF_5.00mm -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_12-GF_1x12_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/12-GF; number of pins: 12; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776980 12A || order number: 1924512 16A (HC) -phoenix_contact connector MSTBV_01x12_GF_5.00mm_MH -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_13-GF-5,08_1x13_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/13-GF-5,08; number of pins: 13; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777183 12A -phoenix_contact connector MSTBV_01x13_GF_5.08mm -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_13-GF-5,08_1x13_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/13-GF-5,08; number of pins: 13; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777183 12A -phoenix_contact connector MSTBV_01x13_GF_5.08mm_MH -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_13-GF_1x13_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/13-GF; number of pins: 13; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776993 12A -phoenix_contact connector MSTBV_01x13_GF_5.00mm -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_13-GF_1x13_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/13-GF; number of pins: 13; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776993 12A -phoenix_contact connector MSTBV_01x13_GF_5.00mm_MH -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_14-GF-5,08_1x14_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/14-GF-5,08; number of pins: 14; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777196 12A -phoenix_contact connector MSTBV_01x14_GF_5.08mm -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_14-GF-5,08_1x14_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/14-GF-5,08; number of pins: 14; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777196 12A -phoenix_contact connector MSTBV_01x14_GF_5.08mm_MH -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_14-GF_1x14_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/14-GF; number of pins: 14; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776002 12A -phoenix_contact connector MSTBV_01x14_GF_5.00mm -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_14-GF_1x14_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/14-GF; number of pins: 14; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776002 12A -phoenix_contact connector MSTBV_01x14_GF_5.00mm_MH -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_15-GF-5,08_1x15_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/15-GF-5,08; number of pins: 15; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777206 12A -phoenix_contact connector MSTBV_01x15_GF_5.08mm -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_15-GF-5,08_1x15_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/15-GF-5,08; number of pins: 15; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777206 12A -phoenix_contact connector MSTBV_01x15_GF_5.08mm_MH -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_15-GF_1x15_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/15-GF; number of pins: 15; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776015 12A -phoenix_contact connector MSTBV_01x15_GF_5.00mm -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_15-GF_1x15_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/15-GF; number of pins: 15; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776015 12A -phoenix_contact connector MSTBV_01x15_GF_5.00mm_MH -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_16-GF-5,08_1x16_P5.08mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/16-GF-5,08; number of pins: 16; pin pitch: 5.08mm; Vertical; threaded flange || order number: 1777219 12A -phoenix_contact connector MSTBV_01x16_GF_5.08mm -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_16-GF-5,08_1x16_P5.08mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/16-GF-5,08; number of pins: 16; pin pitch: 5.08mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1777219 12A -phoenix_contact connector MSTBV_01x16_GF_5.08mm_MH -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_16-GF_1x16_P5.00mm_Vertical_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTBV_2,5/16-GF; number of pins: 16; pin pitch: 5.00mm; Vertical; threaded flange || order number: 1776028 12A -phoenix_contact connector MSTBV_01x16_GF_5.00mm -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTBV_2,5_16-GF_1x16_P5.00mm_Vertical_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTBV_2,5/16-GF; number of pins: 16; pin pitch: 5.00mm; Vertical; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776028 12A -phoenix_contact connector MSTBV_01x16_GF_5.00mm_MH -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_2-GF-5,08_1x02_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/2-GF-5,08; number of pins: 02; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776508 12A || order number: 1924088 16A (HC) -phoenix_contact connector MSTB_01x02_GF_5.08mm -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_2-GF-5,08_1x02_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/2-GF-5,08; number of pins: 02; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776508 12A || order number: 1924088 16A (HC) -phoenix_contact connector MSTB_01x02_GF_5.08mm_MH -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_2-GF_1x02_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/2-GF; number of pins: 02; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776692 12A || order number: 1923979 16A (HC) -phoenix_contact connector MSTB_01x02_GF_5.00mm -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_2-GF_1x02_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/2-GF; number of pins: 02; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776692 12A || order number: 1923979 16A (HC) -phoenix_contact connector MSTB_01x02_GF_5.00mm_MH -0 -2 -2 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_3-GF-5,08_1x03_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/3-GF-5,08; number of pins: 03; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776511 12A || order number: 1924091 16A (HC) -phoenix_contact connector MSTB_01x03_GF_5.08mm -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_3-GF-5,08_1x03_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/3-GF-5,08; number of pins: 03; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776511 12A || order number: 1924091 16A (HC) -phoenix_contact connector MSTB_01x03_GF_5.08mm_MH -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_3-GF_1x03_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/3-GF; number of pins: 03; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776702 12A || order number: 1923982 16A (HC) -phoenix_contact connector MSTB_01x03_GF_5.00mm -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_3-GF_1x03_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/3-GF; number of pins: 03; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776702 12A || order number: 1923982 16A (HC) -phoenix_contact connector MSTB_01x03_GF_5.00mm_MH -0 -3 -3 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_4-GF-5,08_1x04_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/4-GF-5,08; number of pins: 04; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776524 12A || order number: 1924101 16A (HC) -phoenix_contact connector MSTB_01x04_GF_5.08mm -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_4-GF-5,08_1x04_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/4-GF-5,08; number of pins: 04; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776524 12A || order number: 1924101 16A (HC) -phoenix_contact connector MSTB_01x04_GF_5.08mm_MH -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_4-GF_1x04_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/4-GF; number of pins: 04; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776715 12A || order number: 1923995 16A (HC) -phoenix_contact connector MSTB_01x04_GF_5.00mm -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_4-GF_1x04_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/4-GF; number of pins: 04; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776715 12A || order number: 1923995 16A (HC) -phoenix_contact connector MSTB_01x04_GF_5.00mm_MH -0 -4 -4 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_5-GF-5,08_1x05_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/5-GF-5,08; number of pins: 05; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776537 12A || order number: 1924114 16A (HC) -phoenix_contact connector MSTB_01x05_GF_5.08mm -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_5-GF-5,08_1x05_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/5-GF-5,08; number of pins: 05; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776537 12A || order number: 1924114 16A (HC) -phoenix_contact connector MSTB_01x05_GF_5.08mm_MH -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_5-GF_1x05_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/5-GF; number of pins: 05; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776728 12A || order number: 1924004 16A (HC) -phoenix_contact connector MSTB_01x05_GF_5.00mm -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_5-GF_1x05_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/5-GF; number of pins: 05; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776728 12A || order number: 1924004 16A (HC) -phoenix_contact connector MSTB_01x05_GF_5.00mm_MH -0 -5 -5 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_6-GF-5,08_1x06_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/6-GF-5,08; number of pins: 06; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776540 12A || order number: 1924127 16A (HC) -phoenix_contact connector MSTB_01x06_GF_5.08mm -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_6-GF-5,08_1x06_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/6-GF-5,08; number of pins: 06; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776540 12A || order number: 1924127 16A (HC) -phoenix_contact connector MSTB_01x06_GF_5.08mm_MH -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_6-GF_1x06_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/6-GF; number of pins: 06; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776731 12A || order number: 1924017 16A (HC) -phoenix_contact connector MSTB_01x06_GF_5.00mm -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_6-GF_1x06_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/6-GF; number of pins: 06; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776731 12A || order number: 1924017 16A (HC) -phoenix_contact connector MSTB_01x06_GF_5.00mm_MH -0 -6 -6 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_7-GF-5,08_1x07_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/7-GF-5,08; number of pins: 07; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776553 12A || order number: 1924130 16A (HC) -phoenix_contact connector MSTB_01x07_GF_5.08mm -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_7-GF-5,08_1x07_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/7-GF-5,08; number of pins: 07; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776553 12A || order number: 1924130 16A (HC) -phoenix_contact connector MSTB_01x07_GF_5.08mm_MH -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_7-GF_1x07_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/7-GF; number of pins: 07; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776744 12A || order number: 1924020 16A (HC) -phoenix_contact connector MSTB_01x07_GF_5.00mm -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_7-GF_1x07_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/7-GF; number of pins: 07; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776744 12A || order number: 1924020 16A (HC) -phoenix_contact connector MSTB_01x07_GF_5.00mm_MH -0 -7 -7 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_8-GF-5,08_1x08_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/8-GF-5,08; number of pins: 08; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776566 12A || order number: 1924143 16A (HC) -phoenix_contact connector MSTB_01x08_GF_5.08mm -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_8-GF-5,08_1x08_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/8-GF-5,08; number of pins: 08; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776566 12A || order number: 1924143 16A (HC) -phoenix_contact connector MSTB_01x08_GF_5.08mm_MH -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_8-GF_1x08_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/8-GF; number of pins: 08; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776757 12A || order number: 1924033 16A (HC) -phoenix_contact connector MSTB_01x08_GF_5.00mm -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_8-GF_1x08_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/8-GF; number of pins: 08; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776757 12A || order number: 1924033 16A (HC) -phoenix_contact connector MSTB_01x08_GF_5.00mm_MH -0 -8 -8 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_9-GF-5,08_1x09_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/9-GF-5,08; number of pins: 09; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776579 12A || order number: 1924156 16A (HC) -phoenix_contact connector MSTB_01x09_GF_5.08mm -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_9-GF-5,08_1x09_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/9-GF-5,08; number of pins: 09; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776579 12A || order number: 1924156 16A (HC) -phoenix_contact connector MSTB_01x09_GF_5.08mm_MH -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_9-GF_1x09_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/9-GF; number of pins: 09; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776760 12A || order number: 1924046 16A (HC) -phoenix_contact connector MSTB_01x09_GF_5.00mm -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_9-GF_1x09_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/9-GF; number of pins: 09; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776760 12A || order number: 1924046 16A (HC) -phoenix_contact connector MSTB_01x09_GF_5.00mm_MH -0 -9 -9 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_10-GF-5,08_1x10_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/10-GF-5,08; number of pins: 10; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776582 12A || order number: 1924169 16A (HC) -phoenix_contact connector MSTB_01x10_GF_5.08mm -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_10-GF-5,08_1x10_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/10-GF-5,08; number of pins: 10; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776582 12A || order number: 1924169 16A (HC) -phoenix_contact connector MSTB_01x10_GF_5.08mm_MH -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_10-GF_1x10_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/10-GF; number of pins: 10; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776773 12A || order number: 1924059 16A (HC) -phoenix_contact connector MSTB_01x10_GF_5.00mm -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_10-GF_1x10_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/10-GF; number of pins: 10; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776773 12A || order number: 1924059 16A (HC) -phoenix_contact connector MSTB_01x10_GF_5.00mm_MH -0 -10 -10 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_11-GF-5,08_1x11_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/11-GF-5,08; number of pins: 11; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776595 12A || order number: 1924172 16A (HC) -phoenix_contact connector MSTB_01x11_GF_5.08mm -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_11-GF-5,08_1x11_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/11-GF-5,08; number of pins: 11; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776595 12A || order number: 1924172 16A (HC) -phoenix_contact connector MSTB_01x11_GF_5.08mm_MH -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_11-GF_1x11_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/11-GF; number of pins: 11; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776786 12A || order number: 1924062 16A (HC) -phoenix_contact connector MSTB_01x11_GF_5.00mm -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_11-GF_1x11_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/11-GF; number of pins: 11; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776786 12A || order number: 1924062 16A (HC) -phoenix_contact connector MSTB_01x11_GF_5.00mm_MH -0 -11 -11 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_12-GF-5,08_1x12_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/12-GF-5,08; number of pins: 12; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776605 12A || order number: 1924185 16A (HC) -phoenix_contact connector MSTB_01x12_GF_5.08mm -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_12-GF-5,08_1x12_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/12-GF-5,08; number of pins: 12; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776605 12A || order number: 1924185 16A (HC) -phoenix_contact connector MSTB_01x12_GF_5.08mm_MH -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_12-GF_1x12_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/12-GF; number of pins: 12; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776799 12A || order number: 1924075 16A (HC) -phoenix_contact connector MSTB_01x12_GF_5.00mm -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_12-GF_1x12_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/12-GF; number of pins: 12; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776799 12A || order number: 1924075 16A (HC) -phoenix_contact connector MSTB_01x12_GF_5.00mm_MH -0 -12 -12 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_13-GF-5,08_1x13_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/13-GF-5,08; number of pins: 13; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776618 12A -phoenix_contact connector MSTB_01x13_GF_5.08mm -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_13-GF-5,08_1x13_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/13-GF-5,08; number of pins: 13; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776618 12A -phoenix_contact connector MSTB_01x13_GF_5.08mm_MH -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_13-GF_1x13_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/13-GF; number of pins: 13; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776809 12A -phoenix_contact connector MSTB_01x13_GF_5.00mm -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_13-GF_1x13_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/13-GF; number of pins: 13; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776809 12A -phoenix_contact connector MSTB_01x13_GF_5.00mm_MH -0 -13 -13 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_14-GF-5,08_1x14_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/14-GF-5,08; number of pins: 14; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776621 12A -phoenix_contact connector MSTB_01x14_GF_5.08mm -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_14-GF-5,08_1x14_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/14-GF-5,08; number of pins: 14; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776621 12A -phoenix_contact connector MSTB_01x14_GF_5.08mm_MH -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_14-GF_1x14_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/14-GF; number of pins: 14; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776812 12A -phoenix_contact connector MSTB_01x14_GF_5.00mm -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_14-GF_1x14_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/14-GF; number of pins: 14; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776812 12A -phoenix_contact connector MSTB_01x14_GF_5.00mm_MH -0 -14 -14 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_15-GF-5,08_1x15_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/15-GF-5,08; number of pins: 15; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776634 12A -phoenix_contact connector MSTB_01x15_GF_5.08mm -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_15-GF-5,08_1x15_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/15-GF-5,08; number of pins: 15; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776634 12A -phoenix_contact connector MSTB_01x15_GF_5.08mm_MH -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_15-GF_1x15_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/15-GF; number of pins: 15; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776825 12A -phoenix_contact connector MSTB_01x15_GF_5.00mm -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_15-GF_1x15_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/15-GF; number of pins: 15; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776825 12A -phoenix_contact connector MSTB_01x15_GF_5.00mm_MH -0 -15 -15 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_16-GF-5,08_1x16_P5.08mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/16-GF-5,08; number of pins: 16; pin pitch: 5.08mm; Angled; threaded flange || order number: 1776647 12A -phoenix_contact connector MSTB_01x16_GF_5.08mm -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_16-GF-5,08_1x16_P5.08mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/16-GF-5,08; number of pins: 16; pin pitch: 5.08mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776647 12A -phoenix_contact connector MSTB_01x16_GF_5.08mm_MH -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_16-GF_1x16_P5.00mm_Horizontal_ThreadedFlange -Generic Phoenix Contact connector footprint for: MSTB_2,5/16-GF; number of pins: 16; pin pitch: 5.00mm; Angled; threaded flange || order number: 1776838 12A -phoenix_contact connector MSTB_01x16_GF_5.00mm -0 -16 -16 -Connector_Phoenix_MSTB -PhoenixContact_MSTB_2,5_16-GF_1x16_P5.00mm_Horizontal_ThreadedFlange_MountHole -Generic Phoenix Contact connector footprint for: MSTB_2,5/16-GF; number of pins: 16; pin pitch: 5.00mm; Angled; threaded flange; footprint includes mount hole for mounting screw: ISO 1481-ST 2.2x6.5 C or ISO 7049-ST 2.2x6.5 C (http://www.fasteners.eu/standards/ISO/7049/) || order number: 1776838 12A -phoenix_contact connector MSTB_01x16_GF_5.00mm_MH -0 -16 -16 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_2-H-3.5_1x02_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/2-H-3.5 Terminal Block, 1990737 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990737), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/2-H-3.5 1990737 -0 -4 -2 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_3-H-3.5_1x03_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/3-H-3.5 Terminal Block, 1990740 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990740), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/3-H-3.5 1990740 -0 -6 -3 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_4-H-3.5_1x04_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/4-H-3.5 Terminal Block, 1990753 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990753), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/4-H-3.5 1990753 -0 -8 -4 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_5-H-3.5_1x05_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/5-H-3.5 Terminal Block, 1990766 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990766), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/5-H-3.5 1990766 -0 -10 -5 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_6-H-3.5_1x06_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/6-H-3.5 Terminal Block, 1990779 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990779), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/6-H-3.5 1990779 -0 -12 -6 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_7-H-3.5_1x07_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/7-H-3.5 Terminal Block, 1990782 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990782), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/7-H-3.5 1990782 -0 -14 -7 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_8-H-3.5_1x08_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/8-H-3.5 Terminal Block, 1990795 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990795), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/8-H-3.5 1990795 -0 -16 -8 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_9-H-3.5_1x09_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/9-H-3.5 Terminal Block, 1990805 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990805), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/9-H-3.5 1990805 -0 -18 -9 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_10-H-3.5_1x10_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/10-H-3.5 Terminal Block, 1990818 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990818), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/10-H-3.5 1990818 -0 -20 -10 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_11-H-3.5_1x11_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/11-H-3.5 Terminal Block, 1990821 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990821), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/11-H-3.5 1990821 -0 -22 -11 -Connector_Phoenix_SPT -PhoenixContact_SPT_1.5_12-H-3.5_1x12_P3.5mm_Horizontal -Connector Phoenix Contact, SPT 1.5/12-H-3.5 Terminal Block, 1990834 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990834), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 1.5/12-H-3.5 1990834 -0 -24 -12 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_1-H-5.0_1x01_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/1-H-5.0 Terminal Block, 1751066 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1751066), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/1-H-5.0 1751066 -0 -2 -1 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_2-H-5.0-EX_1x02_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/2-H-5.0-EX Terminal Block, 1732386 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732386), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/2-H-5.0-EX 1732386 -0 -4 -2 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_2-H-5.0_1x02_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/2-H-5.0 Terminal Block, 1990973 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990973), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/2-H-5.0 1990973 -0 -4 -2 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_2-V-5.0-EX_1x02_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/2-V-5.0-EX Terminal Block, 1732496 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732496), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/2-V-5.0-EX 1732496 -0 -4 -2 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_3-H-5.0-EX_1x03_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/3-H-5.0-EX Terminal Block, 1732399 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732399), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/3-H-5.0-EX 1732399 -0 -6 -3 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_3-H-5.0_1x03_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/3-H-5.0 Terminal Block, 1990986 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990986), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/3-H-5.0 1990986 -0 -6 -3 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_3-V-5.0-EX_1x03_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/3-V-5.0-EX Terminal Block, 1732506 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732506), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/3-V-5.0-EX 1732506 -0 -6 -3 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_4-H-5.0-EX_1x04_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/4-H-5.0-EX Terminal Block, 1732409 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732409), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/4-H-5.0-EX 1732409 -0 -8 -4 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_4-H-5.0_1x04_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/4-H-5.0 Terminal Block, 1990999 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1990999), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/4-H-5.0 1990999 -0 -8 -4 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_4-V-5.0-EX_1x04_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/4-V-5.0-EX Terminal Block, 1732519 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732519), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/4-V-5.0-EX 1732519 -0 -8 -4 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_5-H-5.0-EX_1x05_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/5-H-5.0-EX Terminal Block, 1732412 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732412), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/5-H-5.0-EX 1732412 -0 -10 -5 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_5-H-5.0_1x05_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/5-H-5.0 Terminal Block, 1991008 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1991008), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/5-H-5.0 1991008 -0 -10 -5 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_5-V-5.0-EX_1x05_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/5-V-5.0-EX Terminal Block, 1732522 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732522), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/5-V-5.0-EX 1732522 -0 -10 -5 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_6-H-5.0-EX_1x06_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/6-H-5.0-EX Terminal Block, 1732425 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732425), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/6-H-5.0-EX 1732425 -0 -12 -6 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_6-H-5.0_1x06_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/6-H-5.0 Terminal Block, 1991011 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1991011), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/6-H-5.0 1991011 -0 -12 -6 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_6-V-5.0-EX_1x06_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/6-V-5.0-EX Terminal Block, 1732535 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732535), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/6-V-5.0-EX 1732535 -0 -12 -6 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_7-H-5.0-EX_1x07_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/7-H-5.0-EX Terminal Block, 1732438 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732438), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/7-H-5.0-EX 1732438 -0 -14 -7 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_7-H-5.0_1x07_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/7-H-5.0 Terminal Block, 1991024 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1991024), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/7-H-5.0 1991024 -0 -14 -7 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_7-V-5.0-EX_1x07_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/7-V-5.0-EX Terminal Block, 1732548 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732548), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/7-V-5.0-EX 1732548 -0 -14 -7 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_8-H-5.0-EX_1x08_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/8-H-5.0-EX Terminal Block, 1732441 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732441), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/8-H-5.0-EX 1732441 -0 -16 -8 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_8-H-5.0_1x08_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/8-H-5.0 Terminal Block, 1991037 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1991037), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/8-H-5.0 1991037 -0 -16 -8 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_8-V-5.0-EX_1x08_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/8-V-5.0-EX Terminal Block, 1732551 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732551), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/8-V-5.0-EX 1732551 -0 -16 -8 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_9-H-5.0-EX_1x09_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/9-H-5.0-EX Terminal Block, 1732454 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732454), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/9-H-5.0-EX 1732454 -0 -18 -9 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_9-H-5.0_1x09_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/9-H-5.0 Terminal Block, 1991040 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1991040), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/9-H-5.0 1991040 -0 -18 -9 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_9-V-5.0-EX_1x09_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/9-V-5.0-EX Terminal Block, 1732564 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732564), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/9-V-5.0-EX 1732564 -0 -18 -9 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_10-H-5.0-EX_1x10_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/10-H-5.0-EX Terminal Block, 1732467 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732467), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/10-H-5.0-EX 1732467 -0 -20 -10 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_10-H-5.0_1x10_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/10-H-5.0 Terminal Block, 1991053 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1991053), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/10-H-5.0 1991053 -0 -20 -10 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_10-V-5.0-EX_1x10_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/10-V-5.0-EX Terminal Block, 1732577 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732577), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/10-V-5.0-EX 1732577 -0 -20 -10 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_11-H-5.0-EX_1x11_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/11-H-5.0-EX Terminal Block, 1732470 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732470), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/11-H-5.0-EX 1732470 -0 -22 -11 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_11-H-5.0_1x11_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/11-H-5.0 Terminal Block, 1991066 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1991066), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/11-H-5.0 1991066 -0 -22 -11 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_11-V-5.0-EX_1x11_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/11-V-5.0-EX Terminal Block, 1732580 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732580), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/11-V-5.0-EX 1732580 -0 -22 -11 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_12-H-5.0-EX_1x12_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/12-H-5.0-EX Terminal Block, 1732483 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732483), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/12-H-5.0-EX 1732483 -0 -24 -12 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_12-H-5.0_1x12_P5.0mm_Horizontal -Connector Phoenix Contact, SPT 2.5/12-H-5.0 Terminal Block, 1991079 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1991079), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/12-H-5.0 1991079 -0 -24 -12 -Connector_Phoenix_SPT -PhoenixContact_SPT_2.5_12-V-5.0-EX_1x12_P5.0mm_Vertical -Connector Phoenix Contact, SPT 2.5/12-V-5.0-EX Terminal Block, 1732593 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1732593), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 2.5/12-V-5.0-EX 1732593 -0 -24 -12 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_1-H-7.5_1x01_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/1-H-7.5 Terminal Block, 1719189 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719189), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/1-H-7.5 1719189 -0 -2 -1 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_1-V-7.5_1x01_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/1-V-7.5 Terminal Block, 1719309 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719309), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/1-V-7.5 1719309 -0 -2 -1 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_2-H-7.5-ZB_1x02_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/2-H-7.5-ZB Terminal Block, 1719192 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719192), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/2-H-7.5-ZB 1719192 -0 -2 -2 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_2-V-7.5_1x02_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/2-V-7.5 Terminal Block, 1738144 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1738144), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/2-V-7.5 1738144 -0 -4 -2 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_3-H-7.5-ZB_1x03_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/3-H-7.5-ZB Terminal Block, 1719202 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719202), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/3-H-7.5-ZB 1719202 -0 -3 -3 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_3-H-7.5_1x03_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/3-H-7.5 Terminal Block, 1701361 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1701361), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/3-H-7.5 1701361 -0 -6 -3 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_3-V-7.5-ZB_1x03_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/3-V-7.5-ZB Terminal Block, 1719325 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719325), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/3-V-7.5-ZB 1719325 -0 -3 -3 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_4-H-7.5-ZB_1x04_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/4-H-7.5-ZB Terminal Block, 1719215 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719215), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/4-H-7.5-ZB 1719215 -0 -4 -4 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_5-H-7.5-ZB_1x05_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/5-H-7.5-ZB Terminal Block, 1719228 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719228), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/5-H-7.5-ZB 1719228 -0 -5 -5 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_5-V-7.5-ZB_1x05_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/5-V-7.5-ZB Terminal Block, 1719341 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719341), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/5-V-7.5-ZB 1719341 -0 -5 -5 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_6-H-7.5-ZB_1x06_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/6-H-7.5-ZB Terminal Block, 1719231 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719231), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/6-H-7.5-ZB 1719231 -0 -6 -6 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_6-V-7.5-ZB_1x06_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/6-V-7.5-ZB Terminal Block, 1719354 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719354), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/6-V-7.5-ZB 1719354 -0 -6 -6 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_7-H-7.5-ZB_1x07_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/7-H-7.5-ZB Terminal Block, 1719244 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719244), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/7-H-7.5-ZB 1719244 -0 -7 -7 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_7-V-7.5-ZB_1x07_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/7-V-7.5-ZB Terminal Block, 1719367 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719367), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/7-V-7.5-ZB 1719367 -0 -7 -7 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_8-H-7.5-ZB_1x08_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/8-H-7.5-ZB Terminal Block, 1719257 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719257), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/8-H-7.5-ZB 1719257 -0 -8 -8 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_8-V-7.5-ZB_1x08_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/8-V-7.5-ZB Terminal Block, 1719370 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719370), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/8-V-7.5-ZB 1719370 -0 -8 -8 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_9-H-7.5-ZB_1x09_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/9-H-7.5-ZB Terminal Block, 1719260 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719260), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/9-H-7.5-ZB 1719260 -0 -9 -9 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_9-V-7.5-ZB_1x09_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/9-V-7.5-ZB Terminal Block, 1719383 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719383), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/9-V-7.5-ZB 1719383 -0 -9 -9 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_10-H-7.5-ZB_1x10_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/10-H-7.5-ZB Terminal Block, 1719273 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719273), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/10-H-7.5-ZB 1719273 -0 -10 -10 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_10-V-7.5-ZB_1x10_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/10-V-7.5-ZB Terminal Block, 1719396 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719396), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/10-V-7.5-ZB 1719396 -0 -10 -10 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_11-H-7.5-ZB_1x11_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/11-H-7.5-ZB Terminal Block, 1719286 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719286), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/11-H-7.5-ZB 1719286 -0 -11 -11 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_11-V-7.5-ZB_1x11_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/11-V-7.5-ZB Terminal Block, 1719406 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719406), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/11-V-7.5-ZB 1719406 -0 -11 -11 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_12-H-7.5-ZB_1x12_P7.5mm_Horizontal -Connector Phoenix Contact, SPT 5/12-H-7.5-ZB Terminal Block, 1719299 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719299), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/12-H-7.5-ZB 1719299 -0 -12 -12 -Connector_Phoenix_SPT -PhoenixContact_SPT_5_12-V-7.5-ZB_1x12_P7.5mm_Vertical -Connector Phoenix Contact, SPT 5/12-V-7.5-ZB Terminal Block, 1719419 (https://www.phoenixcontact.com/online/portal/gb/?uri=pxc-oc-itemdetail:pid=1719419), generated with kicad-footprint-generator -Connector Phoenix Contact SPT 5/12-V-7.5-ZB 1719419 -0 -12 -12 -Connector_Pin -Pin_D0.7mm_L6.5mm_W1.8mm_FlatFork -solder Pin_ with flat fork, hole diameter 0.7mm, length 6.5mm, width 1.8mm -solder Pin_ with flat fork -0 -1 -1 -Connector_Pin -Pin_D0.9mm_L10.0mm_W2.4mm_FlatFork -solder Pin_ with flat fork, hole diameter 0.9mm, length 10.0mm, width 2.4mm -solder Pin_ with flat fork -0 -1 -1 -Connector_Pin -Pin_D1.0mm_L10.0mm -solder Pin_ diameter 1.0mm, hole diameter 1.0mm (press fit), length 10.0mm -solder Pin_ press fit -0 -1 -1 -Connector_Pin -Pin_D1.0mm_L10.0mm_LooseFit -solder Pin_ diameter 1.0mm, hole diameter 1.2mm (loose fit), length 10.0mm -solder Pin_ loose fit -0 -1 -1 -Connector_Pin -Pin_D1.1mm_L8.5mm_W2.5mm_FlatFork -solder Pin_ with flat fork, hole diameter 1.1mm, length 8.5mm, width 2.5mm -solder Pin_ with flat fork -0 -1 -1 -Connector_Pin -Pin_D1.1mm_L10.2mm_W3.5mm_Flat -solder Pin_ with flat with hole, hole diameter 1.1mm, length 10.2mm, width 3.5mm -solder Pin_ with flat fork -0 -1 -1 -Connector_Pin -Pin_D1.2mm_L10.2mm_W2.9mm_FlatFork -solder Pin_ with flat with fork, hole diameter 1.2mm, length 11.3mm, width 3.0mm -solder Pin_ with flat fork -0 -1 -1 -Connector_Pin -Pin_D1.2mm_L11.3mm_W3.0mm_Flat -solder Pin_ with flat with hole, hole diameter 1.2mm, length 11.3mm, width 3.0mm -solder Pin_ with flat fork -0 -1 -1 -Connector_Pin -Pin_D1.3mm_L10.0mm_W3.5mm_Flat -solder Pin_ with flat with hole, hole diameter 1.3mm, length 10.0mm, width 3.5mm, e.g. Ettinger 13.13.865, https://katalog.ettinger.de/#p=434 -solder Pin_ with flat fork -0 -1 -1 -Connector_Pin -Pin_D1.3mm_L11.0mm -solder Pin_ diameter 1.3mm, hole diameter 1.3mm, length 11.0mm -solder Pin_ pressfit -0 -1 -1 -Connector_Pin -Pin_D1.3mm_L11.0mm_LooseFit -solder Pin_ diameter 1.3mm, hole diameter 1.5mm (loose fit), length 11.0mm -solder Pin_ loose fit -0 -1 -1 -Connector_Pin -Pin_D1.3mm_L11.3mm_W2.8mm_Flat -solder Pin_ with flat with hole, hole diameter 1.3mm, length 11.3mm, width 2.8mm -solder Pin_ with flat fork -0 -1 -1 -Connector_Pin -Pin_D1.4mm_L8.5mm_W2.8mm_FlatFork -solder Pin_ with flat with fork, hole diameter 1.4mm, length 8.5mm, width 2.8mm, e.g. Ettinger 13.13.890, https://katalog.ettinger.de/#p=434 -solder Pin_ with flat fork -0 -1 -1 -Connector_PinHeader_1.00mm -PinHeader_1x01_P1.00mm_Horizontal -Through hole angled pin header, 1x01, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x01 1.00mm single row -0 -1 -1 -Connector_PinHeader_1.00mm -PinHeader_1x01_P1.00mm_Vertical -Through hole straight pin header, 1x01, 1.00mm pitch, single row -Through hole pin header THT 1x01 1.00mm single row -0 -1 -1 -Connector_PinHeader_1.00mm -PinHeader_1x02_P1.00mm_Horizontal -Through hole angled pin header, 1x02, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x02 1.00mm single row -0 -2 -2 -Connector_PinHeader_1.00mm -PinHeader_1x02_P1.00mm_Vertical -Through hole straight pin header, 1x02, 1.00mm pitch, single row -Through hole pin header THT 1x02 1.00mm single row -0 -2 -2 -Connector_PinHeader_1.00mm -PinHeader_1x02_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x02, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x02 1.00mm single row style1 pin1 left -0 -2 -2 -Connector_PinHeader_1.00mm -PinHeader_1x02_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x02, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x02 1.00mm single row style2 pin1 right -0 -2 -2 -Connector_PinHeader_1.00mm -PinHeader_1x03_P1.00mm_Horizontal -Through hole angled pin header, 1x03, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x03 1.00mm single row -0 -3 -3 -Connector_PinHeader_1.00mm -PinHeader_1x03_P1.00mm_Vertical -Through hole straight pin header, 1x03, 1.00mm pitch, single row -Through hole pin header THT 1x03 1.00mm single row -0 -3 -3 -Connector_PinHeader_1.00mm -PinHeader_1x03_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x03, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x03 1.00mm single row style1 pin1 left -0 -3 -3 -Connector_PinHeader_1.00mm -PinHeader_1x03_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x03, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x03 1.00mm single row style2 pin1 right -0 -3 -3 -Connector_PinHeader_1.00mm -PinHeader_1x04_P1.00mm_Horizontal -Through hole angled pin header, 1x04, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x04 1.00mm single row -0 -4 -4 -Connector_PinHeader_1.00mm -PinHeader_1x04_P1.00mm_Vertical -Through hole straight pin header, 1x04, 1.00mm pitch, single row -Through hole pin header THT 1x04 1.00mm single row -0 -4 -4 -Connector_PinHeader_1.00mm -PinHeader_1x04_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x04, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x04 1.00mm single row style1 pin1 left -0 -4 -4 -Connector_PinHeader_1.00mm -PinHeader_1x04_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x04, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x04 1.00mm single row style2 pin1 right -0 -4 -4 -Connector_PinHeader_1.00mm -PinHeader_1x05_P1.00mm_Horizontal -Through hole angled pin header, 1x05, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x05 1.00mm single row -0 -5 -5 -Connector_PinHeader_1.00mm -PinHeader_1x05_P1.00mm_Vertical -Through hole straight pin header, 1x05, 1.00mm pitch, single row -Through hole pin header THT 1x05 1.00mm single row -0 -5 -5 -Connector_PinHeader_1.00mm -PinHeader_1x05_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x05, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x05 1.00mm single row style1 pin1 left -0 -5 -5 -Connector_PinHeader_1.00mm -PinHeader_1x05_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x05, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x05 1.00mm single row style2 pin1 right -0 -5 -5 -Connector_PinHeader_1.00mm -PinHeader_1x06_P1.00mm_Horizontal -Through hole angled pin header, 1x06, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x06 1.00mm single row -0 -6 -6 -Connector_PinHeader_1.00mm -PinHeader_1x06_P1.00mm_Vertical -Through hole straight pin header, 1x06, 1.00mm pitch, single row -Through hole pin header THT 1x06 1.00mm single row -0 -6 -6 -Connector_PinHeader_1.00mm -PinHeader_1x06_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x06, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x06 1.00mm single row style1 pin1 left -0 -6 -6 -Connector_PinHeader_1.00mm -PinHeader_1x06_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x06, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x06 1.00mm single row style2 pin1 right -0 -6 -6 -Connector_PinHeader_1.00mm -PinHeader_1x07_P1.00mm_Horizontal -Through hole angled pin header, 1x07, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x07 1.00mm single row -0 -7 -7 -Connector_PinHeader_1.00mm -PinHeader_1x07_P1.00mm_Vertical -Through hole straight pin header, 1x07, 1.00mm pitch, single row -Through hole pin header THT 1x07 1.00mm single row -0 -7 -7 -Connector_PinHeader_1.00mm -PinHeader_1x07_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x07, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x07 1.00mm single row style1 pin1 left -0 -7 -7 -Connector_PinHeader_1.00mm -PinHeader_1x07_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x07, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x07 1.00mm single row style2 pin1 right -0 -7 -7 -Connector_PinHeader_1.00mm -PinHeader_1x08_P1.00mm_Horizontal -Through hole angled pin header, 1x08, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x08 1.00mm single row -0 -8 -8 -Connector_PinHeader_1.00mm -PinHeader_1x08_P1.00mm_Vertical -Through hole straight pin header, 1x08, 1.00mm pitch, single row -Through hole pin header THT 1x08 1.00mm single row -0 -8 -8 -Connector_PinHeader_1.00mm -PinHeader_1x08_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x08, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x08 1.00mm single row style1 pin1 left -0 -8 -8 -Connector_PinHeader_1.00mm -PinHeader_1x08_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x08, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x08 1.00mm single row style2 pin1 right -0 -8 -8 -Connector_PinHeader_1.00mm -PinHeader_1x09_P1.00mm_Horizontal -Through hole angled pin header, 1x09, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x09 1.00mm single row -0 -9 -9 -Connector_PinHeader_1.00mm -PinHeader_1x09_P1.00mm_Vertical -Through hole straight pin header, 1x09, 1.00mm pitch, single row -Through hole pin header THT 1x09 1.00mm single row -0 -9 -9 -Connector_PinHeader_1.00mm -PinHeader_1x09_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x09, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x09 1.00mm single row style1 pin1 left -0 -9 -9 -Connector_PinHeader_1.00mm -PinHeader_1x09_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x09, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x09 1.00mm single row style2 pin1 right -0 -9 -9 -Connector_PinHeader_1.00mm -PinHeader_1x10_P1.00mm_Horizontal -Through hole angled pin header, 1x10, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x10 1.00mm single row -0 -10 -10 -Connector_PinHeader_1.00mm -PinHeader_1x10_P1.00mm_Vertical -Through hole straight pin header, 1x10, 1.00mm pitch, single row -Through hole pin header THT 1x10 1.00mm single row -0 -10 -10 -Connector_PinHeader_1.00mm -PinHeader_1x10_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x10, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x10 1.00mm single row style1 pin1 left -0 -10 -10 -Connector_PinHeader_1.00mm -PinHeader_1x10_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x10, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x10 1.00mm single row style2 pin1 right -0 -10 -10 -Connector_PinHeader_1.00mm -PinHeader_1x11_P1.00mm_Horizontal -Through hole angled pin header, 1x11, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x11 1.00mm single row -0 -11 -11 -Connector_PinHeader_1.00mm -PinHeader_1x11_P1.00mm_Vertical -Through hole straight pin header, 1x11, 1.00mm pitch, single row -Through hole pin header THT 1x11 1.00mm single row -0 -11 -11 -Connector_PinHeader_1.00mm -PinHeader_1x11_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x11, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x11 1.00mm single row style1 pin1 left -0 -11 -11 -Connector_PinHeader_1.00mm -PinHeader_1x11_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x11, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x11 1.00mm single row style2 pin1 right -0 -11 -11 -Connector_PinHeader_1.00mm -PinHeader_1x12_P1.00mm_Horizontal -Through hole angled pin header, 1x12, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x12 1.00mm single row -0 -12 -12 -Connector_PinHeader_1.00mm -PinHeader_1x12_P1.00mm_Vertical -Through hole straight pin header, 1x12, 1.00mm pitch, single row -Through hole pin header THT 1x12 1.00mm single row -0 -12 -12 -Connector_PinHeader_1.00mm -PinHeader_1x12_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x12, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x12 1.00mm single row style1 pin1 left -0 -12 -12 -Connector_PinHeader_1.00mm -PinHeader_1x12_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x12, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x12 1.00mm single row style2 pin1 right -0 -12 -12 -Connector_PinHeader_1.00mm -PinHeader_1x13_P1.00mm_Horizontal -Through hole angled pin header, 1x13, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x13 1.00mm single row -0 -13 -13 -Connector_PinHeader_1.00mm -PinHeader_1x13_P1.00mm_Vertical -Through hole straight pin header, 1x13, 1.00mm pitch, single row -Through hole pin header THT 1x13 1.00mm single row -0 -13 -13 -Connector_PinHeader_1.00mm -PinHeader_1x13_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x13, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x13 1.00mm single row style1 pin1 left -0 -13 -13 -Connector_PinHeader_1.00mm -PinHeader_1x13_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x13, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x13 1.00mm single row style2 pin1 right -0 -13 -13 -Connector_PinHeader_1.00mm -PinHeader_1x14_P1.00mm_Horizontal -Through hole angled pin header, 1x14, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x14 1.00mm single row -0 -14 -14 -Connector_PinHeader_1.00mm -PinHeader_1x14_P1.00mm_Vertical -Through hole straight pin header, 1x14, 1.00mm pitch, single row -Through hole pin header THT 1x14 1.00mm single row -0 -14 -14 -Connector_PinHeader_1.00mm -PinHeader_1x14_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x14, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x14 1.00mm single row style1 pin1 left -0 -14 -14 -Connector_PinHeader_1.00mm -PinHeader_1x14_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x14, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x14 1.00mm single row style2 pin1 right -0 -14 -14 -Connector_PinHeader_1.00mm -PinHeader_1x15_P1.00mm_Horizontal -Through hole angled pin header, 1x15, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x15 1.00mm single row -0 -15 -15 -Connector_PinHeader_1.00mm -PinHeader_1x15_P1.00mm_Vertical -Through hole straight pin header, 1x15, 1.00mm pitch, single row -Through hole pin header THT 1x15 1.00mm single row -0 -15 -15 -Connector_PinHeader_1.00mm -PinHeader_1x15_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x15, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x15 1.00mm single row style1 pin1 left -0 -15 -15 -Connector_PinHeader_1.00mm -PinHeader_1x15_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x15, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x15 1.00mm single row style2 pin1 right -0 -15 -15 -Connector_PinHeader_1.00mm -PinHeader_1x16_P1.00mm_Horizontal -Through hole angled pin header, 1x16, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x16 1.00mm single row -0 -16 -16 -Connector_PinHeader_1.00mm -PinHeader_1x16_P1.00mm_Vertical -Through hole straight pin header, 1x16, 1.00mm pitch, single row -Through hole pin header THT 1x16 1.00mm single row -0 -16 -16 -Connector_PinHeader_1.00mm -PinHeader_1x16_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x16, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x16 1.00mm single row style1 pin1 left -0 -16 -16 -Connector_PinHeader_1.00mm -PinHeader_1x16_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x16, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x16 1.00mm single row style2 pin1 right -0 -16 -16 -Connector_PinHeader_1.00mm -PinHeader_1x17_P1.00mm_Horizontal -Through hole angled pin header, 1x17, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x17 1.00mm single row -0 -17 -17 -Connector_PinHeader_1.00mm -PinHeader_1x17_P1.00mm_Vertical -Through hole straight pin header, 1x17, 1.00mm pitch, single row -Through hole pin header THT 1x17 1.00mm single row -0 -17 -17 -Connector_PinHeader_1.00mm -PinHeader_1x17_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x17, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x17 1.00mm single row style1 pin1 left -0 -17 -17 -Connector_PinHeader_1.00mm -PinHeader_1x17_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x17, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x17 1.00mm single row style2 pin1 right -0 -17 -17 -Connector_PinHeader_1.00mm -PinHeader_1x18_P1.00mm_Horizontal -Through hole angled pin header, 1x18, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x18 1.00mm single row -0 -18 -18 -Connector_PinHeader_1.00mm -PinHeader_1x18_P1.00mm_Vertical -Through hole straight pin header, 1x18, 1.00mm pitch, single row -Through hole pin header THT 1x18 1.00mm single row -0 -18 -18 -Connector_PinHeader_1.00mm -PinHeader_1x18_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x18, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x18 1.00mm single row style1 pin1 left -0 -18 -18 -Connector_PinHeader_1.00mm -PinHeader_1x18_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x18, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x18 1.00mm single row style2 pin1 right -0 -18 -18 -Connector_PinHeader_1.00mm -PinHeader_1x19_P1.00mm_Horizontal -Through hole angled pin header, 1x19, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x19 1.00mm single row -0 -19 -19 -Connector_PinHeader_1.00mm -PinHeader_1x19_P1.00mm_Vertical -Through hole straight pin header, 1x19, 1.00mm pitch, single row -Through hole pin header THT 1x19 1.00mm single row -0 -19 -19 -Connector_PinHeader_1.00mm -PinHeader_1x19_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x19, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x19 1.00mm single row style1 pin1 left -0 -19 -19 -Connector_PinHeader_1.00mm -PinHeader_1x19_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x19, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x19 1.00mm single row style2 pin1 right -0 -19 -19 -Connector_PinHeader_1.00mm -PinHeader_1x20_P1.00mm_Horizontal -Through hole angled pin header, 1x20, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x20 1.00mm single row -0 -20 -20 -Connector_PinHeader_1.00mm -PinHeader_1x20_P1.00mm_Vertical -Through hole straight pin header, 1x20, 1.00mm pitch, single row -Through hole pin header THT 1x20 1.00mm single row -0 -20 -20 -Connector_PinHeader_1.00mm -PinHeader_1x20_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x20, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x20 1.00mm single row style1 pin1 left -0 -20 -20 -Connector_PinHeader_1.00mm -PinHeader_1x20_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x20, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x20 1.00mm single row style2 pin1 right -0 -20 -20 -Connector_PinHeader_1.00mm -PinHeader_1x21_P1.00mm_Horizontal -Through hole angled pin header, 1x21, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x21 1.00mm single row -0 -21 -21 -Connector_PinHeader_1.00mm -PinHeader_1x21_P1.00mm_Vertical -Through hole straight pin header, 1x21, 1.00mm pitch, single row -Through hole pin header THT 1x21 1.00mm single row -0 -21 -21 -Connector_PinHeader_1.00mm -PinHeader_1x21_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x21, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x21 1.00mm single row style1 pin1 left -0 -21 -21 -Connector_PinHeader_1.00mm -PinHeader_1x21_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x21, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x21 1.00mm single row style2 pin1 right -0 -21 -21 -Connector_PinHeader_1.00mm -PinHeader_1x22_P1.00mm_Horizontal -Through hole angled pin header, 1x22, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x22 1.00mm single row -0 -22 -22 -Connector_PinHeader_1.00mm -PinHeader_1x22_P1.00mm_Vertical -Through hole straight pin header, 1x22, 1.00mm pitch, single row -Through hole pin header THT 1x22 1.00mm single row -0 -22 -22 -Connector_PinHeader_1.00mm -PinHeader_1x22_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x22, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x22 1.00mm single row style1 pin1 left -0 -22 -22 -Connector_PinHeader_1.00mm -PinHeader_1x22_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x22, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x22 1.00mm single row style2 pin1 right -0 -22 -22 -Connector_PinHeader_1.00mm -PinHeader_1x23_P1.00mm_Horizontal -Through hole angled pin header, 1x23, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x23 1.00mm single row -0 -23 -23 -Connector_PinHeader_1.00mm -PinHeader_1x23_P1.00mm_Vertical -Through hole straight pin header, 1x23, 1.00mm pitch, single row -Through hole pin header THT 1x23 1.00mm single row -0 -23 -23 -Connector_PinHeader_1.00mm -PinHeader_1x23_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x23, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x23 1.00mm single row style1 pin1 left -0 -23 -23 -Connector_PinHeader_1.00mm -PinHeader_1x23_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x23, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x23 1.00mm single row style2 pin1 right -0 -23 -23 -Connector_PinHeader_1.00mm -PinHeader_1x24_P1.00mm_Horizontal -Through hole angled pin header, 1x24, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x24 1.00mm single row -0 -24 -24 -Connector_PinHeader_1.00mm -PinHeader_1x24_P1.00mm_Vertical -Through hole straight pin header, 1x24, 1.00mm pitch, single row -Through hole pin header THT 1x24 1.00mm single row -0 -24 -24 -Connector_PinHeader_1.00mm -PinHeader_1x24_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x24, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x24 1.00mm single row style1 pin1 left -0 -24 -24 -Connector_PinHeader_1.00mm -PinHeader_1x24_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x24, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x24 1.00mm single row style2 pin1 right -0 -24 -24 -Connector_PinHeader_1.00mm -PinHeader_1x25_P1.00mm_Horizontal -Through hole angled pin header, 1x25, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x25 1.00mm single row -0 -25 -25 -Connector_PinHeader_1.00mm -PinHeader_1x25_P1.00mm_Vertical -Through hole straight pin header, 1x25, 1.00mm pitch, single row -Through hole pin header THT 1x25 1.00mm single row -0 -25 -25 -Connector_PinHeader_1.00mm -PinHeader_1x25_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x25, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x25 1.00mm single row style1 pin1 left -0 -25 -25 -Connector_PinHeader_1.00mm -PinHeader_1x25_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x25, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x25 1.00mm single row style2 pin1 right -0 -25 -25 -Connector_PinHeader_1.00mm -PinHeader_1x26_P1.00mm_Horizontal -Through hole angled pin header, 1x26, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x26 1.00mm single row -0 -26 -26 -Connector_PinHeader_1.00mm -PinHeader_1x26_P1.00mm_Vertical -Through hole straight pin header, 1x26, 1.00mm pitch, single row -Through hole pin header THT 1x26 1.00mm single row -0 -26 -26 -Connector_PinHeader_1.00mm -PinHeader_1x26_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x26, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x26 1.00mm single row style1 pin1 left -0 -26 -26 -Connector_PinHeader_1.00mm -PinHeader_1x26_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x26, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x26 1.00mm single row style2 pin1 right -0 -26 -26 -Connector_PinHeader_1.00mm -PinHeader_1x27_P1.00mm_Horizontal -Through hole angled pin header, 1x27, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x27 1.00mm single row -0 -27 -27 -Connector_PinHeader_1.00mm -PinHeader_1x27_P1.00mm_Vertical -Through hole straight pin header, 1x27, 1.00mm pitch, single row -Through hole pin header THT 1x27 1.00mm single row -0 -27 -27 -Connector_PinHeader_1.00mm -PinHeader_1x27_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x27, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x27 1.00mm single row style1 pin1 left -0 -27 -27 -Connector_PinHeader_1.00mm -PinHeader_1x27_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x27, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x27 1.00mm single row style2 pin1 right -0 -27 -27 -Connector_PinHeader_1.00mm -PinHeader_1x28_P1.00mm_Horizontal -Through hole angled pin header, 1x28, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x28 1.00mm single row -0 -28 -28 -Connector_PinHeader_1.00mm -PinHeader_1x28_P1.00mm_Vertical -Through hole straight pin header, 1x28, 1.00mm pitch, single row -Through hole pin header THT 1x28 1.00mm single row -0 -28 -28 -Connector_PinHeader_1.00mm -PinHeader_1x28_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x28, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x28 1.00mm single row style1 pin1 left -0 -28 -28 -Connector_PinHeader_1.00mm -PinHeader_1x28_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x28, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x28 1.00mm single row style2 pin1 right -0 -28 -28 -Connector_PinHeader_1.00mm -PinHeader_1x29_P1.00mm_Horizontal -Through hole angled pin header, 1x29, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x29 1.00mm single row -0 -29 -29 -Connector_PinHeader_1.00mm -PinHeader_1x29_P1.00mm_Vertical -Through hole straight pin header, 1x29, 1.00mm pitch, single row -Through hole pin header THT 1x29 1.00mm single row -0 -29 -29 -Connector_PinHeader_1.00mm -PinHeader_1x29_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x29, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x29 1.00mm single row style1 pin1 left -0 -29 -29 -Connector_PinHeader_1.00mm -PinHeader_1x29_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x29, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x29 1.00mm single row style2 pin1 right -0 -29 -29 -Connector_PinHeader_1.00mm -PinHeader_1x30_P1.00mm_Horizontal -Through hole angled pin header, 1x30, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x30 1.00mm single row -0 -30 -30 -Connector_PinHeader_1.00mm -PinHeader_1x30_P1.00mm_Vertical -Through hole straight pin header, 1x30, 1.00mm pitch, single row -Through hole pin header THT 1x30 1.00mm single row -0 -30 -30 -Connector_PinHeader_1.00mm -PinHeader_1x30_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x30, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x30 1.00mm single row style1 pin1 left -0 -30 -30 -Connector_PinHeader_1.00mm -PinHeader_1x30_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x30, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x30 1.00mm single row style2 pin1 right -0 -30 -30 -Connector_PinHeader_1.00mm -PinHeader_1x31_P1.00mm_Horizontal -Through hole angled pin header, 1x31, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x31 1.00mm single row -0 -31 -31 -Connector_PinHeader_1.00mm -PinHeader_1x31_P1.00mm_Vertical -Through hole straight pin header, 1x31, 1.00mm pitch, single row -Through hole pin header THT 1x31 1.00mm single row -0 -31 -31 -Connector_PinHeader_1.00mm -PinHeader_1x31_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x31, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x31 1.00mm single row style1 pin1 left -0 -31 -31 -Connector_PinHeader_1.00mm -PinHeader_1x31_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x31, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x31 1.00mm single row style2 pin1 right -0 -31 -31 -Connector_PinHeader_1.00mm -PinHeader_1x32_P1.00mm_Horizontal -Through hole angled pin header, 1x32, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x32 1.00mm single row -0 -32 -32 -Connector_PinHeader_1.00mm -PinHeader_1x32_P1.00mm_Vertical -Through hole straight pin header, 1x32, 1.00mm pitch, single row -Through hole pin header THT 1x32 1.00mm single row -0 -32 -32 -Connector_PinHeader_1.00mm -PinHeader_1x32_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x32, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x32 1.00mm single row style1 pin1 left -0 -32 -32 -Connector_PinHeader_1.00mm -PinHeader_1x32_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x32, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x32 1.00mm single row style2 pin1 right -0 -32 -32 -Connector_PinHeader_1.00mm -PinHeader_1x33_P1.00mm_Horizontal -Through hole angled pin header, 1x33, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x33 1.00mm single row -0 -33 -33 -Connector_PinHeader_1.00mm -PinHeader_1x33_P1.00mm_Vertical -Through hole straight pin header, 1x33, 1.00mm pitch, single row -Through hole pin header THT 1x33 1.00mm single row -0 -33 -33 -Connector_PinHeader_1.00mm -PinHeader_1x33_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x33, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x33 1.00mm single row style1 pin1 left -0 -33 -33 -Connector_PinHeader_1.00mm -PinHeader_1x33_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x33, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x33 1.00mm single row style2 pin1 right -0 -33 -33 -Connector_PinHeader_1.00mm -PinHeader_1x34_P1.00mm_Horizontal -Through hole angled pin header, 1x34, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x34 1.00mm single row -0 -34 -34 -Connector_PinHeader_1.00mm -PinHeader_1x34_P1.00mm_Vertical -Through hole straight pin header, 1x34, 1.00mm pitch, single row -Through hole pin header THT 1x34 1.00mm single row -0 -34 -34 -Connector_PinHeader_1.00mm -PinHeader_1x34_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x34, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x34 1.00mm single row style1 pin1 left -0 -34 -34 -Connector_PinHeader_1.00mm -PinHeader_1x34_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x34, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x34 1.00mm single row style2 pin1 right -0 -34 -34 -Connector_PinHeader_1.00mm -PinHeader_1x35_P1.00mm_Horizontal -Through hole angled pin header, 1x35, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x35 1.00mm single row -0 -35 -35 -Connector_PinHeader_1.00mm -PinHeader_1x35_P1.00mm_Vertical -Through hole straight pin header, 1x35, 1.00mm pitch, single row -Through hole pin header THT 1x35 1.00mm single row -0 -35 -35 -Connector_PinHeader_1.00mm -PinHeader_1x35_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x35, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x35 1.00mm single row style1 pin1 left -0 -35 -35 -Connector_PinHeader_1.00mm -PinHeader_1x35_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x35, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x35 1.00mm single row style2 pin1 right -0 -35 -35 -Connector_PinHeader_1.00mm -PinHeader_1x36_P1.00mm_Horizontal -Through hole angled pin header, 1x36, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x36 1.00mm single row -0 -36 -36 -Connector_PinHeader_1.00mm -PinHeader_1x36_P1.00mm_Vertical -Through hole straight pin header, 1x36, 1.00mm pitch, single row -Through hole pin header THT 1x36 1.00mm single row -0 -36 -36 -Connector_PinHeader_1.00mm -PinHeader_1x36_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x36, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x36 1.00mm single row style1 pin1 left -0 -36 -36 -Connector_PinHeader_1.00mm -PinHeader_1x36_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x36, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x36 1.00mm single row style2 pin1 right -0 -36 -36 -Connector_PinHeader_1.00mm -PinHeader_1x37_P1.00mm_Horizontal -Through hole angled pin header, 1x37, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x37 1.00mm single row -0 -37 -37 -Connector_PinHeader_1.00mm -PinHeader_1x37_P1.00mm_Vertical -Through hole straight pin header, 1x37, 1.00mm pitch, single row -Through hole pin header THT 1x37 1.00mm single row -0 -37 -37 -Connector_PinHeader_1.00mm -PinHeader_1x37_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x37, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x37 1.00mm single row style1 pin1 left -0 -37 -37 -Connector_PinHeader_1.00mm -PinHeader_1x37_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x37, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x37 1.00mm single row style2 pin1 right -0 -37 -37 -Connector_PinHeader_1.00mm -PinHeader_1x38_P1.00mm_Horizontal -Through hole angled pin header, 1x38, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x38 1.00mm single row -0 -38 -38 -Connector_PinHeader_1.00mm -PinHeader_1x38_P1.00mm_Vertical -Through hole straight pin header, 1x38, 1.00mm pitch, single row -Through hole pin header THT 1x38 1.00mm single row -0 -38 -38 -Connector_PinHeader_1.00mm -PinHeader_1x38_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x38, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x38 1.00mm single row style1 pin1 left -0 -38 -38 -Connector_PinHeader_1.00mm -PinHeader_1x38_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x38, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x38 1.00mm single row style2 pin1 right -0 -38 -38 -Connector_PinHeader_1.00mm -PinHeader_1x39_P1.00mm_Horizontal -Through hole angled pin header, 1x39, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x39 1.00mm single row -0 -39 -39 -Connector_PinHeader_1.00mm -PinHeader_1x39_P1.00mm_Vertical -Through hole straight pin header, 1x39, 1.00mm pitch, single row -Through hole pin header THT 1x39 1.00mm single row -0 -39 -39 -Connector_PinHeader_1.00mm -PinHeader_1x39_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x39, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x39 1.00mm single row style1 pin1 left -0 -39 -39 -Connector_PinHeader_1.00mm -PinHeader_1x39_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x39, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x39 1.00mm single row style2 pin1 right -0 -39 -39 -Connector_PinHeader_1.00mm -PinHeader_1x40_P1.00mm_Horizontal -Through hole angled pin header, 1x40, 1.00mm pitch, 2.0mm pin length, single row -Through hole angled pin header THT 1x40 1.00mm single row -0 -40 -40 -Connector_PinHeader_1.00mm -PinHeader_1x40_P1.00mm_Vertical -Through hole straight pin header, 1x40, 1.00mm pitch, single row -Through hole pin header THT 1x40 1.00mm single row -0 -40 -40 -Connector_PinHeader_1.00mm -PinHeader_1x40_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x40, 1.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x40 1.00mm single row style1 pin1 left -0 -40 -40 -Connector_PinHeader_1.00mm -PinHeader_1x40_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x40, 1.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x40 1.00mm single row style2 pin1 right -0 -40 -40 -Connector_PinHeader_1.00mm -PinHeader_2x01_P1.00mm_Horizontal -Through hole angled pin header, 2x01, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x01 1.00mm double row -0 -2 -2 -Connector_PinHeader_1.00mm -PinHeader_2x01_P1.00mm_Vertical -Through hole straight pin header, 2x01, 1.00mm pitch, double rows -Through hole pin header THT 2x01 1.00mm double row -0 -2 -2 -Connector_PinHeader_1.00mm -PinHeader_2x01_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x01, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x01 1.00mm double row -0 -2 -2 -Connector_PinHeader_1.00mm -PinHeader_2x02_P1.00mm_Horizontal -Through hole angled pin header, 2x02, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x02 1.00mm double row -0 -4 -4 -Connector_PinHeader_1.00mm -PinHeader_2x02_P1.00mm_Vertical -Through hole straight pin header, 2x02, 1.00mm pitch, double rows -Through hole pin header THT 2x02 1.00mm double row -0 -4 -4 -Connector_PinHeader_1.00mm -PinHeader_2x02_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x02, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x02 1.00mm double row -0 -4 -4 -Connector_PinHeader_1.00mm -PinHeader_2x03_P1.00mm_Horizontal -Through hole angled pin header, 2x03, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x03 1.00mm double row -0 -6 -6 -Connector_PinHeader_1.00mm -PinHeader_2x03_P1.00mm_Vertical -Through hole straight pin header, 2x03, 1.00mm pitch, double rows -Through hole pin header THT 2x03 1.00mm double row -0 -6 -6 -Connector_PinHeader_1.00mm -PinHeader_2x03_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x03, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x03 1.00mm double row -0 -6 -6 -Connector_PinHeader_1.00mm -PinHeader_2x04_P1.00mm_Horizontal -Through hole angled pin header, 2x04, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x04 1.00mm double row -0 -8 -8 -Connector_PinHeader_1.00mm -PinHeader_2x04_P1.00mm_Vertical -Through hole straight pin header, 2x04, 1.00mm pitch, double rows -Through hole pin header THT 2x04 1.00mm double row -0 -8 -8 -Connector_PinHeader_1.00mm -PinHeader_2x04_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x04, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x04 1.00mm double row -0 -8 -8 -Connector_PinHeader_1.00mm -PinHeader_2x05_P1.00mm_Horizontal -Through hole angled pin header, 2x05, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x05 1.00mm double row -0 -10 -10 -Connector_PinHeader_1.00mm -PinHeader_2x05_P1.00mm_Vertical -Through hole straight pin header, 2x05, 1.00mm pitch, double rows -Through hole pin header THT 2x05 1.00mm double row -0 -10 -10 -Connector_PinHeader_1.00mm -PinHeader_2x05_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x05, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x05 1.00mm double row -0 -10 -10 -Connector_PinHeader_1.00mm -PinHeader_2x06_P1.00mm_Horizontal -Through hole angled pin header, 2x06, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x06 1.00mm double row -0 -12 -12 -Connector_PinHeader_1.00mm -PinHeader_2x06_P1.00mm_Vertical -Through hole straight pin header, 2x06, 1.00mm pitch, double rows -Through hole pin header THT 2x06 1.00mm double row -0 -12 -12 -Connector_PinHeader_1.00mm -PinHeader_2x06_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x06, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x06 1.00mm double row -0 -12 -12 -Connector_PinHeader_1.00mm -PinHeader_2x07_P1.00mm_Horizontal -Through hole angled pin header, 2x07, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x07 1.00mm double row -0 -14 -14 -Connector_PinHeader_1.00mm -PinHeader_2x07_P1.00mm_Vertical -Through hole straight pin header, 2x07, 1.00mm pitch, double rows -Through hole pin header THT 2x07 1.00mm double row -0 -14 -14 -Connector_PinHeader_1.00mm -PinHeader_2x07_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x07, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x07 1.00mm double row -0 -14 -14 -Connector_PinHeader_1.00mm -PinHeader_2x08_P1.00mm_Horizontal -Through hole angled pin header, 2x08, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x08 1.00mm double row -0 -16 -16 -Connector_PinHeader_1.00mm -PinHeader_2x08_P1.00mm_Vertical -Through hole straight pin header, 2x08, 1.00mm pitch, double rows -Through hole pin header THT 2x08 1.00mm double row -0 -16 -16 -Connector_PinHeader_1.00mm -PinHeader_2x08_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x08, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x08 1.00mm double row -0 -16 -16 -Connector_PinHeader_1.00mm -PinHeader_2x09_P1.00mm_Horizontal -Through hole angled pin header, 2x09, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x09 1.00mm double row -0 -18 -18 -Connector_PinHeader_1.00mm -PinHeader_2x09_P1.00mm_Vertical -Through hole straight pin header, 2x09, 1.00mm pitch, double rows -Through hole pin header THT 2x09 1.00mm double row -0 -18 -18 -Connector_PinHeader_1.00mm -PinHeader_2x09_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x09, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x09 1.00mm double row -0 -18 -18 -Connector_PinHeader_1.00mm -PinHeader_2x10_P1.00mm_Horizontal -Through hole angled pin header, 2x10, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x10 1.00mm double row -0 -20 -20 -Connector_PinHeader_1.00mm -PinHeader_2x10_P1.00mm_Vertical -Through hole straight pin header, 2x10, 1.00mm pitch, double rows -Through hole pin header THT 2x10 1.00mm double row -0 -20 -20 -Connector_PinHeader_1.00mm -PinHeader_2x10_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x10, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x10 1.00mm double row -0 -20 -20 -Connector_PinHeader_1.00mm -PinHeader_2x11_P1.00mm_Horizontal -Through hole angled pin header, 2x11, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x11 1.00mm double row -0 -22 -22 -Connector_PinHeader_1.00mm -PinHeader_2x11_P1.00mm_Vertical -Through hole straight pin header, 2x11, 1.00mm pitch, double rows -Through hole pin header THT 2x11 1.00mm double row -0 -22 -22 -Connector_PinHeader_1.00mm -PinHeader_2x11_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x11, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x11 1.00mm double row -0 -22 -22 -Connector_PinHeader_1.00mm -PinHeader_2x12_P1.00mm_Horizontal -Through hole angled pin header, 2x12, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x12 1.00mm double row -0 -24 -24 -Connector_PinHeader_1.00mm -PinHeader_2x12_P1.00mm_Vertical -Through hole straight pin header, 2x12, 1.00mm pitch, double rows -Through hole pin header THT 2x12 1.00mm double row -0 -24 -24 -Connector_PinHeader_1.00mm -PinHeader_2x12_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x12, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x12 1.00mm double row -0 -24 -24 -Connector_PinHeader_1.00mm -PinHeader_2x13_P1.00mm_Horizontal -Through hole angled pin header, 2x13, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x13 1.00mm double row -0 -26 -26 -Connector_PinHeader_1.00mm -PinHeader_2x13_P1.00mm_Vertical -Through hole straight pin header, 2x13, 1.00mm pitch, double rows -Through hole pin header THT 2x13 1.00mm double row -0 -26 -26 -Connector_PinHeader_1.00mm -PinHeader_2x13_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x13, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x13 1.00mm double row -0 -26 -26 -Connector_PinHeader_1.00mm -PinHeader_2x14_P1.00mm_Horizontal -Through hole angled pin header, 2x14, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x14 1.00mm double row -0 -28 -28 -Connector_PinHeader_1.00mm -PinHeader_2x14_P1.00mm_Vertical -Through hole straight pin header, 2x14, 1.00mm pitch, double rows -Through hole pin header THT 2x14 1.00mm double row -0 -28 -28 -Connector_PinHeader_1.00mm -PinHeader_2x14_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x14, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x14 1.00mm double row -0 -28 -28 -Connector_PinHeader_1.00mm -PinHeader_2x15_P1.00mm_Horizontal -Through hole angled pin header, 2x15, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x15 1.00mm double row -0 -30 -30 -Connector_PinHeader_1.00mm -PinHeader_2x15_P1.00mm_Vertical -Through hole straight pin header, 2x15, 1.00mm pitch, double rows -Through hole pin header THT 2x15 1.00mm double row -0 -30 -30 -Connector_PinHeader_1.00mm -PinHeader_2x15_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x15, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x15 1.00mm double row -0 -30 -30 -Connector_PinHeader_1.00mm -PinHeader_2x16_P1.00mm_Horizontal -Through hole angled pin header, 2x16, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x16 1.00mm double row -0 -32 -32 -Connector_PinHeader_1.00mm -PinHeader_2x16_P1.00mm_Vertical -Through hole straight pin header, 2x16, 1.00mm pitch, double rows -Through hole pin header THT 2x16 1.00mm double row -0 -32 -32 -Connector_PinHeader_1.00mm -PinHeader_2x16_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x16, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x16 1.00mm double row -0 -32 -32 -Connector_PinHeader_1.00mm -PinHeader_2x17_P1.00mm_Horizontal -Through hole angled pin header, 2x17, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x17 1.00mm double row -0 -34 -34 -Connector_PinHeader_1.00mm -PinHeader_2x17_P1.00mm_Vertical -Through hole straight pin header, 2x17, 1.00mm pitch, double rows -Through hole pin header THT 2x17 1.00mm double row -0 -34 -34 -Connector_PinHeader_1.00mm -PinHeader_2x17_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x17, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x17 1.00mm double row -0 -34 -34 -Connector_PinHeader_1.00mm -PinHeader_2x18_P1.00mm_Horizontal -Through hole angled pin header, 2x18, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x18 1.00mm double row -0 -36 -36 -Connector_PinHeader_1.00mm -PinHeader_2x18_P1.00mm_Vertical -Through hole straight pin header, 2x18, 1.00mm pitch, double rows -Through hole pin header THT 2x18 1.00mm double row -0 -36 -36 -Connector_PinHeader_1.00mm -PinHeader_2x18_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x18, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x18 1.00mm double row -0 -36 -36 -Connector_PinHeader_1.00mm -PinHeader_2x19_P1.00mm_Horizontal -Through hole angled pin header, 2x19, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x19 1.00mm double row -0 -38 -38 -Connector_PinHeader_1.00mm -PinHeader_2x19_P1.00mm_Vertical -Through hole straight pin header, 2x19, 1.00mm pitch, double rows -Through hole pin header THT 2x19 1.00mm double row -0 -38 -38 -Connector_PinHeader_1.00mm -PinHeader_2x19_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x19, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x19 1.00mm double row -0 -38 -38 -Connector_PinHeader_1.00mm -PinHeader_2x20_P1.00mm_Horizontal -Through hole angled pin header, 2x20, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x20 1.00mm double row -0 -40 -40 -Connector_PinHeader_1.00mm -PinHeader_2x20_P1.00mm_Vertical -Through hole straight pin header, 2x20, 1.00mm pitch, double rows -Through hole pin header THT 2x20 1.00mm double row -0 -40 -40 -Connector_PinHeader_1.00mm -PinHeader_2x20_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x20, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x20 1.00mm double row -0 -40 -40 -Connector_PinHeader_1.00mm -PinHeader_2x21_P1.00mm_Horizontal -Through hole angled pin header, 2x21, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x21 1.00mm double row -0 -42 -42 -Connector_PinHeader_1.00mm -PinHeader_2x21_P1.00mm_Vertical -Through hole straight pin header, 2x21, 1.00mm pitch, double rows -Through hole pin header THT 2x21 1.00mm double row -0 -42 -42 -Connector_PinHeader_1.00mm -PinHeader_2x21_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x21, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x21 1.00mm double row -0 -42 -42 -Connector_PinHeader_1.00mm -PinHeader_2x22_P1.00mm_Horizontal -Through hole angled pin header, 2x22, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x22 1.00mm double row -0 -44 -44 -Connector_PinHeader_1.00mm -PinHeader_2x22_P1.00mm_Vertical -Through hole straight pin header, 2x22, 1.00mm pitch, double rows -Through hole pin header THT 2x22 1.00mm double row -0 -44 -44 -Connector_PinHeader_1.00mm -PinHeader_2x22_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x22, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x22 1.00mm double row -0 -44 -44 -Connector_PinHeader_1.00mm -PinHeader_2x23_P1.00mm_Horizontal -Through hole angled pin header, 2x23, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x23 1.00mm double row -0 -46 -46 -Connector_PinHeader_1.00mm -PinHeader_2x23_P1.00mm_Vertical -Through hole straight pin header, 2x23, 1.00mm pitch, double rows -Through hole pin header THT 2x23 1.00mm double row -0 -46 -46 -Connector_PinHeader_1.00mm -PinHeader_2x23_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x23, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x23 1.00mm double row -0 -46 -46 -Connector_PinHeader_1.00mm -PinHeader_2x24_P1.00mm_Horizontal -Through hole angled pin header, 2x24, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x24 1.00mm double row -0 -48 -48 -Connector_PinHeader_1.00mm -PinHeader_2x24_P1.00mm_Vertical -Through hole straight pin header, 2x24, 1.00mm pitch, double rows -Through hole pin header THT 2x24 1.00mm double row -0 -48 -48 -Connector_PinHeader_1.00mm -PinHeader_2x24_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x24, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x24 1.00mm double row -0 -48 -48 -Connector_PinHeader_1.00mm -PinHeader_2x25_P1.00mm_Horizontal -Through hole angled pin header, 2x25, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x25 1.00mm double row -0 -50 -50 -Connector_PinHeader_1.00mm -PinHeader_2x25_P1.00mm_Vertical -Through hole straight pin header, 2x25, 1.00mm pitch, double rows -Through hole pin header THT 2x25 1.00mm double row -0 -50 -50 -Connector_PinHeader_1.00mm -PinHeader_2x25_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x25, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x25 1.00mm double row -0 -50 -50 -Connector_PinHeader_1.00mm -PinHeader_2x26_P1.00mm_Horizontal -Through hole angled pin header, 2x26, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x26 1.00mm double row -0 -52 -52 -Connector_PinHeader_1.00mm -PinHeader_2x26_P1.00mm_Vertical -Through hole straight pin header, 2x26, 1.00mm pitch, double rows -Through hole pin header THT 2x26 1.00mm double row -0 -52 -52 -Connector_PinHeader_1.00mm -PinHeader_2x26_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x26, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x26 1.00mm double row -0 -52 -52 -Connector_PinHeader_1.00mm -PinHeader_2x27_P1.00mm_Horizontal -Through hole angled pin header, 2x27, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x27 1.00mm double row -0 -54 -54 -Connector_PinHeader_1.00mm -PinHeader_2x27_P1.00mm_Vertical -Through hole straight pin header, 2x27, 1.00mm pitch, double rows -Through hole pin header THT 2x27 1.00mm double row -0 -54 -54 -Connector_PinHeader_1.00mm -PinHeader_2x27_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x27, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x27 1.00mm double row -0 -54 -54 -Connector_PinHeader_1.00mm -PinHeader_2x28_P1.00mm_Horizontal -Through hole angled pin header, 2x28, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x28 1.00mm double row -0 -56 -56 -Connector_PinHeader_1.00mm -PinHeader_2x28_P1.00mm_Vertical -Through hole straight pin header, 2x28, 1.00mm pitch, double rows -Through hole pin header THT 2x28 1.00mm double row -0 -56 -56 -Connector_PinHeader_1.00mm -PinHeader_2x28_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x28, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x28 1.00mm double row -0 -56 -56 -Connector_PinHeader_1.00mm -PinHeader_2x29_P1.00mm_Horizontal -Through hole angled pin header, 2x29, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x29 1.00mm double row -0 -58 -58 -Connector_PinHeader_1.00mm -PinHeader_2x29_P1.00mm_Vertical -Through hole straight pin header, 2x29, 1.00mm pitch, double rows -Through hole pin header THT 2x29 1.00mm double row -0 -58 -58 -Connector_PinHeader_1.00mm -PinHeader_2x29_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x29, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x29 1.00mm double row -0 -58 -58 -Connector_PinHeader_1.00mm -PinHeader_2x30_P1.00mm_Horizontal -Through hole angled pin header, 2x30, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x30 1.00mm double row -0 -60 -60 -Connector_PinHeader_1.00mm -PinHeader_2x30_P1.00mm_Vertical -Through hole straight pin header, 2x30, 1.00mm pitch, double rows -Through hole pin header THT 2x30 1.00mm double row -0 -60 -60 -Connector_PinHeader_1.00mm -PinHeader_2x30_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x30, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x30 1.00mm double row -0 -60 -60 -Connector_PinHeader_1.00mm -PinHeader_2x31_P1.00mm_Horizontal -Through hole angled pin header, 2x31, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x31 1.00mm double row -0 -62 -62 -Connector_PinHeader_1.00mm -PinHeader_2x31_P1.00mm_Vertical -Through hole straight pin header, 2x31, 1.00mm pitch, double rows -Through hole pin header THT 2x31 1.00mm double row -0 -62 -62 -Connector_PinHeader_1.00mm -PinHeader_2x31_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x31, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x31 1.00mm double row -0 -62 -62 -Connector_PinHeader_1.00mm -PinHeader_2x32_P1.00mm_Horizontal -Through hole angled pin header, 2x32, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x32 1.00mm double row -0 -64 -64 -Connector_PinHeader_1.00mm -PinHeader_2x32_P1.00mm_Vertical -Through hole straight pin header, 2x32, 1.00mm pitch, double rows -Through hole pin header THT 2x32 1.00mm double row -0 -64 -64 -Connector_PinHeader_1.00mm -PinHeader_2x32_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x32, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x32 1.00mm double row -0 -64 -64 -Connector_PinHeader_1.00mm -PinHeader_2x33_P1.00mm_Horizontal -Through hole angled pin header, 2x33, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x33 1.00mm double row -0 -66 -66 -Connector_PinHeader_1.00mm -PinHeader_2x33_P1.00mm_Vertical -Through hole straight pin header, 2x33, 1.00mm pitch, double rows -Through hole pin header THT 2x33 1.00mm double row -0 -66 -66 -Connector_PinHeader_1.00mm -PinHeader_2x33_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x33, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x33 1.00mm double row -0 -66 -66 -Connector_PinHeader_1.00mm -PinHeader_2x34_P1.00mm_Horizontal -Through hole angled pin header, 2x34, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x34 1.00mm double row -0 -68 -68 -Connector_PinHeader_1.00mm -PinHeader_2x34_P1.00mm_Vertical -Through hole straight pin header, 2x34, 1.00mm pitch, double rows -Through hole pin header THT 2x34 1.00mm double row -0 -68 -68 -Connector_PinHeader_1.00mm -PinHeader_2x34_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x34, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x34 1.00mm double row -0 -68 -68 -Connector_PinHeader_1.00mm -PinHeader_2x35_P1.00mm_Horizontal -Through hole angled pin header, 2x35, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x35 1.00mm double row -0 -70 -70 -Connector_PinHeader_1.00mm -PinHeader_2x35_P1.00mm_Vertical -Through hole straight pin header, 2x35, 1.00mm pitch, double rows -Through hole pin header THT 2x35 1.00mm double row -0 -70 -70 -Connector_PinHeader_1.00mm -PinHeader_2x35_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x35, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x35 1.00mm double row -0 -70 -70 -Connector_PinHeader_1.00mm -PinHeader_2x36_P1.00mm_Horizontal -Through hole angled pin header, 2x36, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x36 1.00mm double row -0 -72 -72 -Connector_PinHeader_1.00mm -PinHeader_2x36_P1.00mm_Vertical -Through hole straight pin header, 2x36, 1.00mm pitch, double rows -Through hole pin header THT 2x36 1.00mm double row -0 -72 -72 -Connector_PinHeader_1.00mm -PinHeader_2x36_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x36, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x36 1.00mm double row -0 -72 -72 -Connector_PinHeader_1.00mm -PinHeader_2x37_P1.00mm_Horizontal -Through hole angled pin header, 2x37, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x37 1.00mm double row -0 -74 -74 -Connector_PinHeader_1.00mm -PinHeader_2x37_P1.00mm_Vertical -Through hole straight pin header, 2x37, 1.00mm pitch, double rows -Through hole pin header THT 2x37 1.00mm double row -0 -74 -74 -Connector_PinHeader_1.00mm -PinHeader_2x37_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x37, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x37 1.00mm double row -0 -74 -74 -Connector_PinHeader_1.00mm -PinHeader_2x38_P1.00mm_Horizontal -Through hole angled pin header, 2x38, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x38 1.00mm double row -0 -76 -76 -Connector_PinHeader_1.00mm -PinHeader_2x38_P1.00mm_Vertical -Through hole straight pin header, 2x38, 1.00mm pitch, double rows -Through hole pin header THT 2x38 1.00mm double row -0 -76 -76 -Connector_PinHeader_1.00mm -PinHeader_2x38_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x38, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x38 1.00mm double row -0 -76 -76 -Connector_PinHeader_1.00mm -PinHeader_2x39_P1.00mm_Horizontal -Through hole angled pin header, 2x39, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x39 1.00mm double row -0 -78 -78 -Connector_PinHeader_1.00mm -PinHeader_2x39_P1.00mm_Vertical -Through hole straight pin header, 2x39, 1.00mm pitch, double rows -Through hole pin header THT 2x39 1.00mm double row -0 -78 -78 -Connector_PinHeader_1.00mm -PinHeader_2x39_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x39, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x39 1.00mm double row -0 -78 -78 -Connector_PinHeader_1.00mm -PinHeader_2x40_P1.00mm_Horizontal -Through hole angled pin header, 2x40, 1.00mm pitch, 2.0mm pin length, double rows -Through hole angled pin header THT 2x40 1.00mm double row -0 -80 -80 -Connector_PinHeader_1.00mm -PinHeader_2x40_P1.00mm_Vertical -Through hole straight pin header, 2x40, 1.00mm pitch, double rows -Through hole pin header THT 2x40 1.00mm double row -0 -80 -80 -Connector_PinHeader_1.00mm -PinHeader_2x40_P1.00mm_Vertical_SMD -surface-mounted straight pin header, 2x40, 1.00mm pitch, double rows -Surface mounted pin header SMD 2x40 1.00mm double row -0 -80 -80 -Connector_PinHeader_1.27mm -PinHeader_1x01_P1.27mm_Horizontal -Through hole angled pin header, 1x01, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x01 1.27mm single row -0 -1 -1 -Connector_PinHeader_1.27mm -PinHeader_1x01_P1.27mm_Vertical -Through hole straight pin header, 1x01, 1.27mm pitch, single row -Through hole pin header THT 1x01 1.27mm single row -0 -1 -1 -Connector_PinHeader_1.27mm -PinHeader_1x02_P1.27mm_Horizontal -Through hole angled pin header, 1x02, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x02 1.27mm single row -0 -2 -2 -Connector_PinHeader_1.27mm -PinHeader_1x02_P1.27mm_Vertical -Through hole straight pin header, 1x02, 1.27mm pitch, single row -Through hole pin header THT 1x02 1.27mm single row -0 -2 -2 -Connector_PinHeader_1.27mm -PinHeader_1x02_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x02, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x02 1.27mm single row style1 pin1 left -0 -2 -2 -Connector_PinHeader_1.27mm -PinHeader_1x02_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x02, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x02 1.27mm single row style2 pin1 right -0 -2 -2 -Connector_PinHeader_1.27mm -PinHeader_1x03_P1.27mm_Horizontal -Through hole angled pin header, 1x03, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x03 1.27mm single row -0 -3 -3 -Connector_PinHeader_1.27mm -PinHeader_1x03_P1.27mm_Vertical -Through hole straight pin header, 1x03, 1.27mm pitch, single row -Through hole pin header THT 1x03 1.27mm single row -0 -3 -3 -Connector_PinHeader_1.27mm -PinHeader_1x03_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x03, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x03 1.27mm single row style1 pin1 left -0 -3 -3 -Connector_PinHeader_1.27mm -PinHeader_1x03_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x03, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x03 1.27mm single row style2 pin1 right -0 -3 -3 -Connector_PinHeader_1.27mm -PinHeader_1x04_P1.27mm_Horizontal -Through hole angled pin header, 1x04, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x04 1.27mm single row -0 -4 -4 -Connector_PinHeader_1.27mm -PinHeader_1x04_P1.27mm_Vertical -Through hole straight pin header, 1x04, 1.27mm pitch, single row -Through hole pin header THT 1x04 1.27mm single row -0 -4 -4 -Connector_PinHeader_1.27mm -PinHeader_1x04_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x04, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x04 1.27mm single row style1 pin1 left -0 -4 -4 -Connector_PinHeader_1.27mm -PinHeader_1x04_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x04, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x04 1.27mm single row style2 pin1 right -0 -4 -4 -Connector_PinHeader_1.27mm -PinHeader_1x05_P1.27mm_Horizontal -Through hole angled pin header, 1x05, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x05 1.27mm single row -0 -5 -5 -Connector_PinHeader_1.27mm -PinHeader_1x05_P1.27mm_Vertical -Through hole straight pin header, 1x05, 1.27mm pitch, single row -Through hole pin header THT 1x05 1.27mm single row -0 -5 -5 -Connector_PinHeader_1.27mm -PinHeader_1x05_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x05, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x05 1.27mm single row style1 pin1 left -0 -5 -5 -Connector_PinHeader_1.27mm -PinHeader_1x05_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x05, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x05 1.27mm single row style2 pin1 right -0 -5 -5 -Connector_PinHeader_1.27mm -PinHeader_1x06_P1.27mm_Horizontal -Through hole angled pin header, 1x06, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x06 1.27mm single row -0 -6 -6 -Connector_PinHeader_1.27mm -PinHeader_1x06_P1.27mm_Vertical -Through hole straight pin header, 1x06, 1.27mm pitch, single row -Through hole pin header THT 1x06 1.27mm single row -0 -6 -6 -Connector_PinHeader_1.27mm -PinHeader_1x06_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x06, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x06 1.27mm single row style1 pin1 left -0 -6 -6 -Connector_PinHeader_1.27mm -PinHeader_1x06_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x06, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x06 1.27mm single row style2 pin1 right -0 -6 -6 -Connector_PinHeader_1.27mm -PinHeader_1x07_P1.27mm_Horizontal -Through hole angled pin header, 1x07, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x07 1.27mm single row -0 -7 -7 -Connector_PinHeader_1.27mm -PinHeader_1x07_P1.27mm_Vertical -Through hole straight pin header, 1x07, 1.27mm pitch, single row -Through hole pin header THT 1x07 1.27mm single row -0 -7 -7 -Connector_PinHeader_1.27mm -PinHeader_1x07_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x07, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x07 1.27mm single row style1 pin1 left -0 -7 -7 -Connector_PinHeader_1.27mm -PinHeader_1x07_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x07, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x07 1.27mm single row style2 pin1 right -0 -7 -7 -Connector_PinHeader_1.27mm -PinHeader_1x08_P1.27mm_Horizontal -Through hole angled pin header, 1x08, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x08 1.27mm single row -0 -8 -8 -Connector_PinHeader_1.27mm -PinHeader_1x08_P1.27mm_Vertical -Through hole straight pin header, 1x08, 1.27mm pitch, single row -Through hole pin header THT 1x08 1.27mm single row -0 -8 -8 -Connector_PinHeader_1.27mm -PinHeader_1x08_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x08, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x08 1.27mm single row style1 pin1 left -0 -8 -8 -Connector_PinHeader_1.27mm -PinHeader_1x08_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x08, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x08 1.27mm single row style2 pin1 right -0 -8 -8 -Connector_PinHeader_1.27mm -PinHeader_1x09_P1.27mm_Horizontal -Through hole angled pin header, 1x09, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x09 1.27mm single row -0 -9 -9 -Connector_PinHeader_1.27mm -PinHeader_1x09_P1.27mm_Vertical -Through hole straight pin header, 1x09, 1.27mm pitch, single row -Through hole pin header THT 1x09 1.27mm single row -0 -9 -9 -Connector_PinHeader_1.27mm -PinHeader_1x09_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x09, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x09 1.27mm single row style1 pin1 left -0 -9 -9 -Connector_PinHeader_1.27mm -PinHeader_1x09_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x09, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x09 1.27mm single row style2 pin1 right -0 -9 -9 -Connector_PinHeader_1.27mm -PinHeader_1x10_P1.27mm_Horizontal -Through hole angled pin header, 1x10, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x10 1.27mm single row -0 -10 -10 -Connector_PinHeader_1.27mm -PinHeader_1x10_P1.27mm_Vertical -Through hole straight pin header, 1x10, 1.27mm pitch, single row -Through hole pin header THT 1x10 1.27mm single row -0 -10 -10 -Connector_PinHeader_1.27mm -PinHeader_1x10_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x10, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x10 1.27mm single row style1 pin1 left -0 -10 -10 -Connector_PinHeader_1.27mm -PinHeader_1x10_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x10, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x10 1.27mm single row style2 pin1 right -0 -10 -10 -Connector_PinHeader_1.27mm -PinHeader_1x11_P1.27mm_Horizontal -Through hole angled pin header, 1x11, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x11 1.27mm single row -0 -11 -11 -Connector_PinHeader_1.27mm -PinHeader_1x11_P1.27mm_Vertical -Through hole straight pin header, 1x11, 1.27mm pitch, single row -Through hole pin header THT 1x11 1.27mm single row -0 -11 -11 -Connector_PinHeader_1.27mm -PinHeader_1x11_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x11, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x11 1.27mm single row style1 pin1 left -0 -11 -11 -Connector_PinHeader_1.27mm -PinHeader_1x11_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x11, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x11 1.27mm single row style2 pin1 right -0 -11 -11 -Connector_PinHeader_1.27mm -PinHeader_1x12_P1.27mm_Horizontal -Through hole angled pin header, 1x12, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x12 1.27mm single row -0 -12 -12 -Connector_PinHeader_1.27mm -PinHeader_1x12_P1.27mm_Vertical -Through hole straight pin header, 1x12, 1.27mm pitch, single row -Through hole pin header THT 1x12 1.27mm single row -0 -12 -12 -Connector_PinHeader_1.27mm -PinHeader_1x12_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x12, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x12 1.27mm single row style1 pin1 left -0 -12 -12 -Connector_PinHeader_1.27mm -PinHeader_1x12_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x12, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x12 1.27mm single row style2 pin1 right -0 -12 -12 -Connector_PinHeader_1.27mm -PinHeader_1x13_P1.27mm_Horizontal -Through hole angled pin header, 1x13, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x13 1.27mm single row -0 -13 -13 -Connector_PinHeader_1.27mm -PinHeader_1x13_P1.27mm_Vertical -Through hole straight pin header, 1x13, 1.27mm pitch, single row -Through hole pin header THT 1x13 1.27mm single row -0 -13 -13 -Connector_PinHeader_1.27mm -PinHeader_1x13_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x13, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x13 1.27mm single row style1 pin1 left -0 -13 -13 -Connector_PinHeader_1.27mm -PinHeader_1x13_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x13, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x13 1.27mm single row style2 pin1 right -0 -13 -13 -Connector_PinHeader_1.27mm -PinHeader_1x14_P1.27mm_Horizontal -Through hole angled pin header, 1x14, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x14 1.27mm single row -0 -14 -14 -Connector_PinHeader_1.27mm -PinHeader_1x14_P1.27mm_Vertical -Through hole straight pin header, 1x14, 1.27mm pitch, single row -Through hole pin header THT 1x14 1.27mm single row -0 -14 -14 -Connector_PinHeader_1.27mm -PinHeader_1x14_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x14, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x14 1.27mm single row style1 pin1 left -0 -14 -14 -Connector_PinHeader_1.27mm -PinHeader_1x14_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x14, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x14 1.27mm single row style2 pin1 right -0 -14 -14 -Connector_PinHeader_1.27mm -PinHeader_1x15_P1.27mm_Horizontal -Through hole angled pin header, 1x15, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x15 1.27mm single row -0 -15 -15 -Connector_PinHeader_1.27mm -PinHeader_1x15_P1.27mm_Vertical -Through hole straight pin header, 1x15, 1.27mm pitch, single row -Through hole pin header THT 1x15 1.27mm single row -0 -15 -15 -Connector_PinHeader_1.27mm -PinHeader_1x15_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x15, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x15 1.27mm single row style1 pin1 left -0 -15 -15 -Connector_PinHeader_1.27mm -PinHeader_1x15_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x15, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x15 1.27mm single row style2 pin1 right -0 -15 -15 -Connector_PinHeader_1.27mm -PinHeader_1x16_P1.27mm_Horizontal -Through hole angled pin header, 1x16, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x16 1.27mm single row -0 -16 -16 -Connector_PinHeader_1.27mm -PinHeader_1x16_P1.27mm_Vertical -Through hole straight pin header, 1x16, 1.27mm pitch, single row -Through hole pin header THT 1x16 1.27mm single row -0 -16 -16 -Connector_PinHeader_1.27mm -PinHeader_1x16_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x16, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x16 1.27mm single row style1 pin1 left -0 -16 -16 -Connector_PinHeader_1.27mm -PinHeader_1x16_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x16, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x16 1.27mm single row style2 pin1 right -0 -16 -16 -Connector_PinHeader_1.27mm -PinHeader_1x17_P1.27mm_Horizontal -Through hole angled pin header, 1x17, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x17 1.27mm single row -0 -17 -17 -Connector_PinHeader_1.27mm -PinHeader_1x17_P1.27mm_Vertical -Through hole straight pin header, 1x17, 1.27mm pitch, single row -Through hole pin header THT 1x17 1.27mm single row -0 -17 -17 -Connector_PinHeader_1.27mm -PinHeader_1x17_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x17, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x17 1.27mm single row style1 pin1 left -0 -17 -17 -Connector_PinHeader_1.27mm -PinHeader_1x17_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x17, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x17 1.27mm single row style2 pin1 right -0 -17 -17 -Connector_PinHeader_1.27mm -PinHeader_1x18_P1.27mm_Horizontal -Through hole angled pin header, 1x18, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x18 1.27mm single row -0 -18 -18 -Connector_PinHeader_1.27mm -PinHeader_1x18_P1.27mm_Vertical -Through hole straight pin header, 1x18, 1.27mm pitch, single row -Through hole pin header THT 1x18 1.27mm single row -0 -18 -18 -Connector_PinHeader_1.27mm -PinHeader_1x18_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x18, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x18 1.27mm single row style1 pin1 left -0 -18 -18 -Connector_PinHeader_1.27mm -PinHeader_1x18_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x18, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x18 1.27mm single row style2 pin1 right -0 -18 -18 -Connector_PinHeader_1.27mm -PinHeader_1x19_P1.27mm_Horizontal -Through hole angled pin header, 1x19, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x19 1.27mm single row -0 -19 -19 -Connector_PinHeader_1.27mm -PinHeader_1x19_P1.27mm_Vertical -Through hole straight pin header, 1x19, 1.27mm pitch, single row -Through hole pin header THT 1x19 1.27mm single row -0 -19 -19 -Connector_PinHeader_1.27mm -PinHeader_1x19_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x19, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x19 1.27mm single row style1 pin1 left -0 -19 -19 -Connector_PinHeader_1.27mm -PinHeader_1x19_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x19, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x19 1.27mm single row style2 pin1 right -0 -19 -19 -Connector_PinHeader_1.27mm -PinHeader_1x20_P1.27mm_Horizontal -Through hole angled pin header, 1x20, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x20 1.27mm single row -0 -20 -20 -Connector_PinHeader_1.27mm -PinHeader_1x20_P1.27mm_Vertical -Through hole straight pin header, 1x20, 1.27mm pitch, single row -Through hole pin header THT 1x20 1.27mm single row -0 -20 -20 -Connector_PinHeader_1.27mm -PinHeader_1x20_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x20, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x20 1.27mm single row style1 pin1 left -0 -20 -20 -Connector_PinHeader_1.27mm -PinHeader_1x20_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x20, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x20 1.27mm single row style2 pin1 right -0 -20 -20 -Connector_PinHeader_1.27mm -PinHeader_1x21_P1.27mm_Horizontal -Through hole angled pin header, 1x21, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x21 1.27mm single row -0 -21 -21 -Connector_PinHeader_1.27mm -PinHeader_1x21_P1.27mm_Vertical -Through hole straight pin header, 1x21, 1.27mm pitch, single row -Through hole pin header THT 1x21 1.27mm single row -0 -21 -21 -Connector_PinHeader_1.27mm -PinHeader_1x21_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x21, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x21 1.27mm single row style1 pin1 left -0 -21 -21 -Connector_PinHeader_1.27mm -PinHeader_1x21_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x21, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x21 1.27mm single row style2 pin1 right -0 -21 -21 -Connector_PinHeader_1.27mm -PinHeader_1x22_P1.27mm_Horizontal -Through hole angled pin header, 1x22, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x22 1.27mm single row -0 -22 -22 -Connector_PinHeader_1.27mm -PinHeader_1x22_P1.27mm_Vertical -Through hole straight pin header, 1x22, 1.27mm pitch, single row -Through hole pin header THT 1x22 1.27mm single row -0 -22 -22 -Connector_PinHeader_1.27mm -PinHeader_1x22_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x22, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x22 1.27mm single row style1 pin1 left -0 -22 -22 -Connector_PinHeader_1.27mm -PinHeader_1x22_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x22, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x22 1.27mm single row style2 pin1 right -0 -22 -22 -Connector_PinHeader_1.27mm -PinHeader_1x23_P1.27mm_Horizontal -Through hole angled pin header, 1x23, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x23 1.27mm single row -0 -23 -23 -Connector_PinHeader_1.27mm -PinHeader_1x23_P1.27mm_Vertical -Through hole straight pin header, 1x23, 1.27mm pitch, single row -Through hole pin header THT 1x23 1.27mm single row -0 -23 -23 -Connector_PinHeader_1.27mm -PinHeader_1x23_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x23, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x23 1.27mm single row style1 pin1 left -0 -23 -23 -Connector_PinHeader_1.27mm -PinHeader_1x23_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x23, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x23 1.27mm single row style2 pin1 right -0 -23 -23 -Connector_PinHeader_1.27mm -PinHeader_1x24_P1.27mm_Horizontal -Through hole angled pin header, 1x24, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x24 1.27mm single row -0 -24 -24 -Connector_PinHeader_1.27mm -PinHeader_1x24_P1.27mm_Vertical -Through hole straight pin header, 1x24, 1.27mm pitch, single row -Through hole pin header THT 1x24 1.27mm single row -0 -24 -24 -Connector_PinHeader_1.27mm -PinHeader_1x24_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x24, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x24 1.27mm single row style1 pin1 left -0 -24 -24 -Connector_PinHeader_1.27mm -PinHeader_1x24_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x24, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x24 1.27mm single row style2 pin1 right -0 -24 -24 -Connector_PinHeader_1.27mm -PinHeader_1x25_P1.27mm_Horizontal -Through hole angled pin header, 1x25, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x25 1.27mm single row -0 -25 -25 -Connector_PinHeader_1.27mm -PinHeader_1x25_P1.27mm_Vertical -Through hole straight pin header, 1x25, 1.27mm pitch, single row -Through hole pin header THT 1x25 1.27mm single row -0 -25 -25 -Connector_PinHeader_1.27mm -PinHeader_1x25_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x25, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x25 1.27mm single row style1 pin1 left -0 -25 -25 -Connector_PinHeader_1.27mm -PinHeader_1x25_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x25, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x25 1.27mm single row style2 pin1 right -0 -25 -25 -Connector_PinHeader_1.27mm -PinHeader_1x26_P1.27mm_Horizontal -Through hole angled pin header, 1x26, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x26 1.27mm single row -0 -26 -26 -Connector_PinHeader_1.27mm -PinHeader_1x26_P1.27mm_Vertical -Through hole straight pin header, 1x26, 1.27mm pitch, single row -Through hole pin header THT 1x26 1.27mm single row -0 -26 -26 -Connector_PinHeader_1.27mm -PinHeader_1x26_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x26, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x26 1.27mm single row style1 pin1 left -0 -26 -26 -Connector_PinHeader_1.27mm -PinHeader_1x26_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x26, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x26 1.27mm single row style2 pin1 right -0 -26 -26 -Connector_PinHeader_1.27mm -PinHeader_1x27_P1.27mm_Horizontal -Through hole angled pin header, 1x27, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x27 1.27mm single row -0 -27 -27 -Connector_PinHeader_1.27mm -PinHeader_1x27_P1.27mm_Vertical -Through hole straight pin header, 1x27, 1.27mm pitch, single row -Through hole pin header THT 1x27 1.27mm single row -0 -27 -27 -Connector_PinHeader_1.27mm -PinHeader_1x27_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x27, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x27 1.27mm single row style1 pin1 left -0 -27 -27 -Connector_PinHeader_1.27mm -PinHeader_1x27_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x27, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x27 1.27mm single row style2 pin1 right -0 -27 -27 -Connector_PinHeader_1.27mm -PinHeader_1x28_P1.27mm_Horizontal -Through hole angled pin header, 1x28, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x28 1.27mm single row -0 -28 -28 -Connector_PinHeader_1.27mm -PinHeader_1x28_P1.27mm_Vertical -Through hole straight pin header, 1x28, 1.27mm pitch, single row -Through hole pin header THT 1x28 1.27mm single row -0 -28 -28 -Connector_PinHeader_1.27mm -PinHeader_1x28_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x28, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x28 1.27mm single row style1 pin1 left -0 -28 -28 -Connector_PinHeader_1.27mm -PinHeader_1x28_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x28, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x28 1.27mm single row style2 pin1 right -0 -28 -28 -Connector_PinHeader_1.27mm -PinHeader_1x29_P1.27mm_Horizontal -Through hole angled pin header, 1x29, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x29 1.27mm single row -0 -29 -29 -Connector_PinHeader_1.27mm -PinHeader_1x29_P1.27mm_Vertical -Through hole straight pin header, 1x29, 1.27mm pitch, single row -Through hole pin header THT 1x29 1.27mm single row -0 -29 -29 -Connector_PinHeader_1.27mm -PinHeader_1x29_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x29, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x29 1.27mm single row style1 pin1 left -0 -29 -29 -Connector_PinHeader_1.27mm -PinHeader_1x29_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x29, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x29 1.27mm single row style2 pin1 right -0 -29 -29 -Connector_PinHeader_1.27mm -PinHeader_1x30_P1.27mm_Horizontal -Through hole angled pin header, 1x30, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x30 1.27mm single row -0 -30 -30 -Connector_PinHeader_1.27mm -PinHeader_1x30_P1.27mm_Vertical -Through hole straight pin header, 1x30, 1.27mm pitch, single row -Through hole pin header THT 1x30 1.27mm single row -0 -30 -30 -Connector_PinHeader_1.27mm -PinHeader_1x30_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x30, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x30 1.27mm single row style1 pin1 left -0 -30 -30 -Connector_PinHeader_1.27mm -PinHeader_1x30_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x30, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x30 1.27mm single row style2 pin1 right -0 -30 -30 -Connector_PinHeader_1.27mm -PinHeader_1x31_P1.27mm_Horizontal -Through hole angled pin header, 1x31, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x31 1.27mm single row -0 -31 -31 -Connector_PinHeader_1.27mm -PinHeader_1x31_P1.27mm_Vertical -Through hole straight pin header, 1x31, 1.27mm pitch, single row -Through hole pin header THT 1x31 1.27mm single row -0 -31 -31 -Connector_PinHeader_1.27mm -PinHeader_1x31_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x31, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x31 1.27mm single row style1 pin1 left -0 -31 -31 -Connector_PinHeader_1.27mm -PinHeader_1x31_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x31, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x31 1.27mm single row style2 pin1 right -0 -31 -31 -Connector_PinHeader_1.27mm -PinHeader_1x32_P1.27mm_Horizontal -Through hole angled pin header, 1x32, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x32 1.27mm single row -0 -32 -32 -Connector_PinHeader_1.27mm -PinHeader_1x32_P1.27mm_Vertical -Through hole straight pin header, 1x32, 1.27mm pitch, single row -Through hole pin header THT 1x32 1.27mm single row -0 -32 -32 -Connector_PinHeader_1.27mm -PinHeader_1x32_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x32, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x32 1.27mm single row style1 pin1 left -0 -32 -32 -Connector_PinHeader_1.27mm -PinHeader_1x32_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x32, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x32 1.27mm single row style2 pin1 right -0 -32 -32 -Connector_PinHeader_1.27mm -PinHeader_1x33_P1.27mm_Horizontal -Through hole angled pin header, 1x33, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x33 1.27mm single row -0 -33 -33 -Connector_PinHeader_1.27mm -PinHeader_1x33_P1.27mm_Vertical -Through hole straight pin header, 1x33, 1.27mm pitch, single row -Through hole pin header THT 1x33 1.27mm single row -0 -33 -33 -Connector_PinHeader_1.27mm -PinHeader_1x33_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x33, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x33 1.27mm single row style1 pin1 left -0 -33 -33 -Connector_PinHeader_1.27mm -PinHeader_1x33_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x33, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x33 1.27mm single row style2 pin1 right -0 -33 -33 -Connector_PinHeader_1.27mm -PinHeader_1x34_P1.27mm_Horizontal -Through hole angled pin header, 1x34, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x34 1.27mm single row -0 -34 -34 -Connector_PinHeader_1.27mm -PinHeader_1x34_P1.27mm_Vertical -Through hole straight pin header, 1x34, 1.27mm pitch, single row -Through hole pin header THT 1x34 1.27mm single row -0 -34 -34 -Connector_PinHeader_1.27mm -PinHeader_1x34_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x34, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x34 1.27mm single row style1 pin1 left -0 -34 -34 -Connector_PinHeader_1.27mm -PinHeader_1x34_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x34, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x34 1.27mm single row style2 pin1 right -0 -34 -34 -Connector_PinHeader_1.27mm -PinHeader_1x35_P1.27mm_Horizontal -Through hole angled pin header, 1x35, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x35 1.27mm single row -0 -35 -35 -Connector_PinHeader_1.27mm -PinHeader_1x35_P1.27mm_Vertical -Through hole straight pin header, 1x35, 1.27mm pitch, single row -Through hole pin header THT 1x35 1.27mm single row -0 -35 -35 -Connector_PinHeader_1.27mm -PinHeader_1x35_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x35, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x35 1.27mm single row style1 pin1 left -0 -35 -35 -Connector_PinHeader_1.27mm -PinHeader_1x35_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x35, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x35 1.27mm single row style2 pin1 right -0 -35 -35 -Connector_PinHeader_1.27mm -PinHeader_1x36_P1.27mm_Horizontal -Through hole angled pin header, 1x36, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x36 1.27mm single row -0 -36 -36 -Connector_PinHeader_1.27mm -PinHeader_1x36_P1.27mm_Vertical -Through hole straight pin header, 1x36, 1.27mm pitch, single row -Through hole pin header THT 1x36 1.27mm single row -0 -36 -36 -Connector_PinHeader_1.27mm -PinHeader_1x36_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x36, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x36 1.27mm single row style1 pin1 left -0 -36 -36 -Connector_PinHeader_1.27mm -PinHeader_1x36_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x36, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x36 1.27mm single row style2 pin1 right -0 -36 -36 -Connector_PinHeader_1.27mm -PinHeader_1x37_P1.27mm_Horizontal -Through hole angled pin header, 1x37, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x37 1.27mm single row -0 -37 -37 -Connector_PinHeader_1.27mm -PinHeader_1x37_P1.27mm_Vertical -Through hole straight pin header, 1x37, 1.27mm pitch, single row -Through hole pin header THT 1x37 1.27mm single row -0 -37 -37 -Connector_PinHeader_1.27mm -PinHeader_1x37_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x37, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x37 1.27mm single row style1 pin1 left -0 -37 -37 -Connector_PinHeader_1.27mm -PinHeader_1x37_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x37, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x37 1.27mm single row style2 pin1 right -0 -37 -37 -Connector_PinHeader_1.27mm -PinHeader_1x38_P1.27mm_Horizontal -Through hole angled pin header, 1x38, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x38 1.27mm single row -0 -38 -38 -Connector_PinHeader_1.27mm -PinHeader_1x38_P1.27mm_Vertical -Through hole straight pin header, 1x38, 1.27mm pitch, single row -Through hole pin header THT 1x38 1.27mm single row -0 -38 -38 -Connector_PinHeader_1.27mm -PinHeader_1x38_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x38, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x38 1.27mm single row style1 pin1 left -0 -38 -38 -Connector_PinHeader_1.27mm -PinHeader_1x38_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x38, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x38 1.27mm single row style2 pin1 right -0 -38 -38 -Connector_PinHeader_1.27mm -PinHeader_1x39_P1.27mm_Horizontal -Through hole angled pin header, 1x39, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x39 1.27mm single row -0 -39 -39 -Connector_PinHeader_1.27mm -PinHeader_1x39_P1.27mm_Vertical -Through hole straight pin header, 1x39, 1.27mm pitch, single row -Through hole pin header THT 1x39 1.27mm single row -0 -39 -39 -Connector_PinHeader_1.27mm -PinHeader_1x39_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x39, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x39 1.27mm single row style1 pin1 left -0 -39 -39 -Connector_PinHeader_1.27mm -PinHeader_1x39_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x39, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x39 1.27mm single row style2 pin1 right -0 -39 -39 -Connector_PinHeader_1.27mm -PinHeader_1x40_P1.27mm_Horizontal -Through hole angled pin header, 1x40, 1.27mm pitch, 4.0mm pin length, single row -Through hole angled pin header THT 1x40 1.27mm single row -0 -40 -40 -Connector_PinHeader_1.27mm -PinHeader_1x40_P1.27mm_Vertical -Through hole straight pin header, 1x40, 1.27mm pitch, single row -Through hole pin header THT 1x40 1.27mm single row -0 -40 -40 -Connector_PinHeader_1.27mm -PinHeader_1x40_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x40, 1.27mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x40 1.27mm single row style1 pin1 left -0 -40 -40 -Connector_PinHeader_1.27mm -PinHeader_1x40_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x40, 1.27mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x40 1.27mm single row style2 pin1 right -0 -40 -40 -Connector_PinHeader_1.27mm -PinHeader_2x01_P1.27mm_Horizontal -Through hole angled pin header, 2x01, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x01 1.27mm double row -0 -2 -2 -Connector_PinHeader_1.27mm -PinHeader_2x01_P1.27mm_Vertical -Through hole straight pin header, 2x01, 1.27mm pitch, double rows -Through hole pin header THT 2x01 1.27mm double row -0 -2 -2 -Connector_PinHeader_1.27mm -PinHeader_2x01_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x01, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x01 1.27mm double row -0 -2 -2 -Connector_PinHeader_1.27mm -PinHeader_2x02_P1.27mm_Horizontal -Through hole angled pin header, 2x02, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x02 1.27mm double row -0 -4 -4 -Connector_PinHeader_1.27mm -PinHeader_2x02_P1.27mm_Vertical -Through hole straight pin header, 2x02, 1.27mm pitch, double rows -Through hole pin header THT 2x02 1.27mm double row -0 -4 -4 -Connector_PinHeader_1.27mm -PinHeader_2x02_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x02, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x02 1.27mm double row -0 -4 -4 -Connector_PinHeader_1.27mm -PinHeader_2x03_P1.27mm_Horizontal -Through hole angled pin header, 2x03, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x03 1.27mm double row -0 -6 -6 -Connector_PinHeader_1.27mm -PinHeader_2x03_P1.27mm_Vertical -Through hole straight pin header, 2x03, 1.27mm pitch, double rows -Through hole pin header THT 2x03 1.27mm double row -0 -6 -6 -Connector_PinHeader_1.27mm -PinHeader_2x03_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x03, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x03 1.27mm double row -0 -6 -6 -Connector_PinHeader_1.27mm -PinHeader_2x04_P1.27mm_Horizontal -Through hole angled pin header, 2x04, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x04 1.27mm double row -0 -8 -8 -Connector_PinHeader_1.27mm -PinHeader_2x04_P1.27mm_Vertical -Through hole straight pin header, 2x04, 1.27mm pitch, double rows -Through hole pin header THT 2x04 1.27mm double row -0 -8 -8 -Connector_PinHeader_1.27mm -PinHeader_2x04_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x04, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x04 1.27mm double row -0 -8 -8 -Connector_PinHeader_1.27mm -PinHeader_2x05_P1.27mm_Horizontal -Through hole angled pin header, 2x05, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x05 1.27mm double row -0 -10 -10 -Connector_PinHeader_1.27mm -PinHeader_2x05_P1.27mm_Vertical -Through hole straight pin header, 2x05, 1.27mm pitch, double rows -Through hole pin header THT 2x05 1.27mm double row -0 -10 -10 -Connector_PinHeader_1.27mm -PinHeader_2x05_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x05, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x05 1.27mm double row -0 -10 -10 -Connector_PinHeader_1.27mm -PinHeader_2x06_P1.27mm_Horizontal -Through hole angled pin header, 2x06, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x06 1.27mm double row -0 -12 -12 -Connector_PinHeader_1.27mm -PinHeader_2x06_P1.27mm_Vertical -Through hole straight pin header, 2x06, 1.27mm pitch, double rows -Through hole pin header THT 2x06 1.27mm double row -0 -12 -12 -Connector_PinHeader_1.27mm -PinHeader_2x06_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x06, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x06 1.27mm double row -0 -12 -12 -Connector_PinHeader_1.27mm -PinHeader_2x07_P1.27mm_Horizontal -Through hole angled pin header, 2x07, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x07 1.27mm double row -0 -14 -14 -Connector_PinHeader_1.27mm -PinHeader_2x07_P1.27mm_Vertical -Through hole straight pin header, 2x07, 1.27mm pitch, double rows -Through hole pin header THT 2x07 1.27mm double row -0 -14 -14 -Connector_PinHeader_1.27mm -PinHeader_2x07_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x07, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x07 1.27mm double row -0 -14 -14 -Connector_PinHeader_1.27mm -PinHeader_2x08_P1.27mm_Horizontal -Through hole angled pin header, 2x08, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x08 1.27mm double row -0 -16 -16 -Connector_PinHeader_1.27mm -PinHeader_2x08_P1.27mm_Vertical -Through hole straight pin header, 2x08, 1.27mm pitch, double rows -Through hole pin header THT 2x08 1.27mm double row -0 -16 -16 -Connector_PinHeader_1.27mm -PinHeader_2x08_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x08, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x08 1.27mm double row -0 -16 -16 -Connector_PinHeader_1.27mm -PinHeader_2x09_P1.27mm_Horizontal -Through hole angled pin header, 2x09, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x09 1.27mm double row -0 -18 -18 -Connector_PinHeader_1.27mm -PinHeader_2x09_P1.27mm_Vertical -Through hole straight pin header, 2x09, 1.27mm pitch, double rows -Through hole pin header THT 2x09 1.27mm double row -0 -18 -18 -Connector_PinHeader_1.27mm -PinHeader_2x09_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x09, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x09 1.27mm double row -0 -18 -18 -Connector_PinHeader_1.27mm -PinHeader_2x10_P1.27mm_Horizontal -Through hole angled pin header, 2x10, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x10 1.27mm double row -0 -20 -20 -Connector_PinHeader_1.27mm -PinHeader_2x10_P1.27mm_Vertical -Through hole straight pin header, 2x10, 1.27mm pitch, double rows -Through hole pin header THT 2x10 1.27mm double row -0 -20 -20 -Connector_PinHeader_1.27mm -PinHeader_2x10_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x10, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x10 1.27mm double row -0 -20 -20 -Connector_PinHeader_1.27mm -PinHeader_2x11_P1.27mm_Horizontal -Through hole angled pin header, 2x11, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x11 1.27mm double row -0 -22 -22 -Connector_PinHeader_1.27mm -PinHeader_2x11_P1.27mm_Vertical -Through hole straight pin header, 2x11, 1.27mm pitch, double rows -Through hole pin header THT 2x11 1.27mm double row -0 -22 -22 -Connector_PinHeader_1.27mm -PinHeader_2x11_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x11, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x11 1.27mm double row -0 -22 -22 -Connector_PinHeader_1.27mm -PinHeader_2x12_P1.27mm_Horizontal -Through hole angled pin header, 2x12, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x12 1.27mm double row -0 -24 -24 -Connector_PinHeader_1.27mm -PinHeader_2x12_P1.27mm_Vertical -Through hole straight pin header, 2x12, 1.27mm pitch, double rows -Through hole pin header THT 2x12 1.27mm double row -0 -24 -24 -Connector_PinHeader_1.27mm -PinHeader_2x12_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x12, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x12 1.27mm double row -0 -24 -24 -Connector_PinHeader_1.27mm -PinHeader_2x13_P1.27mm_Horizontal -Through hole angled pin header, 2x13, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x13 1.27mm double row -0 -26 -26 -Connector_PinHeader_1.27mm -PinHeader_2x13_P1.27mm_Vertical -Through hole straight pin header, 2x13, 1.27mm pitch, double rows -Through hole pin header THT 2x13 1.27mm double row -0 -26 -26 -Connector_PinHeader_1.27mm -PinHeader_2x13_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x13, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x13 1.27mm double row -0 -26 -26 -Connector_PinHeader_1.27mm -PinHeader_2x14_P1.27mm_Horizontal -Through hole angled pin header, 2x14, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x14 1.27mm double row -0 -28 -28 -Connector_PinHeader_1.27mm -PinHeader_2x14_P1.27mm_Vertical -Through hole straight pin header, 2x14, 1.27mm pitch, double rows -Through hole pin header THT 2x14 1.27mm double row -0 -28 -28 -Connector_PinHeader_1.27mm -PinHeader_2x14_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x14, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x14 1.27mm double row -0 -28 -28 -Connector_PinHeader_1.27mm -PinHeader_2x15_P1.27mm_Horizontal -Through hole angled pin header, 2x15, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x15 1.27mm double row -0 -30 -30 -Connector_PinHeader_1.27mm -PinHeader_2x15_P1.27mm_Vertical -Through hole straight pin header, 2x15, 1.27mm pitch, double rows -Through hole pin header THT 2x15 1.27mm double row -0 -30 -30 -Connector_PinHeader_1.27mm -PinHeader_2x15_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x15, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x15 1.27mm double row -0 -30 -30 -Connector_PinHeader_1.27mm -PinHeader_2x16_P1.27mm_Horizontal -Through hole angled pin header, 2x16, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x16 1.27mm double row -0 -32 -32 -Connector_PinHeader_1.27mm -PinHeader_2x16_P1.27mm_Vertical -Through hole straight pin header, 2x16, 1.27mm pitch, double rows -Through hole pin header THT 2x16 1.27mm double row -0 -32 -32 -Connector_PinHeader_1.27mm -PinHeader_2x16_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x16, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x16 1.27mm double row -0 -32 -32 -Connector_PinHeader_1.27mm -PinHeader_2x17_P1.27mm_Horizontal -Through hole angled pin header, 2x17, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x17 1.27mm double row -0 -34 -34 -Connector_PinHeader_1.27mm -PinHeader_2x17_P1.27mm_Vertical -Through hole straight pin header, 2x17, 1.27mm pitch, double rows -Through hole pin header THT 2x17 1.27mm double row -0 -34 -34 -Connector_PinHeader_1.27mm -PinHeader_2x17_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x17, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x17 1.27mm double row -0 -34 -34 -Connector_PinHeader_1.27mm -PinHeader_2x18_P1.27mm_Horizontal -Through hole angled pin header, 2x18, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x18 1.27mm double row -0 -36 -36 -Connector_PinHeader_1.27mm -PinHeader_2x18_P1.27mm_Vertical -Through hole straight pin header, 2x18, 1.27mm pitch, double rows -Through hole pin header THT 2x18 1.27mm double row -0 -36 -36 -Connector_PinHeader_1.27mm -PinHeader_2x18_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x18, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x18 1.27mm double row -0 -36 -36 -Connector_PinHeader_1.27mm -PinHeader_2x19_P1.27mm_Horizontal -Through hole angled pin header, 2x19, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x19 1.27mm double row -0 -38 -38 -Connector_PinHeader_1.27mm -PinHeader_2x19_P1.27mm_Vertical -Through hole straight pin header, 2x19, 1.27mm pitch, double rows -Through hole pin header THT 2x19 1.27mm double row -0 -38 -38 -Connector_PinHeader_1.27mm -PinHeader_2x19_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x19, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x19 1.27mm double row -0 -38 -38 -Connector_PinHeader_1.27mm -PinHeader_2x20_P1.27mm_Horizontal -Through hole angled pin header, 2x20, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x20 1.27mm double row -0 -40 -40 -Connector_PinHeader_1.27mm -PinHeader_2x20_P1.27mm_Vertical -Through hole straight pin header, 2x20, 1.27mm pitch, double rows -Through hole pin header THT 2x20 1.27mm double row -0 -40 -40 -Connector_PinHeader_1.27mm -PinHeader_2x20_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x20, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x20 1.27mm double row -0 -40 -40 -Connector_PinHeader_1.27mm -PinHeader_2x21_P1.27mm_Horizontal -Through hole angled pin header, 2x21, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x21 1.27mm double row -0 -42 -42 -Connector_PinHeader_1.27mm -PinHeader_2x21_P1.27mm_Vertical -Through hole straight pin header, 2x21, 1.27mm pitch, double rows -Through hole pin header THT 2x21 1.27mm double row -0 -42 -42 -Connector_PinHeader_1.27mm -PinHeader_2x21_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x21, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x21 1.27mm double row -0 -42 -42 -Connector_PinHeader_1.27mm -PinHeader_2x22_P1.27mm_Horizontal -Through hole angled pin header, 2x22, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x22 1.27mm double row -0 -44 -44 -Connector_PinHeader_1.27mm -PinHeader_2x22_P1.27mm_Vertical -Through hole straight pin header, 2x22, 1.27mm pitch, double rows -Through hole pin header THT 2x22 1.27mm double row -0 -44 -44 -Connector_PinHeader_1.27mm -PinHeader_2x22_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x22, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x22 1.27mm double row -0 -44 -44 -Connector_PinHeader_1.27mm -PinHeader_2x23_P1.27mm_Horizontal -Through hole angled pin header, 2x23, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x23 1.27mm double row -0 -46 -46 -Connector_PinHeader_1.27mm -PinHeader_2x23_P1.27mm_Vertical -Through hole straight pin header, 2x23, 1.27mm pitch, double rows -Through hole pin header THT 2x23 1.27mm double row -0 -46 -46 -Connector_PinHeader_1.27mm -PinHeader_2x23_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x23, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x23 1.27mm double row -0 -46 -46 -Connector_PinHeader_1.27mm -PinHeader_2x24_P1.27mm_Horizontal -Through hole angled pin header, 2x24, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x24 1.27mm double row -0 -48 -48 -Connector_PinHeader_1.27mm -PinHeader_2x24_P1.27mm_Vertical -Through hole straight pin header, 2x24, 1.27mm pitch, double rows -Through hole pin header THT 2x24 1.27mm double row -0 -48 -48 -Connector_PinHeader_1.27mm -PinHeader_2x24_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x24, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x24 1.27mm double row -0 -48 -48 -Connector_PinHeader_1.27mm -PinHeader_2x25_P1.27mm_Horizontal -Through hole angled pin header, 2x25, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x25 1.27mm double row -0 -50 -50 -Connector_PinHeader_1.27mm -PinHeader_2x25_P1.27mm_Vertical -Through hole straight pin header, 2x25, 1.27mm pitch, double rows -Through hole pin header THT 2x25 1.27mm double row -0 -50 -50 -Connector_PinHeader_1.27mm -PinHeader_2x25_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x25, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x25 1.27mm double row -0 -50 -50 -Connector_PinHeader_1.27mm -PinHeader_2x26_P1.27mm_Horizontal -Through hole angled pin header, 2x26, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x26 1.27mm double row -0 -52 -52 -Connector_PinHeader_1.27mm -PinHeader_2x26_P1.27mm_Vertical -Through hole straight pin header, 2x26, 1.27mm pitch, double rows -Through hole pin header THT 2x26 1.27mm double row -0 -52 -52 -Connector_PinHeader_1.27mm -PinHeader_2x26_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x26, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x26 1.27mm double row -0 -52 -52 -Connector_PinHeader_1.27mm -PinHeader_2x27_P1.27mm_Horizontal -Through hole angled pin header, 2x27, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x27 1.27mm double row -0 -54 -54 -Connector_PinHeader_1.27mm -PinHeader_2x27_P1.27mm_Vertical -Through hole straight pin header, 2x27, 1.27mm pitch, double rows -Through hole pin header THT 2x27 1.27mm double row -0 -54 -54 -Connector_PinHeader_1.27mm -PinHeader_2x27_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x27, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x27 1.27mm double row -0 -54 -54 -Connector_PinHeader_1.27mm -PinHeader_2x28_P1.27mm_Horizontal -Through hole angled pin header, 2x28, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x28 1.27mm double row -0 -56 -56 -Connector_PinHeader_1.27mm -PinHeader_2x28_P1.27mm_Vertical -Through hole straight pin header, 2x28, 1.27mm pitch, double rows -Through hole pin header THT 2x28 1.27mm double row -0 -56 -56 -Connector_PinHeader_1.27mm -PinHeader_2x28_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x28, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x28 1.27mm double row -0 -56 -56 -Connector_PinHeader_1.27mm -PinHeader_2x29_P1.27mm_Horizontal -Through hole angled pin header, 2x29, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x29 1.27mm double row -0 -58 -58 -Connector_PinHeader_1.27mm -PinHeader_2x29_P1.27mm_Vertical -Through hole straight pin header, 2x29, 1.27mm pitch, double rows -Through hole pin header THT 2x29 1.27mm double row -0 -58 -58 -Connector_PinHeader_1.27mm -PinHeader_2x29_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x29, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x29 1.27mm double row -0 -58 -58 -Connector_PinHeader_1.27mm -PinHeader_2x30_P1.27mm_Horizontal -Through hole angled pin header, 2x30, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x30 1.27mm double row -0 -60 -60 -Connector_PinHeader_1.27mm -PinHeader_2x30_P1.27mm_Vertical -Through hole straight pin header, 2x30, 1.27mm pitch, double rows -Through hole pin header THT 2x30 1.27mm double row -0 -60 -60 -Connector_PinHeader_1.27mm -PinHeader_2x30_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x30, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x30 1.27mm double row -0 -60 -60 -Connector_PinHeader_1.27mm -PinHeader_2x31_P1.27mm_Horizontal -Through hole angled pin header, 2x31, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x31 1.27mm double row -0 -62 -62 -Connector_PinHeader_1.27mm -PinHeader_2x31_P1.27mm_Vertical -Through hole straight pin header, 2x31, 1.27mm pitch, double rows -Through hole pin header THT 2x31 1.27mm double row -0 -62 -62 -Connector_PinHeader_1.27mm -PinHeader_2x31_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x31, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x31 1.27mm double row -0 -62 -62 -Connector_PinHeader_1.27mm -PinHeader_2x32_P1.27mm_Horizontal -Through hole angled pin header, 2x32, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x32 1.27mm double row -0 -64 -64 -Connector_PinHeader_1.27mm -PinHeader_2x32_P1.27mm_Vertical -Through hole straight pin header, 2x32, 1.27mm pitch, double rows -Through hole pin header THT 2x32 1.27mm double row -0 -64 -64 -Connector_PinHeader_1.27mm -PinHeader_2x32_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x32, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x32 1.27mm double row -0 -64 -64 -Connector_PinHeader_1.27mm -PinHeader_2x33_P1.27mm_Horizontal -Through hole angled pin header, 2x33, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x33 1.27mm double row -0 -66 -66 -Connector_PinHeader_1.27mm -PinHeader_2x33_P1.27mm_Vertical -Through hole straight pin header, 2x33, 1.27mm pitch, double rows -Through hole pin header THT 2x33 1.27mm double row -0 -66 -66 -Connector_PinHeader_1.27mm -PinHeader_2x33_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x33, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x33 1.27mm double row -0 -66 -66 -Connector_PinHeader_1.27mm -PinHeader_2x34_P1.27mm_Horizontal -Through hole angled pin header, 2x34, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x34 1.27mm double row -0 -68 -68 -Connector_PinHeader_1.27mm -PinHeader_2x34_P1.27mm_Vertical -Through hole straight pin header, 2x34, 1.27mm pitch, double rows -Through hole pin header THT 2x34 1.27mm double row -0 -68 -68 -Connector_PinHeader_1.27mm -PinHeader_2x34_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x34, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x34 1.27mm double row -0 -68 -68 -Connector_PinHeader_1.27mm -PinHeader_2x35_P1.27mm_Horizontal -Through hole angled pin header, 2x35, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x35 1.27mm double row -0 -70 -70 -Connector_PinHeader_1.27mm -PinHeader_2x35_P1.27mm_Vertical -Through hole straight pin header, 2x35, 1.27mm pitch, double rows -Through hole pin header THT 2x35 1.27mm double row -0 -70 -70 -Connector_PinHeader_1.27mm -PinHeader_2x35_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x35, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x35 1.27mm double row -0 -70 -70 -Connector_PinHeader_1.27mm -PinHeader_2x36_P1.27mm_Horizontal -Through hole angled pin header, 2x36, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x36 1.27mm double row -0 -72 -72 -Connector_PinHeader_1.27mm -PinHeader_2x36_P1.27mm_Vertical -Through hole straight pin header, 2x36, 1.27mm pitch, double rows -Through hole pin header THT 2x36 1.27mm double row -0 -72 -72 -Connector_PinHeader_1.27mm -PinHeader_2x36_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x36, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x36 1.27mm double row -0 -72 -72 -Connector_PinHeader_1.27mm -PinHeader_2x37_P1.27mm_Horizontal -Through hole angled pin header, 2x37, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x37 1.27mm double row -0 -74 -74 -Connector_PinHeader_1.27mm -PinHeader_2x37_P1.27mm_Vertical -Through hole straight pin header, 2x37, 1.27mm pitch, double rows -Through hole pin header THT 2x37 1.27mm double row -0 -74 -74 -Connector_PinHeader_1.27mm -PinHeader_2x37_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x37, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x37 1.27mm double row -0 -74 -74 -Connector_PinHeader_1.27mm -PinHeader_2x38_P1.27mm_Horizontal -Through hole angled pin header, 2x38, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x38 1.27mm double row -0 -76 -76 -Connector_PinHeader_1.27mm -PinHeader_2x38_P1.27mm_Vertical -Through hole straight pin header, 2x38, 1.27mm pitch, double rows -Through hole pin header THT 2x38 1.27mm double row -0 -76 -76 -Connector_PinHeader_1.27mm -PinHeader_2x38_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x38, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x38 1.27mm double row -0 -76 -76 -Connector_PinHeader_1.27mm -PinHeader_2x39_P1.27mm_Horizontal -Through hole angled pin header, 2x39, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x39 1.27mm double row -0 -78 -78 -Connector_PinHeader_1.27mm -PinHeader_2x39_P1.27mm_Vertical -Through hole straight pin header, 2x39, 1.27mm pitch, double rows -Through hole pin header THT 2x39 1.27mm double row -0 -78 -78 -Connector_PinHeader_1.27mm -PinHeader_2x39_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x39, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x39 1.27mm double row -0 -78 -78 -Connector_PinHeader_1.27mm -PinHeader_2x40_P1.27mm_Horizontal -Through hole angled pin header, 2x40, 1.27mm pitch, 4.0mm pin length, double rows -Through hole angled pin header THT 2x40 1.27mm double row -0 -80 -80 -Connector_PinHeader_1.27mm -PinHeader_2x40_P1.27mm_Vertical -Through hole straight pin header, 2x40, 1.27mm pitch, double rows -Through hole pin header THT 2x40 1.27mm double row -0 -80 -80 -Connector_PinHeader_1.27mm -PinHeader_2x40_P1.27mm_Vertical_SMD -surface-mounted straight pin header, 2x40, 1.27mm pitch, double rows -Surface mounted pin header SMD 2x40 1.27mm double row -0 -80 -80 -Connector_PinHeader_2.00mm -PinHeader_1x01_P2.00mm_Horizontal -Through hole angled pin header, 1x01, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x01 2.00mm single row -0 -1 -1 -Connector_PinHeader_2.00mm -PinHeader_1x01_P2.00mm_Vertical -Through hole straight pin header, 1x01, 2.00mm pitch, single row -Through hole pin header THT 1x01 2.00mm single row -0 -1 -1 -Connector_PinHeader_2.00mm -PinHeader_1x02_P2.00mm_Horizontal -Through hole angled pin header, 1x02, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x02 2.00mm single row -0 -2 -2 -Connector_PinHeader_2.00mm -PinHeader_1x02_P2.00mm_Vertical -Through hole straight pin header, 1x02, 2.00mm pitch, single row -Through hole pin header THT 1x02 2.00mm single row -0 -2 -2 -Connector_PinHeader_2.00mm -PinHeader_1x02_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x02, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x02 2.00mm single row style1 pin1 left -0 -2 -2 -Connector_PinHeader_2.00mm -PinHeader_1x02_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x02, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x02 2.00mm single row style2 pin1 right -0 -2 -2 -Connector_PinHeader_2.00mm -PinHeader_1x03_P2.00mm_Horizontal -Through hole angled pin header, 1x03, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x03 2.00mm single row -0 -3 -3 -Connector_PinHeader_2.00mm -PinHeader_1x03_P2.00mm_Vertical -Through hole straight pin header, 1x03, 2.00mm pitch, single row -Through hole pin header THT 1x03 2.00mm single row -0 -3 -3 -Connector_PinHeader_2.00mm -PinHeader_1x03_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x03, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x03 2.00mm single row style1 pin1 left -0 -3 -3 -Connector_PinHeader_2.00mm -PinHeader_1x03_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x03, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x03 2.00mm single row style2 pin1 right -0 -3 -3 -Connector_PinHeader_2.00mm -PinHeader_1x04_P2.00mm_Horizontal -Through hole angled pin header, 1x04, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x04 2.00mm single row -0 -4 -4 -Connector_PinHeader_2.00mm -PinHeader_1x04_P2.00mm_Vertical -Through hole straight pin header, 1x04, 2.00mm pitch, single row -Through hole pin header THT 1x04 2.00mm single row -0 -4 -4 -Connector_PinHeader_2.00mm -PinHeader_1x04_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x04, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x04 2.00mm single row style1 pin1 left -0 -4 -4 -Connector_PinHeader_2.00mm -PinHeader_1x04_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x04, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x04 2.00mm single row style2 pin1 right -0 -4 -4 -Connector_PinHeader_2.00mm -PinHeader_1x05_P2.00mm_Horizontal -Through hole angled pin header, 1x05, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x05 2.00mm single row -0 -5 -5 -Connector_PinHeader_2.00mm -PinHeader_1x05_P2.00mm_Vertical -Through hole straight pin header, 1x05, 2.00mm pitch, single row -Through hole pin header THT 1x05 2.00mm single row -0 -5 -5 -Connector_PinHeader_2.00mm -PinHeader_1x05_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x05, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x05 2.00mm single row style1 pin1 left -0 -5 -5 -Connector_PinHeader_2.00mm -PinHeader_1x05_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x05, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x05 2.00mm single row style2 pin1 right -0 -5 -5 -Connector_PinHeader_2.00mm -PinHeader_1x06_P2.00mm_Horizontal -Through hole angled pin header, 1x06, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x06 2.00mm single row -0 -6 -6 -Connector_PinHeader_2.00mm -PinHeader_1x06_P2.00mm_Vertical -Through hole straight pin header, 1x06, 2.00mm pitch, single row -Through hole pin header THT 1x06 2.00mm single row -0 -6 -6 -Connector_PinHeader_2.00mm -PinHeader_1x06_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x06, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x06 2.00mm single row style1 pin1 left -0 -6 -6 -Connector_PinHeader_2.00mm -PinHeader_1x06_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x06, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x06 2.00mm single row style2 pin1 right -0 -6 -6 -Connector_PinHeader_2.00mm -PinHeader_1x07_P2.00mm_Horizontal -Through hole angled pin header, 1x07, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x07 2.00mm single row -0 -7 -7 -Connector_PinHeader_2.00mm -PinHeader_1x07_P2.00mm_Vertical -Through hole straight pin header, 1x07, 2.00mm pitch, single row -Through hole pin header THT 1x07 2.00mm single row -0 -7 -7 -Connector_PinHeader_2.00mm -PinHeader_1x07_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x07, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x07 2.00mm single row style1 pin1 left -0 -7 -7 -Connector_PinHeader_2.00mm -PinHeader_1x07_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x07, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x07 2.00mm single row style2 pin1 right -0 -7 -7 -Connector_PinHeader_2.00mm -PinHeader_1x08_P2.00mm_Horizontal -Through hole angled pin header, 1x08, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x08 2.00mm single row -0 -8 -8 -Connector_PinHeader_2.00mm -PinHeader_1x08_P2.00mm_Vertical -Through hole straight pin header, 1x08, 2.00mm pitch, single row -Through hole pin header THT 1x08 2.00mm single row -0 -8 -8 -Connector_PinHeader_2.00mm -PinHeader_1x08_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x08, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x08 2.00mm single row style1 pin1 left -0 -8 -8 -Connector_PinHeader_2.00mm -PinHeader_1x08_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x08, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x08 2.00mm single row style2 pin1 right -0 -8 -8 -Connector_PinHeader_2.00mm -PinHeader_1x09_P2.00mm_Horizontal -Through hole angled pin header, 1x09, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x09 2.00mm single row -0 -9 -9 -Connector_PinHeader_2.00mm -PinHeader_1x09_P2.00mm_Vertical -Through hole straight pin header, 1x09, 2.00mm pitch, single row -Through hole pin header THT 1x09 2.00mm single row -0 -9 -9 -Connector_PinHeader_2.00mm -PinHeader_1x09_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x09, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x09 2.00mm single row style1 pin1 left -0 -9 -9 -Connector_PinHeader_2.00mm -PinHeader_1x09_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x09, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x09 2.00mm single row style2 pin1 right -0 -9 -9 -Connector_PinHeader_2.00mm -PinHeader_1x10_P2.00mm_Horizontal -Through hole angled pin header, 1x10, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x10 2.00mm single row -0 -10 -10 -Connector_PinHeader_2.00mm -PinHeader_1x10_P2.00mm_Vertical -Through hole straight pin header, 1x10, 2.00mm pitch, single row -Through hole pin header THT 1x10 2.00mm single row -0 -10 -10 -Connector_PinHeader_2.00mm -PinHeader_1x10_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x10, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x10 2.00mm single row style1 pin1 left -0 -10 -10 -Connector_PinHeader_2.00mm -PinHeader_1x10_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x10, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x10 2.00mm single row style2 pin1 right -0 -10 -10 -Connector_PinHeader_2.00mm -PinHeader_1x11_P2.00mm_Horizontal -Through hole angled pin header, 1x11, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x11 2.00mm single row -0 -11 -11 -Connector_PinHeader_2.00mm -PinHeader_1x11_P2.00mm_Vertical -Through hole straight pin header, 1x11, 2.00mm pitch, single row -Through hole pin header THT 1x11 2.00mm single row -0 -11 -11 -Connector_PinHeader_2.00mm -PinHeader_1x11_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x11, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x11 2.00mm single row style1 pin1 left -0 -11 -11 -Connector_PinHeader_2.00mm -PinHeader_1x11_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x11, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x11 2.00mm single row style2 pin1 right -0 -11 -11 -Connector_PinHeader_2.00mm -PinHeader_1x12_P2.00mm_Horizontal -Through hole angled pin header, 1x12, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x12 2.00mm single row -0 -12 -12 -Connector_PinHeader_2.00mm -PinHeader_1x12_P2.00mm_Vertical -Through hole straight pin header, 1x12, 2.00mm pitch, single row -Through hole pin header THT 1x12 2.00mm single row -0 -12 -12 -Connector_PinHeader_2.00mm -PinHeader_1x12_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x12, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x12 2.00mm single row style1 pin1 left -0 -12 -12 -Connector_PinHeader_2.00mm -PinHeader_1x12_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x12, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x12 2.00mm single row style2 pin1 right -0 -12 -12 -Connector_PinHeader_2.00mm -PinHeader_1x13_P2.00mm_Horizontal -Through hole angled pin header, 1x13, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x13 2.00mm single row -0 -13 -13 -Connector_PinHeader_2.00mm -PinHeader_1x13_P2.00mm_Vertical -Through hole straight pin header, 1x13, 2.00mm pitch, single row -Through hole pin header THT 1x13 2.00mm single row -0 -13 -13 -Connector_PinHeader_2.00mm -PinHeader_1x13_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x13, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x13 2.00mm single row style1 pin1 left -0 -13 -13 -Connector_PinHeader_2.00mm -PinHeader_1x13_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x13, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x13 2.00mm single row style2 pin1 right -0 -13 -13 -Connector_PinHeader_2.00mm -PinHeader_1x14_P2.00mm_Horizontal -Through hole angled pin header, 1x14, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x14 2.00mm single row -0 -14 -14 -Connector_PinHeader_2.00mm -PinHeader_1x14_P2.00mm_Vertical -Through hole straight pin header, 1x14, 2.00mm pitch, single row -Through hole pin header THT 1x14 2.00mm single row -0 -14 -14 -Connector_PinHeader_2.00mm -PinHeader_1x14_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x14, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x14 2.00mm single row style1 pin1 left -0 -14 -14 -Connector_PinHeader_2.00mm -PinHeader_1x14_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x14, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x14 2.00mm single row style2 pin1 right -0 -14 -14 -Connector_PinHeader_2.00mm -PinHeader_1x15_P2.00mm_Horizontal -Through hole angled pin header, 1x15, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x15 2.00mm single row -0 -15 -15 -Connector_PinHeader_2.00mm -PinHeader_1x15_P2.00mm_Vertical -Through hole straight pin header, 1x15, 2.00mm pitch, single row -Through hole pin header THT 1x15 2.00mm single row -0 -15 -15 -Connector_PinHeader_2.00mm -PinHeader_1x15_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x15, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x15 2.00mm single row style1 pin1 left -0 -15 -15 -Connector_PinHeader_2.00mm -PinHeader_1x15_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x15, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x15 2.00mm single row style2 pin1 right -0 -15 -15 -Connector_PinHeader_2.00mm -PinHeader_1x16_P2.00mm_Horizontal -Through hole angled pin header, 1x16, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x16 2.00mm single row -0 -16 -16 -Connector_PinHeader_2.00mm -PinHeader_1x16_P2.00mm_Vertical -Through hole straight pin header, 1x16, 2.00mm pitch, single row -Through hole pin header THT 1x16 2.00mm single row -0 -16 -16 -Connector_PinHeader_2.00mm -PinHeader_1x16_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x16, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x16 2.00mm single row style1 pin1 left -0 -16 -16 -Connector_PinHeader_2.00mm -PinHeader_1x16_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x16, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x16 2.00mm single row style2 pin1 right -0 -16 -16 -Connector_PinHeader_2.00mm -PinHeader_1x17_P2.00mm_Horizontal -Through hole angled pin header, 1x17, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x17 2.00mm single row -0 -17 -17 -Connector_PinHeader_2.00mm -PinHeader_1x17_P2.00mm_Vertical -Through hole straight pin header, 1x17, 2.00mm pitch, single row -Through hole pin header THT 1x17 2.00mm single row -0 -17 -17 -Connector_PinHeader_2.00mm -PinHeader_1x17_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x17, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x17 2.00mm single row style1 pin1 left -0 -17 -17 -Connector_PinHeader_2.00mm -PinHeader_1x17_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x17, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x17 2.00mm single row style2 pin1 right -0 -17 -17 -Connector_PinHeader_2.00mm -PinHeader_1x18_P2.00mm_Horizontal -Through hole angled pin header, 1x18, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x18 2.00mm single row -0 -18 -18 -Connector_PinHeader_2.00mm -PinHeader_1x18_P2.00mm_Vertical -Through hole straight pin header, 1x18, 2.00mm pitch, single row -Through hole pin header THT 1x18 2.00mm single row -0 -18 -18 -Connector_PinHeader_2.00mm -PinHeader_1x18_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x18, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x18 2.00mm single row style1 pin1 left -0 -18 -18 -Connector_PinHeader_2.00mm -PinHeader_1x18_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x18, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x18 2.00mm single row style2 pin1 right -0 -18 -18 -Connector_PinHeader_2.00mm -PinHeader_1x19_P2.00mm_Horizontal -Through hole angled pin header, 1x19, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x19 2.00mm single row -0 -19 -19 -Connector_PinHeader_2.00mm -PinHeader_1x19_P2.00mm_Vertical -Through hole straight pin header, 1x19, 2.00mm pitch, single row -Through hole pin header THT 1x19 2.00mm single row -0 -19 -19 -Connector_PinHeader_2.00mm -PinHeader_1x19_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x19, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x19 2.00mm single row style1 pin1 left -0 -19 -19 -Connector_PinHeader_2.00mm -PinHeader_1x19_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x19, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x19 2.00mm single row style2 pin1 right -0 -19 -19 -Connector_PinHeader_2.00mm -PinHeader_1x20_P2.00mm_Horizontal -Through hole angled pin header, 1x20, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x20 2.00mm single row -0 -20 -20 -Connector_PinHeader_2.00mm -PinHeader_1x20_P2.00mm_Vertical -Through hole straight pin header, 1x20, 2.00mm pitch, single row -Through hole pin header THT 1x20 2.00mm single row -0 -20 -20 -Connector_PinHeader_2.00mm -PinHeader_1x20_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x20, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x20 2.00mm single row style1 pin1 left -0 -20 -20 -Connector_PinHeader_2.00mm -PinHeader_1x20_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x20, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x20 2.00mm single row style2 pin1 right -0 -20 -20 -Connector_PinHeader_2.00mm -PinHeader_1x21_P2.00mm_Horizontal -Through hole angled pin header, 1x21, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x21 2.00mm single row -0 -21 -21 -Connector_PinHeader_2.00mm -PinHeader_1x21_P2.00mm_Vertical -Through hole straight pin header, 1x21, 2.00mm pitch, single row -Through hole pin header THT 1x21 2.00mm single row -0 -21 -21 -Connector_PinHeader_2.00mm -PinHeader_1x21_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x21, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x21 2.00mm single row style1 pin1 left -0 -21 -21 -Connector_PinHeader_2.00mm -PinHeader_1x21_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x21, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x21 2.00mm single row style2 pin1 right -0 -21 -21 -Connector_PinHeader_2.00mm -PinHeader_1x22_P2.00mm_Horizontal -Through hole angled pin header, 1x22, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x22 2.00mm single row -0 -22 -22 -Connector_PinHeader_2.00mm -PinHeader_1x22_P2.00mm_Vertical -Through hole straight pin header, 1x22, 2.00mm pitch, single row -Through hole pin header THT 1x22 2.00mm single row -0 -22 -22 -Connector_PinHeader_2.00mm -PinHeader_1x22_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x22, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x22 2.00mm single row style1 pin1 left -0 -22 -22 -Connector_PinHeader_2.00mm -PinHeader_1x22_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x22, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x22 2.00mm single row style2 pin1 right -0 -22 -22 -Connector_PinHeader_2.00mm -PinHeader_1x23_P2.00mm_Horizontal -Through hole angled pin header, 1x23, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x23 2.00mm single row -0 -23 -23 -Connector_PinHeader_2.00mm -PinHeader_1x23_P2.00mm_Vertical -Through hole straight pin header, 1x23, 2.00mm pitch, single row -Through hole pin header THT 1x23 2.00mm single row -0 -23 -23 -Connector_PinHeader_2.00mm -PinHeader_1x23_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x23, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x23 2.00mm single row style1 pin1 left -0 -23 -23 -Connector_PinHeader_2.00mm -PinHeader_1x23_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x23, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x23 2.00mm single row style2 pin1 right -0 -23 -23 -Connector_PinHeader_2.00mm -PinHeader_1x24_P2.00mm_Horizontal -Through hole angled pin header, 1x24, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x24 2.00mm single row -0 -24 -24 -Connector_PinHeader_2.00mm -PinHeader_1x24_P2.00mm_Vertical -Through hole straight pin header, 1x24, 2.00mm pitch, single row -Through hole pin header THT 1x24 2.00mm single row -0 -24 -24 -Connector_PinHeader_2.00mm -PinHeader_1x24_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x24, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x24 2.00mm single row style1 pin1 left -0 -24 -24 -Connector_PinHeader_2.00mm -PinHeader_1x24_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x24, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x24 2.00mm single row style2 pin1 right -0 -24 -24 -Connector_PinHeader_2.00mm -PinHeader_1x25_P2.00mm_Horizontal -Through hole angled pin header, 1x25, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x25 2.00mm single row -0 -25 -25 -Connector_PinHeader_2.00mm -PinHeader_1x25_P2.00mm_Vertical -Through hole straight pin header, 1x25, 2.00mm pitch, single row -Through hole pin header THT 1x25 2.00mm single row -0 -25 -25 -Connector_PinHeader_2.00mm -PinHeader_1x25_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x25, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x25 2.00mm single row style1 pin1 left -0 -25 -25 -Connector_PinHeader_2.00mm -PinHeader_1x25_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x25, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x25 2.00mm single row style2 pin1 right -0 -25 -25 -Connector_PinHeader_2.00mm -PinHeader_1x26_P2.00mm_Horizontal -Through hole angled pin header, 1x26, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x26 2.00mm single row -0 -26 -26 -Connector_PinHeader_2.00mm -PinHeader_1x26_P2.00mm_Vertical -Through hole straight pin header, 1x26, 2.00mm pitch, single row -Through hole pin header THT 1x26 2.00mm single row -0 -26 -26 -Connector_PinHeader_2.00mm -PinHeader_1x26_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x26, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x26 2.00mm single row style1 pin1 left -0 -26 -26 -Connector_PinHeader_2.00mm -PinHeader_1x26_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x26, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x26 2.00mm single row style2 pin1 right -0 -26 -26 -Connector_PinHeader_2.00mm -PinHeader_1x27_P2.00mm_Horizontal -Through hole angled pin header, 1x27, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x27 2.00mm single row -0 -27 -27 -Connector_PinHeader_2.00mm -PinHeader_1x27_P2.00mm_Vertical -Through hole straight pin header, 1x27, 2.00mm pitch, single row -Through hole pin header THT 1x27 2.00mm single row -0 -27 -27 -Connector_PinHeader_2.00mm -PinHeader_1x27_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x27, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x27 2.00mm single row style1 pin1 left -0 -27 -27 -Connector_PinHeader_2.00mm -PinHeader_1x27_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x27, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x27 2.00mm single row style2 pin1 right -0 -27 -27 -Connector_PinHeader_2.00mm -PinHeader_1x28_P2.00mm_Horizontal -Through hole angled pin header, 1x28, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x28 2.00mm single row -0 -28 -28 -Connector_PinHeader_2.00mm -PinHeader_1x28_P2.00mm_Vertical -Through hole straight pin header, 1x28, 2.00mm pitch, single row -Through hole pin header THT 1x28 2.00mm single row -0 -28 -28 -Connector_PinHeader_2.00mm -PinHeader_1x28_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x28, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x28 2.00mm single row style1 pin1 left -0 -28 -28 -Connector_PinHeader_2.00mm -PinHeader_1x28_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x28, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x28 2.00mm single row style2 pin1 right -0 -28 -28 -Connector_PinHeader_2.00mm -PinHeader_1x29_P2.00mm_Horizontal -Through hole angled pin header, 1x29, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x29 2.00mm single row -0 -29 -29 -Connector_PinHeader_2.00mm -PinHeader_1x29_P2.00mm_Vertical -Through hole straight pin header, 1x29, 2.00mm pitch, single row -Through hole pin header THT 1x29 2.00mm single row -0 -29 -29 -Connector_PinHeader_2.00mm -PinHeader_1x29_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x29, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x29 2.00mm single row style1 pin1 left -0 -29 -29 -Connector_PinHeader_2.00mm -PinHeader_1x29_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x29, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x29 2.00mm single row style2 pin1 right -0 -29 -29 -Connector_PinHeader_2.00mm -PinHeader_1x30_P2.00mm_Horizontal -Through hole angled pin header, 1x30, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x30 2.00mm single row -0 -30 -30 -Connector_PinHeader_2.00mm -PinHeader_1x30_P2.00mm_Vertical -Through hole straight pin header, 1x30, 2.00mm pitch, single row -Through hole pin header THT 1x30 2.00mm single row -0 -30 -30 -Connector_PinHeader_2.00mm -PinHeader_1x30_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x30, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x30 2.00mm single row style1 pin1 left -0 -30 -30 -Connector_PinHeader_2.00mm -PinHeader_1x30_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x30, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x30 2.00mm single row style2 pin1 right -0 -30 -30 -Connector_PinHeader_2.00mm -PinHeader_1x31_P2.00mm_Horizontal -Through hole angled pin header, 1x31, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x31 2.00mm single row -0 -31 -31 -Connector_PinHeader_2.00mm -PinHeader_1x31_P2.00mm_Vertical -Through hole straight pin header, 1x31, 2.00mm pitch, single row -Through hole pin header THT 1x31 2.00mm single row -0 -31 -31 -Connector_PinHeader_2.00mm -PinHeader_1x31_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x31, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x31 2.00mm single row style1 pin1 left -0 -31 -31 -Connector_PinHeader_2.00mm -PinHeader_1x31_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x31, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x31 2.00mm single row style2 pin1 right -0 -31 -31 -Connector_PinHeader_2.00mm -PinHeader_1x32_P2.00mm_Horizontal -Through hole angled pin header, 1x32, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x32 2.00mm single row -0 -32 -32 -Connector_PinHeader_2.00mm -PinHeader_1x32_P2.00mm_Vertical -Through hole straight pin header, 1x32, 2.00mm pitch, single row -Through hole pin header THT 1x32 2.00mm single row -0 -32 -32 -Connector_PinHeader_2.00mm -PinHeader_1x32_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x32, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x32 2.00mm single row style1 pin1 left -0 -32 -32 -Connector_PinHeader_2.00mm -PinHeader_1x32_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x32, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x32 2.00mm single row style2 pin1 right -0 -32 -32 -Connector_PinHeader_2.00mm -PinHeader_1x33_P2.00mm_Horizontal -Through hole angled pin header, 1x33, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x33 2.00mm single row -0 -33 -33 -Connector_PinHeader_2.00mm -PinHeader_1x33_P2.00mm_Vertical -Through hole straight pin header, 1x33, 2.00mm pitch, single row -Through hole pin header THT 1x33 2.00mm single row -0 -33 -33 -Connector_PinHeader_2.00mm -PinHeader_1x33_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x33, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x33 2.00mm single row style1 pin1 left -0 -33 -33 -Connector_PinHeader_2.00mm -PinHeader_1x33_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x33, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x33 2.00mm single row style2 pin1 right -0 -33 -33 -Connector_PinHeader_2.00mm -PinHeader_1x34_P2.00mm_Horizontal -Through hole angled pin header, 1x34, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x34 2.00mm single row -0 -34 -34 -Connector_PinHeader_2.00mm -PinHeader_1x34_P2.00mm_Vertical -Through hole straight pin header, 1x34, 2.00mm pitch, single row -Through hole pin header THT 1x34 2.00mm single row -0 -34 -34 -Connector_PinHeader_2.00mm -PinHeader_1x34_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x34, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x34 2.00mm single row style1 pin1 left -0 -34 -34 -Connector_PinHeader_2.00mm -PinHeader_1x34_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x34, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x34 2.00mm single row style2 pin1 right -0 -34 -34 -Connector_PinHeader_2.00mm -PinHeader_1x35_P2.00mm_Horizontal -Through hole angled pin header, 1x35, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x35 2.00mm single row -0 -35 -35 -Connector_PinHeader_2.00mm -PinHeader_1x35_P2.00mm_Vertical -Through hole straight pin header, 1x35, 2.00mm pitch, single row -Through hole pin header THT 1x35 2.00mm single row -0 -35 -35 -Connector_PinHeader_2.00mm -PinHeader_1x35_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x35, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x35 2.00mm single row style1 pin1 left -0 -35 -35 -Connector_PinHeader_2.00mm -PinHeader_1x35_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x35, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x35 2.00mm single row style2 pin1 right -0 -35 -35 -Connector_PinHeader_2.00mm -PinHeader_1x36_P2.00mm_Horizontal -Through hole angled pin header, 1x36, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x36 2.00mm single row -0 -36 -36 -Connector_PinHeader_2.00mm -PinHeader_1x36_P2.00mm_Vertical -Through hole straight pin header, 1x36, 2.00mm pitch, single row -Through hole pin header THT 1x36 2.00mm single row -0 -36 -36 -Connector_PinHeader_2.00mm -PinHeader_1x36_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x36, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x36 2.00mm single row style1 pin1 left -0 -36 -36 -Connector_PinHeader_2.00mm -PinHeader_1x36_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x36, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x36 2.00mm single row style2 pin1 right -0 -36 -36 -Connector_PinHeader_2.00mm -PinHeader_1x37_P2.00mm_Horizontal -Through hole angled pin header, 1x37, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x37 2.00mm single row -0 -37 -37 -Connector_PinHeader_2.00mm -PinHeader_1x37_P2.00mm_Vertical -Through hole straight pin header, 1x37, 2.00mm pitch, single row -Through hole pin header THT 1x37 2.00mm single row -0 -37 -37 -Connector_PinHeader_2.00mm -PinHeader_1x37_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x37, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x37 2.00mm single row style1 pin1 left -0 -37 -37 -Connector_PinHeader_2.00mm -PinHeader_1x37_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x37, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x37 2.00mm single row style2 pin1 right -0 -37 -37 -Connector_PinHeader_2.00mm -PinHeader_1x38_P2.00mm_Horizontal -Through hole angled pin header, 1x38, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x38 2.00mm single row -0 -38 -38 -Connector_PinHeader_2.00mm -PinHeader_1x38_P2.00mm_Vertical -Through hole straight pin header, 1x38, 2.00mm pitch, single row -Through hole pin header THT 1x38 2.00mm single row -0 -38 -38 -Connector_PinHeader_2.00mm -PinHeader_1x38_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x38, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x38 2.00mm single row style1 pin1 left -0 -38 -38 -Connector_PinHeader_2.00mm -PinHeader_1x38_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x38, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x38 2.00mm single row style2 pin1 right -0 -38 -38 -Connector_PinHeader_2.00mm -PinHeader_1x39_P2.00mm_Horizontal -Through hole angled pin header, 1x39, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x39 2.00mm single row -0 -39 -39 -Connector_PinHeader_2.00mm -PinHeader_1x39_P2.00mm_Vertical -Through hole straight pin header, 1x39, 2.00mm pitch, single row -Through hole pin header THT 1x39 2.00mm single row -0 -39 -39 -Connector_PinHeader_2.00mm -PinHeader_1x39_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x39, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x39 2.00mm single row style1 pin1 left -0 -39 -39 -Connector_PinHeader_2.00mm -PinHeader_1x39_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x39, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x39 2.00mm single row style2 pin1 right -0 -39 -39 -Connector_PinHeader_2.00mm -PinHeader_1x40_P2.00mm_Horizontal -Through hole angled pin header, 1x40, 2.00mm pitch, 4.2mm pin length, single row -Through hole angled pin header THT 1x40 2.00mm single row -0 -40 -40 -Connector_PinHeader_2.00mm -PinHeader_1x40_P2.00mm_Vertical -Through hole straight pin header, 1x40, 2.00mm pitch, single row -Through hole pin header THT 1x40 2.00mm single row -0 -40 -40 -Connector_PinHeader_2.00mm -PinHeader_1x40_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x40, 2.00mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x40 2.00mm single row style1 pin1 left -0 -40 -40 -Connector_PinHeader_2.00mm -PinHeader_1x40_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x40, 2.00mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x40 2.00mm single row style2 pin1 right -0 -40 -40 -Connector_PinHeader_2.00mm -PinHeader_2x01_P2.00mm_Horizontal -Through hole angled pin header, 2x01, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x01 2.00mm double row -0 -2 -2 -Connector_PinHeader_2.00mm -PinHeader_2x01_P2.00mm_Vertical -Through hole straight pin header, 2x01, 2.00mm pitch, double rows -Through hole pin header THT 2x01 2.00mm double row -0 -2 -2 -Connector_PinHeader_2.00mm -PinHeader_2x01_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x01, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x01 2.00mm double row -0 -2 -2 -Connector_PinHeader_2.00mm -PinHeader_2x02_P2.00mm_Horizontal -Through hole angled pin header, 2x02, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x02 2.00mm double row -0 -4 -4 -Connector_PinHeader_2.00mm -PinHeader_2x02_P2.00mm_Vertical -Through hole straight pin header, 2x02, 2.00mm pitch, double rows -Through hole pin header THT 2x02 2.00mm double row -0 -4 -4 -Connector_PinHeader_2.00mm -PinHeader_2x02_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x02, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x02 2.00mm double row -0 -4 -4 -Connector_PinHeader_2.00mm -PinHeader_2x03_P2.00mm_Horizontal -Through hole angled pin header, 2x03, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x03 2.00mm double row -0 -6 -6 -Connector_PinHeader_2.00mm -PinHeader_2x03_P2.00mm_Vertical -Through hole straight pin header, 2x03, 2.00mm pitch, double rows -Through hole pin header THT 2x03 2.00mm double row -0 -6 -6 -Connector_PinHeader_2.00mm -PinHeader_2x03_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x03, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x03 2.00mm double row -0 -6 -6 -Connector_PinHeader_2.00mm -PinHeader_2x04_P2.00mm_Horizontal -Through hole angled pin header, 2x04, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x04 2.00mm double row -0 -8 -8 -Connector_PinHeader_2.00mm -PinHeader_2x04_P2.00mm_Vertical -Through hole straight pin header, 2x04, 2.00mm pitch, double rows -Through hole pin header THT 2x04 2.00mm double row -0 -8 -8 -Connector_PinHeader_2.00mm -PinHeader_2x04_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x04, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x04 2.00mm double row -0 -8 -8 -Connector_PinHeader_2.00mm -PinHeader_2x05_P2.00mm_Horizontal -Through hole angled pin header, 2x05, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x05 2.00mm double row -0 -10 -10 -Connector_PinHeader_2.00mm -PinHeader_2x05_P2.00mm_Vertical -Through hole straight pin header, 2x05, 2.00mm pitch, double rows -Through hole pin header THT 2x05 2.00mm double row -0 -10 -10 -Connector_PinHeader_2.00mm -PinHeader_2x05_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x05, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x05 2.00mm double row -0 -10 -10 -Connector_PinHeader_2.00mm -PinHeader_2x06_P2.00mm_Horizontal -Through hole angled pin header, 2x06, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x06 2.00mm double row -0 -12 -12 -Connector_PinHeader_2.00mm -PinHeader_2x06_P2.00mm_Vertical -Through hole straight pin header, 2x06, 2.00mm pitch, double rows -Through hole pin header THT 2x06 2.00mm double row -0 -12 -12 -Connector_PinHeader_2.00mm -PinHeader_2x06_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x06, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x06 2.00mm double row -0 -12 -12 -Connector_PinHeader_2.00mm -PinHeader_2x07_P2.00mm_Horizontal -Through hole angled pin header, 2x07, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x07 2.00mm double row -0 -14 -14 -Connector_PinHeader_2.00mm -PinHeader_2x07_P2.00mm_Vertical -Through hole straight pin header, 2x07, 2.00mm pitch, double rows -Through hole pin header THT 2x07 2.00mm double row -0 -14 -14 -Connector_PinHeader_2.00mm -PinHeader_2x07_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x07, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x07 2.00mm double row -0 -14 -14 -Connector_PinHeader_2.00mm -PinHeader_2x08_P2.00mm_Horizontal -Through hole angled pin header, 2x08, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x08 2.00mm double row -0 -16 -16 -Connector_PinHeader_2.00mm -PinHeader_2x08_P2.00mm_Vertical -Through hole straight pin header, 2x08, 2.00mm pitch, double rows -Through hole pin header THT 2x08 2.00mm double row -0 -16 -16 -Connector_PinHeader_2.00mm -PinHeader_2x08_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x08, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x08 2.00mm double row -0 -16 -16 -Connector_PinHeader_2.00mm -PinHeader_2x09_P2.00mm_Horizontal -Through hole angled pin header, 2x09, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x09 2.00mm double row -0 -18 -18 -Connector_PinHeader_2.00mm -PinHeader_2x09_P2.00mm_Vertical -Through hole straight pin header, 2x09, 2.00mm pitch, double rows -Through hole pin header THT 2x09 2.00mm double row -0 -18 -18 -Connector_PinHeader_2.00mm -PinHeader_2x09_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x09, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x09 2.00mm double row -0 -18 -18 -Connector_PinHeader_2.00mm -PinHeader_2x10_P2.00mm_Horizontal -Through hole angled pin header, 2x10, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x10 2.00mm double row -0 -20 -20 -Connector_PinHeader_2.00mm -PinHeader_2x10_P2.00mm_Vertical -Through hole straight pin header, 2x10, 2.00mm pitch, double rows -Through hole pin header THT 2x10 2.00mm double row -0 -20 -20 -Connector_PinHeader_2.00mm -PinHeader_2x10_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x10, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x10 2.00mm double row -0 -20 -20 -Connector_PinHeader_2.00mm -PinHeader_2x11_P2.00mm_Horizontal -Through hole angled pin header, 2x11, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x11 2.00mm double row -0 -22 -22 -Connector_PinHeader_2.00mm -PinHeader_2x11_P2.00mm_Vertical -Through hole straight pin header, 2x11, 2.00mm pitch, double rows -Through hole pin header THT 2x11 2.00mm double row -0 -22 -22 -Connector_PinHeader_2.00mm -PinHeader_2x11_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x11, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x11 2.00mm double row -0 -22 -22 -Connector_PinHeader_2.00mm -PinHeader_2x12_P2.00mm_Horizontal -Through hole angled pin header, 2x12, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x12 2.00mm double row -0 -24 -24 -Connector_PinHeader_2.00mm -PinHeader_2x12_P2.00mm_Vertical -Through hole straight pin header, 2x12, 2.00mm pitch, double rows -Through hole pin header THT 2x12 2.00mm double row -0 -24 -24 -Connector_PinHeader_2.00mm -PinHeader_2x12_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x12, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x12 2.00mm double row -0 -24 -24 -Connector_PinHeader_2.00mm -PinHeader_2x13_P2.00mm_Horizontal -Through hole angled pin header, 2x13, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x13 2.00mm double row -0 -26 -26 -Connector_PinHeader_2.00mm -PinHeader_2x13_P2.00mm_Vertical -Through hole straight pin header, 2x13, 2.00mm pitch, double rows -Through hole pin header THT 2x13 2.00mm double row -0 -26 -26 -Connector_PinHeader_2.00mm -PinHeader_2x13_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x13, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x13 2.00mm double row -0 -26 -26 -Connector_PinHeader_2.00mm -PinHeader_2x14_P2.00mm_Horizontal -Through hole angled pin header, 2x14, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x14 2.00mm double row -0 -28 -28 -Connector_PinHeader_2.00mm -PinHeader_2x14_P2.00mm_Vertical -Through hole straight pin header, 2x14, 2.00mm pitch, double rows -Through hole pin header THT 2x14 2.00mm double row -0 -28 -28 -Connector_PinHeader_2.00mm -PinHeader_2x14_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x14, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x14 2.00mm double row -0 -28 -28 -Connector_PinHeader_2.00mm -PinHeader_2x15_P2.00mm_Horizontal -Through hole angled pin header, 2x15, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x15 2.00mm double row -0 -30 -30 -Connector_PinHeader_2.00mm -PinHeader_2x15_P2.00mm_Vertical -Through hole straight pin header, 2x15, 2.00mm pitch, double rows -Through hole pin header THT 2x15 2.00mm double row -0 -30 -30 -Connector_PinHeader_2.00mm -PinHeader_2x15_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x15, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x15 2.00mm double row -0 -30 -30 -Connector_PinHeader_2.00mm -PinHeader_2x16_P2.00mm_Horizontal -Through hole angled pin header, 2x16, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x16 2.00mm double row -0 -32 -32 -Connector_PinHeader_2.00mm -PinHeader_2x16_P2.00mm_Vertical -Through hole straight pin header, 2x16, 2.00mm pitch, double rows -Through hole pin header THT 2x16 2.00mm double row -0 -32 -32 -Connector_PinHeader_2.00mm -PinHeader_2x16_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x16, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x16 2.00mm double row -0 -32 -32 -Connector_PinHeader_2.00mm -PinHeader_2x17_P2.00mm_Horizontal -Through hole angled pin header, 2x17, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x17 2.00mm double row -0 -34 -34 -Connector_PinHeader_2.00mm -PinHeader_2x17_P2.00mm_Vertical -Through hole straight pin header, 2x17, 2.00mm pitch, double rows -Through hole pin header THT 2x17 2.00mm double row -0 -34 -34 -Connector_PinHeader_2.00mm -PinHeader_2x17_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x17, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x17 2.00mm double row -0 -34 -34 -Connector_PinHeader_2.00mm -PinHeader_2x18_P2.00mm_Horizontal -Through hole angled pin header, 2x18, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x18 2.00mm double row -0 -36 -36 -Connector_PinHeader_2.00mm -PinHeader_2x18_P2.00mm_Vertical -Through hole straight pin header, 2x18, 2.00mm pitch, double rows -Through hole pin header THT 2x18 2.00mm double row -0 -36 -36 -Connector_PinHeader_2.00mm -PinHeader_2x18_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x18, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x18 2.00mm double row -0 -36 -36 -Connector_PinHeader_2.00mm -PinHeader_2x19_P2.00mm_Horizontal -Through hole angled pin header, 2x19, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x19 2.00mm double row -0 -38 -38 -Connector_PinHeader_2.00mm -PinHeader_2x19_P2.00mm_Vertical -Through hole straight pin header, 2x19, 2.00mm pitch, double rows -Through hole pin header THT 2x19 2.00mm double row -0 -38 -38 -Connector_PinHeader_2.00mm -PinHeader_2x19_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x19, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x19 2.00mm double row -0 -38 -38 -Connector_PinHeader_2.00mm -PinHeader_2x20_P2.00mm_Horizontal -Through hole angled pin header, 2x20, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x20 2.00mm double row -0 -40 -40 -Connector_PinHeader_2.00mm -PinHeader_2x20_P2.00mm_Vertical -Through hole straight pin header, 2x20, 2.00mm pitch, double rows -Through hole pin header THT 2x20 2.00mm double row -0 -40 -40 -Connector_PinHeader_2.00mm -PinHeader_2x20_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x20, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x20 2.00mm double row -0 -40 -40 -Connector_PinHeader_2.00mm -PinHeader_2x21_P2.00mm_Horizontal -Through hole angled pin header, 2x21, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x21 2.00mm double row -0 -42 -42 -Connector_PinHeader_2.00mm -PinHeader_2x21_P2.00mm_Vertical -Through hole straight pin header, 2x21, 2.00mm pitch, double rows -Through hole pin header THT 2x21 2.00mm double row -0 -42 -42 -Connector_PinHeader_2.00mm -PinHeader_2x21_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x21, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x21 2.00mm double row -0 -42 -42 -Connector_PinHeader_2.00mm -PinHeader_2x22_P2.00mm_Horizontal -Through hole angled pin header, 2x22, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x22 2.00mm double row -0 -44 -44 -Connector_PinHeader_2.00mm -PinHeader_2x22_P2.00mm_Vertical -Through hole straight pin header, 2x22, 2.00mm pitch, double rows -Through hole pin header THT 2x22 2.00mm double row -0 -44 -44 -Connector_PinHeader_2.00mm -PinHeader_2x22_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x22, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x22 2.00mm double row -0 -44 -44 -Connector_PinHeader_2.00mm -PinHeader_2x23_P2.00mm_Horizontal -Through hole angled pin header, 2x23, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x23 2.00mm double row -0 -46 -46 -Connector_PinHeader_2.00mm -PinHeader_2x23_P2.00mm_Vertical -Through hole straight pin header, 2x23, 2.00mm pitch, double rows -Through hole pin header THT 2x23 2.00mm double row -0 -46 -46 -Connector_PinHeader_2.00mm -PinHeader_2x23_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x23, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x23 2.00mm double row -0 -46 -46 -Connector_PinHeader_2.00mm -PinHeader_2x24_P2.00mm_Horizontal -Through hole angled pin header, 2x24, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x24 2.00mm double row -0 -48 -48 -Connector_PinHeader_2.00mm -PinHeader_2x24_P2.00mm_Vertical -Through hole straight pin header, 2x24, 2.00mm pitch, double rows -Through hole pin header THT 2x24 2.00mm double row -0 -48 -48 -Connector_PinHeader_2.00mm -PinHeader_2x24_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x24, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x24 2.00mm double row -0 -48 -48 -Connector_PinHeader_2.00mm -PinHeader_2x25_P2.00mm_Horizontal -Through hole angled pin header, 2x25, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x25 2.00mm double row -0 -50 -50 -Connector_PinHeader_2.00mm -PinHeader_2x25_P2.00mm_Vertical -Through hole straight pin header, 2x25, 2.00mm pitch, double rows -Through hole pin header THT 2x25 2.00mm double row -0 -50 -50 -Connector_PinHeader_2.00mm -PinHeader_2x25_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x25, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x25 2.00mm double row -0 -50 -50 -Connector_PinHeader_2.00mm -PinHeader_2x26_P2.00mm_Horizontal -Through hole angled pin header, 2x26, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x26 2.00mm double row -0 -52 -52 -Connector_PinHeader_2.00mm -PinHeader_2x26_P2.00mm_Vertical -Through hole straight pin header, 2x26, 2.00mm pitch, double rows -Through hole pin header THT 2x26 2.00mm double row -0 -52 -52 -Connector_PinHeader_2.00mm -PinHeader_2x26_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x26, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x26 2.00mm double row -0 -52 -52 -Connector_PinHeader_2.00mm -PinHeader_2x27_P2.00mm_Horizontal -Through hole angled pin header, 2x27, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x27 2.00mm double row -0 -54 -54 -Connector_PinHeader_2.00mm -PinHeader_2x27_P2.00mm_Vertical -Through hole straight pin header, 2x27, 2.00mm pitch, double rows -Through hole pin header THT 2x27 2.00mm double row -0 -54 -54 -Connector_PinHeader_2.00mm -PinHeader_2x27_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x27, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x27 2.00mm double row -0 -54 -54 -Connector_PinHeader_2.00mm -PinHeader_2x28_P2.00mm_Horizontal -Through hole angled pin header, 2x28, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x28 2.00mm double row -0 -56 -56 -Connector_PinHeader_2.00mm -PinHeader_2x28_P2.00mm_Vertical -Through hole straight pin header, 2x28, 2.00mm pitch, double rows -Through hole pin header THT 2x28 2.00mm double row -0 -56 -56 -Connector_PinHeader_2.00mm -PinHeader_2x28_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x28, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x28 2.00mm double row -0 -56 -56 -Connector_PinHeader_2.00mm -PinHeader_2x29_P2.00mm_Horizontal -Through hole angled pin header, 2x29, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x29 2.00mm double row -0 -58 -58 -Connector_PinHeader_2.00mm -PinHeader_2x29_P2.00mm_Vertical -Through hole straight pin header, 2x29, 2.00mm pitch, double rows -Through hole pin header THT 2x29 2.00mm double row -0 -58 -58 -Connector_PinHeader_2.00mm -PinHeader_2x29_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x29, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x29 2.00mm double row -0 -58 -58 -Connector_PinHeader_2.00mm -PinHeader_2x30_P2.00mm_Horizontal -Through hole angled pin header, 2x30, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x30 2.00mm double row -0 -60 -60 -Connector_PinHeader_2.00mm -PinHeader_2x30_P2.00mm_Vertical -Through hole straight pin header, 2x30, 2.00mm pitch, double rows -Through hole pin header THT 2x30 2.00mm double row -0 -60 -60 -Connector_PinHeader_2.00mm -PinHeader_2x30_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x30, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x30 2.00mm double row -0 -60 -60 -Connector_PinHeader_2.00mm -PinHeader_2x31_P2.00mm_Horizontal -Through hole angled pin header, 2x31, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x31 2.00mm double row -0 -62 -62 -Connector_PinHeader_2.00mm -PinHeader_2x31_P2.00mm_Vertical -Through hole straight pin header, 2x31, 2.00mm pitch, double rows -Through hole pin header THT 2x31 2.00mm double row -0 -62 -62 -Connector_PinHeader_2.00mm -PinHeader_2x31_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x31, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x31 2.00mm double row -0 -62 -62 -Connector_PinHeader_2.00mm -PinHeader_2x32_P2.00mm_Horizontal -Through hole angled pin header, 2x32, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x32 2.00mm double row -0 -64 -64 -Connector_PinHeader_2.00mm -PinHeader_2x32_P2.00mm_Vertical -Through hole straight pin header, 2x32, 2.00mm pitch, double rows -Through hole pin header THT 2x32 2.00mm double row -0 -64 -64 -Connector_PinHeader_2.00mm -PinHeader_2x32_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x32, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x32 2.00mm double row -0 -64 -64 -Connector_PinHeader_2.00mm -PinHeader_2x33_P2.00mm_Horizontal -Through hole angled pin header, 2x33, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x33 2.00mm double row -0 -66 -66 -Connector_PinHeader_2.00mm -PinHeader_2x33_P2.00mm_Vertical -Through hole straight pin header, 2x33, 2.00mm pitch, double rows -Through hole pin header THT 2x33 2.00mm double row -0 -66 -66 -Connector_PinHeader_2.00mm -PinHeader_2x33_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x33, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x33 2.00mm double row -0 -66 -66 -Connector_PinHeader_2.00mm -PinHeader_2x34_P2.00mm_Horizontal -Through hole angled pin header, 2x34, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x34 2.00mm double row -0 -68 -68 -Connector_PinHeader_2.00mm -PinHeader_2x34_P2.00mm_Vertical -Through hole straight pin header, 2x34, 2.00mm pitch, double rows -Through hole pin header THT 2x34 2.00mm double row -0 -68 -68 -Connector_PinHeader_2.00mm -PinHeader_2x34_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x34, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x34 2.00mm double row -0 -68 -68 -Connector_PinHeader_2.00mm -PinHeader_2x35_P2.00mm_Horizontal -Through hole angled pin header, 2x35, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x35 2.00mm double row -0 -70 -70 -Connector_PinHeader_2.00mm -PinHeader_2x35_P2.00mm_Vertical -Through hole straight pin header, 2x35, 2.00mm pitch, double rows -Through hole pin header THT 2x35 2.00mm double row -0 -70 -70 -Connector_PinHeader_2.00mm -PinHeader_2x35_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x35, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x35 2.00mm double row -0 -70 -70 -Connector_PinHeader_2.00mm -PinHeader_2x36_P2.00mm_Horizontal -Through hole angled pin header, 2x36, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x36 2.00mm double row -0 -72 -72 -Connector_PinHeader_2.00mm -PinHeader_2x36_P2.00mm_Vertical -Through hole straight pin header, 2x36, 2.00mm pitch, double rows -Through hole pin header THT 2x36 2.00mm double row -0 -72 -72 -Connector_PinHeader_2.00mm -PinHeader_2x36_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x36, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x36 2.00mm double row -0 -72 -72 -Connector_PinHeader_2.00mm -PinHeader_2x37_P2.00mm_Horizontal -Through hole angled pin header, 2x37, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x37 2.00mm double row -0 -74 -74 -Connector_PinHeader_2.00mm -PinHeader_2x37_P2.00mm_Vertical -Through hole straight pin header, 2x37, 2.00mm pitch, double rows -Through hole pin header THT 2x37 2.00mm double row -0 -74 -74 -Connector_PinHeader_2.00mm -PinHeader_2x37_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x37, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x37 2.00mm double row -0 -74 -74 -Connector_PinHeader_2.00mm -PinHeader_2x38_P2.00mm_Horizontal -Through hole angled pin header, 2x38, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x38 2.00mm double row -0 -76 -76 -Connector_PinHeader_2.00mm -PinHeader_2x38_P2.00mm_Vertical -Through hole straight pin header, 2x38, 2.00mm pitch, double rows -Through hole pin header THT 2x38 2.00mm double row -0 -76 -76 -Connector_PinHeader_2.00mm -PinHeader_2x38_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x38, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x38 2.00mm double row -0 -76 -76 -Connector_PinHeader_2.00mm -PinHeader_2x39_P2.00mm_Horizontal -Through hole angled pin header, 2x39, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x39 2.00mm double row -0 -78 -78 -Connector_PinHeader_2.00mm -PinHeader_2x39_P2.00mm_Vertical -Through hole straight pin header, 2x39, 2.00mm pitch, double rows -Through hole pin header THT 2x39 2.00mm double row -0 -78 -78 -Connector_PinHeader_2.00mm -PinHeader_2x39_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x39, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x39 2.00mm double row -0 -78 -78 -Connector_PinHeader_2.00mm -PinHeader_2x40_P2.00mm_Horizontal -Through hole angled pin header, 2x40, 2.00mm pitch, 4.2mm pin length, double rows -Through hole angled pin header THT 2x40 2.00mm double row -0 -80 -80 -Connector_PinHeader_2.00mm -PinHeader_2x40_P2.00mm_Vertical -Through hole straight pin header, 2x40, 2.00mm pitch, double rows -Through hole pin header THT 2x40 2.00mm double row -0 -80 -80 -Connector_PinHeader_2.00mm -PinHeader_2x40_P2.00mm_Vertical_SMD -surface-mounted straight pin header, 2x40, 2.00mm pitch, double rows -Surface mounted pin header SMD 2x40 2.00mm double row -0 -80 -80 -Connector_PinHeader_2.54mm -PinHeader_1x01_P2.54mm_Horizontal -Through hole angled pin header, 1x01, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x01 2.54mm single row -0 -1 -1 -Connector_PinHeader_2.54mm -PinHeader_1x01_P2.54mm_Vertical -Through hole straight pin header, 1x01, 2.54mm pitch, single row -Through hole pin header THT 1x01 2.54mm single row -0 -1 -1 -Connector_PinHeader_2.54mm -PinHeader_1x02_P2.54mm_Horizontal -Through hole angled pin header, 1x02, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x02 2.54mm single row -0 -2 -2 -Connector_PinHeader_2.54mm -PinHeader_1x02_P2.54mm_Vertical -Through hole straight pin header, 1x02, 2.54mm pitch, single row -Through hole pin header THT 1x02 2.54mm single row -0 -2 -2 -Connector_PinHeader_2.54mm -PinHeader_1x02_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x02, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x02 2.54mm single row style1 pin1 left -0 -2 -2 -Connector_PinHeader_2.54mm -PinHeader_1x02_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x02, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x02 2.54mm single row style2 pin1 right -0 -2 -2 -Connector_PinHeader_2.54mm -PinHeader_1x03_P2.54mm_Horizontal -Through hole angled pin header, 1x03, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x03 2.54mm single row -0 -3 -3 -Connector_PinHeader_2.54mm -PinHeader_1x03_P2.54mm_Vertical -Through hole straight pin header, 1x03, 2.54mm pitch, single row -Through hole pin header THT 1x03 2.54mm single row -0 -3 -3 -Connector_PinHeader_2.54mm -PinHeader_1x03_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x03, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x03 2.54mm single row style1 pin1 left -0 -3 -3 -Connector_PinHeader_2.54mm -PinHeader_1x03_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x03, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x03 2.54mm single row style2 pin1 right -0 -3 -3 -Connector_PinHeader_2.54mm -PinHeader_1x04_P2.54mm_Horizontal -Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x04 2.54mm single row -0 -4 -4 -Connector_PinHeader_2.54mm -PinHeader_1x04_P2.54mm_Vertical -Through hole straight pin header, 1x04, 2.54mm pitch, single row -Through hole pin header THT 1x04 2.54mm single row -0 -4 -4 -Connector_PinHeader_2.54mm -PinHeader_1x04_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x04, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x04 2.54mm single row style1 pin1 left -0 -4 -4 -Connector_PinHeader_2.54mm -PinHeader_1x04_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x04, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x04 2.54mm single row style2 pin1 right -0 -4 -4 -Connector_PinHeader_2.54mm -PinHeader_1x05_P2.54mm_Horizontal -Through hole angled pin header, 1x05, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x05 2.54mm single row -0 -5 -5 -Connector_PinHeader_2.54mm -PinHeader_1x05_P2.54mm_Vertical -Through hole straight pin header, 1x05, 2.54mm pitch, single row -Through hole pin header THT 1x05 2.54mm single row -0 -5 -5 -Connector_PinHeader_2.54mm -PinHeader_1x05_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x05, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x05 2.54mm single row style1 pin1 left -0 -5 -5 -Connector_PinHeader_2.54mm -PinHeader_1x05_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x05, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x05 2.54mm single row style2 pin1 right -0 -5 -5 -Connector_PinHeader_2.54mm -PinHeader_1x06_P2.54mm_Horizontal -Through hole angled pin header, 1x06, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x06 2.54mm single row -0 -6 -6 -Connector_PinHeader_2.54mm -PinHeader_1x06_P2.54mm_Vertical -Through hole straight pin header, 1x06, 2.54mm pitch, single row -Through hole pin header THT 1x06 2.54mm single row -0 -6 -6 -Connector_PinHeader_2.54mm -PinHeader_1x06_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x06, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x06 2.54mm single row style1 pin1 left -0 -6 -6 -Connector_PinHeader_2.54mm -PinHeader_1x06_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x06, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x06 2.54mm single row style2 pin1 right -0 -6 -6 -Connector_PinHeader_2.54mm -PinHeader_1x07_P2.54mm_Horizontal -Through hole angled pin header, 1x07, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x07 2.54mm single row -0 -7 -7 -Connector_PinHeader_2.54mm -PinHeader_1x07_P2.54mm_Vertical -Through hole straight pin header, 1x07, 2.54mm pitch, single row -Through hole pin header THT 1x07 2.54mm single row -0 -7 -7 -Connector_PinHeader_2.54mm -PinHeader_1x07_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x07, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x07 2.54mm single row style1 pin1 left -0 -7 -7 -Connector_PinHeader_2.54mm -PinHeader_1x07_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x07, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x07 2.54mm single row style2 pin1 right -0 -7 -7 -Connector_PinHeader_2.54mm -PinHeader_1x08_P2.54mm_Horizontal -Through hole angled pin header, 1x08, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x08 2.54mm single row -0 -8 -8 -Connector_PinHeader_2.54mm -PinHeader_1x08_P2.54mm_Vertical -Through hole straight pin header, 1x08, 2.54mm pitch, single row -Through hole pin header THT 1x08 2.54mm single row -0 -8 -8 -Connector_PinHeader_2.54mm -PinHeader_1x08_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x08, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x08 2.54mm single row style1 pin1 left -0 -8 -8 -Connector_PinHeader_2.54mm -PinHeader_1x08_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x08, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x08 2.54mm single row style2 pin1 right -0 -8 -8 -Connector_PinHeader_2.54mm -PinHeader_1x09_P2.54mm_Horizontal -Through hole angled pin header, 1x09, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x09 2.54mm single row -0 -9 -9 -Connector_PinHeader_2.54mm -PinHeader_1x09_P2.54mm_Vertical -Through hole straight pin header, 1x09, 2.54mm pitch, single row -Through hole pin header THT 1x09 2.54mm single row -0 -9 -9 -Connector_PinHeader_2.54mm -PinHeader_1x09_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x09, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x09 2.54mm single row style1 pin1 left -0 -9 -9 -Connector_PinHeader_2.54mm -PinHeader_1x09_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x09, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x09 2.54mm single row style2 pin1 right -0 -9 -9 -Connector_PinHeader_2.54mm -PinHeader_1x10_P2.54mm_Horizontal -Through hole angled pin header, 1x10, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x10 2.54mm single row -0 -10 -10 -Connector_PinHeader_2.54mm -PinHeader_1x10_P2.54mm_Vertical -Through hole straight pin header, 1x10, 2.54mm pitch, single row -Through hole pin header THT 1x10 2.54mm single row -0 -10 -10 -Connector_PinHeader_2.54mm -PinHeader_1x10_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x10, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x10 2.54mm single row style1 pin1 left -0 -10 -10 -Connector_PinHeader_2.54mm -PinHeader_1x10_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x10, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x10 2.54mm single row style2 pin1 right -0 -10 -10 -Connector_PinHeader_2.54mm -PinHeader_1x11_P2.54mm_Horizontal -Through hole angled pin header, 1x11, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x11 2.54mm single row -0 -11 -11 -Connector_PinHeader_2.54mm -PinHeader_1x11_P2.54mm_Vertical -Through hole straight pin header, 1x11, 2.54mm pitch, single row -Through hole pin header THT 1x11 2.54mm single row -0 -11 -11 -Connector_PinHeader_2.54mm -PinHeader_1x11_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x11, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x11 2.54mm single row style1 pin1 left -0 -11 -11 -Connector_PinHeader_2.54mm -PinHeader_1x11_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x11, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x11 2.54mm single row style2 pin1 right -0 -11 -11 -Connector_PinHeader_2.54mm -PinHeader_1x12_P2.54mm_Horizontal -Through hole angled pin header, 1x12, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x12 2.54mm single row -0 -12 -12 -Connector_PinHeader_2.54mm -PinHeader_1x12_P2.54mm_Vertical -Through hole straight pin header, 1x12, 2.54mm pitch, single row -Through hole pin header THT 1x12 2.54mm single row -0 -12 -12 -Connector_PinHeader_2.54mm -PinHeader_1x12_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x12, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x12 2.54mm single row style1 pin1 left -0 -12 -12 -Connector_PinHeader_2.54mm -PinHeader_1x12_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x12, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x12 2.54mm single row style2 pin1 right -0 -12 -12 -Connector_PinHeader_2.54mm -PinHeader_1x13_P2.54mm_Horizontal -Through hole angled pin header, 1x13, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x13 2.54mm single row -0 -13 -13 -Connector_PinHeader_2.54mm -PinHeader_1x13_P2.54mm_Vertical -Through hole straight pin header, 1x13, 2.54mm pitch, single row -Through hole pin header THT 1x13 2.54mm single row -0 -13 -13 -Connector_PinHeader_2.54mm -PinHeader_1x13_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x13, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x13 2.54mm single row style1 pin1 left -0 -13 -13 -Connector_PinHeader_2.54mm -PinHeader_1x13_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x13, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x13 2.54mm single row style2 pin1 right -0 -13 -13 -Connector_PinHeader_2.54mm -PinHeader_1x14_P2.54mm_Horizontal -Through hole angled pin header, 1x14, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x14 2.54mm single row -0 -14 -14 -Connector_PinHeader_2.54mm -PinHeader_1x14_P2.54mm_Vertical -Through hole straight pin header, 1x14, 2.54mm pitch, single row -Through hole pin header THT 1x14 2.54mm single row -0 -14 -14 -Connector_PinHeader_2.54mm -PinHeader_1x14_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x14, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x14 2.54mm single row style1 pin1 left -0 -14 -14 -Connector_PinHeader_2.54mm -PinHeader_1x14_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x14, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x14 2.54mm single row style2 pin1 right -0 -14 -14 -Connector_PinHeader_2.54mm -PinHeader_1x15_P2.54mm_Horizontal -Through hole angled pin header, 1x15, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x15 2.54mm single row -0 -15 -15 -Connector_PinHeader_2.54mm -PinHeader_1x15_P2.54mm_Vertical -Through hole straight pin header, 1x15, 2.54mm pitch, single row -Through hole pin header THT 1x15 2.54mm single row -0 -15 -15 -Connector_PinHeader_2.54mm -PinHeader_1x15_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x15, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x15 2.54mm single row style1 pin1 left -0 -15 -15 -Connector_PinHeader_2.54mm -PinHeader_1x15_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x15, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x15 2.54mm single row style2 pin1 right -0 -15 -15 -Connector_PinHeader_2.54mm -PinHeader_1x16_P2.54mm_Horizontal -Through hole angled pin header, 1x16, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x16 2.54mm single row -0 -16 -16 -Connector_PinHeader_2.54mm -PinHeader_1x16_P2.54mm_Vertical -Through hole straight pin header, 1x16, 2.54mm pitch, single row -Through hole pin header THT 1x16 2.54mm single row -0 -16 -16 -Connector_PinHeader_2.54mm -PinHeader_1x16_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x16, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x16 2.54mm single row style1 pin1 left -0 -16 -16 -Connector_PinHeader_2.54mm -PinHeader_1x16_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x16, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x16 2.54mm single row style2 pin1 right -0 -16 -16 -Connector_PinHeader_2.54mm -PinHeader_1x17_P2.54mm_Horizontal -Through hole angled pin header, 1x17, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x17 2.54mm single row -0 -17 -17 -Connector_PinHeader_2.54mm -PinHeader_1x17_P2.54mm_Vertical -Through hole straight pin header, 1x17, 2.54mm pitch, single row -Through hole pin header THT 1x17 2.54mm single row -0 -17 -17 -Connector_PinHeader_2.54mm -PinHeader_1x17_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x17, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x17 2.54mm single row style1 pin1 left -0 -17 -17 -Connector_PinHeader_2.54mm -PinHeader_1x17_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x17, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x17 2.54mm single row style2 pin1 right -0 -17 -17 -Connector_PinHeader_2.54mm -PinHeader_1x18_P2.54mm_Horizontal -Through hole angled pin header, 1x18, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x18 2.54mm single row -0 -18 -18 -Connector_PinHeader_2.54mm -PinHeader_1x18_P2.54mm_Vertical -Through hole straight pin header, 1x18, 2.54mm pitch, single row -Through hole pin header THT 1x18 2.54mm single row -0 -18 -18 -Connector_PinHeader_2.54mm -PinHeader_1x18_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x18, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x18 2.54mm single row style1 pin1 left -0 -18 -18 -Connector_PinHeader_2.54mm -PinHeader_1x18_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x18, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x18 2.54mm single row style2 pin1 right -0 -18 -18 -Connector_PinHeader_2.54mm -PinHeader_1x19_P2.54mm_Horizontal -Through hole angled pin header, 1x19, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x19 2.54mm single row -0 -19 -19 -Connector_PinHeader_2.54mm -PinHeader_1x19_P2.54mm_Vertical -Through hole straight pin header, 1x19, 2.54mm pitch, single row -Through hole pin header THT 1x19 2.54mm single row -0 -19 -19 -Connector_PinHeader_2.54mm -PinHeader_1x19_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x19, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x19 2.54mm single row style1 pin1 left -0 -19 -19 -Connector_PinHeader_2.54mm -PinHeader_1x19_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x19, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x19 2.54mm single row style2 pin1 right -0 -19 -19 -Connector_PinHeader_2.54mm -PinHeader_1x20_P2.54mm_Horizontal -Through hole angled pin header, 1x20, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x20 2.54mm single row -0 -20 -20 -Connector_PinHeader_2.54mm -PinHeader_1x20_P2.54mm_Vertical -Through hole straight pin header, 1x20, 2.54mm pitch, single row -Through hole pin header THT 1x20 2.54mm single row -0 -20 -20 -Connector_PinHeader_2.54mm -PinHeader_1x20_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x20, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x20 2.54mm single row style1 pin1 left -0 -20 -20 -Connector_PinHeader_2.54mm -PinHeader_1x20_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x20, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x20 2.54mm single row style2 pin1 right -0 -20 -20 -Connector_PinHeader_2.54mm -PinHeader_1x21_P2.54mm_Horizontal -Through hole angled pin header, 1x21, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x21 2.54mm single row -0 -21 -21 -Connector_PinHeader_2.54mm -PinHeader_1x21_P2.54mm_Vertical -Through hole straight pin header, 1x21, 2.54mm pitch, single row -Through hole pin header THT 1x21 2.54mm single row -0 -21 -21 -Connector_PinHeader_2.54mm -PinHeader_1x21_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x21, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x21 2.54mm single row style1 pin1 left -0 -21 -21 -Connector_PinHeader_2.54mm -PinHeader_1x21_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x21, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x21 2.54mm single row style2 pin1 right -0 -21 -21 -Connector_PinHeader_2.54mm -PinHeader_1x22_P2.54mm_Horizontal -Through hole angled pin header, 1x22, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x22 2.54mm single row -0 -22 -22 -Connector_PinHeader_2.54mm -PinHeader_1x22_P2.54mm_Vertical -Through hole straight pin header, 1x22, 2.54mm pitch, single row -Through hole pin header THT 1x22 2.54mm single row -0 -22 -22 -Connector_PinHeader_2.54mm -PinHeader_1x22_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x22, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x22 2.54mm single row style1 pin1 left -0 -22 -22 -Connector_PinHeader_2.54mm -PinHeader_1x22_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x22, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x22 2.54mm single row style2 pin1 right -0 -22 -22 -Connector_PinHeader_2.54mm -PinHeader_1x23_P2.54mm_Horizontal -Through hole angled pin header, 1x23, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x23 2.54mm single row -0 -23 -23 -Connector_PinHeader_2.54mm -PinHeader_1x23_P2.54mm_Vertical -Through hole straight pin header, 1x23, 2.54mm pitch, single row -Through hole pin header THT 1x23 2.54mm single row -0 -23 -23 -Connector_PinHeader_2.54mm -PinHeader_1x23_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x23, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x23 2.54mm single row style1 pin1 left -0 -23 -23 -Connector_PinHeader_2.54mm -PinHeader_1x23_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x23, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x23 2.54mm single row style2 pin1 right -0 -23 -23 -Connector_PinHeader_2.54mm -PinHeader_1x24_P2.54mm_Horizontal -Through hole angled pin header, 1x24, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x24 2.54mm single row -0 -24 -24 -Connector_PinHeader_2.54mm -PinHeader_1x24_P2.54mm_Vertical -Through hole straight pin header, 1x24, 2.54mm pitch, single row -Through hole pin header THT 1x24 2.54mm single row -0 -24 -24 -Connector_PinHeader_2.54mm -PinHeader_1x24_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x24, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x24 2.54mm single row style1 pin1 left -0 -24 -24 -Connector_PinHeader_2.54mm -PinHeader_1x24_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x24, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x24 2.54mm single row style2 pin1 right -0 -24 -24 -Connector_PinHeader_2.54mm -PinHeader_1x25_P2.54mm_Horizontal -Through hole angled pin header, 1x25, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x25 2.54mm single row -0 -25 -25 -Connector_PinHeader_2.54mm -PinHeader_1x25_P2.54mm_Vertical -Through hole straight pin header, 1x25, 2.54mm pitch, single row -Through hole pin header THT 1x25 2.54mm single row -0 -25 -25 -Connector_PinHeader_2.54mm -PinHeader_1x25_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x25, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x25 2.54mm single row style1 pin1 left -0 -25 -25 -Connector_PinHeader_2.54mm -PinHeader_1x25_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x25, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x25 2.54mm single row style2 pin1 right -0 -25 -25 -Connector_PinHeader_2.54mm -PinHeader_1x26_P2.54mm_Horizontal -Through hole angled pin header, 1x26, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x26 2.54mm single row -0 -26 -26 -Connector_PinHeader_2.54mm -PinHeader_1x26_P2.54mm_Vertical -Through hole straight pin header, 1x26, 2.54mm pitch, single row -Through hole pin header THT 1x26 2.54mm single row -0 -26 -26 -Connector_PinHeader_2.54mm -PinHeader_1x26_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x26, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x26 2.54mm single row style1 pin1 left -0 -26 -26 -Connector_PinHeader_2.54mm -PinHeader_1x26_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x26, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x26 2.54mm single row style2 pin1 right -0 -26 -26 -Connector_PinHeader_2.54mm -PinHeader_1x27_P2.54mm_Horizontal -Through hole angled pin header, 1x27, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x27 2.54mm single row -0 -27 -27 -Connector_PinHeader_2.54mm -PinHeader_1x27_P2.54mm_Vertical -Through hole straight pin header, 1x27, 2.54mm pitch, single row -Through hole pin header THT 1x27 2.54mm single row -0 -27 -27 -Connector_PinHeader_2.54mm -PinHeader_1x27_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x27, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x27 2.54mm single row style1 pin1 left -0 -27 -27 -Connector_PinHeader_2.54mm -PinHeader_1x27_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x27, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x27 2.54mm single row style2 pin1 right -0 -27 -27 -Connector_PinHeader_2.54mm -PinHeader_1x28_P2.54mm_Horizontal -Through hole angled pin header, 1x28, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x28 2.54mm single row -0 -28 -28 -Connector_PinHeader_2.54mm -PinHeader_1x28_P2.54mm_Vertical -Through hole straight pin header, 1x28, 2.54mm pitch, single row -Through hole pin header THT 1x28 2.54mm single row -0 -28 -28 -Connector_PinHeader_2.54mm -PinHeader_1x28_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x28, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x28 2.54mm single row style1 pin1 left -0 -28 -28 -Connector_PinHeader_2.54mm -PinHeader_1x28_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x28, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x28 2.54mm single row style2 pin1 right -0 -28 -28 -Connector_PinHeader_2.54mm -PinHeader_1x29_P2.54mm_Horizontal -Through hole angled pin header, 1x29, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x29 2.54mm single row -0 -29 -29 -Connector_PinHeader_2.54mm -PinHeader_1x29_P2.54mm_Vertical -Through hole straight pin header, 1x29, 2.54mm pitch, single row -Through hole pin header THT 1x29 2.54mm single row -0 -29 -29 -Connector_PinHeader_2.54mm -PinHeader_1x29_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x29, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x29 2.54mm single row style1 pin1 left -0 -29 -29 -Connector_PinHeader_2.54mm -PinHeader_1x29_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x29, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x29 2.54mm single row style2 pin1 right -0 -29 -29 -Connector_PinHeader_2.54mm -PinHeader_1x30_P2.54mm_Horizontal -Through hole angled pin header, 1x30, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x30 2.54mm single row -0 -30 -30 -Connector_PinHeader_2.54mm -PinHeader_1x30_P2.54mm_Vertical -Through hole straight pin header, 1x30, 2.54mm pitch, single row -Through hole pin header THT 1x30 2.54mm single row -0 -30 -30 -Connector_PinHeader_2.54mm -PinHeader_1x30_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x30, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x30 2.54mm single row style1 pin1 left -0 -30 -30 -Connector_PinHeader_2.54mm -PinHeader_1x30_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x30, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x30 2.54mm single row style2 pin1 right -0 -30 -30 -Connector_PinHeader_2.54mm -PinHeader_1x31_P2.54mm_Horizontal -Through hole angled pin header, 1x31, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x31 2.54mm single row -0 -31 -31 -Connector_PinHeader_2.54mm -PinHeader_1x31_P2.54mm_Vertical -Through hole straight pin header, 1x31, 2.54mm pitch, single row -Through hole pin header THT 1x31 2.54mm single row -0 -31 -31 -Connector_PinHeader_2.54mm -PinHeader_1x31_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x31, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x31 2.54mm single row style1 pin1 left -0 -31 -31 -Connector_PinHeader_2.54mm -PinHeader_1x31_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x31, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x31 2.54mm single row style2 pin1 right -0 -31 -31 -Connector_PinHeader_2.54mm -PinHeader_1x32_P2.54mm_Horizontal -Through hole angled pin header, 1x32, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x32 2.54mm single row -0 -32 -32 -Connector_PinHeader_2.54mm -PinHeader_1x32_P2.54mm_Vertical -Through hole straight pin header, 1x32, 2.54mm pitch, single row -Through hole pin header THT 1x32 2.54mm single row -0 -32 -32 -Connector_PinHeader_2.54mm -PinHeader_1x32_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x32, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x32 2.54mm single row style1 pin1 left -0 -32 -32 -Connector_PinHeader_2.54mm -PinHeader_1x32_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x32, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x32 2.54mm single row style2 pin1 right -0 -32 -32 -Connector_PinHeader_2.54mm -PinHeader_1x33_P2.54mm_Horizontal -Through hole angled pin header, 1x33, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x33 2.54mm single row -0 -33 -33 -Connector_PinHeader_2.54mm -PinHeader_1x33_P2.54mm_Vertical -Through hole straight pin header, 1x33, 2.54mm pitch, single row -Through hole pin header THT 1x33 2.54mm single row -0 -33 -33 -Connector_PinHeader_2.54mm -PinHeader_1x33_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x33, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x33 2.54mm single row style1 pin1 left -0 -33 -33 -Connector_PinHeader_2.54mm -PinHeader_1x33_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x33, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x33 2.54mm single row style2 pin1 right -0 -33 -33 -Connector_PinHeader_2.54mm -PinHeader_1x34_P2.54mm_Horizontal -Through hole angled pin header, 1x34, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x34 2.54mm single row -0 -34 -34 -Connector_PinHeader_2.54mm -PinHeader_1x34_P2.54mm_Vertical -Through hole straight pin header, 1x34, 2.54mm pitch, single row -Through hole pin header THT 1x34 2.54mm single row -0 -34 -34 -Connector_PinHeader_2.54mm -PinHeader_1x34_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x34, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x34 2.54mm single row style1 pin1 left -0 -34 -34 -Connector_PinHeader_2.54mm -PinHeader_1x34_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x34, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x34 2.54mm single row style2 pin1 right -0 -34 -34 -Connector_PinHeader_2.54mm -PinHeader_1x35_P2.54mm_Horizontal -Through hole angled pin header, 1x35, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x35 2.54mm single row -0 -35 -35 -Connector_PinHeader_2.54mm -PinHeader_1x35_P2.54mm_Vertical -Through hole straight pin header, 1x35, 2.54mm pitch, single row -Through hole pin header THT 1x35 2.54mm single row -0 -35 -35 -Connector_PinHeader_2.54mm -PinHeader_1x35_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x35, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x35 2.54mm single row style1 pin1 left -0 -35 -35 -Connector_PinHeader_2.54mm -PinHeader_1x35_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x35, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x35 2.54mm single row style2 pin1 right -0 -35 -35 -Connector_PinHeader_2.54mm -PinHeader_1x36_P2.54mm_Horizontal -Through hole angled pin header, 1x36, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x36 2.54mm single row -0 -36 -36 -Connector_PinHeader_2.54mm -PinHeader_1x36_P2.54mm_Vertical -Through hole straight pin header, 1x36, 2.54mm pitch, single row -Through hole pin header THT 1x36 2.54mm single row -0 -36 -36 -Connector_PinHeader_2.54mm -PinHeader_1x36_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x36, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x36 2.54mm single row style1 pin1 left -0 -36 -36 -Connector_PinHeader_2.54mm -PinHeader_1x36_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x36, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x36 2.54mm single row style2 pin1 right -0 -36 -36 -Connector_PinHeader_2.54mm -PinHeader_1x37_P2.54mm_Horizontal -Through hole angled pin header, 1x37, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x37 2.54mm single row -0 -37 -37 -Connector_PinHeader_2.54mm -PinHeader_1x37_P2.54mm_Vertical -Through hole straight pin header, 1x37, 2.54mm pitch, single row -Through hole pin header THT 1x37 2.54mm single row -0 -37 -37 -Connector_PinHeader_2.54mm -PinHeader_1x37_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x37, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x37 2.54mm single row style1 pin1 left -0 -37 -37 -Connector_PinHeader_2.54mm -PinHeader_1x37_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x37, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x37 2.54mm single row style2 pin1 right -0 -37 -37 -Connector_PinHeader_2.54mm -PinHeader_1x38_P2.54mm_Horizontal -Through hole angled pin header, 1x38, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x38 2.54mm single row -0 -38 -38 -Connector_PinHeader_2.54mm -PinHeader_1x38_P2.54mm_Vertical -Through hole straight pin header, 1x38, 2.54mm pitch, single row -Through hole pin header THT 1x38 2.54mm single row -0 -38 -38 -Connector_PinHeader_2.54mm -PinHeader_1x38_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x38, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x38 2.54mm single row style1 pin1 left -0 -38 -38 -Connector_PinHeader_2.54mm -PinHeader_1x38_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x38, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x38 2.54mm single row style2 pin1 right -0 -38 -38 -Connector_PinHeader_2.54mm -PinHeader_1x39_P2.54mm_Horizontal -Through hole angled pin header, 1x39, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x39 2.54mm single row -0 -39 -39 -Connector_PinHeader_2.54mm -PinHeader_1x39_P2.54mm_Vertical -Through hole straight pin header, 1x39, 2.54mm pitch, single row -Through hole pin header THT 1x39 2.54mm single row -0 -39 -39 -Connector_PinHeader_2.54mm -PinHeader_1x39_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x39, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x39 2.54mm single row style1 pin1 left -0 -39 -39 -Connector_PinHeader_2.54mm -PinHeader_1x39_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x39, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x39 2.54mm single row style2 pin1 right -0 -39 -39 -Connector_PinHeader_2.54mm -PinHeader_1x40_P2.54mm_Horizontal -Through hole angled pin header, 1x40, 2.54mm pitch, 6mm pin length, single row -Through hole angled pin header THT 1x40 2.54mm single row -0 -40 -40 -Connector_PinHeader_2.54mm -PinHeader_1x40_P2.54mm_Vertical -Through hole straight pin header, 1x40, 2.54mm pitch, single row -Through hole pin header THT 1x40 2.54mm single row -0 -40 -40 -Connector_PinHeader_2.54mm -PinHeader_1x40_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight pin header, 1x40, 2.54mm pitch, single row, style 1 (pin 1 left) -Surface mounted pin header SMD 1x40 2.54mm single row style1 pin1 left -0 -40 -40 -Connector_PinHeader_2.54mm -PinHeader_1x40_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight pin header, 1x40, 2.54mm pitch, single row, style 2 (pin 1 right) -Surface mounted pin header SMD 1x40 2.54mm single row style2 pin1 right -0 -40 -40 -Connector_PinHeader_2.54mm -PinHeader_2x01_P2.54mm_Horizontal -Through hole angled pin header, 2x01, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x01 2.54mm double row -0 -2 -2 -Connector_PinHeader_2.54mm -PinHeader_2x01_P2.54mm_Vertical -Through hole straight pin header, 2x01, 2.54mm pitch, double rows -Through hole pin header THT 2x01 2.54mm double row -0 -2 -2 -Connector_PinHeader_2.54mm -PinHeader_2x01_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x01, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x01 2.54mm double row -0 -2 -2 -Connector_PinHeader_2.54mm -PinHeader_2x02_P2.54mm_Horizontal -Through hole angled pin header, 2x02, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x02 2.54mm double row -0 -4 -4 -Connector_PinHeader_2.54mm -PinHeader_2x02_P2.54mm_Vertical -Through hole straight pin header, 2x02, 2.54mm pitch, double rows -Through hole pin header THT 2x02 2.54mm double row -0 -4 -4 -Connector_PinHeader_2.54mm -PinHeader_2x02_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x02, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x02 2.54mm double row -0 -4 -4 -Connector_PinHeader_2.54mm -PinHeader_2x03_P2.54mm_Horizontal -Through hole angled pin header, 2x03, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x03 2.54mm double row -0 -6 -6 -Connector_PinHeader_2.54mm -PinHeader_2x03_P2.54mm_Vertical -Through hole straight pin header, 2x03, 2.54mm pitch, double rows -Through hole pin header THT 2x03 2.54mm double row -0 -6 -6 -Connector_PinHeader_2.54mm -PinHeader_2x03_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x03, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x03 2.54mm double row -0 -6 -6 -Connector_PinHeader_2.54mm -PinHeader_2x04_P2.54mm_Horizontal -Through hole angled pin header, 2x04, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x04 2.54mm double row -0 -8 -8 -Connector_PinHeader_2.54mm -PinHeader_2x04_P2.54mm_Vertical -Through hole straight pin header, 2x04, 2.54mm pitch, double rows -Through hole pin header THT 2x04 2.54mm double row -0 -8 -8 -Connector_PinHeader_2.54mm -PinHeader_2x04_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x04, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x04 2.54mm double row -0 -8 -8 -Connector_PinHeader_2.54mm -PinHeader_2x05_P2.54mm_Horizontal -Through hole angled pin header, 2x05, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x05 2.54mm double row -0 -10 -10 -Connector_PinHeader_2.54mm -PinHeader_2x05_P2.54mm_Vertical -Through hole straight pin header, 2x05, 2.54mm pitch, double rows -Through hole pin header THT 2x05 2.54mm double row -0 -10 -10 -Connector_PinHeader_2.54mm -PinHeader_2x05_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x05, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x05 2.54mm double row -0 -10 -10 -Connector_PinHeader_2.54mm -PinHeader_2x06_P2.54mm_Horizontal -Through hole angled pin header, 2x06, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x06 2.54mm double row -0 -12 -12 -Connector_PinHeader_2.54mm -PinHeader_2x06_P2.54mm_Vertical -Through hole straight pin header, 2x06, 2.54mm pitch, double rows -Through hole pin header THT 2x06 2.54mm double row -0 -12 -12 -Connector_PinHeader_2.54mm -PinHeader_2x06_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x06, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x06 2.54mm double row -0 -12 -12 -Connector_PinHeader_2.54mm -PinHeader_2x07_P2.54mm_Horizontal -Through hole angled pin header, 2x07, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x07 2.54mm double row -0 -14 -14 -Connector_PinHeader_2.54mm -PinHeader_2x07_P2.54mm_Vertical -Through hole straight pin header, 2x07, 2.54mm pitch, double rows -Through hole pin header THT 2x07 2.54mm double row -0 -14 -14 -Connector_PinHeader_2.54mm -PinHeader_2x07_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x07, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x07 2.54mm double row -0 -14 -14 -Connector_PinHeader_2.54mm -PinHeader_2x08_P2.54mm_Horizontal -Through hole angled pin header, 2x08, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x08 2.54mm double row -0 -16 -16 -Connector_PinHeader_2.54mm -PinHeader_2x08_P2.54mm_Vertical -Through hole straight pin header, 2x08, 2.54mm pitch, double rows -Through hole pin header THT 2x08 2.54mm double row -0 -16 -16 -Connector_PinHeader_2.54mm -PinHeader_2x08_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x08, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x08 2.54mm double row -0 -16 -16 -Connector_PinHeader_2.54mm -PinHeader_2x09_P2.54mm_Horizontal -Through hole angled pin header, 2x09, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x09 2.54mm double row -0 -18 -18 -Connector_PinHeader_2.54mm -PinHeader_2x09_P2.54mm_Vertical -Through hole straight pin header, 2x09, 2.54mm pitch, double rows -Through hole pin header THT 2x09 2.54mm double row -0 -18 -18 -Connector_PinHeader_2.54mm -PinHeader_2x09_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x09, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x09 2.54mm double row -0 -18 -18 -Connector_PinHeader_2.54mm -PinHeader_2x10_P2.54mm_Horizontal -Through hole angled pin header, 2x10, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x10 2.54mm double row -0 -20 -20 -Connector_PinHeader_2.54mm -PinHeader_2x10_P2.54mm_Vertical -Through hole straight pin header, 2x10, 2.54mm pitch, double rows -Through hole pin header THT 2x10 2.54mm double row -0 -20 -20 -Connector_PinHeader_2.54mm -PinHeader_2x10_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x10, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x10 2.54mm double row -0 -20 -20 -Connector_PinHeader_2.54mm -PinHeader_2x11_P2.54mm_Horizontal -Through hole angled pin header, 2x11, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x11 2.54mm double row -0 -22 -22 -Connector_PinHeader_2.54mm -PinHeader_2x11_P2.54mm_Vertical -Through hole straight pin header, 2x11, 2.54mm pitch, double rows -Through hole pin header THT 2x11 2.54mm double row -0 -22 -22 -Connector_PinHeader_2.54mm -PinHeader_2x11_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x11, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x11 2.54mm double row -0 -22 -22 -Connector_PinHeader_2.54mm -PinHeader_2x12_P2.54mm_Horizontal -Through hole angled pin header, 2x12, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x12 2.54mm double row -0 -24 -24 -Connector_PinHeader_2.54mm -PinHeader_2x12_P2.54mm_Vertical -Through hole straight pin header, 2x12, 2.54mm pitch, double rows -Through hole pin header THT 2x12 2.54mm double row -0 -24 -24 -Connector_PinHeader_2.54mm -PinHeader_2x12_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x12, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x12 2.54mm double row -0 -24 -24 -Connector_PinHeader_2.54mm -PinHeader_2x13_P2.54mm_Horizontal -Through hole angled pin header, 2x13, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x13 2.54mm double row -0 -26 -26 -Connector_PinHeader_2.54mm -PinHeader_2x13_P2.54mm_Vertical -Through hole straight pin header, 2x13, 2.54mm pitch, double rows -Through hole pin header THT 2x13 2.54mm double row -0 -26 -26 -Connector_PinHeader_2.54mm -PinHeader_2x13_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x13, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x13 2.54mm double row -0 -26 -26 -Connector_PinHeader_2.54mm -PinHeader_2x14_P2.54mm_Horizontal -Through hole angled pin header, 2x14, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x14 2.54mm double row -0 -28 -28 -Connector_PinHeader_2.54mm -PinHeader_2x14_P2.54mm_Vertical -Through hole straight pin header, 2x14, 2.54mm pitch, double rows -Through hole pin header THT 2x14 2.54mm double row -0 -28 -28 -Connector_PinHeader_2.54mm -PinHeader_2x14_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x14, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x14 2.54mm double row -0 -28 -28 -Connector_PinHeader_2.54mm -PinHeader_2x15_P2.54mm_Horizontal -Through hole angled pin header, 2x15, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x15 2.54mm double row -0 -30 -30 -Connector_PinHeader_2.54mm -PinHeader_2x15_P2.54mm_Vertical -Through hole straight pin header, 2x15, 2.54mm pitch, double rows -Through hole pin header THT 2x15 2.54mm double row -0 -30 -30 -Connector_PinHeader_2.54mm -PinHeader_2x15_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x15, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x15 2.54mm double row -0 -30 -30 -Connector_PinHeader_2.54mm -PinHeader_2x16_P2.54mm_Horizontal -Through hole angled pin header, 2x16, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x16 2.54mm double row -0 -32 -32 -Connector_PinHeader_2.54mm -PinHeader_2x16_P2.54mm_Vertical -Through hole straight pin header, 2x16, 2.54mm pitch, double rows -Through hole pin header THT 2x16 2.54mm double row -0 -32 -32 -Connector_PinHeader_2.54mm -PinHeader_2x16_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x16, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x16 2.54mm double row -0 -32 -32 -Connector_PinHeader_2.54mm -PinHeader_2x17_P2.54mm_Horizontal -Through hole angled pin header, 2x17, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x17 2.54mm double row -0 -34 -34 -Connector_PinHeader_2.54mm -PinHeader_2x17_P2.54mm_Vertical -Through hole straight pin header, 2x17, 2.54mm pitch, double rows -Through hole pin header THT 2x17 2.54mm double row -0 -34 -34 -Connector_PinHeader_2.54mm -PinHeader_2x17_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x17, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x17 2.54mm double row -0 -34 -34 -Connector_PinHeader_2.54mm -PinHeader_2x18_P2.54mm_Horizontal -Through hole angled pin header, 2x18, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x18 2.54mm double row -0 -36 -36 -Connector_PinHeader_2.54mm -PinHeader_2x18_P2.54mm_Vertical -Through hole straight pin header, 2x18, 2.54mm pitch, double rows -Through hole pin header THT 2x18 2.54mm double row -0 -36 -36 -Connector_PinHeader_2.54mm -PinHeader_2x18_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x18, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x18 2.54mm double row -0 -36 -36 -Connector_PinHeader_2.54mm -PinHeader_2x19_P2.54mm_Horizontal -Through hole angled pin header, 2x19, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x19 2.54mm double row -0 -38 -38 -Connector_PinHeader_2.54mm -PinHeader_2x19_P2.54mm_Vertical -Through hole straight pin header, 2x19, 2.54mm pitch, double rows -Through hole pin header THT 2x19 2.54mm double row -0 -38 -38 -Connector_PinHeader_2.54mm -PinHeader_2x19_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x19, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x19 2.54mm double row -0 -38 -38 -Connector_PinHeader_2.54mm -PinHeader_2x20_P2.54mm_Horizontal -Through hole angled pin header, 2x20, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x20 2.54mm double row -0 -40 -40 -Connector_PinHeader_2.54mm -PinHeader_2x20_P2.54mm_Vertical -Through hole straight pin header, 2x20, 2.54mm pitch, double rows -Through hole pin header THT 2x20 2.54mm double row -0 -40 -40 -Connector_PinHeader_2.54mm -PinHeader_2x20_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x20, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x20 2.54mm double row -0 -40 -40 -Connector_PinHeader_2.54mm -PinHeader_2x21_P2.54mm_Horizontal -Through hole angled pin header, 2x21, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x21 2.54mm double row -0 -42 -42 -Connector_PinHeader_2.54mm -PinHeader_2x21_P2.54mm_Vertical -Through hole straight pin header, 2x21, 2.54mm pitch, double rows -Through hole pin header THT 2x21 2.54mm double row -0 -42 -42 -Connector_PinHeader_2.54mm -PinHeader_2x21_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x21, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x21 2.54mm double row -0 -42 -42 -Connector_PinHeader_2.54mm -PinHeader_2x22_P2.54mm_Horizontal -Through hole angled pin header, 2x22, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x22 2.54mm double row -0 -44 -44 -Connector_PinHeader_2.54mm -PinHeader_2x22_P2.54mm_Vertical -Through hole straight pin header, 2x22, 2.54mm pitch, double rows -Through hole pin header THT 2x22 2.54mm double row -0 -44 -44 -Connector_PinHeader_2.54mm -PinHeader_2x22_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x22, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x22 2.54mm double row -0 -44 -44 -Connector_PinHeader_2.54mm -PinHeader_2x23_P2.54mm_Horizontal -Through hole angled pin header, 2x23, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x23 2.54mm double row -0 -46 -46 -Connector_PinHeader_2.54mm -PinHeader_2x23_P2.54mm_Vertical -Through hole straight pin header, 2x23, 2.54mm pitch, double rows -Through hole pin header THT 2x23 2.54mm double row -0 -46 -46 -Connector_PinHeader_2.54mm -PinHeader_2x23_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x23, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x23 2.54mm double row -0 -46 -46 -Connector_PinHeader_2.54mm -PinHeader_2x24_P2.54mm_Horizontal -Through hole angled pin header, 2x24, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x24 2.54mm double row -0 -48 -48 -Connector_PinHeader_2.54mm -PinHeader_2x24_P2.54mm_Vertical -Through hole straight pin header, 2x24, 2.54mm pitch, double rows -Through hole pin header THT 2x24 2.54mm double row -0 -48 -48 -Connector_PinHeader_2.54mm -PinHeader_2x24_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x24, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x24 2.54mm double row -0 -48 -48 -Connector_PinHeader_2.54mm -PinHeader_2x25_P2.54mm_Horizontal -Through hole angled pin header, 2x25, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x25 2.54mm double row -0 -50 -50 -Connector_PinHeader_2.54mm -PinHeader_2x25_P2.54mm_Vertical -Through hole straight pin header, 2x25, 2.54mm pitch, double rows -Through hole pin header THT 2x25 2.54mm double row -0 -50 -50 -Connector_PinHeader_2.54mm -PinHeader_2x25_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x25, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x25 2.54mm double row -0 -50 -50 -Connector_PinHeader_2.54mm -PinHeader_2x26_P2.54mm_Horizontal -Through hole angled pin header, 2x26, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x26 2.54mm double row -0 -52 -52 -Connector_PinHeader_2.54mm -PinHeader_2x26_P2.54mm_Vertical -Through hole straight pin header, 2x26, 2.54mm pitch, double rows -Through hole pin header THT 2x26 2.54mm double row -0 -52 -52 -Connector_PinHeader_2.54mm -PinHeader_2x26_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x26, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x26 2.54mm double row -0 -52 -52 -Connector_PinHeader_2.54mm -PinHeader_2x27_P2.54mm_Horizontal -Through hole angled pin header, 2x27, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x27 2.54mm double row -0 -54 -54 -Connector_PinHeader_2.54mm -PinHeader_2x27_P2.54mm_Vertical -Through hole straight pin header, 2x27, 2.54mm pitch, double rows -Through hole pin header THT 2x27 2.54mm double row -0 -54 -54 -Connector_PinHeader_2.54mm -PinHeader_2x27_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x27, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x27 2.54mm double row -0 -54 -54 -Connector_PinHeader_2.54mm -PinHeader_2x28_P2.54mm_Horizontal -Through hole angled pin header, 2x28, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x28 2.54mm double row -0 -56 -56 -Connector_PinHeader_2.54mm -PinHeader_2x28_P2.54mm_Vertical -Through hole straight pin header, 2x28, 2.54mm pitch, double rows -Through hole pin header THT 2x28 2.54mm double row -0 -56 -56 -Connector_PinHeader_2.54mm -PinHeader_2x28_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x28, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x28 2.54mm double row -0 -56 -56 -Connector_PinHeader_2.54mm -PinHeader_2x29_P2.54mm_Horizontal -Through hole angled pin header, 2x29, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x29 2.54mm double row -0 -58 -58 -Connector_PinHeader_2.54mm -PinHeader_2x29_P2.54mm_Vertical -Through hole straight pin header, 2x29, 2.54mm pitch, double rows -Through hole pin header THT 2x29 2.54mm double row -0 -58 -58 -Connector_PinHeader_2.54mm -PinHeader_2x29_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x29, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x29 2.54mm double row -0 -58 -58 -Connector_PinHeader_2.54mm -PinHeader_2x30_P2.54mm_Horizontal -Through hole angled pin header, 2x30, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x30 2.54mm double row -0 -60 -60 -Connector_PinHeader_2.54mm -PinHeader_2x30_P2.54mm_Vertical -Through hole straight pin header, 2x30, 2.54mm pitch, double rows -Through hole pin header THT 2x30 2.54mm double row -0 -60 -60 -Connector_PinHeader_2.54mm -PinHeader_2x30_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x30, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x30 2.54mm double row -0 -60 -60 -Connector_PinHeader_2.54mm -PinHeader_2x31_P2.54mm_Horizontal -Through hole angled pin header, 2x31, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x31 2.54mm double row -0 -62 -62 -Connector_PinHeader_2.54mm -PinHeader_2x31_P2.54mm_Vertical -Through hole straight pin header, 2x31, 2.54mm pitch, double rows -Through hole pin header THT 2x31 2.54mm double row -0 -62 -62 -Connector_PinHeader_2.54mm -PinHeader_2x31_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x31, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x31 2.54mm double row -0 -62 -62 -Connector_PinHeader_2.54mm -PinHeader_2x32_P2.54mm_Horizontal -Through hole angled pin header, 2x32, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x32 2.54mm double row -0 -64 -64 -Connector_PinHeader_2.54mm -PinHeader_2x32_P2.54mm_Vertical -Through hole straight pin header, 2x32, 2.54mm pitch, double rows -Through hole pin header THT 2x32 2.54mm double row -0 -64 -64 -Connector_PinHeader_2.54mm -PinHeader_2x32_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x32, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x32 2.54mm double row -0 -64 -64 -Connector_PinHeader_2.54mm -PinHeader_2x33_P2.54mm_Horizontal -Through hole angled pin header, 2x33, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x33 2.54mm double row -0 -66 -66 -Connector_PinHeader_2.54mm -PinHeader_2x33_P2.54mm_Vertical -Through hole straight pin header, 2x33, 2.54mm pitch, double rows -Through hole pin header THT 2x33 2.54mm double row -0 -66 -66 -Connector_PinHeader_2.54mm -PinHeader_2x33_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x33, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x33 2.54mm double row -0 -66 -66 -Connector_PinHeader_2.54mm -PinHeader_2x34_P2.54mm_Horizontal -Through hole angled pin header, 2x34, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x34 2.54mm double row -0 -68 -68 -Connector_PinHeader_2.54mm -PinHeader_2x34_P2.54mm_Vertical -Through hole straight pin header, 2x34, 2.54mm pitch, double rows -Through hole pin header THT 2x34 2.54mm double row -0 -68 -68 -Connector_PinHeader_2.54mm -PinHeader_2x34_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x34, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x34 2.54mm double row -0 -68 -68 -Connector_PinHeader_2.54mm -PinHeader_2x35_P2.54mm_Horizontal -Through hole angled pin header, 2x35, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x35 2.54mm double row -0 -70 -70 -Connector_PinHeader_2.54mm -PinHeader_2x35_P2.54mm_Vertical -Through hole straight pin header, 2x35, 2.54mm pitch, double rows -Through hole pin header THT 2x35 2.54mm double row -0 -70 -70 -Connector_PinHeader_2.54mm -PinHeader_2x35_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x35, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x35 2.54mm double row -0 -70 -70 -Connector_PinHeader_2.54mm -PinHeader_2x36_P2.54mm_Horizontal -Through hole angled pin header, 2x36, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x36 2.54mm double row -0 -72 -72 -Connector_PinHeader_2.54mm -PinHeader_2x36_P2.54mm_Vertical -Through hole straight pin header, 2x36, 2.54mm pitch, double rows -Through hole pin header THT 2x36 2.54mm double row -0 -72 -72 -Connector_PinHeader_2.54mm -PinHeader_2x36_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x36, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x36 2.54mm double row -0 -72 -72 -Connector_PinHeader_2.54mm -PinHeader_2x37_P2.54mm_Horizontal -Through hole angled pin header, 2x37, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x37 2.54mm double row -0 -74 -74 -Connector_PinHeader_2.54mm -PinHeader_2x37_P2.54mm_Vertical -Through hole straight pin header, 2x37, 2.54mm pitch, double rows -Through hole pin header THT 2x37 2.54mm double row -0 -74 -74 -Connector_PinHeader_2.54mm -PinHeader_2x37_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x37, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x37 2.54mm double row -0 -74 -74 -Connector_PinHeader_2.54mm -PinHeader_2x38_P2.54mm_Horizontal -Through hole angled pin header, 2x38, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x38 2.54mm double row -0 -76 -76 -Connector_PinHeader_2.54mm -PinHeader_2x38_P2.54mm_Vertical -Through hole straight pin header, 2x38, 2.54mm pitch, double rows -Through hole pin header THT 2x38 2.54mm double row -0 -76 -76 -Connector_PinHeader_2.54mm -PinHeader_2x38_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x38, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x38 2.54mm double row -0 -76 -76 -Connector_PinHeader_2.54mm -PinHeader_2x39_P2.54mm_Horizontal -Through hole angled pin header, 2x39, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x39 2.54mm double row -0 -78 -78 -Connector_PinHeader_2.54mm -PinHeader_2x39_P2.54mm_Vertical -Through hole straight pin header, 2x39, 2.54mm pitch, double rows -Through hole pin header THT 2x39 2.54mm double row -0 -78 -78 -Connector_PinHeader_2.54mm -PinHeader_2x39_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x39, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x39 2.54mm double row -0 -78 -78 -Connector_PinHeader_2.54mm -PinHeader_2x40_P2.54mm_Horizontal -Through hole angled pin header, 2x40, 2.54mm pitch, 6mm pin length, double rows -Through hole angled pin header THT 2x40 2.54mm double row -0 -80 -80 -Connector_PinHeader_2.54mm -PinHeader_2x40_P2.54mm_Vertical -Through hole straight pin header, 2x40, 2.54mm pitch, double rows -Through hole pin header THT 2x40 2.54mm double row -0 -80 -80 -Connector_PinHeader_2.54mm -PinHeader_2x40_P2.54mm_Vertical_SMD -surface-mounted straight pin header, 2x40, 2.54mm pitch, double rows -Surface mounted pin header SMD 2x40 2.54mm double row -0 -80 -80 -Connector_PinSocket_1.00mm -PinSocket_1x02_P1.00mm_Vertical -Through hole straight socket strip, 1x02, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x02 1.00mm single row -0 -2 -2 -Connector_PinSocket_1.00mm -PinSocket_1x02_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x02, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x02 1.00mm single row style1 pin1 left -0 -2 -2 -Connector_PinSocket_1.00mm -PinSocket_1x02_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x02, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x02 1.00mm single row style2 pin1 right -0 -2 -2 -Connector_PinSocket_1.00mm -PinSocket_1x03_P1.00mm_Vertical -Through hole straight socket strip, 1x03, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x03 1.00mm single row -0 -3 -3 -Connector_PinSocket_1.00mm -PinSocket_1x03_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x03, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x03 1.00mm single row style1 pin1 left -0 -3 -3 -Connector_PinSocket_1.00mm -PinSocket_1x03_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x03, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x03 1.00mm single row style2 pin1 right -0 -3 -3 -Connector_PinSocket_1.00mm -PinSocket_1x04_P1.00mm_Vertical -Through hole straight socket strip, 1x04, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x04 1.00mm single row -0 -4 -4 -Connector_PinSocket_1.00mm -PinSocket_1x04_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x04, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x04 1.00mm single row style1 pin1 left -0 -4 -4 -Connector_PinSocket_1.00mm -PinSocket_1x04_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x04, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x04 1.00mm single row style2 pin1 right -0 -4 -4 -Connector_PinSocket_1.00mm -PinSocket_1x05_P1.00mm_Vertical -Through hole straight socket strip, 1x05, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x05 1.00mm single row -0 -5 -5 -Connector_PinSocket_1.00mm -PinSocket_1x05_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x05, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x05 1.00mm single row style1 pin1 left -0 -5 -5 -Connector_PinSocket_1.00mm -PinSocket_1x05_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x05, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x05 1.00mm single row style2 pin1 right -0 -5 -5 -Connector_PinSocket_1.00mm -PinSocket_1x06_P1.00mm_Vertical -Through hole straight socket strip, 1x06, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x06 1.00mm single row -0 -6 -6 -Connector_PinSocket_1.00mm -PinSocket_1x06_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x06, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x06 1.00mm single row style1 pin1 left -0 -6 -6 -Connector_PinSocket_1.00mm -PinSocket_1x06_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x06, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x06 1.00mm single row style2 pin1 right -0 -6 -6 -Connector_PinSocket_1.00mm -PinSocket_1x07_P1.00mm_Vertical -Through hole straight socket strip, 1x07, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x07 1.00mm single row -0 -7 -7 -Connector_PinSocket_1.00mm -PinSocket_1x07_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x07, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x07 1.00mm single row style1 pin1 left -0 -7 -7 -Connector_PinSocket_1.00mm -PinSocket_1x07_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x07, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x07 1.00mm single row style2 pin1 right -0 -7 -7 -Connector_PinSocket_1.00mm -PinSocket_1x08_P1.00mm_Vertical -Through hole straight socket strip, 1x08, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x08 1.00mm single row -0 -8 -8 -Connector_PinSocket_1.00mm -PinSocket_1x08_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x08, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x08 1.00mm single row style1 pin1 left -0 -8 -8 -Connector_PinSocket_1.00mm -PinSocket_1x08_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x08, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x08 1.00mm single row style2 pin1 right -0 -8 -8 -Connector_PinSocket_1.00mm -PinSocket_1x09_P1.00mm_Vertical -Through hole straight socket strip, 1x09, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x09 1.00mm single row -0 -9 -9 -Connector_PinSocket_1.00mm -PinSocket_1x09_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x09, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x09 1.00mm single row style1 pin1 left -0 -9 -9 -Connector_PinSocket_1.00mm -PinSocket_1x09_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x09, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x09 1.00mm single row style2 pin1 right -0 -9 -9 -Connector_PinSocket_1.00mm -PinSocket_1x10_P1.00mm_Vertical -Through hole straight socket strip, 1x10, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x10 1.00mm single row -0 -10 -10 -Connector_PinSocket_1.00mm -PinSocket_1x10_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x10, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x10 1.00mm single row style1 pin1 left -0 -10 -10 -Connector_PinSocket_1.00mm -PinSocket_1x10_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x10, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x10 1.00mm single row style2 pin1 right -0 -10 -10 -Connector_PinSocket_1.00mm -PinSocket_1x11_P1.00mm_Vertical -Through hole straight socket strip, 1x11, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x11 1.00mm single row -0 -11 -11 -Connector_PinSocket_1.00mm -PinSocket_1x11_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x11, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x11 1.00mm single row style1 pin1 left -0 -11 -11 -Connector_PinSocket_1.00mm -PinSocket_1x11_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x11, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x11 1.00mm single row style2 pin1 right -0 -11 -11 -Connector_PinSocket_1.00mm -PinSocket_1x12_P1.00mm_Vertical -Through hole straight socket strip, 1x12, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x12 1.00mm single row -0 -12 -12 -Connector_PinSocket_1.00mm -PinSocket_1x12_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x12, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x12 1.00mm single row style1 pin1 left -0 -12 -12 -Connector_PinSocket_1.00mm -PinSocket_1x12_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x12, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x12 1.00mm single row style2 pin1 right -0 -12 -12 -Connector_PinSocket_1.00mm -PinSocket_1x13_P1.00mm_Vertical -Through hole straight socket strip, 1x13, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x13 1.00mm single row -0 -13 -13 -Connector_PinSocket_1.00mm -PinSocket_1x13_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x13, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x13 1.00mm single row style1 pin1 left -0 -13 -13 -Connector_PinSocket_1.00mm -PinSocket_1x13_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x13, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x13 1.00mm single row style2 pin1 right -0 -13 -13 -Connector_PinSocket_1.00mm -PinSocket_1x14_P1.00mm_Vertical -Through hole straight socket strip, 1x14, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x14 1.00mm single row -0 -14 -14 -Connector_PinSocket_1.00mm -PinSocket_1x14_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x14, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x14 1.00mm single row style1 pin1 left -0 -14 -14 -Connector_PinSocket_1.00mm -PinSocket_1x14_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x14, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x14 1.00mm single row style2 pin1 right -0 -14 -14 -Connector_PinSocket_1.00mm -PinSocket_1x15_P1.00mm_Vertical -Through hole straight socket strip, 1x15, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x15 1.00mm single row -0 -15 -15 -Connector_PinSocket_1.00mm -PinSocket_1x15_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x15, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x15 1.00mm single row style1 pin1 left -0 -15 -15 -Connector_PinSocket_1.00mm -PinSocket_1x15_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x15, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x15 1.00mm single row style2 pin1 right -0 -15 -15 -Connector_PinSocket_1.00mm -PinSocket_1x16_P1.00mm_Vertical -Through hole straight socket strip, 1x16, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x16 1.00mm single row -0 -16 -16 -Connector_PinSocket_1.00mm -PinSocket_1x16_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x16, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x16 1.00mm single row style1 pin1 left -0 -16 -16 -Connector_PinSocket_1.00mm -PinSocket_1x16_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x16, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x16 1.00mm single row style2 pin1 right -0 -16 -16 -Connector_PinSocket_1.00mm -PinSocket_1x17_P1.00mm_Vertical -Through hole straight socket strip, 1x17, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x17 1.00mm single row -0 -17 -17 -Connector_PinSocket_1.00mm -PinSocket_1x17_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x17, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x17 1.00mm single row style1 pin1 left -0 -17 -17 -Connector_PinSocket_1.00mm -PinSocket_1x17_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x17, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x17 1.00mm single row style2 pin1 right -0 -17 -17 -Connector_PinSocket_1.00mm -PinSocket_1x18_P1.00mm_Vertical -Through hole straight socket strip, 1x18, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x18 1.00mm single row -0 -18 -18 -Connector_PinSocket_1.00mm -PinSocket_1x18_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x18, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x18 1.00mm single row style1 pin1 left -0 -18 -18 -Connector_PinSocket_1.00mm -PinSocket_1x18_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x18, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x18 1.00mm single row style2 pin1 right -0 -18 -18 -Connector_PinSocket_1.00mm -PinSocket_1x19_P1.00mm_Vertical -Through hole straight socket strip, 1x19, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x19 1.00mm single row -0 -19 -19 -Connector_PinSocket_1.00mm -PinSocket_1x19_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x19, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x19 1.00mm single row style1 pin1 left -0 -19 -19 -Connector_PinSocket_1.00mm -PinSocket_1x19_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x19, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x19 1.00mm single row style2 pin1 right -0 -19 -19 -Connector_PinSocket_1.00mm -PinSocket_1x20_P1.00mm_Vertical -Through hole straight socket strip, 1x20, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x20 1.00mm single row -0 -20 -20 -Connector_PinSocket_1.00mm -PinSocket_1x20_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x20, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x20 1.00mm single row style1 pin1 left -0 -20 -20 -Connector_PinSocket_1.00mm -PinSocket_1x20_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x20, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x20 1.00mm single row style2 pin1 right -0 -20 -20 -Connector_PinSocket_1.00mm -PinSocket_1x21_P1.00mm_Vertical -Through hole straight socket strip, 1x21, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x21 1.00mm single row -0 -21 -21 -Connector_PinSocket_1.00mm -PinSocket_1x21_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x21, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x21 1.00mm single row style1 pin1 left -0 -21 -21 -Connector_PinSocket_1.00mm -PinSocket_1x21_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x21, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x21 1.00mm single row style2 pin1 right -0 -21 -21 -Connector_PinSocket_1.00mm -PinSocket_1x22_P1.00mm_Vertical -Through hole straight socket strip, 1x22, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x22 1.00mm single row -0 -22 -22 -Connector_PinSocket_1.00mm -PinSocket_1x22_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x22, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x22 1.00mm single row style1 pin1 left -0 -22 -22 -Connector_PinSocket_1.00mm -PinSocket_1x22_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x22, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x22 1.00mm single row style2 pin1 right -0 -22 -22 -Connector_PinSocket_1.00mm -PinSocket_1x23_P1.00mm_Vertical -Through hole straight socket strip, 1x23, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x23 1.00mm single row -0 -23 -23 -Connector_PinSocket_1.00mm -PinSocket_1x23_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x23, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x23 1.00mm single row style1 pin1 left -0 -23 -23 -Connector_PinSocket_1.00mm -PinSocket_1x23_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x23, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x23 1.00mm single row style2 pin1 right -0 -23 -23 -Connector_PinSocket_1.00mm -PinSocket_1x24_P1.00mm_Vertical -Through hole straight socket strip, 1x24, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x24 1.00mm single row -0 -24 -24 -Connector_PinSocket_1.00mm -PinSocket_1x24_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x24, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x24 1.00mm single row style1 pin1 left -0 -24 -24 -Connector_PinSocket_1.00mm -PinSocket_1x24_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x24, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x24 1.00mm single row style2 pin1 right -0 -24 -24 -Connector_PinSocket_1.00mm -PinSocket_1x25_P1.00mm_Vertical -Through hole straight socket strip, 1x25, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x25 1.00mm single row -0 -25 -25 -Connector_PinSocket_1.00mm -PinSocket_1x25_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x25, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x25 1.00mm single row style1 pin1 left -0 -25 -25 -Connector_PinSocket_1.00mm -PinSocket_1x25_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x25, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x25 1.00mm single row style2 pin1 right -0 -25 -25 -Connector_PinSocket_1.00mm -PinSocket_1x26_P1.00mm_Vertical -Through hole straight socket strip, 1x26, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x26 1.00mm single row -0 -26 -26 -Connector_PinSocket_1.00mm -PinSocket_1x26_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x26, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x26 1.00mm single row style1 pin1 left -0 -26 -26 -Connector_PinSocket_1.00mm -PinSocket_1x26_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x26, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x26 1.00mm single row style2 pin1 right -0 -26 -26 -Connector_PinSocket_1.00mm -PinSocket_1x27_P1.00mm_Vertical -Through hole straight socket strip, 1x27, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x27 1.00mm single row -0 -27 -27 -Connector_PinSocket_1.00mm -PinSocket_1x27_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x27, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x27 1.00mm single row style1 pin1 left -0 -27 -27 -Connector_PinSocket_1.00mm -PinSocket_1x27_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x27, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x27 1.00mm single row style2 pin1 right -0 -27 -27 -Connector_PinSocket_1.00mm -PinSocket_1x28_P1.00mm_Vertical -Through hole straight socket strip, 1x28, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x28 1.00mm single row -0 -28 -28 -Connector_PinSocket_1.00mm -PinSocket_1x28_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x28, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x28 1.00mm single row style1 pin1 left -0 -28 -28 -Connector_PinSocket_1.00mm -PinSocket_1x28_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x28, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x28 1.00mm single row style2 pin1 right -0 -28 -28 -Connector_PinSocket_1.00mm -PinSocket_1x29_P1.00mm_Vertical -Through hole straight socket strip, 1x29, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x29 1.00mm single row -0 -29 -29 -Connector_PinSocket_1.00mm -PinSocket_1x29_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x29, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x29 1.00mm single row style1 pin1 left -0 -29 -29 -Connector_PinSocket_1.00mm -PinSocket_1x29_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x29, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x29 1.00mm single row style2 pin1 right -0 -29 -29 -Connector_PinSocket_1.00mm -PinSocket_1x30_P1.00mm_Vertical -Through hole straight socket strip, 1x30, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x30 1.00mm single row -0 -30 -30 -Connector_PinSocket_1.00mm -PinSocket_1x30_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x30, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x30 1.00mm single row style1 pin1 left -0 -30 -30 -Connector_PinSocket_1.00mm -PinSocket_1x30_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x30, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x30 1.00mm single row style2 pin1 right -0 -30 -30 -Connector_PinSocket_1.00mm -PinSocket_1x31_P1.00mm_Vertical -Through hole straight socket strip, 1x31, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x31 1.00mm single row -0 -31 -31 -Connector_PinSocket_1.00mm -PinSocket_1x31_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x31, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x31 1.00mm single row style1 pin1 left -0 -31 -31 -Connector_PinSocket_1.00mm -PinSocket_1x31_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x31, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x31 1.00mm single row style2 pin1 right -0 -31 -31 -Connector_PinSocket_1.00mm -PinSocket_1x32_P1.00mm_Vertical -Through hole straight socket strip, 1x32, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x32 1.00mm single row -0 -32 -32 -Connector_PinSocket_1.00mm -PinSocket_1x32_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x32, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x32 1.00mm single row style1 pin1 left -0 -32 -32 -Connector_PinSocket_1.00mm -PinSocket_1x32_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x32, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x32 1.00mm single row style2 pin1 right -0 -32 -32 -Connector_PinSocket_1.00mm -PinSocket_1x33_P1.00mm_Vertical -Through hole straight socket strip, 1x33, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x33 1.00mm single row -0 -33 -33 -Connector_PinSocket_1.00mm -PinSocket_1x33_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x33, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x33 1.00mm single row style1 pin1 left -0 -33 -33 -Connector_PinSocket_1.00mm -PinSocket_1x33_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x33, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x33 1.00mm single row style2 pin1 right -0 -33 -33 -Connector_PinSocket_1.00mm -PinSocket_1x34_P1.00mm_Vertical -Through hole straight socket strip, 1x34, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x34 1.00mm single row -0 -34 -34 -Connector_PinSocket_1.00mm -PinSocket_1x34_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x34, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x34 1.00mm single row style1 pin1 left -0 -34 -34 -Connector_PinSocket_1.00mm -PinSocket_1x34_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x34, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x34 1.00mm single row style2 pin1 right -0 -34 -34 -Connector_PinSocket_1.00mm -PinSocket_1x35_P1.00mm_Vertical -Through hole straight socket strip, 1x35, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x35 1.00mm single row -0 -35 -35 -Connector_PinSocket_1.00mm -PinSocket_1x35_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x35, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x35 1.00mm single row style1 pin1 left -0 -35 -35 -Connector_PinSocket_1.00mm -PinSocket_1x35_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x35, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x35 1.00mm single row style2 pin1 right -0 -35 -35 -Connector_PinSocket_1.00mm -PinSocket_1x36_P1.00mm_Vertical -Through hole straight socket strip, 1x36, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x36 1.00mm single row -0 -36 -36 -Connector_PinSocket_1.00mm -PinSocket_1x36_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x36, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x36 1.00mm single row style1 pin1 left -0 -36 -36 -Connector_PinSocket_1.00mm -PinSocket_1x36_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x36, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x36 1.00mm single row style2 pin1 right -0 -36 -36 -Connector_PinSocket_1.00mm -PinSocket_1x37_P1.00mm_Vertical -Through hole straight socket strip, 1x37, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x37 1.00mm single row -0 -37 -37 -Connector_PinSocket_1.00mm -PinSocket_1x37_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x37, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x37 1.00mm single row style1 pin1 left -0 -37 -37 -Connector_PinSocket_1.00mm -PinSocket_1x37_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x37, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x37 1.00mm single row style2 pin1 right -0 -37 -37 -Connector_PinSocket_1.00mm -PinSocket_1x38_P1.00mm_Vertical -Through hole straight socket strip, 1x38, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x38 1.00mm single row -0 -38 -38 -Connector_PinSocket_1.00mm -PinSocket_1x38_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x38, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x38 1.00mm single row style1 pin1 left -0 -38 -38 -Connector_PinSocket_1.00mm -PinSocket_1x38_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x38, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x38 1.00mm single row style2 pin1 right -0 -38 -38 -Connector_PinSocket_1.00mm -PinSocket_1x39_P1.00mm_Vertical -Through hole straight socket strip, 1x39, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x39 1.00mm single row -0 -39 -39 -Connector_PinSocket_1.00mm -PinSocket_1x39_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x39, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x39 1.00mm single row style1 pin1 left -0 -39 -39 -Connector_PinSocket_1.00mm -PinSocket_1x39_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x39, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x39 1.00mm single row style2 pin1 right -0 -39 -39 -Connector_PinSocket_1.00mm -PinSocket_1x40_P1.00mm_Vertical -Through hole straight socket strip, 1x40, 1.00mm pitch, single row (https://gct.co/files/drawings/bc065.pdf), script generated -Through hole socket strip THT 1x40 1.00mm single row -0 -40 -40 -Connector_PinSocket_1.00mm -PinSocket_1x40_P1.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x40, 1.00mm pitch, single row, style 1 (pin 1 left) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x40 1.00mm single row style1 pin1 left -0 -40 -40 -Connector_PinSocket_1.00mm -PinSocket_1x40_P1.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x40, 1.00mm pitch, single row, style 2 (pin 1 right) (https://gct.co/files/drawings/bc070.pdf), script generated -Surface mounted socket strip SMD 1x40 1.00mm single row style2 pin1 right -0 -40 -40 -Connector_PinSocket_1.00mm -PinSocket_2x02_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x02, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x02 1.00mm double row -0 -4 -4 -Connector_PinSocket_1.00mm -PinSocket_2x03_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x03, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x03 1.00mm double row -0 -6 -6 -Connector_PinSocket_1.00mm -PinSocket_2x04_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x04, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x04 1.00mm double row -0 -8 -8 -Connector_PinSocket_1.00mm -PinSocket_2x05_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x05, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x05 1.00mm double row -0 -10 -10 -Connector_PinSocket_1.00mm -PinSocket_2x06_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x06, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x06 1.00mm double row -0 -12 -12 -Connector_PinSocket_1.00mm -PinSocket_2x07_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x07, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x07 1.00mm double row -0 -14 -14 -Connector_PinSocket_1.00mm -PinSocket_2x08_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x08, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x08 1.00mm double row -0 -16 -16 -Connector_PinSocket_1.00mm -PinSocket_2x09_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x09, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x09 1.00mm double row -0 -18 -18 -Connector_PinSocket_1.00mm -PinSocket_2x10_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x10, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x10 1.00mm double row -0 -20 -20 -Connector_PinSocket_1.00mm -PinSocket_2x11_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x11, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x11 1.00mm double row -0 -22 -22 -Connector_PinSocket_1.00mm -PinSocket_2x12_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x12, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x12 1.00mm double row -0 -24 -24 -Connector_PinSocket_1.00mm -PinSocket_2x13_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x13, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x13 1.00mm double row -0 -26 -26 -Connector_PinSocket_1.00mm -PinSocket_2x14_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x14, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x14 1.00mm double row -0 -28 -28 -Connector_PinSocket_1.00mm -PinSocket_2x15_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x15, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x15 1.00mm double row -0 -30 -30 -Connector_PinSocket_1.00mm -PinSocket_2x16_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x16, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x16 1.00mm double row -0 -32 -32 -Connector_PinSocket_1.00mm -PinSocket_2x17_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x17, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x17 1.00mm double row -0 -34 -34 -Connector_PinSocket_1.00mm -PinSocket_2x18_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x18, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x18 1.00mm double row -0 -36 -36 -Connector_PinSocket_1.00mm -PinSocket_2x19_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x19, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x19 1.00mm double row -0 -38 -38 -Connector_PinSocket_1.00mm -PinSocket_2x20_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x20, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x20 1.00mm double row -0 -40 -40 -Connector_PinSocket_1.00mm -PinSocket_2x21_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x21, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x21 1.00mm double row -0 -42 -42 -Connector_PinSocket_1.00mm -PinSocket_2x22_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x22, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x22 1.00mm double row -0 -44 -44 -Connector_PinSocket_1.00mm -PinSocket_2x23_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x23, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x23 1.00mm double row -0 -46 -46 -Connector_PinSocket_1.00mm -PinSocket_2x24_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x24, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x24 1.00mm double row -0 -48 -48 -Connector_PinSocket_1.00mm -PinSocket_2x25_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x25, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x25 1.00mm double row -0 -50 -50 -Connector_PinSocket_1.00mm -PinSocket_2x26_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x26, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x26 1.00mm double row -0 -52 -52 -Connector_PinSocket_1.00mm -PinSocket_2x27_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x27, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x27 1.00mm double row -0 -54 -54 -Connector_PinSocket_1.00mm -PinSocket_2x28_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x28, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x28 1.00mm double row -0 -56 -56 -Connector_PinSocket_1.00mm -PinSocket_2x29_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x29, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x29 1.00mm double row -0 -58 -58 -Connector_PinSocket_1.00mm -PinSocket_2x30_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x30, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x30 1.00mm double row -0 -60 -60 -Connector_PinSocket_1.00mm -PinSocket_2x31_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x31, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x31 1.00mm double row -0 -62 -62 -Connector_PinSocket_1.00mm -PinSocket_2x32_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x32, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x32 1.00mm double row -0 -64 -64 -Connector_PinSocket_1.00mm -PinSocket_2x33_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x33, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x33 1.00mm double row -0 -66 -66 -Connector_PinSocket_1.00mm -PinSocket_2x34_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x34, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x34 1.00mm double row -0 -68 -68 -Connector_PinSocket_1.00mm -PinSocket_2x35_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x35, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x35 1.00mm double row -0 -70 -70 -Connector_PinSocket_1.00mm -PinSocket_2x36_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x36, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x36 1.00mm double row -0 -72 -72 -Connector_PinSocket_1.00mm -PinSocket_2x37_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x37, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x37 1.00mm double row -0 -74 -74 -Connector_PinSocket_1.00mm -PinSocket_2x38_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x38, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x38 1.00mm double row -0 -76 -76 -Connector_PinSocket_1.00mm -PinSocket_2x39_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x39, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x39 1.00mm double row -0 -78 -78 -Connector_PinSocket_1.00mm -PinSocket_2x40_P1.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x40, 1.00mm pitch, double cols (https://gct.co/files/drawings/bc085.pdf), script generated -Surface mounted socket strip SMD 2x40 1.00mm double row -0 -80 -80 -Connector_PinSocket_1.27mm -PinSocket_1x01_P1.27mm_Vertical -Through hole straight socket strip, 1x01, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x01 1.27mm single row -0 -1 -1 -Connector_PinSocket_1.27mm -PinSocket_1x02_P1.27mm_Vertical -Through hole straight socket strip, 1x02, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x02 1.27mm single row -0 -2 -2 -Connector_PinSocket_1.27mm -PinSocket_1x02_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x02, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x02 1.27mm single row style1 pin1 left -0 -2 -2 -Connector_PinSocket_1.27mm -PinSocket_1x02_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x02, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x02 1.27mm single row style2 pin1 right -0 -2 -2 -Connector_PinSocket_1.27mm -PinSocket_1x03_P1.27mm_Vertical -Through hole straight socket strip, 1x03, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x03 1.27mm single row -0 -3 -3 -Connector_PinSocket_1.27mm -PinSocket_1x03_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x03, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x03 1.27mm single row style1 pin1 left -0 -3 -3 -Connector_PinSocket_1.27mm -PinSocket_1x03_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x03, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x03 1.27mm single row style2 pin1 right -0 -3 -3 -Connector_PinSocket_1.27mm -PinSocket_1x04_P1.27mm_Vertical -Through hole straight socket strip, 1x04, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x04 1.27mm single row -0 -4 -4 -Connector_PinSocket_1.27mm -PinSocket_1x04_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x04, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x04 1.27mm single row style1 pin1 left -0 -4 -4 -Connector_PinSocket_1.27mm -PinSocket_1x04_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x04, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x04 1.27mm single row style2 pin1 right -0 -4 -4 -Connector_PinSocket_1.27mm -PinSocket_1x05_P1.27mm_Vertical -Through hole straight socket strip, 1x05, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x05 1.27mm single row -0 -5 -5 -Connector_PinSocket_1.27mm -PinSocket_1x05_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x05, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x05 1.27mm single row style1 pin1 left -0 -5 -5 -Connector_PinSocket_1.27mm -PinSocket_1x05_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x05, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x05 1.27mm single row style2 pin1 right -0 -5 -5 -Connector_PinSocket_1.27mm -PinSocket_1x06_P1.27mm_Vertical -Through hole straight socket strip, 1x06, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x06 1.27mm single row -0 -6 -6 -Connector_PinSocket_1.27mm -PinSocket_1x06_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x06, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x06 1.27mm single row style1 pin1 left -0 -6 -6 -Connector_PinSocket_1.27mm -PinSocket_1x06_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x06, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x06 1.27mm single row style2 pin1 right -0 -6 -6 -Connector_PinSocket_1.27mm -PinSocket_1x07_P1.27mm_Vertical -Through hole straight socket strip, 1x07, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x07 1.27mm single row -0 -7 -7 -Connector_PinSocket_1.27mm -PinSocket_1x07_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x07, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x07 1.27mm single row style1 pin1 left -0 -7 -7 -Connector_PinSocket_1.27mm -PinSocket_1x07_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x07, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x07 1.27mm single row style2 pin1 right -0 -7 -7 -Connector_PinSocket_1.27mm -PinSocket_1x08_P1.27mm_Vertical -Through hole straight socket strip, 1x08, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x08 1.27mm single row -0 -8 -8 -Connector_PinSocket_1.27mm -PinSocket_1x08_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x08, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x08 1.27mm single row style1 pin1 left -0 -8 -8 -Connector_PinSocket_1.27mm -PinSocket_1x08_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x08, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x08 1.27mm single row style2 pin1 right -0 -8 -8 -Connector_PinSocket_1.27mm -PinSocket_1x09_P1.27mm_Vertical -Through hole straight socket strip, 1x09, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x09 1.27mm single row -0 -9 -9 -Connector_PinSocket_1.27mm -PinSocket_1x09_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x09, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x09 1.27mm single row style1 pin1 left -0 -9 -9 -Connector_PinSocket_1.27mm -PinSocket_1x09_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x09, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x09 1.27mm single row style2 pin1 right -0 -9 -9 -Connector_PinSocket_1.27mm -PinSocket_1x10_P1.27mm_Vertical -Through hole straight socket strip, 1x10, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x10 1.27mm single row -0 -10 -10 -Connector_PinSocket_1.27mm -PinSocket_1x10_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x10, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x10 1.27mm single row style1 pin1 left -0 -10 -10 -Connector_PinSocket_1.27mm -PinSocket_1x10_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x10, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x10 1.27mm single row style2 pin1 right -0 -10 -10 -Connector_PinSocket_1.27mm -PinSocket_1x11_P1.27mm_Vertical -Through hole straight socket strip, 1x11, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x11 1.27mm single row -0 -11 -11 -Connector_PinSocket_1.27mm -PinSocket_1x11_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x11, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x11 1.27mm single row style1 pin1 left -0 -11 -11 -Connector_PinSocket_1.27mm -PinSocket_1x11_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x11, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x11 1.27mm single row style2 pin1 right -0 -11 -11 -Connector_PinSocket_1.27mm -PinSocket_1x12_P1.27mm_Vertical -Through hole straight socket strip, 1x12, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x12 1.27mm single row -0 -12 -12 -Connector_PinSocket_1.27mm -PinSocket_1x12_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x12, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x12 1.27mm single row style1 pin1 left -0 -12 -12 -Connector_PinSocket_1.27mm -PinSocket_1x12_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x12, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x12 1.27mm single row style2 pin1 right -0 -12 -12 -Connector_PinSocket_1.27mm -PinSocket_1x13_P1.27mm_Vertical -Through hole straight socket strip, 1x13, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x13 1.27mm single row -0 -13 -13 -Connector_PinSocket_1.27mm -PinSocket_1x13_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x13, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x13 1.27mm single row style1 pin1 left -0 -13 -13 -Connector_PinSocket_1.27mm -PinSocket_1x13_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x13, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x13 1.27mm single row style2 pin1 right -0 -13 -13 -Connector_PinSocket_1.27mm -PinSocket_1x14_P1.27mm_Vertical -Through hole straight socket strip, 1x14, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x14 1.27mm single row -0 -14 -14 -Connector_PinSocket_1.27mm -PinSocket_1x14_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x14, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x14 1.27mm single row style1 pin1 left -0 -14 -14 -Connector_PinSocket_1.27mm -PinSocket_1x14_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x14, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x14 1.27mm single row style2 pin1 right -0 -14 -14 -Connector_PinSocket_1.27mm -PinSocket_1x15_P1.27mm_Vertical -Through hole straight socket strip, 1x15, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x15 1.27mm single row -0 -15 -15 -Connector_PinSocket_1.27mm -PinSocket_1x15_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x15, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x15 1.27mm single row style1 pin1 left -0 -15 -15 -Connector_PinSocket_1.27mm -PinSocket_1x15_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x15, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x15 1.27mm single row style2 pin1 right -0 -15 -15 -Connector_PinSocket_1.27mm -PinSocket_1x16_P1.27mm_Vertical -Through hole straight socket strip, 1x16, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x16 1.27mm single row -0 -16 -16 -Connector_PinSocket_1.27mm -PinSocket_1x16_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x16, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x16 1.27mm single row style1 pin1 left -0 -16 -16 -Connector_PinSocket_1.27mm -PinSocket_1x16_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x16, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x16 1.27mm single row style2 pin1 right -0 -16 -16 -Connector_PinSocket_1.27mm -PinSocket_1x17_P1.27mm_Vertical -Through hole straight socket strip, 1x17, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x17 1.27mm single row -0 -17 -17 -Connector_PinSocket_1.27mm -PinSocket_1x17_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x17, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x17 1.27mm single row style1 pin1 left -0 -17 -17 -Connector_PinSocket_1.27mm -PinSocket_1x17_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x17, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x17 1.27mm single row style2 pin1 right -0 -17 -17 -Connector_PinSocket_1.27mm -PinSocket_1x18_P1.27mm_Vertical -Through hole straight socket strip, 1x18, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x18 1.27mm single row -0 -18 -18 -Connector_PinSocket_1.27mm -PinSocket_1x18_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x18, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x18 1.27mm single row style1 pin1 left -0 -18 -18 -Connector_PinSocket_1.27mm -PinSocket_1x18_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x18, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x18 1.27mm single row style2 pin1 right -0 -18 -18 -Connector_PinSocket_1.27mm -PinSocket_1x19_P1.27mm_Vertical -Through hole straight socket strip, 1x19, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x19 1.27mm single row -0 -19 -19 -Connector_PinSocket_1.27mm -PinSocket_1x19_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x19, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x19 1.27mm single row style1 pin1 left -0 -19 -19 -Connector_PinSocket_1.27mm -PinSocket_1x19_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x19, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x19 1.27mm single row style2 pin1 right -0 -19 -19 -Connector_PinSocket_1.27mm -PinSocket_1x20_P1.27mm_Vertical -Through hole straight socket strip, 1x20, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x20 1.27mm single row -0 -20 -20 -Connector_PinSocket_1.27mm -PinSocket_1x20_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x20, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x20 1.27mm single row style1 pin1 left -0 -20 -20 -Connector_PinSocket_1.27mm -PinSocket_1x20_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x20, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x20 1.27mm single row style2 pin1 right -0 -20 -20 -Connector_PinSocket_1.27mm -PinSocket_1x21_P1.27mm_Vertical -Through hole straight socket strip, 1x21, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x21 1.27mm single row -0 -21 -21 -Connector_PinSocket_1.27mm -PinSocket_1x21_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x21, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x21 1.27mm single row style1 pin1 left -0 -21 -21 -Connector_PinSocket_1.27mm -PinSocket_1x21_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x21, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x21 1.27mm single row style2 pin1 right -0 -21 -21 -Connector_PinSocket_1.27mm -PinSocket_1x22_P1.27mm_Vertical -Through hole straight socket strip, 1x22, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x22 1.27mm single row -0 -22 -22 -Connector_PinSocket_1.27mm -PinSocket_1x22_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x22, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x22 1.27mm single row style1 pin1 left -0 -22 -22 -Connector_PinSocket_1.27mm -PinSocket_1x22_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x22, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x22 1.27mm single row style2 pin1 right -0 -22 -22 -Connector_PinSocket_1.27mm -PinSocket_1x23_P1.27mm_Vertical -Through hole straight socket strip, 1x23, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x23 1.27mm single row -0 -23 -23 -Connector_PinSocket_1.27mm -PinSocket_1x23_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x23, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x23 1.27mm single row style1 pin1 left -0 -23 -23 -Connector_PinSocket_1.27mm -PinSocket_1x23_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x23, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x23 1.27mm single row style2 pin1 right -0 -23 -23 -Connector_PinSocket_1.27mm -PinSocket_1x24_P1.27mm_Vertical -Through hole straight socket strip, 1x24, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x24 1.27mm single row -0 -24 -24 -Connector_PinSocket_1.27mm -PinSocket_1x24_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x24, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x24 1.27mm single row style1 pin1 left -0 -24 -24 -Connector_PinSocket_1.27mm -PinSocket_1x24_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x24, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x24 1.27mm single row style2 pin1 right -0 -24 -24 -Connector_PinSocket_1.27mm -PinSocket_1x25_P1.27mm_Vertical -Through hole straight socket strip, 1x25, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x25 1.27mm single row -0 -25 -25 -Connector_PinSocket_1.27mm -PinSocket_1x25_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x25, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x25 1.27mm single row style1 pin1 left -0 -25 -25 -Connector_PinSocket_1.27mm -PinSocket_1x25_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x25, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x25 1.27mm single row style2 pin1 right -0 -25 -25 -Connector_PinSocket_1.27mm -PinSocket_1x26_P1.27mm_Vertical -Through hole straight socket strip, 1x26, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x26 1.27mm single row -0 -26 -26 -Connector_PinSocket_1.27mm -PinSocket_1x26_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x26, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x26 1.27mm single row style1 pin1 left -0 -26 -26 -Connector_PinSocket_1.27mm -PinSocket_1x26_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x26, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x26 1.27mm single row style2 pin1 right -0 -26 -26 -Connector_PinSocket_1.27mm -PinSocket_1x27_P1.27mm_Vertical -Through hole straight socket strip, 1x27, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x27 1.27mm single row -0 -27 -27 -Connector_PinSocket_1.27mm -PinSocket_1x27_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x27, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x27 1.27mm single row style1 pin1 left -0 -27 -27 -Connector_PinSocket_1.27mm -PinSocket_1x27_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x27, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x27 1.27mm single row style2 pin1 right -0 -27 -27 -Connector_PinSocket_1.27mm -PinSocket_1x28_P1.27mm_Vertical -Through hole straight socket strip, 1x28, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x28 1.27mm single row -0 -28 -28 -Connector_PinSocket_1.27mm -PinSocket_1x28_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x28, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x28 1.27mm single row style1 pin1 left -0 -28 -28 -Connector_PinSocket_1.27mm -PinSocket_1x28_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x28, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x28 1.27mm single row style2 pin1 right -0 -28 -28 -Connector_PinSocket_1.27mm -PinSocket_1x29_P1.27mm_Vertical -Through hole straight socket strip, 1x29, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x29 1.27mm single row -0 -29 -29 -Connector_PinSocket_1.27mm -PinSocket_1x29_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x29, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x29 1.27mm single row style1 pin1 left -0 -29 -29 -Connector_PinSocket_1.27mm -PinSocket_1x29_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x29, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x29 1.27mm single row style2 pin1 right -0 -29 -29 -Connector_PinSocket_1.27mm -PinSocket_1x30_P1.27mm_Vertical -Through hole straight socket strip, 1x30, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x30 1.27mm single row -0 -30 -30 -Connector_PinSocket_1.27mm -PinSocket_1x30_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x30, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x30 1.27mm single row style1 pin1 left -0 -30 -30 -Connector_PinSocket_1.27mm -PinSocket_1x30_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x30, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x30 1.27mm single row style2 pin1 right -0 -30 -30 -Connector_PinSocket_1.27mm -PinSocket_1x31_P1.27mm_Vertical -Through hole straight socket strip, 1x31, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x31 1.27mm single row -0 -31 -31 -Connector_PinSocket_1.27mm -PinSocket_1x31_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x31, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x31 1.27mm single row style1 pin1 left -0 -31 -31 -Connector_PinSocket_1.27mm -PinSocket_1x31_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x31, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x31 1.27mm single row style2 pin1 right -0 -31 -31 -Connector_PinSocket_1.27mm -PinSocket_1x32_P1.27mm_Vertical -Through hole straight socket strip, 1x32, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x32 1.27mm single row -0 -32 -32 -Connector_PinSocket_1.27mm -PinSocket_1x32_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x32, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x32 1.27mm single row style1 pin1 left -0 -32 -32 -Connector_PinSocket_1.27mm -PinSocket_1x32_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x32, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x32 1.27mm single row style2 pin1 right -0 -32 -32 -Connector_PinSocket_1.27mm -PinSocket_1x33_P1.27mm_Vertical -Through hole straight socket strip, 1x33, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x33 1.27mm single row -0 -33 -33 -Connector_PinSocket_1.27mm -PinSocket_1x33_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x33, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x33 1.27mm single row style1 pin1 left -0 -33 -33 -Connector_PinSocket_1.27mm -PinSocket_1x33_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x33, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x33 1.27mm single row style2 pin1 right -0 -33 -33 -Connector_PinSocket_1.27mm -PinSocket_1x34_P1.27mm_Vertical -Through hole straight socket strip, 1x34, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x34 1.27mm single row -0 -34 -34 -Connector_PinSocket_1.27mm -PinSocket_1x34_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x34, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x34 1.27mm single row style1 pin1 left -0 -34 -34 -Connector_PinSocket_1.27mm -PinSocket_1x34_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x34, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x34 1.27mm single row style2 pin1 right -0 -34 -34 -Connector_PinSocket_1.27mm -PinSocket_1x35_P1.27mm_Vertical -Through hole straight socket strip, 1x35, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x35 1.27mm single row -0 -35 -35 -Connector_PinSocket_1.27mm -PinSocket_1x35_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x35, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x35 1.27mm single row style1 pin1 left -0 -35 -35 -Connector_PinSocket_1.27mm -PinSocket_1x35_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x35, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x35 1.27mm single row style2 pin1 right -0 -35 -35 -Connector_PinSocket_1.27mm -PinSocket_1x36_P1.27mm_Vertical -Through hole straight socket strip, 1x36, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x36 1.27mm single row -0 -36 -36 -Connector_PinSocket_1.27mm -PinSocket_1x36_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x36, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x36 1.27mm single row style1 pin1 left -0 -36 -36 -Connector_PinSocket_1.27mm -PinSocket_1x36_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x36, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x36 1.27mm single row style2 pin1 right -0 -36 -36 -Connector_PinSocket_1.27mm -PinSocket_1x37_P1.27mm_Vertical -Through hole straight socket strip, 1x37, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x37 1.27mm single row -0 -37 -37 -Connector_PinSocket_1.27mm -PinSocket_1x37_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x37, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x37 1.27mm single row style1 pin1 left -0 -37 -37 -Connector_PinSocket_1.27mm -PinSocket_1x37_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x37, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x37 1.27mm single row style2 pin1 right -0 -37 -37 -Connector_PinSocket_1.27mm -PinSocket_1x38_P1.27mm_Vertical -Through hole straight socket strip, 1x38, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x38 1.27mm single row -0 -38 -38 -Connector_PinSocket_1.27mm -PinSocket_1x38_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x38, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x38 1.27mm single row style1 pin1 left -0 -38 -38 -Connector_PinSocket_1.27mm -PinSocket_1x38_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x38, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x38 1.27mm single row style2 pin1 right -0 -38 -38 -Connector_PinSocket_1.27mm -PinSocket_1x39_P1.27mm_Vertical -Through hole straight socket strip, 1x39, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x39 1.27mm single row -0 -39 -39 -Connector_PinSocket_1.27mm -PinSocket_1x39_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x39, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x39 1.27mm single row style1 pin1 left -0 -39 -39 -Connector_PinSocket_1.27mm -PinSocket_1x39_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x39, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x39 1.27mm single row style2 pin1 right -0 -39 -39 -Connector_PinSocket_1.27mm -PinSocket_1x40_P1.27mm_Vertical -Through hole straight socket strip, 1x40, 1.27mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x40 1.27mm single row -0 -40 -40 -Connector_PinSocket_1.27mm -PinSocket_1x40_P1.27mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x40, 1.27mm pitch, single row, style 1 (pin 1 left) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x40 1.27mm single row style1 pin1 left -0 -40 -40 -Connector_PinSocket_1.27mm -PinSocket_1x40_P1.27mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x40, 1.27mm pitch, single row, style 2 (pin 1 right) (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925), script generated -Surface mounted socket strip SMD 1x40 1.27mm single row style2 pin1 right -0 -40 -40 -Connector_PinSocket_1.27mm -PinSocket_2x01_P1.27mm_Vertical -Through hole straight socket strip, 2x01, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x01 1.27mm double row -0 -2 -2 -Connector_PinSocket_1.27mm -PinSocket_2x01_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x01, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x01 1.27mm double row -0 -2 -2 -Connector_PinSocket_1.27mm -PinSocket_2x02_P1.27mm_Vertical -Through hole straight socket strip, 2x02, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x02 1.27mm double row -0 -4 -4 -Connector_PinSocket_1.27mm -PinSocket_2x02_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x02, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x02 1.27mm double row -0 -4 -4 -Connector_PinSocket_1.27mm -PinSocket_2x03_P1.27mm_Horizontal -Through hole angled socket strip, 2x03, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x03 1.27mm double row -0 -6 -6 -Connector_PinSocket_1.27mm -PinSocket_2x03_P1.27mm_Vertical -Through hole straight socket strip, 2x03, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x03 1.27mm double row -0 -6 -6 -Connector_PinSocket_1.27mm -PinSocket_2x03_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x03, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x03 1.27mm double row -0 -6 -6 -Connector_PinSocket_1.27mm -PinSocket_2x04_P1.27mm_Horizontal -Through hole angled socket strip, 2x04, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x04 1.27mm double row -0 -8 -8 -Connector_PinSocket_1.27mm -PinSocket_2x04_P1.27mm_Vertical -Through hole straight socket strip, 2x04, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x04 1.27mm double row -0 -8 -8 -Connector_PinSocket_1.27mm -PinSocket_2x04_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x04, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x04 1.27mm double row -0 -8 -8 -Connector_PinSocket_1.27mm -PinSocket_2x05_P1.27mm_Horizontal -Through hole angled socket strip, 2x05, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x05 1.27mm double row -0 -10 -10 -Connector_PinSocket_1.27mm -PinSocket_2x05_P1.27mm_Vertical -Through hole straight socket strip, 2x05, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x05 1.27mm double row -0 -10 -10 -Connector_PinSocket_1.27mm -PinSocket_2x05_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x05, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x05 1.27mm double row -0 -10 -10 -Connector_PinSocket_1.27mm -PinSocket_2x06_P1.27mm_Horizontal -Through hole angled socket strip, 2x06, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x06 1.27mm double row -0 -12 -12 -Connector_PinSocket_1.27mm -PinSocket_2x06_P1.27mm_Vertical -Through hole straight socket strip, 2x06, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x06 1.27mm double row -0 -12 -12 -Connector_PinSocket_1.27mm -PinSocket_2x06_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x06, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x06 1.27mm double row -0 -12 -12 -Connector_PinSocket_1.27mm -PinSocket_2x07_P1.27mm_Horizontal -Through hole angled socket strip, 2x07, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x07 1.27mm double row -0 -14 -14 -Connector_PinSocket_1.27mm -PinSocket_2x07_P1.27mm_Vertical -Through hole straight socket strip, 2x07, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x07 1.27mm double row -0 -14 -14 -Connector_PinSocket_1.27mm -PinSocket_2x07_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x07, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x07 1.27mm double row -0 -14 -14 -Connector_PinSocket_1.27mm -PinSocket_2x08_P1.27mm_Horizontal -Through hole angled socket strip, 2x08, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x08 1.27mm double row -0 -16 -16 -Connector_PinSocket_1.27mm -PinSocket_2x08_P1.27mm_Vertical -Through hole straight socket strip, 2x08, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x08 1.27mm double row -0 -16 -16 -Connector_PinSocket_1.27mm -PinSocket_2x08_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x08, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x08 1.27mm double row -0 -16 -16 -Connector_PinSocket_1.27mm -PinSocket_2x09_P1.27mm_Horizontal -Through hole angled socket strip, 2x09, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x09 1.27mm double row -0 -18 -18 -Connector_PinSocket_1.27mm -PinSocket_2x09_P1.27mm_Vertical -Through hole straight socket strip, 2x09, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x09 1.27mm double row -0 -18 -18 -Connector_PinSocket_1.27mm -PinSocket_2x09_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x09, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x09 1.27mm double row -0 -18 -18 -Connector_PinSocket_1.27mm -PinSocket_2x10_P1.27mm_Horizontal -Through hole angled socket strip, 2x10, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x10 1.27mm double row -0 -20 -20 -Connector_PinSocket_1.27mm -PinSocket_2x10_P1.27mm_Vertical -Through hole straight socket strip, 2x10, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x10 1.27mm double row -0 -20 -20 -Connector_PinSocket_1.27mm -PinSocket_2x10_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x10, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x10 1.27mm double row -0 -20 -20 -Connector_PinSocket_1.27mm -PinSocket_2x11_P1.27mm_Horizontal -Through hole angled socket strip, 2x11, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x11 1.27mm double row -0 -22 -22 -Connector_PinSocket_1.27mm -PinSocket_2x11_P1.27mm_Vertical -Through hole straight socket strip, 2x11, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x11 1.27mm double row -0 -22 -22 -Connector_PinSocket_1.27mm -PinSocket_2x11_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x11, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x11 1.27mm double row -0 -22 -22 -Connector_PinSocket_1.27mm -PinSocket_2x12_P1.27mm_Horizontal -Through hole angled socket strip, 2x12, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x12 1.27mm double row -0 -24 -24 -Connector_PinSocket_1.27mm -PinSocket_2x12_P1.27mm_Vertical -Through hole straight socket strip, 2x12, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x12 1.27mm double row -0 -24 -24 -Connector_PinSocket_1.27mm -PinSocket_2x12_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x12, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x12 1.27mm double row -0 -24 -24 -Connector_PinSocket_1.27mm -PinSocket_2x13_P1.27mm_Horizontal -Through hole angled socket strip, 2x13, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x13 1.27mm double row -0 -26 -26 -Connector_PinSocket_1.27mm -PinSocket_2x13_P1.27mm_Vertical -Through hole straight socket strip, 2x13, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x13 1.27mm double row -0 -26 -26 -Connector_PinSocket_1.27mm -PinSocket_2x13_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x13, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x13 1.27mm double row -0 -26 -26 -Connector_PinSocket_1.27mm -PinSocket_2x14_P1.27mm_Horizontal -Through hole angled socket strip, 2x14, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x14 1.27mm double row -0 -28 -28 -Connector_PinSocket_1.27mm -PinSocket_2x14_P1.27mm_Vertical -Through hole straight socket strip, 2x14, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x14 1.27mm double row -0 -28 -28 -Connector_PinSocket_1.27mm -PinSocket_2x14_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x14, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x14 1.27mm double row -0 -28 -28 -Connector_PinSocket_1.27mm -PinSocket_2x15_P1.27mm_Horizontal -Through hole angled socket strip, 2x15, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x15 1.27mm double row -0 -30 -30 -Connector_PinSocket_1.27mm -PinSocket_2x15_P1.27mm_Vertical -Through hole straight socket strip, 2x15, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x15 1.27mm double row -0 -30 -30 -Connector_PinSocket_1.27mm -PinSocket_2x15_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x15, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x15 1.27mm double row -0 -30 -30 -Connector_PinSocket_1.27mm -PinSocket_2x16_P1.27mm_Horizontal -Through hole angled socket strip, 2x16, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x16 1.27mm double row -0 -32 -32 -Connector_PinSocket_1.27mm -PinSocket_2x16_P1.27mm_Vertical -Through hole straight socket strip, 2x16, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x16 1.27mm double row -0 -32 -32 -Connector_PinSocket_1.27mm -PinSocket_2x16_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x16, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x16 1.27mm double row -0 -32 -32 -Connector_PinSocket_1.27mm -PinSocket_2x17_P1.27mm_Horizontal -Through hole angled socket strip, 2x17, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x17 1.27mm double row -0 -34 -34 -Connector_PinSocket_1.27mm -PinSocket_2x17_P1.27mm_Vertical -Through hole straight socket strip, 2x17, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x17 1.27mm double row -0 -34 -34 -Connector_PinSocket_1.27mm -PinSocket_2x17_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x17, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x17 1.27mm double row -0 -34 -34 -Connector_PinSocket_1.27mm -PinSocket_2x18_P1.27mm_Horizontal -Through hole angled socket strip, 2x18, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x18 1.27mm double row -0 -36 -36 -Connector_PinSocket_1.27mm -PinSocket_2x18_P1.27mm_Vertical -Through hole straight socket strip, 2x18, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x18 1.27mm double row -0 -36 -36 -Connector_PinSocket_1.27mm -PinSocket_2x18_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x18, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x18 1.27mm double row -0 -36 -36 -Connector_PinSocket_1.27mm -PinSocket_2x19_P1.27mm_Horizontal -Through hole angled socket strip, 2x19, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x19 1.27mm double row -0 -38 -38 -Connector_PinSocket_1.27mm -PinSocket_2x19_P1.27mm_Vertical -Through hole straight socket strip, 2x19, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x19 1.27mm double row -0 -38 -38 -Connector_PinSocket_1.27mm -PinSocket_2x19_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x19, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x19 1.27mm double row -0 -38 -38 -Connector_PinSocket_1.27mm -PinSocket_2x20_P1.27mm_Horizontal -Through hole angled socket strip, 2x20, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x20 1.27mm double row -0 -40 -40 -Connector_PinSocket_1.27mm -PinSocket_2x20_P1.27mm_Vertical -Through hole straight socket strip, 2x20, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x20 1.27mm double row -0 -40 -40 -Connector_PinSocket_1.27mm -PinSocket_2x20_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x20, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x20 1.27mm double row -0 -40 -40 -Connector_PinSocket_1.27mm -PinSocket_2x21_P1.27mm_Horizontal -Through hole angled socket strip, 2x21, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x21 1.27mm double row -0 -42 -42 -Connector_PinSocket_1.27mm -PinSocket_2x21_P1.27mm_Vertical -Through hole straight socket strip, 2x21, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x21 1.27mm double row -0 -42 -42 -Connector_PinSocket_1.27mm -PinSocket_2x21_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x21, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x21 1.27mm double row -0 -42 -42 -Connector_PinSocket_1.27mm -PinSocket_2x22_P1.27mm_Horizontal -Through hole angled socket strip, 2x22, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x22 1.27mm double row -0 -44 -44 -Connector_PinSocket_1.27mm -PinSocket_2x22_P1.27mm_Vertical -Through hole straight socket strip, 2x22, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x22 1.27mm double row -0 -44 -44 -Connector_PinSocket_1.27mm -PinSocket_2x22_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x22, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x22 1.27mm double row -0 -44 -44 -Connector_PinSocket_1.27mm -PinSocket_2x23_P1.27mm_Horizontal -Through hole angled socket strip, 2x23, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x23 1.27mm double row -0 -46 -46 -Connector_PinSocket_1.27mm -PinSocket_2x23_P1.27mm_Vertical -Through hole straight socket strip, 2x23, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x23 1.27mm double row -0 -46 -46 -Connector_PinSocket_1.27mm -PinSocket_2x23_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x23, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x23 1.27mm double row -0 -46 -46 -Connector_PinSocket_1.27mm -PinSocket_2x24_P1.27mm_Horizontal -Through hole angled socket strip, 2x24, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x24 1.27mm double row -0 -48 -48 -Connector_PinSocket_1.27mm -PinSocket_2x24_P1.27mm_Vertical -Through hole straight socket strip, 2x24, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x24 1.27mm double row -0 -48 -48 -Connector_PinSocket_1.27mm -PinSocket_2x24_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x24, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x24 1.27mm double row -0 -48 -48 -Connector_PinSocket_1.27mm -PinSocket_2x25_P1.27mm_Horizontal -Through hole angled socket strip, 2x25, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x25 1.27mm double row -0 -50 -50 -Connector_PinSocket_1.27mm -PinSocket_2x25_P1.27mm_Vertical -Through hole straight socket strip, 2x25, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x25 1.27mm double row -0 -50 -50 -Connector_PinSocket_1.27mm -PinSocket_2x25_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x25, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x25 1.27mm double row -0 -50 -50 -Connector_PinSocket_1.27mm -PinSocket_2x26_P1.27mm_Horizontal -Through hole angled socket strip, 2x26, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x26 1.27mm double row -0 -52 -52 -Connector_PinSocket_1.27mm -PinSocket_2x26_P1.27mm_Vertical -Through hole straight socket strip, 2x26, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x26 1.27mm double row -0 -52 -52 -Connector_PinSocket_1.27mm -PinSocket_2x26_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x26, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x26 1.27mm double row -0 -52 -52 -Connector_PinSocket_1.27mm -PinSocket_2x27_P1.27mm_Horizontal -Through hole angled socket strip, 2x27, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x27 1.27mm double row -0 -54 -54 -Connector_PinSocket_1.27mm -PinSocket_2x27_P1.27mm_Vertical -Through hole straight socket strip, 2x27, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x27 1.27mm double row -0 -54 -54 -Connector_PinSocket_1.27mm -PinSocket_2x27_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x27, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x27 1.27mm double row -0 -54 -54 -Connector_PinSocket_1.27mm -PinSocket_2x28_P1.27mm_Horizontal -Through hole angled socket strip, 2x28, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x28 1.27mm double row -0 -56 -56 -Connector_PinSocket_1.27mm -PinSocket_2x28_P1.27mm_Vertical -Through hole straight socket strip, 2x28, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x28 1.27mm double row -0 -56 -56 -Connector_PinSocket_1.27mm -PinSocket_2x28_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x28, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x28 1.27mm double row -0 -56 -56 -Connector_PinSocket_1.27mm -PinSocket_2x29_P1.27mm_Horizontal -Through hole angled socket strip, 2x29, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x29 1.27mm double row -0 -58 -58 -Connector_PinSocket_1.27mm -PinSocket_2x29_P1.27mm_Vertical -Through hole straight socket strip, 2x29, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x29 1.27mm double row -0 -58 -58 -Connector_PinSocket_1.27mm -PinSocket_2x29_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x29, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x29 1.27mm double row -0 -58 -58 -Connector_PinSocket_1.27mm -PinSocket_2x30_P1.27mm_Horizontal -Through hole angled socket strip, 2x30, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x30 1.27mm double row -0 -60 -60 -Connector_PinSocket_1.27mm -PinSocket_2x30_P1.27mm_Vertical -Through hole straight socket strip, 2x30, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x30 1.27mm double row -0 -60 -60 -Connector_PinSocket_1.27mm -PinSocket_2x30_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x30, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x30 1.27mm double row -0 -60 -60 -Connector_PinSocket_1.27mm -PinSocket_2x31_P1.27mm_Horizontal -Through hole angled socket strip, 2x31, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x31 1.27mm double row -0 -62 -62 -Connector_PinSocket_1.27mm -PinSocket_2x31_P1.27mm_Vertical -Through hole straight socket strip, 2x31, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x31 1.27mm double row -0 -62 -62 -Connector_PinSocket_1.27mm -PinSocket_2x31_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x31, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x31 1.27mm double row -0 -62 -62 -Connector_PinSocket_1.27mm -PinSocket_2x32_P1.27mm_Horizontal -Through hole angled socket strip, 2x32, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x32 1.27mm double row -0 -64 -64 -Connector_PinSocket_1.27mm -PinSocket_2x32_P1.27mm_Vertical -Through hole straight socket strip, 2x32, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x32 1.27mm double row -0 -64 -64 -Connector_PinSocket_1.27mm -PinSocket_2x32_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x32, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x32 1.27mm double row -0 -64 -64 -Connector_PinSocket_1.27mm -PinSocket_2x33_P1.27mm_Horizontal -Through hole angled socket strip, 2x33, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x33 1.27mm double row -0 -66 -66 -Connector_PinSocket_1.27mm -PinSocket_2x33_P1.27mm_Vertical -Through hole straight socket strip, 2x33, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x33 1.27mm double row -0 -66 -66 -Connector_PinSocket_1.27mm -PinSocket_2x33_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x33, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x33 1.27mm double row -0 -66 -66 -Connector_PinSocket_1.27mm -PinSocket_2x34_P1.27mm_Horizontal -Through hole angled socket strip, 2x34, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x34 1.27mm double row -0 -68 -68 -Connector_PinSocket_1.27mm -PinSocket_2x34_P1.27mm_Vertical -Through hole straight socket strip, 2x34, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x34 1.27mm double row -0 -68 -68 -Connector_PinSocket_1.27mm -PinSocket_2x34_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x34, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x34 1.27mm double row -0 -68 -68 -Connector_PinSocket_1.27mm -PinSocket_2x35_P1.27mm_Horizontal -Through hole angled socket strip, 2x35, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x35 1.27mm double row -0 -70 -70 -Connector_PinSocket_1.27mm -PinSocket_2x35_P1.27mm_Vertical -Through hole straight socket strip, 2x35, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x35 1.27mm double row -0 -70 -70 -Connector_PinSocket_1.27mm -PinSocket_2x35_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x35, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x35 1.27mm double row -0 -70 -70 -Connector_PinSocket_1.27mm -PinSocket_2x36_P1.27mm_Horizontal -Through hole angled socket strip, 2x36, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x36 1.27mm double row -0 -72 -72 -Connector_PinSocket_1.27mm -PinSocket_2x36_P1.27mm_Vertical -Through hole straight socket strip, 2x36, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x36 1.27mm double row -0 -72 -72 -Connector_PinSocket_1.27mm -PinSocket_2x36_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x36, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x36 1.27mm double row -0 -72 -72 -Connector_PinSocket_1.27mm -PinSocket_2x37_P1.27mm_Horizontal -Through hole angled socket strip, 2x37, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x37 1.27mm double row -0 -74 -74 -Connector_PinSocket_1.27mm -PinSocket_2x37_P1.27mm_Vertical -Through hole straight socket strip, 2x37, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x37 1.27mm double row -0 -74 -74 -Connector_PinSocket_1.27mm -PinSocket_2x37_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x37, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x37 1.27mm double row -0 -74 -74 -Connector_PinSocket_1.27mm -PinSocket_2x38_P1.27mm_Horizontal -Through hole angled socket strip, 2x38, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x38 1.27mm double row -0 -76 -76 -Connector_PinSocket_1.27mm -PinSocket_2x38_P1.27mm_Vertical -Through hole straight socket strip, 2x38, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x38 1.27mm double row -0 -76 -76 -Connector_PinSocket_1.27mm -PinSocket_2x38_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x38, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x38 1.27mm double row -0 -76 -76 -Connector_PinSocket_1.27mm -PinSocket_2x39_P1.27mm_Horizontal -Through hole angled socket strip, 2x39, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x39 1.27mm double row -0 -78 -78 -Connector_PinSocket_1.27mm -PinSocket_2x39_P1.27mm_Vertical -Through hole straight socket strip, 2x39, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x39 1.27mm double row -0 -78 -78 -Connector_PinSocket_1.27mm -PinSocket_2x39_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x39, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x39 1.27mm double row -0 -78 -78 -Connector_PinSocket_1.27mm -PinSocket_2x40_P1.27mm_Horizontal -Through hole angled socket strip, 2x40, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x40 1.27mm double row -0 -80 -80 -Connector_PinSocket_1.27mm -PinSocket_2x40_P1.27mm_Vertical -Through hole straight socket strip, 2x40, 1.27mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x40 1.27mm double row -0 -80 -80 -Connector_PinSocket_1.27mm -PinSocket_2x40_P1.27mm_Vertical_SMD -surface-mounted straight socket strip, 2x40, 1.27mm pitch, double cols (from Kicad 4.0.7!), script generated -Surface mounted socket strip SMD 2x40 1.27mm double row -0 -80 -80 -Connector_PinSocket_1.27mm -PinSocket_2x41_P1.27mm_Horizontal -Through hole angled socket strip, 2x41, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x41 1.27mm double row -0 -82 -82 -Connector_PinSocket_1.27mm -PinSocket_2x42_P1.27mm_Horizontal -Through hole angled socket strip, 2x42, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x42 1.27mm double row -0 -84 -84 -Connector_PinSocket_1.27mm -PinSocket_2x43_P1.27mm_Horizontal -Through hole angled socket strip, 2x43, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x43 1.27mm double row -0 -86 -86 -Connector_PinSocket_1.27mm -PinSocket_2x44_P1.27mm_Horizontal -Through hole angled socket strip, 2x44, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x44 1.27mm double row -0 -88 -88 -Connector_PinSocket_1.27mm -PinSocket_2x45_P1.27mm_Horizontal -Through hole angled socket strip, 2x45, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x45 1.27mm double row -0 -90 -90 -Connector_PinSocket_1.27mm -PinSocket_2x46_P1.27mm_Horizontal -Through hole angled socket strip, 2x46, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x46 1.27mm double row -0 -92 -92 -Connector_PinSocket_1.27mm -PinSocket_2x47_P1.27mm_Horizontal -Through hole angled socket strip, 2x47, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x47 1.27mm double row -0 -94 -94 -Connector_PinSocket_1.27mm -PinSocket_2x48_P1.27mm_Horizontal -Through hole angled socket strip, 2x48, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x48 1.27mm double row -0 -96 -96 -Connector_PinSocket_1.27mm -PinSocket_2x49_P1.27mm_Horizontal -Through hole angled socket strip, 2x49, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x49 1.27mm double row -0 -98 -98 -Connector_PinSocket_1.27mm -PinSocket_2x50_P1.27mm_Horizontal -Through hole angled socket strip, 2x50, 1.27mm pitch, 4.4mm socket length, double cols (https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220), script generated -Through hole angled socket strip THT 2x50 1.27mm double row -0 -100 -100 -Connector_PinSocket_2.00mm -PinSocket_1x01_P2.00mm_Horizontal -Through hole angled socket strip, 1x01, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x01 2.00mm single row -0 -1 -1 -Connector_PinSocket_2.00mm -PinSocket_1x01_P2.00mm_Vertical -Through hole straight socket strip, 1x01, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x01 2.00mm single row -0 -1 -1 -Connector_PinSocket_2.00mm -PinSocket_1x02_P2.00mm_Horizontal -Through hole angled socket strip, 1x02, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x02 2.00mm single row -0 -2 -2 -Connector_PinSocket_2.00mm -PinSocket_1x02_P2.00mm_Vertical -Through hole straight socket strip, 1x02, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x02 2.00mm single row -0 -2 -2 -Connector_PinSocket_2.00mm -PinSocket_1x02_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x02, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x02 2.00mm single row style1 pin1 left -0 -2 -2 -Connector_PinSocket_2.00mm -PinSocket_1x02_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x02, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x02 2.00mm single row style2 pin1 right -0 -2 -2 -Connector_PinSocket_2.00mm -PinSocket_1x03_P2.00mm_Horizontal -Through hole angled socket strip, 1x03, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x03 2.00mm single row -0 -3 -3 -Connector_PinSocket_2.00mm -PinSocket_1x03_P2.00mm_Vertical -Through hole straight socket strip, 1x03, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x03 2.00mm single row -0 -3 -3 -Connector_PinSocket_2.00mm -PinSocket_1x03_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x03, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x03 2.00mm single row style1 pin1 left -0 -3 -3 -Connector_PinSocket_2.00mm -PinSocket_1x03_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x03, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x03 2.00mm single row style2 pin1 right -0 -3 -3 -Connector_PinSocket_2.00mm -PinSocket_1x04_P2.00mm_Horizontal -Through hole angled socket strip, 1x04, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x04 2.00mm single row -0 -4 -4 -Connector_PinSocket_2.00mm -PinSocket_1x04_P2.00mm_Vertical -Through hole straight socket strip, 1x04, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x04 2.00mm single row -0 -4 -4 -Connector_PinSocket_2.00mm -PinSocket_1x04_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x04, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x04 2.00mm single row style1 pin1 left -0 -4 -4 -Connector_PinSocket_2.00mm -PinSocket_1x04_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x04, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x04 2.00mm single row style2 pin1 right -0 -4 -4 -Connector_PinSocket_2.00mm -PinSocket_1x05_P2.00mm_Horizontal -Through hole angled socket strip, 1x05, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x05 2.00mm single row -0 -5 -5 -Connector_PinSocket_2.00mm -PinSocket_1x05_P2.00mm_Vertical -Through hole straight socket strip, 1x05, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x05 2.00mm single row -0 -5 -5 -Connector_PinSocket_2.00mm -PinSocket_1x05_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x05, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x05 2.00mm single row style1 pin1 left -0 -5 -5 -Connector_PinSocket_2.00mm -PinSocket_1x05_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x05, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x05 2.00mm single row style2 pin1 right -0 -5 -5 -Connector_PinSocket_2.00mm -PinSocket_1x06_P2.00mm_Horizontal -Through hole angled socket strip, 1x06, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x06 2.00mm single row -0 -6 -6 -Connector_PinSocket_2.00mm -PinSocket_1x06_P2.00mm_Vertical -Through hole straight socket strip, 1x06, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x06 2.00mm single row -0 -6 -6 -Connector_PinSocket_2.00mm -PinSocket_1x06_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x06, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x06 2.00mm single row style1 pin1 left -0 -6 -6 -Connector_PinSocket_2.00mm -PinSocket_1x06_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x06, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x06 2.00mm single row style2 pin1 right -0 -6 -6 -Connector_PinSocket_2.00mm -PinSocket_1x07_P2.00mm_Horizontal -Through hole angled socket strip, 1x07, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x07 2.00mm single row -0 -7 -7 -Connector_PinSocket_2.00mm -PinSocket_1x07_P2.00mm_Vertical -Through hole straight socket strip, 1x07, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x07 2.00mm single row -0 -7 -7 -Connector_PinSocket_2.00mm -PinSocket_1x07_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x07, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x07 2.00mm single row style1 pin1 left -0 -7 -7 -Connector_PinSocket_2.00mm -PinSocket_1x07_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x07, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x07 2.00mm single row style2 pin1 right -0 -7 -7 -Connector_PinSocket_2.00mm -PinSocket_1x08_P2.00mm_Horizontal -Through hole angled socket strip, 1x08, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x08 2.00mm single row -0 -8 -8 -Connector_PinSocket_2.00mm -PinSocket_1x08_P2.00mm_Vertical -Through hole straight socket strip, 1x08, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x08 2.00mm single row -0 -8 -8 -Connector_PinSocket_2.00mm -PinSocket_1x08_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x08, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x08 2.00mm single row style1 pin1 left -0 -8 -8 -Connector_PinSocket_2.00mm -PinSocket_1x08_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x08, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x08 2.00mm single row style2 pin1 right -0 -8 -8 -Connector_PinSocket_2.00mm -PinSocket_1x09_P2.00mm_Horizontal -Through hole angled socket strip, 1x09, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x09 2.00mm single row -0 -9 -9 -Connector_PinSocket_2.00mm -PinSocket_1x09_P2.00mm_Vertical -Through hole straight socket strip, 1x09, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x09 2.00mm single row -0 -9 -9 -Connector_PinSocket_2.00mm -PinSocket_1x09_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x09, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x09 2.00mm single row style1 pin1 left -0 -9 -9 -Connector_PinSocket_2.00mm -PinSocket_1x09_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x09, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x09 2.00mm single row style2 pin1 right -0 -9 -9 -Connector_PinSocket_2.00mm -PinSocket_1x10_P2.00mm_Horizontal -Through hole angled socket strip, 1x10, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x10 2.00mm single row -0 -10 -10 -Connector_PinSocket_2.00mm -PinSocket_1x10_P2.00mm_Vertical -Through hole straight socket strip, 1x10, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x10 2.00mm single row -0 -10 -10 -Connector_PinSocket_2.00mm -PinSocket_1x10_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x10, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x10 2.00mm single row style1 pin1 left -0 -10 -10 -Connector_PinSocket_2.00mm -PinSocket_1x10_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x10, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x10 2.00mm single row style2 pin1 right -0 -10 -10 -Connector_PinSocket_2.00mm -PinSocket_1x11_P2.00mm_Horizontal -Through hole angled socket strip, 1x11, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x11 2.00mm single row -0 -11 -11 -Connector_PinSocket_2.00mm -PinSocket_1x11_P2.00mm_Vertical -Through hole straight socket strip, 1x11, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x11 2.00mm single row -0 -11 -11 -Connector_PinSocket_2.00mm -PinSocket_1x11_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x11, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x11 2.00mm single row style1 pin1 left -0 -11 -11 -Connector_PinSocket_2.00mm -PinSocket_1x11_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x11, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x11 2.00mm single row style2 pin1 right -0 -11 -11 -Connector_PinSocket_2.00mm -PinSocket_1x12_P2.00mm_Horizontal -Through hole angled socket strip, 1x12, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x12 2.00mm single row -0 -12 -12 -Connector_PinSocket_2.00mm -PinSocket_1x12_P2.00mm_Vertical -Through hole straight socket strip, 1x12, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x12 2.00mm single row -0 -12 -12 -Connector_PinSocket_2.00mm -PinSocket_1x12_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x12, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x12 2.00mm single row style1 pin1 left -0 -12 -12 -Connector_PinSocket_2.00mm -PinSocket_1x12_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x12, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x12 2.00mm single row style2 pin1 right -0 -12 -12 -Connector_PinSocket_2.00mm -PinSocket_1x13_P2.00mm_Horizontal -Through hole angled socket strip, 1x13, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x13 2.00mm single row -0 -13 -13 -Connector_PinSocket_2.00mm -PinSocket_1x13_P2.00mm_Vertical -Through hole straight socket strip, 1x13, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x13 2.00mm single row -0 -13 -13 -Connector_PinSocket_2.00mm -PinSocket_1x13_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x13, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x13 2.00mm single row style1 pin1 left -0 -13 -13 -Connector_PinSocket_2.00mm -PinSocket_1x13_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x13, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x13 2.00mm single row style2 pin1 right -0 -13 -13 -Connector_PinSocket_2.00mm -PinSocket_1x14_P2.00mm_Horizontal -Through hole angled socket strip, 1x14, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x14 2.00mm single row -0 -14 -14 -Connector_PinSocket_2.00mm -PinSocket_1x14_P2.00mm_Vertical -Through hole straight socket strip, 1x14, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x14 2.00mm single row -0 -14 -14 -Connector_PinSocket_2.00mm -PinSocket_1x14_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x14, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x14 2.00mm single row style1 pin1 left -0 -14 -14 -Connector_PinSocket_2.00mm -PinSocket_1x14_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x14, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x14 2.00mm single row style2 pin1 right -0 -14 -14 -Connector_PinSocket_2.00mm -PinSocket_1x15_P2.00mm_Horizontal -Through hole angled socket strip, 1x15, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x15 2.00mm single row -0 -15 -15 -Connector_PinSocket_2.00mm -PinSocket_1x15_P2.00mm_Vertical -Through hole straight socket strip, 1x15, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x15 2.00mm single row -0 -15 -15 -Connector_PinSocket_2.00mm -PinSocket_1x15_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x15, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x15 2.00mm single row style1 pin1 left -0 -15 -15 -Connector_PinSocket_2.00mm -PinSocket_1x15_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x15, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x15 2.00mm single row style2 pin1 right -0 -15 -15 -Connector_PinSocket_2.00mm -PinSocket_1x16_P2.00mm_Horizontal -Through hole angled socket strip, 1x16, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x16 2.00mm single row -0 -16 -16 -Connector_PinSocket_2.00mm -PinSocket_1x16_P2.00mm_Vertical -Through hole straight socket strip, 1x16, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x16 2.00mm single row -0 -16 -16 -Connector_PinSocket_2.00mm -PinSocket_1x16_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x16, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x16 2.00mm single row style1 pin1 left -0 -16 -16 -Connector_PinSocket_2.00mm -PinSocket_1x16_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x16, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x16 2.00mm single row style2 pin1 right -0 -16 -16 -Connector_PinSocket_2.00mm -PinSocket_1x17_P2.00mm_Horizontal -Through hole angled socket strip, 1x17, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x17 2.00mm single row -0 -17 -17 -Connector_PinSocket_2.00mm -PinSocket_1x17_P2.00mm_Vertical -Through hole straight socket strip, 1x17, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x17 2.00mm single row -0 -17 -17 -Connector_PinSocket_2.00mm -PinSocket_1x17_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x17, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x17 2.00mm single row style1 pin1 left -0 -17 -17 -Connector_PinSocket_2.00mm -PinSocket_1x17_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x17, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x17 2.00mm single row style2 pin1 right -0 -17 -17 -Connector_PinSocket_2.00mm -PinSocket_1x18_P2.00mm_Horizontal -Through hole angled socket strip, 1x18, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x18 2.00mm single row -0 -18 -18 -Connector_PinSocket_2.00mm -PinSocket_1x18_P2.00mm_Vertical -Through hole straight socket strip, 1x18, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x18 2.00mm single row -0 -18 -18 -Connector_PinSocket_2.00mm -PinSocket_1x18_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x18, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x18 2.00mm single row style1 pin1 left -0 -18 -18 -Connector_PinSocket_2.00mm -PinSocket_1x18_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x18, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x18 2.00mm single row style2 pin1 right -0 -18 -18 -Connector_PinSocket_2.00mm -PinSocket_1x19_P2.00mm_Horizontal -Through hole angled socket strip, 1x19, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x19 2.00mm single row -0 -19 -19 -Connector_PinSocket_2.00mm -PinSocket_1x19_P2.00mm_Vertical -Through hole straight socket strip, 1x19, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x19 2.00mm single row -0 -19 -19 -Connector_PinSocket_2.00mm -PinSocket_1x19_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x19, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x19 2.00mm single row style1 pin1 left -0 -19 -19 -Connector_PinSocket_2.00mm -PinSocket_1x19_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x19, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x19 2.00mm single row style2 pin1 right -0 -19 -19 -Connector_PinSocket_2.00mm -PinSocket_1x20_P2.00mm_Horizontal -Through hole angled socket strip, 1x20, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x20 2.00mm single row -0 -20 -20 -Connector_PinSocket_2.00mm -PinSocket_1x20_P2.00mm_Vertical -Through hole straight socket strip, 1x20, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x20 2.00mm single row -0 -20 -20 -Connector_PinSocket_2.00mm -PinSocket_1x20_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x20, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x20 2.00mm single row style1 pin1 left -0 -20 -20 -Connector_PinSocket_2.00mm -PinSocket_1x20_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x20, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x20 2.00mm single row style2 pin1 right -0 -20 -20 -Connector_PinSocket_2.00mm -PinSocket_1x21_P2.00mm_Horizontal -Through hole angled socket strip, 1x21, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x21 2.00mm single row -0 -21 -21 -Connector_PinSocket_2.00mm -PinSocket_1x21_P2.00mm_Vertical -Through hole straight socket strip, 1x21, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x21 2.00mm single row -0 -21 -21 -Connector_PinSocket_2.00mm -PinSocket_1x21_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x21, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x21 2.00mm single row style1 pin1 left -0 -21 -21 -Connector_PinSocket_2.00mm -PinSocket_1x21_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x21, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x21 2.00mm single row style2 pin1 right -0 -21 -21 -Connector_PinSocket_2.00mm -PinSocket_1x22_P2.00mm_Horizontal -Through hole angled socket strip, 1x22, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x22 2.00mm single row -0 -22 -22 -Connector_PinSocket_2.00mm -PinSocket_1x22_P2.00mm_Vertical -Through hole straight socket strip, 1x22, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x22 2.00mm single row -0 -22 -22 -Connector_PinSocket_2.00mm -PinSocket_1x22_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x22, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x22 2.00mm single row style1 pin1 left -0 -22 -22 -Connector_PinSocket_2.00mm -PinSocket_1x22_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x22, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x22 2.00mm single row style2 pin1 right -0 -22 -22 -Connector_PinSocket_2.00mm -PinSocket_1x23_P2.00mm_Horizontal -Through hole angled socket strip, 1x23, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x23 2.00mm single row -0 -23 -23 -Connector_PinSocket_2.00mm -PinSocket_1x23_P2.00mm_Vertical -Through hole straight socket strip, 1x23, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x23 2.00mm single row -0 -23 -23 -Connector_PinSocket_2.00mm -PinSocket_1x23_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x23, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x23 2.00mm single row style1 pin1 left -0 -23 -23 -Connector_PinSocket_2.00mm -PinSocket_1x23_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x23, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x23 2.00mm single row style2 pin1 right -0 -23 -23 -Connector_PinSocket_2.00mm -PinSocket_1x24_P2.00mm_Horizontal -Through hole angled socket strip, 1x24, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x24 2.00mm single row -0 -24 -24 -Connector_PinSocket_2.00mm -PinSocket_1x24_P2.00mm_Vertical -Through hole straight socket strip, 1x24, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x24 2.00mm single row -0 -24 -24 -Connector_PinSocket_2.00mm -PinSocket_1x24_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x24, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x24 2.00mm single row style1 pin1 left -0 -24 -24 -Connector_PinSocket_2.00mm -PinSocket_1x24_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x24, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x24 2.00mm single row style2 pin1 right -0 -24 -24 -Connector_PinSocket_2.00mm -PinSocket_1x25_P2.00mm_Horizontal -Through hole angled socket strip, 1x25, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x25 2.00mm single row -0 -25 -25 -Connector_PinSocket_2.00mm -PinSocket_1x25_P2.00mm_Vertical -Through hole straight socket strip, 1x25, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x25 2.00mm single row -0 -25 -25 -Connector_PinSocket_2.00mm -PinSocket_1x25_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x25, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x25 2.00mm single row style1 pin1 left -0 -25 -25 -Connector_PinSocket_2.00mm -PinSocket_1x25_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x25, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x25 2.00mm single row style2 pin1 right -0 -25 -25 -Connector_PinSocket_2.00mm -PinSocket_1x26_P2.00mm_Horizontal -Through hole angled socket strip, 1x26, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x26 2.00mm single row -0 -26 -26 -Connector_PinSocket_2.00mm -PinSocket_1x26_P2.00mm_Vertical -Through hole straight socket strip, 1x26, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x26 2.00mm single row -0 -26 -26 -Connector_PinSocket_2.00mm -PinSocket_1x26_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x26, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x26 2.00mm single row style1 pin1 left -0 -26 -26 -Connector_PinSocket_2.00mm -PinSocket_1x26_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x26, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x26 2.00mm single row style2 pin1 right -0 -26 -26 -Connector_PinSocket_2.00mm -PinSocket_1x27_P2.00mm_Horizontal -Through hole angled socket strip, 1x27, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x27 2.00mm single row -0 -27 -27 -Connector_PinSocket_2.00mm -PinSocket_1x27_P2.00mm_Vertical -Through hole straight socket strip, 1x27, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x27 2.00mm single row -0 -27 -27 -Connector_PinSocket_2.00mm -PinSocket_1x27_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x27, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x27 2.00mm single row style1 pin1 left -0 -27 -27 -Connector_PinSocket_2.00mm -PinSocket_1x27_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x27, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x27 2.00mm single row style2 pin1 right -0 -27 -27 -Connector_PinSocket_2.00mm -PinSocket_1x28_P2.00mm_Horizontal -Through hole angled socket strip, 1x28, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x28 2.00mm single row -0 -28 -28 -Connector_PinSocket_2.00mm -PinSocket_1x28_P2.00mm_Vertical -Through hole straight socket strip, 1x28, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x28 2.00mm single row -0 -28 -28 -Connector_PinSocket_2.00mm -PinSocket_1x28_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x28, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x28 2.00mm single row style1 pin1 left -0 -28 -28 -Connector_PinSocket_2.00mm -PinSocket_1x28_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x28, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x28 2.00mm single row style2 pin1 right -0 -28 -28 -Connector_PinSocket_2.00mm -PinSocket_1x29_P2.00mm_Horizontal -Through hole angled socket strip, 1x29, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x29 2.00mm single row -0 -29 -29 -Connector_PinSocket_2.00mm -PinSocket_1x29_P2.00mm_Vertical -Through hole straight socket strip, 1x29, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x29 2.00mm single row -0 -29 -29 -Connector_PinSocket_2.00mm -PinSocket_1x29_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x29, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x29 2.00mm single row style1 pin1 left -0 -29 -29 -Connector_PinSocket_2.00mm -PinSocket_1x29_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x29, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x29 2.00mm single row style2 pin1 right -0 -29 -29 -Connector_PinSocket_2.00mm -PinSocket_1x30_P2.00mm_Horizontal -Through hole angled socket strip, 1x30, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x30 2.00mm single row -0 -30 -30 -Connector_PinSocket_2.00mm -PinSocket_1x30_P2.00mm_Vertical -Through hole straight socket strip, 1x30, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x30 2.00mm single row -0 -30 -30 -Connector_PinSocket_2.00mm -PinSocket_1x30_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x30, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x30 2.00mm single row style1 pin1 left -0 -30 -30 -Connector_PinSocket_2.00mm -PinSocket_1x30_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x30, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x30 2.00mm single row style2 pin1 right -0 -30 -30 -Connector_PinSocket_2.00mm -PinSocket_1x31_P2.00mm_Horizontal -Through hole angled socket strip, 1x31, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x31 2.00mm single row -0 -31 -31 -Connector_PinSocket_2.00mm -PinSocket_1x31_P2.00mm_Vertical -Through hole straight socket strip, 1x31, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x31 2.00mm single row -0 -31 -31 -Connector_PinSocket_2.00mm -PinSocket_1x31_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x31, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x31 2.00mm single row style1 pin1 left -0 -31 -31 -Connector_PinSocket_2.00mm -PinSocket_1x31_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x31, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x31 2.00mm single row style2 pin1 right -0 -31 -31 -Connector_PinSocket_2.00mm -PinSocket_1x32_P2.00mm_Horizontal -Through hole angled socket strip, 1x32, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x32 2.00mm single row -0 -32 -32 -Connector_PinSocket_2.00mm -PinSocket_1x32_P2.00mm_Vertical -Through hole straight socket strip, 1x32, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x32 2.00mm single row -0 -32 -32 -Connector_PinSocket_2.00mm -PinSocket_1x32_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x32, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x32 2.00mm single row style1 pin1 left -0 -32 -32 -Connector_PinSocket_2.00mm -PinSocket_1x32_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x32, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x32 2.00mm single row style2 pin1 right -0 -32 -32 -Connector_PinSocket_2.00mm -PinSocket_1x33_P2.00mm_Horizontal -Through hole angled socket strip, 1x33, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x33 2.00mm single row -0 -33 -33 -Connector_PinSocket_2.00mm -PinSocket_1x33_P2.00mm_Vertical -Through hole straight socket strip, 1x33, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x33 2.00mm single row -0 -33 -33 -Connector_PinSocket_2.00mm -PinSocket_1x33_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x33, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x33 2.00mm single row style1 pin1 left -0 -33 -33 -Connector_PinSocket_2.00mm -PinSocket_1x33_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x33, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x33 2.00mm single row style2 pin1 right -0 -33 -33 -Connector_PinSocket_2.00mm -PinSocket_1x34_P2.00mm_Horizontal -Through hole angled socket strip, 1x34, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x34 2.00mm single row -0 -34 -34 -Connector_PinSocket_2.00mm -PinSocket_1x34_P2.00mm_Vertical -Through hole straight socket strip, 1x34, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x34 2.00mm single row -0 -34 -34 -Connector_PinSocket_2.00mm -PinSocket_1x34_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x34, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x34 2.00mm single row style1 pin1 left -0 -34 -34 -Connector_PinSocket_2.00mm -PinSocket_1x34_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x34, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x34 2.00mm single row style2 pin1 right -0 -34 -34 -Connector_PinSocket_2.00mm -PinSocket_1x35_P2.00mm_Horizontal -Through hole angled socket strip, 1x35, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x35 2.00mm single row -0 -35 -35 -Connector_PinSocket_2.00mm -PinSocket_1x35_P2.00mm_Vertical -Through hole straight socket strip, 1x35, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x35 2.00mm single row -0 -35 -35 -Connector_PinSocket_2.00mm -PinSocket_1x35_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x35, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x35 2.00mm single row style1 pin1 left -0 -35 -35 -Connector_PinSocket_2.00mm -PinSocket_1x35_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x35, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x35 2.00mm single row style2 pin1 right -0 -35 -35 -Connector_PinSocket_2.00mm -PinSocket_1x36_P2.00mm_Horizontal -Through hole angled socket strip, 1x36, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x36 2.00mm single row -0 -36 -36 -Connector_PinSocket_2.00mm -PinSocket_1x36_P2.00mm_Vertical -Through hole straight socket strip, 1x36, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x36 2.00mm single row -0 -36 -36 -Connector_PinSocket_2.00mm -PinSocket_1x36_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x36, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x36 2.00mm single row style1 pin1 left -0 -36 -36 -Connector_PinSocket_2.00mm -PinSocket_1x36_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x36, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x36 2.00mm single row style2 pin1 right -0 -36 -36 -Connector_PinSocket_2.00mm -PinSocket_1x37_P2.00mm_Horizontal -Through hole angled socket strip, 1x37, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x37 2.00mm single row -0 -37 -37 -Connector_PinSocket_2.00mm -PinSocket_1x37_P2.00mm_Vertical -Through hole straight socket strip, 1x37, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x37 2.00mm single row -0 -37 -37 -Connector_PinSocket_2.00mm -PinSocket_1x37_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x37, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x37 2.00mm single row style1 pin1 left -0 -37 -37 -Connector_PinSocket_2.00mm -PinSocket_1x37_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x37, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x37 2.00mm single row style2 pin1 right -0 -37 -37 -Connector_PinSocket_2.00mm -PinSocket_1x38_P2.00mm_Horizontal -Through hole angled socket strip, 1x38, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x38 2.00mm single row -0 -38 -38 -Connector_PinSocket_2.00mm -PinSocket_1x38_P2.00mm_Vertical -Through hole straight socket strip, 1x38, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x38 2.00mm single row -0 -38 -38 -Connector_PinSocket_2.00mm -PinSocket_1x38_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x38, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x38 2.00mm single row style1 pin1 left -0 -38 -38 -Connector_PinSocket_2.00mm -PinSocket_1x38_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x38, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x38 2.00mm single row style2 pin1 right -0 -38 -38 -Connector_PinSocket_2.00mm -PinSocket_1x39_P2.00mm_Horizontal -Through hole angled socket strip, 1x39, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x39 2.00mm single row -0 -39 -39 -Connector_PinSocket_2.00mm -PinSocket_1x39_P2.00mm_Vertical -Through hole straight socket strip, 1x39, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x39 2.00mm single row -0 -39 -39 -Connector_PinSocket_2.00mm -PinSocket_1x39_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x39, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x39 2.00mm single row style1 pin1 left -0 -39 -39 -Connector_PinSocket_2.00mm -PinSocket_1x39_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x39, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x39 2.00mm single row style2 pin1 right -0 -39 -39 -Connector_PinSocket_2.00mm -PinSocket_1x40_P2.00mm_Horizontal -Through hole angled socket strip, 1x40, 2.00mm pitch, 6.35mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x40 2.00mm single row -0 -40 -40 -Connector_PinSocket_2.00mm -PinSocket_1x40_P2.00mm_Vertical -Through hole straight socket strip, 1x40, 2.00mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x40 2.00mm single row -0 -40 -40 -Connector_PinSocket_2.00mm -PinSocket_1x40_P2.00mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x40, 2.00mm pitch, single row, style 1 (pin 1 left) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x40 2.00mm single row style1 pin1 left -0 -40 -40 -Connector_PinSocket_2.00mm -PinSocket_1x40_P2.00mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x40, 2.00mm pitch, single row, style 2 (pin 1 right) (https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf), script generated -Surface mounted socket strip SMD 1x40 2.00mm single row style2 pin1 right -0 -40 -40 -Connector_PinSocket_2.00mm -PinSocket_2x01_P2.00mm_Horizontal -Through hole angled socket strip, 2x01, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x01 2.00mm double row -0 -2 -2 -Connector_PinSocket_2.00mm -PinSocket_2x01_P2.00mm_Vertical -Through hole straight socket strip, 2x01, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x01 2.00mm double row -0 -2 -2 -Connector_PinSocket_2.00mm -PinSocket_2x01_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x01, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x01 2.00mm double row -0 -2 -2 -Connector_PinSocket_2.00mm -PinSocket_2x02_P2.00mm_Horizontal -Through hole angled socket strip, 2x02, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x02 2.00mm double row -0 -4 -4 -Connector_PinSocket_2.00mm -PinSocket_2x02_P2.00mm_Vertical -Through hole straight socket strip, 2x02, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x02 2.00mm double row -0 -4 -4 -Connector_PinSocket_2.00mm -PinSocket_2x02_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x02, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x02 2.00mm double row -0 -4 -4 -Connector_PinSocket_2.00mm -PinSocket_2x03_P2.00mm_Horizontal -Through hole angled socket strip, 2x03, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x03 2.00mm double row -0 -6 -6 -Connector_PinSocket_2.00mm -PinSocket_2x03_P2.00mm_Vertical -Through hole straight socket strip, 2x03, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x03 2.00mm double row -0 -6 -6 -Connector_PinSocket_2.00mm -PinSocket_2x03_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x03, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x03 2.00mm double row -0 -6 -6 -Connector_PinSocket_2.00mm -PinSocket_2x04_P2.00mm_Horizontal -Through hole angled socket strip, 2x04, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x04 2.00mm double row -0 -8 -8 -Connector_PinSocket_2.00mm -PinSocket_2x04_P2.00mm_Vertical -Through hole straight socket strip, 2x04, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x04 2.00mm double row -0 -8 -8 -Connector_PinSocket_2.00mm -PinSocket_2x04_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x04, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x04 2.00mm double row -0 -8 -8 -Connector_PinSocket_2.00mm -PinSocket_2x05_P2.00mm_Horizontal -Through hole angled socket strip, 2x05, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x05 2.00mm double row -0 -10 -10 -Connector_PinSocket_2.00mm -PinSocket_2x05_P2.00mm_Vertical -Through hole straight socket strip, 2x05, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x05 2.00mm double row -0 -10 -10 -Connector_PinSocket_2.00mm -PinSocket_2x05_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x05, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x05 2.00mm double row -0 -10 -10 -Connector_PinSocket_2.00mm -PinSocket_2x06_P2.00mm_Horizontal -Through hole angled socket strip, 2x06, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x06 2.00mm double row -0 -12 -12 -Connector_PinSocket_2.00mm -PinSocket_2x06_P2.00mm_Vertical -Through hole straight socket strip, 2x06, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x06 2.00mm double row -0 -12 -12 -Connector_PinSocket_2.00mm -PinSocket_2x06_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x06, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x06 2.00mm double row -0 -12 -12 -Connector_PinSocket_2.00mm -PinSocket_2x07_P2.00mm_Horizontal -Through hole angled socket strip, 2x07, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x07 2.00mm double row -0 -14 -14 -Connector_PinSocket_2.00mm -PinSocket_2x07_P2.00mm_Vertical -Through hole straight socket strip, 2x07, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x07 2.00mm double row -0 -14 -14 -Connector_PinSocket_2.00mm -PinSocket_2x07_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x07, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x07 2.00mm double row -0 -14 -14 -Connector_PinSocket_2.00mm -PinSocket_2x08_P2.00mm_Horizontal -Through hole angled socket strip, 2x08, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x08 2.00mm double row -0 -16 -16 -Connector_PinSocket_2.00mm -PinSocket_2x08_P2.00mm_Vertical -Through hole straight socket strip, 2x08, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x08 2.00mm double row -0 -16 -16 -Connector_PinSocket_2.00mm -PinSocket_2x08_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x08, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x08 2.00mm double row -0 -16 -16 -Connector_PinSocket_2.00mm -PinSocket_2x09_P2.00mm_Horizontal -Through hole angled socket strip, 2x09, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x09 2.00mm double row -0 -18 -18 -Connector_PinSocket_2.00mm -PinSocket_2x09_P2.00mm_Vertical -Through hole straight socket strip, 2x09, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x09 2.00mm double row -0 -18 -18 -Connector_PinSocket_2.00mm -PinSocket_2x09_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x09, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x09 2.00mm double row -0 -18 -18 -Connector_PinSocket_2.00mm -PinSocket_2x10_P2.00mm_Horizontal -Through hole angled socket strip, 2x10, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x10 2.00mm double row -0 -20 -20 -Connector_PinSocket_2.00mm -PinSocket_2x10_P2.00mm_Vertical -Through hole straight socket strip, 2x10, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x10 2.00mm double row -0 -20 -20 -Connector_PinSocket_2.00mm -PinSocket_2x10_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x10, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x10 2.00mm double row -0 -20 -20 -Connector_PinSocket_2.00mm -PinSocket_2x11_P2.00mm_Horizontal -Through hole angled socket strip, 2x11, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x11 2.00mm double row -0 -22 -22 -Connector_PinSocket_2.00mm -PinSocket_2x11_P2.00mm_Vertical -Through hole straight socket strip, 2x11, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x11 2.00mm double row -0 -22 -22 -Connector_PinSocket_2.00mm -PinSocket_2x11_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x11, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x11 2.00mm double row -0 -22 -22 -Connector_PinSocket_2.00mm -PinSocket_2x12_P2.00mm_Horizontal -Through hole angled socket strip, 2x12, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x12 2.00mm double row -0 -24 -24 -Connector_PinSocket_2.00mm -PinSocket_2x12_P2.00mm_Vertical -Through hole straight socket strip, 2x12, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x12 2.00mm double row -0 -24 -24 -Connector_PinSocket_2.00mm -PinSocket_2x12_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x12, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x12 2.00mm double row -0 -24 -24 -Connector_PinSocket_2.00mm -PinSocket_2x13_P2.00mm_Horizontal -Through hole angled socket strip, 2x13, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x13 2.00mm double row -0 -26 -26 -Connector_PinSocket_2.00mm -PinSocket_2x13_P2.00mm_Vertical -Through hole straight socket strip, 2x13, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x13 2.00mm double row -0 -26 -26 -Connector_PinSocket_2.00mm -PinSocket_2x13_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x13, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x13 2.00mm double row -0 -26 -26 -Connector_PinSocket_2.00mm -PinSocket_2x14_P2.00mm_Horizontal -Through hole angled socket strip, 2x14, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x14 2.00mm double row -0 -28 -28 -Connector_PinSocket_2.00mm -PinSocket_2x14_P2.00mm_Vertical -Through hole straight socket strip, 2x14, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x14 2.00mm double row -0 -28 -28 -Connector_PinSocket_2.00mm -PinSocket_2x14_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x14, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x14 2.00mm double row -0 -28 -28 -Connector_PinSocket_2.00mm -PinSocket_2x15_P2.00mm_Horizontal -Through hole angled socket strip, 2x15, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x15 2.00mm double row -0 -30 -30 -Connector_PinSocket_2.00mm -PinSocket_2x15_P2.00mm_Vertical -Through hole straight socket strip, 2x15, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x15 2.00mm double row -0 -30 -30 -Connector_PinSocket_2.00mm -PinSocket_2x15_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x15, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x15 2.00mm double row -0 -30 -30 -Connector_PinSocket_2.00mm -PinSocket_2x16_P2.00mm_Horizontal -Through hole angled socket strip, 2x16, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x16 2.00mm double row -0 -32 -32 -Connector_PinSocket_2.00mm -PinSocket_2x16_P2.00mm_Vertical -Through hole straight socket strip, 2x16, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x16 2.00mm double row -0 -32 -32 -Connector_PinSocket_2.00mm -PinSocket_2x16_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x16, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x16 2.00mm double row -0 -32 -32 -Connector_PinSocket_2.00mm -PinSocket_2x17_P2.00mm_Horizontal -Through hole angled socket strip, 2x17, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x17 2.00mm double row -0 -34 -34 -Connector_PinSocket_2.00mm -PinSocket_2x17_P2.00mm_Vertical -Through hole straight socket strip, 2x17, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x17 2.00mm double row -0 -34 -34 -Connector_PinSocket_2.00mm -PinSocket_2x17_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x17, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x17 2.00mm double row -0 -34 -34 -Connector_PinSocket_2.00mm -PinSocket_2x18_P2.00mm_Horizontal -Through hole angled socket strip, 2x18, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x18 2.00mm double row -0 -36 -36 -Connector_PinSocket_2.00mm -PinSocket_2x18_P2.00mm_Vertical -Through hole straight socket strip, 2x18, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x18 2.00mm double row -0 -36 -36 -Connector_PinSocket_2.00mm -PinSocket_2x18_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x18, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x18 2.00mm double row -0 -36 -36 -Connector_PinSocket_2.00mm -PinSocket_2x19_P2.00mm_Horizontal -Through hole angled socket strip, 2x19, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x19 2.00mm double row -0 -38 -38 -Connector_PinSocket_2.00mm -PinSocket_2x19_P2.00mm_Vertical -Through hole straight socket strip, 2x19, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x19 2.00mm double row -0 -38 -38 -Connector_PinSocket_2.00mm -PinSocket_2x19_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x19, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x19 2.00mm double row -0 -38 -38 -Connector_PinSocket_2.00mm -PinSocket_2x20_P2.00mm_Horizontal -Through hole angled socket strip, 2x20, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x20 2.00mm double row -0 -40 -40 -Connector_PinSocket_2.00mm -PinSocket_2x20_P2.00mm_Vertical -Through hole straight socket strip, 2x20, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x20 2.00mm double row -0 -40 -40 -Connector_PinSocket_2.00mm -PinSocket_2x20_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x20, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x20 2.00mm double row -0 -40 -40 -Connector_PinSocket_2.00mm -PinSocket_2x21_P2.00mm_Horizontal -Through hole angled socket strip, 2x21, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x21 2.00mm double row -0 -42 -42 -Connector_PinSocket_2.00mm -PinSocket_2x21_P2.00mm_Vertical -Through hole straight socket strip, 2x21, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x21 2.00mm double row -0 -42 -42 -Connector_PinSocket_2.00mm -PinSocket_2x21_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x21, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x21 2.00mm double row -0 -42 -42 -Connector_PinSocket_2.00mm -PinSocket_2x22_P2.00mm_Horizontal -Through hole angled socket strip, 2x22, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x22 2.00mm double row -0 -44 -44 -Connector_PinSocket_2.00mm -PinSocket_2x22_P2.00mm_Vertical -Through hole straight socket strip, 2x22, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x22 2.00mm double row -0 -44 -44 -Connector_PinSocket_2.00mm -PinSocket_2x22_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x22, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x22 2.00mm double row -0 -44 -44 -Connector_PinSocket_2.00mm -PinSocket_2x23_P2.00mm_Horizontal -Through hole angled socket strip, 2x23, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x23 2.00mm double row -0 -46 -46 -Connector_PinSocket_2.00mm -PinSocket_2x23_P2.00mm_Vertical -Through hole straight socket strip, 2x23, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x23 2.00mm double row -0 -46 -46 -Connector_PinSocket_2.00mm -PinSocket_2x23_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x23, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x23 2.00mm double row -0 -46 -46 -Connector_PinSocket_2.00mm -PinSocket_2x24_P2.00mm_Horizontal -Through hole angled socket strip, 2x24, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x24 2.00mm double row -0 -48 -48 -Connector_PinSocket_2.00mm -PinSocket_2x24_P2.00mm_Vertical -Through hole straight socket strip, 2x24, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x24 2.00mm double row -0 -48 -48 -Connector_PinSocket_2.00mm -PinSocket_2x24_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x24, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x24 2.00mm double row -0 -48 -48 -Connector_PinSocket_2.00mm -PinSocket_2x25_P2.00mm_Horizontal -Through hole angled socket strip, 2x25, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x25 2.00mm double row -0 -50 -50 -Connector_PinSocket_2.00mm -PinSocket_2x25_P2.00mm_Vertical -Through hole straight socket strip, 2x25, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x25 2.00mm double row -0 -50 -50 -Connector_PinSocket_2.00mm -PinSocket_2x25_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x25, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x25 2.00mm double row -0 -50 -50 -Connector_PinSocket_2.00mm -PinSocket_2x26_P2.00mm_Horizontal -Through hole angled socket strip, 2x26, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x26 2.00mm double row -0 -52 -52 -Connector_PinSocket_2.00mm -PinSocket_2x26_P2.00mm_Vertical -Through hole straight socket strip, 2x26, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x26 2.00mm double row -0 -52 -52 -Connector_PinSocket_2.00mm -PinSocket_2x26_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x26, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x26 2.00mm double row -0 -52 -52 -Connector_PinSocket_2.00mm -PinSocket_2x27_P2.00mm_Horizontal -Through hole angled socket strip, 2x27, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x27 2.00mm double row -0 -54 -54 -Connector_PinSocket_2.00mm -PinSocket_2x27_P2.00mm_Vertical -Through hole straight socket strip, 2x27, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x27 2.00mm double row -0 -54 -54 -Connector_PinSocket_2.00mm -PinSocket_2x27_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x27, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x27 2.00mm double row -0 -54 -54 -Connector_PinSocket_2.00mm -PinSocket_2x28_P2.00mm_Horizontal -Through hole angled socket strip, 2x28, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x28 2.00mm double row -0 -56 -56 -Connector_PinSocket_2.00mm -PinSocket_2x28_P2.00mm_Vertical -Through hole straight socket strip, 2x28, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x28 2.00mm double row -0 -56 -56 -Connector_PinSocket_2.00mm -PinSocket_2x28_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x28, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x28 2.00mm double row -0 -56 -56 -Connector_PinSocket_2.00mm -PinSocket_2x29_P2.00mm_Horizontal -Through hole angled socket strip, 2x29, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x29 2.00mm double row -0 -58 -58 -Connector_PinSocket_2.00mm -PinSocket_2x29_P2.00mm_Vertical -Through hole straight socket strip, 2x29, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x29 2.00mm double row -0 -58 -58 -Connector_PinSocket_2.00mm -PinSocket_2x29_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x29, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x29 2.00mm double row -0 -58 -58 -Connector_PinSocket_2.00mm -PinSocket_2x30_P2.00mm_Horizontal -Through hole angled socket strip, 2x30, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x30 2.00mm double row -0 -60 -60 -Connector_PinSocket_2.00mm -PinSocket_2x30_P2.00mm_Vertical -Through hole straight socket strip, 2x30, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x30 2.00mm double row -0 -60 -60 -Connector_PinSocket_2.00mm -PinSocket_2x30_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x30, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x30 2.00mm double row -0 -60 -60 -Connector_PinSocket_2.00mm -PinSocket_2x31_P2.00mm_Horizontal -Through hole angled socket strip, 2x31, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x31 2.00mm double row -0 -62 -62 -Connector_PinSocket_2.00mm -PinSocket_2x31_P2.00mm_Vertical -Through hole straight socket strip, 2x31, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x31 2.00mm double row -0 -62 -62 -Connector_PinSocket_2.00mm -PinSocket_2x31_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x31, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x31 2.00mm double row -0 -62 -62 -Connector_PinSocket_2.00mm -PinSocket_2x32_P2.00mm_Horizontal -Through hole angled socket strip, 2x32, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x32 2.00mm double row -0 -64 -64 -Connector_PinSocket_2.00mm -PinSocket_2x32_P2.00mm_Vertical -Through hole straight socket strip, 2x32, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x32 2.00mm double row -0 -64 -64 -Connector_PinSocket_2.00mm -PinSocket_2x32_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x32, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x32 2.00mm double row -0 -64 -64 -Connector_PinSocket_2.00mm -PinSocket_2x33_P2.00mm_Horizontal -Through hole angled socket strip, 2x33, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x33 2.00mm double row -0 -66 -66 -Connector_PinSocket_2.00mm -PinSocket_2x33_P2.00mm_Vertical -Through hole straight socket strip, 2x33, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x33 2.00mm double row -0 -66 -66 -Connector_PinSocket_2.00mm -PinSocket_2x33_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x33, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x33 2.00mm double row -0 -66 -66 -Connector_PinSocket_2.00mm -PinSocket_2x34_P2.00mm_Horizontal -Through hole angled socket strip, 2x34, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x34 2.00mm double row -0 -68 -68 -Connector_PinSocket_2.00mm -PinSocket_2x34_P2.00mm_Vertical -Through hole straight socket strip, 2x34, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x34 2.00mm double row -0 -68 -68 -Connector_PinSocket_2.00mm -PinSocket_2x34_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x34, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x34 2.00mm double row -0 -68 -68 -Connector_PinSocket_2.00mm -PinSocket_2x35_P2.00mm_Horizontal -Through hole angled socket strip, 2x35, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x35 2.00mm double row -0 -70 -70 -Connector_PinSocket_2.00mm -PinSocket_2x35_P2.00mm_Vertical -Through hole straight socket strip, 2x35, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x35 2.00mm double row -0 -70 -70 -Connector_PinSocket_2.00mm -PinSocket_2x35_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x35, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x35 2.00mm double row -0 -70 -70 -Connector_PinSocket_2.00mm -PinSocket_2x36_P2.00mm_Horizontal -Through hole angled socket strip, 2x36, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x36 2.00mm double row -0 -72 -72 -Connector_PinSocket_2.00mm -PinSocket_2x36_P2.00mm_Vertical -Through hole straight socket strip, 2x36, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x36 2.00mm double row -0 -72 -72 -Connector_PinSocket_2.00mm -PinSocket_2x36_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x36, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x36 2.00mm double row -0 -72 -72 -Connector_PinSocket_2.00mm -PinSocket_2x37_P2.00mm_Horizontal -Through hole angled socket strip, 2x37, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x37 2.00mm double row -0 -74 -74 -Connector_PinSocket_2.00mm -PinSocket_2x37_P2.00mm_Vertical -Through hole straight socket strip, 2x37, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x37 2.00mm double row -0 -74 -74 -Connector_PinSocket_2.00mm -PinSocket_2x37_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x37, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x37 2.00mm double row -0 -74 -74 -Connector_PinSocket_2.00mm -PinSocket_2x38_P2.00mm_Horizontal -Through hole angled socket strip, 2x38, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x38 2.00mm double row -0 -76 -76 -Connector_PinSocket_2.00mm -PinSocket_2x38_P2.00mm_Vertical -Through hole straight socket strip, 2x38, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x38 2.00mm double row -0 -76 -76 -Connector_PinSocket_2.00mm -PinSocket_2x38_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x38, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x38 2.00mm double row -0 -76 -76 -Connector_PinSocket_2.00mm -PinSocket_2x39_P2.00mm_Horizontal -Through hole angled socket strip, 2x39, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x39 2.00mm double row -0 -78 -78 -Connector_PinSocket_2.00mm -PinSocket_2x39_P2.00mm_Vertical -Through hole straight socket strip, 2x39, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x39 2.00mm double row -0 -78 -78 -Connector_PinSocket_2.00mm -PinSocket_2x39_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x39, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x39 2.00mm double row -0 -78 -78 -Connector_PinSocket_2.00mm -PinSocket_2x40_P2.00mm_Horizontal -Through hole angled socket strip, 2x40, 2.00mm pitch, 6.35mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x40 2.00mm double row -0 -80 -80 -Connector_PinSocket_2.00mm -PinSocket_2x40_P2.00mm_Vertical -Through hole straight socket strip, 2x40, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x40 2.00mm double row -0 -80 -80 -Connector_PinSocket_2.00mm -PinSocket_2x40_P2.00mm_Vertical_SMD -surface-mounted straight socket strip, 2x40, 2.00mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x40 2.00mm double row -0 -80 -80 -Connector_PinSocket_2.54mm -PinSocket_1x01_P2.54mm_Horizontal -Through hole angled socket strip, 1x01, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x01 2.54mm single row -0 -1 -1 -Connector_PinSocket_2.54mm -PinSocket_1x01_P2.54mm_Vertical -Through hole straight socket strip, 1x01, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x01 2.54mm single row -0 -1 -1 -Connector_PinSocket_2.54mm -PinSocket_1x02_P2.54mm_Horizontal -Through hole angled socket strip, 1x02, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x02 2.54mm single row -0 -2 -2 -Connector_PinSocket_2.54mm -PinSocket_1x02_P2.54mm_Vertical -Through hole straight socket strip, 1x02, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x02 2.54mm single row -0 -2 -2 -Connector_PinSocket_2.54mm -PinSocket_1x02_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x02, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x02 2.54mm single row style1 pin1 left -0 -2 -2 -Connector_PinSocket_2.54mm -PinSocket_1x02_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x02, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x02 2.54mm single row style2 pin1 right -0 -2 -2 -Connector_PinSocket_2.54mm -PinSocket_1x03_P2.54mm_Horizontal -Through hole angled socket strip, 1x03, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x03 2.54mm single row -0 -3 -3 -Connector_PinSocket_2.54mm -PinSocket_1x03_P2.54mm_Vertical -Through hole straight socket strip, 1x03, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x03 2.54mm single row -0 -3 -3 -Connector_PinSocket_2.54mm -PinSocket_1x03_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x03, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x03 2.54mm single row style1 pin1 left -0 -3 -3 -Connector_PinSocket_2.54mm -PinSocket_1x03_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x03, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x03 2.54mm single row style2 pin1 right -0 -3 -3 -Connector_PinSocket_2.54mm -PinSocket_1x04_P2.54mm_Horizontal -Through hole angled socket strip, 1x04, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x04 2.54mm single row -0 -4 -4 -Connector_PinSocket_2.54mm -PinSocket_1x04_P2.54mm_Vertical -Through hole straight socket strip, 1x04, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x04 2.54mm single row -0 -4 -4 -Connector_PinSocket_2.54mm -PinSocket_1x04_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x04, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x04 2.54mm single row style1 pin1 left -0 -4 -4 -Connector_PinSocket_2.54mm -PinSocket_1x04_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x04, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x04 2.54mm single row style2 pin1 right -0 -4 -4 -Connector_PinSocket_2.54mm -PinSocket_1x05_P2.54mm_Horizontal -Through hole angled socket strip, 1x05, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x05 2.54mm single row -0 -5 -5 -Connector_PinSocket_2.54mm -PinSocket_1x05_P2.54mm_Vertical -Through hole straight socket strip, 1x05, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x05 2.54mm single row -0 -5 -5 -Connector_PinSocket_2.54mm -PinSocket_1x05_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x05, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x05 2.54mm single row style1 pin1 left -0 -5 -5 -Connector_PinSocket_2.54mm -PinSocket_1x05_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x05, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x05 2.54mm single row style2 pin1 right -0 -5 -5 -Connector_PinSocket_2.54mm -PinSocket_1x06_P2.54mm_Horizontal -Through hole angled socket strip, 1x06, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x06 2.54mm single row -0 -6 -6 -Connector_PinSocket_2.54mm -PinSocket_1x06_P2.54mm_Vertical -Through hole straight socket strip, 1x06, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x06 2.54mm single row -0 -6 -6 -Connector_PinSocket_2.54mm -PinSocket_1x06_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x06, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x06 2.54mm single row style1 pin1 left -0 -6 -6 -Connector_PinSocket_2.54mm -PinSocket_1x06_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x06, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x06 2.54mm single row style2 pin1 right -0 -6 -6 -Connector_PinSocket_2.54mm -PinSocket_1x07_P2.54mm_Horizontal -Through hole angled socket strip, 1x07, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x07 2.54mm single row -0 -7 -7 -Connector_PinSocket_2.54mm -PinSocket_1x07_P2.54mm_Vertical -Through hole straight socket strip, 1x07, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x07 2.54mm single row -0 -7 -7 -Connector_PinSocket_2.54mm -PinSocket_1x07_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x07, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x07 2.54mm single row style1 pin1 left -0 -7 -7 -Connector_PinSocket_2.54mm -PinSocket_1x07_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x07, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x07 2.54mm single row style2 pin1 right -0 -7 -7 -Connector_PinSocket_2.54mm -PinSocket_1x08_P2.54mm_Horizontal -Through hole angled socket strip, 1x08, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x08 2.54mm single row -0 -8 -8 -Connector_PinSocket_2.54mm -PinSocket_1x08_P2.54mm_Vertical -Through hole straight socket strip, 1x08, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x08 2.54mm single row -0 -8 -8 -Connector_PinSocket_2.54mm -PinSocket_1x08_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x08, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x08 2.54mm single row style1 pin1 left -0 -8 -8 -Connector_PinSocket_2.54mm -PinSocket_1x08_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x08, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x08 2.54mm single row style2 pin1 right -0 -8 -8 -Connector_PinSocket_2.54mm -PinSocket_1x09_P2.54mm_Horizontal -Through hole angled socket strip, 1x09, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x09 2.54mm single row -0 -9 -9 -Connector_PinSocket_2.54mm -PinSocket_1x09_P2.54mm_Vertical -Through hole straight socket strip, 1x09, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x09 2.54mm single row -0 -9 -9 -Connector_PinSocket_2.54mm -PinSocket_1x09_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x09, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x09 2.54mm single row style1 pin1 left -0 -9 -9 -Connector_PinSocket_2.54mm -PinSocket_1x09_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x09, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x09 2.54mm single row style2 pin1 right -0 -9 -9 -Connector_PinSocket_2.54mm -PinSocket_1x10_P2.54mm_Horizontal -Through hole angled socket strip, 1x10, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x10 2.54mm single row -0 -10 -10 -Connector_PinSocket_2.54mm -PinSocket_1x10_P2.54mm_Vertical -Through hole straight socket strip, 1x10, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x10 2.54mm single row -0 -10 -10 -Connector_PinSocket_2.54mm -PinSocket_1x10_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x10, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x10 2.54mm single row style1 pin1 left -0 -10 -10 -Connector_PinSocket_2.54mm -PinSocket_1x10_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x10, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x10 2.54mm single row style2 pin1 right -0 -10 -10 -Connector_PinSocket_2.54mm -PinSocket_1x11_P2.54mm_Horizontal -Through hole angled socket strip, 1x11, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x11 2.54mm single row -0 -11 -11 -Connector_PinSocket_2.54mm -PinSocket_1x11_P2.54mm_Vertical -Through hole straight socket strip, 1x11, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x11 2.54mm single row -0 -11 -11 -Connector_PinSocket_2.54mm -PinSocket_1x11_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x11, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x11 2.54mm single row style1 pin1 left -0 -11 -11 -Connector_PinSocket_2.54mm -PinSocket_1x11_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x11, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x11 2.54mm single row style2 pin1 right -0 -11 -11 -Connector_PinSocket_2.54mm -PinSocket_1x12_P2.54mm_Horizontal -Through hole angled socket strip, 1x12, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x12 2.54mm single row -0 -12 -12 -Connector_PinSocket_2.54mm -PinSocket_1x12_P2.54mm_Vertical -Through hole straight socket strip, 1x12, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x12 2.54mm single row -0 -12 -12 -Connector_PinSocket_2.54mm -PinSocket_1x12_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x12, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x12 2.54mm single row style1 pin1 left -0 -12 -12 -Connector_PinSocket_2.54mm -PinSocket_1x12_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x12, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x12 2.54mm single row style2 pin1 right -0 -12 -12 -Connector_PinSocket_2.54mm -PinSocket_1x13_P2.54mm_Horizontal -Through hole angled socket strip, 1x13, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x13 2.54mm single row -0 -13 -13 -Connector_PinSocket_2.54mm -PinSocket_1x13_P2.54mm_Vertical -Through hole straight socket strip, 1x13, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x13 2.54mm single row -0 -13 -13 -Connector_PinSocket_2.54mm -PinSocket_1x13_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x13, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x13 2.54mm single row style1 pin1 left -0 -13 -13 -Connector_PinSocket_2.54mm -PinSocket_1x13_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x13, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x13 2.54mm single row style2 pin1 right -0 -13 -13 -Connector_PinSocket_2.54mm -PinSocket_1x14_P2.54mm_Horizontal -Through hole angled socket strip, 1x14, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x14 2.54mm single row -0 -14 -14 -Connector_PinSocket_2.54mm -PinSocket_1x14_P2.54mm_Vertical -Through hole straight socket strip, 1x14, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x14 2.54mm single row -0 -14 -14 -Connector_PinSocket_2.54mm -PinSocket_1x14_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x14, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x14 2.54mm single row style1 pin1 left -0 -14 -14 -Connector_PinSocket_2.54mm -PinSocket_1x14_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x14, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x14 2.54mm single row style2 pin1 right -0 -14 -14 -Connector_PinSocket_2.54mm -PinSocket_1x15_P2.54mm_Horizontal -Through hole angled socket strip, 1x15, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x15 2.54mm single row -0 -15 -15 -Connector_PinSocket_2.54mm -PinSocket_1x15_P2.54mm_Vertical -Through hole straight socket strip, 1x15, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x15 2.54mm single row -0 -15 -15 -Connector_PinSocket_2.54mm -PinSocket_1x15_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x15, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x15 2.54mm single row style1 pin1 left -0 -15 -15 -Connector_PinSocket_2.54mm -PinSocket_1x15_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x15, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x15 2.54mm single row style2 pin1 right -0 -15 -15 -Connector_PinSocket_2.54mm -PinSocket_1x16_P2.54mm_Horizontal -Through hole angled socket strip, 1x16, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x16 2.54mm single row -0 -16 -16 -Connector_PinSocket_2.54mm -PinSocket_1x16_P2.54mm_Vertical -Through hole straight socket strip, 1x16, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x16 2.54mm single row -0 -16 -16 -Connector_PinSocket_2.54mm -PinSocket_1x16_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x16, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x16 2.54mm single row style1 pin1 left -0 -16 -16 -Connector_PinSocket_2.54mm -PinSocket_1x16_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x16, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x16 2.54mm single row style2 pin1 right -0 -16 -16 -Connector_PinSocket_2.54mm -PinSocket_1x17_P2.54mm_Horizontal -Through hole angled socket strip, 1x17, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x17 2.54mm single row -0 -17 -17 -Connector_PinSocket_2.54mm -PinSocket_1x17_P2.54mm_Vertical -Through hole straight socket strip, 1x17, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x17 2.54mm single row -0 -17 -17 -Connector_PinSocket_2.54mm -PinSocket_1x17_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x17, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x17 2.54mm single row style1 pin1 left -0 -17 -17 -Connector_PinSocket_2.54mm -PinSocket_1x17_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x17, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x17 2.54mm single row style2 pin1 right -0 -17 -17 -Connector_PinSocket_2.54mm -PinSocket_1x18_P2.54mm_Horizontal -Through hole angled socket strip, 1x18, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x18 2.54mm single row -0 -18 -18 -Connector_PinSocket_2.54mm -PinSocket_1x18_P2.54mm_Vertical -Through hole straight socket strip, 1x18, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x18 2.54mm single row -0 -18 -18 -Connector_PinSocket_2.54mm -PinSocket_1x18_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x18, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x18 2.54mm single row style1 pin1 left -0 -18 -18 -Connector_PinSocket_2.54mm -PinSocket_1x18_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x18, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x18 2.54mm single row style2 pin1 right -0 -18 -18 -Connector_PinSocket_2.54mm -PinSocket_1x19_P2.54mm_Horizontal -Through hole angled socket strip, 1x19, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x19 2.54mm single row -0 -19 -19 -Connector_PinSocket_2.54mm -PinSocket_1x19_P2.54mm_Vertical -Through hole straight socket strip, 1x19, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x19 2.54mm single row -0 -19 -19 -Connector_PinSocket_2.54mm -PinSocket_1x19_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x19, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x19 2.54mm single row style1 pin1 left -0 -19 -19 -Connector_PinSocket_2.54mm -PinSocket_1x19_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x19, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x19 2.54mm single row style2 pin1 right -0 -19 -19 -Connector_PinSocket_2.54mm -PinSocket_1x20_P2.54mm_Horizontal -Through hole angled socket strip, 1x20, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x20 2.54mm single row -0 -20 -20 -Connector_PinSocket_2.54mm -PinSocket_1x20_P2.54mm_Vertical -Through hole straight socket strip, 1x20, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x20 2.54mm single row -0 -20 -20 -Connector_PinSocket_2.54mm -PinSocket_1x20_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x20, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x20 2.54mm single row style1 pin1 left -0 -20 -20 -Connector_PinSocket_2.54mm -PinSocket_1x20_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x20, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x20 2.54mm single row style2 pin1 right -0 -20 -20 -Connector_PinSocket_2.54mm -PinSocket_1x21_P2.54mm_Horizontal -Through hole angled socket strip, 1x21, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x21 2.54mm single row -0 -21 -21 -Connector_PinSocket_2.54mm -PinSocket_1x21_P2.54mm_Vertical -Through hole straight socket strip, 1x21, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x21 2.54mm single row -0 -21 -21 -Connector_PinSocket_2.54mm -PinSocket_1x21_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x21, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x21 2.54mm single row style1 pin1 left -0 -21 -21 -Connector_PinSocket_2.54mm -PinSocket_1x21_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x21, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x21 2.54mm single row style2 pin1 right -0 -21 -21 -Connector_PinSocket_2.54mm -PinSocket_1x22_P2.54mm_Horizontal -Through hole angled socket strip, 1x22, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x22 2.54mm single row -0 -22 -22 -Connector_PinSocket_2.54mm -PinSocket_1x22_P2.54mm_Vertical -Through hole straight socket strip, 1x22, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x22 2.54mm single row -0 -22 -22 -Connector_PinSocket_2.54mm -PinSocket_1x22_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x22, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x22 2.54mm single row style1 pin1 left -0 -22 -22 -Connector_PinSocket_2.54mm -PinSocket_1x22_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x22, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x22 2.54mm single row style2 pin1 right -0 -22 -22 -Connector_PinSocket_2.54mm -PinSocket_1x23_P2.54mm_Horizontal -Through hole angled socket strip, 1x23, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x23 2.54mm single row -0 -23 -23 -Connector_PinSocket_2.54mm -PinSocket_1x23_P2.54mm_Vertical -Through hole straight socket strip, 1x23, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x23 2.54mm single row -0 -23 -23 -Connector_PinSocket_2.54mm -PinSocket_1x23_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x23, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x23 2.54mm single row style1 pin1 left -0 -23 -23 -Connector_PinSocket_2.54mm -PinSocket_1x23_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x23, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x23 2.54mm single row style2 pin1 right -0 -23 -23 -Connector_PinSocket_2.54mm -PinSocket_1x24_P2.54mm_Horizontal -Through hole angled socket strip, 1x24, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x24 2.54mm single row -0 -24 -24 -Connector_PinSocket_2.54mm -PinSocket_1x24_P2.54mm_Vertical -Through hole straight socket strip, 1x24, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x24 2.54mm single row -0 -24 -24 -Connector_PinSocket_2.54mm -PinSocket_1x24_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x24, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x24 2.54mm single row style1 pin1 left -0 -24 -24 -Connector_PinSocket_2.54mm -PinSocket_1x24_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x24, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x24 2.54mm single row style2 pin1 right -0 -24 -24 -Connector_PinSocket_2.54mm -PinSocket_1x25_P2.54mm_Horizontal -Through hole angled socket strip, 1x25, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x25 2.54mm single row -0 -25 -25 -Connector_PinSocket_2.54mm -PinSocket_1x25_P2.54mm_Vertical -Through hole straight socket strip, 1x25, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x25 2.54mm single row -0 -25 -25 -Connector_PinSocket_2.54mm -PinSocket_1x25_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x25, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x25 2.54mm single row style1 pin1 left -0 -25 -25 -Connector_PinSocket_2.54mm -PinSocket_1x25_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x25, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x25 2.54mm single row style2 pin1 right -0 -25 -25 -Connector_PinSocket_2.54mm -PinSocket_1x26_P2.54mm_Horizontal -Through hole angled socket strip, 1x26, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x26 2.54mm single row -0 -26 -26 -Connector_PinSocket_2.54mm -PinSocket_1x26_P2.54mm_Vertical -Through hole straight socket strip, 1x26, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x26 2.54mm single row -0 -26 -26 -Connector_PinSocket_2.54mm -PinSocket_1x26_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x26, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x26 2.54mm single row style1 pin1 left -0 -26 -26 -Connector_PinSocket_2.54mm -PinSocket_1x26_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x26, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x26 2.54mm single row style2 pin1 right -0 -26 -26 -Connector_PinSocket_2.54mm -PinSocket_1x27_P2.54mm_Horizontal -Through hole angled socket strip, 1x27, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x27 2.54mm single row -0 -27 -27 -Connector_PinSocket_2.54mm -PinSocket_1x27_P2.54mm_Vertical -Through hole straight socket strip, 1x27, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x27 2.54mm single row -0 -27 -27 -Connector_PinSocket_2.54mm -PinSocket_1x27_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x27, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x27 2.54mm single row style1 pin1 left -0 -27 -27 -Connector_PinSocket_2.54mm -PinSocket_1x27_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x27, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x27 2.54mm single row style2 pin1 right -0 -27 -27 -Connector_PinSocket_2.54mm -PinSocket_1x28_P2.54mm_Horizontal -Through hole angled socket strip, 1x28, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x28 2.54mm single row -0 -28 -28 -Connector_PinSocket_2.54mm -PinSocket_1x28_P2.54mm_Vertical -Through hole straight socket strip, 1x28, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x28 2.54mm single row -0 -28 -28 -Connector_PinSocket_2.54mm -PinSocket_1x28_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x28, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x28 2.54mm single row style1 pin1 left -0 -28 -28 -Connector_PinSocket_2.54mm -PinSocket_1x28_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x28, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x28 2.54mm single row style2 pin1 right -0 -28 -28 -Connector_PinSocket_2.54mm -PinSocket_1x29_P2.54mm_Horizontal -Through hole angled socket strip, 1x29, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x29 2.54mm single row -0 -29 -29 -Connector_PinSocket_2.54mm -PinSocket_1x29_P2.54mm_Vertical -Through hole straight socket strip, 1x29, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x29 2.54mm single row -0 -29 -29 -Connector_PinSocket_2.54mm -PinSocket_1x29_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x29, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x29 2.54mm single row style1 pin1 left -0 -29 -29 -Connector_PinSocket_2.54mm -PinSocket_1x29_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x29, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x29 2.54mm single row style2 pin1 right -0 -29 -29 -Connector_PinSocket_2.54mm -PinSocket_1x30_P2.54mm_Horizontal -Through hole angled socket strip, 1x30, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x30 2.54mm single row -0 -30 -30 -Connector_PinSocket_2.54mm -PinSocket_1x30_P2.54mm_Vertical -Through hole straight socket strip, 1x30, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x30 2.54mm single row -0 -30 -30 -Connector_PinSocket_2.54mm -PinSocket_1x30_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x30, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x30 2.54mm single row style1 pin1 left -0 -30 -30 -Connector_PinSocket_2.54mm -PinSocket_1x30_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x30, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x30 2.54mm single row style2 pin1 right -0 -30 -30 -Connector_PinSocket_2.54mm -PinSocket_1x31_P2.54mm_Horizontal -Through hole angled socket strip, 1x31, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x31 2.54mm single row -0 -31 -31 -Connector_PinSocket_2.54mm -PinSocket_1x31_P2.54mm_Vertical -Through hole straight socket strip, 1x31, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x31 2.54mm single row -0 -31 -31 -Connector_PinSocket_2.54mm -PinSocket_1x31_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x31, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x31 2.54mm single row style1 pin1 left -0 -31 -31 -Connector_PinSocket_2.54mm -PinSocket_1x31_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x31, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x31 2.54mm single row style2 pin1 right -0 -31 -31 -Connector_PinSocket_2.54mm -PinSocket_1x32_P2.54mm_Horizontal -Through hole angled socket strip, 1x32, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x32 2.54mm single row -0 -32 -32 -Connector_PinSocket_2.54mm -PinSocket_1x32_P2.54mm_Vertical -Through hole straight socket strip, 1x32, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x32 2.54mm single row -0 -32 -32 -Connector_PinSocket_2.54mm -PinSocket_1x32_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x32, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x32 2.54mm single row style1 pin1 left -0 -32 -32 -Connector_PinSocket_2.54mm -PinSocket_1x32_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x32, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x32 2.54mm single row style2 pin1 right -0 -32 -32 -Connector_PinSocket_2.54mm -PinSocket_1x33_P2.54mm_Horizontal -Through hole angled socket strip, 1x33, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x33 2.54mm single row -0 -33 -33 -Connector_PinSocket_2.54mm -PinSocket_1x33_P2.54mm_Vertical -Through hole straight socket strip, 1x33, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x33 2.54mm single row -0 -33 -33 -Connector_PinSocket_2.54mm -PinSocket_1x33_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x33, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x33 2.54mm single row style1 pin1 left -0 -33 -33 -Connector_PinSocket_2.54mm -PinSocket_1x33_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x33, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x33 2.54mm single row style2 pin1 right -0 -33 -33 -Connector_PinSocket_2.54mm -PinSocket_1x34_P2.54mm_Horizontal -Through hole angled socket strip, 1x34, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x34 2.54mm single row -0 -34 -34 -Connector_PinSocket_2.54mm -PinSocket_1x34_P2.54mm_Vertical -Through hole straight socket strip, 1x34, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x34 2.54mm single row -0 -34 -34 -Connector_PinSocket_2.54mm -PinSocket_1x34_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x34, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x34 2.54mm single row style1 pin1 left -0 -34 -34 -Connector_PinSocket_2.54mm -PinSocket_1x34_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x34, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x34 2.54mm single row style2 pin1 right -0 -34 -34 -Connector_PinSocket_2.54mm -PinSocket_1x35_P2.54mm_Horizontal -Through hole angled socket strip, 1x35, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x35 2.54mm single row -0 -35 -35 -Connector_PinSocket_2.54mm -PinSocket_1x35_P2.54mm_Vertical -Through hole straight socket strip, 1x35, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x35 2.54mm single row -0 -35 -35 -Connector_PinSocket_2.54mm -PinSocket_1x35_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x35, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x35 2.54mm single row style1 pin1 left -0 -35 -35 -Connector_PinSocket_2.54mm -PinSocket_1x35_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x35, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x35 2.54mm single row style2 pin1 right -0 -35 -35 -Connector_PinSocket_2.54mm -PinSocket_1x36_P2.54mm_Horizontal -Through hole angled socket strip, 1x36, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x36 2.54mm single row -0 -36 -36 -Connector_PinSocket_2.54mm -PinSocket_1x36_P2.54mm_Vertical -Through hole straight socket strip, 1x36, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x36 2.54mm single row -0 -36 -36 -Connector_PinSocket_2.54mm -PinSocket_1x36_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x36, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x36 2.54mm single row style1 pin1 left -0 -36 -36 -Connector_PinSocket_2.54mm -PinSocket_1x36_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x36, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x36 2.54mm single row style2 pin1 right -0 -36 -36 -Connector_PinSocket_2.54mm -PinSocket_1x37_P2.54mm_Horizontal -Through hole angled socket strip, 1x37, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x37 2.54mm single row -0 -37 -37 -Connector_PinSocket_2.54mm -PinSocket_1x37_P2.54mm_Vertical -Through hole straight socket strip, 1x37, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x37 2.54mm single row -0 -37 -37 -Connector_PinSocket_2.54mm -PinSocket_1x37_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x37, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x37 2.54mm single row style1 pin1 left -0 -37 -37 -Connector_PinSocket_2.54mm -PinSocket_1x37_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x37, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x37 2.54mm single row style2 pin1 right -0 -37 -37 -Connector_PinSocket_2.54mm -PinSocket_1x38_P2.54mm_Horizontal -Through hole angled socket strip, 1x38, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x38 2.54mm single row -0 -38 -38 -Connector_PinSocket_2.54mm -PinSocket_1x38_P2.54mm_Vertical -Through hole straight socket strip, 1x38, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x38 2.54mm single row -0 -38 -38 -Connector_PinSocket_2.54mm -PinSocket_1x38_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x38, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x38 2.54mm single row style1 pin1 left -0 -38 -38 -Connector_PinSocket_2.54mm -PinSocket_1x38_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x38, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x38 2.54mm single row style2 pin1 right -0 -38 -38 -Connector_PinSocket_2.54mm -PinSocket_1x39_P2.54mm_Horizontal -Through hole angled socket strip, 1x39, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x39 2.54mm single row -0 -39 -39 -Connector_PinSocket_2.54mm -PinSocket_1x39_P2.54mm_Vertical -Through hole straight socket strip, 1x39, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x39 2.54mm single row -0 -39 -39 -Connector_PinSocket_2.54mm -PinSocket_1x39_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x39, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x39 2.54mm single row style1 pin1 left -0 -39 -39 -Connector_PinSocket_2.54mm -PinSocket_1x39_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x39, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x39 2.54mm single row style2 pin1 right -0 -39 -39 -Connector_PinSocket_2.54mm -PinSocket_1x40_P2.54mm_Horizontal -Through hole angled socket strip, 1x40, 2.54mm pitch, 8.51mm socket length, single row (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 1x40 2.54mm single row -0 -40 -40 -Connector_PinSocket_2.54mm -PinSocket_1x40_P2.54mm_Vertical -Through hole straight socket strip, 1x40, 2.54mm pitch, single row (from Kicad 4.0.7), script generated -Through hole socket strip THT 1x40 2.54mm single row -0 -40 -40 -Connector_PinSocket_2.54mm -PinSocket_1x40_P2.54mm_Vertical_SMD_Pin1Left -surface-mounted straight socket strip, 1x40, 2.54mm pitch, single row, style 1 (pin 1 left) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x40 2.54mm single row style1 pin1 left -0 -40 -40 -Connector_PinSocket_2.54mm -PinSocket_1x40_P2.54mm_Vertical_SMD_Pin1Right -surface-mounted straight socket strip, 1x40, 2.54mm pitch, single row, style 2 (pin 1 right) (https://cdn.harwin.com/pdfs/M20-786.pdf), script generated -Surface mounted socket strip SMD 1x40 2.54mm single row style2 pin1 right -0 -40 -40 -Connector_PinSocket_2.54mm -PinSocket_2x01_P2.54mm_Horizontal -Through hole angled socket strip, 2x01, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x01 2.54mm double row -0 -2 -2 -Connector_PinSocket_2.54mm -PinSocket_2x01_P2.54mm_Vertical -Through hole straight socket strip, 2x01, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x01 2.54mm double row -0 -2 -2 -Connector_PinSocket_2.54mm -PinSocket_2x01_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x01, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x01 2.54mm double row -0 -2 -2 -Connector_PinSocket_2.54mm -PinSocket_2x02_P2.54mm_Horizontal -Through hole angled socket strip, 2x02, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x02 2.54mm double row -0 -4 -4 -Connector_PinSocket_2.54mm -PinSocket_2x02_P2.54mm_Vertical -Through hole straight socket strip, 2x02, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x02 2.54mm double row -0 -4 -4 -Connector_PinSocket_2.54mm -PinSocket_2x02_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x02, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x02 2.54mm double row -0 -4 -4 -Connector_PinSocket_2.54mm -PinSocket_2x03_P2.54mm_Horizontal -Through hole angled socket strip, 2x03, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x03 2.54mm double row -0 -6 -6 -Connector_PinSocket_2.54mm -PinSocket_2x03_P2.54mm_Vertical -Through hole straight socket strip, 2x03, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x03 2.54mm double row -0 -6 -6 -Connector_PinSocket_2.54mm -PinSocket_2x03_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x03, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x03 2.54mm double row -0 -6 -6 -Connector_PinSocket_2.54mm -PinSocket_2x04_P2.54mm_Horizontal -Through hole angled socket strip, 2x04, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x04 2.54mm double row -0 -8 -8 -Connector_PinSocket_2.54mm -PinSocket_2x04_P2.54mm_Vertical -Through hole straight socket strip, 2x04, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x04 2.54mm double row -0 -8 -8 -Connector_PinSocket_2.54mm -PinSocket_2x04_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x04, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x04 2.54mm double row -0 -8 -8 -Connector_PinSocket_2.54mm -PinSocket_2x05_P2.54mm_Horizontal -Through hole angled socket strip, 2x05, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x05 2.54mm double row -0 -10 -10 -Connector_PinSocket_2.54mm -PinSocket_2x05_P2.54mm_Vertical -Through hole straight socket strip, 2x05, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x05 2.54mm double row -0 -10 -10 -Connector_PinSocket_2.54mm -PinSocket_2x05_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x05, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x05 2.54mm double row -0 -10 -10 -Connector_PinSocket_2.54mm -PinSocket_2x06_P2.54mm_Horizontal -Through hole angled socket strip, 2x06, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x06 2.54mm double row -0 -12 -12 -Connector_PinSocket_2.54mm -PinSocket_2x06_P2.54mm_Vertical -Through hole straight socket strip, 2x06, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x06 2.54mm double row -0 -12 -12 -Connector_PinSocket_2.54mm -PinSocket_2x06_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x06, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x06 2.54mm double row -0 -12 -12 -Connector_PinSocket_2.54mm -PinSocket_2x07_P2.54mm_Horizontal -Through hole angled socket strip, 2x07, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x07 2.54mm double row -0 -14 -14 -Connector_PinSocket_2.54mm -PinSocket_2x07_P2.54mm_Vertical -Through hole straight socket strip, 2x07, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x07 2.54mm double row -0 -14 -14 -Connector_PinSocket_2.54mm -PinSocket_2x07_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x07, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x07 2.54mm double row -0 -14 -14 -Connector_PinSocket_2.54mm -PinSocket_2x08_P2.54mm_Horizontal -Through hole angled socket strip, 2x08, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x08 2.54mm double row -0 -16 -16 -Connector_PinSocket_2.54mm -PinSocket_2x08_P2.54mm_Vertical -Through hole straight socket strip, 2x08, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x08 2.54mm double row -0 -16 -16 -Connector_PinSocket_2.54mm -PinSocket_2x08_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x08, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x08 2.54mm double row -0 -16 -16 -Connector_PinSocket_2.54mm -PinSocket_2x09_P2.54mm_Horizontal -Through hole angled socket strip, 2x09, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x09 2.54mm double row -0 -18 -18 -Connector_PinSocket_2.54mm -PinSocket_2x09_P2.54mm_Vertical -Through hole straight socket strip, 2x09, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x09 2.54mm double row -0 -18 -18 -Connector_PinSocket_2.54mm -PinSocket_2x09_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x09, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x09 2.54mm double row -0 -18 -18 -Connector_PinSocket_2.54mm -PinSocket_2x10_P2.54mm_Horizontal -Through hole angled socket strip, 2x10, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x10 2.54mm double row -0 -20 -20 -Connector_PinSocket_2.54mm -PinSocket_2x10_P2.54mm_Vertical -Through hole straight socket strip, 2x10, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x10 2.54mm double row -0 -20 -20 -Connector_PinSocket_2.54mm -PinSocket_2x10_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x10, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x10 2.54mm double row -0 -20 -20 -Connector_PinSocket_2.54mm -PinSocket_2x11_P2.54mm_Horizontal -Through hole angled socket strip, 2x11, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x11 2.54mm double row -0 -22 -22 -Connector_PinSocket_2.54mm -PinSocket_2x11_P2.54mm_Vertical -Through hole straight socket strip, 2x11, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x11 2.54mm double row -0 -22 -22 -Connector_PinSocket_2.54mm -PinSocket_2x11_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x11, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x11 2.54mm double row -0 -22 -22 -Connector_PinSocket_2.54mm -PinSocket_2x12_P2.54mm_Horizontal -Through hole angled socket strip, 2x12, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x12 2.54mm double row -0 -24 -24 -Connector_PinSocket_2.54mm -PinSocket_2x12_P2.54mm_Vertical -Through hole straight socket strip, 2x12, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x12 2.54mm double row -0 -24 -24 -Connector_PinSocket_2.54mm -PinSocket_2x12_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x12, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x12 2.54mm double row -0 -24 -24 -Connector_PinSocket_2.54mm -PinSocket_2x13_P2.54mm_Horizontal -Through hole angled socket strip, 2x13, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x13 2.54mm double row -0 -26 -26 -Connector_PinSocket_2.54mm -PinSocket_2x13_P2.54mm_Vertical -Through hole straight socket strip, 2x13, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x13 2.54mm double row -0 -26 -26 -Connector_PinSocket_2.54mm -PinSocket_2x13_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x13, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x13 2.54mm double row -0 -26 -26 -Connector_PinSocket_2.54mm -PinSocket_2x14_P2.54mm_Horizontal -Through hole angled socket strip, 2x14, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x14 2.54mm double row -0 -28 -28 -Connector_PinSocket_2.54mm -PinSocket_2x14_P2.54mm_Vertical -Through hole straight socket strip, 2x14, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x14 2.54mm double row -0 -28 -28 -Connector_PinSocket_2.54mm -PinSocket_2x14_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x14, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x14 2.54mm double row -0 -28 -28 -Connector_PinSocket_2.54mm -PinSocket_2x15_P2.54mm_Horizontal -Through hole angled socket strip, 2x15, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x15 2.54mm double row -0 -30 -30 -Connector_PinSocket_2.54mm -PinSocket_2x15_P2.54mm_Vertical -Through hole straight socket strip, 2x15, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x15 2.54mm double row -0 -30 -30 -Connector_PinSocket_2.54mm -PinSocket_2x15_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x15, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x15 2.54mm double row -0 -30 -30 -Connector_PinSocket_2.54mm -PinSocket_2x16_P2.54mm_Horizontal -Through hole angled socket strip, 2x16, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x16 2.54mm double row -0 -32 -32 -Connector_PinSocket_2.54mm -PinSocket_2x16_P2.54mm_Vertical -Through hole straight socket strip, 2x16, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x16 2.54mm double row -0 -32 -32 -Connector_PinSocket_2.54mm -PinSocket_2x16_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x16, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x16 2.54mm double row -0 -32 -32 -Connector_PinSocket_2.54mm -PinSocket_2x17_P2.54mm_Horizontal -Through hole angled socket strip, 2x17, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x17 2.54mm double row -0 -34 -34 -Connector_PinSocket_2.54mm -PinSocket_2x17_P2.54mm_Vertical -Through hole straight socket strip, 2x17, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x17 2.54mm double row -0 -34 -34 -Connector_PinSocket_2.54mm -PinSocket_2x17_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x17, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x17 2.54mm double row -0 -34 -34 -Connector_PinSocket_2.54mm -PinSocket_2x18_P2.54mm_Horizontal -Through hole angled socket strip, 2x18, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x18 2.54mm double row -0 -36 -36 -Connector_PinSocket_2.54mm -PinSocket_2x18_P2.54mm_Vertical -Through hole straight socket strip, 2x18, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x18 2.54mm double row -0 -36 -36 -Connector_PinSocket_2.54mm -PinSocket_2x18_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x18, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x18 2.54mm double row -0 -36 -36 -Connector_PinSocket_2.54mm -PinSocket_2x19_P2.54mm_Horizontal -Through hole angled socket strip, 2x19, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x19 2.54mm double row -0 -38 -38 -Connector_PinSocket_2.54mm -PinSocket_2x19_P2.54mm_Vertical -Through hole straight socket strip, 2x19, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x19 2.54mm double row -0 -38 -38 -Connector_PinSocket_2.54mm -PinSocket_2x19_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x19, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x19 2.54mm double row -0 -38 -38 -Connector_PinSocket_2.54mm -PinSocket_2x20_P2.54mm_Horizontal -Through hole angled socket strip, 2x20, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x20 2.54mm double row -0 -40 -40 -Connector_PinSocket_2.54mm -PinSocket_2x20_P2.54mm_Vertical -Through hole straight socket strip, 2x20, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x20 2.54mm double row -0 -40 -40 -Connector_PinSocket_2.54mm -PinSocket_2x20_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x20, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x20 2.54mm double row -0 -40 -40 -Connector_PinSocket_2.54mm -PinSocket_2x21_P2.54mm_Horizontal -Through hole angled socket strip, 2x21, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x21 2.54mm double row -0 -42 -42 -Connector_PinSocket_2.54mm -PinSocket_2x21_P2.54mm_Vertical -Through hole straight socket strip, 2x21, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x21 2.54mm double row -0 -42 -42 -Connector_PinSocket_2.54mm -PinSocket_2x21_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x21, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x21 2.54mm double row -0 -42 -42 -Connector_PinSocket_2.54mm -PinSocket_2x22_P2.54mm_Horizontal -Through hole angled socket strip, 2x22, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x22 2.54mm double row -0 -44 -44 -Connector_PinSocket_2.54mm -PinSocket_2x22_P2.54mm_Vertical -Through hole straight socket strip, 2x22, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x22 2.54mm double row -0 -44 -44 -Connector_PinSocket_2.54mm -PinSocket_2x22_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x22, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x22 2.54mm double row -0 -44 -44 -Connector_PinSocket_2.54mm -PinSocket_2x23_P2.54mm_Horizontal -Through hole angled socket strip, 2x23, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x23 2.54mm double row -0 -46 -46 -Connector_PinSocket_2.54mm -PinSocket_2x23_P2.54mm_Vertical -Through hole straight socket strip, 2x23, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x23 2.54mm double row -0 -46 -46 -Connector_PinSocket_2.54mm -PinSocket_2x23_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x23, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x23 2.54mm double row -0 -46 -46 -Connector_PinSocket_2.54mm -PinSocket_2x24_P2.54mm_Horizontal -Through hole angled socket strip, 2x24, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x24 2.54mm double row -0 -48 -48 -Connector_PinSocket_2.54mm -PinSocket_2x24_P2.54mm_Vertical -Through hole straight socket strip, 2x24, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x24 2.54mm double row -0 -48 -48 -Connector_PinSocket_2.54mm -PinSocket_2x24_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x24, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x24 2.54mm double row -0 -48 -48 -Connector_PinSocket_2.54mm -PinSocket_2x25_P2.54mm_Horizontal -Through hole angled socket strip, 2x25, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x25 2.54mm double row -0 -50 -50 -Connector_PinSocket_2.54mm -PinSocket_2x25_P2.54mm_Vertical -Through hole straight socket strip, 2x25, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x25 2.54mm double row -0 -50 -50 -Connector_PinSocket_2.54mm -PinSocket_2x25_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x25, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x25 2.54mm double row -0 -50 -50 -Connector_PinSocket_2.54mm -PinSocket_2x26_P2.54mm_Horizontal -Through hole angled socket strip, 2x26, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x26 2.54mm double row -0 -52 -52 -Connector_PinSocket_2.54mm -PinSocket_2x26_P2.54mm_Vertical -Through hole straight socket strip, 2x26, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x26 2.54mm double row -0 -52 -52 -Connector_PinSocket_2.54mm -PinSocket_2x26_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x26, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x26 2.54mm double row -0 -52 -52 -Connector_PinSocket_2.54mm -PinSocket_2x27_P2.54mm_Horizontal -Through hole angled socket strip, 2x27, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x27 2.54mm double row -0 -54 -54 -Connector_PinSocket_2.54mm -PinSocket_2x27_P2.54mm_Vertical -Through hole straight socket strip, 2x27, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x27 2.54mm double row -0 -54 -54 -Connector_PinSocket_2.54mm -PinSocket_2x27_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x27, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x27 2.54mm double row -0 -54 -54 -Connector_PinSocket_2.54mm -PinSocket_2x28_P2.54mm_Horizontal -Through hole angled socket strip, 2x28, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x28 2.54mm double row -0 -56 -56 -Connector_PinSocket_2.54mm -PinSocket_2x28_P2.54mm_Vertical -Through hole straight socket strip, 2x28, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x28 2.54mm double row -0 -56 -56 -Connector_PinSocket_2.54mm -PinSocket_2x28_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x28, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x28 2.54mm double row -0 -56 -56 -Connector_PinSocket_2.54mm -PinSocket_2x29_P2.54mm_Horizontal -Through hole angled socket strip, 2x29, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x29 2.54mm double row -0 -58 -58 -Connector_PinSocket_2.54mm -PinSocket_2x29_P2.54mm_Vertical -Through hole straight socket strip, 2x29, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x29 2.54mm double row -0 -58 -58 -Connector_PinSocket_2.54mm -PinSocket_2x29_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x29, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x29 2.54mm double row -0 -58 -58 -Connector_PinSocket_2.54mm -PinSocket_2x30_P2.54mm_Horizontal -Through hole angled socket strip, 2x30, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x30 2.54mm double row -0 -60 -60 -Connector_PinSocket_2.54mm -PinSocket_2x30_P2.54mm_Vertical -Through hole straight socket strip, 2x30, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x30 2.54mm double row -0 -60 -60 -Connector_PinSocket_2.54mm -PinSocket_2x30_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x30, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x30 2.54mm double row -0 -60 -60 -Connector_PinSocket_2.54mm -PinSocket_2x31_P2.54mm_Horizontal -Through hole angled socket strip, 2x31, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x31 2.54mm double row -0 -62 -62 -Connector_PinSocket_2.54mm -PinSocket_2x31_P2.54mm_Vertical -Through hole straight socket strip, 2x31, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x31 2.54mm double row -0 -62 -62 -Connector_PinSocket_2.54mm -PinSocket_2x31_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x31, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x31 2.54mm double row -0 -62 -62 -Connector_PinSocket_2.54mm -PinSocket_2x32_P2.54mm_Horizontal -Through hole angled socket strip, 2x32, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x32 2.54mm double row -0 -64 -64 -Connector_PinSocket_2.54mm -PinSocket_2x32_P2.54mm_Vertical -Through hole straight socket strip, 2x32, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x32 2.54mm double row -0 -64 -64 -Connector_PinSocket_2.54mm -PinSocket_2x32_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x32, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x32 2.54mm double row -0 -64 -64 -Connector_PinSocket_2.54mm -PinSocket_2x33_P2.54mm_Horizontal -Through hole angled socket strip, 2x33, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x33 2.54mm double row -0 -66 -66 -Connector_PinSocket_2.54mm -PinSocket_2x33_P2.54mm_Vertical -Through hole straight socket strip, 2x33, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x33 2.54mm double row -0 -66 -66 -Connector_PinSocket_2.54mm -PinSocket_2x33_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x33, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x33 2.54mm double row -0 -66 -66 -Connector_PinSocket_2.54mm -PinSocket_2x34_P2.54mm_Horizontal -Through hole angled socket strip, 2x34, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x34 2.54mm double row -0 -68 -68 -Connector_PinSocket_2.54mm -PinSocket_2x34_P2.54mm_Vertical -Through hole straight socket strip, 2x34, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x34 2.54mm double row -0 -68 -68 -Connector_PinSocket_2.54mm -PinSocket_2x34_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x34, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x34 2.54mm double row -0 -68 -68 -Connector_PinSocket_2.54mm -PinSocket_2x35_P2.54mm_Horizontal -Through hole angled socket strip, 2x35, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x35 2.54mm double row -0 -70 -70 -Connector_PinSocket_2.54mm -PinSocket_2x35_P2.54mm_Vertical -Through hole straight socket strip, 2x35, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x35 2.54mm double row -0 -70 -70 -Connector_PinSocket_2.54mm -PinSocket_2x35_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x35, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x35 2.54mm double row -0 -70 -70 -Connector_PinSocket_2.54mm -PinSocket_2x36_P2.54mm_Horizontal -Through hole angled socket strip, 2x36, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x36 2.54mm double row -0 -72 -72 -Connector_PinSocket_2.54mm -PinSocket_2x36_P2.54mm_Vertical -Through hole straight socket strip, 2x36, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x36 2.54mm double row -0 -72 -72 -Connector_PinSocket_2.54mm -PinSocket_2x36_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x36, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x36 2.54mm double row -0 -72 -72 -Connector_PinSocket_2.54mm -PinSocket_2x37_P2.54mm_Horizontal -Through hole angled socket strip, 2x37, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x37 2.54mm double row -0 -74 -74 -Connector_PinSocket_2.54mm -PinSocket_2x37_P2.54mm_Vertical -Through hole straight socket strip, 2x37, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x37 2.54mm double row -0 -74 -74 -Connector_PinSocket_2.54mm -PinSocket_2x37_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x37, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x37 2.54mm double row -0 -74 -74 -Connector_PinSocket_2.54mm -PinSocket_2x38_P2.54mm_Horizontal -Through hole angled socket strip, 2x38, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x38 2.54mm double row -0 -76 -76 -Connector_PinSocket_2.54mm -PinSocket_2x38_P2.54mm_Vertical -Through hole straight socket strip, 2x38, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x38 2.54mm double row -0 -76 -76 -Connector_PinSocket_2.54mm -PinSocket_2x38_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x38, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x38 2.54mm double row -0 -76 -76 -Connector_PinSocket_2.54mm -PinSocket_2x39_P2.54mm_Horizontal -Through hole angled socket strip, 2x39, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x39 2.54mm double row -0 -78 -78 -Connector_PinSocket_2.54mm -PinSocket_2x39_P2.54mm_Vertical -Through hole straight socket strip, 2x39, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x39 2.54mm double row -0 -78 -78 -Connector_PinSocket_2.54mm -PinSocket_2x39_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x39, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x39 2.54mm double row -0 -78 -78 -Connector_PinSocket_2.54mm -PinSocket_2x40_P2.54mm_Horizontal -Through hole angled socket strip, 2x40, 2.54mm pitch, 8.51mm socket length, double cols (from Kicad 4.0.7), script generated -Through hole angled socket strip THT 2x40 2.54mm double row -0 -80 -80 -Connector_PinSocket_2.54mm -PinSocket_2x40_P2.54mm_Vertical -Through hole straight socket strip, 2x40, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Through hole socket strip THT 2x40 2.54mm double row -0 -80 -80 -Connector_PinSocket_2.54mm -PinSocket_2x40_P2.54mm_Vertical_SMD -surface-mounted straight socket strip, 2x40, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated -Surface mounted socket strip SMD 2x40 2.54mm double row -0 -80 -80 -Connector_RJ -RJ9_Evercom_5301-440xxx_Horizontal -Evercom 5301-4P4C RJ9 receptacle, unshielded, https://datasheet.lcsc.com/lcsc/2207051802_EVERCOM-5301-4P4C_C3097715.pdf -RJ9 Connector tab down -0 -4 -4 -Connector_RJ -RJ12_Amphenol_54601-x06_Horizontal -RJ12 6P6C horizontal socket, plastic body, tab down, https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/c-bmj-0082.pdf -RJ12 connector -0 -6 -6 -Connector_RJ -RJ14_Connfly_DS1133-S4_Horizontal -RJ14 connector 6P4C Horizontal http://www.connfly.com/userfiles/image/UpLoadFile/File/2012/10/26/DS1133.pdf -RJ14 connector 6P4C Connfly DS1133 -0 -4 -4 -Connector_RJ -RJ25_Wayconn_MJEA-660X1_Horizontal -RJ25 6P6C Socket 90 degrees, https://wayconn.com/wp-content/themes/way/datasheet/MJEA-660X1XXX_RJ25_6P6C_PCB_RA.pdf -RJ12 RJ18 RJ25 jack connector 6P6C -0 -6 -6 -Connector_RJ -RJ45_Abracon_ARJP11A-MA_Horizontal -Shielded RJ45 ethernet connector with transformer and POE, https://abracon.com/Magnetics/lan/ARJP11A.PDF -ethernet 8p8c transformer poe rj45 -0 -15 -14 -Connector_RJ -RJ45_Amphenol_54602-x08_Horizontal -8 Pol Shallow Latch Connector, Modjack, RJ45 (https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/c-bmj-0102.pdf) -RJ45 -0 -8 -8 -Connector_RJ -RJ45_Amphenol_RJHSE538X -Shielded, 2 LED, https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X.pdf -RJ45 8p8c ethernet cat5 -0 -14 -13 -Connector_RJ -RJ45_Amphenol_RJHSE538X-02 -Shielded, 2 LED, 2 Ports, http://www.amphenolinfocom.eu/NavData/Drawings/RJHSE-538X-02-REVC.pdf -RJ45 8p8c dual ethernet cat5 -0 -26 -25 -Connector_RJ -RJ45_Amphenol_RJHSE538X-04 -Shielded, LEDs, 4 Ports, https://cdn.amphenol-cs.com/media/wysiwyg/files/drawing/rjhse538x04.pdf -RJ45 8p8c quad ethernet cat5 -0 -52 -49 -Connector_RJ -RJ45_Amphenol_RJHSE5380 -Shielded, https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X.pdf -RJ45 8p8c ethernet cat5 -0 -10 -9 -Connector_RJ -RJ45_Amphenol_RJHSE5380-08 -Shielded, https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X08.pdf -RJ45 8p8c ethernet cat5 -0 -68 -65 -Connector_RJ -RJ45_Amphenol_RJMG1BD3B8K1ANR -1 Port RJ45 Magjack Connector Through Hole 10/100 Base-T, AutoMDIX, https://www.amphenol-cs.com/media/wysiwyg/files/drawing/rjmg1bd3b8k1anr.pdf -RJ45 Magjack -0 -14 -13 -Connector_RJ -RJ45_BEL_SS74301-00x_Vertical -https://belfuse.com/resources/drawings/stewartconnector/dr-stw-ss-74301-001-ss-74301-002-ss-74301-005.pdf -RJ45 Vertical Shield LED Green Yellow -0 -14 -13 -Connector_RJ -RJ45_Bel_SI-60062-F -1 Port RJ45 Magjack Connector Through Hole 10/100 Base-T, AutoMDIX, https://belfuse.com/resources/drawings/magneticsolutions/dr-mag-si-60062-f.pdf -RJ45 Magjack -0 -14 -13 -Connector_RJ -RJ45_Bel_V895-1001-AW_Vertical -1 Port RJ45 Magjack Vertical Connector SMD 10/100 Base-T, https://www.belfuse.com/resources/drawings/magneticsolutions/dr-mag-v895-1001-aw.pdf -RJ45 Magjack -0 -14 -11 -Connector_RJ -RJ45_Cetus_J1B1211CCD_Horizontal -1 Port RJ45 Magjack Connector Through Hole 10/100 Base-T, Cetus, used and distributed by WIZnet (https://wizwiki.net/wiki/lib/exe/fetch.php?media=products:wiz550web:wiz550webds_kr:j1b1211ccd.pdf) -RJ45 Magjack -0 -14 -13 -Connector_RJ -RJ45_Connfly_DS1128-09-S8xx-S_Horizontal -1 Port RJ45 8p8c receptacle, shielded, through hole, 2 LEDs, tab up, http://en.connfly.group/static/upload/file//DS1128-09.pdf -RJ45 8p8c ethernet -0 -14 -13 -Connector_RJ -RJ45_HALO_HFJ11-x2450E-LxxRL_Horizontal -Halo FastJack, Shielded, 2 LEDs, https://www.haloelectronics.com/pdf/fastjack-100baset.pdf -10/100 Ethernet RJ45 Tab-Down -0 -14 -13 -Connector_RJ -RJ45_HALO_HFJ11-x2450ERL_Horizontal -Halo FastJack, Shielded, https://www.haloelectronics.com/pdf/fastjack-100baset.pdf -10/100 Ethernet RJ45 Tab-Down -0 -9 -8 -Connector_RJ -RJ45_HALO_HFJ11-x2450HRL_Horizontal -Halo FastJack, Shielded, https://www.haloelectronics.com/pdf/fastjack-100baset.pdf -10/100 Ethernet RJ45 Tab-Down -0 -10 -9 -Connector_RJ -RJ45_Hanrun_HR911105A_Horizontal -1 Port RJ45 8P8C receptacle, shielded, with magnetics, through hole, 2 LEDs, tab down, http://www.hanrun.com/public/upload/down/2020/09-11/cc11be56d66bb63d5f1eeb85492439c0.pdf -RJ45 Magjack ethernet -0 -14 -13 -Connector_RJ -RJ45_Kycon_G7LX-A88S7-BP-xx_Horizontal -10/100Base-T RJ45 ethernet magnetic transformer connector horizontal with green/yellow LEDs http://www.kycon.com/Pub_Eng_Draw/G7LX-A88S7-BP-GY.pdf -RJ45 ethernet magnetic -0 -16 -15 -Connector_RJ -RJ45_Molex_9346520x_Horizontal -1 Port RJ45 Magjack Connector Through Hole 10/100 Base-T, two LED, tab up -RJ45 Magjack -0 -14 -13 -Connector_RJ -RJ45_Molex_0855135013_Vertical -1 Port, RJ45, Series 85513, vertical, SMD, https://www.molex.com/pdm_docs/sd/855135013_sd.pdf -RJ45 Vertical -0 -9 -9 -Connector_RJ -RJ45_Ninigi_GE -1 port ethernet throughhole connector, https://en.ninigi.com/product/rj45ge/pdf -RJ45 ethernet 8p8c -0 -10 -9 -Connector_RJ -RJ45_OST_PJ012-8P8CX_Vertical -RJ45 vertical connector https://www.on-shore.com/wp-content/uploads/PJ012-8P8CX.pdf -RJ45 PJ012 -0 -8 -8 -Connector_RJ -RJ45_Plug_Metz_AJP92A8813 -plug, ethernet, 8P8C, RJ45 Plug -AJP92A8813 8P8C RJ45 ethernet plug -0 -8 -8 -Connector_RJ -RJ45_Pulse_JK00177NL_Horizontal -10/100/1000 Base-T RJ45 single port with LEDs and 75W POE, https://productfinder.pulseeng.com/doc_type/WEB301/doc_num/J432/doc_part/J432.pdf -RJ45 8p8c ethernet POE -0 -22 -21 -Connector_RJ -RJ45_Pulse_JK0654219NL_Horizontal -10/100/1000 Base-T RJ45 single port with LEDs https://media.digikey.com/pdf/Data%20Sheets/Pulse%20PDFs/JK%20Series.pdf#page=2 -RJ45 8p8c ethernet -0 -18 -17 -Connector_RJ -RJ45_Pulse_JXD6-0001NL_Horizontal -RJ45 ethernet transformer with magnetics (https://productfinder.pulseeng.com/doc_type/WEB301/doc_num/JXD6-0001NL/doc_part/JXD6-0001NL.pdf) -ethernet 8p8c transformer magjack -0 -12 -11 -Connector_RJ -RJ45_UDE_RB1-125B8G1A -1 Port RJ45 Connector Through Hole 10/100/1000 Base-T, https://datasheet.lcsc.com/szlcsc/1901091107_UDE-Corp-RB1-125B8G1A_C363353.pdf#page=3 -RJ45 ethernet -0 -16 -15 -Connector_RJ -RJ45_Wuerth_7499010001A_Horizontal -10/100Base-TX RJ45 ethernet magnetic transformer connector horizontal https://katalog.we-online.de/pbs/datasheet/7499010001A.pdf -RJ45 ethernet magnetic -0 -10 -9 -Connector_RJ -RJ45_Wuerth_7499010121A_Horizontal -10/100Base-TX RJ45 ethernet magnetic transformer connector horizontal with green/orange LEDs https://katalog.we-online.de/pbs/datasheet/7499010121A.pdf -RJ45 ethernet magnetic -0 -14 -13 -Connector_RJ -RJ45_Wuerth_7499111446_Horizontal -LAN-Transformer WE-RJ45LAN 10/100/1000 BaseT -ethernet lan connector -0 -16 -15 -Connector_RJ -RJ45_Wuerth_7499151120_Horizontal -Wuerth 7499151120, LAN-Transformer WE-RJ45LAN 10/100/1000 BaseT, Dual Ethernet Jack (http://katalog.we-online.de/pbs/datasheet/7499151120.pdf) -ethernet lan connector -0 -32 -29 -Connector_RJ -RJ45_Wuerth_74980111211_Horizontal -RJ45 LAN Transformer 10/100BaseT (https://katalog.we-online.de/pbs/datasheet/74980111211.pdf) -lan magnetics transformer -0 -14 -13 -Connector_SATA_SAS -SAS-mini_TEConnectivity_1888174_Vertical -36pin mini SAS connector, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=1888174&DocType=Customer+Drawing&DocLang=English -SAS mini connector -0 -44 -44 -Connector_SATA_SAS -SATA_Amphenol_10029364-001LF_Horizontal -https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10029364.pdf -SATA -0 -24 -23 -Connector_Samtec -Samtec_FMC_ASP-134486-01_10x40_P1.27mm_Vertical -http://suddendocs.samtec.com/prints/asp-134486-01-mkt.pdf -FMC HPC -0 -400 -400 -Connector_Samtec -Samtec_FMC_ASP-134602-01_10x40_P1.27mm_Vertical -https://www.marutsu.co.jp/contents/shop/marutsu/ds/asp-134602-01.pdf -FMC HPC -0 -400 -400 -Connector_Samtec -Samtec_FMC_ASP-134604-01_4x40_Vertical -https://suddendocs.samtec.com/prints/asp-134604-01-mkt.pdf -FMC LPC VITA -0 -160 -160 -Connector_Samtec -Samtec_LSHM-105-xx.x-x-DV-N_2x05_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-105-xx.x-x-DV-N, 5 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -10 -10 -Connector_Samtec -Samtec_LSHM-105-xx.x-x-DV-S_2x05-1SH_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-105-xx.x-x-DV-S, 5 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -12 -11 -Connector_Samtec -Samtec_LSHM-110-xx.x-x-DV-N_2x10_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-110-xx.x-x-DV-N, 10 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -20 -20 -Connector_Samtec -Samtec_LSHM-110-xx.x-x-DV-S_2x10-1SH_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-110-xx.x-x-DV-S, 10 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -22 -21 -Connector_Samtec -Samtec_LSHM-120-xx.x-x-DV-N_2x20_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-120-xx.x-x-DV-N, 20 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -40 -40 -Connector_Samtec -Samtec_LSHM-120-xx.x-x-DV-S_2x20-1SH_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-120-xx.x-x-DV-S, 20 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -42 -41 -Connector_Samtec -Samtec_LSHM-130-xx.x-x-DV-N_2x30_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-130-xx.x-x-DV-N, 30 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -60 -60 -Connector_Samtec -Samtec_LSHM-130-xx.x-x-DV-S_2x30-1SH_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-130-xx.x-x-DV-S, 30 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -62 -61 -Connector_Samtec -Samtec_LSHM-140-xx.x-x-DV-N_2x40_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-140-xx.x-x-DV-N, 40 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -80 -80 -Connector_Samtec -Samtec_LSHM-140-xx.x-x-DV-S_2x40-1SH_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-140-xx.x-x-DV-S, 40 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -82 -81 -Connector_Samtec -Samtec_LSHM-150-xx.x-x-DV-N_2x50_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-150-xx.x-x-DV-N, 50 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -100 -100 -Connector_Samtec -Samtec_LSHM-150-xx.x-x-DV-S_2x50-1SH_P0.50mm_Vertical -Molex LSHM 0.50 mm Razor Beam High-Speed Hermaphroditic Terminal/Socket Strip, LSHM-150-xx.x-x-DV-S, 50 Pins per row (http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf), generated with kicad-footprint-generator -connector Samtec side entry -0 -102 -101 -Connector_Samtec_HLE_SMD -Samtec_HLE-102-02-xxx-DV-BE-LC_2x02_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-102-02-xxx-DV-BE-LC, 2 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -4 -4 -Connector_Samtec_HLE_SMD -Samtec_HLE-102-02-xxx-DV-BE_2x02_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-102-02-xxx-DV-BE, 2 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -4 -4 -Connector_Samtec_HLE_SMD -Samtec_HLE-102-02-xxx-DV-LC_2x02_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-102-02-xxx-DV-LC, 2 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -4 -4 -Connector_Samtec_HLE_SMD -Samtec_HLE-102-02-xxx-DV_2x02_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-102-02-xxx-DV, 2 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -4 -4 -Connector_Samtec_HLE_SMD -Samtec_HLE-103-02-xxx-DV-BE-LC_2x03_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-103-02-xxx-DV-BE-LC, 3 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -6 -6 -Connector_Samtec_HLE_SMD -Samtec_HLE-103-02-xxx-DV-BE_2x03_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-103-02-xxx-DV-BE, 3 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -6 -6 -Connector_Samtec_HLE_SMD -Samtec_HLE-103-02-xxx-DV-LC_2x03_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-103-02-xxx-DV-LC, 3 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -6 -6 -Connector_Samtec_HLE_SMD -Samtec_HLE-103-02-xxx-DV_2x03_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-103-02-xxx-DV, 3 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -6 -6 -Connector_Samtec_HLE_SMD -Samtec_HLE-104-02-xxx-DV-A_2x04_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-104-02-xxx-DV-A, 4 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -8 -8 -Connector_Samtec_HLE_SMD -Samtec_HLE-104-02-xxx-DV-BE-A_2x04_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-104-02-xxx-DV-BE-A, 4 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -8 -8 -Connector_Samtec_HLE_SMD -Samtec_HLE-104-02-xxx-DV-BE-LC_2x04_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-104-02-xxx-DV-BE-LC, 4 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -8 -8 -Connector_Samtec_HLE_SMD -Samtec_HLE-104-02-xxx-DV-BE_2x04_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-104-02-xxx-DV-BE, 4 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -8 -8 -Connector_Samtec_HLE_SMD -Samtec_HLE-104-02-xxx-DV-LC_2x04_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-104-02-xxx-DV-LC, 4 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -8 -8 -Connector_Samtec_HLE_SMD -Samtec_HLE-104-02-xxx-DV_2x04_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-104-02-xxx-DV, 4 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -8 -8 -Connector_Samtec_HLE_SMD -Samtec_HLE-105-02-xxx-DV-A_2x05_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-105-02-xxx-DV-A, 5 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -10 -10 -Connector_Samtec_HLE_SMD -Samtec_HLE-105-02-xxx-DV-BE-A_2x05_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-105-02-xxx-DV-BE-A, 5 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -10 -10 -Connector_Samtec_HLE_SMD -Samtec_HLE-105-02-xxx-DV-BE-LC_2x05_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-105-02-xxx-DV-BE-LC, 5 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -10 -10 -Connector_Samtec_HLE_SMD -Samtec_HLE-105-02-xxx-DV-BE_2x05_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-105-02-xxx-DV-BE, 5 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -10 -10 -Connector_Samtec_HLE_SMD -Samtec_HLE-105-02-xxx-DV-LC_2x05_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-105-02-xxx-DV-LC, 5 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -10 -10 -Connector_Samtec_HLE_SMD -Samtec_HLE-105-02-xxx-DV_2x05_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-105-02-xxx-DV, 5 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -10 -10 -Connector_Samtec_HLE_SMD -Samtec_HLE-106-02-xxx-DV-A_2x06_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-106-02-xxx-DV-A, 6 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -12 -12 -Connector_Samtec_HLE_SMD -Samtec_HLE-106-02-xxx-DV-BE-A_2x06_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-106-02-xxx-DV-BE-A, 6 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -12 -12 -Connector_Samtec_HLE_SMD -Samtec_HLE-106-02-xxx-DV-BE-LC_2x06_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-106-02-xxx-DV-BE-LC, 6 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -12 -12 -Connector_Samtec_HLE_SMD -Samtec_HLE-106-02-xxx-DV-BE_2x06_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-106-02-xxx-DV-BE, 6 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -12 -12 -Connector_Samtec_HLE_SMD -Samtec_HLE-106-02-xxx-DV-LC_2x06_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-106-02-xxx-DV-LC, 6 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -12 -12 -Connector_Samtec_HLE_SMD -Samtec_HLE-106-02-xxx-DV_2x06_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-106-02-xxx-DV, 6 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -12 -12 -Connector_Samtec_HLE_SMD -Samtec_HLE-107-02-xxx-DV-A_2x07_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-107-02-xxx-DV-A, 7 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -14 -14 -Connector_Samtec_HLE_SMD -Samtec_HLE-107-02-xxx-DV-BE-A_2x07_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-107-02-xxx-DV-BE-A, 7 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -14 -14 -Connector_Samtec_HLE_SMD -Samtec_HLE-107-02-xxx-DV-BE-LC_2x07_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-107-02-xxx-DV-BE-LC, 7 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -14 -14 -Connector_Samtec_HLE_SMD -Samtec_HLE-107-02-xxx-DV-BE_2x07_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-107-02-xxx-DV-BE, 7 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -14 -14 -Connector_Samtec_HLE_SMD -Samtec_HLE-107-02-xxx-DV-LC_2x07_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-107-02-xxx-DV-LC, 7 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -14 -14 -Connector_Samtec_HLE_SMD -Samtec_HLE-107-02-xxx-DV_2x07_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-107-02-xxx-DV, 7 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -14 -14 -Connector_Samtec_HLE_SMD -Samtec_HLE-108-02-xxx-DV-A_2x08_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-108-02-xxx-DV-A, 8 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -16 -16 -Connector_Samtec_HLE_SMD -Samtec_HLE-108-02-xxx-DV-BE-A_2x08_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-108-02-xxx-DV-BE-A, 8 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -16 -16 -Connector_Samtec_HLE_SMD -Samtec_HLE-108-02-xxx-DV-BE-LC_2x08_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-108-02-xxx-DV-BE-LC, 8 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -16 -16 -Connector_Samtec_HLE_SMD -Samtec_HLE-108-02-xxx-DV-BE_2x08_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-108-02-xxx-DV-BE, 8 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -16 -16 -Connector_Samtec_HLE_SMD -Samtec_HLE-108-02-xxx-DV-LC_2x08_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-108-02-xxx-DV-LC, 8 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -16 -16 -Connector_Samtec_HLE_SMD -Samtec_HLE-108-02-xxx-DV_2x08_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-108-02-xxx-DV, 8 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -16 -16 -Connector_Samtec_HLE_SMD -Samtec_HLE-109-02-xxx-DV-A_2x09_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-109-02-xxx-DV-A, 9 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -18 -18 -Connector_Samtec_HLE_SMD -Samtec_HLE-109-02-xxx-DV-BE-A_2x09_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-109-02-xxx-DV-BE-A, 9 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -18 -18 -Connector_Samtec_HLE_SMD -Samtec_HLE-109-02-xxx-DV-BE-LC_2x09_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-109-02-xxx-DV-BE-LC, 9 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -18 -18 -Connector_Samtec_HLE_SMD -Samtec_HLE-109-02-xxx-DV-BE_2x09_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-109-02-xxx-DV-BE, 9 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -18 -18 -Connector_Samtec_HLE_SMD -Samtec_HLE-109-02-xxx-DV-LC_2x09_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-109-02-xxx-DV-LC, 9 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -18 -18 -Connector_Samtec_HLE_SMD -Samtec_HLE-109-02-xxx-DV_2x09_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-109-02-xxx-DV, 9 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -18 -18 -Connector_Samtec_HLE_SMD -Samtec_HLE-110-02-xxx-DV-A_2x10_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-110-02-xxx-DV-A, 10 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -20 -20 -Connector_Samtec_HLE_SMD -Samtec_HLE-110-02-xxx-DV-BE-A_2x10_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-110-02-xxx-DV-BE-A, 10 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -20 -20 -Connector_Samtec_HLE_SMD -Samtec_HLE-110-02-xxx-DV-BE-LC_2x10_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-110-02-xxx-DV-BE-LC, 10 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -20 -20 -Connector_Samtec_HLE_SMD -Samtec_HLE-110-02-xxx-DV-BE_2x10_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-110-02-xxx-DV-BE, 10 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -20 -20 -Connector_Samtec_HLE_SMD -Samtec_HLE-110-02-xxx-DV-LC_2x10_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-110-02-xxx-DV-LC, 10 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -20 -20 -Connector_Samtec_HLE_SMD -Samtec_HLE-110-02-xxx-DV_2x10_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-110-02-xxx-DV, 10 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -20 -20 -Connector_Samtec_HLE_SMD -Samtec_HLE-111-02-xxx-DV-A_2x11_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-111-02-xxx-DV-A, 11 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -22 -22 -Connector_Samtec_HLE_SMD -Samtec_HLE-111-02-xxx-DV-BE-A_2x11_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-111-02-xxx-DV-BE-A, 11 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -22 -22 -Connector_Samtec_HLE_SMD -Samtec_HLE-111-02-xxx-DV-BE-LC_2x11_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-111-02-xxx-DV-BE-LC, 11 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -22 -22 -Connector_Samtec_HLE_SMD -Samtec_HLE-111-02-xxx-DV-BE_2x11_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-111-02-xxx-DV-BE, 11 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -22 -22 -Connector_Samtec_HLE_SMD -Samtec_HLE-111-02-xxx-DV-LC_2x11_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-111-02-xxx-DV-LC, 11 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -22 -22 -Connector_Samtec_HLE_SMD -Samtec_HLE-111-02-xxx-DV_2x11_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-111-02-xxx-DV, 11 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -22 -22 -Connector_Samtec_HLE_SMD -Samtec_HLE-112-02-xxx-DV-A_2x12_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-112-02-xxx-DV-A, 12 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -24 -24 -Connector_Samtec_HLE_SMD -Samtec_HLE-112-02-xxx-DV-BE-A_2x12_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-112-02-xxx-DV-BE-A, 12 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -24 -24 -Connector_Samtec_HLE_SMD -Samtec_HLE-112-02-xxx-DV-BE-LC_2x12_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-112-02-xxx-DV-BE-LC, 12 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -24 -24 -Connector_Samtec_HLE_SMD -Samtec_HLE-112-02-xxx-DV-BE_2x12_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-112-02-xxx-DV-BE, 12 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -24 -24 -Connector_Samtec_HLE_SMD -Samtec_HLE-112-02-xxx-DV-LC_2x12_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-112-02-xxx-DV-LC, 12 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -24 -24 -Connector_Samtec_HLE_SMD -Samtec_HLE-112-02-xxx-DV_2x12_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-112-02-xxx-DV, 12 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -24 -24 -Connector_Samtec_HLE_SMD -Samtec_HLE-113-02-xxx-DV-A_2x13_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-113-02-xxx-DV-A, 13 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -26 -26 -Connector_Samtec_HLE_SMD -Samtec_HLE-113-02-xxx-DV-BE-A_2x13_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-113-02-xxx-DV-BE-A, 13 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -26 -26 -Connector_Samtec_HLE_SMD -Samtec_HLE-113-02-xxx-DV-BE-LC_2x13_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-113-02-xxx-DV-BE-LC, 13 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -26 -26 -Connector_Samtec_HLE_SMD -Samtec_HLE-113-02-xxx-DV-BE_2x13_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-113-02-xxx-DV-BE, 13 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -26 -26 -Connector_Samtec_HLE_SMD -Samtec_HLE-113-02-xxx-DV-LC_2x13_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-113-02-xxx-DV-LC, 13 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -26 -26 -Connector_Samtec_HLE_SMD -Samtec_HLE-113-02-xxx-DV_2x13_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-113-02-xxx-DV, 13 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -26 -26 -Connector_Samtec_HLE_SMD -Samtec_HLE-114-02-xxx-DV-A_2x14_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-114-02-xxx-DV-A, 14 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -28 -28 -Connector_Samtec_HLE_SMD -Samtec_HLE-114-02-xxx-DV-BE-A_2x14_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-114-02-xxx-DV-BE-A, 14 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -28 -28 -Connector_Samtec_HLE_SMD -Samtec_HLE-114-02-xxx-DV-BE-LC_2x14_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-114-02-xxx-DV-BE-LC, 14 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -28 -28 -Connector_Samtec_HLE_SMD -Samtec_HLE-114-02-xxx-DV-BE_2x14_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-114-02-xxx-DV-BE, 14 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -28 -28 -Connector_Samtec_HLE_SMD -Samtec_HLE-114-02-xxx-DV-LC_2x14_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-114-02-xxx-DV-LC, 14 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -28 -28 -Connector_Samtec_HLE_SMD -Samtec_HLE-114-02-xxx-DV_2x14_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-114-02-xxx-DV, 14 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -28 -28 -Connector_Samtec_HLE_SMD -Samtec_HLE-115-02-xxx-DV-A_2x15_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-115-02-xxx-DV-A, 15 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -30 -30 -Connector_Samtec_HLE_SMD -Samtec_HLE-115-02-xxx-DV-BE-A_2x15_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-115-02-xxx-DV-BE-A, 15 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -30 -30 -Connector_Samtec_HLE_SMD -Samtec_HLE-115-02-xxx-DV-BE-LC_2x15_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-115-02-xxx-DV-BE-LC, 15 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -30 -30 -Connector_Samtec_HLE_SMD -Samtec_HLE-115-02-xxx-DV-BE_2x15_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-115-02-xxx-DV-BE, 15 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -30 -30 -Connector_Samtec_HLE_SMD -Samtec_HLE-115-02-xxx-DV-LC_2x15_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-115-02-xxx-DV-LC, 15 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -30 -30 -Connector_Samtec_HLE_SMD -Samtec_HLE-115-02-xxx-DV_2x15_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-115-02-xxx-DV, 15 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -30 -30 -Connector_Samtec_HLE_SMD -Samtec_HLE-116-02-xxx-DV-A_2x16_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-116-02-xxx-DV-A, 16 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -32 -32 -Connector_Samtec_HLE_SMD -Samtec_HLE-116-02-xxx-DV-BE-A_2x16_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-116-02-xxx-DV-BE-A, 16 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -32 -32 -Connector_Samtec_HLE_SMD -Samtec_HLE-116-02-xxx-DV-BE-LC_2x16_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-116-02-xxx-DV-BE-LC, 16 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -32 -32 -Connector_Samtec_HLE_SMD -Samtec_HLE-116-02-xxx-DV-BE_2x16_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-116-02-xxx-DV-BE, 16 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -32 -32 -Connector_Samtec_HLE_SMD -Samtec_HLE-116-02-xxx-DV-LC_2x16_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-116-02-xxx-DV-LC, 16 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -32 -32 -Connector_Samtec_HLE_SMD -Samtec_HLE-116-02-xxx-DV_2x16_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-116-02-xxx-DV, 16 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -32 -32 -Connector_Samtec_HLE_SMD -Samtec_HLE-117-02-xxx-DV-A_2x17_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-117-02-xxx-DV-A, 17 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -34 -34 -Connector_Samtec_HLE_SMD -Samtec_HLE-117-02-xxx-DV-BE-A_2x17_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-117-02-xxx-DV-BE-A, 17 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -34 -34 -Connector_Samtec_HLE_SMD -Samtec_HLE-117-02-xxx-DV-BE-LC_2x17_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-117-02-xxx-DV-BE-LC, 17 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -34 -34 -Connector_Samtec_HLE_SMD -Samtec_HLE-117-02-xxx-DV-BE_2x17_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-117-02-xxx-DV-BE, 17 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -34 -34 -Connector_Samtec_HLE_SMD -Samtec_HLE-117-02-xxx-DV-LC_2x17_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-117-02-xxx-DV-LC, 17 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -34 -34 -Connector_Samtec_HLE_SMD -Samtec_HLE-117-02-xxx-DV_2x17_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-117-02-xxx-DV, 17 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -34 -34 -Connector_Samtec_HLE_SMD -Samtec_HLE-118-02-xxx-DV-A_2x18_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-118-02-xxx-DV-A, 18 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -36 -36 -Connector_Samtec_HLE_SMD -Samtec_HLE-118-02-xxx-DV-BE-A_2x18_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-118-02-xxx-DV-BE-A, 18 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -36 -36 -Connector_Samtec_HLE_SMD -Samtec_HLE-118-02-xxx-DV-BE-LC_2x18_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-118-02-xxx-DV-BE-LC, 18 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -36 -36 -Connector_Samtec_HLE_SMD -Samtec_HLE-118-02-xxx-DV-BE_2x18_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-118-02-xxx-DV-BE, 18 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -36 -36 -Connector_Samtec_HLE_SMD -Samtec_HLE-118-02-xxx-DV-LC_2x18_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-118-02-xxx-DV-LC, 18 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -36 -36 -Connector_Samtec_HLE_SMD -Samtec_HLE-118-02-xxx-DV_2x18_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-118-02-xxx-DV, 18 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -36 -36 -Connector_Samtec_HLE_SMD -Samtec_HLE-119-02-xxx-DV-A_2x19_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-119-02-xxx-DV-A, 19 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -38 -38 -Connector_Samtec_HLE_SMD -Samtec_HLE-119-02-xxx-DV-BE-A_2x19_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-119-02-xxx-DV-BE-A, 19 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -38 -38 -Connector_Samtec_HLE_SMD -Samtec_HLE-119-02-xxx-DV-BE-LC_2x19_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-119-02-xxx-DV-BE-LC, 19 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -38 -38 -Connector_Samtec_HLE_SMD -Samtec_HLE-119-02-xxx-DV-BE_2x19_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-119-02-xxx-DV-BE, 19 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -38 -38 -Connector_Samtec_HLE_SMD -Samtec_HLE-119-02-xxx-DV-LC_2x19_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-119-02-xxx-DV-LC, 19 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -38 -38 -Connector_Samtec_HLE_SMD -Samtec_HLE-119-02-xxx-DV_2x19_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-119-02-xxx-DV, 19 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -38 -38 -Connector_Samtec_HLE_SMD -Samtec_HLE-120-02-xxx-DV-A_2x20_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-120-02-xxx-DV-A, 20 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -40 -40 -Connector_Samtec_HLE_SMD -Samtec_HLE-120-02-xxx-DV-BE-A_2x20_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-120-02-xxx-DV-BE-A, 20 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -40 -40 -Connector_Samtec_HLE_SMD -Samtec_HLE-120-02-xxx-DV-BE-LC_2x20_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-120-02-xxx-DV-BE-LC, 20 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -40 -40 -Connector_Samtec_HLE_SMD -Samtec_HLE-120-02-xxx-DV-BE_2x20_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-120-02-xxx-DV-BE, 20 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -40 -40 -Connector_Samtec_HLE_SMD -Samtec_HLE-120-02-xxx-DV-LC_2x20_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-120-02-xxx-DV-LC, 20 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -40 -40 -Connector_Samtec_HLE_SMD -Samtec_HLE-120-02-xxx-DV_2x20_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-120-02-xxx-DV, 20 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -40 -40 -Connector_Samtec_HLE_SMD -Samtec_HLE-121-02-xxx-DV-A_2x21_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-121-02-xxx-DV-A, 21 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -42 -42 -Connector_Samtec_HLE_SMD -Samtec_HLE-121-02-xxx-DV-BE-A_2x21_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-121-02-xxx-DV-BE-A, 21 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -42 -42 -Connector_Samtec_HLE_SMD -Samtec_HLE-121-02-xxx-DV-BE-LC_2x21_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-121-02-xxx-DV-BE-LC, 21 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -42 -42 -Connector_Samtec_HLE_SMD -Samtec_HLE-121-02-xxx-DV-BE_2x21_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-121-02-xxx-DV-BE, 21 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -42 -42 -Connector_Samtec_HLE_SMD -Samtec_HLE-121-02-xxx-DV-LC_2x21_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-121-02-xxx-DV-LC, 21 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -42 -42 -Connector_Samtec_HLE_SMD -Samtec_HLE-121-02-xxx-DV_2x21_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-121-02-xxx-DV, 21 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -42 -42 -Connector_Samtec_HLE_SMD -Samtec_HLE-122-02-xxx-DV-A_2x22_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-122-02-xxx-DV-A, 22 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -44 -44 -Connector_Samtec_HLE_SMD -Samtec_HLE-122-02-xxx-DV-BE-A_2x22_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-122-02-xxx-DV-BE-A, 22 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -44 -44 -Connector_Samtec_HLE_SMD -Samtec_HLE-122-02-xxx-DV-BE-LC_2x22_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-122-02-xxx-DV-BE-LC, 22 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -44 -44 -Connector_Samtec_HLE_SMD -Samtec_HLE-122-02-xxx-DV-BE_2x22_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-122-02-xxx-DV-BE, 22 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -44 -44 -Connector_Samtec_HLE_SMD -Samtec_HLE-122-02-xxx-DV-LC_2x22_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-122-02-xxx-DV-LC, 22 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -44 -44 -Connector_Samtec_HLE_SMD -Samtec_HLE-122-02-xxx-DV_2x22_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-122-02-xxx-DV, 22 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -44 -44 -Connector_Samtec_HLE_SMD -Samtec_HLE-123-02-xxx-DV-A_2x23_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-123-02-xxx-DV-A, 23 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -46 -46 -Connector_Samtec_HLE_SMD -Samtec_HLE-123-02-xxx-DV-BE-A_2x23_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-123-02-xxx-DV-BE-A, 23 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -46 -46 -Connector_Samtec_HLE_SMD -Samtec_HLE-123-02-xxx-DV-BE-LC_2x23_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-123-02-xxx-DV-BE-LC, 23 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -46 -46 -Connector_Samtec_HLE_SMD -Samtec_HLE-123-02-xxx-DV-BE_2x23_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-123-02-xxx-DV-BE, 23 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -46 -46 -Connector_Samtec_HLE_SMD -Samtec_HLE-123-02-xxx-DV-LC_2x23_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-123-02-xxx-DV-LC, 23 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -46 -46 -Connector_Samtec_HLE_SMD -Samtec_HLE-123-02-xxx-DV_2x23_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-123-02-xxx-DV, 23 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -46 -46 -Connector_Samtec_HLE_SMD -Samtec_HLE-124-02-xxx-DV-A_2x24_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-124-02-xxx-DV-A, 24 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -48 -48 -Connector_Samtec_HLE_SMD -Samtec_HLE-124-02-xxx-DV-BE-A_2x24_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-124-02-xxx-DV-BE-A, 24 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -48 -48 -Connector_Samtec_HLE_SMD -Samtec_HLE-124-02-xxx-DV-BE-LC_2x24_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-124-02-xxx-DV-BE-LC, 24 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -48 -48 -Connector_Samtec_HLE_SMD -Samtec_HLE-124-02-xxx-DV-BE_2x24_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-124-02-xxx-DV-BE, 24 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -48 -48 -Connector_Samtec_HLE_SMD -Samtec_HLE-124-02-xxx-DV-LC_2x24_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-124-02-xxx-DV-LC, 24 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -48 -48 -Connector_Samtec_HLE_SMD -Samtec_HLE-124-02-xxx-DV_2x24_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-124-02-xxx-DV, 24 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -48 -48 -Connector_Samtec_HLE_SMD -Samtec_HLE-125-02-xxx-DV-A_2x25_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-125-02-xxx-DV-A, 25 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -50 -50 -Connector_Samtec_HLE_SMD -Samtec_HLE-125-02-xxx-DV-BE-A_2x25_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-125-02-xxx-DV-BE-A, 25 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -50 -50 -Connector_Samtec_HLE_SMD -Samtec_HLE-125-02-xxx-DV-BE-LC_2x25_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-125-02-xxx-DV-BE-LC, 25 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -50 -50 -Connector_Samtec_HLE_SMD -Samtec_HLE-125-02-xxx-DV-BE_2x25_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-125-02-xxx-DV-BE, 25 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -50 -50 -Connector_Samtec_HLE_SMD -Samtec_HLE-125-02-xxx-DV-LC_2x25_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-125-02-xxx-DV-LC, 25 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -50 -50 -Connector_Samtec_HLE_SMD -Samtec_HLE-125-02-xxx-DV_2x25_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-125-02-xxx-DV, 25 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -50 -50 -Connector_Samtec_HLE_SMD -Samtec_HLE-126-02-xxx-DV-A_2x26_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-126-02-xxx-DV-A, 26 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -52 -52 -Connector_Samtec_HLE_SMD -Samtec_HLE-126-02-xxx-DV-BE-A_2x26_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-126-02-xxx-DV-BE-A, 26 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -52 -52 -Connector_Samtec_HLE_SMD -Samtec_HLE-126-02-xxx-DV-BE-LC_2x26_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-126-02-xxx-DV-BE-LC, 26 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -52 -52 -Connector_Samtec_HLE_SMD -Samtec_HLE-126-02-xxx-DV-BE_2x26_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-126-02-xxx-DV-BE, 26 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -52 -52 -Connector_Samtec_HLE_SMD -Samtec_HLE-126-02-xxx-DV-LC_2x26_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-126-02-xxx-DV-LC, 26 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -52 -52 -Connector_Samtec_HLE_SMD -Samtec_HLE-126-02-xxx-DV_2x26_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-126-02-xxx-DV, 26 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -52 -52 -Connector_Samtec_HLE_SMD -Samtec_HLE-127-02-xxx-DV-A_2x27_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-127-02-xxx-DV-A, 27 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -54 -54 -Connector_Samtec_HLE_SMD -Samtec_HLE-127-02-xxx-DV-BE-A_2x27_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-127-02-xxx-DV-BE-A, 27 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -54 -54 -Connector_Samtec_HLE_SMD -Samtec_HLE-127-02-xxx-DV-BE-LC_2x27_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-127-02-xxx-DV-BE-LC, 27 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -54 -54 -Connector_Samtec_HLE_SMD -Samtec_HLE-127-02-xxx-DV-BE_2x27_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-127-02-xxx-DV-BE, 27 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -54 -54 -Connector_Samtec_HLE_SMD -Samtec_HLE-127-02-xxx-DV-LC_2x27_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-127-02-xxx-DV-LC, 27 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -54 -54 -Connector_Samtec_HLE_SMD -Samtec_HLE-127-02-xxx-DV_2x27_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-127-02-xxx-DV, 27 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -54 -54 -Connector_Samtec_HLE_SMD -Samtec_HLE-128-02-xxx-DV-A_2x28_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-128-02-xxx-DV-A, 28 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -56 -56 -Connector_Samtec_HLE_SMD -Samtec_HLE-128-02-xxx-DV-BE-A_2x28_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-128-02-xxx-DV-BE-A, 28 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -56 -56 -Connector_Samtec_HLE_SMD -Samtec_HLE-128-02-xxx-DV-BE-LC_2x28_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-128-02-xxx-DV-BE-LC, 28 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -56 -56 -Connector_Samtec_HLE_SMD -Samtec_HLE-128-02-xxx-DV-BE_2x28_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-128-02-xxx-DV-BE, 28 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -56 -56 -Connector_Samtec_HLE_SMD -Samtec_HLE-128-02-xxx-DV-LC_2x28_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-128-02-xxx-DV-LC, 28 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -56 -56 -Connector_Samtec_HLE_SMD -Samtec_HLE-128-02-xxx-DV_2x28_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-128-02-xxx-DV, 28 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -56 -56 -Connector_Samtec_HLE_SMD -Samtec_HLE-129-02-xxx-DV-A_2x29_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-129-02-xxx-DV-A, 29 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -58 -58 -Connector_Samtec_HLE_SMD -Samtec_HLE-129-02-xxx-DV-BE-A_2x29_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-129-02-xxx-DV-BE-A, 29 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -58 -58 -Connector_Samtec_HLE_SMD -Samtec_HLE-129-02-xxx-DV-BE-LC_2x29_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-129-02-xxx-DV-BE-LC, 29 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -58 -58 -Connector_Samtec_HLE_SMD -Samtec_HLE-129-02-xxx-DV-BE_2x29_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-129-02-xxx-DV-BE, 29 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -58 -58 -Connector_Samtec_HLE_SMD -Samtec_HLE-129-02-xxx-DV-LC_2x29_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-129-02-xxx-DV-LC, 29 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -58 -58 -Connector_Samtec_HLE_SMD -Samtec_HLE-129-02-xxx-DV_2x29_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-129-02-xxx-DV, 29 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -58 -58 -Connector_Samtec_HLE_SMD -Samtec_HLE-130-02-xxx-DV-A_2x30_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-130-02-xxx-DV-A, 30 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -60 -60 -Connector_Samtec_HLE_SMD -Samtec_HLE-130-02-xxx-DV-BE-A_2x30_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-130-02-xxx-DV-BE-A, 30 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -60 -60 -Connector_Samtec_HLE_SMD -Samtec_HLE-130-02-xxx-DV-BE-LC_2x30_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-130-02-xxx-DV-BE-LC, 30 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -60 -60 -Connector_Samtec_HLE_SMD -Samtec_HLE-130-02-xxx-DV-BE_2x30_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-130-02-xxx-DV-BE, 30 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -60 -60 -Connector_Samtec_HLE_SMD -Samtec_HLE-130-02-xxx-DV-LC_2x30_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-130-02-xxx-DV-LC, 30 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -60 -60 -Connector_Samtec_HLE_SMD -Samtec_HLE-130-02-xxx-DV_2x30_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-130-02-xxx-DV, 30 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -60 -60 -Connector_Samtec_HLE_SMD -Samtec_HLE-131-02-xxx-DV-A_2x31_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-131-02-xxx-DV-A, 31 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -62 -62 -Connector_Samtec_HLE_SMD -Samtec_HLE-131-02-xxx-DV-BE-A_2x31_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-131-02-xxx-DV-BE-A, 31 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -62 -62 -Connector_Samtec_HLE_SMD -Samtec_HLE-131-02-xxx-DV-BE-LC_2x31_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-131-02-xxx-DV-BE-LC, 31 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -62 -62 -Connector_Samtec_HLE_SMD -Samtec_HLE-131-02-xxx-DV-BE_2x31_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-131-02-xxx-DV-BE, 31 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -62 -62 -Connector_Samtec_HLE_SMD -Samtec_HLE-131-02-xxx-DV-LC_2x31_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-131-02-xxx-DV-LC, 31 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -62 -62 -Connector_Samtec_HLE_SMD -Samtec_HLE-131-02-xxx-DV_2x31_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-131-02-xxx-DV, 31 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -62 -62 -Connector_Samtec_HLE_SMD -Samtec_HLE-132-02-xxx-DV-A_2x32_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-132-02-xxx-DV-A, 32 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -64 -64 -Connector_Samtec_HLE_SMD -Samtec_HLE-132-02-xxx-DV-BE-A_2x32_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-132-02-xxx-DV-BE-A, 32 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -64 -64 -Connector_Samtec_HLE_SMD -Samtec_HLE-132-02-xxx-DV-BE-LC_2x32_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-132-02-xxx-DV-BE-LC, 32 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -64 -64 -Connector_Samtec_HLE_SMD -Samtec_HLE-132-02-xxx-DV-BE_2x32_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-132-02-xxx-DV-BE, 32 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -64 -64 -Connector_Samtec_HLE_SMD -Samtec_HLE-132-02-xxx-DV-LC_2x32_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-132-02-xxx-DV-LC, 32 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -64 -64 -Connector_Samtec_HLE_SMD -Samtec_HLE-132-02-xxx-DV_2x32_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-132-02-xxx-DV, 32 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -64 -64 -Connector_Samtec_HLE_SMD -Samtec_HLE-133-02-xxx-DV-A_2x33_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-133-02-xxx-DV-A, 33 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -66 -66 -Connector_Samtec_HLE_SMD -Samtec_HLE-133-02-xxx-DV-BE-A_2x33_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-133-02-xxx-DV-BE-A, 33 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -66 -66 -Connector_Samtec_HLE_SMD -Samtec_HLE-133-02-xxx-DV-BE-LC_2x33_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-133-02-xxx-DV-BE-LC, 33 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -66 -66 -Connector_Samtec_HLE_SMD -Samtec_HLE-133-02-xxx-DV-BE_2x33_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-133-02-xxx-DV-BE, 33 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -66 -66 -Connector_Samtec_HLE_SMD -Samtec_HLE-133-02-xxx-DV-LC_2x33_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-133-02-xxx-DV-LC, 33 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -66 -66 -Connector_Samtec_HLE_SMD -Samtec_HLE-133-02-xxx-DV_2x33_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-133-02-xxx-DV, 33 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -66 -66 -Connector_Samtec_HLE_SMD -Samtec_HLE-134-02-xxx-DV-A_2x34_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-134-02-xxx-DV-A, 34 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -68 -68 -Connector_Samtec_HLE_SMD -Samtec_HLE-134-02-xxx-DV-BE-A_2x34_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-134-02-xxx-DV-BE-A, 34 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -68 -68 -Connector_Samtec_HLE_SMD -Samtec_HLE-134-02-xxx-DV-BE-LC_2x34_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-134-02-xxx-DV-BE-LC, 34 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -68 -68 -Connector_Samtec_HLE_SMD -Samtec_HLE-134-02-xxx-DV-BE_2x34_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-134-02-xxx-DV-BE, 34 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -68 -68 -Connector_Samtec_HLE_SMD -Samtec_HLE-134-02-xxx-DV-LC_2x34_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-134-02-xxx-DV-LC, 34 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -68 -68 -Connector_Samtec_HLE_SMD -Samtec_HLE-134-02-xxx-DV_2x34_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-134-02-xxx-DV, 34 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -68 -68 -Connector_Samtec_HLE_SMD -Samtec_HLE-135-02-xxx-DV-A_2x35_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-135-02-xxx-DV-A, 35 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -70 -70 -Connector_Samtec_HLE_SMD -Samtec_HLE-135-02-xxx-DV-BE-A_2x35_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-135-02-xxx-DV-BE-A, 35 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -70 -70 -Connector_Samtec_HLE_SMD -Samtec_HLE-135-02-xxx-DV-BE-LC_2x35_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-135-02-xxx-DV-BE-LC, 35 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -70 -70 -Connector_Samtec_HLE_SMD -Samtec_HLE-135-02-xxx-DV-BE_2x35_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-135-02-xxx-DV-BE, 35 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -70 -70 -Connector_Samtec_HLE_SMD -Samtec_HLE-135-02-xxx-DV-LC_2x35_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-135-02-xxx-DV-LC, 35 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -70 -70 -Connector_Samtec_HLE_SMD -Samtec_HLE-135-02-xxx-DV_2x35_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-135-02-xxx-DV, 35 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -70 -70 -Connector_Samtec_HLE_SMD -Samtec_HLE-136-02-xxx-DV-A_2x36_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-136-02-xxx-DV-A, 36 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -72 -72 -Connector_Samtec_HLE_SMD -Samtec_HLE-136-02-xxx-DV-BE-A_2x36_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-136-02-xxx-DV-BE-A, 36 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -72 -72 -Connector_Samtec_HLE_SMD -Samtec_HLE-136-02-xxx-DV-BE-LC_2x36_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-136-02-xxx-DV-BE-LC, 36 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -72 -72 -Connector_Samtec_HLE_SMD -Samtec_HLE-136-02-xxx-DV-BE_2x36_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-136-02-xxx-DV-BE, 36 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -72 -72 -Connector_Samtec_HLE_SMD -Samtec_HLE-136-02-xxx-DV-LC_2x36_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-136-02-xxx-DV-LC, 36 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -72 -72 -Connector_Samtec_HLE_SMD -Samtec_HLE-136-02-xxx-DV_2x36_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-136-02-xxx-DV, 36 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -72 -72 -Connector_Samtec_HLE_SMD -Samtec_HLE-137-02-xxx-DV-A_2x37_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-137-02-xxx-DV-A, 37 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -74 -74 -Connector_Samtec_HLE_SMD -Samtec_HLE-137-02-xxx-DV-BE-A_2x37_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-137-02-xxx-DV-BE-A, 37 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -74 -74 -Connector_Samtec_HLE_SMD -Samtec_HLE-137-02-xxx-DV-BE-LC_2x37_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-137-02-xxx-DV-BE-LC, 37 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -74 -74 -Connector_Samtec_HLE_SMD -Samtec_HLE-137-02-xxx-DV-BE_2x37_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-137-02-xxx-DV-BE, 37 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -74 -74 -Connector_Samtec_HLE_SMD -Samtec_HLE-137-02-xxx-DV-LC_2x37_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-137-02-xxx-DV-LC, 37 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -74 -74 -Connector_Samtec_HLE_SMD -Samtec_HLE-137-02-xxx-DV_2x37_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-137-02-xxx-DV, 37 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -74 -74 -Connector_Samtec_HLE_SMD -Samtec_HLE-138-02-xxx-DV-A_2x38_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-138-02-xxx-DV-A, 38 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -76 -76 -Connector_Samtec_HLE_SMD -Samtec_HLE-138-02-xxx-DV-BE-A_2x38_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-138-02-xxx-DV-BE-A, 38 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -76 -76 -Connector_Samtec_HLE_SMD -Samtec_HLE-138-02-xxx-DV-BE-LC_2x38_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-138-02-xxx-DV-BE-LC, 38 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -76 -76 -Connector_Samtec_HLE_SMD -Samtec_HLE-138-02-xxx-DV-BE_2x38_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-138-02-xxx-DV-BE, 38 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -76 -76 -Connector_Samtec_HLE_SMD -Samtec_HLE-138-02-xxx-DV-LC_2x38_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-138-02-xxx-DV-LC, 38 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -76 -76 -Connector_Samtec_HLE_SMD -Samtec_HLE-138-02-xxx-DV_2x38_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-138-02-xxx-DV, 38 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -76 -76 -Connector_Samtec_HLE_SMD -Samtec_HLE-139-02-xxx-DV-A_2x39_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-139-02-xxx-DV-A, 39 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -78 -78 -Connector_Samtec_HLE_SMD -Samtec_HLE-139-02-xxx-DV-BE-A_2x39_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-139-02-xxx-DV-BE-A, 39 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -78 -78 -Connector_Samtec_HLE_SMD -Samtec_HLE-139-02-xxx-DV-BE-LC_2x39_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-139-02-xxx-DV-BE-LC, 39 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -78 -78 -Connector_Samtec_HLE_SMD -Samtec_HLE-139-02-xxx-DV-BE_2x39_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-139-02-xxx-DV-BE, 39 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -78 -78 -Connector_Samtec_HLE_SMD -Samtec_HLE-139-02-xxx-DV-LC_2x39_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-139-02-xxx-DV-LC, 39 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -78 -78 -Connector_Samtec_HLE_SMD -Samtec_HLE-139-02-xxx-DV_2x39_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-139-02-xxx-DV, 39 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -78 -78 -Connector_Samtec_HLE_SMD -Samtec_HLE-140-02-xxx-DV-A_2x40_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-140-02-xxx-DV-A, 40 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -80 -80 -Connector_Samtec_HLE_SMD -Samtec_HLE-140-02-xxx-DV-BE-A_2x40_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-140-02-xxx-DV-BE-A, 40 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -80 -80 -Connector_Samtec_HLE_SMD -Samtec_HLE-140-02-xxx-DV-BE-LC_2x40_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-140-02-xxx-DV-BE-LC, 40 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -80 -80 -Connector_Samtec_HLE_SMD -Samtec_HLE-140-02-xxx-DV-BE_2x40_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-140-02-xxx-DV-BE, 40 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -80 -80 -Connector_Samtec_HLE_SMD -Samtec_HLE-140-02-xxx-DV-LC_2x40_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-140-02-xxx-DV-LC, 40 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -80 -80 -Connector_Samtec_HLE_SMD -Samtec_HLE-140-02-xxx-DV_2x40_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-140-02-xxx-DV, 40 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -80 -80 -Connector_Samtec_HLE_SMD -Samtec_HLE-141-02-xxx-DV-A_2x41_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-141-02-xxx-DV-A, 41 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -82 -82 -Connector_Samtec_HLE_SMD -Samtec_HLE-141-02-xxx-DV-BE-A_2x41_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-141-02-xxx-DV-BE-A, 41 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -82 -82 -Connector_Samtec_HLE_SMD -Samtec_HLE-141-02-xxx-DV-BE-LC_2x41_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-141-02-xxx-DV-BE-LC, 41 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -82 -82 -Connector_Samtec_HLE_SMD -Samtec_HLE-141-02-xxx-DV-BE_2x41_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-141-02-xxx-DV-BE, 41 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -82 -82 -Connector_Samtec_HLE_SMD -Samtec_HLE-141-02-xxx-DV-LC_2x41_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-141-02-xxx-DV-LC, 41 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -82 -82 -Connector_Samtec_HLE_SMD -Samtec_HLE-141-02-xxx-DV_2x41_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-141-02-xxx-DV, 41 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -82 -82 -Connector_Samtec_HLE_SMD -Samtec_HLE-142-02-xxx-DV-A_2x42_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-142-02-xxx-DV-A, 42 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -84 -84 -Connector_Samtec_HLE_SMD -Samtec_HLE-142-02-xxx-DV-BE-A_2x42_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-142-02-xxx-DV-BE-A, 42 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -84 -84 -Connector_Samtec_HLE_SMD -Samtec_HLE-142-02-xxx-DV-BE-LC_2x42_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-142-02-xxx-DV-BE-LC, 42 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -84 -84 -Connector_Samtec_HLE_SMD -Samtec_HLE-142-02-xxx-DV-BE_2x42_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-142-02-xxx-DV-BE, 42 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -84 -84 -Connector_Samtec_HLE_SMD -Samtec_HLE-142-02-xxx-DV-LC_2x42_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-142-02-xxx-DV-LC, 42 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -84 -84 -Connector_Samtec_HLE_SMD -Samtec_HLE-142-02-xxx-DV_2x42_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-142-02-xxx-DV, 42 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -84 -84 -Connector_Samtec_HLE_SMD -Samtec_HLE-143-02-xxx-DV-A_2x43_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-143-02-xxx-DV-A, 43 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -86 -86 -Connector_Samtec_HLE_SMD -Samtec_HLE-143-02-xxx-DV-BE-A_2x43_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-143-02-xxx-DV-BE-A, 43 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -86 -86 -Connector_Samtec_HLE_SMD -Samtec_HLE-143-02-xxx-DV-BE-LC_2x43_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-143-02-xxx-DV-BE-LC, 43 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -86 -86 -Connector_Samtec_HLE_SMD -Samtec_HLE-143-02-xxx-DV-BE_2x43_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-143-02-xxx-DV-BE, 43 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -86 -86 -Connector_Samtec_HLE_SMD -Samtec_HLE-143-02-xxx-DV-LC_2x43_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-143-02-xxx-DV-LC, 43 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -86 -86 -Connector_Samtec_HLE_SMD -Samtec_HLE-143-02-xxx-DV_2x43_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-143-02-xxx-DV, 43 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -86 -86 -Connector_Samtec_HLE_SMD -Samtec_HLE-144-02-xxx-DV-A_2x44_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-144-02-xxx-DV-A, 44 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -88 -88 -Connector_Samtec_HLE_SMD -Samtec_HLE-144-02-xxx-DV-BE-A_2x44_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-144-02-xxx-DV-BE-A, 44 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -88 -88 -Connector_Samtec_HLE_SMD -Samtec_HLE-144-02-xxx-DV-BE-LC_2x44_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-144-02-xxx-DV-BE-LC, 44 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -88 -88 -Connector_Samtec_HLE_SMD -Samtec_HLE-144-02-xxx-DV-BE_2x44_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-144-02-xxx-DV-BE, 44 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -88 -88 -Connector_Samtec_HLE_SMD -Samtec_HLE-144-02-xxx-DV-LC_2x44_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-144-02-xxx-DV-LC, 44 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -88 -88 -Connector_Samtec_HLE_SMD -Samtec_HLE-144-02-xxx-DV_2x44_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-144-02-xxx-DV, 44 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -88 -88 -Connector_Samtec_HLE_SMD -Samtec_HLE-145-02-xxx-DV-A_2x45_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-145-02-xxx-DV-A, 45 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -90 -90 -Connector_Samtec_HLE_SMD -Samtec_HLE-145-02-xxx-DV-BE-A_2x45_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-145-02-xxx-DV-BE-A, 45 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -90 -90 -Connector_Samtec_HLE_SMD -Samtec_HLE-145-02-xxx-DV-BE-LC_2x45_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-145-02-xxx-DV-BE-LC, 45 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -90 -90 -Connector_Samtec_HLE_SMD -Samtec_HLE-145-02-xxx-DV-BE_2x45_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-145-02-xxx-DV-BE, 45 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -90 -90 -Connector_Samtec_HLE_SMD -Samtec_HLE-145-02-xxx-DV-LC_2x45_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-145-02-xxx-DV-LC, 45 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -90 -90 -Connector_Samtec_HLE_SMD -Samtec_HLE-145-02-xxx-DV_2x45_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-145-02-xxx-DV, 45 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -90 -90 -Connector_Samtec_HLE_SMD -Samtec_HLE-146-02-xxx-DV-A_2x46_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-146-02-xxx-DV-A, 46 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -92 -92 -Connector_Samtec_HLE_SMD -Samtec_HLE-146-02-xxx-DV-BE-A_2x46_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-146-02-xxx-DV-BE-A, 46 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -92 -92 -Connector_Samtec_HLE_SMD -Samtec_HLE-146-02-xxx-DV-BE-LC_2x46_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-146-02-xxx-DV-BE-LC, 46 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -92 -92 -Connector_Samtec_HLE_SMD -Samtec_HLE-146-02-xxx-DV-BE_2x46_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-146-02-xxx-DV-BE, 46 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -92 -92 -Connector_Samtec_HLE_SMD -Samtec_HLE-146-02-xxx-DV-LC_2x46_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-146-02-xxx-DV-LC, 46 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -92 -92 -Connector_Samtec_HLE_SMD -Samtec_HLE-146-02-xxx-DV_2x46_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-146-02-xxx-DV, 46 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -92 -92 -Connector_Samtec_HLE_SMD -Samtec_HLE-147-02-xxx-DV-A_2x47_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-147-02-xxx-DV-A, 47 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -94 -94 -Connector_Samtec_HLE_SMD -Samtec_HLE-147-02-xxx-DV-BE-A_2x47_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-147-02-xxx-DV-BE-A, 47 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -94 -94 -Connector_Samtec_HLE_SMD -Samtec_HLE-147-02-xxx-DV-BE-LC_2x47_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-147-02-xxx-DV-BE-LC, 47 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -94 -94 -Connector_Samtec_HLE_SMD -Samtec_HLE-147-02-xxx-DV-BE_2x47_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-147-02-xxx-DV-BE, 47 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -94 -94 -Connector_Samtec_HLE_SMD -Samtec_HLE-147-02-xxx-DV-LC_2x47_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-147-02-xxx-DV-LC, 47 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -94 -94 -Connector_Samtec_HLE_SMD -Samtec_HLE-147-02-xxx-DV_2x47_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-147-02-xxx-DV, 47 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -94 -94 -Connector_Samtec_HLE_SMD -Samtec_HLE-148-02-xxx-DV-A_2x48_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-148-02-xxx-DV-A, 48 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -96 -96 -Connector_Samtec_HLE_SMD -Samtec_HLE-148-02-xxx-DV-BE-A_2x48_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-148-02-xxx-DV-BE-A, 48 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -96 -96 -Connector_Samtec_HLE_SMD -Samtec_HLE-148-02-xxx-DV-BE-LC_2x48_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-148-02-xxx-DV-BE-LC, 48 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -96 -96 -Connector_Samtec_HLE_SMD -Samtec_HLE-148-02-xxx-DV-BE_2x48_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-148-02-xxx-DV-BE, 48 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -96 -96 -Connector_Samtec_HLE_SMD -Samtec_HLE-148-02-xxx-DV-LC_2x48_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-148-02-xxx-DV-LC, 48 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -96 -96 -Connector_Samtec_HLE_SMD -Samtec_HLE-148-02-xxx-DV_2x48_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-148-02-xxx-DV, 48 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -96 -96 -Connector_Samtec_HLE_SMD -Samtec_HLE-149-02-xxx-DV-A_2x49_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-149-02-xxx-DV-A, 49 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -98 -98 -Connector_Samtec_HLE_SMD -Samtec_HLE-149-02-xxx-DV-BE-A_2x49_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-149-02-xxx-DV-BE-A, 49 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -98 -98 -Connector_Samtec_HLE_SMD -Samtec_HLE-149-02-xxx-DV-BE-LC_2x49_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-149-02-xxx-DV-BE-LC, 49 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -98 -98 -Connector_Samtec_HLE_SMD -Samtec_HLE-149-02-xxx-DV-BE_2x49_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-149-02-xxx-DV-BE, 49 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -98 -98 -Connector_Samtec_HLE_SMD -Samtec_HLE-149-02-xxx-DV-LC_2x49_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-149-02-xxx-DV-LC, 49 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -98 -98 -Connector_Samtec_HLE_SMD -Samtec_HLE-149-02-xxx-DV_2x49_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-149-02-xxx-DV, 49 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -98 -98 -Connector_Samtec_HLE_SMD -Samtec_HLE-150-02-xxx-DV-A_2x50_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-150-02-xxx-DV-A, 50 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -100 -100 -Connector_Samtec_HLE_SMD -Samtec_HLE-150-02-xxx-DV-BE-A_2x50_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-150-02-xxx-DV-BE-A, 50 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -100 -100 -Connector_Samtec_HLE_SMD -Samtec_HLE-150-02-xxx-DV-BE-LC_2x50_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-150-02-xxx-DV-BE-LC, 50 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -100 -100 -Connector_Samtec_HLE_SMD -Samtec_HLE-150-02-xxx-DV-BE_2x50_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-150-02-xxx-DV-BE, 50 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -100 -100 -Connector_Samtec_HLE_SMD -Samtec_HLE-150-02-xxx-DV-LC_2x50_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-150-02-xxx-DV-LC, 50 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -100 -100 -Connector_Samtec_HLE_SMD -Samtec_HLE-150-02-xxx-DV_2x50_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-150-02-xxx-DV, 50 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf), generated with kicad-footprint-generator -connector Samtec HLE horizontal -0 -100 -100 -Connector_Samtec_HLE_THT -Samtec_HLE-104-02-xx-DV-PE-LC_2x04_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-104-02-xx-DV-PE-LC, 4 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -8 -8 -Connector_Samtec_HLE_THT -Samtec_HLE-104-02-xx-DV-PE_2x04_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-104-02-xx-DV-PE (compatible alternatives: HLE-104-02-xx-DV-PE-BE), 4 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -8 -8 -Connector_Samtec_HLE_THT -Samtec_HLE-104-02-xx-DV-TE_2x04_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-104-02-xx-DV-TE, 4 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -8 -8 -Connector_Samtec_HLE_THT -Samtec_HLE-105-02-xx-DV-PE-LC_2x05_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-105-02-xx-DV-PE-LC, 5 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -10 -10 -Connector_Samtec_HLE_THT -Samtec_HLE-105-02-xx-DV-PE_2x05_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-105-02-xx-DV-PE (compatible alternatives: HLE-105-02-xx-DV-PE-BE), 5 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -10 -10 -Connector_Samtec_HLE_THT -Samtec_HLE-105-02-xx-DV-TE_2x05_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-105-02-xx-DV-TE, 5 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -10 -10 -Connector_Samtec_HLE_THT -Samtec_HLE-106-02-xx-DV-PE-LC_2x06_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-106-02-xx-DV-PE-LC, 6 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -12 -12 -Connector_Samtec_HLE_THT -Samtec_HLE-106-02-xx-DV-PE_2x06_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-106-02-xx-DV-PE (compatible alternatives: HLE-106-02-xx-DV-PE-BE), 6 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -12 -12 -Connector_Samtec_HLE_THT -Samtec_HLE-106-02-xx-DV-TE_2x06_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-106-02-xx-DV-TE, 6 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -12 -12 -Connector_Samtec_HLE_THT -Samtec_HLE-107-02-xx-DV-PE-LC_2x07_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-107-02-xx-DV-PE-LC, 7 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -14 -14 -Connector_Samtec_HLE_THT -Samtec_HLE-107-02-xx-DV-PE_2x07_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-107-02-xx-DV-PE (compatible alternatives: HLE-107-02-xx-DV-PE-BE), 7 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -14 -14 -Connector_Samtec_HLE_THT -Samtec_HLE-107-02-xx-DV-TE_2x07_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-107-02-xx-DV-TE, 7 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -14 -14 -Connector_Samtec_HLE_THT -Samtec_HLE-108-02-xx-DV-PE-LC_2x08_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-108-02-xx-DV-PE-LC, 8 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -16 -16 -Connector_Samtec_HLE_THT -Samtec_HLE-108-02-xx-DV-PE_2x08_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-108-02-xx-DV-PE (compatible alternatives: HLE-108-02-xx-DV-PE-BE), 8 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -16 -16 -Connector_Samtec_HLE_THT -Samtec_HLE-108-02-xx-DV-TE_2x08_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-108-02-xx-DV-TE, 8 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -16 -16 -Connector_Samtec_HLE_THT -Samtec_HLE-109-02-xx-DV-PE-LC_2x09_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-109-02-xx-DV-PE-LC, 9 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -18 -18 -Connector_Samtec_HLE_THT -Samtec_HLE-109-02-xx-DV-PE_2x09_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-109-02-xx-DV-PE (compatible alternatives: HLE-109-02-xx-DV-PE-BE), 9 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -18 -18 -Connector_Samtec_HLE_THT -Samtec_HLE-109-02-xx-DV-TE_2x09_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-109-02-xx-DV-TE, 9 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -18 -18 -Connector_Samtec_HLE_THT -Samtec_HLE-110-02-xx-DV-PE-LC_2x10_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-110-02-xx-DV-PE-LC, 10 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -20 -20 -Connector_Samtec_HLE_THT -Samtec_HLE-110-02-xx-DV-PE_2x10_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-110-02-xx-DV-PE (compatible alternatives: HLE-110-02-xx-DV-PE-BE), 10 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -20 -20 -Connector_Samtec_HLE_THT -Samtec_HLE-110-02-xx-DV-TE_2x10_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-110-02-xx-DV-TE, 10 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -20 -20 -Connector_Samtec_HLE_THT -Samtec_HLE-111-02-xx-DV-PE-LC_2x11_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-111-02-xx-DV-PE-LC, 11 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -22 -22 -Connector_Samtec_HLE_THT -Samtec_HLE-111-02-xx-DV-PE_2x11_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-111-02-xx-DV-PE (compatible alternatives: HLE-111-02-xx-DV-PE-BE), 11 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -22 -22 -Connector_Samtec_HLE_THT -Samtec_HLE-111-02-xx-DV-TE_2x11_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-111-02-xx-DV-TE, 11 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -22 -22 -Connector_Samtec_HLE_THT -Samtec_HLE-112-02-xx-DV-PE-LC_2x12_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-112-02-xx-DV-PE-LC, 12 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -24 -24 -Connector_Samtec_HLE_THT -Samtec_HLE-112-02-xx-DV-PE_2x12_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-112-02-xx-DV-PE (compatible alternatives: HLE-112-02-xx-DV-PE-BE), 12 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -24 -24 -Connector_Samtec_HLE_THT -Samtec_HLE-112-02-xx-DV-TE_2x12_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-112-02-xx-DV-TE, 12 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -24 -24 -Connector_Samtec_HLE_THT -Samtec_HLE-113-02-xx-DV-PE-LC_2x13_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-113-02-xx-DV-PE-LC, 13 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -26 -26 -Connector_Samtec_HLE_THT -Samtec_HLE-113-02-xx-DV-PE_2x13_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-113-02-xx-DV-PE (compatible alternatives: HLE-113-02-xx-DV-PE-BE), 13 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -26 -26 -Connector_Samtec_HLE_THT -Samtec_HLE-113-02-xx-DV-TE_2x13_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-113-02-xx-DV-TE, 13 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -26 -26 -Connector_Samtec_HLE_THT -Samtec_HLE-114-02-xx-DV-PE-LC_2x14_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-114-02-xx-DV-PE-LC, 14 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -28 -28 -Connector_Samtec_HLE_THT -Samtec_HLE-114-02-xx-DV-PE_2x14_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-114-02-xx-DV-PE (compatible alternatives: HLE-114-02-xx-DV-PE-BE), 14 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -28 -28 -Connector_Samtec_HLE_THT -Samtec_HLE-114-02-xx-DV-TE_2x14_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-114-02-xx-DV-TE, 14 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -28 -28 -Connector_Samtec_HLE_THT -Samtec_HLE-115-02-xx-DV-PE-LC_2x15_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-115-02-xx-DV-PE-LC, 15 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -30 -30 -Connector_Samtec_HLE_THT -Samtec_HLE-115-02-xx-DV-PE_2x15_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-115-02-xx-DV-PE (compatible alternatives: HLE-115-02-xx-DV-PE-BE), 15 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -30 -30 -Connector_Samtec_HLE_THT -Samtec_HLE-115-02-xx-DV-TE_2x15_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-115-02-xx-DV-TE, 15 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -30 -30 -Connector_Samtec_HLE_THT -Samtec_HLE-116-02-xx-DV-PE-LC_2x16_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-116-02-xx-DV-PE-LC, 16 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -32 -32 -Connector_Samtec_HLE_THT -Samtec_HLE-116-02-xx-DV-PE_2x16_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-116-02-xx-DV-PE (compatible alternatives: HLE-116-02-xx-DV-PE-BE), 16 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -32 -32 -Connector_Samtec_HLE_THT -Samtec_HLE-116-02-xx-DV-TE_2x16_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-116-02-xx-DV-TE, 16 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -32 -32 -Connector_Samtec_HLE_THT -Samtec_HLE-117-02-xx-DV-PE-LC_2x17_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-117-02-xx-DV-PE-LC, 17 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -34 -34 -Connector_Samtec_HLE_THT -Samtec_HLE-117-02-xx-DV-PE_2x17_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-117-02-xx-DV-PE (compatible alternatives: HLE-117-02-xx-DV-PE-BE), 17 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -34 -34 -Connector_Samtec_HLE_THT -Samtec_HLE-117-02-xx-DV-TE_2x17_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-117-02-xx-DV-TE, 17 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -34 -34 -Connector_Samtec_HLE_THT -Samtec_HLE-118-02-xx-DV-PE-LC_2x18_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-118-02-xx-DV-PE-LC, 18 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -36 -36 -Connector_Samtec_HLE_THT -Samtec_HLE-118-02-xx-DV-PE_2x18_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-118-02-xx-DV-PE (compatible alternatives: HLE-118-02-xx-DV-PE-BE), 18 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -36 -36 -Connector_Samtec_HLE_THT -Samtec_HLE-118-02-xx-DV-TE_2x18_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-118-02-xx-DV-TE, 18 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -36 -36 -Connector_Samtec_HLE_THT -Samtec_HLE-119-02-xx-DV-PE-LC_2x19_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-119-02-xx-DV-PE-LC, 19 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -38 -38 -Connector_Samtec_HLE_THT -Samtec_HLE-119-02-xx-DV-PE_2x19_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-119-02-xx-DV-PE (compatible alternatives: HLE-119-02-xx-DV-PE-BE), 19 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -38 -38 -Connector_Samtec_HLE_THT -Samtec_HLE-119-02-xx-DV-TE_2x19_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-119-02-xx-DV-TE, 19 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -38 -38 -Connector_Samtec_HLE_THT -Samtec_HLE-120-02-xx-DV-PE-LC_2x20_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-120-02-xx-DV-PE-LC, 20 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -40 -40 -Connector_Samtec_HLE_THT -Samtec_HLE-120-02-xx-DV-PE_2x20_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-120-02-xx-DV-PE (compatible alternatives: HLE-120-02-xx-DV-PE-BE), 20 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -40 -40 -Connector_Samtec_HLE_THT -Samtec_HLE-120-02-xx-DV-TE_2x20_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-120-02-xx-DV-TE, 20 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -40 -40 -Connector_Samtec_HLE_THT -Samtec_HLE-121-02-xx-DV-PE-LC_2x21_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-121-02-xx-DV-PE-LC, 21 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -42 -42 -Connector_Samtec_HLE_THT -Samtec_HLE-121-02-xx-DV-PE_2x21_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-121-02-xx-DV-PE (compatible alternatives: HLE-121-02-xx-DV-PE-BE), 21 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -42 -42 -Connector_Samtec_HLE_THT -Samtec_HLE-121-02-xx-DV-TE_2x21_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-121-02-xx-DV-TE, 21 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -42 -42 -Connector_Samtec_HLE_THT -Samtec_HLE-122-02-xx-DV-PE-LC_2x22_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-122-02-xx-DV-PE-LC, 22 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -44 -44 -Connector_Samtec_HLE_THT -Samtec_HLE-122-02-xx-DV-PE_2x22_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-122-02-xx-DV-PE (compatible alternatives: HLE-122-02-xx-DV-PE-BE), 22 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -44 -44 -Connector_Samtec_HLE_THT -Samtec_HLE-122-02-xx-DV-TE_2x22_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-122-02-xx-DV-TE, 22 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -44 -44 -Connector_Samtec_HLE_THT -Samtec_HLE-123-02-xx-DV-PE-LC_2x23_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-123-02-xx-DV-PE-LC, 23 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -46 -46 -Connector_Samtec_HLE_THT -Samtec_HLE-123-02-xx-DV-PE_2x23_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-123-02-xx-DV-PE (compatible alternatives: HLE-123-02-xx-DV-PE-BE), 23 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -46 -46 -Connector_Samtec_HLE_THT -Samtec_HLE-123-02-xx-DV-TE_2x23_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-123-02-xx-DV-TE, 23 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -46 -46 -Connector_Samtec_HLE_THT -Samtec_HLE-124-02-xx-DV-PE-LC_2x24_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-124-02-xx-DV-PE-LC, 24 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -48 -48 -Connector_Samtec_HLE_THT -Samtec_HLE-124-02-xx-DV-PE_2x24_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-124-02-xx-DV-PE (compatible alternatives: HLE-124-02-xx-DV-PE-BE), 24 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -48 -48 -Connector_Samtec_HLE_THT -Samtec_HLE-124-02-xx-DV-TE_2x24_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-124-02-xx-DV-TE, 24 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -48 -48 -Connector_Samtec_HLE_THT -Samtec_HLE-125-02-xx-DV-PE-LC_2x25_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-125-02-xx-DV-PE-LC, 25 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -50 -50 -Connector_Samtec_HLE_THT -Samtec_HLE-125-02-xx-DV-PE_2x25_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-125-02-xx-DV-PE (compatible alternatives: HLE-125-02-xx-DV-PE-BE), 25 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -50 -50 -Connector_Samtec_HLE_THT -Samtec_HLE-125-02-xx-DV-TE_2x25_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-125-02-xx-DV-TE, 25 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -50 -50 -Connector_Samtec_HLE_THT -Samtec_HLE-126-02-xx-DV-PE-LC_2x26_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-126-02-xx-DV-PE-LC, 26 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -52 -52 -Connector_Samtec_HLE_THT -Samtec_HLE-126-02-xx-DV-PE_2x26_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-126-02-xx-DV-PE (compatible alternatives: HLE-126-02-xx-DV-PE-BE), 26 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -52 -52 -Connector_Samtec_HLE_THT -Samtec_HLE-126-02-xx-DV-TE_2x26_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-126-02-xx-DV-TE, 26 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -52 -52 -Connector_Samtec_HLE_THT -Samtec_HLE-127-02-xx-DV-PE-LC_2x27_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-127-02-xx-DV-PE-LC, 27 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -54 -54 -Connector_Samtec_HLE_THT -Samtec_HLE-127-02-xx-DV-PE_2x27_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-127-02-xx-DV-PE (compatible alternatives: HLE-127-02-xx-DV-PE-BE), 27 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -54 -54 -Connector_Samtec_HLE_THT -Samtec_HLE-127-02-xx-DV-TE_2x27_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-127-02-xx-DV-TE, 27 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -54 -54 -Connector_Samtec_HLE_THT -Samtec_HLE-128-02-xx-DV-PE-LC_2x28_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-128-02-xx-DV-PE-LC, 28 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -56 -56 -Connector_Samtec_HLE_THT -Samtec_HLE-128-02-xx-DV-PE_2x28_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-128-02-xx-DV-PE (compatible alternatives: HLE-128-02-xx-DV-PE-BE), 28 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -56 -56 -Connector_Samtec_HLE_THT -Samtec_HLE-128-02-xx-DV-TE_2x28_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-128-02-xx-DV-TE, 28 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -56 -56 -Connector_Samtec_HLE_THT -Samtec_HLE-129-02-xx-DV-PE-LC_2x29_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-129-02-xx-DV-PE-LC, 29 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -58 -58 -Connector_Samtec_HLE_THT -Samtec_HLE-129-02-xx-DV-PE_2x29_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-129-02-xx-DV-PE (compatible alternatives: HLE-129-02-xx-DV-PE-BE), 29 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -58 -58 -Connector_Samtec_HLE_THT -Samtec_HLE-129-02-xx-DV-TE_2x29_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-129-02-xx-DV-TE, 29 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -58 -58 -Connector_Samtec_HLE_THT -Samtec_HLE-130-02-xx-DV-PE-LC_2x30_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-130-02-xx-DV-PE-LC, 30 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -60 -60 -Connector_Samtec_HLE_THT -Samtec_HLE-130-02-xx-DV-PE_2x30_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-130-02-xx-DV-PE (compatible alternatives: HLE-130-02-xx-DV-PE-BE), 30 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -60 -60 -Connector_Samtec_HLE_THT -Samtec_HLE-130-02-xx-DV-TE_2x30_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-130-02-xx-DV-TE, 30 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -60 -60 -Connector_Samtec_HLE_THT -Samtec_HLE-131-02-xx-DV-PE-LC_2x31_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-131-02-xx-DV-PE-LC, 31 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -62 -62 -Connector_Samtec_HLE_THT -Samtec_HLE-131-02-xx-DV-PE_2x31_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-131-02-xx-DV-PE (compatible alternatives: HLE-131-02-xx-DV-PE-BE), 31 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -62 -62 -Connector_Samtec_HLE_THT -Samtec_HLE-131-02-xx-DV-TE_2x31_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-131-02-xx-DV-TE, 31 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -62 -62 -Connector_Samtec_HLE_THT -Samtec_HLE-132-02-xx-DV-PE-LC_2x32_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-132-02-xx-DV-PE-LC, 32 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -64 -64 -Connector_Samtec_HLE_THT -Samtec_HLE-132-02-xx-DV-PE_2x32_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-132-02-xx-DV-PE (compatible alternatives: HLE-132-02-xx-DV-PE-BE), 32 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -64 -64 -Connector_Samtec_HLE_THT -Samtec_HLE-132-02-xx-DV-TE_2x32_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-132-02-xx-DV-TE, 32 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -64 -64 -Connector_Samtec_HLE_THT -Samtec_HLE-133-02-xx-DV-PE-LC_2x33_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-133-02-xx-DV-PE-LC, 33 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -66 -66 -Connector_Samtec_HLE_THT -Samtec_HLE-133-02-xx-DV-PE_2x33_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-133-02-xx-DV-PE (compatible alternatives: HLE-133-02-xx-DV-PE-BE), 33 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -66 -66 -Connector_Samtec_HLE_THT -Samtec_HLE-133-02-xx-DV-TE_2x33_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-133-02-xx-DV-TE, 33 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -66 -66 -Connector_Samtec_HLE_THT -Samtec_HLE-134-02-xx-DV-PE-LC_2x34_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-134-02-xx-DV-PE-LC, 34 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -68 -68 -Connector_Samtec_HLE_THT -Samtec_HLE-134-02-xx-DV-PE_2x34_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-134-02-xx-DV-PE (compatible alternatives: HLE-134-02-xx-DV-PE-BE), 34 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -68 -68 -Connector_Samtec_HLE_THT -Samtec_HLE-134-02-xx-DV-TE_2x34_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-134-02-xx-DV-TE, 34 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -68 -68 -Connector_Samtec_HLE_THT -Samtec_HLE-135-02-xx-DV-PE-LC_2x35_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-135-02-xx-DV-PE-LC, 35 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -70 -70 -Connector_Samtec_HLE_THT -Samtec_HLE-135-02-xx-DV-PE_2x35_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-135-02-xx-DV-PE (compatible alternatives: HLE-135-02-xx-DV-PE-BE), 35 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -70 -70 -Connector_Samtec_HLE_THT -Samtec_HLE-135-02-xx-DV-TE_2x35_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-135-02-xx-DV-TE, 35 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -70 -70 -Connector_Samtec_HLE_THT -Samtec_HLE-136-02-xx-DV-PE-LC_2x36_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-136-02-xx-DV-PE-LC, 36 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -72 -72 -Connector_Samtec_HLE_THT -Samtec_HLE-136-02-xx-DV-PE_2x36_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-136-02-xx-DV-PE (compatible alternatives: HLE-136-02-xx-DV-PE-BE), 36 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -72 -72 -Connector_Samtec_HLE_THT -Samtec_HLE-136-02-xx-DV-TE_2x36_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-136-02-xx-DV-TE, 36 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -72 -72 -Connector_Samtec_HLE_THT -Samtec_HLE-137-02-xx-DV-PE-LC_2x37_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-137-02-xx-DV-PE-LC, 37 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -74 -74 -Connector_Samtec_HLE_THT -Samtec_HLE-137-02-xx-DV-PE_2x37_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-137-02-xx-DV-PE (compatible alternatives: HLE-137-02-xx-DV-PE-BE), 37 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -74 -74 -Connector_Samtec_HLE_THT -Samtec_HLE-137-02-xx-DV-TE_2x37_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-137-02-xx-DV-TE, 37 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -74 -74 -Connector_Samtec_HLE_THT -Samtec_HLE-138-02-xx-DV-PE-LC_2x38_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-138-02-xx-DV-PE-LC, 38 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -76 -76 -Connector_Samtec_HLE_THT -Samtec_HLE-138-02-xx-DV-PE_2x38_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-138-02-xx-DV-PE (compatible alternatives: HLE-138-02-xx-DV-PE-BE), 38 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -76 -76 -Connector_Samtec_HLE_THT -Samtec_HLE-138-02-xx-DV-TE_2x38_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-138-02-xx-DV-TE, 38 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -76 -76 -Connector_Samtec_HLE_THT -Samtec_HLE-139-02-xx-DV-PE-LC_2x39_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-139-02-xx-DV-PE-LC, 39 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -78 -78 -Connector_Samtec_HLE_THT -Samtec_HLE-139-02-xx-DV-PE_2x39_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-139-02-xx-DV-PE (compatible alternatives: HLE-139-02-xx-DV-PE-BE), 39 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -78 -78 -Connector_Samtec_HLE_THT -Samtec_HLE-139-02-xx-DV-TE_2x39_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-139-02-xx-DV-TE, 39 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -78 -78 -Connector_Samtec_HLE_THT -Samtec_HLE-140-02-xx-DV-PE-LC_2x40_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-140-02-xx-DV-PE-LC, 40 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -80 -80 -Connector_Samtec_HLE_THT -Samtec_HLE-140-02-xx-DV-PE_2x40_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-140-02-xx-DV-PE (compatible alternatives: HLE-140-02-xx-DV-PE-BE), 40 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -80 -80 -Connector_Samtec_HLE_THT -Samtec_HLE-140-02-xx-DV-TE_2x40_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-140-02-xx-DV-TE, 40 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -80 -80 -Connector_Samtec_HLE_THT -Samtec_HLE-141-02-xx-DV-PE-LC_2x41_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-141-02-xx-DV-PE-LC, 41 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -82 -82 -Connector_Samtec_HLE_THT -Samtec_HLE-141-02-xx-DV-PE_2x41_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-141-02-xx-DV-PE (compatible alternatives: HLE-141-02-xx-DV-PE-BE), 41 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -82 -82 -Connector_Samtec_HLE_THT -Samtec_HLE-141-02-xx-DV-TE_2x41_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-141-02-xx-DV-TE, 41 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -82 -82 -Connector_Samtec_HLE_THT -Samtec_HLE-142-02-xx-DV-PE-LC_2x42_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-142-02-xx-DV-PE-LC, 42 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -84 -84 -Connector_Samtec_HLE_THT -Samtec_HLE-142-02-xx-DV-PE_2x42_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-142-02-xx-DV-PE (compatible alternatives: HLE-142-02-xx-DV-PE-BE), 42 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -84 -84 -Connector_Samtec_HLE_THT -Samtec_HLE-142-02-xx-DV-TE_2x42_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-142-02-xx-DV-TE, 42 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -84 -84 -Connector_Samtec_HLE_THT -Samtec_HLE-143-02-xx-DV-PE-LC_2x43_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-143-02-xx-DV-PE-LC, 43 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -86 -86 -Connector_Samtec_HLE_THT -Samtec_HLE-143-02-xx-DV-PE_2x43_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-143-02-xx-DV-PE (compatible alternatives: HLE-143-02-xx-DV-PE-BE), 43 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -86 -86 -Connector_Samtec_HLE_THT -Samtec_HLE-143-02-xx-DV-TE_2x43_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-143-02-xx-DV-TE, 43 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -86 -86 -Connector_Samtec_HLE_THT -Samtec_HLE-144-02-xx-DV-PE-LC_2x44_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-144-02-xx-DV-PE-LC, 44 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -88 -88 -Connector_Samtec_HLE_THT -Samtec_HLE-144-02-xx-DV-PE_2x44_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-144-02-xx-DV-PE (compatible alternatives: HLE-144-02-xx-DV-PE-BE), 44 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -88 -88 -Connector_Samtec_HLE_THT -Samtec_HLE-144-02-xx-DV-TE_2x44_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-144-02-xx-DV-TE, 44 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -88 -88 -Connector_Samtec_HLE_THT -Samtec_HLE-145-02-xx-DV-PE-LC_2x45_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-145-02-xx-DV-PE-LC, 45 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -90 -90 -Connector_Samtec_HLE_THT -Samtec_HLE-145-02-xx-DV-PE_2x45_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-145-02-xx-DV-PE (compatible alternatives: HLE-145-02-xx-DV-PE-BE), 45 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -90 -90 -Connector_Samtec_HLE_THT -Samtec_HLE-145-02-xx-DV-TE_2x45_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-145-02-xx-DV-TE, 45 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -90 -90 -Connector_Samtec_HLE_THT -Samtec_HLE-146-02-xx-DV-PE-LC_2x46_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-146-02-xx-DV-PE-LC, 46 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -92 -92 -Connector_Samtec_HLE_THT -Samtec_HLE-146-02-xx-DV-PE_2x46_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-146-02-xx-DV-PE (compatible alternatives: HLE-146-02-xx-DV-PE-BE), 46 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -92 -92 -Connector_Samtec_HLE_THT -Samtec_HLE-146-02-xx-DV-TE_2x46_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-146-02-xx-DV-TE, 46 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -92 -92 -Connector_Samtec_HLE_THT -Samtec_HLE-147-02-xx-DV-PE-LC_2x47_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-147-02-xx-DV-PE-LC, 47 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -94 -94 -Connector_Samtec_HLE_THT -Samtec_HLE-147-02-xx-DV-PE_2x47_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-147-02-xx-DV-PE (compatible alternatives: HLE-147-02-xx-DV-PE-BE), 47 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -94 -94 -Connector_Samtec_HLE_THT -Samtec_HLE-147-02-xx-DV-TE_2x47_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-147-02-xx-DV-TE, 47 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -94 -94 -Connector_Samtec_HLE_THT -Samtec_HLE-148-02-xx-DV-PE-LC_2x48_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-148-02-xx-DV-PE-LC, 48 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -96 -96 -Connector_Samtec_HLE_THT -Samtec_HLE-148-02-xx-DV-PE_2x48_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-148-02-xx-DV-PE (compatible alternatives: HLE-148-02-xx-DV-PE-BE), 48 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -96 -96 -Connector_Samtec_HLE_THT -Samtec_HLE-148-02-xx-DV-TE_2x48_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-148-02-xx-DV-TE, 48 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -96 -96 -Connector_Samtec_HLE_THT -Samtec_HLE-149-02-xx-DV-PE-LC_2x49_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-149-02-xx-DV-PE-LC, 49 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -98 -98 -Connector_Samtec_HLE_THT -Samtec_HLE-149-02-xx-DV-PE_2x49_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-149-02-xx-DV-PE (compatible alternatives: HLE-149-02-xx-DV-PE-BE), 49 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -98 -98 -Connector_Samtec_HLE_THT -Samtec_HLE-149-02-xx-DV-TE_2x49_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-149-02-xx-DV-TE, 49 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -98 -98 -Connector_Samtec_HLE_THT -Samtec_HLE-150-02-xx-DV-PE-LC_2x50_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-150-02-xx-DV-PE-LC, 50 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -100 -100 -Connector_Samtec_HLE_THT -Samtec_HLE-150-02-xx-DV-PE_2x50_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-150-02-xx-DV-PE (compatible alternatives: HLE-150-02-xx-DV-PE-BE), 50 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -100 -100 -Connector_Samtec_HLE_THT -Samtec_HLE-150-02-xx-DV-TE_2x50_P2.54mm_Horizontal -Samtec HLE .100" Tiger Beam Cost-effective Single Beam Socket Strip, HLE-150-02-xx-DV-TE, 50 Pins per row (http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf, http://suddendocs.samtec.com/prints/hle-thru.pdf), generated with kicad-footprint-generator -connector Samtec HLE top entry -0 -100 -100 -Connector_Samtec_HPM_THT -Samtec_HPM-01-01-x-S_Straight_1x01_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x01, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x01 5.08mm single row -0 -1 -1 -Connector_Samtec_HPM_THT -Samtec_HPM-01-05-x-S_Straight_1x01_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x01, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x01 5.08mm single row -0 -1 -1 -Connector_Samtec_HPM_THT -Samtec_HPM-02-01-x-S_Straight_1x02_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x02, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x02 5.08mm single row -0 -2 -2 -Connector_Samtec_HPM_THT -Samtec_HPM-02-05-x-S_Straight_1x02_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x02, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x02 5.08mm single row -0 -2 -2 -Connector_Samtec_HPM_THT -Samtec_HPM-03-01-x-S_Straight_1x03_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x03, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x03 5.08mm single row -0 -3 -3 -Connector_Samtec_HPM_THT -Samtec_HPM-03-05-x-S_Straight_1x03_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x03, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x03 5.08mm single row -0 -3 -3 -Connector_Samtec_HPM_THT -Samtec_HPM-04-01-x-S_Straight_1x04_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x04, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x04 5.08mm single row -0 -4 -4 -Connector_Samtec_HPM_THT -Samtec_HPM-04-05-x-S_Straight_1x04_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x04, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x04 5.08mm single row -0 -4 -4 -Connector_Samtec_HPM_THT -Samtec_HPM-05-01-x-S_Straight_1x05_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x05, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x05 5.08mm single row -0 -5 -5 -Connector_Samtec_HPM_THT -Samtec_HPM-05-05-x-S_Straight_1x05_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x05, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x05 5.08mm single row -0 -5 -5 -Connector_Samtec_HPM_THT -Samtec_HPM-06-01-x-S_Straight_1x06_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x06, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x06 5.08mm single row -0 -6 -6 -Connector_Samtec_HPM_THT -Samtec_HPM-06-05-x-S_Straight_1x06_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x06, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x06 5.08mm single row -0 -6 -6 -Connector_Samtec_HPM_THT -Samtec_HPM-07-01-x-S_Straight_1x07_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x07, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x07 5.08mm single row -0 -7 -7 -Connector_Samtec_HPM_THT -Samtec_HPM-07-05-x-S_Straight_1x07_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x07, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x07 5.08mm single row -0 -7 -7 -Connector_Samtec_HPM_THT -Samtec_HPM-08-01-x-S_Straight_1x08_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x08, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x08 5.08mm single row -0 -8 -8 -Connector_Samtec_HPM_THT -Samtec_HPM-08-05-x-S_Straight_1x08_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x08, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x08 5.08mm single row -0 -8 -8 -Connector_Samtec_HPM_THT -Samtec_HPM-09-01-x-S_Straight_1x09_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x09, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x09 5.08mm single row -0 -9 -9 -Connector_Samtec_HPM_THT -Samtec_HPM-09-05-x-S_Straight_1x09_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x09, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x09 5.08mm single row -0 -9 -9 -Connector_Samtec_HPM_THT -Samtec_HPM-10-01-x-S_Straight_1x10_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x10, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x10 5.08mm single row -0 -10 -10 -Connector_Samtec_HPM_THT -Samtec_HPM-10-05-x-S_Straight_1x10_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x10, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x10 5.08mm single row -0 -10 -10 -Connector_Samtec_HPM_THT -Samtec_HPM-11-01-x-S_Straight_1x11_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x11, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x11 5.08mm single row -0 -11 -11 -Connector_Samtec_HPM_THT -Samtec_HPM-11-05-x-S_Straight_1x11_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x11, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x11 5.08mm single row -0 -11 -11 -Connector_Samtec_HPM_THT -Samtec_HPM-12-01-x-S_Straight_1x12_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x12, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x12 5.08mm single row -0 -12 -12 -Connector_Samtec_HPM_THT -Samtec_HPM-12-05-x-S_Straight_1x12_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x12, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x12 5.08mm single row -0 -12 -12 -Connector_Samtec_HPM_THT -Samtec_HPM-13-01-x-S_Straight_1x13_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x13, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x13 5.08mm single row -0 -13 -13 -Connector_Samtec_HPM_THT -Samtec_HPM-13-05-x-S_Straight_1x13_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x13, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x13 5.08mm single row -0 -13 -13 -Connector_Samtec_HPM_THT -Samtec_HPM-14-01-x-S_Straight_1x14_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x14, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x14 5.08mm single row -0 -14 -14 -Connector_Samtec_HPM_THT -Samtec_HPM-14-05-x-S_Straight_1x14_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x14, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x14 5.08mm single row -0 -14 -14 -Connector_Samtec_HPM_THT -Samtec_HPM-15-01-x-S_Straight_1x15_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x15, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x15 5.08mm single row -0 -15 -15 -Connector_Samtec_HPM_THT -Samtec_HPM-15-05-x-S_Straight_1x15_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x15, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x15 5.08mm single row -0 -15 -15 -Connector_Samtec_HPM_THT -Samtec_HPM-16-01-x-S_Straight_1x16_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x16, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x16 5.08mm single row -0 -16 -16 -Connector_Samtec_HPM_THT -Samtec_HPM-16-05-x-S_Straight_1x16_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x16, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x16 5.08mm single row -0 -16 -16 -Connector_Samtec_HPM_THT -Samtec_HPM-17-01-x-S_Straight_1x17_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x17, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x17 5.08mm single row -0 -17 -17 -Connector_Samtec_HPM_THT -Samtec_HPM-17-05-x-S_Straight_1x17_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x17, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x17 5.08mm single row -0 -17 -17 -Connector_Samtec_HPM_THT -Samtec_HPM-18-01-x-S_Straight_1x18_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x18, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x18 5.08mm single row -0 -18 -18 -Connector_Samtec_HPM_THT -Samtec_HPM-18-05-x-S_Straight_1x18_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x18, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x18 5.08mm single row -0 -18 -18 -Connector_Samtec_HPM_THT -Samtec_HPM-19-01-x-S_Straight_1x19_Pitch5.08mm -Through hole straight Samtec HPM power header series 11.94mm post length, 1x19, 5.08mm pitch, single row -Through hole Samtec HPM power header series 11.94mm post length THT 1x19 5.08mm single row -0 -19 -19 -Connector_Samtec_HPM_THT -Samtec_HPM-19-05-x-S_Straight_1x19_Pitch5.08mm -Through hole straight Samtec HPM power header series 3.81mm post length, 1x19, 5.08mm pitch, single row -Through hole Samtec HPM power header series 3.81mm post length THT 1x19 5.08mm single row -0 -19 -19 -Connector_Samtec_HSEC8 -Samtec_HSEC8-109-01-X-DV-A-BL_2x09_P0.8mm_Pol04_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -20 -18 -Connector_Samtec_HSEC8 -Samtec_HSEC8-109-01-X-DV-A-WT_2x09_P0.8mm_Pol04_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -20 -18 -Connector_Samtec_HSEC8 -Samtec_HSEC8-109-01-X-DV-A_2x09_P0.8mm_Pol04_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -18 -18 -Connector_Samtec_HSEC8 -Samtec_HSEC8-109-01-X-DV_2x09_P0.8mm_Pol04_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -18 -18 -Connector_Samtec_HSEC8 -Samtec_HSEC8-110-01-X-DV-A-BL_2x10_P0.8mm_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -22 -20 -Connector_Samtec_HSEC8 -Samtec_HSEC8-110-01-X-DV-A-WT_2x10_P0.8mm_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -22 -20 -Connector_Samtec_HSEC8 -Samtec_HSEC8-110-01-X-DV-A_2x10_P0.8mm_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -20 -20 -Connector_Samtec_HSEC8 -Samtec_HSEC8-110-01-X-DV_2x10_P0.8mm_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -20 -20 -Connector_Samtec_HSEC8 -Samtec_HSEC8-110-03-X-DV-A-WT_2x10_P0.8mm_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -22 -20 -Connector_Samtec_HSEC8 -Samtec_HSEC8-110-03-X-DV-A_2x10_P0.8mm_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -20 -20 -Connector_Samtec_HSEC8 -Samtec_HSEC8-110-03-X-DV_2x10_P0.8mm_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -20 -20 -Connector_Samtec_HSEC8 -Samtec_HSEC8-113-01-X-DV-A-BL_2x13_P0.8mm_Pol06_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -28 -26 -Connector_Samtec_HSEC8 -Samtec_HSEC8-113-01-X-DV-A-WT_2x13_P0.8mm_Pol06_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -28 -26 -Connector_Samtec_HSEC8 -Samtec_HSEC8-113-01-X-DV-A_2x13_P0.8mm_Pol06_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -26 -26 -Connector_Samtec_HSEC8 -Samtec_HSEC8-113-01-X-DV_2x13_P0.8mm_Pol06_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -26 -26 -Connector_Samtec_HSEC8 -Samtec_HSEC8-120-01-X-DV-A-BL_2x20_P0.8mm_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -42 -40 -Connector_Samtec_HSEC8 -Samtec_HSEC8-120-01-X-DV-A-WT_2x20_P0.8mm_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -42 -40 -Connector_Samtec_HSEC8 -Samtec_HSEC8-120-01-X-DV-A_2x20_P0.8mm_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -40 -40 -Connector_Samtec_HSEC8 -Samtec_HSEC8-120-01-X-DV_2x20_P0.8mm_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -40 -40 -Connector_Samtec_HSEC8 -Samtec_HSEC8-120-03-X-DV-A-WT_2x20_P0.8mm_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -42 -40 -Connector_Samtec_HSEC8 -Samtec_HSEC8-120-03-X-DV-A_2x20_P0.8mm_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -40 -40 -Connector_Samtec_HSEC8 -Samtec_HSEC8-120-03-X-DV_2x20_P0.8mm_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -40 -40 -Connector_Samtec_HSEC8 -Samtec_HSEC8-125-01-X-DV-A-BL_2x25_P0.8mm_Pol06_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -52 -50 -Connector_Samtec_HSEC8 -Samtec_HSEC8-125-01-X-DV-A-WT_2x25_P0.8mm_Pol06_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -52 -50 -Connector_Samtec_HSEC8 -Samtec_HSEC8-125-01-X-DV-A_2x25_P0.8mm_Pol06_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -50 -50 -Connector_Samtec_HSEC8 -Samtec_HSEC8-125-01-X-DV_2x25_P0.8mm_Pol06_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -50 -50 -Connector_Samtec_HSEC8 -Samtec_HSEC8-130-01-X-DV-A-BL_2x30_P0.8mm_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -62 -60 -Connector_Samtec_HSEC8 -Samtec_HSEC8-130-01-X-DV-A-WT_2x30_P0.8mm_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -62 -60 -Connector_Samtec_HSEC8 -Samtec_HSEC8-130-01-X-DV-A_2x30_P0.8mm_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -60 -60 -Connector_Samtec_HSEC8 -Samtec_HSEC8-130-01-X-DV_2x30_P0.8mm_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -60 -60 -Connector_Samtec_HSEC8 -Samtec_HSEC8-130-03-X-DV-A-WT_2x30_P0.8mm_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -62 -60 -Connector_Samtec_HSEC8 -Samtec_HSEC8-130-03-X-DV-A_2x30_P0.8mm_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -60 -60 -Connector_Samtec_HSEC8 -Samtec_HSEC8-130-03-X-DV_2x30_P0.8mm_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -60 -60 -Connector_Samtec_HSEC8 -Samtec_HSEC8-137-01-X-DV-A-BL_2x37_P0.8mm_Pol21_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -76 -74 -Connector_Samtec_HSEC8 -Samtec_HSEC8-137-01-X-DV-A-WT_2x37_P0.8mm_Pol21_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -76 -74 -Connector_Samtec_HSEC8 -Samtec_HSEC8-137-01-X-DV-A_2x37_P0.8mm_Pol21_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -74 -74 -Connector_Samtec_HSEC8 -Samtec_HSEC8-137-01-X-DV_2x37_P0.8mm_Pol21_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -74 -74 -Connector_Samtec_HSEC8 -Samtec_HSEC8-140-01-X-DV-A-BL_2x40_P0.8mm_Pol22_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -82 -80 -Connector_Samtec_HSEC8 -Samtec_HSEC8-140-01-X-DV-A-WT_2x40_P0.8mm_Pol22_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -82 -80 -Connector_Samtec_HSEC8 -Samtec_HSEC8-140-01-X-DV-A_2x40_P0.8mm_Pol22_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -80 -80 -Connector_Samtec_HSEC8 -Samtec_HSEC8-140-01-X-DV_2x40_P0.8mm_Pol22_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -80 -80 -Connector_Samtec_HSEC8 -Samtec_HSEC8-140-03-X-DV-A-WT_2x40_P0.8mm_Pol22_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -82 -80 -Connector_Samtec_HSEC8 -Samtec_HSEC8-140-03-X-DV-A_2x40_P0.8mm_Pol22_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -80 -80 -Connector_Samtec_HSEC8 -Samtec_HSEC8-140-03-X-DV_2x40_P0.8mm_Pol22_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -80 -80 -Connector_Samtec_HSEC8 -Samtec_HSEC8-149-01-X-DV-A-BL_2x49_P0.8mm_Pol27_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -100 -98 -Connector_Samtec_HSEC8 -Samtec_HSEC8-149-01-X-DV-A-WT_2x49_P0.8mm_Pol27_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -100 -98 -Connector_Samtec_HSEC8 -Samtec_HSEC8-149-01-X-DV-A_2x49_P0.8mm_Pol27_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -98 -98 -Connector_Samtec_HSEC8 -Samtec_HSEC8-149-01-X-DV_2x49_P0.8mm_Pol27_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -98 -98 -Connector_Samtec_HSEC8 -Samtec_HSEC8-150-01-X-DV-A-BL_2x50_P0.8mm_Pol27_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -102 -100 -Connector_Samtec_HSEC8 -Samtec_HSEC8-150-01-X-DV-A-WT_2x50_P0.8mm_Pol27_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -102 -100 -Connector_Samtec_HSEC8 -Samtec_HSEC8-150-01-X-DV-A_2x50_P0.8mm_Pol27_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -100 -100 -Connector_Samtec_HSEC8 -Samtec_HSEC8-150-01-X-DV_2x50_P0.8mm_Pol27_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -100 -100 -Connector_Samtec_HSEC8 -Samtec_HSEC8-150-03-X-DV-A-WT_2x50_P0.8mm_Pol27_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -102 -100 -Connector_Samtec_HSEC8 -Samtec_HSEC8-150-03-X-DV-A_2x50_P0.8mm_Pol27_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -100 -100 -Connector_Samtec_HSEC8 -Samtec_HSEC8-150-03-X-DV_2x50_P0.8mm_Pol27_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -100 -100 -Connector_Samtec_HSEC8 -Samtec_HSEC8-160-01-X-DV-A-BL_2x60_P0.8mm_Pol32_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -122 -120 -Connector_Samtec_HSEC8 -Samtec_HSEC8-160-01-X-DV-A-WT_2x60_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -122 -120 -Connector_Samtec_HSEC8 -Samtec_HSEC8-160-01-X-DV-A_2x60_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -120 -120 -Connector_Samtec_HSEC8 -Samtec_HSEC8-160-01-X-DV_2x60_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -120 -120 -Connector_Samtec_HSEC8 -Samtec_HSEC8-160-03-X-DV-A-WT_2x60_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -122 -120 -Connector_Samtec_HSEC8 -Samtec_HSEC8-160-03-X-DV-A_2x60_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -120 -120 -Connector_Samtec_HSEC8 -Samtec_HSEC8-160-03-X-DV_2x60_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -120 -120 -Connector_Samtec_HSEC8 -Samtec_HSEC8-170-01-X-DV-A-BL_2x70_P0.8mm_Pol32_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -142 -140 -Connector_Samtec_HSEC8 -Samtec_HSEC8-170-01-X-DV-A-WT_2x70_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -142 -140 -Connector_Samtec_HSEC8 -Samtec_HSEC8-170-01-X-DV-A_2x70_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -140 -140 -Connector_Samtec_HSEC8 -Samtec_HSEC8-170-01-X-DV_2x70_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -140 -140 -Connector_Samtec_HSEC8 -Samtec_HSEC8-170-03-X-DV-A-WT_2x70_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -142 -140 -Connector_Samtec_HSEC8 -Samtec_HSEC8-170-03-X-DV-A_2x70_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -140 -140 -Connector_Samtec_HSEC8 -Samtec_HSEC8-170-03-X-DV_2x70_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -140 -140 -Connector_Samtec_HSEC8 -Samtec_HSEC8-180-01-X-DV-A-BL_2x80_P0.8mm_Pol32_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -162 -160 -Connector_Samtec_HSEC8 -Samtec_HSEC8-180-01-X-DV-A-WT_2x80_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -162 -160 -Connector_Samtec_HSEC8 -Samtec_HSEC8-180-01-X-DV-A_2x80_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -160 -160 -Connector_Samtec_HSEC8 -Samtec_HSEC8-180-01-X-DV_2x80_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -160 -160 -Connector_Samtec_HSEC8 -Samtec_HSEC8-180-03-X-DV-A-WT_2x80_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -162 -160 -Connector_Samtec_HSEC8 -Samtec_HSEC8-180-03-X-DV-A_2x80_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -160 -160 -Connector_Samtec_HSEC8 -Samtec_HSEC8-180-03-X-DV_2x80_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -160 -160 -Connector_Samtec_HSEC8 -Samtec_HSEC8-190-01-X-DV-A-BL_2x90_P0.8mm_Pol32_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -182 -180 -Connector_Samtec_HSEC8 -Samtec_HSEC8-190-01-X-DV-A-WT_2x90_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -182 -180 -Connector_Samtec_HSEC8 -Samtec_HSEC8-190-01-X-DV-A_2x90_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -180 -180 -Connector_Samtec_HSEC8 -Samtec_HSEC8-190-01-X-DV_2x90_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -180 -180 -Connector_Samtec_HSEC8 -Samtec_HSEC8-190-03-X-DV-A-WT_2x90_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -182 -180 -Connector_Samtec_HSEC8 -Samtec_HSEC8-190-03-X-DV-A_2x90_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -180 -180 -Connector_Samtec_HSEC8 -Samtec_HSEC8-190-03-X-DV_2x90_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -180 -180 -Connector_Samtec_HSEC8 -Samtec_HSEC8-1100-01-X-DV-A-BL_2x100_P0.8mm_Pol32_Socket_WeldTabs_BoardLocks -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs, board locks (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -202 -200 -Connector_Samtec_HSEC8 -Samtec_HSEC8-1100-01-X-DV-A-WT_2x100_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -202 -200 -Connector_Samtec_HSEC8 -Samtec_HSEC8-1100-01-X-DV-A_2x100_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -200 -200 -Connector_Samtec_HSEC8 -Samtec_HSEC8-1100-01-X-DV_2x100_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 1.57mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -200 -200 -Connector_Samtec_HSEC8 -Samtec_HSEC8-1100-03-X-DV-A-WT_2x100_P0.8mm_Pol32_Socket_WeldTabs -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins, weld tabs (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -202 -200 -Connector_Samtec_HSEC8 -Samtec_HSEC8-1100-03-X-DV-A_2x100_P0.8mm_Pol32_Socket_AlignmentPins -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical, alignment pins (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -200 -200 -Connector_Samtec_HSEC8 -Samtec_HSEC8-1100-03-X-DV_2x100_P0.8mm_Pol32_Socket -0.8 mm Highspeed card edge card connector socket for 2.36mm PCBs, vertical (source: https://suddendocs.samtec.com/prints/hsec8-1xxx-xx-xx-dv-x-xx-footprint.pdf) -conn samtec card-edge socket high-speed -0 -200 -200 -Connector_Samtec_MicroMate -Samtec_T1M-02-X-S-RA_1x02-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -4 -3 -Connector_Samtec_MicroMate -Samtec_T1M-02-X-S-V_1x02-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -4 -3 -Connector_Samtec_MicroMate -Samtec_T1M-02-X-SH-L_1x02-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -4 -3 -Connector_Samtec_MicroMate -Samtec_T1M-02-X-SV-L_1x02-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -4 -3 -Connector_Samtec_MicroMate -Samtec_T1M-03-X-S-RA_1x03-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -5 -4 -Connector_Samtec_MicroMate -Samtec_T1M-03-X-S-V_1x03-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -5 -4 -Connector_Samtec_MicroMate -Samtec_T1M-03-X-SH-L_1x03-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -5 -4 -Connector_Samtec_MicroMate -Samtec_T1M-03-X-SV-L_1x03-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -5 -4 -Connector_Samtec_MicroMate -Samtec_T1M-04-X-S-RA_1x04-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -6 -5 -Connector_Samtec_MicroMate -Samtec_T1M-04-X-S-V_1x04-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -6 -5 -Connector_Samtec_MicroMate -Samtec_T1M-04-X-SH-L_1x04-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -6 -5 -Connector_Samtec_MicroMate -Samtec_T1M-04-X-SV-L_1x04-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -6 -5 -Connector_Samtec_MicroMate -Samtec_T1M-05-X-S-RA_1x05-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -7 -6 -Connector_Samtec_MicroMate -Samtec_T1M-05-X-S-V_1x05-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -7 -6 -Connector_Samtec_MicroMate -Samtec_T1M-05-X-SH-L_1x05-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -7 -6 -Connector_Samtec_MicroMate -Samtec_T1M-05-X-SV-L_1x05-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -7 -6 -Connector_Samtec_MicroMate -Samtec_T1M-06-X-S-RA_1x06-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -8 -7 -Connector_Samtec_MicroMate -Samtec_T1M-06-X-S-V_1x06-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -8 -7 -Connector_Samtec_MicroMate -Samtec_T1M-06-X-SH-L_1x06-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -8 -7 -Connector_Samtec_MicroMate -Samtec_T1M-06-X-SV-L_1x06-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -8 -7 -Connector_Samtec_MicroMate -Samtec_T1M-07-X-S-RA_1x07-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -9 -8 -Connector_Samtec_MicroMate -Samtec_T1M-07-X-S-V_1x07-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -9 -8 -Connector_Samtec_MicroMate -Samtec_T1M-07-X-SH-L_1x07-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -9 -8 -Connector_Samtec_MicroMate -Samtec_T1M-07-X-SV-L_1x07-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -9 -8 -Connector_Samtec_MicroMate -Samtec_T1M-08-X-S-RA_1x08-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -10 -9 -Connector_Samtec_MicroMate -Samtec_T1M-08-X-S-V_1x08-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -10 -9 -Connector_Samtec_MicroMate -Samtec_T1M-08-X-SH-L_1x08-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -10 -9 -Connector_Samtec_MicroMate -Samtec_T1M-08-X-SV-L_1x08-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -10 -9 -Connector_Samtec_MicroMate -Samtec_T1M-09-X-S-RA_1x09-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -11 -10 -Connector_Samtec_MicroMate -Samtec_T1M-09-X-S-V_1x09-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -11 -10 -Connector_Samtec_MicroMate -Samtec_T1M-09-X-SH-L_1x09-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -11 -10 -Connector_Samtec_MicroMate -Samtec_T1M-09-X-SV-L_1x09-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -11 -10 -Connector_Samtec_MicroMate -Samtec_T1M-10-X-S-RA_1x10-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -12 -11 -Connector_Samtec_MicroMate -Samtec_T1M-10-X-S-V_1x10-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -12 -11 -Connector_Samtec_MicroMate -Samtec_T1M-10-X-SH-L_1x10-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -12 -11 -Connector_Samtec_MicroMate -Samtec_T1M-10-X-SV-L_1x10-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -12 -11 -Connector_Samtec_MicroMate -Samtec_T1M-11-X-S-RA_1x11-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -13 -12 -Connector_Samtec_MicroMate -Samtec_T1M-11-X-S-V_1x11-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -13 -12 -Connector_Samtec_MicroMate -Samtec_T1M-11-X-SH-L_1x11-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -13 -12 -Connector_Samtec_MicroMate -Samtec_T1M-11-X-SV-L_1x11-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -13 -12 -Connector_Samtec_MicroMate -Samtec_T1M-12-X-S-RA_1x12-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -14 -13 -Connector_Samtec_MicroMate -Samtec_T1M-12-X-S-V_1x12-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -14 -13 -Connector_Samtec_MicroMate -Samtec_T1M-12-X-SH-L_1x12-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -14 -13 -Connector_Samtec_MicroMate -Samtec_T1M-12-X-SV-L_1x12-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -14 -13 -Connector_Samtec_MicroMate -Samtec_T1M-13-X-S-RA_1x13-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -15 -14 -Connector_Samtec_MicroMate -Samtec_T1M-13-X-S-V_1x13-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -15 -14 -Connector_Samtec_MicroMate -Samtec_T1M-13-X-SH-L_1x13-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -15 -14 -Connector_Samtec_MicroMate -Samtec_T1M-13-X-SV-L_1x13-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -15 -14 -Connector_Samtec_MicroMate -Samtec_T1M-14-X-S-RA_1x14-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -16 -15 -Connector_Samtec_MicroMate -Samtec_T1M-14-X-S-V_1x14-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -16 -15 -Connector_Samtec_MicroMate -Samtec_T1M-14-X-SH-L_1x14-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -16 -15 -Connector_Samtec_MicroMate -Samtec_T1M-14-X-SV-L_1x14-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -16 -15 -Connector_Samtec_MicroMate -Samtec_T1M-15-X-S-RA_1x15-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -17 -16 -Connector_Samtec_MicroMate -Samtec_T1M-15-X-S-V_1x15-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -17 -16 -Connector_Samtec_MicroMate -Samtec_T1M-15-X-SH-L_1x15-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -17 -16 -Connector_Samtec_MicroMate -Samtec_T1M-15-X-SV-L_1x15-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -17 -16 -Connector_Samtec_MicroMate -Samtec_T1M-16-X-S-RA_1x16-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -18 -17 -Connector_Samtec_MicroMate -Samtec_T1M-16-X-S-V_1x16-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -18 -17 -Connector_Samtec_MicroMate -Samtec_T1M-16-X-SH-L_1x16-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -18 -17 -Connector_Samtec_MicroMate -Samtec_T1M-16-X-SV-L_1x16-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -18 -17 -Connector_Samtec_MicroMate -Samtec_T1M-17-X-S-RA_1x17-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -19 -18 -Connector_Samtec_MicroMate -Samtec_T1M-17-X-S-V_1x17-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -19 -18 -Connector_Samtec_MicroMate -Samtec_T1M-17-X-SH-L_1x17-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -19 -18 -Connector_Samtec_MicroMate -Samtec_T1M-17-X-SV-L_1x17-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -19 -18 -Connector_Samtec_MicroMate -Samtec_T1M-18-X-S-RA_1x18-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -20 -19 -Connector_Samtec_MicroMate -Samtec_T1M-18-X-S-V_1x18-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -20 -19 -Connector_Samtec_MicroMate -Samtec_T1M-18-X-SH-L_1x18-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -20 -19 -Connector_Samtec_MicroMate -Samtec_T1M-18-X-SV-L_1x18-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -20 -19 -Connector_Samtec_MicroMate -Samtec_T1M-19-X-S-RA_1x19-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -21 -20 -Connector_Samtec_MicroMate -Samtec_T1M-19-X-S-V_1x19-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -21 -20 -Connector_Samtec_MicroMate -Samtec_T1M-19-X-SH-L_1x19-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -21 -20 -Connector_Samtec_MicroMate -Samtec_T1M-19-X-SV-L_1x19-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -21 -20 -Connector_Samtec_MicroMate -Samtec_T1M-20-X-S-RA_1x20-1MP_P1.0mm_Terminal_Horizontal -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal (Right Angle) (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -22 -21 -Connector_Samtec_MicroMate -Samtec_T1M-20-X-S-V_1x20-1MP_P1.0mm_Terminal_Vertical -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -22 -21 -Connector_Samtec_MicroMate -Samtec_T1M-20-X-SH-L_1x20-1MP_P1.0mm_Terminal_Horizontal_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Horizontal, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -22 -21 -Connector_Samtec_MicroMate -Samtec_T1M-20-X-SV-L_1x20-1MP_P1.0mm_Terminal_Vertical_Latch -Samtec Micro Mate Discrete Wire Terminal Strip, 1.00 mm Pitch, Single Row, Vertical, Latch (source: https://suddendocs.samtec.com/prints/t1m-single-row-footprint.pdf) -conn samtec discrete wire terminal -0 -22 -21 -Connector_Stocko -Stocko_MKS_1651-6-0-202_1x2_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -2 -2 -Connector_Stocko -Stocko_MKS_1652-6-0-202_1x2_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -2 -2 -Connector_Stocko -Stocko_MKS_1653-6-0-303_1x3_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -3 -3 -Connector_Stocko -Stocko_MKS_1654-6-0-404_1x4_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -4 -4 -Connector_Stocko -Stocko_MKS_1655-6-0-505_1x5_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -5 -5 -Connector_Stocko -Stocko_MKS_1656-6-0-606_1x6_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -6 -6 -Connector_Stocko -Stocko_MKS_1657-6-0-707_1x7_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -7 -7 -Connector_Stocko -Stocko_MKS_1658-6-0-808_1x8_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -8 -8 -Connector_Stocko -Stocko_MKS_1659-6-0-909_1x9_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -9 -9 -Connector_Stocko -Stocko_MKS_1660-6-0-1010_1x10_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -10 -10 -Connector_Stocko -Stocko_MKS_1661-6-0-1111_1x11_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -11 -11 -Connector_Stocko -Stocko_MKS_1662-6-0-1212_1x12_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -12 -12 -Connector_Stocko -Stocko_MKS_1663-6-0-1313_1x13_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -13 -13 -Connector_Stocko -Stocko_MKS_1664-6-0-1414_1x14_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -14 -14 -Connector_Stocko -Stocko_MKS_1665-6-0-1515_1x15_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -15 -15 -Connector_Stocko -Stocko_MKS_1666-6-0-1616_1x16_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -16 -16 -Connector_Stocko -Stocko_MKS_1667-6-0-1717_1x17_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -17 -17 -Connector_Stocko -Stocko_MKS_1668-6-0-1818_1x18_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -18 -18 -Connector_Stocko -Stocko_MKS_1669-6-0-1919_1x19_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -19 -19 -Connector_Stocko -Stocko_MKS_1670-6-0-2020_1x20_P2.50mm_Vertical -Stocko MKS 16xx series connector, (https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15), generated with kicad-footprint-generator -Stocko RFK MKS 16xx -0 -20 -20 -Connector_TE-Connectivity -TE_1-826576-3_1x13_P3.96mm_Vertical -TE, 1-826576-3, 13 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -13 -13 -Connector_TE-Connectivity -TE_1-826576-5_1x15_P3.96mm_Vertical -TE, 1-826576-5, 15 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -15 -15 -Connector_TE-Connectivity -TE_1-826576-6_1x16_P3.96mm_Vertical -TE, 1-826576-6, 16 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -16 -16 -Connector_TE-Connectivity -TE_1-826576-7_1x17_P3.96mm_Vertical -TE, 1-826576-7, 17 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -17 -17 -Connector_TE-Connectivity -TE_1-826576-8_1x18_P3.96mm_Vertical -TE, 1-826576-8, 18 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -18 -18 -Connector_TE-Connectivity -TE_2-826576-0_1x20_P3.96mm_Vertical -TE, 2-826576-0, 20 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -20 -20 -Connector_TE-Connectivity -TE_3-826576-6_1x36_P3.96mm_Vertical -TE, 3-826576-6, 36 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -36 -36 -Connector_TE-Connectivity -TE_440054-2_1x02_P2.00mm_Vertical -TE Connectivity, HDI, Wire-to-Board, Fully Shrouded, Vertical Header: https://www.te.com/usa-en/product-440054-2.datasheet.pdf -connector header hdr horizontal vertical shrouded fully-shrounded 440054-2 2-440054-2 4-440054-2 6-440054-2 2-440054-6 4-440054-6 6-440054-6 -0 -2 -2 -Connector_TE-Connectivity -TE_440055-2_1x02_P2.00mm_Horizontal -TE Connectivity, HDI, Wire-to-Board, Fully Shrouded, Header: https://www.te.com/usa-en/product-440055-2.datasheet.pdf -connector header hdr horizontal right-angle shrouded fully-shrounded 440055-2 2-440055-2 4-440055-2 6-440055-2 8-440055-2 -0 -2 -2 -Connector_TE-Connectivity -TE_826576-2_1x02_P3.96mm_Vertical -TE, 826576-2, 2 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -2 -2 -Connector_TE-Connectivity -TE_826576-3_1x03_P3.96mm_Vertical -TE, 826576-3, 3 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -3 -3 -Connector_TE-Connectivity -TE_826576-5_1x05_P3.96mm_Vertical -TE, 826576-5, 5 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -5 -5 -Connector_TE-Connectivity -TE_826576-6_1x06_P3.96mm_Vertical -TE, 826576-6, 6 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -6 -6 -Connector_TE-Connectivity -TE_826576-7_1x07_P3.96mm_Vertical -TE, 826576-7, 7 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -7 -7 -Connector_TE-Connectivity -TE_826576-8_1x08_P3.96mm_Vertical -TE, 826576-8, 8 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -8 -8 -Connector_TE-Connectivity -TE_826576-9_1x09_P3.96mm_Vertical -TE, 826576-9, 9 Pins (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=826576&DocType=Customer+Drawing&DocLang=English), generated with kicad-footprint-generator -connector TE 826576 vertical -0 -9 -9 -Connector_TE-Connectivity -TE_2834006-1_1x01_P4.0mm_Horizontal -TE Connectivity Buchanan WireMate connector, Poke-In series, 1-way, 4.0mm pitch, AWG18-22 (0.3255-0.823mm2), https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F2834006%7FB6%7Fpdf%7FEnglish%7FENG_CD_2834006_B6.pdf%7F2834006-1 -wire connector -0 -2 -1 -Connector_TE-Connectivity -TE_2834006-2_1x02_P4.0mm_Horizontal -TE Connectivity Buchanan WireMate connector, Poke-In series, 2-way, 4.0mm pitch, AWG18-22 (0.3255-0.823mm2), https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F2834006%7FB6%7Fpdf%7FEnglish%7FENG_CD_2834006_B6.pdf%7F2834006-1 -wire connector -0 -4 -2 -Connector_TE-Connectivity -TE_2834006-3_1x03_P4.0mm_Horizontal -TE Connectivity Buchanan WireMate connector, Poke-In series, 3-way, 4.0mm pitch, AWG18-22 (0.3255-0.823mm2), https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F2834006%7FB6%7Fpdf%7FEnglish%7FENG_CD_2834006_B6.pdf%7F2834006-1 -wire connector -0 -6 -3 -Connector_TE-Connectivity -TE_2834006-4_1x04_P4.0mm_Horizontal -TE Connectivity Buchanan WireMate connector, Poke-In series, 4-way, 4.0mm pitch, AWG18-22 (0.3255-0.823mm2), https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F2834006%7FB6%7Fpdf%7FEnglish%7FENG_CD_2834006_B6.pdf%7F2834006-1 -wire connector -0 -8 -4 -Connector_TE-Connectivity -TE_2834006-5_1x05_P4.0mm_Horizontal -TE Connectivity Buchanan WireMate connector, Poke-In series, 5-way, 4.0mm pitch, AWG18-22 (0.3255-0.823mm2), https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F2834006%7FB6%7Fpdf%7FEnglish%7FENG_CD_2834006_B6.pdf%7F2834006-1 -wire connector -0 -10 -5 -Connector_TE-Connectivity -TE_5767171-1_2x19_P0.635mm_Vertical -PCB Mount Receptacle, Vertical, Board-to-Board, 38 Position, 24.003mm / .64mm [.945in] Centerline, Header Only, Palladium Nickel (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F5767171%7FB2%7Fpdf%7FEnglish%7FENG_CD_5767171_B2.pdf%7F5767171-1#page=2) -mictor38 receptacle board-to-board -0 -43 -39 -Connector_TE-Connectivity -TE_AMPSEAL_1-776087-x_3Rows_23_P0.4mm_Horizontal -Connector -TE 776087 -0 -23 -23 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770182-x_3x03_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770182-x, 3 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -9 -9 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770186-x_3x04_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770186-x, 4 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -12 -12 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770190-x_3x05_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770190-x, 5 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -15 -15 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770621-x_2x06_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770621-x, 6 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -12 -12 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770858-x_2x05_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770858-x, 5 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -10 -10 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770866-x_1x02_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770866-x, 2 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -2 -2 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770870-x_1x03_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770870-x, 3 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -3 -3 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770874-x_2x02_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770874-x, 2 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -4 -4 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770875-x_2x03_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770875-x, 3 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -6 -6 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770966-x_1x02_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770966-x, 2 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -2 -2 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770967-x_1x03_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770967-x, 3 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -3 -3 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770968-x_2x02_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770968-x, 2 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -4 -4 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770969-x_2x03_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770969-x, 3 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -6 -6 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770970-x_2x04_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770970-x, 4 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -8 -8 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770971-x_2x05_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770971-x, 5 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -10 -10 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770972-x_2x06_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770972-x, 6 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -12 -12 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770973-x_2x07_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770973-x, 7 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -14 -14 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-770974-x_2x08_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-770974-x, 8 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -16 -16 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794067-x_2x07_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794067-x, 7 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -14 -14 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794068-x_2x08_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794068-x, 8 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -16 -16 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794069-x_2x09_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794069-x, 9 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -18 -18 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794070-x_2x10_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794070-x, 10 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -20 -20 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794071-x_2x11_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794071-x, 11 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -22 -22 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794072-x_2x12_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794072-x, 12 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -24 -24 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794073-x_2x04_P4.14mm_Vertical -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794073-x, 4 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK side entry -0 -8 -8 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794105-x_2x09_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794105-x, 9 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -18 -18 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794106-x_2x10_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794106-x, 10 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -20 -20 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794107-x_2x11_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794107-x, 11 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -22 -22 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794108-x_2x12_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794108-x, 12 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -24 -24 -Connector_TE-Connectivity -TE_MATE-N-LOK_1-794374-x_1x01_P4.14mm_Horizontal -Molex Mini-Universal MATE-N-LOK, old mpn/engineering number: 1-794374-x, 1 Pins per row (http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN), generated with kicad-footprint-generator -connector TE MATE-N-LOK top entry -0 -1 -1 -Connector_TE-Connectivity -TE_MATE-N-LOK_350211-1_1x04_P5.08mm_Vertical -https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F350211%7FU5%7Fpdf%7FEnglish%7FENG_CD_350211_U5.pdf%7F350211-1 -connector TE MATE-N-LOK top entry ATA PATA IDE 5.25 inch floppy drive power -0 -4 -4 -Connector_TE-Connectivity -TE_Micro-MaTch_1-215079-0_2x05_P1.27mm_Vertical -TE-Connectivity Micro-MaTch female-on-board top-entry thru-hole 10 pin connector, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F215079%7FY1%7Fpdf%7FEnglish%7FENG_CD_215079_Y1.pdf%7F215079-4 -connector TE-Connectivity Micro-MaTch Vertical 1-215079-0 8-215079-10 -0 -10 -10 -Connector_TE-Connectivity -TE_Micro-MaTch_1-215079-2_2x06_P1.27mm_Vertical -TE-Connectivity Micro-MaTch female-on-board top-entry thru-hole 12 pin connector, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F215079%7FY1%7Fpdf%7FEnglish%7FENG_CD_215079_Y1.pdf%7F215079-4 -connector TE-Connectivity Micro-MaTch Vertical 1-215079-2 8-215079-12 -0 -12 -12 -Connector_TE-Connectivity -TE_Micro-MaTch_1-215079-4_2x07_P1.27mm_Vertical -TE-Connectivity Micro-MaTch female-on-board top-entry thru-hole 14 pin connector, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F215079%7FY1%7Fpdf%7FEnglish%7FENG_CD_215079_Y1.pdf%7F215079-4 -connector TE-Connectivity Micro-MaTch Vertical 1-215079-4 8-215079-14 -0 -14 -14 -Connector_TE-Connectivity -TE_Micro-MaTch_1-215079-6_2x08_P1.27mm_Vertical -TE-Connectivity Micro-MaTch female-on-board top-entry thru-hole 16 pin connector, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F215079%7FY1%7Fpdf%7FEnglish%7FENG_CD_215079_Y1.pdf%7F215079-4 -connector TE-Connectivity Micro-MaTch Vertical 1-215079-6 8-215079-16 -0 -16 -16 -Connector_TE-Connectivity -TE_Micro-MaTch_1-215079-8_2x09_P1.27mm_Vertical -TE-Connectivity Micro-MaTch female-on-board top-entry thru-hole 18 pin connector, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F215079%7FY1%7Fpdf%7FEnglish%7FENG_CD_215079_Y1.pdf%7F215079-4 -connector TE-Connectivity Micro-MaTch Vertical 1-215079-8 8-215079-18 -0 -18 -18 -Connector_TE-Connectivity -TE_Micro-MaTch_2-215079-0_2x10_P1.27mm_Vertical -TE-Connectivity Micro-MaTch female-on-board top-entry thru-hole 20 pin connector, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F215079%7FY1%7Fpdf%7FEnglish%7FENG_CD_215079_Y1.pdf%7F215079-4 -connector TE-Connectivity Micro-MaTch Vertical 2-215079-0 9-215079-20 -0 -20 -20 -Connector_TE-Connectivity -TE_Micro-MaTch_215079-4_2x02_P1.27mm_Vertical -TE-Connectivity Micro-MaTch female-on-board top-entry thru-hole 4 pin connector, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F215079%7FY1%7Fpdf%7FEnglish%7FENG_CD_215079_Y1.pdf%7F215079-4 -connector TE-Connectivity Micro-MaTch Vertical 215079-4 7-215079-4 -0 -4 -4 -Connector_TE-Connectivity -TE_Micro-MaTch_215079-6_2x03_P1.27mm_Vertical -TE-Connectivity Micro-MaTch female-on-board top-entry thru-hole 6 pin connector, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F215079%7FY1%7Fpdf%7FEnglish%7FENG_CD_215079_Y1.pdf%7F215079-4 -connector TE-Connectivity Micro-MaTch Vertical 215079-6 7-215079-6 -0 -6 -6 -Connector_TE-Connectivity -TE_Micro-MaTch_215079-8_2x04_P1.27mm_Vertical -TE-Connectivity Micro-MaTch female-on-board top-entry thru-hole 8 pin connector, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F215079%7FY1%7Fpdf%7FEnglish%7FENG_CD_215079_Y1.pdf%7F215079-4 -connector TE-Connectivity Micro-MaTch Vertical 215079-8 7-215079-8 -0 -8 -8 -Connector_TE-Connectivity -TE_T4041037031-000_M8_03_Socket_Straight -Shielded M8 socket 3 ways connector panel, straight and rear mount (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7FT404103010X000%7FA%7Fpdf%7FEnglish%7FENG_CD_T404103010X000_A.pdf%7FT4041037041-000) -three-pin M8 -0 -5 -4 -Connector_TE-Connectivity -TE_T4041037041-000_M8_04_Socket_Straight -Shielded M8 socket 4 ways connector panel, straight and rear mount (https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7FT404103010X000%7FA%7Fpdf%7FEnglish%7FENG_CD_T404103010X000_A.pdf%7FT4041037041-000) -four-pin M8 -0 -6 -5 -Connector_USB -USB3_A_Molex_48393-001 -USB 3.0, type A, right angle, http://www.molex.com/pdm_docs/sd/483930003_sd.pdf -USB 3.0 type A right angle -0 -13 -10 -Connector_USB -USB3_A_Molex_48406-0001_Horizontal_Stacked -USB 3.0, type A, right angle, stacked (https://www.molex.com/content/dam/molex/molex-dot-com/products/automated/en-us/salesdrawingpdf/484/48406/484060001_sd.pdf) -USB 3.0 type A right angle stacked dual -0 -22 -19 -Connector_USB -USB3_A_Plug_Wuerth_692112030100_Horizontal -USB 3.0 Type A SMT Plug, Horizontal, https://www.we-online.com/components/products/datasheet/692112030100.pdf -usb A plug horizontal -0 -11 -10 -Connector_USB -USB3_A_Receptacle_Wuerth_692122030100 -USB 3.0, type A, right angle (https://www.we-online.com/katalog/datasheet/692122030100.pdf) -USB 3.0 type A right angle Würth -0 -11 -10 -Connector_USB -USB3_Micro-B_Connfly_DS1104-01 -Micro USB B receptable with flange, bottom-mount, SMD, right-angle (http://en.connfly.com/static/upload/file/pdf/DS1104-01.pdf) -USB 3.0 Micro B SMD right angle -0 -16 -11 -Connector_USB -USB_A_CNCTech_1001-011-01101_Horizontal -USB type A Plug, Horizontal, http://cnctech.us/pdfs/1001-011-01101.pdf -USB-A -0 -6 -5 -Connector_USB -USB_A_CONNFLY_DS1095-WNR0 -http://www.connfly.com/userfiles/image/UpLoadFile/File/2013/5/6/DS1095.pdf -USB-A receptacle horizontal through-hole -0 -6 -5 -Connector_USB -USB_A_CUI_UJ2-ADH-TH_Horizontal_Stacked -USB-A two stacked horizontal receptacle, through-hole (https://www.cuidevices.com/product/resource/uj2-adh-th.pdf) -USB-A CUI stacked horizontal through-hole -0 -12 -9 -Connector_USB -USB_A_Molex_48037-2200_Horizontal -USB type A Plug, Horizontal, https://www.molex.com/pdm_docs/sd/480372200_sd.pdf -USB-A -0 -6 -5 -Connector_USB -USB_A_Molex_67643_Horizontal -USB type A, Horizontal, https://www.molex.com/pdm_docs/sd/676433910_sd.pdf -USB_A Female Connector receptacle -0 -6 -5 -Connector_USB -USB_A_Molex_105057_Vertical -https://www.molex.com/pdm_docs/sd/1050570001_sd.pdf -USB A Vertical -0 -7 -5 -Connector_USB -USB_A_Receptacle_GCT_USB1046 -GCT USB1046, USB Type A, https://gct.co/files/drawings/usb1046.pdf -USB SMD Type-A Receptacle Right Angle -0 -8 -5 -Connector_USB -USB_A_Stewart_SS-52100-001_Horizontal -USB A connector https://belfuse.com/resources/drawings/stewartconnector/dr-stw-ss-52100-001.pdf -USB_A Female Connector receptacle -0 -6 -5 -Connector_USB -USB_A_TE_292303-7_Horizontal -USB type A, Horizontal, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=292303&DocType=Customer+Drawing&DocLang=English -USB_A Female Connector receptacle -0 -6 -5 -Connector_USB -USB_A_Wuerth_614004134726_Horizontal -USB A connector https://www.we-online.com/catalog/datasheet/614004134726.pdf -USB_A Female Connector receptacle -0 -8 -5 -Connector_USB -USB_A_Wuerth_61400826021_Horizontal_Stacked -Stacked USB A connector http://katalog.we-online.de/em/datasheet/61400826021.pdf -Wuerth stacked USB_A -0 -12 -9 -Connector_USB -USB_B_Amphenol_MUSB-D511_Vertical_Rugged -A,phenol MUSB_D511, USB B female connector, straight, rugged, https://www.amphenolcanada.com/ProductSearch/drawings/AC/MUSBD511XX.pdf -USB_B_MUSB_Straight female connector straight rugged MUSB D511 -0 -6 -5 -Connector_USB -USB_B_Lumberg_2411_02_Horizontal -USB 2.0 receptacle type B, horizontal version, through-hole, https://downloads.lumberg.com/datenblaetter/en/2411_02.pdf -USB B receptacle horizontal through-hole -0 -6 -5 -Connector_USB -USB_B_OST_USB-B1HSxx_Horizontal -USB B receptacle, Horizontal, through-hole, http://www.on-shore.com/wp-content/uploads/2015/09/usb-b1hsxx.pdf -USB-B receptacle horizontal through-hole -0 -6 -5 -Connector_USB -USB_B_TE_5787834_Vertical -http://www.mouser.com/ds/2/418/NG_CD_5787834_A4-669110.pdf -USB_B USB B vertical female connector -0 -6 -5 -Connector_USB -USB_C_Plug_JAE_DX07P024AJ1 -Universal Serial Bus (USB) Shielded I/O Plug, Type C, Right Angle, Surface Mount, https://www.jae.com/en/searchfilter/?topics_keyword=DX07P024AJ1&mainItemSelect=1 -USB Type-C Plug Edge Mount -0 -30 -25 -Connector_USB -USB_C_Plug_Molex_105444 -Universal Serial Bus (USB) Shielded I/O Plug, Type C, Right Angle, Surface Mount, http://www.molex.com/pdm_docs/sd/1054440001_sd.pdf -USB Type-C Plug Edge Mount -0 -24 -23 -Connector_USB -USB_C_Plug_ShenzhenJingTuoJin_918-118A2021Y40002_Vertical -Low-profile vertical USB 2.0 Type C Plug Shenzhen Jing Tuo Jin Electronics Co 918-118A2021Y40002 ( https://datasheet.lcsc.com/lcsc/1912111437_Jing-Extension-of-the-Electronic-Co--918-118A2021Y40002_C399939.pdf ) also compatible with Korean HRO Parts Elec TYPE-C-31-G-06 -type-c usb2 -0 -18 -17 -Connector_USB -USB_C_Receptacle_Amphenol_12401548E4-2A -USB TYPE C, RA RCPT PCB, Hybrid, https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401548E4%7e2A -USB C Type-C Receptacle Hybrid -0 -28 -25 -Connector_USB -USB_C_Receptacle_Amphenol_12401548E4-2A_CircularHoles -USB TYPE C, RA RCPT PCB, Hybrid, https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401548E4%7e2A -USB C Type-C Receptacle Hybrid -0 -28 -25 -Connector_USB -USB_C_Receptacle_Amphenol_12401610E4-2A -USB TYPE C, RA RCPT PCB, SMT, https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401610E4%7e2A -USB C Type-C Receptacle SMD -0 -28 -25 -Connector_USB -USB_C_Receptacle_Amphenol_12401610E4-2A_CircularHoles -USB TYPE C, RA RCPT PCB, SMT, https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401610E4%7e2A -USB C Type-C Receptacle SMD -0 -28 -25 -Connector_USB -USB_C_Receptacle_CNCTech_C-ARA1-AK51X -USB Type-C 3.0 Receptacle, 24-pin, right angle (source: https://www.cnctech.us/pdfs/C-ARA1-AK512.pdf) -USB C Type-C Receptacle Hybrid CNCTech C-ARA1-AK51X -0 -32 -25 -Connector_USB -USB_C_Receptacle_G-Switch_GT-USB-7010ASV -USB Type C, right-angle, SMT, https://datasheet.lcsc.com/lcsc/2204071530_G-Switch-GT-USB-7010ASV_C2988369.pdf -USB C Type-C Receptacle SMD -0 -20 -17 -Connector_USB -USB_C_Receptacle_G-Switch_GT-USB-7051x -USB Type C, vertical, SMT, https://datasheet.lcsc.com/lcsc/2108072030_G-Switch-GT-USB-7051A_C2843970.pdf -USB C Type-C Receptacle SMD GT-USB-7051A GT-USB-7051B -0 -20 -17 -Connector_USB -USB_C_Receptacle_GCT_USB4085 -USB 2.0 Type C Receptacle, https://gct.co/Files/Drawings/USB4085.pdf -USB Type-C Receptacle Through-hole Right angle -0 -20 -17 -Connector_USB -USB_C_Receptacle_GCT_USB4105-xx-A_16P_TopMnt_Horizontal -USB 2.0 Type C Receptacle, GCT, 16P, top mounted, horizontal, 5A: https://gct.co/files/drawings/usb4105.pdf -USB C Type-C Receptacle SMD USB 2.0 16P 16C USB4105-15-A USB4105-15-A-060 USB4105-15-A-120 USB4105-GF-A USB4105-GF-A-060 USB4105-GF-A-120 -0 -20 -17 -Connector_USB -USB_C_Receptacle_GCT_USB4110 -USB 2.0 Type C Receptacle, GCT, 16P, top mounted, horizontal, 5A, https://gct.co/files/drawings/usb4110.pdf -USB 2.0 C Type-C Receptacle SMD 16P 16C USB4110-GF-A -0 -20 -17 -Connector_USB -USB_C_Receptacle_GCT_USB4115-03-C -USB TYPE C, VERT RCPT PCB, SMT, https://gct.co/files/drawings/usb4115.pdf -USB C Type-C Receptacle SMD -0 -28 -25 -Connector_USB -USB_C_Receptacle_GCT_USB4125-xx-x-0190_6P_TopMnt_Horizontal -USB Type C Receptacle, GCT, power-only, 6P, top mounted, horizontal, 3A, 1.9mm stake: https://gct.co/files/drawings/usb4125.pdf -USB C Type-C receptacle power-only charging-only 6P 6C right angled -0 -10 -7 -Connector_USB -USB_C_Receptacle_GCT_USB4125-xx-x_6P_TopMnt_Horizontal -USB Type C Receptacle, GCT, power-only, 6P, top mounted, horizontal, 3A, 1mm stake: https://gct.co/files/drawings/usb4125.pdf -USB C Type-C receptacle power-only charging-only 6P 6C right angled -0 -10 -7 -Connector_USB -USB_C_Receptacle_GCT_USB4135-GF-A_6P_TopMnt_Horizontal -USB Type C Receptacle, GCT, power-only, 6P, top mounted, horizontal, 3A: https://gct.co/files/drawings/usb4135.pdf -USB C Type-C Receptacle SMD USB Power-only Charging-only 6P 6C USB4135-GF-A -0 -10 -7 -Connector_USB -USB_C_Receptacle_HCTL_HC-TYPE-C-16P-01A -16-pin USB-C receptacle, USB2.0 and PD, 5A VBUS rating, https://datasheet.lcsc.com/lcsc/2211161000_HCTL-HC-TYPE-C-16P-01A_C2894897.pdf -usb usb-c 2.0 pd -0 -20 -17 -Connector_USB -USB_C_Receptacle_HRO_TYPE-C-31-M-12 -USB Type-C receptacle for USB 2.0 and PD, http://www.krhro.com/uploads/soft/180320/1-1P320120243.pdf -usb usb-c 2.0 pd -0 -20 -17 -Connector_USB -USB_C_Receptacle_JAE_DX07S016JA1R1500 -USB TYPE C, USB 2.0, SMT, https://www.jae.com/en/connectors/series/detail/product/id=91780 -USB C Type-C Receptacle SMD USB 2.0 -0 -22 -17 -Connector_USB -USB_C_Receptacle_JAE_DX07S024WJ1R350 -http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ117219.pdf -USB C Type-C Receptacle SMD -0 -30 -25 -Connector_USB -USB_C_Receptacle_JAE_DX07S024WJ3R400 -USB TYPE C, VERT RCPT PCB, SMT, http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ117928.pdf -USB C Type-C Receptacle SMD -0 -28 -25 -Connector_USB -USB_C_Receptacle_Molex_105450-0101 -USB 3.0 3.1 3.2 4.0 Type-C receptable, through-hole mount, SMD, right-angle (https://www.molex.com/pdm_docs/sd/1054500101_sd.pdf) -USB C Type-C USB3 receptacle SMD -0 -28 -25 -Connector_USB -USB_C_Receptacle_Palconn_UTC16-G -http://www.palpilot.com/wp-content/uploads/2017/05/UTC027-GKN-OR-Rev-A.pdf -USB C Type-C Receptacle USB2.0 -0 -20 -17 -Connector_USB -USB_C_Receptacle_XKB_U262-16XN-4BVC11 -USB Type C, right-angle, SMT, https://datasheet.lcsc.com/szlcsc/1811141824_XKB-Enterprise-U262-161N-4BVC11_C319148.pdf -USB C Type-C Receptacle SMD -0 -20 -17 -Connector_USB -USB_Micro-AB_Molex_47590-0001 -Micro USB AB receptable, right-angle inverted (https://www.molex.com/pdm_docs/sd/475900001_sd.pdf) -Micro AB USB SMD -0 -14 -6 -Connector_USB -USB_Micro-B_Amphenol_10103594-0001LF_Horizontal -Micro USB Type B 10103594-0001LF, http://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10103594.pdf -USB USB_B USB_micro USB_OTG -0 -17 -6 -Connector_USB -USB_Micro-B_Amphenol_10104110_Horizontal -USB Micro-B, horizontal, https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10104110.pdf -USB Micro B horizontal -0 -9 -6 -Connector_USB -USB_Micro-B_Amphenol_10118193-0001LF_Horizontal -USB Micro-B receptacle, horizontal, SMD, 10118193, with flange, https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10118193.pdf -USB Micro-B horizontal SMD -0 -11 -6 -Connector_USB -USB_Micro-B_Amphenol_10118193-0002LF_Horizontal -USB Micro-B receptacle, horizontal, SMD, 10118193, without flange, https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10118193.pdf -USB Micro-B horizontal SMD -0 -11 -6 -Connector_USB -USB_Micro-B_Amphenol_10118194_Horizontal -USB Micro-B receptacle, horizontal, SMD, 10118194, https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10118194.pdf -USB Micro B horizontal SMD -0 -17 -6 -Connector_USB -USB_Micro-B_GCT_USB3076-30-A -GCT Micro USB https://gct.co/files/drawings/usb3076.pdf -Micro-USB SMD Typ-B GCT -0 -11 -6 -Connector_USB -USB_Micro-B_Molex-105017-0001 -http://www.molex.com/pdm_docs/sd/1050170001_sd.pdf -Micro-USB SMD Typ-B -0 -13 -6 -Connector_USB -USB_Micro-B_Molex-105133-0001 -Molex Vertical Micro USB Typ-B (http://www.molex.com/pdm_docs/sd/1051330001_sd.pdf) -Micro-USB SMD Typ-B Vertical -0 -8 -6 -Connector_USB -USB_Micro-B_Molex-105133-0031 -Molex Vertical Micro USB Typ-B (http://www.molex.com/pdm_docs/sd/1051330031_sd.pdf) -Micro-USB SMD Typ-B Vertical -0 -8 -6 -Connector_USB -USB_Micro-B_Molex_47346-0001 -Micro USB B receptable with flange, bottom-mount, SMD, right-angle (http://www.molex.com/pdm_docs/sd/473460001_sd.pdf) -Micro B USB SMD -0 -11 -6 -Connector_USB -USB_Micro-B_Technik_TWP-4002D-H3 -Dustproof Micro USB Type B TWP-4002D-H3, https://www.technik.com.hk/images/pdf_product/WP4002D-H3-A_2.0.pdf -USB Micro B horizontal dustproof waterproof IP67 -0 -7 -6 -Connector_USB -USB_Micro-B_Wuerth_614105150721_Vertical -USB Micro-B receptacle, through-hole, vertical, http://katalog.we-online.de/em/datasheet/614105150721.pdf -usb micro receptacle vertical -0 -7 -6 -Connector_USB -USB_Micro-B_Wuerth_614105150721_Vertical_CircularHoles -USB Micro-B receptacle, through-hole, vertical, http://katalog.we-online.de/em/datasheet/614105150721.pdf -usb micro receptacle vertical -0 -7 -6 -Connector_USB -USB_Micro-B_Wuerth_629105150521 -USB Micro-B receptacle, http://www.mouser.com/ds/2/445/629105150521-469306.pdf -usb micro receptacle -0 -9 -6 -Connector_USB -USB_Micro-B_Wuerth_629105150521_CircularHoles -USB Micro-B receptacle, http://www.mouser.com/ds/2/445/629105150521-469306.pdf -usb micro receptacle -0 -9 -6 -Connector_USB -USB_Micro-B_XKB_U254-051T-4BH83-F1S -XKB Connectivity, USB Micro-B, right-angle, SMT, https://datasheet.lcsc.com/lcsc/2206091745_XKB-Connectivity-U254-051T-4BH83-F1S_C397452.pdf -USB Micro-B Receptacle SMD -0 -11 -6 -Connector_USB -USB_Mini-B_AdamTech_MUSB-B5-S-VT-TSMT-1_SMD_Vertical -http://www.adam-tech.com/upload/MUSB-B5-S-VT-TSMT-1.pdf -USB Mini-B -0 -7 -6 -Connector_USB -USB_Mini-B_Lumberg_2486_01_Horizontal -USB Mini-B 5-pin SMD connector, http://downloads.lumberg.com/datenblaetter/en/2486_01.pdf -USB USB_B USB_Mini connector -0 -9 -6 -Connector_USB -USB_Mini-B_Tensility_54-00023_Vertical -http://www.tensility.com/pdffiles/54-00023.pdf -usb mini receptacle vertical -0 -7 -6 -Connector_USB -USB_Mini-B_Tensility_54-00023_Vertical_CircularHoles -http://www.tensility.com/pdffiles/54-00023.pdf -usb mini receptacle vertical -0 -7 -6 -Connector_USB -USB_Mini-B_Wuerth_65100516121_Horizontal -Mini USB 2.0 Type B SMT Horizontal 5 Contacts (https://katalog.we-online.de/em/datasheet/65100516121.pdf) -Mini USB 2.0 Type B -0 -9 -6 -Connector_Wago -Wago_734-132_1x02_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-132 , 2 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -2 -2 -Connector_Wago -Wago_734-133_1x03_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-133 , 3 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -3 -3 -Connector_Wago -Wago_734-134_1x04_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-134 , 4 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -4 -4 -Connector_Wago -Wago_734-135_1x05_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-135 , 5 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -5 -5 -Connector_Wago -Wago_734-136_1x06_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-136 , 6 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -6 -6 -Connector_Wago -Wago_734-137_1x07_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-137 , 7 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -7 -7 -Connector_Wago -Wago_734-138_1x08_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-138 , 8 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -8 -8 -Connector_Wago -Wago_734-139_1x09_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-139 , 9 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -9 -9 -Connector_Wago -Wago_734-140_1x10_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-140 , 10 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -10 -10 -Connector_Wago -Wago_734-141_1x11_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-141 , 11 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -11 -11 -Connector_Wago -Wago_734-142_1x12_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-142 , 12 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -12 -12 -Connector_Wago -Wago_734-143_1x13_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-143 , 13 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -13 -13 -Connector_Wago -Wago_734-144_1x14_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-144 , 14 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -14 -14 -Connector_Wago -Wago_734-146_1x16_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-146 , 16 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -16 -16 -Connector_Wago -Wago_734-148_1x18_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-148 , 18 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -18 -18 -Connector_Wago -Wago_734-150_1x20_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-150 , 20 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -20 -20 -Connector_Wago -Wago_734-154_1x24_P3.50mm_Vertical -Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-154 , 24 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago side entry -0 -24 -24 -Connector_Wago -Wago_734-162_1x02_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-162 , 2 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -2 -2 -Connector_Wago -Wago_734-163_1x03_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-163 , 3 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -3 -3 -Connector_Wago -Wago_734-164_1x04_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-164 , 4 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -4 -4 -Connector_Wago -Wago_734-165_1x05_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-165 , 5 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -5 -5 -Connector_Wago -Wago_734-166_1x06_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-166 , 6 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -6 -6 -Connector_Wago -Wago_734-167_1x07_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-167 , 7 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -7 -7 -Connector_Wago -Wago_734-168_1x08_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-168 , 8 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -8 -8 -Connector_Wago -Wago_734-169_1x09_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-169 , 9 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -9 -9 -Connector_Wago -Wago_734-170_1x10_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-170 , 10 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -10 -10 -Connector_Wago -Wago_734-171_1x11_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-171 , 11 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -11 -11 -Connector_Wago -Wago_734-172_1x12_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-172 , 12 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -12 -12 -Connector_Wago -Wago_734-173_1x13_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-173 , 13 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -13 -13 -Connector_Wago -Wago_734-174_1x14_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-174 , 14 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -14 -14 -Connector_Wago -Wago_734-176_1x16_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-176 , 16 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -16 -16 -Connector_Wago -Wago_734-178_1x18_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-178 , 18 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -18 -18 -Connector_Wago -Wago_734-180_1x20_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-180 , 20 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -20 -20 -Connector_Wago -Wago_734-184_1x24_P3.50mm_Horizontal -Molex 734 Male header (for PCBs); Angled solder pin 1 x 1 mm, 734-184 , 24 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator -connector Wago top entry -0 -24 -24 -Connector_Wire -SolderWire-0.1sqmm_1x01_D0.4mm_OD1mm -Soldered wire connection, for a single 0.1 mm² wire, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm -0 -1 -1 -Connector_Wire -SolderWire-0.1sqmm_1x01_D0.4mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for a single 0.1 mm² wire, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.1sqmm_1x01_D0.4mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 0.1 mm² wire, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.1sqmm_1x02_P3.6mm_D0.4mm_OD1mm -Soldered wire connection, for 2 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm -0 -2 -2 -Connector_Wire -SolderWire-0.1sqmm_1x02_P3.6mm_D0.4mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.1sqmm_1x02_P3.6mm_D0.4mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.1sqmm_1x03_P3.6mm_D0.4mm_OD1mm -Soldered wire connection, for 3 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm -0 -3 -3 -Connector_Wire -SolderWire-0.1sqmm_1x03_P3.6mm_D0.4mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.1sqmm_1x03_P3.6mm_D0.4mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.1sqmm_1x04_P3.6mm_D0.4mm_OD1mm -Soldered wire connection, for 4 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm -0 -4 -4 -Connector_Wire -SolderWire-0.1sqmm_1x04_P3.6mm_D0.4mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.1sqmm_1x04_P3.6mm_D0.4mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.1sqmm_1x05_P3.6mm_D0.4mm_OD1mm -Soldered wire connection, for 5 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm -0 -5 -5 -Connector_Wire -SolderWire-0.1sqmm_1x05_P3.6mm_D0.4mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.1sqmm_1x05_P3.6mm_D0.4mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.1sqmm_1x06_P3.6mm_D0.4mm_OD1mm -Soldered wire connection, for 6 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm -0 -6 -6 -Connector_Wire -SolderWire-0.1sqmm_1x06_P3.6mm_D0.4mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.1sqmm_1x06_P3.6mm_D0.4mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 0.1 mm² wires, basic insulation, conductor diameter 0.4mm, outer diameter 1mm, size source Multi-Contact FLEXI-E 0.1 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.1sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.5sqmm_1x01_D0.9mm_OD2.1mm -Soldered wire connection, for a single 0.5 mm² wire, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -1 -1 -Connector_Wire -SolderWire-0.5sqmm_1x01_D0.9mm_OD2.1mm_Relief -Soldered wire connection with feed through strain relief, for a single 0.5 mm² wire, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.5sqmm_1x01_D0.9mm_OD2.1mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 0.5 mm² wire, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.5sqmm_1x01_D0.9mm_OD2.3mm -Soldered wire connection, for a single 0.5 mm² wire, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -1 -1 -Connector_Wire -SolderWire-0.5sqmm_1x01_D0.9mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for a single 0.5 mm² wire, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.5sqmm_1x01_D0.9mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 0.5 mm² wire, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.5sqmm_1x02_P4.6mm_D0.9mm_OD2.1mm -Soldered wire connection, for 2 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -2 -2 -Connector_Wire -SolderWire-0.5sqmm_1x02_P4.6mm_D0.9mm_OD2.1mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.5sqmm_1x02_P4.6mm_D0.9mm_OD2.1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.5sqmm_1x02_P4.8mm_D0.9mm_OD2.3mm -Soldered wire connection, for 2 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -2 -2 -Connector_Wire -SolderWire-0.5sqmm_1x02_P4.8mm_D0.9mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.5sqmm_1x02_P4.8mm_D0.9mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.5sqmm_1x03_P4.6mm_D0.9mm_OD2.1mm -Soldered wire connection, for 3 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -3 -3 -Connector_Wire -SolderWire-0.5sqmm_1x03_P4.6mm_D0.9mm_OD2.1mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.5sqmm_1x03_P4.6mm_D0.9mm_OD2.1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.5sqmm_1x03_P4.8mm_D0.9mm_OD2.3mm -Soldered wire connection, for 3 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -3 -3 -Connector_Wire -SolderWire-0.5sqmm_1x03_P4.8mm_D0.9mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.5sqmm_1x03_P4.8mm_D0.9mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.5sqmm_1x04_P4.6mm_D0.9mm_OD2.1mm -Soldered wire connection, for 4 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -4 -4 -Connector_Wire -SolderWire-0.5sqmm_1x04_P4.6mm_D0.9mm_OD2.1mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.5sqmm_1x04_P4.6mm_D0.9mm_OD2.1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.5sqmm_1x04_P4.8mm_D0.9mm_OD2.3mm -Soldered wire connection, for 4 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -4 -4 -Connector_Wire -SolderWire-0.5sqmm_1x04_P4.8mm_D0.9mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.5sqmm_1x04_P4.8mm_D0.9mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.5sqmm_1x05_P4.6mm_D0.9mm_OD2.1mm -Soldered wire connection, for 5 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -5 -5 -Connector_Wire -SolderWire-0.5sqmm_1x05_P4.6mm_D0.9mm_OD2.1mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.5sqmm_1x05_P4.6mm_D0.9mm_OD2.1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.5sqmm_1x05_P4.8mm_D0.9mm_OD2.3mm -Soldered wire connection, for 5 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -5 -5 -Connector_Wire -SolderWire-0.5sqmm_1x05_P4.8mm_D0.9mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.5sqmm_1x05_P4.8mm_D0.9mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.5sqmm_1x06_P4.6mm_D0.9mm_OD2.1mm -Soldered wire connection, for 6 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -6 -6 -Connector_Wire -SolderWire-0.5sqmm_1x06_P4.6mm_D0.9mm_OD2.1mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.5sqmm_1x06_P4.6mm_D0.9mm_OD2.1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 0.5 mm² wires, basic insulation, conductor diameter 0.9mm, outer diameter 2.1mm, size source Multi-Contact FLEXI-E 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.5sqmm_1x06_P4.8mm_D0.9mm_OD2.3mm -Soldered wire connection, for 6 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm -0 -6 -6 -Connector_Wire -SolderWire-0.5sqmm_1x06_P4.8mm_D0.9mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.5sqmm_1x06_P4.8mm_D0.9mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 0.5 mm² wires, reinforced insulation, conductor diameter 0.9mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-xV 0.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.5sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.15sqmm_1x01_D0.5mm_OD1.5mm -Soldered wire connection, for a single 0.15 mm² wire, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm -0 -1 -1 -Connector_Wire -SolderWire-0.15sqmm_1x01_D0.5mm_OD1.5mm_Relief -Soldered wire connection with feed through strain relief, for a single 0.15 mm² wire, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.15sqmm_1x01_D0.5mm_OD1.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 0.15 mm² wire, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.15sqmm_1x02_P4mm_D0.5mm_OD1.5mm -Soldered wire connection, for 2 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm -0 -2 -2 -Connector_Wire -SolderWire-0.15sqmm_1x02_P4mm_D0.5mm_OD1.5mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.15sqmm_1x02_P4mm_D0.5mm_OD1.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.15sqmm_1x03_P4mm_D0.5mm_OD1.5mm -Soldered wire connection, for 3 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm -0 -3 -3 -Connector_Wire -SolderWire-0.15sqmm_1x03_P4mm_D0.5mm_OD1.5mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.15sqmm_1x03_P4mm_D0.5mm_OD1.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.15sqmm_1x04_P4mm_D0.5mm_OD1.5mm -Soldered wire connection, for 4 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm -0 -4 -4 -Connector_Wire -SolderWire-0.15sqmm_1x04_P4mm_D0.5mm_OD1.5mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.15sqmm_1x04_P4mm_D0.5mm_OD1.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.15sqmm_1x05_P4mm_D0.5mm_OD1.5mm -Soldered wire connection, for 5 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm -0 -5 -5 -Connector_Wire -SolderWire-0.15sqmm_1x05_P4mm_D0.5mm_OD1.5mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.15sqmm_1x05_P4mm_D0.5mm_OD1.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.15sqmm_1x06_P4mm_D0.5mm_OD1.5mm -Soldered wire connection, for 6 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm -0 -6 -6 -Connector_Wire -SolderWire-0.15sqmm_1x06_P4mm_D0.5mm_OD1.5mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.15sqmm_1x06_P4mm_D0.5mm_OD1.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 0.15 mm² wires, basic insulation, conductor diameter 0.5mm, outer diameter 1.5mm, size source Multi-Contact FLEXI-E 0.15 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.15sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.25sqmm_1x01_D0.65mm_OD1.7mm -Soldered wire connection, for a single 0.25 mm² wire, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -1 -1 -Connector_Wire -SolderWire-0.25sqmm_1x01_D0.65mm_OD1.7mm_Relief -Soldered wire connection with feed through strain relief, for a single 0.25 mm² wire, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.25sqmm_1x01_D0.65mm_OD1.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 0.25 mm² wire, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.25sqmm_1x01_D0.65mm_OD2mm -Soldered wire connection, for a single 0.25 mm² wire, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -1 -1 -Connector_Wire -SolderWire-0.25sqmm_1x01_D0.65mm_OD2mm_Relief -Soldered wire connection with feed through strain relief, for a single 0.25 mm² wire, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.25sqmm_1x01_D0.65mm_OD2mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 0.25 mm² wire, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.25sqmm_1x02_P4.2mm_D0.65mm_OD1.7mm -Soldered wire connection, for 2 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -2 -2 -Connector_Wire -SolderWire-0.25sqmm_1x02_P4.2mm_D0.65mm_OD1.7mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.25sqmm_1x02_P4.2mm_D0.65mm_OD1.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.25sqmm_1x02_P4.5mm_D0.65mm_OD2mm -Soldered wire connection, for 2 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -2 -2 -Connector_Wire -SolderWire-0.25sqmm_1x02_P4.5mm_D0.65mm_OD2mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.25sqmm_1x02_P4.5mm_D0.65mm_OD2mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.25sqmm_1x03_P4.2mm_D0.65mm_OD1.7mm -Soldered wire connection, for 3 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -3 -3 -Connector_Wire -SolderWire-0.25sqmm_1x03_P4.2mm_D0.65mm_OD1.7mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.25sqmm_1x03_P4.2mm_D0.65mm_OD1.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.25sqmm_1x03_P4.5mm_D0.65mm_OD2mm -Soldered wire connection, for 3 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -3 -3 -Connector_Wire -SolderWire-0.25sqmm_1x03_P4.5mm_D0.65mm_OD2mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.25sqmm_1x03_P4.5mm_D0.65mm_OD2mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.25sqmm_1x04_P4.2mm_D0.65mm_OD1.7mm -Soldered wire connection, for 4 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -4 -4 -Connector_Wire -SolderWire-0.25sqmm_1x04_P4.2mm_D0.65mm_OD1.7mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.25sqmm_1x04_P4.2mm_D0.65mm_OD1.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.25sqmm_1x04_P4.5mm_D0.65mm_OD2mm -Soldered wire connection, for 4 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -4 -4 -Connector_Wire -SolderWire-0.25sqmm_1x04_P4.5mm_D0.65mm_OD2mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.25sqmm_1x04_P4.5mm_D0.65mm_OD2mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.25sqmm_1x05_P4.2mm_D0.65mm_OD1.7mm -Soldered wire connection, for 5 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -5 -5 -Connector_Wire -SolderWire-0.25sqmm_1x05_P4.2mm_D0.65mm_OD1.7mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.25sqmm_1x05_P4.2mm_D0.65mm_OD1.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.25sqmm_1x05_P4.5mm_D0.65mm_OD2mm -Soldered wire connection, for 5 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -5 -5 -Connector_Wire -SolderWire-0.25sqmm_1x05_P4.5mm_D0.65mm_OD2mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.25sqmm_1x05_P4.5mm_D0.65mm_OD2mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.25sqmm_1x06_P4.2mm_D0.65mm_OD1.7mm -Soldered wire connection, for 6 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -6 -6 -Connector_Wire -SolderWire-0.25sqmm_1x06_P4.2mm_D0.65mm_OD1.7mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.25sqmm_1x06_P4.2mm_D0.65mm_OD1.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 0.25 mm² wires, basic insulation, conductor diameter 0.65mm, outer diameter 1.7mm, size source Multi-Contact FLEXI-E_0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.25sqmm_1x06_P4.5mm_D0.65mm_OD2mm -Soldered wire connection, for 6 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm -0 -6 -6 -Connector_Wire -SolderWire-0.25sqmm_1x06_P4.5mm_D0.65mm_OD2mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.25sqmm_1x06_P4.5mm_D0.65mm_OD2mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 0.25 mm² wires, reinforced insulation, conductor diameter 0.65mm, outer diameter 2mm, size source Multi-Contact FLEXI-2V 0.25 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.25sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.75sqmm_1x01_D1.25mm_OD2.3mm -Soldered wire connection, for a single 0.75 mm² wire, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -1 -1 -Connector_Wire -SolderWire-0.75sqmm_1x01_D1.25mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for a single 0.75 mm² wire, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.75sqmm_1x01_D1.25mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 0.75 mm² wire, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.75sqmm_1x01_D1.25mm_OD3.5mm -Soldered wire connection, for a single 0.75 mm² wire, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -1 -1 -Connector_Wire -SolderWire-0.75sqmm_1x01_D1.25mm_OD3.5mm_Relief -Soldered wire connection with feed through strain relief, for a single 0.75 mm² wire, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.75sqmm_1x01_D1.25mm_OD3.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 0.75 mm² wire, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.75sqmm_1x02_P4.8mm_D1.25mm_OD2.3mm -Soldered wire connection, for 2 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -2 -2 -Connector_Wire -SolderWire-0.75sqmm_1x02_P4.8mm_D1.25mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.75sqmm_1x02_P4.8mm_D1.25mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.75sqmm_1x02_P7mm_D1.25mm_OD3.5mm -Soldered wire connection, for 2 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -2 -2 -Connector_Wire -SolderWire-0.75sqmm_1x02_P7mm_D1.25mm_OD3.5mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.75sqmm_1x02_P7mm_D1.25mm_OD3.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.75sqmm_1x03_P4.8mm_D1.25mm_OD2.3mm -Soldered wire connection, for 3 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -3 -3 -Connector_Wire -SolderWire-0.75sqmm_1x03_P4.8mm_D1.25mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.75sqmm_1x03_P4.8mm_D1.25mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.75sqmm_1x03_P7mm_D1.25mm_OD3.5mm -Soldered wire connection, for 3 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -3 -3 -Connector_Wire -SolderWire-0.75sqmm_1x03_P7mm_D1.25mm_OD3.5mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.75sqmm_1x03_P7mm_D1.25mm_OD3.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.75sqmm_1x04_P4.8mm_D1.25mm_OD2.3mm -Soldered wire connection, for 4 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -4 -4 -Connector_Wire -SolderWire-0.75sqmm_1x04_P4.8mm_D1.25mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.75sqmm_1x04_P4.8mm_D1.25mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.75sqmm_1x04_P7mm_D1.25mm_OD3.5mm -Soldered wire connection, for 4 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -4 -4 -Connector_Wire -SolderWire-0.75sqmm_1x04_P7mm_D1.25mm_OD3.5mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.75sqmm_1x04_P7mm_D1.25mm_OD3.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.75sqmm_1x05_P4.8mm_D1.25mm_OD2.3mm -Soldered wire connection, for 5 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -5 -5 -Connector_Wire -SolderWire-0.75sqmm_1x05_P4.8mm_D1.25mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.75sqmm_1x05_P4.8mm_D1.25mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.75sqmm_1x05_P7mm_D1.25mm_OD3.5mm -Soldered wire connection, for 5 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -5 -5 -Connector_Wire -SolderWire-0.75sqmm_1x05_P7mm_D1.25mm_OD3.5mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.75sqmm_1x05_P7mm_D1.25mm_OD3.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.75sqmm_1x06_P4.8mm_D1.25mm_OD2.3mm -Soldered wire connection, for 6 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -6 -6 -Connector_Wire -SolderWire-0.75sqmm_1x06_P4.8mm_D1.25mm_OD2.3mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.75sqmm_1x06_P4.8mm_D1.25mm_OD2.3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 0.75 mm² wires, basic insulation, conductor diameter 1.25mm, outer diameter 2.3mm, size source Multi-Contact FLEXI-E 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.75sqmm_1x06_P7mm_D1.25mm_OD3.5mm -Soldered wire connection, for 6 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm -0 -6 -6 -Connector_Wire -SolderWire-0.75sqmm_1x06_P7mm_D1.25mm_OD3.5mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.75sqmm_1x06_P7mm_D1.25mm_OD3.5mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 0.75 mm² wires, reinforced insulation, conductor diameter 1.25mm, outer diameter 3.5mm, size source Multi-Contact FLEXI-xV 0.75 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.75sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.127sqmm_1x01_D0.48mm_OD1mm -Soldered wire connection, for a single 0.127 mm² wire, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm -0 -1 -1 -Connector_Wire -SolderWire-0.127sqmm_1x01_D0.48mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for a single 0.127 mm² wire, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.127sqmm_1x01_D0.48mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 0.127 mm² wire, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-0.127sqmm_1x02_P3.7mm_D0.48mm_OD1mm -Soldered wire connection, for 2 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm -0 -2 -2 -Connector_Wire -SolderWire-0.127sqmm_1x02_P3.7mm_D0.48mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.127sqmm_1x02_P3.7mm_D0.48mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-0.127sqmm_1x03_P3.7mm_D0.48mm_OD1mm -Soldered wire connection, for 3 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm -0 -3 -3 -Connector_Wire -SolderWire-0.127sqmm_1x03_P3.7mm_D0.48mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.127sqmm_1x03_P3.7mm_D0.48mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-0.127sqmm_1x04_P3.7mm_D0.48mm_OD1mm -Soldered wire connection, for 4 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm -0 -4 -4 -Connector_Wire -SolderWire-0.127sqmm_1x04_P3.7mm_D0.48mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.127sqmm_1x04_P3.7mm_D0.48mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-0.127sqmm_1x05_P3.7mm_D0.48mm_OD1mm -Soldered wire connection, for 5 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm -0 -5 -5 -Connector_Wire -SolderWire-0.127sqmm_1x05_P3.7mm_D0.48mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.127sqmm_1x05_P3.7mm_D0.48mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-0.127sqmm_1x06_P3.7mm_D0.48mm_OD1mm -Soldered wire connection, for 6 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm -0 -6 -6 -Connector_Wire -SolderWire-0.127sqmm_1x06_P3.7mm_D0.48mm_OD1mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-0.127sqmm_1x06_P3.7mm_D0.48mm_OD1mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 0.127 mm² wires, basic insulation, conductor diameter 0.48mm, outer diameter 1mm, size source Multi-Contact FLEXI-E/HK 0.127 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 0.127sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-1.5sqmm_1x01_D1.7mm_OD3.9mm -Soldered wire connection, for a single 1.5 mm² wire, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -1 -1 -Connector_Wire -SolderWire-1.5sqmm_1x01_D1.7mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for a single 1.5 mm² wire, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-1.5sqmm_1x01_D1.7mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 1.5 mm² wire, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-1.5sqmm_1x01_D1.7mm_OD3mm -Soldered wire connection, for a single 1.5 mm² wire, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -1 -1 -Connector_Wire -SolderWire-1.5sqmm_1x01_D1.7mm_OD3mm_Relief -Soldered wire connection with feed through strain relief, for a single 1.5 mm² wire, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-1.5sqmm_1x01_D1.7mm_OD3mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 1.5 mm² wire, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-1.5sqmm_1x02_P6mm_D1.7mm_OD3mm -Soldered wire connection, for 2 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -2 -2 -Connector_Wire -SolderWire-1.5sqmm_1x02_P6mm_D1.7mm_OD3mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-1.5sqmm_1x02_P6mm_D1.7mm_OD3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-1.5sqmm_1x02_P7.8mm_D1.7mm_OD3.9mm -Soldered wire connection, for 2 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -2 -2 -Connector_Wire -SolderWire-1.5sqmm_1x02_P7.8mm_D1.7mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-1.5sqmm_1x02_P7.8mm_D1.7mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-1.5sqmm_1x03_P6mm_D1.7mm_OD3mm -Soldered wire connection, for 3 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -3 -3 -Connector_Wire -SolderWire-1.5sqmm_1x03_P6mm_D1.7mm_OD3mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-1.5sqmm_1x03_P6mm_D1.7mm_OD3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-1.5sqmm_1x03_P7.8mm_D1.7mm_OD3.9mm -Soldered wire connection, for 3 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -3 -3 -Connector_Wire -SolderWire-1.5sqmm_1x03_P7.8mm_D1.7mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-1.5sqmm_1x03_P7.8mm_D1.7mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-1.5sqmm_1x04_P6mm_D1.7mm_OD3mm -Soldered wire connection, for 4 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -4 -4 -Connector_Wire -SolderWire-1.5sqmm_1x04_P6mm_D1.7mm_OD3mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-1.5sqmm_1x04_P6mm_D1.7mm_OD3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-1.5sqmm_1x04_P7.8mm_D1.7mm_OD3.9mm -Soldered wire connection, for 4 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -4 -4 -Connector_Wire -SolderWire-1.5sqmm_1x04_P7.8mm_D1.7mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-1.5sqmm_1x04_P7.8mm_D1.7mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-1.5sqmm_1x05_P6mm_D1.7mm_OD3mm -Soldered wire connection, for 5 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -5 -5 -Connector_Wire -SolderWire-1.5sqmm_1x05_P6mm_D1.7mm_OD3mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-1.5sqmm_1x05_P6mm_D1.7mm_OD3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-1.5sqmm_1x05_P7.8mm_D1.7mm_OD3.9mm -Soldered wire connection, for 5 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -5 -5 -Connector_Wire -SolderWire-1.5sqmm_1x05_P7.8mm_D1.7mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-1.5sqmm_1x05_P7.8mm_D1.7mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-1.5sqmm_1x06_P6mm_D1.7mm_OD3mm -Soldered wire connection, for 6 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -6 -6 -Connector_Wire -SolderWire-1.5sqmm_1x06_P6mm_D1.7mm_OD3mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-1.5sqmm_1x06_P6mm_D1.7mm_OD3mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 1.5 mm² wires, basic insulation, conductor diameter 1.7mm, outer diameter 3mm, size source Multi-Contact FLEXI-E 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-1.5sqmm_1x06_P7.8mm_D1.7mm_OD3.9mm -Soldered wire connection, for 6 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm -0 -6 -6 -Connector_Wire -SolderWire-1.5sqmm_1x06_P7.8mm_D1.7mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-1.5sqmm_1x06_P7.8mm_D1.7mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 1.5 mm² wires, reinforced insulation, conductor diameter 1.7mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1.5sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-1sqmm_1x01_D1.4mm_OD2.7mm -Soldered wire connection, for a single 1 mm² wire, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -1 -1 -Connector_Wire -SolderWire-1sqmm_1x01_D1.4mm_OD2.7mm_Relief -Soldered wire connection with feed through strain relief, for a single 1 mm² wire, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-1sqmm_1x01_D1.4mm_OD2.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 1 mm² wire, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-1sqmm_1x01_D1.4mm_OD3.9mm -Soldered wire connection, for a single 1 mm² wire, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -1 -1 -Connector_Wire -SolderWire-1sqmm_1x01_D1.4mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for a single 1 mm² wire, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-1sqmm_1x01_D1.4mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 1 mm² wire, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-1sqmm_1x02_P5.4mm_D1.4mm_OD2.7mm -Soldered wire connection, for 2 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -2 -2 -Connector_Wire -SolderWire-1sqmm_1x02_P5.4mm_D1.4mm_OD2.7mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-1sqmm_1x02_P5.4mm_D1.4mm_OD2.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-1sqmm_1x02_P7.8mm_D1.4mm_OD3.9mm -Soldered wire connection, for 2 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -2 -2 -Connector_Wire -SolderWire-1sqmm_1x02_P7.8mm_D1.4mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-1sqmm_1x02_P7.8mm_D1.4mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-1sqmm_1x03_P5.4mm_D1.4mm_OD2.7mm -Soldered wire connection, for 3 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -3 -3 -Connector_Wire -SolderWire-1sqmm_1x03_P5.4mm_D1.4mm_OD2.7mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-1sqmm_1x03_P5.4mm_D1.4mm_OD2.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-1sqmm_1x03_P7.8mm_D1.4mm_OD3.9mm -Soldered wire connection, for 3 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -3 -3 -Connector_Wire -SolderWire-1sqmm_1x03_P7.8mm_D1.4mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-1sqmm_1x03_P7.8mm_D1.4mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-1sqmm_1x04_P5.4mm_D1.4mm_OD2.7mm -Soldered wire connection, for 4 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -4 -4 -Connector_Wire -SolderWire-1sqmm_1x04_P5.4mm_D1.4mm_OD2.7mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-1sqmm_1x04_P5.4mm_D1.4mm_OD2.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-1sqmm_1x04_P7.8mm_D1.4mm_OD3.9mm -Soldered wire connection, for 4 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -4 -4 -Connector_Wire -SolderWire-1sqmm_1x04_P7.8mm_D1.4mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-1sqmm_1x04_P7.8mm_D1.4mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-1sqmm_1x05_P5.4mm_D1.4mm_OD2.7mm -Soldered wire connection, for 5 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -5 -5 -Connector_Wire -SolderWire-1sqmm_1x05_P5.4mm_D1.4mm_OD2.7mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-1sqmm_1x05_P5.4mm_D1.4mm_OD2.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-1sqmm_1x05_P7.8mm_D1.4mm_OD3.9mm -Soldered wire connection, for 5 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -5 -5 -Connector_Wire -SolderWire-1sqmm_1x05_P7.8mm_D1.4mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-1sqmm_1x05_P7.8mm_D1.4mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-1sqmm_1x06_P5.4mm_D1.4mm_OD2.7mm -Soldered wire connection, for 6 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -6 -6 -Connector_Wire -SolderWire-1sqmm_1x06_P5.4mm_D1.4mm_OD2.7mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-1sqmm_1x06_P5.4mm_D1.4mm_OD2.7mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 1 mm² wires, basic insulation, conductor diameter 1.4mm, outer diameter 2.7mm, size source Multi-Contact FLEXI-E 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-1sqmm_1x06_P7.8mm_D1.4mm_OD3.9mm -Soldered wire connection, for 6 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm -0 -6 -6 -Connector_Wire -SolderWire-1sqmm_1x06_P7.8mm_D1.4mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-1sqmm_1x06_P7.8mm_D1.4mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 1 mm² wires, reinforced insulation, conductor diameter 1.4mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 1.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 1sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-2.5sqmm_1x01_D2.4mm_OD3.6mm -Soldered wire connection, for a single 2.5 mm² wire, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -1 -1 -Connector_Wire -SolderWire-2.5sqmm_1x01_D2.4mm_OD3.6mm_Relief -Soldered wire connection with feed through strain relief, for a single 2.5 mm² wire, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-2.5sqmm_1x01_D2.4mm_OD3.6mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 2.5 mm² wire, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-2.5sqmm_1x01_D2.4mm_OD4.4mm -Soldered wire connection, for a single 2.5 mm² wire, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -1 -1 -Connector_Wire -SolderWire-2.5sqmm_1x01_D2.4mm_OD4.4mm_Relief -Soldered wire connection with feed through strain relief, for a single 2.5 mm² wire, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-2.5sqmm_1x01_D2.4mm_OD4.4mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 2.5 mm² wire, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-2.5sqmm_1x02_P7.2mm_D2.4mm_OD3.6mm -Soldered wire connection, for 2 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -2 -2 -Connector_Wire -SolderWire-2.5sqmm_1x02_P7.2mm_D2.4mm_OD3.6mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-2.5sqmm_1x02_P7.2mm_D2.4mm_OD3.6mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-2.5sqmm_1x02_P8.8mm_D2.4mm_OD4.4mm -Soldered wire connection, for 2 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -2 -2 -Connector_Wire -SolderWire-2.5sqmm_1x02_P8.8mm_D2.4mm_OD4.4mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-2.5sqmm_1x02_P8.8mm_D2.4mm_OD4.4mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-2.5sqmm_1x03_P7.2mm_D2.4mm_OD3.6mm -Soldered wire connection, for 3 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -3 -3 -Connector_Wire -SolderWire-2.5sqmm_1x03_P7.2mm_D2.4mm_OD3.6mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-2.5sqmm_1x03_P7.2mm_D2.4mm_OD3.6mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-2.5sqmm_1x03_P8.8mm_D2.4mm_OD4.4mm -Soldered wire connection, for 3 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -3 -3 -Connector_Wire -SolderWire-2.5sqmm_1x03_P8.8mm_D2.4mm_OD4.4mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-2.5sqmm_1x03_P8.8mm_D2.4mm_OD4.4mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-2.5sqmm_1x04_P7.2mm_D2.4mm_OD3.6mm -Soldered wire connection, for 4 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -4 -4 -Connector_Wire -SolderWire-2.5sqmm_1x04_P7.2mm_D2.4mm_OD3.6mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-2.5sqmm_1x04_P7.2mm_D2.4mm_OD3.6mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-2.5sqmm_1x04_P8.8mm_D2.4mm_OD4.4mm -Soldered wire connection, for 4 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -4 -4 -Connector_Wire -SolderWire-2.5sqmm_1x04_P8.8mm_D2.4mm_OD4.4mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-2.5sqmm_1x04_P8.8mm_D2.4mm_OD4.4mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-2.5sqmm_1x05_P7.2mm_D2.4mm_OD3.6mm -Soldered wire connection, for 5 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -5 -5 -Connector_Wire -SolderWire-2.5sqmm_1x05_P7.2mm_D2.4mm_OD3.6mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-2.5sqmm_1x05_P7.2mm_D2.4mm_OD3.6mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-2.5sqmm_1x05_P8.8mm_D2.4mm_OD4.4mm -Soldered wire connection, for 5 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -5 -5 -Connector_Wire -SolderWire-2.5sqmm_1x05_P8.8mm_D2.4mm_OD4.4mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-2.5sqmm_1x05_P8.8mm_D2.4mm_OD4.4mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-2.5sqmm_1x06_P7.2mm_D2.4mm_OD3.6mm -Soldered wire connection, for 6 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -6 -6 -Connector_Wire -SolderWire-2.5sqmm_1x06_P7.2mm_D2.4mm_OD3.6mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-2.5sqmm_1x06_P7.2mm_D2.4mm_OD3.6mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 2.5 mm² wires, basic insulation, conductor diameter 2.4mm, outer diameter 3.6mm, size source Multi-Contact FLEXI-E 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-2.5sqmm_1x06_P8.8mm_D2.4mm_OD4.4mm -Soldered wire connection, for 6 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm -0 -6 -6 -Connector_Wire -SolderWire-2.5sqmm_1x06_P8.8mm_D2.4mm_OD4.4mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-2.5sqmm_1x06_P8.8mm_D2.4mm_OD4.4mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 2.5 mm² wires, reinforced insulation, conductor diameter 2.4mm, outer diameter 4.4mm, size source Multi-Contact FLEXI-xV 2.5 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2.5sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWire-2sqmm_1x01_D2mm_OD3.9mm -Soldered wire connection, for a single 2 mm² wire, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm -0 -1 -1 -Connector_Wire -SolderWire-2sqmm_1x01_D2mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for a single 2 mm² wire, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm strain-relief -0 -1 -1 -Connector_Wire -SolderWire-2sqmm_1x01_D2mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for a single 2 mm² wire, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm double-strain-relief -0 -1 -1 -Connector_Wire -SolderWire-2sqmm_1x02_P7.8mm_D2mm_OD3.9mm -Soldered wire connection, for 2 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm -0 -2 -2 -Connector_Wire -SolderWire-2sqmm_1x02_P7.8mm_D2mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 2 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm strain-relief -0 -2 -2 -Connector_Wire -SolderWire-2sqmm_1x02_P7.8mm_D2mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 2 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm double-strain-relief -0 -2 -2 -Connector_Wire -SolderWire-2sqmm_1x03_P7.8mm_D2mm_OD3.9mm -Soldered wire connection, for 3 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm -0 -3 -3 -Connector_Wire -SolderWire-2sqmm_1x03_P7.8mm_D2mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 3 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm strain-relief -0 -3 -3 -Connector_Wire -SolderWire-2sqmm_1x03_P7.8mm_D2mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 3 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm double-strain-relief -0 -3 -3 -Connector_Wire -SolderWire-2sqmm_1x04_P7.8mm_D2mm_OD3.9mm -Soldered wire connection, for 4 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm -0 -4 -4 -Connector_Wire -SolderWire-2sqmm_1x04_P7.8mm_D2mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 4 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm strain-relief -0 -4 -4 -Connector_Wire -SolderWire-2sqmm_1x04_P7.8mm_D2mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 4 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm double-strain-relief -0 -4 -4 -Connector_Wire -SolderWire-2sqmm_1x05_P7.8mm_D2mm_OD3.9mm -Soldered wire connection, for 5 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm -0 -5 -5 -Connector_Wire -SolderWire-2sqmm_1x05_P7.8mm_D2mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 5 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm strain-relief -0 -5 -5 -Connector_Wire -SolderWire-2sqmm_1x05_P7.8mm_D2mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 5 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm double-strain-relief -0 -5 -5 -Connector_Wire -SolderWire-2sqmm_1x06_P7.8mm_D2mm_OD3.9mm -Soldered wire connection, for 6 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm -0 -6 -6 -Connector_Wire -SolderWire-2sqmm_1x06_P7.8mm_D2mm_OD3.9mm_Relief -Soldered wire connection with feed through strain relief, for 6 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm strain-relief -0 -6 -6 -Connector_Wire -SolderWire-2sqmm_1x06_P7.8mm_D2mm_OD3.9mm_Relief2x -Soldered wire connection with double feed through strain relief, for 6 times 2 mm² wires, reinforced insulation, conductor diameter 2mm, outer diameter 3.9mm, size source Multi-Contact FLEXI-xV 2.0 (https://ec.staubli.com/AcroFiles/Catalogues/TM_Cab-Main-11014119_(en)_hi.pdf), bend radius 3 times outer diameter, generated with kicad-footprint-generator -connector wire 2sqmm double-strain-relief -0 -6 -6 -Connector_Wire -SolderWirePad_1x01_SMD_1x2mm -Wire Pad, Square, SMD Pad, 1mm x 2mm, -MesurementPoint Square SMDPad 1mmx2mm -0 -1 -1 -Connector_Wire -SolderWirePad_1x01_SMD_5x10mm -Wire Pad, Square, SMD Pad, 5mm x 10mm, -MesurementPoint Square SMDPad 5mmx10mm -0 -1 -1 -Connector_Wuerth -Wuerth_WR-WTB_64800211622_1x02_P1.50mm_Vertical -Wuerth WR-WTB series connector, 64800211622 (https://katalog.we-online.com/em/datasheet/6480xx11622.pdf), generated with kicad-footprint-generator -connector Wuerth WR-WTB vertical -0 -2 -2 -Connector_Wuerth -Wuerth_WR-WTB_64800311622_1x03_P1.50mm_Vertical -Wuerth WR-WTB series connector, 64800311622 (https://katalog.we-online.com/em/datasheet/6480xx11622.pdf), generated with kicad-footprint-generator -connector Wuerth WR-WTB vertical -0 -3 -3 -Connector_Wuerth -Wuerth_WR-WTB_64800411622_1x04_P1.50mm_Vertical -Wuerth WR-WTB series connector, 64800411622 (https://katalog.we-online.com/em/datasheet/6480xx11622.pdf), generated with kicad-footprint-generator -connector Wuerth WR-WTB vertical -0 -4 -4 -Connector_Wuerth -Wuerth_WR-WTB_64800511622_1x05_P1.50mm_Vertical -Wuerth WR-WTB series connector, 64800511622 (https://katalog.we-online.com/em/datasheet/6480xx11622.pdf), generated with kicad-footprint-generator -connector Wuerth WR-WTB vertical -0 -5 -5 -Connector_Wuerth -Wuerth_WR-WTB_64800611622_1x06_P1.50mm_Vertical -Wuerth WR-WTB series connector, 64800611622 (https://katalog.we-online.com/em/datasheet/6480xx11622.pdf), generated with kicad-footprint-generator -connector Wuerth WR-WTB vertical -0 -6 -6 -Connector_Wuerth -Wuerth_WR-WTB_64800711622_1x07_P1.50mm_Vertical -Wuerth WR-WTB series connector, 64800711622 (https://katalog.we-online.com/em/datasheet/6480xx11622.pdf), generated with kicad-footprint-generator -connector Wuerth WR-WTB vertical -0 -7 -7 -Connector_Wuerth -Wuerth_WR-WTB_64800811622_1x08_P1.50mm_Vertical -Wuerth WR-WTB series connector, 64800811622 (https://katalog.we-online.com/em/datasheet/6480xx11622.pdf), generated with kicad-footprint-generator -connector Wuerth WR-WTB vertical -0 -8 -8 -Connector_Wuerth -Wuerth_WR-WTB_64800911622_1x09_P1.50mm_Vertical -Wuerth WR-WTB series connector, 64800911622 (https://katalog.we-online.com/em/datasheet/6480xx11622.pdf), generated with kicad-footprint-generator -connector Wuerth WR-WTB vertical -0 -9 -9 -Connector_Wuerth -Wuerth_WR-WTB_64801011622_1x10_P1.50mm_Vertical -Wuerth WR-WTB series connector, 64801011622 (https://katalog.we-online.com/em/datasheet/6480xx11622.pdf), generated with kicad-footprint-generator -connector Wuerth WR-WTB vertical -0 -10 -10 -Converter_ACDC -Converter_ACDC_CUI_PBO-3-Sxx_THT_Vertical -ACDC-Converter, 3W, CUI PBO-3, THT https://www.cui.com/product/resource/pbo-3.pdf -Converter AC-DC THT Vertical -0 -6 -6 -Converter_ACDC -Converter_ACDC_Hahn_HS-400xx_THT -ACDC-Converter, 3W, Hahn-HS-400xx, THT https://www.schukat.com/schukat/schukat_cms_de.nsf/index/FrameView?OpenDocument&art=HS40009&wg=M7942 -Hahn ACDC-Converter THT -0 -4 -4 -Converter_ACDC -Converter_ACDC_HiLink_HLK-5Mxx -ACDC-Converter, 10W, HiLink, HLK-5Mxx, (http://h.hlktech.com/download/ACDC%E7%94%B5%E6%BA%90%E6%A8%A1%E5%9D%975W%E7%B3%BB%E5%88%97/1/%E6%B5%B7%E5%87%8C%E7%A7%915W%E7%B3%BB%E5%88%97%E7%94%B5%E6%BA%90%E6%A8%A1%E5%9D%97%E8%A7%84%E6%A0%BC%E4%B9%A6V2.8.pdf) -ACDC-Converter 5W THT HiLink board mount module -0 -4 -4 -Converter_ACDC -Converter_ACDC_HiLink_HLK-10Mxx -ACDC-Converter, 10W, HiLink, HLK-10Mxx, THT, http://h.hlktech.com/download/ACDC%E7%94%B5%E6%BA%90%E6%A8%A1%E5%9D%9710W%E7%B3%BB%E5%88%97/1/%E6%B5%B7%E5%87%8C%E7%A7%9110W%E7%B3%BB%E5%88%97%E7%94%B5%E6%BA%90%E6%A8%A1%E5%9D%97%E8%A7%84%E6%A0%BC%E4%B9%A6V1.8.pdf -ACDC-Converter 10W THT HiLink board mount module -0 -4 -4 -Converter_ACDC -Converter_ACDC_HiLink_HLK-PMxx -ACDC-Converter, 3W, HiLink, HLK-PMxx, THT, http://www.hlktech.net/product_detail.php?ProId=54 -ACDC-Converter 3W THT HiLink board mount module -0 -4 -4 -Converter_ACDC -Converter_ACDC_MeanWell_IRM-02-xx_SMD -ACDC-Converter, 3W, Meanwell, IRM-02, SMD, https://www.meanwell.com/Upload/PDF/IRM-02/IRM-02-SPEC.PDF -ACDC-Converter 3W -0 -14 -14 -Converter_ACDC -Converter_ACDC_MeanWell_IRM-02-xx_THT -ACDC-Converter, 2W, Meanwell, IRM-02, THT, https://www.meanwell.co.uk/media/productPDF/IRM-02-spec.pdf -ACDC-Converter 2W THT -0 -4 -4 -Converter_ACDC -Converter_ACDC_MeanWell_IRM-03-xx_SMD -ACDC-Converter, 3W, Meanwell, IRM-03, SMD, http://www.meanwell.com/webapp/product/search.aspx?prod=IRM-03 -ACDC-Converter 3W -0 -14 -14 -Converter_ACDC -Converter_ACDC_MeanWell_IRM-03-xx_THT -ACDC-Converter, 3W, Meanwell, IRM-03, THT, https://www.meanwell.com/Upload/PDF/IRM-03/IRM-03-SPEC.PDF -ACDC-Converter 3W THT -0 -5 -5 -Converter_ACDC -Converter_ACDC_MeanWell_IRM-05-xx_THT -http://www.meanwell.com/webapp/product/search.aspx?prod=IRM-05 -ACDC-Converter 5W Meanwell IRM-05 -0 -4 -4 -Converter_ACDC -Converter_ACDC_MeanWell_IRM-10-xx_THT -http://www.meanwell.com/webapp/product/search.aspx?prod=IRM-10 -ACDC-Converter 10W Meanwell IRM-10 -0 -4 -4 -Converter_ACDC -Converter_ACDC_MeanWell_IRM-20-xx_THT -ACDC-Converter, 20W, Meanwell, IRM-20, THT http://www.meanwell.com/webapp/product/search.aspx?prod=IRM-20 -ACDC-Converter 20W Meanwell IRM-20 -0 -4 -4 -Converter_ACDC -Converter_ACDC_MeanWell_IRM-60-xx_THT -ACDC-Converter, 50-60W, Meanwell, IRM-60, THT https://www.meanwellusa.com/productPdf.aspx?i=687 -switching power supply -0 -4 -4 -Converter_ACDC -Converter_ACDC_Murata_BAC05SxxDC_THT -ACDC-Converter, Murata, 5W, ClassB, https://www.murata.com/products/productdata/8809982558238/KAC-BAC05.pdf -switching power supply tht -0 -5 -5 -Converter_ACDC -Converter_ACDC_RECOM_RAC01-xxSGB_THT -https://www.recom-power.com/pdf/Powerline-AC-DC/RAC01-GB.pdf -recom power ac dc rac01xxgb rac01-05sgb rac01-12sgb -0 -4 -4 -Converter_ACDC -Converter_ACDC_RECOM_RAC04-xxSGx_THT -https://www.recom-power.com/pdf/Powerline-AC-DC/RAC04-GA.pdf -recom power ac dc -0 -5 -5 -Converter_ACDC -Converter_ACDC_RECOM_RAC05-xxSK_THT -https://www.recom-power.com/pdf/Powerline-AC-DC/RAC05-K.pdf -recom power ac dc -0 -5 -5 -Converter_ACDC -Converter_ACDC_Recom_RAC20-xxDK_THT -Recom RAC20-xxDK https://recom-power.com/pdf/Powerline_AC-DC/RAC20-K.pdf#page=6 Rev. 6/2020 -Recom RAC20-xxDK -0 -5 -5 -Converter_ACDC -Converter_ACDC_Recom_RAC20-xxSK_THT -Recom RAC20-xxSK https://recom-power.com/pdf/Powerline_AC-DC/RAC20-K.pdf#page=6 Rev. 6/2020 -Recom RAC20-xxSK -0 -4 -4 -Converter_ACDC -Converter_ACDC_TRACO_TMG-15_THT -ACDC-Converter, TRACO, TMG Series 15 https://www.tracopower.com/products/tmg.pdf -ACDC-Converter TRACO TMG Series 15 -0 -4 -4 -Converter_ACDC -Converter_ACDC_TRACO_TMLM-04_THT -ACDC-Converter, TRACO, TMLM Series 04 https://www.tracopower.com/products/tmlm.pdf -ACDC-Converter TRACO TMLM Series 04 -0 -7 -7 -Converter_ACDC -Converter_ACDC_TRACO_TMLM-05_THT -ACDC-Converter, TRACO TMLM 05,https://www.tracopower.com/products/tmlm.pdf -ACDC-Converter TRACO TMLM 05 -0 -4 -4 -Converter_ACDC -Converter_ACDC_TRACO_TMLM-10-20_THT -ACDC-Converter, TRACO TMLM 10, TRACO TMLM 20, https://www.tracopower.com/products/tmlm.pdf -ACDC-Converter TRACO TMLM 10 and TMLM 20 -0 -4 -4 -Converter_ACDC -Converter_ACDC_TRACO_TPP-15-1xx-D_THT -ACDC-Converter, 15W, TRACO TPP 15-D, 42 x 28.9 x 21.5mm, https://www.tracopower.com/sites/default/files/products/datasheets/tpp15_d_datasheet.pdf -ACDC-Converter TRACO TPP -0 -5 -5 -Converter_ACDC -Converter_ACDC_Vigortronix_VTX-214-010-xxx_THT -Vigortronix VTX-214-010-xxx serie of ACDC converter, http://www.vigortronix.com/10WattACDCPCBPowerModule.aspx -Vigortronix VTX-214-010-xxx serie of ACDC converter -0 -4 -4 -Converter_ACDC -Converter_ACDC_Vigortronix_VTX-214-015-1xx_THT -Vigortronix VTX-214-010-xxx serie of ACDC converter, http://www.vigortronix.com/10WattACDCPCBPowerModule.aspx -Vigortronix VTX-214-010-xxx serie of ACDC converter -0 -5 -5 -Converter_DCDC -Converter_DCDC_Artesyn_ATA_SMD -DCDC-Converter, Artesyn, ATA Series, 3W Single and Dual Output, 1500VDC Isolation, 24.0x13.7x8.0mm https://www.artesyn.com/power/assets/ata_series_ds_01apr2015_79c25814fd.pdf https://www.artesyn.com/power/assets/trn_dc-dc_ata_3w_series_releas1430412818_techref.pdf -DCDC SMD -0 -7 -7 -Converter_DCDC -Converter_DCDC_Bothhand_CFUDxxxx_THT -DCDC-Converter, BOTHHAND, Type CFxxxx-Serie, (Very dodgy url but was the only at hand), -DCDC-Converter BOTHHAND Type CFxxxx-Serie -0 -10 -10 -Converter_DCDC -Converter_DCDC_Bothhand_CFUSxxxxEH_THT -DCDC-Converter, BOTHHAND, Type CFxxxx-Serie, (Very dodgy url but was the only at hand), -DCDC-Converter BOTHHAND Type CFxxxx-Serie -0 -9 -9 -Converter_DCDC -Converter_DCDC_Bothhand_CFUSxxxx_THT -DCDC-Converter, BOTHHAND, Type CFxxxx-Serie, (Very dodgy url but was the only at hand), -DCDC-Converter BOTHHAND Type CFxxxx-Serie -0 -8 -8 -Converter_DCDC -Converter_DCDC_Cincon_EC5BExx_Dual_THT -DCDC-Converter, CINCON, EC5BExx, 18-36VDC to Dual output, http://www.cincon.com/upload/media/data%20sheets/Data%20Sheet%20(DC)/B%20CASE/SPEC-EC5BE-V24.pdf -DCDC-Converter CINCON EC5BExx 18-36VDC to Dual output -0 -6 -6 -Converter_DCDC -Converter_DCDC_Cincon_EC5BExx_Single_THT -DCDC-Converter, CINCON, EC5BExx, 18-36VDC to dual output, http://www.cincon.com/upload/media/data%20sheets/Data%20Sheet%20(DC)/B%20CASE/SPEC-EC5BE-V24.pdf -DCDC-Converter CINCON EC5BExx 18-36VDC to dual output -0 -4 -4 -Converter_DCDC -Converter_DCDC_Cincon_EC6Cxx_Dual-Triple_THT -DCDC-Converter, CINCON, EC6Cxx, dual or tripple output, http://www.cincon.com/upload/media/data%20sheets/Data%20Sheet%20(DC)/C%20CASE/SPEC-EC6C-V12.pdf -DCDC-Converter CINCON EC6Cxx dual or tripple output -0 -7 -7 -Converter_DCDC -Converter_DCDC_Cincon_EC6Cxx_Single_THT -DCDC-Converter, CINCON, EC6Cxx, single output, http://www.cincon.com/upload/media/data%20sheets/Data%20Sheet%20(DC)/C%20CASE/SPEC-EC6C-V12.pdf -DCDC-Converter CINCON EC6Cxx single output -0 -6 -6 -Converter_DCDC -Converter_DCDC_Cyntec_MUN12AD01-SH -http://www.cyntec.com/upfile/products/download/Cyntec%20MUN12AD01-SH_Datasheet.pdf -Power Module uPOL MUN12AD01 -0 -13 -9 -Converter_DCDC -Converter_DCDC_Cyntec_MUN12AD03-SH -http://www.cyntec.com/upfile/products/download/Cyntec%20MUN12AD03-SH_Datasheet.pdf -Power Module uPOL MUN12AD03 -0 -19 -11 -Converter_DCDC -Converter_DCDC_MeanWell_NID30_THT -Meanwell DCDC non-isolated converter SIP module, http://www.meanwell.com/webapp/product/search.aspx?prod=nid30 -DCDC non-isolated converter -0 -11 -11 -Converter_DCDC -Converter_DCDC_MeanWell_NID60_THT -MeanWell NID60, http://www.meanwell.com/Upload/PDF/NID60/NID60-SPEC.PDF -MeanWell NID60 -0 -11 -11 -Converter_DCDC -Converter_DCDC_Murata_CRE1xxxxxx3C_THT -Isolated 1W single output DC/DC, http://power.murata.com/data/power/ncl/kdc_cre1.pdf -Isolated 1W single output DC/DC -0 -4 -4 -Converter_DCDC -Converter_DCDC_Murata_CRE1xxxxxxDC_THT -Isloated DC-DC, http://power.murata.com/data/power/ncl/kdc_cre1.pdf -Isloated DC-DC -0 -4 -4 -Converter_DCDC -Converter_DCDC_Murata_CRE1xxxxxxSC_THT -http://power.murata.com/data/power/ncl/kdc_cre1.pdf -murata dc-dc transformer -0 -4 -4 -Converter_DCDC -Converter_DCDC_Murata_MEE1SxxxxSC_THT -https://power.murata.com/pub/data/power/ncl/kdc_mee1.pdf -murata dc-dc transformer -0 -4 -4 -Converter_DCDC -Converter_DCDC_Murata_MEE3SxxxxSC_THT -https://power.murata.com/pub/data/power/ncl/kdc_mee3.pdf -murata dc-dc transformer -0 -4 -4 -Converter_DCDC -Converter_DCDC_Murata_MGJ2DxxxxxxSC_THT -Murata MGJ2DxxxxxxSC, 19.5x9.8x12.5mm, 5.2kVDC Isolated, 2W, SIP package style, https://power.murata.com/data/power/ncl/kdc_mgj2.pdf -Murata MGJ2DxxxxxxSC -0 -5 -5 -Converter_DCDC -Converter_DCDC_Murata_MGJ3 -Murata MGJ3, 5.2kVDC Isolated 3W Gate Drive, 15V/5V/5V Configurable, 22.61x23.11x14.19mm, https://power.murata.com/datasheet?/data/power/ncl/kdc_mgj3.pdf -DCDC SMD -0 -7 -7 -Converter_DCDC -Converter_DCDC_Murata_MYRxP -Murata MYRxP PicoBK DC/DC converter with inductor, 2x2.5mm, height 1.04mm. https://www.murata.com/en-global/products/power/nonisolated-dc-dc-converter/overview/lineup/picobk -PicoBK DC/DC MYRBP MYRGP MYRLP -0 -19 -9 -Converter_DCDC -Converter_DCDC_Murata_NCS1SxxxxSC_THT -Murata NCS1SxxxxSC https://power.murata.com/data/power/ncl/kdc_ncs1.pdf (Script generated with StandardBox.py) (Murata NCS1SxxxxSC https://power.murata.com/data/power/ncl/kdc_ncs1.pdf) -Murata NCS1SxxxxSC -0 -5 -5 -Converter_DCDC -Converter_DCDC_Murata_NMAxxxxDC_THT -Isolated 1W DCDC-Converter, http://power.murata.com/data/power/ncl/kdc_nma.pdf -Isolated 1W DCDC-Converter -0 -6 -6 -Converter_DCDC -Converter_DCDC_Murata_NMAxxxxSC_THT -Murata NMAxxxxSC footprint based on SIP7, http://power.murata.com/data/power/ncl/kdc_nma.pdf -Murata NMAxxxxSC DCDC-Converter -0 -5 -5 -Converter_DCDC -Converter_DCDC_Murata_NXExSxxxxMC_SMD -Isolated 1W or 2W Single Output SM DC/DC Converters https://www.murata.com/products/productdata/8807031865374/kdc-nxe1.pdf#page=8 https://www.murata.com/products/productdata/8807031898142/kdc-nxe2.pdf#page=9 -Isolated 1W or 2W Single Output SM DC/DC Converters -0 -5 -5 -Converter_DCDC -Converter_DCDC_Murata_OKI-78SR_Horizontal -https://power.murata.com/data/power/oki-78sr.pdf -78sr3.3 78sr5 78sr9 78sr12 78srXX -0 -3 -3 -Converter_DCDC -Converter_DCDC_Murata_OKI-78SR_Vertical -https://power.murata.com/data/power/oki-78sr.pdf -78sr3.3 78sr5 78sr9 78sr12 78srXX -0 -3 -3 -Converter_DCDC -Converter_DCDC_RECOM_R-78B-2.0_THT -DCDC-Converter, RECOM, RECOM_R-78B-2.0, SIP-3, pitch 2.54mm, package size 11.5x8.5x17.5mm^3, https://www.recom-power.com/pdf/Innoline/R-78Bxx-2.0.pdf -dc-dc recom buck sip-3 pitch 2.54mm -0 -3 -3 -Converter_DCDC -Converter_DCDC_RECOM_R-78E-0.5_THT -DCDC-Converter, RECOM, RECOM_R-78E-0.5, SIP-3, pitch 2.54mm, package size 11.6x8.5x10.4mm^3, https://www.recom-power.com/pdf/Innoline/R-78Exx-0.5.pdf -dc-dc recom buck sip-3 pitch 2.54mm -0 -3 -3 -Converter_DCDC -Converter_DCDC_RECOM_R-78HB-0.5L_THT -DCDC-Converter, RECOM, RECOM_R-78HB-0.5L, SIP-3, Horizontally Mounted, pitch 2.54mm, package size 11.5x8.5x17.5mm^3, https://www.recom-power.com/pdf/Innoline/R-78HBxx-0.5_L.pdf -dc-dc recom buck sip-3 pitch 2.54mm -0 -3 -3 -Converter_DCDC -Converter_DCDC_RECOM_R-78HB-0.5_THT -DCDC-Converter, RECOM, RECOM_R-78HB-0.5, SIP-3, pitch 2.54mm, package size 11.5x8.5x17.5mm^3, https://www.recom-power.com/pdf/Innoline/R-78HBxx-0.5_L.pdf -dc-dc recom buck sip-3 pitch 2.54mm -0 -3 -3 -Converter_DCDC -Converter_DCDC_RECOM_R-78S-0.1_THT -DCDC-Converter, RECOM, RECOM_R-78S-0.1, SIP-4, pitch 2.54mm, package size 11.6x8.5x10.4mm^3, https://www.recom-power.com/pdf/Innoline/R-78Sxx-0.1.pdf -dc-dc recom buck sip-4 pitch 2.54mm -0 -4 -4 -Converter_DCDC -Converter_DCDC_RECOM_R5xxxDA_THT -DCDC-Converter, RECOM, RECOM_R5xxxDA, SIP-12, Horizontally Mounted, pitch 2.54mm, package size 32.2x9.1x15mm^3, https://www.recom-power.com/pdf/Innoline/R-5xxxPA_DA.pdf -dc-dc recom buck sip-12 pitch 2.54mm -0 -12 -12 -Converter_DCDC -Converter_DCDC_RECOM_R5xxxPA_THT -DCDC-Converter, RECOM, RECOM_R5xxxPA, SIP-12, pitch 2.54mm, package size 32.2x9.1x15mm^3, https://www.recom-power.com/pdf/Innoline/R-5xxxPA_DA.pdf -dc-dc recom buck sip-12 pitch 2.54mm -0 -12 -12 -Converter_DCDC -Converter_DCDC_RECOM_RCD-24_THT -Constant current LED Driver DC/DC Converter, https://g.recomcdn.com/media/Datasheet/pdf/.fYUQjOlW/.t2a80a771bdbb0ef300f7/Datasheet-93/RCD-24.pdf -Led Driver DC-DC -0 -6 -6 -Converter_DCDC -Converter_DCDC_RECOM_RPA60-xxxxSFW -RPA60-FW 60W Isolated DC to DC Converters -DCDC Regulator Single -0 -6 -6 -Converter_DCDC -Converter_DCDC_RECOM_RPMx.x-x.0 -https://www.recom-power.com/pdf/Innoline/RPM-6.0.pdf -dc-dc recom buck lga-25 pitch 2.29mm -0 -25 -25 -Converter_DCDC -Converter_DCDC_Silvertel_Ag54xx -DCDC-Converter, 30W POE, Silvertel, pitch 2.54mm, package size 62x19.5x14mm, https://silvertel.com/images/datasheets/Ag5400-datasheet-high%20Efficiency-30W-Power-Over-Ethernet-Plus-Module-PoE+PD.pdf -DCDC-Converter Silvertel Ag5405 Ag5412 Ag5424 single output POE -0 -10 -10 -Converter_DCDC -Converter_DCDC_Silvertel_Ag99xxLP_THT -Converter, DCDC, Silvertel Ag9900 PoE, 1500VDC isolation, Vin 36V - 75V, 4.9W - 10W output, https://silvertel.com/images/datasheets/Ag9900M-datasheet-ultra-miniature-isolated-Power-over-Ethernet-POE-module.pdf -DCDC converter IEEE 802.3af -0 -8 -8 -Converter_DCDC -Converter_DCDC_Silvertel_Ag5810 -DCDC-Converter, 60W POE, Silvertel, pitch 2.54mm, package size 69.98x30x15.64mm, https://silvertel.com/images/datasheets/Ag5810-datasheet-IEEE802_3bt-Power-over-Ethernet-4-pair-PD.pdf -DCDC-Converter Silvertel Ag5810 single output POE -0 -163 -13 -Converter_DCDC -Converter_DCDC_TRACO_TBA1-xxxxE_Dual_THT -DCDC-Converter, TRACO, TBA1-xxxxE, Dual, 1W, Rev. October 31. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tba1e.pdf) -DCDC-Converter TRACO TBA1E THT SIP-7 1W -0 -5 -5 -Converter_DCDC -Converter_DCDC_TRACO_TBA1-xxxxE_Single_THT -DCDC-Converter, TRACO, TBA1-xxxxE, Single, 1W, Rev. October 31. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tba1e.pdf) -DCDC-Converter TRACO TBA1E THT SIP-7 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TBA2-xxxx_Dual_THT -DCDC-Converter, TRACO, TBA2-xxxx, Dual, 2W, Rev. October 18. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tba2.pdf) -DCDC-Converter TRACO TBA2 THT SIP-7 2W -0 -5 -5 -Converter_DCDC -Converter_DCDC_TRACO_TBA2-xxxx_Single_THT -DCDC-Converter, TRACO, TBA2-xxxx, Single, 2W, Rev. October 18. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tba2.pdf) -DCDC-Converter TRACO TBA2 THT SIP-7 2W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TDN_5-xxxxWISM_SMD -Traco TDN 5WISM Series, 5W, SMD (https://assets.tracopower.com/20200225170127/TDN5WISM/documents/tdn5wism-datasheet.pdf#page=3) -dcdc traco 5w smd -0 -6 -6 -Converter_DCDC -Converter_DCDC_TRACO_TDN_5-xxxxWI_THT -Traco TDN 5WI Series, 5W (https://www.tracopower.com/sites/default/files/products/datasheets/tdn5wi_datasheet.pdf#page=4) -dcdc traco 5w -0 -6 -6 -Converter_DCDC -Converter_DCDC_TRACO_TDU1-xxxx_THT -DCDC-Converter, TRACO, TDU1-xxxx, 1W, Rev. October 24. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tdu1.pdf) -DCDC-Converter TRACO TDU1 THT DIP-8 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TEA1-xxxxE_THT -DCDC-Converter, TRACO, TEA1-xxxxE, 1W, Rev. October 31. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tea1e.pdf) -DCDC-Converter TRACO TEA1E THT SIP-7 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TEA1-xxxxHI_THT -DCDC-Converter, TRACO, TEA1-xxxxHI, 1W, Rev. October 31. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tea1hi.pdf) -DCDC-Converter TRACO TEA1HI THT SIP-7 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TEA1-xxxx_THT -DCDC-Converter, TRACO, TEA1-xxxx, 1W, Rev. October 19. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tea1.pdf) -DCDC-Converter TRACO TEA1 THT SIP-4 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TEL12-xxxx_THT -Traco 12W, THT (https://www.tracopower.com/sites/default/files/products/datasheets/tel12_datasheet.pdf) -traco dcdc tht 12w -0 -5 -5 -Converter_DCDC -Converter_DCDC_TRACO_TEN10-xxxx_Dual_THT -DCDC-Converter, TRACO, TEN10-xxxx, single output, https://assets.tracopower.com/20171102100522/TEN10/documents/ten10-datasheet.pdf -DCDC-Converter TRACO TEN10-xxxx single output -0 -5 -5 -Converter_DCDC -Converter_DCDC_TRACO_TEN10-xxxx_Single_THT -DCDC-Converter, TRACO, TEN10-xxxx, single output, https://assets.tracopower.com/20171102100522/TEN10/documents/ten10-datasheet.pdf -DCDC-Converter TRACO TEN10-xxxx single output -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TEN10-xxxx_THT -DCDC-Converter, TRACO, TEN10-xxxx, https://assets.tracopower.com/20171102100522/TEN10/documents/ten10-datasheet.pdf -DCDC-Converter TRACO TEN10-xxxx -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TEN20-xxxx-N4_THT -DCDC-Converter TRACO TEN20 Generic, https://assets.tracopower.com/20171102100522/TEN20/documents/ten20-datasheet.pdf -DCDC-Converter TRACO TEN20 Generic -0 -5 -5 -Converter_DCDC -Converter_DCDC_TRACO_TEN20-xxxx_THT -DCDC-Converter TRACO TEN20 Generic, https://assets.tracopower.com/20171102100522/TEN20/documents/ten20-datasheet.pdf -DCDC-Converter TRACO TEN20 Generic -0 -6 -6 -Converter_DCDC -Converter_DCDC_TRACO_THB10-xxxx_Dual_THT -DCDC-Converter, TRACO, THB10-xxxx, Dual, 10W, Rev. December 07. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/thb10.pdf) -DCDC-Converter TRACO THB10 THT 2"x1" 10W -0 -5 -5 -Converter_DCDC -Converter_DCDC_TRACO_THB10-xxxx_Single_THT -DCDC-Converter, TRACO, THB10-xxxx, Single, 10W, Rev. December 07. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/thb10.pdf) -DCDC-Converter TRACO THB10 THT 2"x1" 10W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_THD_15-xxxxWIN_THT -Traco THD 15WIN, 15W, THT (https://www.tracopower.com/products/thd15win.pdf#page=3) -traco dcdc tht 15w -0 -9 -9 -Converter_DCDC -Converter_DCDC_TRACO_THN30-xxxx_THT -DCDC-Converter TRACO THN 10 to 30W, Single or dual Output, (https://www.tracopower.com/sites/default/files/products/datasheets/thn30_datasheet.pdf) -DCDC-Converter TRACO THN30 Generic -0 -6 -6 -Converter_DCDC -Converter_DCDC_TRACO_TMA-05xxD_12xxD_Dual_THT -DCDC-Converter, TRACO, TMA-05xxD, TMA-12xxD, Dual, 1W, Rev. November 10. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tma.pdf) -DCDC-Converter TRACO TMA THT SIP-7 1W -0 -5 -5 -Converter_DCDC -Converter_DCDC_TRACO_TMA-05xxS_12xxS_Single_THT -DCDC-Converter, TRACO, TMA-05xxS, TMA-12xxS, Single, 1W, Rev. November 10. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tma.pdf) -DCDC-Converter TRACO TMA THT SIP-7 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TMA-15xxD_24xxD_Dual_THT -DCDC-Converter, TRACO, TMA-15xxD, TMA-25xxD, Dual, 1W, Rev. November 10. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tma.pdf) -DCDC-Converter TRACO TMA THT SIP-7 1W -0 -5 -5 -Converter_DCDC -Converter_DCDC_TRACO_TMA-15xxS_24xxS_Single_THT -DCDC-Converter, TRACO, TMA-15xxS, TMA-24xxS, Single, 1W, Rev. November 10. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tma.pdf) -DCDC-Converter TRACO TMA THT SIP-7 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TME_03xxS_05xxS_12xxS_Single_THT -DCDC-Converter, TRACO, TME-03xxS, TME-05xxS, TME-12xxS, 1W, Rev. September 26. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tme.pdf) -DCDC-Converter TRACO TME THT SIP-7 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TME_24xxS_Single_THT -DCDC-Converter, TRACO, TME-24xxS, 1W, Rev. September 26. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tme.pdf) -DCDC-Converter TRACO TME THT SIP-7 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TMR-1-xxxx_Dual_THT -DCDC-Converter, TRACO, TMR 1-xxxx, Dual output, Rev. March 21.2016 -DCDC-Converter TRACO TMR1-xxxx Dual_output -0 -5 -5 -Converter_DCDC -Converter_DCDC_TRACO_TMR-1-xxxx_Single_THT -DCDC-Converter, TRACO, TMR 1-xxxx, Single output, Rev. March 21.2016 -DCDC-Converter TRACO TMR1-xxxx Single_output -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TMR-1SM_SMD -http://assets.tracopower.com/TMR1SM/documents/tmr1sm-datasheet.pdf -DCDC SMD TRACO TMR-1SM -0 -7 -7 -Converter_DCDC -Converter_DCDC_TRACO_TMR-2xxxxWI_THT -https://www.tracopower.com/products/tmr2wi.pdf -DCDC-Converter TRACO TMRxxxxWI Single/Dual_output -0 -7 -7 -Converter_DCDC -Converter_DCDC_TRACO_TMR-xxxx_THT -DCDC-Converter, TRACO, TMR xxxx, Single/Dual output, http://www.datasheetlib.com/datasheet/135136/tmr-2-2410e_traco-power.html?page=3#datasheet -DCDC-Converter TRACO TMRxxxx Single/Dual_output -0 -7 -7 -Converter_DCDC -Converter_DCDC_TRACO_TMR4-xxxxWI_THT -DCDC-Converter, TRACO, TMR4-xxxxWI, 4W, Rev. December 06. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tmr4wi.pdf) -DCDC-Converter TRACO TMR4WI THT SIP-8 4W -0 -7 -7 -Converter_DCDC -Converter_DCDC_TRACO_TMU3-05xx_12xx_THT -DCDC-Converter, TRACO, TMU3-05xx, TMU3-12xx, 3W, Rev. November 10. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tmu3.pdf) -DCDC-Converter TRACO TMU3 THT SIP-4 3W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TMU3-24xx_THT -DCDC-Converter, TRACO, TMU3-24xx, 3W, Rev. November 10. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tmu3.pdf) -DCDC-Converter TRACO TMU3 THT SIP-4 3W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TRI1-xxxx_THT -DCDC-Converter, TRACO, TRI1-xxxx, 1W, Rev. November 13. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tri1.pdf) -DCDC-Converter TRACO TRI1 THT SIP-7 1W -0 -4 -4 -Converter_DCDC -Converter_DCDC_TRACO_TSR-1_THT -DCDC-Converter, TRACO, TSR 1-xxxx -DCDC-Converter TRACO TSR-1 -0 -3 -3 -Converter_DCDC -Converter_DCDC_TRACO_TSR1-xxxxE_THT -DCDC-Converter, TRACO, TSR1-xxxxE, 1A, Rev. October 31. 2023 (Script generated with StandardBox.py) (https://www.tracopower.com/products/tsr1e.pdf) -DCDC-Converter SWITCHING-REGULATOR STEP-DOWN TRACO TSR1E 2.54MM THT SIP-3 1A -0 -3 -3 -Converter_DCDC -Converter_DCDC_XP_POWER-IA48xxD_THT -XP_POWER IA48xxD, DIP, (https://www.xppower.com/pdfs/SF_IA.pdf), generated with kicad-footprint-generator -XP_POWER IA48xxD DIP DCDC-Converter -0 -6 -6 -Converter_DCDC -Converter_DCDC_XP_POWER-IA48xxS_THT -XP_POWER IA48xxS, SIP, (https://www.xppower.com/pdfs/SF_IA.pdf), generated with kicad-footprint-generator -XP_POWER IA48xxS SIP DCDC-Converter -0 -5 -5 -Converter_DCDC -Converter_DCDC_XP_POWER-IAxxxxD_THT -XP_POWER IAxxxxD, DIP, (https://www.xppower.com/pdfs/SF_IA.pdf), generated with kicad-footprint-generator -XP_POWER IAxxxxD DIP DCDC-Converter -0 -6 -6 -Converter_DCDC -Converter_DCDC_XP_POWER-IAxxxxS_THT -XP_POWER IAxxxxS, SIP, (https://www.xppower.com/pdfs/SF_IA.pdf), generated with kicad-footprint-generator -XP_POWER IAxxxxS SIP DCDC-Converter -0 -5 -5 -Converter_DCDC -Converter_DCDC_XP_POWER-IHxxxxDH_THT -XP_POWER IHxxxxDH, DIP, (https://www.xppower.com/pdfs/SF_IH.pdf), generated with kicad-footprint-generator -XP_POWER IHxxxxDH DIP DCDC-Converter -0 -6 -6 -Converter_DCDC -Converter_DCDC_XP_POWER-IHxxxxD_THT -XP_POWER IHxxxxD, DIP, (https://www.xppower.com/pdfs/SF_IH.pdf), generated with kicad-footprint-generator -XP_POWER IHxxxxD DIP DCDC-Converter -0 -6 -6 -Converter_DCDC -Converter_DCDC_XP_POWER-IHxxxxSH_THT -XP_POWER IHxxxxSH, SIP, (https://www.xppower.com/pdfs/SF_IH.pdf), generated with kicad-footprint-generator -XP_POWER IHxxxxSH SIP DCDC-Converter -0 -5 -5 -Converter_DCDC -Converter_DCDC_XP_POWER-IHxxxxS_THT -XP_POWER IHxxxxS, SIP, (https://www.xppower.com/pdfs/SF_IH.pdf), generated with kicad-footprint-generator -XP_POWER IHxxxxS SIP DCDC-Converter -0 -5 -5 -Converter_DCDC -Converter_DCDC_XP_POWER-ISU02_SMD -DCDC-Converter, XP POWER, ISU02 Series, 2W Single and Dual Output, 1500VDC Isolation, 19.0x17.0x8.7mm https://www.xppower.com/Portals/0/pdfs/SF_ISU02.pdf -DCDC SMD XP POWER ISU02 -0 -7 -7 -Converter_DCDC -Converter_DCDC_XP_POWER-ITQxxxxS-H_THT -XP_POWER ITQxxxxS-H, SIP, (https://www.xppower.com/pdfs/SF_ITQ.pdf), generated with kicad-footprint-generator -XP_POWER ITQxxxxS-H SIP DCDC-Converter -0 -6 -6 -Converter_DCDC -Converter_DCDC_XP_POWER-ITXxxxxSA_THT -XP_POWER ITXxxxxSA, SIP, (https://www.xppower.com/pdfs/SF_ITX.pdf), generated with kicad-footprint-generator -XP_POWER ITXxxxxSA SIP DCDC-Converter -0 -5 -5 -Converter_DCDC -Converter_DCDC_XP_POWER-ITxxxxxS_THT -XP_POWER ITxxxxxS, SIP, (https://www.xppower.com/portals/0/pdfs/SF_ITX.pdf https://www.xppower.com/portals/0/pdfs/SF_ITQ.pdf), generated with kicad-footprint-generator -XP_POWER ITxxxxxS SIP DCDC-Converter -0 -7 -7 -Converter_DCDC -Converter_DCDC_XP_POWER_JTDxxxxxxx_THT -XP Power JTD Series DC-DC Converter -DCDC Isolated -0 -6 -6 -Converter_DCDC -Converter_DCDC_XP_POWER_JTExxxxDxx_THT -DCDC-Converter, XP POWER, Type JTE06 Series, Dual Output -DCDC-Converter XP_POWER JTE06 Dual -0 -8 -8 -Converter_DCDC -Converter_DCDC_muRata_MEJ1DxxxxSC_THT -muRata MEJ1DxxxxSC, 19.5x9.8x12.5mm, 5.2kVDC Isolated, 1W, dual output, SIP package style, https://power.murata.com/data/power/ncl/kdc_mej1.pdf -muRata MEJ1D DCDC-Converter -0 -5 -5 -Converter_DCDC -Converter_DCDC_muRata_MEJ1SxxxxSC_THT -muRata MEJ1SxxxxSC, 19.5x9.8x12.5mm, 5.2kVDC Isolated, 1W, single output, SIP package style, https://power.murata.com/data/power/ncl/kdc_mej1.pdf -muRata MEJ1S DCDC-Converter -0 -4 -4 -Crystal -Crystal_AT310_D3.0mm_L10.0mm_Horizontal -Crystal THT AT310 10.0mm-10.5mm length 3.0mm diameter http://www.cinetech.com.tw/upload/2011/04/20110401165201.pdf -['AT310'] -0 -2 -2 -Crystal -Crystal_AT310_D3.0mm_L10.0mm_Horizontal_1EP_style1 -Crystal THT AT310 10.0mm-10.5mm length 3.0mm diameter http://www.cinetech.com.tw/upload/2011/04/20110401165201.pdf -['AT310'] -0 -3 -3 -Crystal -Crystal_AT310_D3.0mm_L10.0mm_Horizontal_1EP_style2 -Crystal THT AT310 10.0mm-10.5mm length 3.0mm diameter http://www.cinetech.com.tw/upload/2011/04/20110401165201.pdf -['AT310'] -0 -5 -3 -Crystal -Crystal_AT310_D3.0mm_L10.0mm_Vertical -Crystal THT AT310 10.0mm-10.5mm length 3.0mm diameter http://www.cinetech.com.tw/upload/2011/04/20110401165201.pdf -['AT310'] -0 -2 -2 -Crystal -Crystal_C26-LF_D2.1mm_L6.5mm_Horizontal -Crystal THT C26-LF 6.5mm length 2.06mm diameter -['C26-LF'] -0 -2 -2 -Crystal -Crystal_C26-LF_D2.1mm_L6.5mm_Horizontal_1EP_style1 -Crystal THT C26-LF 6.5mm length 2.06mm diameter -['C26-LF'] -0 -3 -3 -Crystal -Crystal_C26-LF_D2.1mm_L6.5mm_Horizontal_1EP_style2 -Crystal THT C26-LF 6.5mm length 2.06mm diameter -['C26-LF'] -0 -5 -3 -Crystal -Crystal_C26-LF_D2.1mm_L6.5mm_Vertical -Crystal THT C26-LF 6.5mm length 2.06mm diameter -['C26-LF'] -0 -2 -2 -Crystal -Crystal_C38-LF_D3.0mm_L8.0mm_Horizontal -Crystal THT C38-LF 8.0mm length 3.0mm diameter -['C38-LF'] -0 -2 -2 -Crystal -Crystal_C38-LF_D3.0mm_L8.0mm_Horizontal_1EP_style1 -Crystal THT C38-LF 8.0mm length 3.0mm diameter -['C38-LF'] -0 -3 -3 -Crystal -Crystal_C38-LF_D3.0mm_L8.0mm_Horizontal_1EP_style2 -Crystal THT C38-LF 8.0mm length 3.0mm diameter -['C38-LF'] -0 -5 -3 -Crystal -Crystal_C38-LF_D3.0mm_L8.0mm_Vertical -Crystal THT C38-LF 8.0mm length 3.0mm diameter -['C38-LF'] -0 -2 -2 -Crystal -Crystal_DS10_D1.0mm_L4.3mm_Horizontal -Crystal THT DS10 4.3mm length 1.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS10'] -0 -2 -2 -Crystal -Crystal_DS10_D1.0mm_L4.3mm_Horizontal_1EP_style1 -Crystal THT DS10 4.3mm length 1.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS10'] -0 -3 -3 -Crystal -Crystal_DS10_D1.0mm_L4.3mm_Horizontal_1EP_style2 -Crystal THT DS10 4.3mm length 1.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS10'] -0 -5 -3 -Crystal -Crystal_DS10_D1.0mm_L4.3mm_Vertical -Crystal THT DS10 4.3mm length 1.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS10'] -0 -2 -2 -Crystal -Crystal_DS15_D1.5mm_L5.0mm_Horizontal -Crystal THT DS15 5.0mm length 1.5mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS15'] -0 -2 -2 -Crystal -Crystal_DS15_D1.5mm_L5.0mm_Horizontal_1EP_style1 -Crystal THT DS15 5.0mm length 1.5mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS15'] -0 -3 -3 -Crystal -Crystal_DS15_D1.5mm_L5.0mm_Horizontal_1EP_style2 -Crystal THT DS15 5.0mm length 1.5mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS15'] -0 -5 -3 -Crystal -Crystal_DS15_D1.5mm_L5.0mm_Vertical -Crystal THT DS15 5.0mm length 1.5mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS15'] -0 -2 -2 -Crystal -Crystal_DS26_D2.0mm_L6.0mm_Horizontal -Crystal THT DS26 6.0mm length 2.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS26'] -0 -2 -2 -Crystal -Crystal_DS26_D2.0mm_L6.0mm_Horizontal_1EP_style1 -Crystal THT DS26 6.0mm length 2.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS26'] -0 -3 -3 -Crystal -Crystal_DS26_D2.0mm_L6.0mm_Horizontal_1EP_style2 -Crystal THT DS26 6.0mm length 2.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS26'] -0 -5 -3 -Crystal -Crystal_DS26_D2.0mm_L6.0mm_Vertical -Crystal THT DS26 6.0mm length 2.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS26'] -0 -2 -2 -Crystal -Crystal_HC18-U_Horizontal -Crystal THT HC-18/U http://5hertz.com/pdfs/04404_D.pdf -THT crystal -0 -2 -2 -Crystal -Crystal_HC18-U_Horizontal_1EP_style1 -Crystal THT HC-18/U http://5hertz.com/pdfs/04404_D.pdf -THT crystal -0 -3 -3 -Crystal -Crystal_HC18-U_Horizontal_1EP_style2 -Crystal THT HC-18/U http://5hertz.com/pdfs/04404_D.pdf -THT crystal -0 -5 -3 -Crystal -Crystal_HC18-U_Vertical -Crystal THT HC-18/U, http://5hertz.com/pdfs/04404_D.pdf -THT crystalHC-18/U -0 -2 -2 -Crystal -Crystal_HC33-U_Horizontal -Crystal THT HC-33/U http://pdi.bentech-taiwan.com/PDI/GEN20SPEV20HC3320U.pdf -THT crystal -0 -2 -2 -Crystal -Crystal_HC33-U_Horizontal_1EP_style1 -Crystal THT HC-33/U http://pdi.bentech-taiwan.com/PDI/GEN20SPEV20HC3320U.pdf -THT crystal -0 -3 -3 -Crystal -Crystal_HC33-U_Horizontal_1EP_style2 -Crystal THT HC-33/U http://pdi.bentech-taiwan.com/PDI/GEN20SPEV20HC3320U.pdf -THT crystal -0 -5 -3 -Crystal -Crystal_HC33-U_Vertical -Crystal THT HC-33/U, http://pdi.bentech-taiwan.com/PDI/GEN20SPEV20HC3320U.pdf -THT crystalHC-33/U -0 -2 -2 -Crystal -Crystal_HC35-U -Crystal, Quarz, HC35/U, http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/TO71xx.pdf -Crystal Quarz HC35/U -0 -3 -3 -Crystal -Crystal_HC49-4H_Vertical -Crystal THT HC-49-4H http://5hertz.com/pdfs/04404_D.pdf -THT crystalHC-49-4H -0 -2 -2 -Crystal -Crystal_HC49-U-3Pin_Vertical -Crystal THT HC-49/U, 3pin-version, http://www.raltron.com/products/pdfspecs/crystal_hc_49_45_51.pdf -THT crystalHC-49/U -0 -3 -3 -Crystal -Crystal_HC49-U_Horizontal -Crystal THT HC-49/U http://5hertz.com/pdfs/04404_D.pdf -THT crystal -0 -2 -2 -Crystal -Crystal_HC49-U_Horizontal_1EP_style1 -Crystal THT HC-49/U http://5hertz.com/pdfs/04404_D.pdf -THT crystal -0 -3 -3 -Crystal -Crystal_HC49-U_Horizontal_1EP_style2 -Crystal THT HC-49/U http://5hertz.com/pdfs/04404_D.pdf -THT crystal -0 -5 -3 -Crystal -Crystal_HC49-U_Vertical -Crystal THT HC-49/U http://5hertz.com/pdfs/04404_D.pdf -THT crystalHC-49/U -0 -2 -2 -Crystal -Crystal_HC50_Horizontal -Crystal THT HC-50 http://www.crovencrystals.com/croven_pdf/HC-50_Crystal_Holder_Rev_00.pdf -THT crystal -0 -2 -2 -Crystal -Crystal_HC50_Horizontal_1EP_style1 -Crystal THT HC-50 http://www.crovencrystals.com/croven_pdf/HC-50_Crystal_Holder_Rev_00.pdf -THT crystal -0 -3 -3 -Crystal -Crystal_HC50_Horizontal_1EP_style2 -Crystal THT HC-50 http://www.crovencrystals.com/croven_pdf/HC-50_Crystal_Holder_Rev_00.pdf -THT crystal -0 -5 -3 -Crystal -Crystal_HC50_Vertical -Crystal THT HC-50, http://www.crovencrystals.com/croven_pdf/HC-50_Crystal_Holder_Rev_00.pdf -THT crystalHC-50 -0 -2 -2 -Crystal -Crystal_HC51-U_Vertical -Crystal THT HC-51/U, http://www.crovencrystals.com/croven_pdf/HC-51_Crystal_Holder_Rev_00.pdf -THT crystalHC-51/U -0 -2 -2 -Crystal -Crystal_HC51_Horizontal -Crystal THT HC-51 http://www.crovencrystals.com/croven_pdf/HC-51_Crystal_Holder_Rev_00.pdf -THT crystal -0 -2 -2 -Crystal -Crystal_HC51_Horizontal_1EP_style1 -Crystal THT HC-51 http://www.crovencrystals.com/croven_pdf/HC-51_Crystal_Holder_Rev_00.pdf -THT crystal -0 -3 -3 -Crystal -Crystal_HC51_Horizontal_1EP_style2 -Crystal THT HC-51 http://www.crovencrystals.com/croven_pdf/HC-51_Crystal_Holder_Rev_00.pdf -THT crystal -0 -5 -3 -Crystal -Crystal_HC52-6mm_Horizontal -Crystal THT HC-51/6mm http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal -0 -2 -2 -Crystal -Crystal_HC52-6mm_Horizontal_1EP_style1 -Crystal THT HC-51/6mm http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal -0 -3 -3 -Crystal -Crystal_HC52-6mm_Horizontal_1EP_style2 -Crystal THT HC-51/6mm http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal -0 -5 -3 -Crystal -Crystal_HC52-6mm_Vertical -Crystal THT HC-52/6mm, http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystalHC-49/U -0 -2 -2 -Crystal -Crystal_HC52-8mm_Horizontal -Crystal THT HC-51/8mm http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal -0 -2 -2 -Crystal -Crystal_HC52-8mm_Horizontal_1EP_style1 -Crystal THT HC-51/8mm http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal -0 -3 -3 -Crystal -Crystal_HC52-8mm_Horizontal_1EP_style2 -Crystal THT HC-51/8mm http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal -0 -5 -3 -Crystal -Crystal_HC52-8mm_Vertical -Crystal THT HC-52/8mm, http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystalHC-49/U -0 -2 -2 -Crystal -Crystal_HC52-U-3Pin_Vertical -Crystal THT HC-52/U, http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystalHC-52/U -0 -3 -3 -Crystal -Crystal_HC52-U_Horizontal -Crystal THT HC-51/U http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal -0 -2 -2 -Crystal -Crystal_HC52-U_Horizontal_1EP_style1 -Crystal THT HC-51/U http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal -0 -3 -3 -Crystal -Crystal_HC52-U_Horizontal_1EP_style2 -Crystal THT HC-51/U http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal -0 -5 -3 -Crystal -Crystal_HC52-U_Vertical -Crystal THT HC-52/U, http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf -THT crystal HC-52/U -0 -2 -2 -Crystal -Crystal_Round_D1.0mm_Vertical -Crystal THT DS10 1.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS10'] -0 -2 -2 -Crystal -Crystal_Round_D1.5mm_Vertical -Crystal THT DS15 5.0mm length 1.5mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS15'] -0 -2 -2 -Crystal -Crystal_Round_D2.0mm_Vertical -Crystal THT DS26 6.0mm length 2.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf -['DS26'] -0 -2 -2 -Crystal -Crystal_Round_D3.0mm_Vertical -Crystal THT C38-LF 8.0mm length 3.0mm diameter -['C38-LF'] -0 -2 -2 -Crystal -Crystal_SMD_0603-2Pin_6.0x3.5mm -SMD Crystal SERIES SMD0603/2 http://www.petermann-technik.de/fileadmin/petermann/pdf/SMD0603-2.pdf, 6.0x3.5mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_0603-2Pin_6.0x3.5mm_HandSoldering -SMD Crystal SERIES SMD0603/2 http://www.petermann-technik.de/fileadmin/petermann/pdf/SMD0603-2.pdf, hand-soldering, 6.0x3.5mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_0603-4Pin_6.0x3.5mm -SMD Crystal SERIES SMD0603/4 http://www.petermann-technik.de/fileadmin/petermann/pdf/SMD0603-4.pdf, 6.0x3.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_0603-4Pin_6.0x3.5mm_HandSoldering -SMD Crystal SERIES SMD0603/4 http://www.petermann-technik.de/fileadmin/petermann/pdf/SMD0603-4.pdf, hand-soldering, 6.0x3.5mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_2012-2Pin_2.0x1.2mm -SMD Crystal 2012/2 http://txccrystal.com/images/pdf/9ht11.pdf, 2.0x1.2mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_2012-2Pin_2.0x1.2mm_HandSoldering -SMD Crystal 2012/2 http://txccrystal.com/images/pdf/9ht11.pdf, hand-soldering, 2.0x1.2mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_2016-4Pin_2.0x1.6mm -SMD Crystal SERIES SMD2016/4 http://www.q-crystal.com/upload/5/2015552223166229.pdf, 2.0x1.6mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_2520-4Pin_2.5x2.0mm -SMD Crystal SERIES SMD2520/4 http://www.newxtal.com/UploadFiles/Images/2012-11-12-09-29-09-776.pdf, 2.5x2.0mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_3215-2Pin_3.2x1.5mm -SMD Crystal FC-135 https://support.epson.biz/td/api/doc_check.php?dl=brief_FC-135R_en.pdf -SMD SMT Crystal -0 -2 -2 -Crystal -Crystal_SMD_3225-4Pin_3.2x2.5mm -SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering -SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, hand-soldering, 3.2x2.5mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_5032-2Pin_5.0x3.2mm -SMD Crystal SERIES SMD2520/2 http://www.icbase.com/File/PDF/HKC/HKC00061008.pdf, 5.0x3.2mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_5032-2Pin_5.0x3.2mm_HandSoldering -SMD Crystal SERIES SMD2520/2 http://www.icbase.com/File/PDF/HKC/HKC00061008.pdf, hand-soldering, 5.0x3.2mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_5032-4Pin_5.0x3.2mm -SMD Crystal SERIES SMD2520/4 http://www.icbase.com/File/PDF/HKC/HKC00061008.pdf, 5.0x3.2mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_7050-2Pin_7.0x5.0mm -SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, 7.0x5.0mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_7050-2Pin_7.0x5.0mm_HandSoldering -SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, hand-soldering, 7.0x5.0mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_7050-4Pin_7.0x5.0mm -SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, 7.0x5.0mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_Abracon_ABM3-2Pin_5.0x3.2mm -Abracon Miniature Ceramic Smd Crystal ABM3 http://www.abracon.com/Resonators/abm3.pdf, 5.0x3.2mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_Abracon_ABM3-2Pin_5.0x3.2mm_HandSoldering -Abracon Miniature Ceramic Smd Crystal ABM3 http://www.abracon.com/Resonators/abm3.pdf, hand-soldering, 5.0x3.2mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_Abracon_ABM3B-4Pin_5.0x3.2mm -Abracon Miniature Ceramic Smd Crystal ABM3B http://www.abracon.com/Resonators/abm3b.pdf, 5.0x3.2mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_Abracon_ABM3C-4Pin_5.0x3.2mm -Abracon Miniature Ceramic Smd Crystal ABM3C http://www.abracon.com/Resonators/abm3c.pdf, 5.0x3.2mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_Abracon_ABM7-2Pin_6.0x3.5mm -SMD Crystal Abracon ABM7, https://abracon.com/Resonators/abm7.pdf -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_Abracon_ABM8AIG-4Pin_3.2x2.5mm -Abracon Miniature Ceramic Smd Crystal ABM8AIG https://abracon.com/AIGcrystals/ABM8AIG.pdf, 3.2x2.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_Abracon_ABM8G-4Pin_3.2x2.5mm -Abracon Miniature Ceramic Smd Crystal ABM8G http://www.abracon.com/Resonators/ABM8G.pdf, 3.2x2.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_Abracon_ABM10-4Pin_2.5x2.0mm -Abracon Miniature Ceramic Smd Crystal ABM10 http://www.abracon.com/Resonators/ABM10.pdf -SMD SMT crystal Abracon ABM10 -0 -4 -4 -Crystal -Crystal_SMD_Abracon_ABS25-4Pin_8.0x3.8mm -Abracon Miniature Ceramic SMD Crystal ABS25 https://abracon.com/Resonators/abs25.pdf, 8.0x3.8mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_ECS_CSM3X-2Pin_7.6x4.1mm -http://www.ecsxtal.com/store/pdf/CSM-3X.pdf -Crystal CSM-3X -0 -2 -2 -Crystal -Crystal_SMD_EuroQuartz_EQ161-2Pin_3.2x1.5mm -SMD Crystal EuroQuartz EQ161 series http://cdn-reichelt.de/documents/datenblatt/B400/PG32768C.pdf, 3.2x1.5mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_EuroQuartz_EQ161-2Pin_3.2x1.5mm_HandSoldering -SMD Crystal EuroQuartz EQ161 series http://cdn-reichelt.de/documents/datenblatt/B400/PG32768C.pdf, hand-soldering, 3.2x1.5mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_EuroQuartz_MJ-4Pin_5.0x3.2mm -SMD Crystal EuroQuartz MJ series http://cdn-reichelt.de/documents/datenblatt/B400/MJ.pdf, 5.0x3.2mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_EuroQuartz_MJ-4Pin_5.0x3.2mm_HandSoldering -SMD Crystal EuroQuartz MJ series http://cdn-reichelt.de/documents/datenblatt/B400/MJ.pdf, hand-soldering, 5.0x3.2mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_EuroQuartz_MQ-4Pin_7.0x5.0mm -SMD Crystal EuroQuartz MQ series http://cdn-reichelt.de/documents/datenblatt/B400/MQ.pdf, 7.0x5.0mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_EuroQuartz_MQ-4Pin_7.0x5.0mm_HandSoldering -SMD Crystal EuroQuartz MQ series http://cdn-reichelt.de/documents/datenblatt/B400/MQ.pdf, hand-soldering, 7.0x5.0mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_EuroQuartz_MQ2-2Pin_7.0x5.0mm -SMD Crystal EuroQuartz MQ2 series http://cdn-reichelt.de/documents/datenblatt/B400/MQ.pdf, 7.0x5.0mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_EuroQuartz_MQ2-2Pin_7.0x5.0mm_HandSoldering -SMD Crystal EuroQuartz MQ2 series http://cdn-reichelt.de/documents/datenblatt/B400/MQ.pdf, hand-soldering, 7.0x5.0mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_EuroQuartz_MT-4Pin_3.2x2.5mm -SMD Crystal EuroQuartz MT series http://cdn-reichelt.de/documents/datenblatt/B400/MT.pdf, 3.2x2.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_EuroQuartz_MT-4Pin_3.2x2.5mm_HandSoldering -SMD Crystal EuroQuartz MT series http://cdn-reichelt.de/documents/datenblatt/B400/MT.pdf, hand-soldering, 3.2x2.5mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_EuroQuartz_X22-4Pin_2.5x2.0mm -SMD Crystal EuroQuartz X22 series http://cdn-reichelt.de/documents/datenblatt/B400/DS_X22.pdf, 2.5x2.0mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_EuroQuartz_X22-4Pin_2.5x2.0mm_HandSoldering -SMD Crystal EuroQuartz X22 series http://cdn-reichelt.de/documents/datenblatt/B400/DS_X22.pdf, hand-soldering, 2.5x2.0mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_FOX_FE-2Pin_7.5x5.0mm -crystal Ceramic Resin Sealed SMD http://www.foxonline.com/pdfs/fe.pdf, 7.5x5.0mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_FOX_FE-2Pin_7.5x5.0mm_HandSoldering -crystal Ceramic Resin Sealed SMD http://www.foxonline.com/pdfs/fe.pdf, hand-soldering, 7.5x5.0mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_FOX_FQ7050-2Pin_7.0x5.0mm -FOX SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, 7.0x5.0mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_FOX_FQ7050-2Pin_7.0x5.0mm_HandSoldering -FOX SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, hand-soldering, 7.0x5.0mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_FOX_FQ7050-4Pin_7.0x5.0mm -FOX SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, 7.0x5.0mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_FrontierElectronics_FM206 -SMD Watch Crystal FrontierElectronics FM206 6.0mm length 1.9mm diameter http://www.chinafronter.com/wp-content/uploads/2013/12/FM206.pdf -['FM206'] -0 -3 -3 -Crystal -Crystal_SMD_G8-2Pin_3.2x1.5mm -SMD Crystal G8, 3.2x1.5mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_G8-2Pin_3.2x1.5mm_HandSoldering -SMD Crystal G8, hand-soldering, 3.2x1.5mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_HC49-SD -SMD Crystal HC-49-SD http://cdn-reichelt.de/documents/datenblatt/B400/xxx-HC49-SMD.pdf, 11.4x4.7mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_HC49-SD_HandSoldering -SMD Crystal HC-49-SD http://cdn-reichelt.de/documents/datenblatt/B400/xxx-HC49-SMD.pdf, hand-soldering, 11.4x4.7mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC1V-T1A-2Pin_8.0x3.7mm -SMD Crystal MicroCrystal CC1V-T1A series https://www.microcrystal.com/fileadmin/Media/Products/32kHz/Datasheet/CC1V-T1A.pdf, 8.0x3.7mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC1V-T1A-2Pin_8.0x3.7mm_HandSoldering -SMD Crystal MicroCrystal CC1V-T1A series https://www.microcrystal.com/fileadmin/Media/Products/32kHz/Datasheet/CC1V-T1A.pdf, hand-soldering, 8.0x3.7mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC4V-T1A-2Pin_5.0x1.9mm -SMD Crystal MicroCrystal CC4V-T1A series http://cdn-reichelt.de/documents/datenblatt/B400/CC4V-T1A.pdf, 5.0x1.9mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC4V-T1A-2Pin_5.0x1.9mm_HandSoldering -SMD Crystal MicroCrystal CC4V-T1A series http://cdn-reichelt.de/documents/datenblatt/B400/CC4V-T1A.pdf, hand-soldering, 5.0x1.9mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC5V-T1A-2Pin_4.1x1.5mm -SMD Crystal MicroCrystal CC5V-T1A series http://cdn-reichelt.de/documents/datenblatt/B400/CC5V-T1A.pdf, 4.1x1.5mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC5V-T1A-2Pin_4.1x1.5mm_HandSoldering -SMD Crystal MicroCrystal CC5V-T1A series http://cdn-reichelt.de/documents/datenblatt/B400/CC5V-T1A.pdf, hand-soldering, 4.1x1.5mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC7V-T1A-2Pin_3.2x1.5mm -SMD Crystal MicroCrystal CC7V-T1A/CM7V-T1A series https://www.microcrystal.com/fileadmin/Media/Products/32kHz/Datasheet/CC7V-T1A.pdf, 3.2x1.5mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC7V-T1A-2Pin_3.2x1.5mm_HandSoldering -SMD Crystal MicroCrystal CC7V-T1A/CM7V-T1A series http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CC1V-T1A.pdf, hand-soldering, 3.2x1.5mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC8V-T1A-2Pin_2.0x1.2mm -SMD Crystal MicroCrystal CC8V-T1A/CM8V-T1A series https://www.microcrystal.com/fileadmin/Media/Products/32kHz/Datasheet/CC8V-T1A.pdf, 2.0x1.2mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CC8V-T1A-2Pin_2.0x1.2mm_HandSoldering -SMD Crystal MicroCrystal CC8V-T1A/CM8V-T1A series http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CC8V-T1A.pdf, hand-soldering, 2.0x1.2mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CM9V-T1A-2Pin_1.6x1.0mm -SMD Crystal MicroCrystal CM9V-T1A series https://www.microcrystal.com/fileadmin/Media/Products/32kHz/Datasheet/CM9V-T1A.pdf, 1.6x1.0mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_CM9V-T1A-2Pin_1.6x1.0mm_HandSoldering -SMD Crystal MicroCrystal CM9V-T1A series http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CM9V-T1A.pdf, hand-soldering, 1.6x1.0mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_MicroCrystal_MS1V-T1K -SMD Watch Crystal MicroCrystal MS1V-T1K 6.1mm length 2.0mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/MS1V-T1K.pdf -['MS1V-T1K'] -0 -3 -3 -Crystal -Crystal_SMD_MicroCrystal_MS3V-T1R -SMD Watch Crystal MicroCrystal MS3V-T1R 5.2mm length 1.4mm diameter http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/MS3V-T1R.pdf -['MS3V-T1R'] -0 -3 -3 -Crystal -Crystal_SMD_Qantek_QC5CB-2Pin_5x3.2mm -SMD Crystal Qantek QC5CB, https://www.qantek.com/tl_files/products/crystals/QC5CB.pdf -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_SeikoEpson_FA128-4Pin_2.0x1.6mm -crystal Epson Toyocom FA-128 (https://support.epson.biz/td/api/doc_check.php?dl=brief_FA-128&lang=en), 2x1.6mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_FA238-4Pin_3.2x2.5mm -crystal Epson Toyocom FA-238 https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf, 3.2x2.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_FA238-4Pin_3.2x2.5mm_HandSoldering -crystal Epson Toyocom FA-238 series https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf, hand-soldering, 3.2x2.5mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_FA238V-4Pin_3.2x2.5mm -crystal Epson Toyocom FA-238 series https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf, 3.2x2.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_FA238V-4Pin_3.2x2.5mm_HandSoldering -crystal Epson Toyocom FA-238 series http://www.mouser.com/ds/2/137/1721499-465440.pdf, hand-soldering, 3.2x2.5mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MA406-4Pin_11.7x4.0mm -SMD Crystal Seiko Epson MC-506 http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf, 11.7x4.0mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MA406-4Pin_11.7x4.0mm_HandSoldering -SMD Crystal Seiko Epson MC-506 http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf, hand-soldering, 11.7x4.0mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MA505-2Pin_12.7x5.1mm -SMD Crystal Seiko Epson MC-505 http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf, 12.7x5.1mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_SeikoEpson_MA505-2Pin_12.7x5.1mm_HandSoldering -SMD Crystal Seiko Epson MC-505 http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf, hand-soldering, 12.7x5.1mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_SeikoEpson_MA506-4Pin_12.7x5.1mm -SMD Crystal Seiko Epson MC-506 http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf, 12.7x5.1mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MA506-4Pin_12.7x5.1mm_HandSoldering -SMD Crystal Seiko Epson MC-506 http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf, hand-soldering, 12.7x5.1mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MC146-4Pin_6.7x1.5mm -SMD Crystal Seiko Epson MC-146 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-156_en.pdf, 6.7x1.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MC146-4Pin_6.7x1.5mm_HandSoldering -SMD Crystal Seiko Epson MC-146 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-156_en.pdf, hand-soldering, 6.7x1.5mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MC156-4Pin_7.1x2.5mm -SMD Crystal Seiko Epson MC-156 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-156_en.pdf, 7.1x2.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MC156-4Pin_7.1x2.5mm_HandSoldering -SMD Crystal Seiko Epson MC-156 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-156_en.pdf, hand-soldering, 7.1x2.5mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MC306-4Pin_8.0x3.2mm -SMD Crystal Seiko Epson MC-306 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf, 8.0x3.2mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MC306-4Pin_8.0x3.2mm_HandSoldering -SMD Crystal Seiko Epson MC-306 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf, hand-soldering, 8.0x3.2mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MC405-2Pin_9.6x4.1mm -SMD Crystal Seiko Epson MC-405 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf, 9.6x4.1mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_SeikoEpson_MC405-2Pin_9.6x4.1mm_HandSoldering -SMD Crystal Seiko Epson MC-405 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf, hand-soldering, 9.6x4.1mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_SeikoEpson_MC406-4Pin_9.6x4.1mm -SMD Crystal Seiko Epson MC-406 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf, 9.6x4.1mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_MC406-4Pin_9.6x4.1mm_HandSoldering -SMD Crystal Seiko Epson MC-406 https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf, hand-soldering, 9.6x4.1mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_TSX3225-4Pin_3.2x2.5mm -crystal Epson Toyocom TSX-3225 series https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf, 3.2x2.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_SeikoEpson_TSX3225-4Pin_3.2x2.5mm_HandSoldering -crystal Epson Toyocom TSX-3225 series https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf, hand-soldering, 3.2x2.5mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_TXC_7A-2Pin_5x3.2mm -SMD Crystal TXC 7A http://txccrystal.com/images/pdf/7a.pdf -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_TXC_7M-4Pin_3.2x2.5mm -SMD Crystal TXC 7M http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package -SMD SMT crystal -0 -4 -4 -Crystal -Crystal_SMD_TXC_7M-4Pin_3.2x2.5mm_HandSoldering -SMD Crystal TXC 7M http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, hand-soldering, 3.2x2.5mm^2 package -SMD SMT crystal hand-soldering -0 -4 -4 -Crystal -Crystal_SMD_TXC_9HT11-2Pin_2.0x1.2mm -SMD Crystal TXC 9HT11 http://txccrystal.com/images/pdf/9ht11.pdf, 2.0x1.2mm^2 package -SMD SMT crystal -0 -2 -2 -Crystal -Crystal_SMD_TXC_9HT11-2Pin_2.0x1.2mm_HandSoldering -SMD Crystal TXC 9HT11 http://txccrystal.com/images/pdf/9ht11.pdf, hand-soldering, 2.0x1.2mm^2 package -SMD SMT crystal hand-soldering -0 -2 -2 -Crystal -Crystal_SMD_TXC_AX_8045-2Pin_8.0x4.5mm -http://www.txccrystal.com/images/pdf/ax-automotive.pdf -SMD SMT crystal -0 -2 -2 -Crystal -Resonator-2Pin_W6.0mm_H3.0mm -Ceramic Resomator/Filter 6.0x3.0mm^2, length*width=6.0x3.0mm^2 package, package length=6.0mm, package width=3.0mm, 2 pins -THT ceramic resonator filter -0 -2 -2 -Crystal -Resonator-2Pin_W7.0mm_H2.5mm -Ceramic Resomator/Filter 7.0x2.5mm^2, length*width=7.0x2.5mm^2 package, package length=7.0mm, package width=2.5mm, 2 pins -THT ceramic resonator filter -0 -2 -2 -Crystal -Resonator-2Pin_W8.0mm_H3.5mm -Ceramic Resomator/Filter 8.0x3.5mm^2, length*width=8.0x3.5mm^2 package, package length=8.0mm, package width=3.5mm, 2 pins -THT ceramic resonator filter -0 -2 -2 -Crystal -Resonator-2Pin_W10.0mm_H5.0mm -Ceramic Resomator/Filter 10.0x5.0 RedFrequency MG/MT/MX series, http://www.red-frequency.com/download/datenblatt/redfrequency-datenblatt-ir-zta.pdf, length*width=10.0x5.0mm^2 package, package length=10.0mm, package width=5.0mm, 2 pins -THT ceramic resonator filter -0 -2 -2 -Crystal -Resonator-3Pin_W6.0mm_H3.0mm -Ceramic Resomator/Filter 6.0x3.0mm^2, length*width=6.0x3.0mm^2 package, package length=6.0mm, package width=3.0mm, 3 pins -THT ceramic resonator filter -0 -3 -3 -Crystal -Resonator-3Pin_W7.0mm_H2.5mm -Ceramic Resomator/Filter 7.0x2.5mm^2, length*width=7.0x2.5mm^2 package, package length=7.0mm, package width=2.5mm, 3 pins -THT ceramic resonator filter -0 -3 -3 -Crystal -Resonator-3Pin_W8.0mm_H3.5mm -Ceramic Resomator/Filter 8.0x3.5mm^2, length*width=8.0x3.5mm^2 package, package length=8.0mm, package width=3.5mm, 3 pins -THT ceramic resonator filter -0 -3 -3 -Crystal -Resonator-3Pin_W10.0mm_H5.0mm -Ceramic Resomator/Filter 10.0x5.0mm^2 RedFrequency MG/MT/MX series, http://www.red-frequency.com/download/datenblatt/redfrequency-datenblatt-ir-zta.pdf, length*width=10.0x5.0mm^2 package, package length=10.0mm, package width=5.0mm, 3 pins -THT ceramic resonator filter -0 -3 -3 -Crystal -Resonator_Murata_CSTLSxxxG-3Pin_W8.0mm_H3.0mm -Ceramic Resomator/Filter Murata CSTLSxxxG, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/timingdevice/ceralock/p17e.ashx, length*width=8.0x3.0mm^2 package, package length=8.0mm, package width=3.0mm, 3 pins -THT ceramic resonator filter CSTLSxxxG -0 -3 -3 -Crystal -Resonator_Murata_CSTLSxxxX-3Pin_W5.5mm_H3.0mm -Ceramic Resomator/Filter Murata CSTLSxxxX, http://www.murata.com/~/media/webrenewal/support/library/catalog/products/timingdevice/ceralock/p17e.ashx, length*width=5.5x3.0mm^2 package, package length=5.5mm, package width=3.0mm, 3 pins -THT ceramic resonator filter CSTLSxxxX -0 -3 -3 -Crystal -Resonator_Murata_DSN6-3Pin_W7.0mm_H2.5mm -Ceramic Resomator/Filter Murata DSN6, http://cdn-reichelt.de/documents/datenblatt/B400/DSN6NC51H.pdf, length*width=7.0x2.5mm^2 package, package length=7.0mm, package width=2.5mm, 3 pins -THT ceramic resonator filter DSN6 -0 -3 -3 -Crystal -Resonator_Murata_DSS6-3Pin_W7.0mm_H2.5mm -Ceramic Resomator/Filter Murata DSS6, http://cdn-reichelt.de/documents/datenblatt/B400/DSN6NC51H.pdf, length*width=7.0x2.5mm^2 package, package length=7.0mm, package width=2.5mm, 3 pins -THT ceramic resonator filter DSS6 -0 -3 -3 -Crystal -Resonator_SMD-3Pin_7.2x3.0mm -SMD Resomator/Filter 7.2x3.0mm, Murata CSTCC8M00G53-R0; 8MHz resonator, SMD, Farnell (Element 14) #1170435, http://www.farnell.com/datasheets/19296.pdf?_ga=1.247244932.122297557.1475167906, 7.2x3.0mm^2 package -SMD SMT ceramic resonator filter filter -0 -3 -3 -Crystal -Resonator_SMD-3Pin_7.2x3.0mm_HandSoldering -SMD Resomator/Filter 7.2x3.0mm, Murata CSTCC8M00G53-R0; 8MHz resonator, SMD, Farnell (Element 14) #1170435, http://www.farnell.com/datasheets/19296.pdf?_ga=1.247244932.122297557.1475167906, hand-soldering, 7.2x3.0mm^2 package -SMD SMT ceramic resonator filter filter hand-soldering -0 -3 -3 -Crystal -Resonator_SMD_Murata_CDSCB-2Pin_4.5x2.0mm -SMD Resomator/Filter Murata CDSCB, http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf, 4.5x2.0mm^2 package -SMD SMT ceramic resonator filter filter -0 -2 -2 -Crystal -Resonator_SMD_Murata_CDSCB-2Pin_4.5x2.0mm_HandSoldering -SMD Resomator/Filter Murata CDSCB, http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf, hand-soldering, 4.5x2.0mm^2 package -SMD SMT ceramic resonator filter filter hand-soldering -0 -2 -2 -Crystal -Resonator_SMD_Murata_CSTCR_4.5x2x1.15mm -Piezoelectric Ceramic Resonator, 4.5mm x 2mm x 1.15mm, https://www.murata.com/products/productdata/8801051639838/SPEC-CSTCR4M00G53-R0.pdf?1517839209000 -Crystal Oscillator -0 -9 -3 -Crystal -Resonator_SMD_Murata_CSTxExxV-3Pin_3.0x1.1mm -SMD Resomator/Filter Murata CSTCE, https://www.murata.com/en-eu/products/productdata/8801162264606/SPEC-CSTNE16M0VH3C000R0.pdf -SMD SMT ceramic resonator filter -0 -3 -3 -Crystal -Resonator_SMD_Murata_CSTxExxV-3Pin_3.0x1.1mm_HandSoldering -SMD Resomator/Filter Murata CSTCE, https://www.murata.com/en-eu/products/productdata/8801162264606/SPEC-CSTNE16M0VH3C000R0.pdf -SMD SMT ceramic resonator filter -0 -3 -3 -Crystal -Resonator_SMD_Murata_SFECV-3Pin_6.9x2.9mm -SMD Resomator/Filter Murata SFECV, http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf, 6.9x2.9mm^2 package -SMD SMT ceramic resonator filter filter -0 -3 -3 -Crystal -Resonator_SMD_Murata_SFECV-3Pin_6.9x2.9mm_HandSoldering -SMD Resomator/Filter Murata SFECV, http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf, hand-soldering, 6.9x2.9mm^2 package -SMD SMT ceramic resonator filter filter hand-soldering -0 -3 -3 -Crystal -Resonator_SMD_Murata_SFSKA-3Pin_7.9x3.8mm -SMD Resomator/Filter Murata SFSKA, http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf, 7.9x3.8mm^2 package -SMD SMT ceramic resonator filter filter -0 -3 -3 -Crystal -Resonator_SMD_Murata_SFSKA-3Pin_7.9x3.8mm_HandSoldering -SMD Resomator/Filter Murata SFSKA, http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf, hand-soldering, 7.9x3.8mm^2 package -SMD SMT ceramic resonator filter filter hand-soldering -0 -3 -3 -Crystal -Resonator_SMD_Murata_TPSKA-3Pin_7.9x3.8mm -SMD Resomator/Filter Murata TPSKA, http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf, 7.9x3.8mm^2 package -SMD SMT ceramic resonator filter filter -0 -3 -3 -Crystal -Resonator_SMD_Murata_TPSKA-3Pin_7.9x3.8mm_HandSoldering -SMD Resomator/Filter Murata TPSKA, http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf, hand-soldering, 7.9x3.8mm^2 package -SMD SMT ceramic resonator filter filter hand-soldering -0 -3 -3 -Diode_SMD -D_0201_0603Metric -Diode SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -diode -0 -4 -2 -Diode_SMD -D_0201_0603Metric_Pad0.64x0.40mm_HandSolder -Diode SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -diode handsolder -0 -4 -2 -Diode_SMD -D_0402_1005Metric -Diode SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_0402_1005Metric_Pad0.77x0.64mm_HandSolder -Diode SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_0603_1608Metric -Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_0603_1608Metric_Pad1.05x0.95mm_HandSolder -Diode SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_0805_2012Metric -Diode SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_0805_2012Metric_Pad1.15x1.40mm_HandSolder -Diode SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_01005_0402Metric -Diode SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator -diode -0 -4 -2 -Diode_SMD -D_01005_0402Metric_Pad0.57x0.30mm_HandSolder -Diode SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator -diode handsolder -0 -4 -2 -Diode_SMD -D_1206_3216Metric -Diode SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_1206_3216Metric_Pad1.42x1.75mm_HandSolder -Diode SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_1210_3225Metric -Diode SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_1210_3225Metric_Pad1.42x2.65mm_HandSolder -Diode SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_1812_4532Metric -Diode SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_1812_4532Metric_Pad1.30x3.40mm_HandSolder -Diode SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_2010_5025Metric -Diode SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_2010_5025Metric_Pad1.52x2.65mm_HandSolder -Diode SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_2114_3652Metric -Diode SMD 2114 (3652 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/schottky.pdf), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_2114_3652Metric_Pad1.85x3.75mm_HandSolder -Diode SMD 2114 (3652 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/schottky.pdf), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_2512_6332Metric -Diode SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_2512_6332Metric_Pad1.52x3.35mm_HandSolder -Diode SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_3220_8050Metric -Diode SMD 3220 (8050 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/schottky.pdf), generated with kicad-footprint-generator -diode -0 -2 -2 -Diode_SMD -D_3220_8050Metric_Pad2.65x5.15mm_HandSolder -Diode SMD 3220 (8050 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/schottky.pdf), generated with kicad-footprint-generator -diode handsolder -0 -2 -2 -Diode_SMD -D_MELF -Diode, MELF,, -Diode MELF -0 -2 -2 -Diode_SMD -D_MELF-RM10_Universal_Handsoldering -Diode, Universal, MELF, RM10, Handsoldering, SMD, Thruhole, -Diode Universal MELF RM10 Handsoldering SMD Thruhole -0 -2 -2 -Diode_SMD -D_MELF_Handsoldering -Diode MELF Handsoldering -Diode MELF Handsoldering -0 -2 -2 -Diode_SMD -D_MicroMELF -Diode, MicroMELF, Reflow Soldering, http://www.vishay.com/docs/85597/bzm55.pdf -MicroMELF Diode -0 -2 -2 -Diode_SMD -D_MicroMELF_Handsoldering -Diode, MicroMELF, Hand Soldering, http://www.vishay.com/docs/85597/bzm55.pdf -MicroMELF Diode -0 -2 -2 -Diode_SMD -D_MicroSMP_AK -Diode MicroSMP (DO-219AD), large-pad cathode, https://www.vishay.com/docs/89020/mss1p3l.pdf -Diode MicroSMP (DO-219AD) -0 -2 -2 -Diode_SMD -D_MicroSMP_KA -Diode MicroSMP (DO-219AD), large-pad anode, https://www.vishay.com/docs/89457/msmp6a.pdf -Diode MicroSMP (DO-219AD) -0 -2 -2 -Diode_SMD -D_MiniMELF -Diode Mini-MELF (SOD-80) -Diode Mini-MELF (SOD-80) -0 -2 -2 -Diode_SMD -D_MiniMELF_Handsoldering -Diode Mini-MELF (SOD-80) Handsoldering -Diode Mini-MELF (SOD-80) Handsoldering -0 -2 -2 -Diode_SMD -D_PowerDI-5 -PowerDI,Diode,Vishay,https://www.diodes.com/assets/Package-Files/PowerDI5.pdf -PowerDI diode vishay -0 -3 -2 -Diode_SMD -D_PowerDI-123 -http://www.diodes.com/_files/datasheets/ds30497.pdf -PowerDI diode vishay -0 -2 -2 -Diode_SMD -D_Powermite2_AK -Microsemi Powermite 2 SMD power package (https://www.microsemi.com/packaging-information/partpackage/details?pid=5341) -PowerMite2 -0 -2 -2 -Diode_SMD -D_Powermite2_KA -Microsemi Powermite 2 SMD power package (https://www.microsemi.com/packaging-information/partpackage/details?pid=5341) -PowerMite2 -0 -2 -2 -Diode_SMD -D_Powermite3 -Microsemi Powermite 3 SMD power package (https://www.microsemi.com/packaging-information/partpackage/details?pid=5340) -PowerMite3 -0 -3 -3 -Diode_SMD -D_Powermite_AK -Microsemi Powermite SMD power package (https://www.microsemi.com/packaging-information/partpackage/details?pid=5339, https://www.onsemi.com/pub/Collateral/457-04.PDF) -Powermite -0 -2 -2 -Diode_SMD -D_Powermite_KA -Microsemi Powermite SMD power package (https://www.microsemi.com/packaging-information/partpackage/details?pid=5339, https://www.onsemi.com/pub/Collateral/457-04.PDF) -Powermite -0 -2 -2 -Diode_SMD -D_QFN_3.3x3.3mm_P0.65mm -QFN, diode, 3.3x3.3x1mm (https://www.wolfspeed.com/media/downloads/846/C3D1P7060Q.pdf) -diode qfn 3.3 -0 -3 -2 -Diode_SMD -D_SC-80 -JEITA SC-80 -SC-80 -0 -2 -2 -Diode_SMD -D_SC-80_HandSoldering -JEITA SC-80 -SC-80 -0 -2 -2 -Diode_SMD -D_SMA -Diode SMA (DO-214AC) -Diode SMA (DO-214AC) -0 -2 -2 -Diode_SMD -D_SMA-SMB_Universal_Handsoldering -Diode, Universal, SMA (DO-214AC) or SMB (DO-214AA), Handsoldering, -Diode Universal SMA (DO-214AC) SMB (DO-214AA) Handsoldering -0 -2 -2 -Diode_SMD -D_SMA_Handsoldering -Diode SMA (DO-214AC) Handsoldering -Diode SMA (DO-214AC) Handsoldering -0 -2 -2 -Diode_SMD -D_SMB -Diode SMB (DO-214AA) -Diode SMB (DO-214AA) -0 -2 -2 -Diode_SMD -D_SMB-SMC_Universal_Handsoldering -Diode, Universal, SMB(DO-214AA) or SMC (DO-214AB), Handsoldering, -Diode Universal SMB(DO-214AA) SMC (DO-214AB) Handsoldering -0 -2 -2 -Diode_SMD -D_SMB_Handsoldering -Diode SMB (DO-214AA) Handsoldering -Diode SMB (DO-214AA) Handsoldering -0 -2 -2 -Diode_SMD -D_SMB_Modified -Diode SMB (DO-214AA) Modified (http://www.littelfuse.com/~/media/electronics/datasheets/sidactors/littelfuse_sidactor_battrax_positive_negative_modified_do_214_datasheet.pdf.pdf) -Diode SMB (DO-214AA) -0 -3 -3 -Diode_SMD -D_SMC -Diode SMC (DO-214AB) -Diode SMC (DO-214AB) -0 -2 -2 -Diode_SMD -D_SMC-RM10_Universal_Handsoldering -Diode, Universal, SMC (DO-214AB), RM10, Handsoldering, SMD, Thruhole -Diode Universal SMC (DO-214AB) RM10 Handsoldering SMD Thruhole -0 -2 -2 -Diode_SMD -D_SMC_Handsoldering -Diode SMC (DO-214AB) Handsoldering -Diode SMC (DO-214AB) Handsoldering -0 -2 -2 -Diode_SMD -D_SMF -Diode SMF (DO-219AB), http://www.vishay.com/docs/95572/smf_do-219ab.pdf -Diode SMF (DO-214AB) -0 -2 -2 -Diode_SMD -D_SOD-110 -SOD-110 -SOD-110 -0 -2 -2 -Diode_SMD -D_SOD-123 -SOD-123 -SOD-123 -0 -2 -2 -Diode_SMD -D_SOD-123F -D_SOD-123F -D_SOD-123F -0 -2 -2 -Diode_SMD -D_SOD-128 -D_SOD-128 (CFP5 SlimSMAW), https://assets.nexperia.com/documents/outline-drawing/SOD128.pdf -D_SOD-128 -0 -2 -2 -Diode_SMD -D_SOD-323 -SOD-323 -SOD-323 -0 -2 -2 -Diode_SMD -D_SOD-323F -SOD-323F http://www.nxp.com/documents/outline_drawing/SOD323F.pdf -SOD-323F -0 -2 -2 -Diode_SMD -D_SOD-323_HandSoldering -SOD-323 -SOD-323 -0 -2 -2 -Diode_SMD -D_SOD-523 -http://www.diodes.com/datasheets/ap02001.pdf p.144 -Diode SOD523 -0 -2 -2 -Diode_SMD -D_SOD-923 -https://www.onsemi.com/pub/Collateral/ESD9B-D.PDF#page=4 -Diode SOD923 -0 -2 -2 -Diode_SMD -D_TUMD2 -ROHM - TUMD2 -TUMD2 -0 -2 -2 -Diode_SMD -Diode_Bridge_Bourns_CD-DF4xxS -8.1x10.5mm, 4A, single phase bridge rectifier, https://www.bourns.com/docs/Product-Datasheets/CD-DF4xxSL.pdf -Surface Mount Bridge Rectifier Diode -0 -4 -4 -Diode_SMD -Diode_Bridge_Diotec_ABS -SMD diode bridge ABS (Diotec), see https://diotec.com/tl_files/diotec/files/pdf/datasheets/abs2.pdf -ABS MBLS -0 -4 -4 -Diode_SMD -Diode_Bridge_Diotec_MicroDil_3.0x3.0x1.8mm -SMD package Diotec Diotec MicroDil, body 3.0x3.0x1.8mm (e.g. diode bridge), see https://diotec.com/tl_files/diotec/files/pdf/datasheets/mys40.pdf -Diotec MicroDil diode bridge -0 -4 -4 -Diode_SMD -Diode_Bridge_Diotec_SO-DIL-Slim -SMD diode bridge Diotec SO-DIL Slim, see https://diotec.com/tl_files/diotec/files/pdf/datasheets/b40fs.pdf -DFS SO-DIL Slim -0 -4 -4 -Diode_SMD -Diode_Bridge_OnSemi_SDIP-4L -SMD diode bridge OnSemi SDIP-4L, see https://www.onsemi.com/pdf/datasheet/df10s1-d.pdf -OnSemi Diode Bridge SDIP-4L -0 -4 -4 -Diode_SMD -Diode_Bridge_Vishay_DFS -SMD diode bridge DFS, see http://www.vishay.com/docs/88854/padlayouts.pdf -DFS -0 -4 -4 -Diode_SMD -Diode_Bridge_Vishay_DFSFlat -SMD diode bridge Low Profile DFS "Flat", see http://www.vishay.com/docs/88874/dfl15005.pdf -DFS -0 -4 -4 -Diode_SMD -Diode_Bridge_Vishay_MBLS -SMD diode bridge MBLS, see http://www.vishay.com/docs/89959/mbl104s.pdf http://www.vishay.com/docs/88854/padlayouts.pdf -DFS -0 -4 -4 -Diode_SMD -Infineon_SG-WLL-2-3_0.58x0.28_P0.36mm -Infineon SG-WLL-2-3, 0.58x0.28x0.15mm, https://www.infineon.com/dgdl/Infineon-SG-WLL-2-3_SPO_PDF-Package-v02_00-EN.pdf?fileId=5546d46271bf4f9201723159ce71239d -Infineon WLL -0 -4 -2 -Diode_SMD -Littelfuse_PolyZen-LS -http://m.littelfuse.com/~/media/electronics/datasheets/polyzen_devices/littelfuse_polyzen_standard_polyzen_catalog_datasheet.pdf.pdf -Diode Polymer Protected Zener Diode Littelfuse LS -0 -3 -3 -Diode_SMD -Nexperia_CFP3_SOD-123W -Nexperia CFP3 (SOD-123W), https://assets.nexperia.com/documents/outline-drawing/SOD123W.pdf -CFP3 SOD-123W -0 -2 -2 -Diode_SMD -Nexperia_DSN0603-2_0.6x0.3mm_P0.4mm -SOD962-2 silicon, leadless ultra small package; 2 terminals; 0.4 mm pitch; 0.6 mm x 0.3 mm x 0.3 mm body (https://assets.nexperia.com/documents/package-information/SOD962-2.pdf https://www.nexperia.com/packages/SOD962-2.html) -TVS protection diodes -0 -2 -2 -Diode_SMD -Nexperia_DSN1608-2_1.6x0.8mm -Diode SMD DSN1608, 1.6 x 0.8 x 0.29 mm, https://assets.nexperia.com/documents/package-information/SOD964.pdf -diode SOD964 SOD-964 -0 -2 -2 -Diode_SMD -Vishay_SMPA -Diode SMPA (DO-221BC), https://www.vishay.com/docs/87659/v8pa10.pdf -Diode SMPA (DO-221BC) -0 -2 -2 -Diode_THT -D_5KPW_P7.62mm_Vertical_AnodeUp -Diode, 5KPW series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=9*8mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5KPW series Axial Vertical pin pitch 7.62mm length 9mm diameter 8mm -0 -2 -2 -Diode_THT -D_5KPW_P7.62mm_Vertical_KathodeUp -Diode, 5KPW series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=9*8mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5KPW series Axial Vertical pin pitch 7.62mm length 9mm diameter 8mm -0 -2 -2 -Diode_THT -D_5KPW_P12.70mm_Horizontal -Diode, 5KPW series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=9*8mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5KPW series Axial Horizontal pin pitch 12.7mm length 9mm diameter 8mm -0 -2 -2 -Diode_THT -D_5KP_P7.62mm_Vertical_AnodeUp -Diode, 5KP series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=7.62*9.53mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5KP series Axial Vertical pin pitch 7.62mm length 7.62mm diameter 9.53mm -0 -2 -2 -Diode_THT -D_5KP_P7.62mm_Vertical_KathodeUp -Diode, 5KP series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=7.62*9.53mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5KP series Axial Vertical pin pitch 7.62mm length 7.62mm diameter 9.53mm -0 -2 -2 -Diode_THT -D_5KP_P10.16mm_Horizontal -Diode, 5KP series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=7.62*9.53mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5KP series Axial Horizontal pin pitch 10.16mm length 7.62mm diameter 9.53mm -0 -2 -2 -Diode_THT -D_5KP_P12.70mm_Horizontal -Diode, 5KP series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=7.62*9.53mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5KP series Axial Horizontal pin pitch 12.7mm length 7.62mm diameter 9.53mm -0 -2 -2 -Diode_THT -D_5W_P5.08mm_Vertical_AnodeUp -Diode, 5W series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=8.9*3.7mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5W series Axial Vertical pin pitch 5.08mm length 8.9mm diameter 3.7mm -0 -2 -2 -Diode_THT -D_5W_P5.08mm_Vertical_KathodeUp -Diode, 5W series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=8.9*3.7mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5W series Axial Vertical pin pitch 5.08mm length 8.9mm diameter 3.7mm -0 -2 -2 -Diode_THT -D_5W_P10.16mm_Horizontal -Diode, 5W series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=8.9*3.7mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5W series Axial Horizontal pin pitch 10.16mm length 8.9mm diameter 3.7mm -0 -2 -2 -Diode_THT -D_5W_P12.70mm_Horizontal -Diode, 5W series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=8.9*3.7mm^2, , http://www.diodes.com/_files/packages/8686949.gif -Diode 5W series Axial Horizontal pin pitch 12.7mm length 8.9mm diameter 3.7mm -0 -2 -2 -Diode_THT -D_A-405_P2.54mm_Vertical_AnodeUp -Diode, A-405 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/A-405.pdf -Diode A-405 series Axial Vertical pin pitch 2.54mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_A-405_P2.54mm_Vertical_KathodeUp -Diode, A-405 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/A-405.pdf -Diode A-405 series Axial Vertical pin pitch 2.54mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_A-405_P5.08mm_Vertical_AnodeUp -Diode, A-405 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/A-405.pdf -Diode A-405 series Axial Vertical pin pitch 5.08mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_A-405_P5.08mm_Vertical_KathodeUp -Diode, A-405 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/A-405.pdf -Diode A-405 series Axial Vertical pin pitch 5.08mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_A-405_P7.62mm_Horizontal -Diode, A-405 series, Axial, Horizontal, pin pitch=7.62mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/A-405.pdf -Diode A-405 series Axial Horizontal pin pitch 7.62mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_A-405_P10.16mm_Horizontal -Diode, A-405 series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/A-405.pdf -Diode A-405 series Axial Horizontal pin pitch 10.16mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_A-405_P12.70mm_Horizontal -Diode, A-405 series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/A-405.pdf -Diode A-405 series Axial Horizontal pin pitch 12.7mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-15_P2.54mm_Vertical_AnodeUp -Diode, DO-15 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=7.6*3.6mm^2, , http://www.diodes.com/_files/packages/DO-15.pdf -Diode DO-15 series Axial Vertical pin pitch 2.54mm length 7.6mm diameter 3.6mm -0 -2 -2 -Diode_THT -D_DO-15_P2.54mm_Vertical_KathodeUp -Diode, DO-15 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=7.6*3.6mm^2, , http://www.diodes.com/_files/packages/DO-15.pdf -Diode DO-15 series Axial Vertical pin pitch 2.54mm length 7.6mm diameter 3.6mm -0 -2 -2 -Diode_THT -D_DO-15_P3.81mm_Vertical_AnodeUp -Diode, DO-15 series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=7.6*3.6mm^2, , http://www.diodes.com/_files/packages/DO-15.pdf -Diode DO-15 series Axial Vertical pin pitch 3.81mm length 7.6mm diameter 3.6mm -0 -2 -2 -Diode_THT -D_DO-15_P3.81mm_Vertical_KathodeUp -Diode, DO-15 series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=7.6*3.6mm^2, , http://www.diodes.com/_files/packages/DO-15.pdf -Diode DO-15 series Axial Vertical pin pitch 3.81mm length 7.6mm diameter 3.6mm -0 -2 -2 -Diode_THT -D_DO-15_P5.08mm_Vertical_AnodeUp -Diode, DO-15 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=7.6*3.6mm^2, , http://www.diodes.com/_files/packages/DO-15.pdf -Diode DO-15 series Axial Vertical pin pitch 5.08mm length 7.6mm diameter 3.6mm -0 -2 -2 -Diode_THT -D_DO-15_P5.08mm_Vertical_KathodeUp -Diode, DO-15 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=7.6*3.6mm^2, , http://www.diodes.com/_files/packages/DO-15.pdf -Diode DO-15 series Axial Vertical pin pitch 5.08mm length 7.6mm diameter 3.6mm -0 -2 -2 -Diode_THT -D_DO-15_P10.16mm_Horizontal -Diode, DO-15 series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=7.6*3.6mm^2, , http://www.diodes.com/_files/packages/DO-15.pdf -Diode DO-15 series Axial Horizontal pin pitch 10.16mm length 7.6mm diameter 3.6mm -0 -2 -2 -Diode_THT -D_DO-15_P12.70mm_Horizontal -Diode, DO-15 series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=7.6*3.6mm^2, , http://www.diodes.com/_files/packages/DO-15.pdf -Diode DO-15 series Axial Horizontal pin pitch 12.7mm length 7.6mm diameter 3.6mm -0 -2 -2 -Diode_THT -D_DO-15_P15.24mm_Horizontal -Diode, DO-15 series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=7.6*3.6mm^2, , http://www.diodes.com/_files/packages/DO-15.pdf -Diode DO-15 series Axial Horizontal pin pitch 15.24mm length 7.6mm diameter 3.6mm -0 -2 -2 -Diode_THT -D_DO-27_P5.08mm_Vertical_AnodeUp -Diode, DO-27 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=9.52*5.33mm^2, , http://www.slottechforum.com/slotinfo/Techstuff/CD2%20Diodes%20and%20Transistors/Cases/Diode%20DO-27.jpg -Diode DO-27 series Axial Vertical pin pitch 5.08mm length 9.52mm diameter 5.33mm -0 -2 -2 -Diode_THT -D_DO-27_P5.08mm_Vertical_KathodeUp -Diode, DO-27 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=9.52*5.33mm^2, , http://www.slottechforum.com/slotinfo/Techstuff/CD2%20Diodes%20and%20Transistors/Cases/Diode%20DO-27.jpg -Diode DO-27 series Axial Vertical pin pitch 5.08mm length 9.52mm diameter 5.33mm -0 -2 -2 -Diode_THT -D_DO-27_P12.70mm_Horizontal -Diode, DO-27 series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=9.52*5.33mm^2, , http://www.slottechforum.com/slotinfo/Techstuff/CD2%20Diodes%20and%20Transistors/Cases/Diode%20DO-27.jpg -Diode DO-27 series Axial Horizontal pin pitch 12.7mm length 9.52mm diameter 5.33mm -0 -2 -2 -Diode_THT -D_DO-27_P15.24mm_Horizontal -Diode, DO-27 series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=9.52*5.33mm^2, , http://www.slottechforum.com/slotinfo/Techstuff/CD2%20Diodes%20and%20Transistors/Cases/Diode%20DO-27.jpg -Diode DO-27 series Axial Horizontal pin pitch 15.24mm length 9.52mm diameter 5.33mm -0 -2 -2 -Diode_THT -D_DO-34_SOD68_P2.54mm_Vertical_AnodeUp -Diode, DO-34_SOD68 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=3.04*1.6mm^2, , https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf -Diode DO-34_SOD68 series Axial Vertical pin pitch 2.54mm length 3.04mm diameter 1.6mm -0 -2 -2 -Diode_THT -D_DO-34_SOD68_P2.54mm_Vertical_KathodeUp -Diode, DO-34_SOD68 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=3.04*1.6mm^2, , https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf -Diode DO-34_SOD68 series Axial Vertical pin pitch 2.54mm length 3.04mm diameter 1.6mm -0 -2 -2 -Diode_THT -D_DO-34_SOD68_P5.08mm_Vertical_AnodeUp -Diode, DO-34_SOD68 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=3.04*1.6mm^2, , https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf -Diode DO-34_SOD68 series Axial Vertical pin pitch 5.08mm length 3.04mm diameter 1.6mm -0 -2 -2 -Diode_THT -D_DO-34_SOD68_P5.08mm_Vertical_KathodeUp -Diode, DO-34_SOD68 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=3.04*1.6mm^2, , https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf -Diode DO-34_SOD68 series Axial Vertical pin pitch 5.08mm length 3.04mm diameter 1.6mm -0 -2 -2 -Diode_THT -D_DO-34_SOD68_P7.62mm_Horizontal -Diode, DO-34_SOD68 series, Axial, Horizontal, pin pitch=7.62mm, , length*diameter=3.04*1.6mm^2, , https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf -Diode DO-34_SOD68 series Axial Horizontal pin pitch 7.62mm length 3.04mm diameter 1.6mm -0 -2 -2 -Diode_THT -D_DO-34_SOD68_P10.16mm_Horizontal -Diode, DO-34_SOD68 series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=3.04*1.6mm^2, , https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf -Diode DO-34_SOD68 series Axial Horizontal pin pitch 10.16mm length 3.04mm diameter 1.6mm -0 -2 -2 -Diode_THT -D_DO-34_SOD68_P12.70mm_Horizontal -Diode, DO-34_SOD68 series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=3.04*1.6mm^2, , https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf -Diode DO-34_SOD68 series Axial Horizontal pin pitch 12.7mm length 3.04mm diameter 1.6mm -0 -2 -2 -Diode_THT -D_DO-35_SOD27_P2.54mm_Vertical_AnodeUp -Diode, DO-35_SOD27 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=4*2mm^2, , http://www.diodes.com/_files/packages/DO-35.pdf -Diode DO-35_SOD27 series Axial Vertical pin pitch 2.54mm length 4mm diameter 2mm -0 -2 -2 -Diode_THT -D_DO-35_SOD27_P2.54mm_Vertical_KathodeUp -Diode, DO-35_SOD27 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=4*2mm^2, , http://www.diodes.com/_files/packages/DO-35.pdf -Diode DO-35_SOD27 series Axial Vertical pin pitch 2.54mm length 4mm diameter 2mm -0 -2 -2 -Diode_THT -D_DO-35_SOD27_P3.81mm_Vertical_AnodeUp -Diode, DO-35_SOD27 series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=4*2mm^2, , http://www.diodes.com/_files/packages/DO-35.pdf -Diode DO-35_SOD27 series Axial Vertical pin pitch 3.81mm length 4mm diameter 2mm -0 -2 -2 -Diode_THT -D_DO-35_SOD27_P3.81mm_Vertical_KathodeUp -Diode, DO-35_SOD27 series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=4*2mm^2, , http://www.diodes.com/_files/packages/DO-35.pdf -Diode DO-35_SOD27 series Axial Vertical pin pitch 3.81mm length 4mm diameter 2mm -0 -2 -2 -Diode_THT -D_DO-35_SOD27_P5.08mm_Vertical_AnodeUp -Diode, DO-35_SOD27 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=4*2mm^2, , http://www.diodes.com/_files/packages/DO-35.pdf -Diode DO-35_SOD27 series Axial Vertical pin pitch 5.08mm length 4mm diameter 2mm -0 -2 -2 -Diode_THT -D_DO-35_SOD27_P5.08mm_Vertical_KathodeUp -Diode, DO-35_SOD27 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=4*2mm^2, , http://www.diodes.com/_files/packages/DO-35.pdf -Diode DO-35_SOD27 series Axial Vertical pin pitch 5.08mm length 4mm diameter 2mm -0 -2 -2 -Diode_THT -D_DO-35_SOD27_P7.62mm_Horizontal -Diode, DO-35_SOD27 series, Axial, Horizontal, pin pitch=7.62mm, , length*diameter=4*2mm^2, , http://www.diodes.com/_files/packages/DO-35.pdf -Diode DO-35_SOD27 series Axial Horizontal pin pitch 7.62mm length 4mm diameter 2mm -0 -2 -2 -Diode_THT -D_DO-35_SOD27_P10.16mm_Horizontal -Diode, DO-35_SOD27 series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=4*2mm^2, , http://www.diodes.com/_files/packages/DO-35.pdf -Diode DO-35_SOD27 series Axial Horizontal pin pitch 10.16mm length 4mm diameter 2mm -0 -2 -2 -Diode_THT -D_DO-35_SOD27_P12.70mm_Horizontal -Diode, DO-35_SOD27 series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=4*2mm^2, , http://www.diodes.com/_files/packages/DO-35.pdf -Diode DO-35_SOD27 series Axial Horizontal pin pitch 12.7mm length 4mm diameter 2mm -0 -2 -2 -Diode_THT -D_DO-41_SOD81_P2.54mm_Vertical_AnodeUp -Diode, DO-41_SOD81 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf -Diode DO-41_SOD81 series Axial Vertical pin pitch 2.54mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-41_SOD81_P2.54mm_Vertical_KathodeUp -Diode, DO-41_SOD81 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf -Diode DO-41_SOD81 series Axial Vertical pin pitch 2.54mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-41_SOD81_P3.81mm_Vertical_AnodeUp -Diode, DO-41_SOD81 series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=5.2*2.7mm^2, , https://www.diodes.com/assets/Package-Files/DO-41-Plastic.pdf -Diode DO-41_SOD81 series Axial Vertical pin pitch 3.81mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-41_SOD81_P3.81mm_Vertical_KathodeUp -Diode, DO-41_SOD81 series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=5.2*2.7mm^2, , https://www.diodes.com/assets/Package-Files/DO-41-Plastic.pdf -Diode DO-41_SOD81 series Axial Vertical pin pitch 3.81mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-41_SOD81_P5.08mm_Vertical_AnodeUp -Diode, DO-41_SOD81 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf -Diode DO-41_SOD81 series Axial Vertical pin pitch 5.08mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-41_SOD81_P5.08mm_Vertical_KathodeUp -Diode, DO-41_SOD81 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf -Diode DO-41_SOD81 series Axial Vertical pin pitch 5.08mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-41_SOD81_P7.62mm_Horizontal -Diode, DO-41_SOD81 series, Axial, Horizontal, pin pitch=7.62mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf -Diode DO-41_SOD81 series Axial Horizontal pin pitch 7.62mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-41_SOD81_P10.16mm_Horizontal -Diode, DO-41_SOD81 series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf -Diode DO-41_SOD81 series Axial Horizontal pin pitch 10.16mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-41_SOD81_P12.70mm_Horizontal -Diode, DO-41_SOD81 series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=5.2*2.7mm^2, , http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf -Diode DO-41_SOD81 series Axial Horizontal pin pitch 12.7mm length 5.2mm diameter 2.7mm -0 -2 -2 -Diode_THT -D_DO-201AD_P3.81mm_Vertical_AnodeUp -Diode, DO-201AD series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=9.5*5.2mm^2, , http://www.diodes.com/_files/packages/DO-201AD.pdf -Diode DO-201AD series Axial Vertical pin pitch 3.81mm length 9.5mm diameter 5.2mm -0 -2 -2 -Diode_THT -D_DO-201AD_P3.81mm_Vertical_KathodeUp -Diode, DO-201AD series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=9.5*5.2mm^2, , http://www.diodes.com/_files/packages/DO-201AD.pdf -Diode DO-201AD series Axial Vertical pin pitch 3.81mm length 9.5mm diameter 5.2mm -0 -2 -2 -Diode_THT -D_DO-201AD_P5.08mm_Vertical_AnodeUp -Diode, DO-201AD series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=9.5*5.2mm^2, , http://www.diodes.com/_files/packages/DO-201AD.pdf -Diode DO-201AD series Axial Vertical pin pitch 5.08mm length 9.5mm diameter 5.2mm -0 -2 -2 -Diode_THT -D_DO-201AD_P5.08mm_Vertical_KathodeUp -Diode, DO-201AD series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=9.5*5.2mm^2, , http://www.diodes.com/_files/packages/DO-201AD.pdf -Diode DO-201AD series Axial Vertical pin pitch 5.08mm length 9.5mm diameter 5.2mm -0 -2 -2 -Diode_THT -D_DO-201AD_P12.70mm_Horizontal -Diode, DO-201AD series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=9.5*5.2mm^2, , http://www.diodes.com/_files/packages/DO-201AD.pdf -Diode DO-201AD series Axial Horizontal pin pitch 12.7mm length 9.5mm diameter 5.2mm -0 -2 -2 -Diode_THT -D_DO-201AD_P15.24mm_Horizontal -Diode, DO-201AD series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=9.5*5.2mm^2, , http://www.diodes.com/_files/packages/DO-201AD.pdf -Diode DO-201AD series Axial Horizontal pin pitch 15.24mm length 9.5mm diameter 5.2mm -0 -2 -2 -Diode_THT -D_DO-201AE_P3.81mm_Vertical_AnodeUp -Diode, DO-201AE series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=9*5.3mm^2, , http://www.farnell.com/datasheets/529758.pdf -Diode DO-201AE series Axial Vertical pin pitch 3.81mm length 9mm diameter 5.3mm -0 -2 -2 -Diode_THT -D_DO-201AE_P3.81mm_Vertical_KathodeUp -Diode, DO-201AE series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=9*5.3mm^2, , http://www.farnell.com/datasheets/529758.pdf -Diode DO-201AE series Axial Vertical pin pitch 3.81mm length 9mm diameter 5.3mm -0 -2 -2 -Diode_THT -D_DO-201AE_P5.08mm_Vertical_AnodeUp -Diode, DO-201AE series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=9*5.3mm^2, , http://www.farnell.com/datasheets/529758.pdf -Diode DO-201AE series Axial Vertical pin pitch 5.08mm length 9mm diameter 5.3mm -0 -2 -2 -Diode_THT -D_DO-201AE_P5.08mm_Vertical_KathodeUp -Diode, DO-201AE series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=9*5.3mm^2, , http://www.farnell.com/datasheets/529758.pdf -Diode DO-201AE series Axial Vertical pin pitch 5.08mm length 9mm diameter 5.3mm -0 -2 -2 -Diode_THT -D_DO-201AE_P12.70mm_Horizontal -Diode, DO-201AE series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=9*5.3mm^2, , http://www.farnell.com/datasheets/529758.pdf -Diode DO-201AE series Axial Horizontal pin pitch 12.7mm length 9mm diameter 5.3mm -0 -2 -2 -Diode_THT -D_DO-201AE_P15.24mm_Horizontal -Diode, DO-201AE series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=9*5.3mm^2, , http://www.farnell.com/datasheets/529758.pdf -Diode DO-201AE series Axial Horizontal pin pitch 15.24mm length 9mm diameter 5.3mm -0 -2 -2 -Diode_THT -D_DO-201_P3.81mm_Vertical_AnodeUp -Diode, DO-201 series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=9.53*5.21mm^2, , http://www.diodes.com/_files/packages/DO-201.pdf -Diode DO-201 series Axial Vertical pin pitch 3.81mm length 9.53mm diameter 5.21mm -0 -2 -2 -Diode_THT -D_DO-201_P3.81mm_Vertical_KathodeUp -Diode, DO-201 series, Axial, Vertical, pin pitch=3.81mm, , length*diameter=9.53*5.21mm^2, , http://www.diodes.com/_files/packages/DO-201.pdf -Diode DO-201 series Axial Vertical pin pitch 3.81mm length 9.53mm diameter 5.21mm -0 -2 -2 -Diode_THT -D_DO-201_P5.08mm_Vertical_AnodeUp -Diode, DO-201 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=9.53*5.21mm^2, , http://www.diodes.com/_files/packages/DO-201.pdf -Diode DO-201 series Axial Vertical pin pitch 5.08mm length 9.53mm diameter 5.21mm -0 -2 -2 -Diode_THT -D_DO-201_P5.08mm_Vertical_KathodeUp -Diode, DO-201 series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=9.53*5.21mm^2, , http://www.diodes.com/_files/packages/DO-201.pdf -Diode DO-201 series Axial Vertical pin pitch 5.08mm length 9.53mm diameter 5.21mm -0 -2 -2 -Diode_THT -D_DO-201_P12.70mm_Horizontal -Diode, DO-201 series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=9.53*5.21mm^2, , http://www.diodes.com/_files/packages/DO-201.pdf -Diode DO-201 series Axial Horizontal pin pitch 12.7mm length 9.53mm diameter 5.21mm -0 -2 -2 -Diode_THT -D_DO-201_P15.24mm_Horizontal -Diode, DO-201 series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=9.53*5.21mm^2, , http://www.diodes.com/_files/packages/DO-201.pdf -Diode DO-201 series Axial Horizontal pin pitch 15.24mm length 9.53mm diameter 5.21mm -0 -2 -2 -Diode_THT -D_DO-247_Horizontal_TabDown -Diode, DO-247 series, Horizontal, TabDown, P 10.9mm -diode rectifier -0 -2 -2 -Diode_THT -D_DO-247_Horizontal_TabUp -Diode, DO-247 series, Horizontal, TabUp, P 10.9mm -diode rectifier -0 -2 -2 -Diode_THT -D_DO-247_Vertical -Diode, DO-247 series, Vertical, P 10.9mm -diode rectifier -0 -2 -2 -Diode_THT -D_P600_R-6_P7.62mm_Vertical_AnodeUp -Diode, P600_R-6 series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=9.1*9.1mm^2, , http://www.vishay.com/docs/88692/p600a.pdf, http://www.diodes.com/_files/packages/R-6.pdf -Diode P600_R-6 series Axial Vertical pin pitch 7.62mm length 9.1mm diameter 9.1mm -0 -2 -2 -Diode_THT -D_P600_R-6_P7.62mm_Vertical_KathodeUp -Diode, P600_R-6 series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=9.1*9.1mm^2, , http://www.vishay.com/docs/88692/p600a.pdf, http://www.diodes.com/_files/packages/R-6.pdf -Diode P600_R-6 series Axial Vertical pin pitch 7.62mm length 9.1mm diameter 9.1mm -0 -2 -2 -Diode_THT -D_P600_R-6_P12.70mm_Horizontal -Diode, P600_R-6 series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=9.1*9.1mm^2, , http://www.vishay.com/docs/88692/p600a.pdf, http://www.diodes.com/_files/packages/R-6.pdf -Diode P600_R-6 series Axial Horizontal pin pitch 12.7mm length 9.1mm diameter 9.1mm -0 -2 -2 -Diode_THT -D_P600_R-6_P20.00mm_Horizontal -Diode, P600_R-6 series, Axial, Horizontal, pin pitch=20mm, , length*diameter=9.1*9.1mm^2, , http://www.vishay.com/docs/88692/p600a.pdf, http://www.diodes.com/_files/packages/R-6.pdf -Diode P600_R-6 series Axial Horizontal pin pitch 20mm length 9.1mm diameter 9.1mm -0 -2 -2 -Diode_THT -D_T-1_P2.54mm_Vertical_AnodeUp -Diode, T-1 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=3.2*2.6mm^2, , http://www.diodes.com/_files/packages/T-1.pdf -Diode T-1 series Axial Vertical pin pitch 2.54mm length 3.2mm diameter 2.6mm -0 -2 -2 -Diode_THT -D_T-1_P2.54mm_Vertical_KathodeUp -Diode, T-1 series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=3.2*2.6mm^2, , http://www.diodes.com/_files/packages/T-1.pdf -Diode T-1 series Axial Vertical pin pitch 2.54mm length 3.2mm diameter 2.6mm -0 -2 -2 -Diode_THT -D_T-1_P5.08mm_Horizontal -Diode, T-1 series, Axial, Horizontal, pin pitch=5.08mm, , length*diameter=3.2*2.6mm^2, , http://www.diodes.com/_files/packages/T-1.pdf -Diode T-1 series Axial Horizontal pin pitch 5.08mm length 3.2mm diameter 2.6mm -0 -2 -2 -Diode_THT -D_T-1_P10.16mm_Horizontal -Diode, T-1 series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=3.2*2.6mm^2, , http://www.diodes.com/_files/packages/T-1.pdf -Diode T-1 series Axial Horizontal pin pitch 10.16mm length 3.2mm diameter 2.6mm -0 -2 -2 -Diode_THT -D_T-1_P12.70mm_Horizontal -Diode, T-1 series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=3.2*2.6mm^2, , http://www.diodes.com/_files/packages/T-1.pdf -Diode T-1 series Axial Horizontal pin pitch 12.7mm length 3.2mm diameter 2.6mm -0 -2 -2 -Diode_THT -Diode_Bridge_15.1x15.1x6.3mm_P10.9mm -Single phase bridge rectifier case 15.1x15.1mm, pitch 10.9mm, see https://diotec.com/tl_files/diotec/files/pdf/datasheets/pb1000.pdf -Diode Bridge PB10xxS -0 -4 -4 -Diode_THT -Diode_Bridge_15.2x15.2x6.3mm_P10.9mm -Single phase bridge rectifier case 15.2x15.2mm, pitch 10.9mm, see https://diotec.com/tl_files/diotec/files/pdf/datasheets/kbpc600.pdf -Diode Bridge KBPC6xx -0 -4 -4 -Diode_THT -Diode_Bridge_15.7x15.7x6.3mm_P10.8mm -Single phase bridge rectifier case 15.7x15.7 -Diode Bridge -0 -4 -4 -Diode_THT -Diode_Bridge_16.7x16.7x6.3mm_P10.8mm -Single phase bridge rectifier case 16.7x16.7 -Diode Bridge -0 -4 -4 -Diode_THT -Diode_Bridge_19.0x3.5x10.0mm_P5.0mm -Vishay GBU rectifier package, 5.08mm pitch, see http://www.vishay.com/docs/88606/g3sba20.pdf -Vishay GBU rectifier diode bridge -0 -4 -4 -Diode_THT -Diode_Bridge_19.0x19.0x6.8mm_P12.7mm -Single phase bridge rectifier case 19x19mm, pitch 12.7mm, see https://diotec.com/tl_files/diotec/files/pdf/datasheets/pb1000.pdf -Diode Bridge PB10xx -0 -4 -4 -Diode_THT -Diode_Bridge_28.6x28.6x7.3mm_P18.0mm_P11.6mm -Single phase bridge rectifier case 28.6x28.6mm, pitch 18.0mm & 11.6mm, see https://diotec.com/tl_files/diotec/files/pdf/datasheets/kbpc1500fw.pdf -Diode Bridge KBPCxxxxWP -0 -4 -4 -Diode_THT -Diode_Bridge_32.0x5.6x17.0mm_P10.0mm_P7.5mm -Diotec 32x5.6x17mm rectifier package, 7.5mm/10mm pitch, see https://diotec.com/tl_files/diotec/files/pdf/datasheets/b40c3700.pdf -Diotec rectifier diode bridge -0 -4 -4 -Diode_THT -Diode_Bridge_Comchip_SCVB-L -Three phase, Bridge, Rectifier, https://www.comchiptech.com/admin/files/product/SC35VB80S-G%20Thru506369.%20SC35VB160S-G%20RevB.pdf -diode module -0 -5 -5 -Diode_THT -Diode_Bridge_DIGITRON_KBPC_T -Single phase, Bridge rectifier, 28.614x28.614mm, case KBPC_T(FP), https://www.digitroncorp.com/Digitron/media/Files/Datasheets/KBPC15005-SERIES.pdf -diode module -0 -4 -4 -Diode_THT -Diode_Bridge_DIP-4_W5.08mm_P2.54mm -4-lead dip package for diode bridges, row spacing 5.08mm, pin-spacing 2.54mm, see http://www.vishay.com/docs/88898/b2m.pdf -DIL DIP PDIP 5.08mm 2.54 -0 -4 -4 -Diode_THT -Diode_Bridge_DIP-4_W7.62mm_P5.08mm -4-lead dip package for diode bridges, row spacing 7.62 mm (300 mils), see http://cdn-reichelt.de/documents/datenblatt/A400/HDBL101G_20SERIES-TSC.pdf -DIL DIP PDIP 5.08mm 7.62mm 300mil -0 -4 -4 -Diode_THT -Diode_Bridge_GeneSiC_KBPC_T -Single phase, Bridge rectifier, 28.55x28.55mm, case KBPC_T(FP), https://www.diodemodule.com/bridge-rectifier/kbpc/kbpc1501t.pdf -diode module -0 -4 -4 -Diode_THT -Diode_Bridge_GeneSiC_KBPC_W -Single phase, Bridge Rectifier, 28.55x28.55mm, case KBPC_W(WP), https://www.diodemodule.com/bridge-rectifier/kbpc/kbpc15005w.pdf -diode module -0 -4 -4 -Diode_THT -Diode_Bridge_IXYS_GUFP -Three phase, Bridge, Rectifier -diode module -0 -5 -5 -Diode_THT -Diode_Bridge_Round_D8.9mm -4-lead round diode bridge package, diameter 8.9mm, pin pitch 5.08mm, see http://cdn-reichelt.de/documents/datenblatt/A400/W005M-W10M_SEP.PDF -diode bridge 8.9mm 8.85mm WOB pitch 5.08mm -0 -4 -4 -Diode_THT -Diode_Bridge_Round_D9.0mm -4-lead round diode bridge package, diameter 9.0mm, pin pitch 5.0mm, see https://diotec.com/tl_files/diotec/files/pdf/datasheets/b40r.pdf -diode bridge 9.0mm 8.85mm WOB pitch 5.0mm -0 -4 -4 -Diode_THT -Diode_Bridge_Round_D9.8mm -4-lead round diode bridge package, diameter 9.8mm, pin pitch 5.08mm, see http://www.vishay.com/docs/88769/woo5g.pdf -diode bridge 9.8mm WOG pitch 5.08mm -0 -4 -4 -Diode_THT -Diode_Bridge_Vishay_GBL -Vishay GBL rectifier package, 5.08mm pitch, see http://www.vishay.com/docs/88609/gbl005.pdf -Vishay GBL rectifier diode bridge -0 -4 -4 -Diode_THT -Diode_Bridge_Vishay_GBU -Vishay GBU rectifier package, 5.08mm pitch, see http://www.vishay.com/docs/88606/g3sba20.pdf -Vishay GBU rectifier diode bridge -0 -4 -4 -Diode_THT -Diode_Bridge_Vishay_KBL -Vishay KBL rectifier package, 5.08mm pitch, see http://www.vishay.com/docs/88655/kbl005.pdf -Vishay KBL rectifier diode bridge -0 -4 -4 -Diode_THT -Diode_Bridge_Vishay_KBPC1 -Single phase bridge rectifier case KBPC1, see http://www.vishay.com/docs/93585/vs-kbpc1series.pdf -Diode Bridge -0 -4 -4 -Diode_THT -Diode_Bridge_Vishay_KBPC6 -Single phase bridge rectifier case KBPC6, see http://www.vishay.com/docs/93585/vs-kbpc1series.pdf -Diode Bridge -0 -4 -4 -Diode_THT -Diode_Bridge_Vishay_KBPM -Vishay KBM rectifier package, 3.95mm pitch (http://www.farnell.com/datasheets/2238158.pdf, http://www.cdil.com/s/kbp2005_.pdf) -Vishay KBM rectifier diode bridge -0 -4 -4 -Diode_THT -Diode_Bridge_Vishay_KBU -Vishay KBU rectifier package, 5.08mm pitch, see http://www.vishay.com/docs/88656/kbu4.pdf -Vishay KBU rectifier diode bridge -0 -4 -4 -Display -AG12864E -STN/FSTN LCD 128x64 dot https://www.digchip.com/datasheets/parts/datasheet/1121/AG-12864E-pdf.php -AG12864E Graphics Display 128x64 Ampire -0 -24 -20 -Display -Adafruit_SSD1306 -Adafruit SSD1306 OLED 1.3 inch 128x64 I2C & SPI https://learn.adafruit.com/monochrome-oled-breakouts/downloads -Adafruit SSD1306 OLED 1.3 inch 128x64 I2C & SPI -0 -8 -8 -Display -Adafruit_SSD1306_No_Mounting_Holes -Adafruit SSD1306 OLED 1.3 inch 128x64 I2C & SPI https://learn.adafruit.com/monochrome-oled-breakouts/downloads -Adafruit SSD1306 OLED 1.3 inch 128x64 I2C & SPI -0 -8 -8 -Display -CR2013-MI2120 -CR2013-MI2120 ILI9341 LCD Breakout http://pan.baidu.com/s/11Y990 -CR2013-MI2120 ILI9341 LCD Breakout -0 -18 -14 -Display -EA-eDIP128B-XXX -LCD-graphical display with LED backlight 128x64 RS-232 I2C or SPI http://www.lcd-module.com/fileadmin/eng/pdf/grafik/edip128-6e.pdf -LCD-graphical display with LED backlight 128x64 RS-232 I2C or SPI -0 -32 -32 -Display -EA_DOGL128-6 -Graphical,Display,LCD,128x64 https://www.lcd-module.com/eng/pdf/grafik/dogl128-6e.pdf -EA DOGL128 -0 -26 -26 -Display -EA_DOGM128-6 -Graphical,Display,LCD,128x64 https://www.lcd-module.de/eng/pdf/grafik/dogm128e.pdf -EA DOGM128-6 -0 -26 -26 -Display -EA_DOGS104X-A -LCD 4x10 character 3.3V VDD I2C or SPI http://www.lcd-module.com/fileadmin/eng/pdf/doma/dogs104e.pdf -LCD 4x10 character 3.3V VDD I2C or SPI -0 -14 -14 -Display -EA_DOGXL160-7 -Grapchical,Display,LCD,160x104 http://www.lcd-module.com/eng/pdf/grafik/dogxl160-7e.pdf -EA_DOGXL160-7 -0 -22 -22 -Display -EA_DOGXL160-7_Backlight -Grapchical,Display,LCD,160x104 http://www.lcd-module.com/eng/pdf/grafik/dogxl160-7e.pdf -EA_DOGXL160-7_Backlight -0 -22 -22 -Display -EA_T123X-I2C -http://www.lcd-module.de/pdf/doma/t123-i2c.pdf -3 Line 12 character wide alpha numeric LCD -0 -6 -6 -Display -EA_eDIP160-XXX -LCD-graphical display with LED backlight 160x104 RS-232 I2C or SPI http://www.lcd-module.com/fileadmin/eng/pdf/grafik/edip160-7e.pdf -LCD-graphical display with LED backlight 160x104 RS-232 I2C or SPI -0 -40 -40 -Display -EA_eDIP240-XXX -LCD graphical display LED backlight 240x128 http://www.lcd-module.com/fileadmin/eng/pdf/grafik/edip240-7e.pdf -LCD graphical display LED backlight 240x128 -0 -40 -40 -Display -EA_eDIP320X-XXX -LCD display 320x340 RS-232 I2C or SPI http://www.lcd-module.com/fileadmin/eng/pdf/grafik/edip320-8e.pdf -LCD display 320x340 RS-232 I2C or SPI -0 -48 -48 -Display -EA_eDIPTFT32-XXX -TFT-graphic display 320x240 16 bit colour with led backlight http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft32-ae.pdf -TFT-graphic display 320x240 16 bit colour with led backlight -0 -40 -40 -Display -EA_eDIPTFT43-ATC -http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft43-ae.pdf -TFT graphical display 480x272 16-bit colour with LED backlight -0 -40 -40 -Display -EA_eDIPTFT43-XXX -TFT graphical display 480x272 16-bit colour with LED backlight http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft43-ae.pdf -TFT graphical display 480x272 16-bit colour with LED backlight -0 -40 -40 -Display -EA_eDIPTFT57-XXX -http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft57-ae.pdf -TFT-graphic display 640x480 16 bit colour -0 -48 -48 -Display -EA_eDIPTFT70-ATC -TFT-graphical display 800x480 16-bit colours with capacitive touch panel http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft70-ae.pdf -TFT-graphical display 800x480 16-bit colours with capacitive touch panel -0 -48 -48 -Display -EA_eDIPTFT70-XXX -TFT-graphical display 800x480 16-bit colours http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft70-ae.pdf -TFT-graphical display 800x480 16-bit colours and touch display -0 -48 -48 -Display -ERM19264 -STN/FSTN LCD 192x64 dot https://www.buydisplay.com/download/manual/ERM19264-1_Series_Datasheet.pdf -ERM19264 Graphics Display 192x64 -0 -20 -20 -Display -HDSM-441B_HDSM-443B -2 Digit 7 segemnt blue LED, right hand decimal, https://docs.broadcom.com/docs/AV02-1589EN -2 Digit 7 segment blue LED -0 -10 -10 -Display -HDSM-541B_HDSM-543B -2 digit 7 segement blue LED with right hand decimal, https://docs.broadcom.com/docs/AV02-1588EN -2 digit 7 segement blue LED with right hand decimal -0 -10 -10 -Display -HDSP-48xx -10-Element Bar Graph Array https://docs.broadcom.com/docs/AV02-1798EN -10-Element Bar Graph Array -0 -20 -20 -Display -HDSP-4830 -10-Element Red Bar Graph Array https://docs.broadcom.com/docs/AV02-1798EN -10-Element Red Bar Graph Array -0 -20 -20 -Display -HDSP-4832 -10-Element Red Yellow Green Bar Graph Array https://docs.broadcom.com/docs/AV02-1798EN -10-Element Red Yellow Green Bar Graph Array -0 -20 -20 -Display -HDSP-4836 -10-Element Red Yellow Green Bar Graph Array https://docs.broadcom.com/docs/AV02-1798EN -10-Element Red Yellow Green Bar Graph Array -0 -20 -20 -Display -HDSP-4840 -10-Element Yellow Bar Graph Array https://docs.broadcom.com/docs/AV02-1798EN -10-Element Yellow Bar Graph Array -0 -20 -20 -Display -HDSP-4850 -10-Element Green Bar Graph Array https://docs.broadcom.com/docs/AV02-1798EN -10-Element Green Bar Graph Array -0 -20 -20 -Display -HLCP-J100 -10-Element Red Bar Graph Array https://docs.broadcom.com/docs/AV02-1798EN -10-Element Red Bar Graph Array -0 -20 -20 -Display -HY1602E -http://www.icbank.com/data/ICBShop/board/HY1602E.pdf -LCD 16x2 Alphanumeric 16pin -0 -20 -16 -Display -LCD-016N002L -16 x 2 Character LCD, http://www.vishay.com/product?docid=37299 -LCD-016N002L 16 x 2 Character LCD -0 -24 -20 -Display -LM16255 -LCD LM16255 16x2 character http://www.datasheetlib.com/datasheet/259542/lm16255_sharp-electronics.html -LCD 12x2 -0 -14 -14 -Display -NHD-0420H1Z -NHD-0420H1Z LCD http://www.newhavendisplay.com/specs/NHD-0420H1Z-FSW-GBW-33V3.pdf -NHD-0420H1Z LCD -0 -16 -16 -Display -NHD-C0220BiZ -NHD-C0220BiZ LCD http://www.newhavendisplay.com/specs/NHD-C0220BiZ-FSW-FBW-3V3M.pdf -NHD-C0220BiZ LCD -0 -10 -10 -Display -NHD-C12832A1Z-FSRGB -128x32 LCD with RGB backlight https://www.newhavendisplay.com/specs/NHD-C12832A1Z-FSRGB-FBW-3V.pdf -lcd rgb st7565 -0 -21 -21 -Display -OLED-128O064D -128x64 OLED display -display oled -0 -30 -30 -Display -RC1602A -http://www.raystar-optronics.com/down.php?ProID=18 -LCD 16x2 Alphanumeric 16pin -0 -22 -18 -Display -WC1602A -LCD 16x2 http://www.wincomlcd.com/pdf/WC1602A-SFYLYHTC06.pdf -LCD 16x2 Alphanumeric 16pin -0 -20 -16 -Display_7Segment -7SEGMENT-LED__HDSM531_HDSM533_SMD -7-Segment Display, HDSM53x, https://docs.broadcom.com/docs/AV02-0713EN -7segment LED HDSM531 HDSM533 -0 -10 -10 -Display_7Segment -7SegmentLED_LTS6760_LTS6780 -7-Segment Display, LTS67x0, http://optoelectronics.liteon.com/upload/download/DS30-2001-355/S6760jd.pdf -7Segment LED LTS6760 LTS6780 -0 -10 -10 -Display_7Segment -AD-121F2 -Single Digit 7-segment RGB LED Display, 1-inch digit height, common anode, http://usasyck.com/products/AD-121F2_cat_e.pdf -RGB LED digit -0 -22 -22 -Display_7Segment -AFF_2x7SEG-DIGIT_10mm -Afficheur 7 segments 10mm DIGIT -AFFICHEUR -0 -16 -16 -Display_7Segment -CA56-12CGKWA -4 digit 7 segment green LED, http://www.kingbright.com/attachments/file/psearch/000/00/00/CA56-12CGKWA(Ver.9A).pdf -4 digit 7 segment green LED -0 -12 -12 -Display_7Segment -CA56-12EWA -4 digit 7 segment green LED, http://www.kingbrightusa.com/images/catalog/SPEC/CA56-12EWA.pdf -4 digit 7 segment green LED -0 -12 -12 -Display_7Segment -CA56-12SEKWA -4 digit 7 segment green LED, http://www.kingbright.com/attachments/file/psearch/000/00/00/CA56-12SEKWA(Ver.7A).pdf -4 digit 7 segment green LED -0 -12 -12 -Display_7Segment -CA56-12SRWA -4 digit 7 segment green LED, http://www.kingbrightusa.com/images/catalog/SPEC/CA56-12SRWA.pdf -4 digit 7 segment green LED -0 -12 -12 -Display_7Segment -CA56-12SURKWA -4 digit 7 segment green LED, http://www.kingbright.com/attachments/file/psearch/000/00/00/CA56-12SURKWA(Ver.8A).pdf -4 digit 7 segment green LED -0 -12 -12 -Display_7Segment -CA56-12SYKWA -4 digit 7 segment green LED, http://www.kingbright.com/attachments/file/psearch/000/00/00/CA56-12SYKWA(Ver.6A).pdf -4 digit 7 segment green LED -0 -12 -12 -Display_7Segment -CC56-12GWA -4 digit 7 segment green LED, http://www.kingbrightusa.com/images/catalog/SPEC/CA56-11GWA.pdf -4 digit 7 segment green LED -0 -12 -12 -Display_7Segment -CC56-12YWA -4 digit 7 segment green LED, http://www.kingbrightusa.com/images/catalog/SPEC/CC56-12YWA.pdf -4 digit 7 segment green LED -0 -12 -12 -Display_7Segment -D1X8K -https://ia800903.us.archive.org/24/items/CTKD1x8K/Cromatek%20D168K.pdf -Single digit 7 segment ultra bright red -0 -10 -10 -Display_7Segment -DA04-11CGKWA -http://www.kingbright.com/attachments/file/psearch/000/00/00/DA04-11CGKWA(Ver.6A).pdf -Dubble digit green 7 segment LED display -0 -16 -16 -Display_7Segment -DA04-11SEKWA -http://www.kingbright.com/attachments/file/psearch/000/00/00/DA04-11SEKWA(Ver.9A).pdf -Dubble digit super bright orange 7 segment LED display -0 -16 -16 -Display_7Segment -DA04-11SURKWA -http://www.kingbright.com/attachments/file/psearch/000/00/00/DA04-11SURKWA(Ver.10A).pdf -Dubble digit hyper red 7 segment LED display -0 -16 -16 -Display_7Segment -DA04-11SYKWA -http://www.kingbright.com/attachments/file/psearch/000/00/00/DA04-11SYKWA(Ver.6A).pdf -Dubble digit super bright yellow 7 segment LED display -0 -16 -16 -Display_7Segment -DA56-11CGKWA -http://www.kingbright.com/attachments/file/psearch/000/00/00/DA56-11CGKWA(Ver.16A).pdf -Double digit seven segment green LED display -0 -18 -18 -Display_7Segment -DA56-11SEKWA -http://www.kingbright.com/attachments/file/psearch/000/00/00/DA56-11SEKWA(Ver.9A).pdf -Double digit seven segment super bright orange LED display -0 -18 -18 -Display_7Segment -DA56-11SURKWA -http://www.kingbright.com/attachments/file/psearch/000/00/00/DA56-11SURKWA(Ver.11A).pdf -Double digit seven segment hyper red LED display -0 -18 -18 -Display_7Segment -DA56-11SYKWA -http://www.kingbright.com/attachments/file/psearch/000/00/00/DA56-11SYKWA(Ver.11A).pdf -Double digit seven segment super bright yellow LED display -0 -18 -18 -Display_7Segment -DE113-XX-XX -http://www.display-elektronik.de/filter/DE113-RS-20_635.pdf -3 1/5 digit LOW BAT + 7-Segment LCD -0 -40 -40 -Display_7Segment -DE114-RS-20 -http://www.display-elektronik.de/filter/DE113-RS-20_635.pdf -3 1/5 digit reflective LCD LOW-BAT + 7-Segment -0 -40 -40 -Display_7Segment -DE119-XX-XX -https://www.display-elektronik.de/filter/DE119-RS-20_635.pdf -4 digit 7 segment LCD -0 -40 -40 -Display_7Segment -DE122-XX-XX -http://www.display-elektronik.de/filter/DE122-RS-20_635.pdf -6 digit 7 segment LCD -0 -50 -50 -Display_7Segment -DE152-XX-XX -https://tft-module.de/filter/DE152-RS-20_75.pdf -4 digit 7 segment LCD -0 -40 -40 -Display_7Segment -DE170-XX-XX -http://www.display-elektronik.de/filter/DE170-RS-20_75.pdf -3 1/5 digit reflective arrow bat + 7 segment LCD -0 -40 -40 -Display_7Segment -ELD_426XXXX -http://www.everlight.com/file/ProductFile/D426SYGWA-S530-E2.pdf -Double digit 7 segment brilliant yellow green LED -0 -10 -10 -Display_7Segment -HDSP-7401 -One digit 7 segment yellow, https://docs.broadcom.com/docs/AV02-2553EN -One digit 7 segment yellow -0 -10 -10 -Display_7Segment -HDSP-7507 -+-1 overflow 7 segment high efficiency red, https://docs.broadcom.com/docs/AV02-2553EN -+-1 overflow 7 segment high efficiency red -0 -10 -10 -Display_7Segment -HDSP-7801 -One digit 7 segment green, https://docs.broadcom.com/docs/AV02-2553EN -One digit 7 segment green -0 -10 -10 -Display_7Segment -HDSP-7807 -+-1 overflow 7 segment green, https://docs.broadcom.com/docs/AV02-2553EN -+-1 overflow 7 segment green -0 -10 -10 -Display_7Segment -HDSP-A151 -One digit 7 segment red, https://docs.broadcom.com/docs/AV02-2553EN -One digit 7 segment high efficiency red -0 -10 -10 -Display_7Segment -HDSP-A401 -One digit 7 segment orange, common anode, https://docs.broadcom.com/docs/AV02-2553EN -One digit 7 segment orange common anode -0 -10 -10 -Display_7Segment -KCSC02-105 -http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-105(Ver.9A).pdf -Single digit 7 segement hyper red LED -0 -10 -10 -Display_7Segment -KCSC02-106 -http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-106(Ver.10A).pdf -Single digit 7 segement super bright orange LED -0 -10 -10 -Display_7Segment -KCSC02-107 -http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-107(Ver.9A).pdf -Single digit 7 segement super bright yellow LED -0 -10 -10 -Display_7Segment -KCSC02-123 -http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-123(Ver.10A).pdf -Single digit 7 segement super bright yellow LED -0 -10 -10 -Display_7Segment -KCSC02-136 -http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-136(Ver.6B).pdf -Single digit 7 segement super bright yellow LED -0 -10 -10 -Display_7Segment -LTC-4627Jx -http://optoelectronics.liteon.com/upload/download/DS30-2001-393/C4627JG.pdf -4 digit 7 segment LED -0 -14 -14 -Display_7Segment -MAN71A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -One digit 7 segment red LED with right dot -0 -14 -14 -Display_7Segment -MAN72A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -One digit 7 segment red LED with left dot -0 -14 -14 -Display_7Segment -MAN73A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -Overflow +- 1 red LED -0 -14 -14 -Display_7Segment -MAN3410A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -One digit 7 segment green LED with dot -0 -14 -14 -Display_7Segment -MAN3420A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -One digit 7 segment green LED with left dot -0 -14 -14 -Display_7Segment -MAN3610A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -One digit 7 segment orange LED with right dot -0 -14 -14 -Display_7Segment -MAN3620A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -One digit 7 segment orange LED with left dot -0 -14 -14 -Display_7Segment -MAN3630A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -Overflow +- 1 orange LED -0 -14 -14 -Display_7Segment -MAN3810A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -One digit 7 segment yellow LED with right dot -0 -14 -14 -Display_7Segment -MAN3820A -https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php -One digit 7 segment yellow LED with left dot -0 -14 -14 -Display_7Segment -SA15-11xxx -http://www.kingbrightusa.com/images/catalog/SPEC/SA15-11SRWA.pdf -SA15-11xxx single digit 7 segment display 38.1mm 1.5inch -0 -10 -10 -Display_7Segment -SBC18-11SURKCGKWA -http://www.kingbright.com/attachments/file/psearch/000/00/00/SBC18-11SURKCGKWA(Ver.6A).pdf -single digit 7 segemnt red/green LED -0 -10 -10 -Display_7Segment -Sx39-1xxxxx -Single digit 7 segment LED display in red, yellow or green colour http://www.kingbrightusa.com/images/catalog/SPEC/sa39-11ewa.pdf -One digit LED 7 segment SA39-11 SC39-11 SA39-12 SC39-12 -0 -10 -10 -Ferrite_THT -LairdTech_28C0236-0JW-10 -Ferrite, vertical, LairdTech 28C0236-0JW-10, https://assets.lairdtech.com/home/brandworld/files/28C0236-0JW-10.pdf, JW Miller core https://www.bourns.com/products/magnetic-products/j.w.-miller-through-hole-ferrite-beads-emi-filters -Ferrite vertical LairdTech 28C0236-0JW-10 -0 -2 -2 -Fiducial -Fiducial_0.5mm_Mask1.5mm -Circular Fiducial, 0.5mm bare copper, 1.5mm soldermask opening -fiducial -0 -1 -0 -Fiducial -Fiducial_0.5mm_Mask1mm -Circular Fiducial, 0.5mm bare copper, 1mm soldermask opening (Level C) -fiducial -0 -1 -0 -Fiducial -Fiducial_0.75mm_Mask1.5mm -Circular Fiducial, 0.75mm bare copper, 1.5mm soldermask opening (Level B) -fiducial -0 -1 -0 -Fiducial -Fiducial_0.75mm_Mask2.25mm -Circular Fiducial, 0.75mm bare copper, 2.25mm soldermask opening -fiducial -0 -1 -0 -Fiducial -Fiducial_1.5mm_Mask3mm -Circular Fiducial, 1.5mm bare copper, 3mm soldermask opening -fiducial -0 -1 -0 -Fiducial -Fiducial_1.5mm_Mask4.5mm -Circular Fiducial, 1.5mm bare copper, 4.5mm soldermask opening -fiducial -0 -1 -0 -Fiducial -Fiducial_1mm_Mask2mm -Circular Fiducial, 1mm bare copper, 2mm soldermask opening (Level A) -fiducial -0 -1 -0 -Fiducial -Fiducial_1mm_Mask3mm -Circular Fiducial, 1mm bare copper, 3mm soldermask opening (recommended) -fiducial -0 -1 -0 -Filter -Filter_1109-5_1.1x0.9mm -5-pin SAW filter package - 1.1x0.9 mm Body; (see https://www.murata.com/~/media/webrenewal/support/library/catalog/products/filter/rf/p73e.ashx?la=en-gb) -Filter 5 -0 -5 -5 -Filter -Filter_1411-5_1.4x1.1mm -5-pin filter package - 1.4x1.1 mm Body; (see https://global.kyocera.com/prdct/electro/product/pdf/sf14_tdlte.pdf) -Filter 5 -0 -5 -5 -Filter -Filter_Bourns_SRF0905_6.0x9.2mm -https://www.bourns.com/docs/Product-Datasheets/SRF0905.pdf -Line Filter -0 -4 -4 -Filter -Filter_FILTERCON_1FPxx -0.5A, 250VAC, 50/60Hz line filter (https://filtercon.com.pl/wp-content/uploads/2019/07/Karta-katalogowa-FP-12-1.pdf) -EMI filter -0 -4 -4 -Filter -Filter_Mini-Circuits_FV1206 -Mini-Circuits Filter SMD 1206 https://ww2.minicircuits.com/case_style/FV1206.pdf -Mini-Circuits Filter SMD 1206 -0 -6 -4 -Filter -Filter_Mini-Circuits_FV1206-1 -Mini-Circuits Filter SMD 1206 https://ww2.minicircuits.com/case_style/FV1206-1.pdf -Mini-Circuits Filter SMD 1206 -0 -6 -6 -Filter -Filter_Mini-Circuits_FV1206-4 -Mini-Circuits Filter SMD 1206 https://ww2.minicircuits.com/case_style/FV1206-4.pdf -Mini-Circuits Filter SMD 1206 -0 -8 -4 -Filter -Filter_Mini-Circuits_FV1206-5 -Mini-Circuits Filter SMD 1206 https://ww2.minicircuits.com/case_style/FV1206-5.pdf -Mini-Circuits Filter SMD 1206 -0 -8 -4 -Filter -Filter_Mini-Circuits_FV1206-6 -Mini-Circuits Filter SMD 1206 https://ww2.minicircuits.com/case_style/FV1206-6.pdf -Mini-Circuits Filter SMD 1206 -0 -14 -8 -Filter -Filter_Mini-Circuits_FV1206-7 -Mini-Circuits Filter SMD 1206 https://ww2.minicircuits.com/case_style/FV1206-7.pdf -Mini-Circuits Filter SMD 1206 -0 -5 -3 -Filter -Filter_Murata_BNX025 -https://www.murata.com/en-us/products/productdata/8796778004510/QNFH9101.pdf?1496719830000 -EMI Filter -0 -6 -4 -Filter -Filter_Murata_BNX025_ThermalVias -https://www.murata.com/en-us/products/productdata/8796778004510/QNFH9101.pdf?1496719830000 -EMI Filter -0 -19 -4 -Filter -Filter_Murata_SFECF-6 -SMD Type 10.7MHz Ceramic Filter https://www.murata.com/en-us/products/filter/cerafil/sfecf -10.7MHz smd ceramic -0 -6 -6 -Filter -Filter_Murata_SFECF-6_HandSoldering -SMD Type 10.7MHz Ceramic Filter https://www.murata.com/en-us/products/filter/cerafil/sfecf -10.7MHz smd ceramic -0 -6 -6 -Filter -Filter_SAW-6_3.8x3.8mm -6-pin 3.8 x 3.8mm SAW filter package, https://www.golledge.com/media/3785/mp08167.pdf -SAW filter 6-pin -0 -6 -6 -Filter -Filter_SAW-8_3.8x3.8mm -8-pin 3.8x3.8mm SAW filter, https://www.golledge.com/media/1831/ma05497.pdf -SAW filter 8-pin -0 -8 -8 -Filter -Filter_SAW_Epcos_DCC6C_3x3mm -EPCOS/TDK Electronics/Qualcomm DCC6c SAW filter package based on EPCOS app note 93 (https://www.catagle.com/45-2/PDF_AN93.htm) -SAW filter 6-pin -0 -6 -6 -Filter -Filter_Schaffner_FN405 -Compact PCB mounting EMI filter (https://www.schaffner.com/product/FN405/Schaffner_datasheet_FN405.pdf) -EMI filter -0 -5 -5 -Filter -Filter_Schaffner_FN406 -Ultra Compact EMC Filter (https://www.schaffner.com/product/FN406/Schaffner_datasheet_FN406.pdf) -emi filter -0 -5 -5 -Fuse -FuseHolder_Blade_ATO_Littelfuse_FLR_178.6165 -Littelfuse fuse holder for ATO/FKS blade fuses, 80V, vertical, 20 x 6mm, PCB thickness up to 1.5mm, http://www.littelfuse.com/~/media/commercial-vehicle/datasheets/automotive-fuse-holders/ato/littelfuse-fuse-holder-ato-flr-pcb-datasheet.pdf -ATO FKS blade fuse holder -0 -8 -2 -Fuse -Fuse_0402_1005Metric -Fuse SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse -0 -2 -2 -Fuse -Fuse_0402_1005Metric_Pad0.77x0.64mm_HandSolder -Fuse SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse handsolder -0 -2 -2 -Fuse -Fuse_0603_1608Metric -Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse -0 -2 -2 -Fuse -Fuse_0603_1608Metric_Pad1.05x0.95mm_HandSolder -Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse handsolder -0 -2 -2 -Fuse -Fuse_0805_2012Metric -Fuse SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator -fuse -0 -2 -2 -Fuse -Fuse_0805_2012Metric_Pad1.15x1.40mm_HandSolder -Fuse SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator -fuse handsolder -0 -2 -2 -Fuse -Fuse_1206_3216Metric -Fuse SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse -0 -2 -2 -Fuse -Fuse_1206_3216Metric_Pad1.42x1.75mm_HandSolder -Fuse SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse handsolder -0 -2 -2 -Fuse -Fuse_1210_3225Metric -Fuse SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse -0 -2 -2 -Fuse -Fuse_1210_3225Metric_Pad1.42x2.65mm_HandSolder -Fuse SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse handsolder -0 -2 -2 -Fuse -Fuse_1812_4532Metric -Fuse SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -fuse -0 -2 -2 -Fuse -Fuse_1812_4532Metric_Pad1.30x3.40mm_HandSolder -Fuse SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -fuse handsolder -0 -2 -2 -Fuse -Fuse_2010_5025Metric -Fuse SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse -0 -2 -2 -Fuse -Fuse_2010_5025Metric_Pad1.52x2.65mm_HandSolder -Fuse SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse handsolder -0 -2 -2 -Fuse -Fuse_2512_6332Metric -Fuse SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse -0 -2 -2 -Fuse -Fuse_2512_6332Metric_Pad1.52x3.35mm_HandSolder -Fuse SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -fuse handsolder -0 -2 -2 -Fuse -Fuse_2920_7451Metric -Fuse SMD 2920 (7451 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://www.megastar.com/products/fusetronic/polyswitch/PDF/smd2920.pdf), generated with kicad-footprint-generator -fuse -0 -2 -2 -Fuse -Fuse_2920_7451Metric_Pad2.10x5.45mm_HandSolder -Fuse SMD 2920 (7451 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: http://www.megastar.com/products/fusetronic/polyswitch/PDF/smd2920.pdf), generated with kicad-footprint-generator -fuse handsolder -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0005FF_L8.3mm_W3.8mm -Fuse 0ZRE0005FF, BelFuse, Radial Leaded PTC, https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0008FF_L8.3mm_W3.8mm -Fuse 0ZRE0008FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0012FF_L8.3mm_W3.8mm -Fuse 0ZRE0012FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0016FF_L9.9mm_W3.8mm -Fuse 0ZRE0016FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0025FF_L9.6mm_W3.8mm -Fuse 0ZRE0025FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0033FF_L11.4mm_W3.8mm -Fuse 0ZRE0033FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0040FF_L11.5mm_W3.8mm -Fuse 0ZRE0040FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0055FF_L14.0mm_W4.1mm -Fuse 0ZRE0055FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0075FF_L11.5mm_W4.8mm -Fuse 0ZRE0075FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0100FF_L18.7mm_W5.1mm -Fuse 0ZRE0100FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0125FF_L21.2mm_W5.3mm -Fuse 0ZRE0125FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0150FF_L23.4mm_W5.3mm -Fuse 0ZRE0150FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_BelFuse_0ZRE0200FF_L24.9mm_W6.1mm -Fuse 0ZRE0200FF, BelFuse, Radial Leaded PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf -0ZRE BelFuse radial PTC -0 -2 -2 -Fuse -Fuse_Blade_ATO_directSolder -car blade fuse direct solder -car blade fuse -0 -2 -2 -Fuse -Fuse_Blade_Mini_directSolder -car blade fuse mini, direct solder -car blade fuse mini -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG300 -PTC Resettable Fuse, Ihold = 3.0A, Itrip=5.1A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG400 -PTC Resettable Fuse, Ihold = 4.0A, Itrip=6.8A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG500 -PTC Resettable Fuse, Ihold = 5.0A, Itrip=8.5A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG600 -PTC Resettable Fuse, Ihold = 6.0A, Itrip=10.2A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG650 -PTC Resettable Fuse, Ihold = 6.5A, Itrip=11.1A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG700 -PTC Resettable Fuse, Ihold = 7.0A, Itrip=11.9A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG800 -PTC Resettable Fuse, Ihold = 8.0A, Itrip=13.6A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG900 -PTC Resettable Fuse, Ihold = 9.0A, Itrip=15.3A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG1000 -PTC Resettable Fuse, Ihold = 10.0A, Itrip=17.0A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RG1100 -PTC Resettable Fuse, Ihold = 11.0A, Itrip=18.7A, http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT050 -PTC Resettable Fuse, Ihold = 0.5A, Itrip=0.92A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT070 -PTC Resettable Fuse, Ihold = 0.7A, Itrip=1.4A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT100 -PTC Resettable Fuse, Ihold = 1.0A, Itrip=1.8A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT200 -PTC Resettable Fuse, Ihold = 2.0A, Itrip=3.8A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT300 -PTC Resettable Fuse, Ihold = 3.0A, Itrip=6.0A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT400 -PTC Resettable Fuse, Ihold = 4.0A, Itrip=7.5A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT500 -PTC Resettable Fuse, Ihold = 5.0A, Itrip=9.0A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT550 -PTC Resettable Fuse, Ihold = 5.5A, Itrip=10.0A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT600 -PTC Resettable Fuse, Ihold = 6.0A, Itrip=10.8A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT650 -PTC Resettable Fuse, Ihold = 6.5A, Itrip=12.0A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT700 -PTC Resettable Fuse, Ihold = 7.0A, Itrip=13.0A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT750 -PTC Resettable Fuse, Ihold = 7.5A, Itrip=13.1A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT800 -PTC Resettable Fuse, Ihold = 8.0A, Itrip=15.0A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT900 -PTC Resettable Fuse, Ihold = 9.0A, Itrip=16.5A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT1000 -PTC Resettable Fuse, Ihold = 10.0A, Itrip=18.5A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT1100 -PTC Resettable Fuse, Ihold = 11.0A, Itrip=20.0A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-RHT1300 -PTC Resettable Fuse, Ihold = 13.0A, Itrip=24.0A, http://www.bourns.com/docs/product-datasheets/mfrht.pdf -ptc resettable fuse polyfuse THT -0 -2 -2 -Fuse -Fuse_Bourns_MF-SM_7.98x5.44mm -https://www.bourns.com/docs/Product-Datasheets/mfsm.pdf -bourns ptc resettable fuse polyfuse MF-SM MF-SMHT -0 -2 -2 -Fuse -Fuse_Bourns_MF-SM_9.5x6.71mm -https://www.bourns.com/docs/Product-Datasheets/mfsm.pdf -bourns ptc resettable fuse polyfuse MF-SM MF-SMHT -0 -2 -2 -Fuse -Fuse_Bourns_TBU-CA -Bourns TBU-CA Fuse, 2 Pin (https://www.bourns.com/data/global/pdfs/TBU-CA.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Bourns Fuse NoLead -0 -3 -3 -Fuse -Fuse_Littelfuse-LVR100 -Littelfuse, resettable fuse, PTC, polyswitch LVR100, Ih 1A http://www.littelfuse.com/~/media/electronics/datasheets/resettable_ptcs/littelfuse_ptc_lvr_catalog_datasheet.pdf.pdf -LVR100 PTC resettable polyswitch -0 -2 -2 -Fuse -Fuse_Littelfuse-LVR125 -Littelfuse, resettable fuse, PTC, polyswitch LVR125, Ih 1.25A, http://www.littelfuse.com/~/media/electronics/datasheets/resettable_ptcs/littelfuse_ptc_lvr_catalog_datasheet.pdf.pdf -LVR125 PTC resettable polyswitch -0 -2 -2 -Fuse -Fuse_Littelfuse-LVR200 -Littelfuse, resettable fuse, PTC, polyswitch LVR200, Ih 2A, http://www.littelfuse.com/~/media/electronics/datasheets/resettable_ptcs/littelfuse_ptc_lvr_catalog_datasheet.pdf.pdf -LVR200 PTC resettable polyswitch -0 -2 -2 -Fuse -Fuse_Littelfuse-NANO2-451_453 -Littelfuse NANO2 https://www.littelfuse.com/~/media/electronics/datasheets/fuses/littelfuse_fuse_451_453_datasheet.pdf.pdf -Fuse Nano2 -0 -2 -2 -Fuse -Fuse_Littelfuse-NANO2-462 -Littelfuse NANO2, 250VAC/VDC, 350VAC/VDC, 10.5 x 4.5 x 4.5mm, https://www.littelfuse.com/media?resourcetype=datasheets&itemid=6201db33-6e55-43f2-b41f-15e38bdd2c99&filename=littelfuse-fuse-462-datasheet -Fuse Nano2 462 -0 -2 -2 -Fuse -Fuse_Littelfuse-NANO2-885 -Littelfuse NANO2, 350VAC, 450/500VDC, 10.86 x 4.78 x 4.78mm, https://www.littelfuse.com/media?resourcetype=datasheets&itemid=888f12ed-ed3b-4b45-b910-06af8854ad76&filename=littelfuse-fuse-885-datasheet -Fuse Nano2 885 -0 -2 -2 -Fuse -Fuse_Littelfuse_372_D8.50mm -Fuse, Littelfuse, 372, 8.5x8mm, https://www.littelfuse.com/~/media/electronics/datasheets/fuses/littelfuse_fuse_372_datasheet.pdf.pdf -fuse tht radial -0 -2 -2 -Fuse -Fuse_Littelfuse_395Series -Fuse, TE5, Littelfuse/Wickmann, No. 460, No560, -Fuse TE5 Littelfuse/Wickmann No. 460 No560 -0 -2 -2 -Fuse -Fuse_Schurter_UMT250 -Surface Mount Fuse, 3 x 10.1 mm, Time-Lag T, 250 VAC, 125 VDC (https://us.schurter.com/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_UMT_250.pdf) -Schurter fuse smd -0 -2 -2 -Fuse -Fuse_Schurter_UMZ250 -Surface Mount Fuse with Clip, 4.2 x 11.1 mm, Time-Lag T, 250 VAC, 125 VDC (https://us.schurter.com/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_UMZ_250.pdf) -fuse smd mount holder -0 -2 -2 -Fuse -Fuse_SunFuse-6HP -SunFuse Ceramic Slow Blow Fuse 6H_6HP.PDF -UL/CSA 6x32mm Ceramic Slow Blow Fuse -0 -2 -2 -Fuse -Fuseholder_Blade_ATO_Littelfuse_Pudenz_2_Pin -Fuseholder ATO Blade littelfuse Pudenz 2 Pin -Fuseholder ATO Blade littelfuse Pudenz 2 Pin -0 -2 -2 -Fuse -Fuseholder_Blade_Mini_Keystone_3568 -fuse holder, car blade fuse mini, http://www.keyelco.com/product-pdf.cfm?p=306 -car blade fuse mini -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Bel_FC-203-22_Lateral_P17.80x5.00mm_D1.17mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Lateral, Horizontal, Bel FC-203-22, https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0672-fuse-clips-series.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Eaton_1A5601-01_Inline_P20.80x6.76mm_D1.70mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Eaton 1A5601-01, https://www.eaton.com/content/dam/eaton/products/electronic-components/resources/data-sheet/eaton-1axxxx-pcb-fuse-clips-atc-atm-blade-data-sheet.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Keystone_3512P_Inline_P23.62x7.27mm_D1.02x2.41x1.02x1.57mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Keystone 3512P, http://www.keyelco.com/product-pdf.cfm?p=1386 -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Keystone_3512_Inline_P23.62x7.27mm_D1.02x1.57mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Keystone 3512, http://www.keyelco.com/product-pdf.cfm?p=356 -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Keystone_3517_Inline_P23.11x6.76mm_D1.70mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Keystone 3517, http://www.keyelco.com/product-pdf.cfm?p=354 -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Keystone_3518P_Inline_P23.11x6.76mm_D2.44x1.70mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Keystone 3518P, http://www.keyelco.com/product-pdf.cfm?p=1387 -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Littelfuse_100_Inline_P20.50x4.60mm_D1.30mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 100, https://m.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_100_445_030_520_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Littelfuse_111_Inline_P20.00x5.00mm_D1.05mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 111, https://m.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_111_519_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Littelfuse_111_Lateral_P18.80x5.00mm_D1.17mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 111 (501 and 506), https://m.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_111_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Littelfuse_445-030_Inline_P20.50x5.20mm_D1.30mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 445/030, https://m.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_100_445_030_520_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Littelfuse_519_Inline_P20.60x5.00mm_D1.00mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 519, https://m.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_111_519_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Littelfuse_520_Inline_P20.50x5.80mm_D1.30mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 520, https://m.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_100_445_030_520_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Littelfuse_521_Lateral_P17.00x5.00mm_D1.30mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 521, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_520_521_102071_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Schurter_CQM_Inline_P20.60x5.00mm_D1.00mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Schurter CQM (0752), https://www.schurter.com/en/datasheet/typ_CQM.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-5x20mm_Schurter_OG_Lateral_P15.00x5.00mm_D1.3mm_Horizontal -Fuseholder Clips, 5x20mm Cylinder Fuse, Pins Inline, Horizontal, Schurter OG, https://ch.schurter.com/en/datasheet/typ_OG__Clip__5x20.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-6.3x32mm_Littelfuse_102_122_Inline_P34.21x7.62mm_D1.98mm_Horizontal -Fuseholder Clips, 6.3x32mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 102/122 Straight Leads, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_102_122_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-6.3x32mm_Littelfuse_102_Inline_P34.21x7.62mm_D2.54mm_Horizontal -Fuseholder Clips, 6.3x32mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 102 Bowed Leads, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_102_122_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-6.3x32mm_Littelfuse_122_Inline_P34.21x7.62mm_D2.54mm_Horizontal -Fuseholder Clips, 6.3x32mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 122 Bowed Leads, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_102_122_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Clip-6.3x32mm_Littelfuse_102071_Inline_P34.70x7.60mm_D2.00mm_Horizontal -Fuseholder Clips, 6.3x32mm Cylinder Fuse, Pins Inline, Horizontal, Littelfuse 102071, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_520_521_102071_datasheet.pdf.pdf -fuse clip open -0 -4 -2 -Fuse -Fuseholder_Cylinder-5x20mm_Bulgin_FX0456_Vertical_Closed -Fuseholder, 5x20, closed, vertical, Bulgin, FX0456, https://www.bulgin.com/products/pub/media/bulgin/data/Fuseholders.pdf -Fuseholder 5x20 closed vertical Bulgin FX0456 Sicherungshalter -0 -2 -2 -Fuse -Fuseholder_Cylinder-5x20mm_Bulgin_FX0457_Horizontal_Closed -Fuseholder, 5x20, closed, horizontal, Bulgin, FX0457, Sicherungshalter, -Fuseholder 5x20 closed horizontal Bulgin FX0457 Sicherungshalter -0 -2 -2 -Fuse -Fuseholder_Cylinder-5x20mm_EATON_H15-V-1_Vertical_Closed -PCB fuse holders for 5 mm x 20 mm fuses; 250V; 10A (http://www.cooperindustries.com/content/dam/public/bussmann/Electronics/Resources/product-datasheets/bus-elx-ds-4426-h15.pdf) -fuse holder vertical 5x20mm -0 -2 -2 -Fuse -Fuseholder_Cylinder-5x20mm_EATON_HBV_Vertical_Closed -5 mm x 20 mm fuse holders; Vertical w/ Stability Pins; 250V; 6.3-16A (http://www.cooperindustries.com/content/dam/public/bussmann/Electronics/Resources/product-datasheets/Bus_Elx_DS_2118_HB_PCB_Series.pdf) -fuse holder vertical 5x20mm -0 -2 -2 -Fuse -Fuseholder_Cylinder-5x20mm_EATON_HBW_Vertical_Closed -5 mm x 20 mm fuse holders; Vertical w/o Stability Pins; 250V; 6.3-16A (http://www.cooperindustries.com/content/dam/public/bussmann/Electronics/Resources/product-datasheets/Bus_Elx_DS_2118_HB_PCB_Series.pdf) -fuse holder vertical 5x20mm -0 -2 -2 -Fuse -Fuseholder_Cylinder-5x20mm_Schurter_0031_8201_Horizontal_Open -Fuseholder horizontal open, 5x20mm, 500V, 16A, Schurter 0031.8201, https://us.schurter.com/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_OGN.pdf -Fuseholder horizontal open 5x20 Schurter 0031.8201 -0 -2 -2 -Fuse -Fuseholder_Cylinder-5x20mm_Schurter_FAB_0031-355x_Horizontal_Closed -Fuseholder 5x20mm horizontal Shurter model FAB, Suitable for order numbers 0031.3551 and 0031.3558 (https://www.schurter.com/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_FAB.pdf) -Fuseholder 5x20mm closed horizontal -0 -2 -2 -Fuse -Fuseholder_Cylinder-5x20mm_Schurter_FPG4_Vertical_Closed -Shock-Safe Fuseholder, 5 x 20 mm, Slotted Cap/Fingergrip, vertical, IEC 60335-1; 250VAC/10A VDE; 500V/16A UL/CSA (https://us.schurter.com/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_FPG4.pdf) -fuse holder vertical 5x20mm -0 -4 -2 -Fuse -Fuseholder_Cylinder-5x20mm_Schurter_FUP_0031.2510_Horizontal_Closed -Shock-Safe closed Fuseholder, Schurter FUP Series, 5.0 x 20mm, Slotted Cap, horizontal, 500 VAC 4W/16A (VDE), 600V 30A (UL/CSA), order numbers: 0031.2510 (0031.2500 + 0031.2323), http://www.schurter.ch/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_FUP.pdf -Fuseholder 5x20mm horizontal closed -0 -3 -2 -Fuse -Fuseholder_Cylinder-5x20mm_Schurter_OGN-SMD_Horizontal_Open -Fuseholder horizontal open, 5x20mm, 500V, 16A (https://us.schurter.com/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_OGN-SMD.pdf) -Fuseholder horizontal open 5x20 Schurter 0031.8221 -0 -2 -2 -Fuse -Fuseholder_Cylinder-5x20mm_Stelvio-Kontek_PTF78_Horizontal_Open -https://www.tme.eu/en/Document/3b48dbe2b9714a62652c97b08fcd464b/PTF78.pdf -Fuseholder horizontal open 5x20 Stelvio-Kontek PTF/78 -0 -2 -2 -Fuse -Fuseholder_Cylinder-5x20mm_Wuerth_696103101002-SMD_Horizontal_Open -Fuseholder horizontal open 5x20mm 250V 10A Würth 696103101002 -Fuseholder horizontal open 5x20mm 250V 10A -0 -2 -2 -Fuse -Fuseholder_Cylinder-6.3x32mm_Schurter_0031-8002_Horizontal_Open -Fuseholder, horizontal, open, 6.3x32, Schurter, 0031.8002, https://www.schurter.com/en/datasheet/typ_OG__Holder__6.3x32.pdf -Fuseholder horizontal open 6.3x32 Schurter 0031.8002 -0 -2 -2 -Fuse -Fuseholder_Cylinder-6.3x32mm_Schurter_FUP_0031.2520_Horizontal_Closed -Shock-Safe closed Fuseholder, Schurter FUP Series, 6.3 x 32 mm, Slotted Cap, horizontal, 500 VAC 4W/16A (VDE), 600V 30A (UL/CSA), order numbers: 0031.2520 (0031.2500 + 0031.2321), http://www.schurter.ch/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_FUP.pdf -Fuseholder 6.3x32mm horizontal closed -0 -3 -2 -Fuse -Fuseholder_Keystone_3555-2 -Maxi APX ATX Automotive Blade Fuse Clip Holder https://www.keyelco.com/userAssets/file/M65p40.pdf -Maxi APX ATX Automotive Blade Fuse Clip Holder -0 -4 -2 -Fuse -Fuseholder_Littelfuse_100_series_5x20mm -Littelfuse clips, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_100_445_030_520_datasheet.pdf.pdf -Fuseholder clips -0 -4 -2 -Fuse -Fuseholder_Littelfuse_100_series_5x25mm -Littelfuse clips, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_100_445_030_520_datasheet.pdf.pdf -Fuseholder clips -0 -4 -2 -Fuse -Fuseholder_Littelfuse_100_series_5x30mm -Littelfuse clips, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_100_445_030_520_datasheet.pdf.pdf -Fuseholder clips -0 -4 -2 -Fuse -Fuseholder_Littelfuse_445_030_series_5x20mm -Littelfuse clips, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_100_445_030_520_datasheet.pdf.pdf -Fuseholder clips -0 -4 -2 -Fuse -Fuseholder_Littelfuse_445_030_series_5x25mm -Littelfuse clips, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_100_445_030_520_datasheet.pdf.pdf -Fuseholder clips -0 -4 -2 -Fuse -Fuseholder_Littelfuse_445_030_series_5x30mm -Littelfuse clips, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_clips/littelfuse_fuse_clip_100_445_030_520_datasheet.pdf.pdf -Fuseholder clips -0 -4 -2 -Fuse -Fuseholder_Littelfuse_Nano2_154x -Littelfuse NANO2 holder, https://www.littelfuse.com/~/media/electronics/datasheets/fuses/littelfuse_fuse_154_154t_154l_154tl_datasheet.pdf.pdf -SMD Nano2 holder -0 -2 -2 -Fuse -Fuseholder_Littelfuse_Nano2_157x -Littelfuse NANO2 holder, https://www.littelfuse.com/~/media/electronics/datasheets/fuses/littelfuse_fuse_157_datasheet.pdf.pdf -SMD Nano2 holder -0 -2 -2 -Fuse -Fuseholder_TR5_Littelfuse_No560_No460 -Fuse, Fuseholder, TR5, Littelfuse/Wickmann, No. 460, No560, https://www.littelfuse.com/~/media/electronics/datasheets/fuse_holders/littelfuse_fuse_holder_559_560_datasheet.pdf.pdf -Fuse Fuseholder TR5 Littelfuse/Wickmann No. 460 No560 -0 -2 -2 -Heatsink -Heatsink_35x26mm_1xFixation3mm_Fischer-SK486-35 -Heatsink, 35mm x 26mm, 1x Fixation 3mm, Fischer SK486-35 -heatsink -0 -1 -1 -Heatsink -Heatsink_38x38mm_SpringFixation -Heatsink, 38x38mm, Spring Fixation, diagonal, -heatsink -0 -4 -1 -Heatsink -Heatsink_62x40mm_2xFixation3mm -Heatsink, 62 x 40mm, 2x 3mm Drills, -heatsink -0 -2 -1 -Heatsink -Heatsink_125x35x50mm_3xFixationM3 -Heatsink, 125x35x50mm, 3 fixation holes 3.2mm -heatsink -0 -0 -0 -Heatsink -Heatsink_AAVID_573300D00010G_TO-263 -Heatsink, 12.70mm x 26.16mm x 10.16, SMD, 18K/W, TO-263, D2 Pak, https://www.shopaavid.com/Product/573300D00000G -Heatsink AAVID TO-263 D2 Pak -0 -2 -1 -Heatsink -Heatsink_AAVID_576802B03900G -Heatsink, 14.48x12.7x19.05mm, TO-220/ TO-262, https://www.boydcorp.com/aavid-datasheets/Board-Level-Cooling-Plug-In-5768.pdf -heatsink -0 -1 -1 -Heatsink -Heatsink_AAVID_590302B03600G -Heatsink, 25.4x25.4x42.54mm, TO-220, https://www.boydcorp.com/aavid-datasheets/Board-Level-Cooling-Channel-5903.pdf -heatsink -0 -2 -2 -Heatsink -Heatsink_AAVID_TV5G_TO220_Horizontal -Heatsink TV5G TO-220 Horizontal, https://www.shopaavid.com/Product/TV-5G -Heatsink TV5G TO-220 Horizontal -0 -0 -0 -Heatsink -Heatsink_Fischer_FK224xx2201_25x8.3mm -25x8.3mm Heatsink, 18K/W, TO-220, https://www.fischerelektronik.de/web_fischer/en_GB/$catalogue/fischerData/PR/FK224_220_1_/datasheet.xhtml?branch=heatsinks -heatsink TO-220 -0 -2 -1 -Heatsink -Heatsink_Fischer_FK24413D2PAK_26x13mm -26x13 mm SMD heatsink for TO-252 TO-263 TO-268, https://www.fischerelektronik.de/pim/upload/fischerData/cadpdf/base/fk_244_13_d2_pak.pdf -heatsink TO-252 TO-263 TO-268 -0 -2 -1 -Heatsink -Heatsink_Fischer_FK24413DPAK_23x13mm -23x13 mm SMD heatsink for TO-252 TO-263 TO-268, https://www.fischerelektronik.de/pim/upload/fischerData/cadpdf/base/fk_244_13_d_pak.pdf -heatsink TO-252 TO-263 TO-268 -0 -2 -1 -Heatsink -Heatsink_Fischer_SK104-STC-STIC_35x13mm_2xDrill2.5mm -Heatsink, 35mm x 13mm, 2x Fixation 2,5mm Drill, Soldering, Fischer SK104-STC-STIC, -Heatsink fischer TO-220 -0 -2 -1 -Heatsink -Heatsink_Fischer_SK104-STCB_35x13mm__2xDrill3.5mm_ScrewM3 -Heatsink, 35mm x 13mm, 2x Fixation 2,5mm Drill, Soldering, Fischer SK104-STC-STIC, -Heatsink fischer TO-220 -0 -2 -1 -Heatsink -Heatsink_Fischer_SK129-STS_42x25mm_2xDrill2.5mm -Heatsink, Fischer SK129 -heatsink fischer -0 -2 -1 -Heatsink -Heatsink_SheetType_50x7mm_2Fixations -Heatsink, Sheet type, 50x7mm, 2 fixations (solder), -Heatsink sheet -0 -2 -1 -Heatsink -Heatsink_Stonecold_HS-130_30x12mm_2xFixation2.5mm -Heatsink, StoneCold HS, https://www.tme.eu/Document/da20d9b42617e16f6777c881dc9e3434/hs-130.pdf -heatsink -0 -2 -1 -Heatsink -Heatsink_Stonecold_HS-132_32x14mm_2xFixation1.5mm -Heatsink, StoneCold HS -heatsink -0 -2 -1 -Heatsink -Heatsink_Stonecold_HS-S01_13.21x6.35mm -Heatsink, Stonecold, HS, https://www.tme.eu/Document/f7f93f538b934e0b08e09747396fb95f/hs-s.pdf -heatsink -0 -0 -0 -Heatsink -Heatsink_Stonecold_HS-S02_13.21x9.53mm -Heatsink, Stonecold, HS, https://www.tme.eu/Document/f7f93f538b934e0b08e09747396fb95f/hs-s.pdf -heatsink -0 -0 -0 -Heatsink -Heatsink_Stonecold_HS-S03_13.21x12.7mm -Heatsink, Stonecold, HS, https://www.tme.eu/Document/f7f93f538b934e0b08e09747396fb95f/hs-s.pdf -heatsink -0 -0 -0 -Inductor_SMD -L_6.3x6.3_H3 -Choke, SMD, 6.3x6.3mm 3mm height -Choke SMD -0 -2 -2 -Inductor_SMD -L_7.3x7.3_H3.5 -Choke, SMD, 7.3x7.3mm 3.5mm height -Choke SMD -0 -2 -2 -Inductor_SMD -L_7.3x7.3_H4.5 -Choke, SMD, 7.3x7.3mm 4.5mm height -Choke SMD -0 -2 -2 -Inductor_SMD -L_10.4x10.4_H4.8 -Choke, SMD, 10.4x10.4mm 4.8mm height -Choke SMD -0 -2 -2 -Inductor_SMD -L_12x12mm_H4.5mm -Choke, SMD, 12x12mm 4.5mm height -Choke SMD -0 -2 -2 -Inductor_SMD -L_12x12mm_H6mm -Choke, SMD, 12x12mm 6mm height -Choke SMD -0 -2 -2 -Inductor_SMD -L_12x12mm_H8mm -Choke, SMD, 12x12mm 8mm height -Choke SMD -0 -2 -2 -Inductor_SMD -L_0201_0603Metric -Inductor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -inductor -0 -4 -2 -Inductor_SMD -L_0201_0603Metric_Pad0.64x0.40mm_HandSolder -Inductor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -4 -2 -Inductor_SMD -L_0402_1005Metric -Inductor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_0402_1005Metric_Pad0.77x0.64mm_HandSolder -Inductor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_0603_1608Metric -Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_0603_1608Metric_Pad1.05x0.95mm_HandSolder -Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_0805_2012Metric -Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_0805_2012Metric_Pad1.05x1.20mm_HandSolder -Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_0805_2012Metric_Pad1.15x1.40mm_HandSolder -Inductor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_01005_0402Metric -Inductor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator -inductor -0 -4 -2 -Inductor_SMD -L_01005_0402Metric_Pad0.57x0.30mm_HandSolder -Inductor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -4 -2 -Inductor_SMD -L_1008_2520Metric -Inductor SMD 1008 (2520 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://ecsxtal.com/store/pdf/ECS-MPI2520-SMD-POWER-INDUCTOR.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_1008_2520Metric_Pad1.43x2.20mm_HandSolder -Inductor SMD 1008 (2520 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://ecsxtal.com/store/pdf/ECS-MPI2520-SMD-POWER-INDUCTOR.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_1206_3216Metric -Inductor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_1206_3216Metric_Pad1.22x1.90mm_HandSolder -Inductor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_1206_3216Metric_Pad1.42x1.75mm_HandSolder -Inductor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_1210_3225Metric -Inductor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_1210_3225Metric_Pad1.42x2.65mm_HandSolder -Inductor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_1806_4516Metric -Inductor SMD 1806 (4516 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_1806_4516Metric_Pad1.45x1.90mm_HandSolder -Inductor SMD 1806 (4516 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 80, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_1812_4532Metric -Inductor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_1812_4532Metric_Pad1.30x3.40mm_HandSolder -Inductor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_2010_5025Metric -Inductor SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_2010_5025Metric_Pad1.52x2.65mm_HandSolder -Inductor SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_2512_6332Metric -Inductor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor -0 -2 -2 -Inductor_SMD -L_2512_6332Metric_Pad1.52x3.35mm_HandSolder -Inductor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -inductor handsolder -0 -2 -2 -Inductor_SMD -L_AVX_LMLP07A7 -Inductor, AVX Kyocera, LMLP Series, style D, 6.6mmx7.3mm, 3.0mm height. (Script generated with StandardBox.py) (https://datasheets.avx.com/LMLPD.pdf) -Inductor LMLP -0 -2 -2 -Inductor_SMD -L_Abracon_ASPI-0630LR -smd shielded power inductor https://abracon.com/Magnetics/power/ASPI-0630LR.pdf -inductor abracon smd shielded -0 -2 -2 -Inductor_SMD -L_Abracon_ASPI-3012S -smd shielded power inductor http://www.abracon.com/Magnetics/power/ASPI-3012S.pdf -inductor abracon smd shielded -0 -2 -2 -Inductor_SMD -L_Abracon_ASPI-4030S -smd shielded power inductor 4x4x3mm, Abracon ASPI-4030S, https://abracon.com/Magnetics/power/ASPI-4030S.pdf -inductor abracon smd shielded -0 -2 -2 -Inductor_SMD -L_Bourns-SRN1060 -Bourns SRN1060 series SMD inductor https://www.bourns.com/docs/Product-Datasheets/SRN1060.pdf -Bourns SRN1060 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns-SRN4018 -Bourns SRN4018 series SMD inductor, https://www.bourns.com/docs/Product-Datasheets/SRN4018.pdf -Bourns SRN4018 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns-SRN6028 -Bourns SRN6028 series SMD inductor -Bourns SRN6028 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns-SRN8040_8x8.15mm -Bourns SRN8040 series SMD inductor 8x8.15mm, https://www.bourns.com/docs/Product-Datasheets/SRN8040.pdf -Bourns SRN8040 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns-SRR1005 -Bourns SRR1005 series SMD inductor -Bourns SRR1005 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns-SRU1028_10.0x10.0mm -Bourns SRU1028 series SMD inductor, https://www.bourns.com/docs/Product-Datasheets/SRU1028.pdf -Bourns SRU1028 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns-SRU8028_8.0x8.0mm -Bourns SRU8028 series SMD inductor -Bourns SRU8028 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns-SRU8043 -Bourns SRU8043 series SMD inductor -Bourns SRU8043 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns_SDR1806 -https://www.bourns.com/docs/Product-Datasheets/SDR1806.pdf -Bourns SDR1806 -0 -2 -2 -Inductor_SMD -L_Bourns_SRF1260 -Inductor, Bourns, SRF1260, 12.5mmx12.5mm (Script generated with StandardBox.py) (https://www.bourns.com/docs/Product-Datasheets/SRF1260.pdf) -Inductor Bourns_SRF1260 -0 -4 -4 -Inductor_SMD -L_Bourns_SRN6045TA -http://www.bourns.com/docs/product-datasheets/srn6045ta.pdf -Semi-shielded Power Inductor -0 -2 -2 -Inductor_SMD -L_Bourns_SRN8040TA -https://www.bourns.com/docs/product-datasheets/srn8040ta.pdf -Inductor -0 -2 -2 -Inductor_SMD -L_Bourns_SRP1038C_10.0x10.0mm -Bourns SRP1038C series SMD inductor http://www.bourns.com/docs/Product-Datasheets/SRP1038C.pdf -Bourns SRP1038C SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns_SRP1245A -Bourns SRP1245A series SMD inductor http://www.bourns.com/docs/Product-Datasheets/SRP1245A.pdf -Bourns SRP1245A SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns_SRP1770TA_16.9x16.9mm -Bourns SRP1770TA series SMD Inductor http://www.bourns.com/docs/Product-Datasheets/SRP1770TA.pdf -Bourns SRP1770TA series SMD Inductor -0 -2 -2 -Inductor_SMD -L_Bourns_SRP2313AA -Bourns SRR1260 series SMD inductor http://www.bourns.com/docs/product-datasheets/srp2313aa.pdf -Bourns SRR1260 SMD inductor -0 -4 -2 -Inductor_SMD -L_Bourns_SRP5030T -Inductor, Bourns, SRP5030T, 5.7mmx5.2mm (Script generated with StandardBox.py) (https://www.bourns.com/data/global/pdfs/SRP5030T.pdf) -Inductor Bourns_SRP5030T -0 -2 -2 -Inductor_SMD -L_Bourns_SRP7028A_7.3x6.6mm -Shielded Power Inductors (https://www.bourns.com/docs/product-datasheets/srp7028a.pdf) -Shielded Inductors Bourns SMD SRP7028A -0 -2 -2 -Inductor_SMD -L_Bourns_SRR1208_12.7x12.7mm -Bourns SRP1208 series SMD inductor https://www.bourns.com/pdfs/SRR1208.pdf -Bourns SRP1208 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns_SRR1210A -Bourns SRR1210A series SMD inductor https://www.bourns.com/docs/Product-Datasheets/SRR1210A.pdf -Bourns SRR1210A SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns_SRR1260 -Bourns SRR1260 series SMD inductor http://www.bourns.com/docs/Product-Datasheets/SRR1260.pdf -Bourns SRR1260 SMD inductor -0 -2 -2 -Inductor_SMD -L_Bourns_SRU5016_5.2x5.2mm -Bourns SRU5016 series shielded SMD power inductor, 1.6mm height https://www.bourns.com/docs/product-datasheets/sru5016.pdf -Bourns SRU5016 SMD inductor -0 -4 -3 -Inductor_SMD -L_Cenker_CKCS3012 -Inductor, Cenker, CKCS3012, 3.0x3.0x1.3mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS3015 -Inductor, Cenker, CKCS3015, 3.0x3.0x1.7mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS4018 -Inductor, Cenker, CKCS4018, 4.0x4.0x1.8mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS4020 -Inductor, Cenker, CKCS4020, 4.0x4.0x2.0mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS4030 -Inductor, Cenker, CKCS4030, 4.0x4.0x3.0mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS5020 -Inductor, Cenker, CKCS5020, 5.0x5.0x2.1mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS5040 -Inductor, Cenker, CKCS5040, 5.0x5.0x4.0mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS6020 -Inductor, Cenker, CKCS6020, 6.0x6.0x2.1mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS6028 -Inductor, Cenker, CKCS6028, 6.0x6.0x3.0mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS6045 -Inductor, Cenker, CKCS6045, 6.0x6.0x4.7mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS8040 -Inductor, Cenker, CKCS8040, 8.0x8.0x4.2mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS8060 -Inductor, Cenker, CKCS8060, 8.0x8.0x6.2mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS8080 -Inductor, Cenker, CKCS8080, 8.0x8.0x8.0mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS201610 -Inductor, Cenker, CKCS201610, 2.0x1.6x1.05mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS252010 -Inductor, Cenker, CKCS252010, 2.5x2.0x1.05mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Cenker_CKCS252012 -Inductor, Cenker, CKCS252012, 2.5x2.0x1.25mm, https://www.ckcoil.com/file/upload/spae532/2023-07/11/202307110955366446.pdf -Inductor ckcs -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR3010S -Inductor, Changjiang, FNR3010S, 3.0x3.0x1.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR3012S -Inductor, Changjiang, FNR3012S, 3.0x3.0x1.2mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR3015S -Inductor, Changjiang, FNR3015S, 3.0x3.0x1.5mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR3021S -Inductor, Changjiang, FNR3021S, 3.0x3.0x2.35mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR4010S -Inductor, Changjiang, FNR4010S, 4.0x4.0x1.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR4012S -Inductor, Changjiang, FNR4012S, 4.0x4.0x1.2mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR4015S -Inductor, Changjiang, FNR4015S, 4.0x4.0x1.65mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR4018S -Inductor, Changjiang, FNR4018S, 4.0x4.0x1.8mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR4020S -Inductor, Changjiang, FNR4020S, 4.0x4.0x2.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR4026S -Inductor, Changjiang, FNR4026S, 4.0x4.0x2.6mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR4030S -Inductor, Changjiang, FNR4030S, 4.0x4.0x3.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR5012S -Inductor, Changjiang, FNR5012S, 5.0x5.0x1.2mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR5015S -Inductor, Changjiang, FNR5015S, 5.0x5.0x1.5mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR5020S -Inductor, Changjiang, FNR5020S, 5.0x5.0x2.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR5030S -Inductor, Changjiang, FNR5030S, 5.0x5.0x3.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR5040S -Inductor, Changjiang, FNR5040S, 5.0x5.0x4.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR5045S -Inductor, Changjiang, FNR5045S, 5.0x5.0x4.5mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR6020S -Inductor, Changjiang, FNR6020S, 6.0x6.0x2.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR6028S -Inductor, Changjiang, FNR6028S, 6.0x6.0x2.8mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR6040S -Inductor, Changjiang, FNR6040S, 6.0x6.0x4.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR6045S -Inductor, Changjiang, FNR6045S, 6.0x6.0x4.5mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR8040S -Inductor, Changjiang, FNR8040S, 8.0x8.0x4.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR8050S -Inductor, Changjiang, FNR8050S, 8.0x8.0x5.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR8065S -Inductor, Changjiang, FNR8065S, 8.0x8.0x6.5mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR252010S -Inductor, Changjiang, FNR252010S, 2.5x2.0x1.0mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Changjiang_FNR252012S -Inductor, Changjiang, FNR252012S, 2.5x2.0x1.2mm, https://datasheet.lcsc.com/lcsc/1806131217_cjiang-Changjiang-Microelectronics-Tech-FNR5040S3R3NT_C167960.pdf -Inductor wirewound power shielded -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRA00040415 -Inductor, Chilisin, BMRA00040415, 4.6x4.1x1.5mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRA00040420 -Inductor, Chilisin, BMRA00040420, 4.6x4.1x2.0mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRA00050520 -Inductor, Chilisin, BMRA00050520, 5.7x5.4x1.8mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRA00050530 -Inductor, Chilisin, BMRA00050530, 5.7x5.4x3.0mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRB00050512 -Inductor, Chilisin, BMRB00050512, 5.7x5.4x1.2mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRB00050518 -Inductor, Chilisin, BMRB00050518, 5.7x5.4x1.8mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRB00050518-B -Inductor, Chilisin, BMRB00050518-B, 5.4x5.2x1.6mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRB00060612 -Inductor, Chilisin, BMRB00060612, 7.3x6.6x1.2mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRB00060618 -Inductor, Chilisin, BMRB00060618, 7.3x6.6x1.6mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRB00060624 -Inductor, Chilisin, BMRB00060624, 7.3x6.6x2.4mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRB00060650 -Inductor, Chilisin, BMRB00060650, 7.3x6.6x5.0mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRF00101040 -Inductor, Chilisin, BMRF00101040, 11.6x10.1x4.0mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRF00131350 -Inductor, Chilisin, BMRF00131350, 13.8x12.6x5.0mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRF00131360 -Inductor, Chilisin, BMRF00131360, 13.8x12.6x5.8mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRF00171770 -Inductor, Chilisin, BMRF00171770, 17.15x17.15x6.8mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRG00101030 -Inductor, Chilisin, BMRG00101030, 11.6x10.1x3.0mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRG00131360 -Inductor, Chilisin, BMRG00131360, 13.8x12.6x6.0mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRx00040412 -Inductor, Chilisin, BMRx00040412, 4.6x4.1x1.2mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRx00050512-B -Inductor, Chilisin, BMRx00050512-B, 5.4x5.2x1.2mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRx00050515 -Inductor, Chilisin, BMRx00050515, 5.7x5.4x1.5mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRx00060615 -Inductor, Chilisin, BMRx00060615, 7.3x6.6x1.3mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Chilisin_BMRx00060630 -Inductor, Chilisin, BMRx00060630, 7.3x6.6x3.0mm, https://www.chilisin.com/upload/media/product/power/file/BMRx_Series.pdf -Inductor bmrx mchi -0 -2 -2 -Inductor_SMD -L_Coilcraft_LPS3010 -Shielded Power Inductor SMD 3x3x1mm, Coilcraft LPS3010, https://www.coilcraft.com/pdfs/lps3010.pdf -inductor low profile -0 -2 -2 -Inductor_SMD -L_Coilcraft_LPS3314 -SMD Inductor, 3.3x3.3x1.4mm, Coilcraft LPS3314, https://www.coilcraft.com/pdfs/lps3314.pdf -L Coilcraft LPS3314 -0 -2 -2 -Inductor_SMD -L_Coilcraft_LPS4018 -SMD Inductor Coilcraft LPS4018 https://www.coilcraft.com/pdfs/lps4018.pdf -L Coilcraft LPS4018 -0 -2 -2 -Inductor_SMD -L_Coilcraft_LPS5030 -Shielded Power Inductor SMD, Coilcraft LPS5030, https://www.coilcraft.com/pdfs/lps5030.pdf, StepUp generated footprint -inductor -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1010-XXX -Inductor, Coilcraft, XAL1010-XXX, 10.5x11.8x10.0mm, https://www.coilcraft.com/getmedia/dd74e670-e705-456a-9a69-585fe02eaf3c/xal1010.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1030-XXX -Inductor, Coilcraft, XAL1030-XXX, 10.5x11.8x3.1mm, https://www.coilcraft.com/getmedia/7b108457-7731-456d-9256-ca72f2e1a551/xal1030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1060-XXX -Inductor, Coilcraft, XAL1060-XXX, 10.5x11.8x6.0mm, https://www.coilcraft.com/getmedia/8909f858-b441-4d60-acff-8b8ca36f9ede/xal1060.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1350-XXX -Inductor, Coilcraft, XAL1350-XXX, 13.2x14.2x5.0mm, https://www.coilcraft.com/getmedia/dc536f86-3a3b-454f-950e-8e153260e61c/xal1350.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1510-103 -Inductor, Coilcraft, XAL1510-103, 15.4x16.4x10.0mm, https://www.coilcraft.com/getmedia/cd1cef27-13f0-4568-8894-f7311475209b/xal1510.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1510-153 -Inductor, Coilcraft, XAL1510-153, 15.4x16.4x10.0mm, https://www.coilcraft.com/getmedia/cd1cef27-13f0-4568-8894-f7311475209b/xal1510.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1510-223 -Inductor, Coilcraft, XAL1510-223, 15.4x16.4x10.0mm, https://www.coilcraft.com/getmedia/cd1cef27-13f0-4568-8894-f7311475209b/xal1510.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1510-333 -Inductor, Coilcraft, XAL1510-333, 15.4x16.4x11.0mm, https://www.coilcraft.com/getmedia/cd1cef27-13f0-4568-8894-f7311475209b/xal1510.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1510-472 -Inductor, Coilcraft, XAL1510-472, 15.4x16.4x10.0mm, https://www.coilcraft.com/getmedia/cd1cef27-13f0-4568-8894-f7311475209b/xal1510.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1510-682 -Inductor, Coilcraft, XAL1510-682, 15.4x16.4x10.0mm, https://www.coilcraft.com/getmedia/cd1cef27-13f0-4568-8894-f7311475209b/xal1510.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1510-822 -Inductor, Coilcraft, XAL1510-822, 15.4x16.4x10.0mm, https://www.coilcraft.com/getmedia/cd1cef27-13f0-4568-8894-f7311475209b/xal1510.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1513-153 -Inductor, Coilcraft, XAL1513-153, 15.4x16.4x13.0mm, https://www.coilcraft.com/getmedia/129ad6f3-0445-47fd-a0b3-edeb49177c17/xal1513.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-102 -Inductor, Coilcraft, XAL1580-102, 15.4x16.4x8.2mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-132 -Inductor, Coilcraft, XAL1580-132, 15.4x16.4x8.0mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-182 -Inductor, Coilcraft, XAL1580-182, 15.4x16.4x8.0mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-202 -Inductor, Coilcraft, XAL1580-202, 15.4x16.4x8.0mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-302 -Inductor, Coilcraft, XAL1580-302, 15.4x16.4x8.0mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-401 -Inductor, Coilcraft, XAL1580-401, 15.4x16.4x8.2mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-452 -Inductor, Coilcraft, XAL1580-452, 15.4x16.4x8.0mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-532 -Inductor, Coilcraft, XAL1580-532, 15.4x16.4x8.0mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-612 -Inductor, Coilcraft, XAL1580-612, 15.4x16.4x8.0mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL1580-741 -Inductor, Coilcraft, XAL1580-741, 15.4x16.4x8.2mm, https://www.coilcraft.com/getmedia/7fdfd306-5217-4ddc-b6b7-a2659ceeb6e3/xal1580.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL4020-XXX -Inductor, Coilcraft, XAL4020-XXX, 4.3x4.3x2.1mm, https://www.coilcraft.com/getmedia/6adcb47d-8b55-416c-976e-1e22e0d2848c/xal4000.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL4030-XXX -Inductor, Coilcraft, XAL4030-XXX, 4.3x4.3x3.1mm, https://www.coilcraft.com/getmedia/6adcb47d-8b55-416c-976e-1e22e0d2848c/xal4000.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL4040-XXX -Inductor, Coilcraft, XAL4040-XXX, 4.3x4.3x4.1mm, https://www.coilcraft.com/getmedia/6adcb47d-8b55-416c-976e-1e22e0d2848c/xal4000.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL5020-XXX -Inductor, Coilcraft, XAL5020-XXX, 5.48x5.68x2.0mm, https://www.coilcraft.com/getmedia/1941eff1-c018-493c-8cd6-d88d2edf5029/xal5020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL5030-XXX -Inductor, Coilcraft, XAL5030-XXX, 5.48x5.68x3.1mm, https://www.coilcraft.com/getmedia/49bc46c8-4b2c-45b9-9b6c-2eaa235ea698/xal50xx.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL5050-XXX -Inductor, Coilcraft, XAL5050-XXX, 5.48x5.68x5.1mm, https://www.coilcraft.com/getmedia/49bc46c8-4b2c-45b9-9b6c-2eaa235ea698/xal50xx.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL6020-XXX -Inductor, Coilcraft, XAL6020-XXX, 6.56x6.76x2.1mm, https://www.coilcraft.com/getmedia/467ff589-8942-4e57-92d0-5bef6e04ce09/xal6020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL6030-XXX -Inductor, Coilcraft, XAL6030-XXX, 6.56x6.76x3.1mm, https://www.coilcraft.com/getmedia/ea51f14b-7f32-4dc6-8dfe-d4b70549040f/xal60xx.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL6060-XXX -Inductor, Coilcraft, XAL6060-XXX, 6.56x6.76x6.1mm, https://www.coilcraft.com/getmedia/ea51f14b-7f32-4dc6-8dfe-d4b70549040f/xal60xx.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7020-102 -Inductor, Coilcraft, XAL7020-102, 8.0x8.0x2.0mm, https://www.coilcraft.com/getmedia/0197e98c-67f7-4375-9e38-14d7376a46f3/xal7020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7020-122 -Inductor, Coilcraft, XAL7020-122, 8.0x8.0x2.0mm, https://www.coilcraft.com/getmedia/0197e98c-67f7-4375-9e38-14d7376a46f3/xal7020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7020-151 -Inductor, Coilcraft, XAL7020-151, 8.0x8.0x2.05mm, https://www.coilcraft.com/getmedia/0197e98c-67f7-4375-9e38-14d7376a46f3/xal7020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7020-152 -Inductor, Coilcraft, XAL7020-152, 8.0x8.0x2.0mm, https://www.coilcraft.com/getmedia/0197e98c-67f7-4375-9e38-14d7376a46f3/xal7020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7020-222 -Inductor, Coilcraft, XAL7020-222, 8.0x8.0x2.0mm, https://www.coilcraft.com/getmedia/0197e98c-67f7-4375-9e38-14d7376a46f3/xal7020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7020-271 -Inductor, Coilcraft, XAL7020-271, 8.0x8.0x2.05mm, https://www.coilcraft.com/getmedia/0197e98c-67f7-4375-9e38-14d7376a46f3/xal7020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7020-331 -Inductor, Coilcraft, XAL7020-331, 8.0x8.0x2.0mm, https://www.coilcraft.com/getmedia/0197e98c-67f7-4375-9e38-14d7376a46f3/xal7020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7020-471 -Inductor, Coilcraft, XAL7020-471, 8.0x8.0x2.0mm, https://www.coilcraft.com/getmedia/0197e98c-67f7-4375-9e38-14d7376a46f3/xal7020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7020-681 -Inductor, Coilcraft, XAL7020-681, 8.0x8.0x2.0mm, https://www.coilcraft.com/getmedia/0197e98c-67f7-4375-9e38-14d7376a46f3/xal7020.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-102 -Inductor, Coilcraft, XAL7030-102, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-103 -Inductor, Coilcraft, XAL7030-103, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-152 -Inductor, Coilcraft, XAL7030-152, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-161 -Inductor, Coilcraft, XAL7030-161, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-222 -Inductor, Coilcraft, XAL7030-222, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-272 -Inductor, Coilcraft, XAL7030-272, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-301 -Inductor, Coilcraft, XAL7030-301, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-332 -Inductor, Coilcraft, XAL7030-332, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-472 -Inductor, Coilcraft, XAL7030-472, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-562 -Inductor, Coilcraft, XAL7030-562, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-601 -Inductor, Coilcraft, XAL7030-601, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-682 -Inductor, Coilcraft, XAL7030-682, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7030-822 -Inductor, Coilcraft, XAL7030-822, 8.0x8.0x3.1mm, https://www.coilcraft.com/getmedia/0d05a05e-d55d-4a0c-911d-46bd73686633/xal7030.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7050-XXX -Inductor, Coilcraft, XAL7050-XXX, 7.7x8.0x5.0mm, https://www.coilcraft.com/getmedia/13a991b3-4273-4be3-81ba-f3cf372b4691/xal7050.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL7070-XXX -Inductor, Coilcraft, XAL7070-XXX, 7.7x8.0x7.0mm, https://www.coilcraft.com/getmedia/1ba55433-bcc8-4838-9b21-382f497e12e0/xal7070.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL8050-223 -Inductor, Coilcraft, XAL8050-223, 8.3x8.8x5.0mm, https://www.coilcraft.com/getmedia/5885ede8-ea4f-464a-9dcb-18dbf143a845/xal8050.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XAL8080-XXX -Inductor, Coilcraft, XAL8080-XXX, 8.3x8.8x8.0mm, https://www.coilcraft.com/getmedia/345e50d6-a804-4ecb-9a92-5185221faf3e/xal8080.pdf -Inductor xal -0 -2 -2 -Inductor_SMD -L_Coilcraft_XFL2010 -Coilcraft XFL2010, Shielded power inductor, 0808, 1.9x2.0mm, https://www.coilcraft.com/getmedia/50382b97-998f-4b75-b5ee-4a93b0ac4411/xfl2010.pdf -miniature -0 -2 -2 -Inductor_SMD -L_Coilcraft_XxL4020 -L_Coilcraft_XxL4020 https://www.coilcraft.com/pdfs/xfl4020.pdf -L Coilcraft XxL4020 -0 -2 -2 -Inductor_SMD -L_Coilcraft_XxL4030 -L_Coilcraft_XxL4030 https://www.coilcraft.com/pdfs/xfl4030.pdf -L Coilcraft XxL4030 -0 -2 -2 -Inductor_SMD -L_Coilcraft_XxL4040 -L_Coilcraft_XxL4040 https://www.coilcraft.com/pdfs/xal4000.pdf -L Coilcraft XxL4040 -0 -2 -2 -Inductor_SMD -L_CommonModeChoke_Coilcraft_0603USB -Coilcraft 0603USB Series Common Mode Choke, https://www.coilcraft.com/pdfs/0603usb.pdf -surface mount common mode bead -0 -4 -4 -Inductor_SMD -L_CommonModeChoke_Coilcraft_0805USB -Coilcraft 0805USB Series Common Mode Choke, https://www.coilcraft.com/pdfs/0805usb.pdf -surface mount common mode bead -0 -4 -4 -Inductor_SMD -L_CommonModeChoke_Coilcraft_1812CAN -Coilcraft 1812CAN Series Common Mode Choke, https://www.coilcraft.com/pdfs/1812can.pdf -surface mount common mode bead -0 -4 -4 -Inductor_SMD -L_CommonModeChoke_Murata_DLW5BTMxxxSQ2x_5x5mm -Wire Wound Chip Common Mode Choke Coil SMD, Murata DLW5BTxxxSQ2x, https://www.murata.com/products/productdata/8796762701854/EFLC0020.pdf, manual footprint -inductor common mode choke -0 -4 -4 -Inductor_SMD -L_CommonModeChoke_TDK_ACM2520-2P -TDK ACM2520 common-mode filter, SMD, 2x2.5mm, height 1.2mm https://product.tdk.com/system/files/dam/doc/product/emc/emc/cmf_cmc/catalog/cmf_commercial_signal_acm2520_en.pdf -ACM2520 common-mode filter 4 pin -0 -4 -4 -Inductor_SMD -L_CommonModeChoke_TDK_ACM2520-3P -TDK ACM2520 common-mode filter, SMD, 2x2.5mm, height 1.2mm https://product.tdk.com/system/files/dam/doc/product/emc/emc/cmf_cmc/catalog/cmf_commercial_signal_acm2520_en.pdf -ACM2520 common-mode filter 6 pin -0 -6 -6 -Inductor_SMD -L_CommonModeChoke_TDK_ACM7060 -ACM7060 SMT Common Mode Filter, https://product.tdk.com/system/files/dam/doc/product/emc/emc/cmf_cmc/catalog/cmf_commercial_power_acm7060_en.pdf -SMT Common Mode Line Filter -0 -4 -4 -Inductor_SMD -L_CommonModeChoke_Wuerth_WE-SL5 -WE-SL5 SMT Common Mode Line Filter, 9.5x8.3mm, height 5mm, https://www.we-online.com/components/products/datasheet/744273222.pdf -SMT Common Mode Line Filter -0 -4 -4 -Inductor_SMD -L_CommonMode_Delevan_4222 -API Delevan, Surface Mount Common Mode Bead, 4222 4222R, http://www.delevan.com/seriesPDFs/4222.pdf -surface mount common mode bead -0 -4 -4 -Inductor_SMD -L_CommonMode_Wuerth_WE-SL2 -http://katalog.we-online.de/en/pbs/WE-SL2?sid=5fbec16187#vs_t1:c1_ct:1 -Wuerth WE-SL2 -0 -4 -4 -Inductor_SMD -L_CommonMode_Wurth_WE-CNSW-1206 -WE-CNSW SMT Common Mode Line Filter, https://www.we-online.com/components/products/datasheet/744232090.pdf -common mode choke 1206 cnsw -0 -4 -4 -Inductor_SMD -L_Eaton_MCL2012V1 -Inductor, Eaton, MCL2012V1, 2.0x1.2x0.9mm, https://eu.mouser.com/datasheet/2/87/eaton-mcl2012v1-multilayer-chip-inductor-data-shee-1622891.pdf -Inductor ferrite multilayer power -0 -2 -2 -Inductor_SMD -L_Fastron_PISN -Choke, Drossel, PISN, SMD, Fastron, -Choke Drossel PISN SMD Fastron -0 -2 -2 -Inductor_SMD -L_Fastron_PISN_Handsoldering -Choke, Drossel, PISN, SMD, Fastron, -Choke Drossel PISN SMD Fastron -0 -2 -2 -Inductor_SMD -L_Fastron_PISR -Choke, Drossel, PISR, Fastron, SMD, -Choke Drossel PISR Fastron SMD -0 -2 -2 -Inductor_SMD -L_Fastron_PISR_Handsoldering -Choke, Drossel, PISR, Fastron, SMD, -Choke Drossel PISR Fastron SMD -0 -2 -2 -Inductor_SMD -L_Ferrocore_DLG-0302 -Ferrocore DLG-0302 unshielded SMD power inductor, 3.0x2.8x2.5mm, https://www.tme.eu/Document/bda580f72a60a2225c2f6576c2740ae1/dlg-0504.pdf -smd inductor dlg-0302 -0 -2 -2 -Inductor_SMD -L_Ferrocore_DLG-0403 - Ferrocore DLG-0403 unshielded SMD power inductor, 4.5x4.0x3.2mm, https://www.tme.eu/Document/bda580f72a60a2225c2f6576c2740ae1/dlg-0504.pdf -smd inductor dlg-0403 -0 -2 -2 -Inductor_SMD -L_Ferrocore_DLG-0504 -Ferrocore DLG-0504 unshielded SMD power inductor, 5.8x5.2x4.5mm, https://www.tme.eu/Document/bda580f72a60a2225c2f6576c2740ae1/dlg-0504.pdf -smd inductor dlg-0504 -0 -2 -2 -Inductor_SMD -L_Ferrocore_DLG-0703 -Ferrocore DLG-0703 unshielded SMD power inductor, 7.8x7.0x3.5mm, https://www.tme.eu/Document/bda580f72a60a2225c2f6576c2740ae1/dlg-0504.pdf -smd inductor dlg-0703 -0 -2 -2 -Inductor_SMD -L_Ferrocore_DLG-0705 -Ferrocore DLG-0705 unshielded SMD power inductor, 7.8x7.0x5.0mm, https://www.tme.eu/Document/bda580f72a60a2225c2f6576c2740ae1/dlg-0504.pdf -smd inductor dlg-0705 -0 -2 -2 -Inductor_SMD -L_Ferrocore_DLG-1004 -Ferrocore DLG-1004 unshielded SMD power inductor, 10.0x9.0x4.0mm, https://www.tme.eu/Document/bda580f72a60a2225c2f6576c2740ae1/dlg-0504.pdf -smd inductor dlg-1004 -0 -2 -2 -Inductor_SMD -L_Ferrocore_DLG-1005 -Ferrocore DLG-1005 unshielded SMD power inductor, 10.0x9.0x5.4mm, https://www.tme.eu/Document/bda580f72a60a2225c2f6576c2740ae1/dlg-0504.pdf -smd inductor dlg-1005 -0 -2 -2 -Inductor_SMD -L_Murata_DEM35xxC -https://www.murata.com/~/media/webrenewal/products/inductor/chip/tokoproducts/wirewoundferritetypeforpl/m_dem3518c.ashx -Inductor SMD DEM35xxC -0 -2 -2 -Inductor_SMD -L_Murata_DFE201610P -Inductor, Murata, DFE201610P, 2.0x1.6x1.0mm, https://www.murata.com/~/media/webrenewal/products/inductor/chip/tokoproducts/wirewoundmetalalloychiptype/m_dfe201610p.ashx -Inductor power chip flatwire -0 -2 -2 -Inductor_SMD -L_Murata_LQH2MCNxxxx02_2.0x1.6mm -Inductor, Murata, LQH2MCN_02 series, 1.6x2.0x0.9mm (https://search.murata.co.jp/Ceramy/image/img/P02/JELF243A-0053.pdf) -chip coil inductor Murata LQH2MC -0 -2 -2 -Inductor_SMD -L_Murata_LQH55DN_5.7x5.0mm -Inductor, SMD, 5.7x5.0x4.7mm, https://search.murata.co.jp/Ceramy/image/img/P02/JELF243A-0045.pdf -inductor smd -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_1turn_HDM0131A -Neosid, Air-Coil, SML, 1turn, HDM0131A, -Neosid Air-Coil SML 1turn HDM0131A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_2turn_HAM0231A -Neosid, Air-Coil, SML, 2turn, HAM0231A, -Neosid Air-Coil SML 2turn HAM0231A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_2turn_HDM0231A -Neosid, Air-Coil, SML, 2turn, HDM0231A, -Neosid Air-Coil SML 2turn HDM0231A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_3turn_HAM0331A -Neosid, Air-Coil, SML, 2turn, HAM0331A, -Neosid Air-Coil SML 3turn HAM0331A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_3turn_HDM0331A -Neosid, Air-Coil, SML, 3turn, HDM0331A, -Neosid Air-Coil SML 3turn HDM0331A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_4turn_HAM0431A -Neosid, Air-Coil, SML, 4turn, HAM0431A, -Neosid Air-Coil SML 4turn HAM0431A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_4turn_HDM0431A -Neosid, Air-Coil, SML, 4turn, HDM0431A, -Neosid Air-Coil SML 4turn HDM0431A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_5turn_HAM0531A -Neosid, Air-Coil, SML, 5turn, HAM0531A, -Neosid Air-Coil SML 5turn HAM0531A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_5turn_HDM0531A -Neosid, Air-Coil, SML, 5turn, HDM0531A, -Neosid Air-Coil SML 5turn HDM0531A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_6-10turn_HAM0631A-HAM1031A -Neosid, Air-Coil, SML, 6-10turn, HAM0631A-HAM1031A, -Neosid Air-Coil SML 6-10turn HAM0631A-HAM1031A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_6-10turn_HDM0431A-HDM1031A -Neosid, Air-Coil, SML, 6-10turn, HDM0431A-HDM1031A, -Neosid Air-Coil SML 6-10turn HDM0431A-HDM1031A -0 -2 -2 -Inductor_SMD -L_Neosid_Air-Coil_SML_6turn_HAM0631A -Neosid, Air-Coil, SML, 6turn, HAM0631A, -Neosid Air-Coil SML 6turn HAM0631A -0 -2 -2 -Inductor_SMD -L_Neosid_MicroCoil_Ms36-L -Neosid, Micro Coil, Inductor, Ms36-L, SMD, Fixed inductor, anti clockwise, https://neosid.de/en/products/inductors/rod-core-chokes/smd-rod-core-chokes/52026/ms-36/7-h?c=94 -Neosid Micro Coil Inductor Ms36-L SMD Fixed inductor anti clockwise -0 -2 -2 -Inductor_SMD -L_Neosid_Ms42 -Neosid, Inductor, SMs42, Fixed inductor, SMD, magneticaly shielded, https://neosid.de/import-data/product-pdf/neoFestind_Ms42.pdf -Neosid Inductor SMs42 Fixed inductor SMD magneticaly shielded -0 -2 -2 -Inductor_SMD -L_Neosid_Ms50 -Neosid, Power Inductor, Ms50, SMD, Fixed inductor, https://neosid.de/import-data/product-pdf/neoFestind_Ms50.pdf -Neosid Power Inductor Ms50 SMD Fixed inductor -0 -2 -2 -Inductor_SMD -L_Neosid_Ms50T -Neosid, Power Inductor, Ms50T, SMD, Fixed inductor, high temperature, https://neosid.de/import-data/product-pdf/neoFestind_Ms50T.pdf -Neosid Power Inductor Ms50T SMD Fixed inductor high temperature -0 -2 -2 -Inductor_SMD -L_Neosid_Ms85 -Neosid, Ms85, Ms85T, SMD Inductor, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_Ms85.pdf -Neosid Ms85 Ms85T SMD Inductor Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_Ms85T -Neosid, Ms85, Ms85T, SMD Inductor, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_Ms85T.pdf -Neosid Ms85 Ms85T SMD Inductor Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_Ms95 -Neosid,Inductor,Ms95, Ms95a, Ms95T, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_Ms95.pdf -NeosidInductorMs95 Ms95a Ms95T Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_Ms95T -Neosid,Inductor,Ms95, Ms95a, Ms95T, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_Ms95T.pdf -NeosidInductorMs95 Ms95a Ms95T Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_Ms95a -Neosid,Inductor,Ms95, Ms95a, Ms95T, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_Ms95a.pdf -NeosidInductorMs95 Ms95a Ms95T Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_SM-NE95H -Neosid, Inductor,SM-NE95H, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_SMNE95H.pdf -Neosid Inductor SM-NE95H Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_SM-NE127 -Neosid, Inductor, SM-NE127, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_SMNE127.pdf -Neosid Inductor SM-NE127 Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_SM-NE127_HandSoldering -Neosid, Inductor, SM-NE127, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_SMNE127.pdf -Neosid Inductor SM-NE127 Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_SM-NE150 -Neosid, Inductor, SM-NE150, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_SMNE150.pdf -Neosid Inductor SM-NE150 Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_SM-PIC0512H -Neosid, Inductor, PIC0512H, Power Inductor, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_SMPIC0512H.pdf -Neosid Inductor PIC0512H Power Inductor Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_SM-PIC0602H -Neosid, Power Inductor, SM-PIC0602H, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_SMPIC0602H.pdf -Neosid Power Inductor SM-PIC0602H Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_SM-PIC0612H -Neosid, Power Inductor, SM-PIC0612H, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_SMPIC0612H.pdf -Neosid Power Inductor SM-PIC0612H Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_SM-PIC1004H -Neosid, Inductor, SM-PIC1004H, Fixed inductor, SMD, https://neosid.de/import-data/product-pdf/neoFestind_SMPIC1004H.pdf -Neosid Inductor SM-PIC1004H Fixed inductor SMD -0 -2 -2 -Inductor_SMD -L_Neosid_SMS-ME3010 -Neosid, Inductor, SMS-ME3010, Fixed inductor, SMD, magnetically shielded, https://neosid.de/import-data/product-pdf/neoFestind_SMSME3010.pdf -Neosid Inductor SMS-ME3010 Fixed inductor SMD magnetically shielded -0 -2 -2 -Inductor_SMD -L_Neosid_SMS-ME3015 -Neosid, Power Inductor, SMS-ME3015, Fixed inductor, SMD, magnetically shielded, https://neosid.de/import-data/product-pdf/neoFestind_SMSME3015.pdf -Neosid Power Inductor SMS-ME3015 Fixed inductor SMD magnetically shielded -0 -2 -2 -Inductor_SMD -L_Neosid_SMs42 -Neosid, Inductor, SMs42, Fixed inductor, SMD, magneticaly shielded, https://neosid.de/import-data/product-pdf/neoFestind_ma_SMs42.pdf -Neosid Inductor SMs42 Fixed inductor SMD magneticaly shielded -0 -2 -2 -Inductor_SMD -L_Neosid_SMs50 -Neosid, Inductor, SMs50, Fixed inductor, SMD, magneticaly shielded, https://neosid.de/import-data/product-pdf/neoFestind_ma_SMs50.pdf -Neosid Inductor SMs50 Fixed inductor SMD magneticaly shielded -0 -2 -2 -Inductor_SMD -L_Neosid_SMs85 -Neosid, Inductor, SMs85, Fixed inductor, SMD, magnetically shielded, https://neosid.de/import-data/product-pdf/neoFestind_ma_SMs85.pdf -Neosid Inductor SMs85 Fixed inductor SMD magnetically shielded -0 -2 -2 -Inductor_SMD -L_Neosid_SMs95_SMs95p -Neosid, Inductor, SMs95, Fixed inductor, SMD, magnetically shielded, https://neosid.de/import-data/product-pdf/neoFestind_SMs95SMs95p.pdf -Neosid Inductor SMs95 Fixed inductor SMD magnetically shielded -0 -2 -2 -Inductor_SMD -L_Pulse_P059x -1:1, Power Inductor, https://productfinder.pulseelectronics.com/api/open/product-attachments/datasheet/p0599nl -inductor coil choke -0 -4 -4 -Inductor_SMD -L_Pulse_PA4320 -Inductor SMD Pulse PA4320 http://productfinder.pulseeng.com/products/datasheets/P787.pdf -Inductor SMD Pulse PA4320 -0 -2 -2 -Inductor_SMD -L_Pulse_PA4344 -Pulse PA4344 / PM4344 Series SMD Inductors https://productfinder.pulseelectronics.com/api/public/uploads/product-attachments/datasheet_p771_1608107700.pdf -Pulse PA4344 PM4344 Series SMD Inductors -0 -2 -2 -Inductor_SMD -L_Pulse_PA4349 -Shielded Molded High Current Power Inductors 23.5x22mm, https://productfinder.pulseelectronics.com/api/open/product-attachments/datasheet/pa4349.104anlt -Shielded Molded High Current Power Inductor -0 -2 -2 -Inductor_SMD -L_Sagami_CER1242B -Inductor, Sagami, h=4.5mm, http://www.sagami-elec.co.jp/file/CER1242B-CER1257B-CER1277B.pdf -inductor sagami cer12xxb smd -0 -2 -2 -Inductor_SMD -L_Sagami_CER1257B -Inductor, Sagami, h=6.0mm, http://www.sagami-elec.co.jp/file/CER1242B-CER1257B-CER1277B.pdf -inductor sagami cer12xxb smd -0 -2 -2 -Inductor_SMD -L_Sagami_CER1277B -Inductor, Sagami, h=8.0mm, http://www.sagami-elec.co.jp/file/CER1242B-CER1257B-CER1277B.pdf -inductor sagami cer12xxb smd -0 -2 -2 -Inductor_SMD -L_Sagami_CWR1242C -Sagami power inductor, CWR1242C, H=4.5mm (http://www.sagami-elec.co.jp/file/16Car_SMDCwr.pdf) -inductor sagami cwr12xx smd -0 -4 -2 -Inductor_SMD -L_Sagami_CWR1257C -Sagami power inductor, CWR1242C, H=6.0mm (http://www.sagami-elec.co.jp/file/16Car_SMDCwr.pdf) -inductor sagami cwr12xx smd -0 -4 -2 -Inductor_SMD -L_Sagami_CWR1277C -Sagami power inductor, CWR1242C, H=7.7mm (http://www.sagami-elec.co.jp/file/16Car_SMDCwr.pdf) -inductor sagami cwr12xx smd -0 -4 -2 -Inductor_SMD -L_SigTra_SC3316F -http://www.signaltransformer.com/sites/all/pdf/smd/P080_SC3316F.pdf -Choke -0 -2 -2 -Inductor_SMD -L_Sumida_CDMC6D28_7.25x6.5mm -SMD Power Inductor (http://products.sumida.com/products/pdf/CDMC6D28.pdf) -Inductor Sumida SMD CDMC6D28 -0 -2 -2 -Inductor_SMD -L_Sumida_CR75 -Inductor, Sumida, 8.1mm × 7.3mm × 5.5 mm, Unshielded, http://products.sumida.com/products/pdf/CR75.pdf -Inductor SMD CR75 Unshielded -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0402S -Inductor, Sunlord, MWSA0402S, 4.4x4.2x1.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0412S -Inductor, Sunlord, MWSA0412S, 4.4x4.2x1.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0503S -Inductor, Sunlord, MWSA0503S, 5.4x5.2x2.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0518S -Inductor, Sunlord, MWSA0518S, 5.4x5.2x1.6mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0602S -Inductor, Sunlord, MWSA0602S, 7.0x6.6x1.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0603S -Inductor, Sunlord, MWSA0603S, 7.0x6.6x2.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0604S -Inductor, Sunlord, MWSA0604S, 7.0x6.6x3.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0605S -Inductor, Sunlord, MWSA0605S, 7.0x6.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0615S -Inductor, Sunlord, MWSA0615S, 7.0x6.6x1.3mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0618S -Inductor, Sunlord, MWSA0618S, 7.0x6.6x1.6mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0624S -Inductor, Sunlord, MWSA0624S, 7.0x6.6x2.2mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA0804S -Inductor, Sunlord, MWSA0804S, 8.2x8.8x3.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1003S -Inductor, Sunlord, MWSA1003S, 11.5x10.0x2.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1004S -Inductor, Sunlord, MWSA1004S, 11.0x10.0x3.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1005S -Inductor, Sunlord, MWSA1005S, 11.5x10.0x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-1R0 -Inductor, Sunlord, MWSA1204S-1R0, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-1R5 -Inductor, Sunlord, MWSA1204S-1R5, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-2R2 -Inductor, Sunlord, MWSA1204S-2R2, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-3R3 -Inductor, Sunlord, MWSA1204S-3R3, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-4R7 -Inductor, Sunlord, MWSA1204S-4R7, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-6R8 -Inductor, Sunlord, MWSA1204S-6R8, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-100 -Inductor, Sunlord, MWSA1204S-100, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-150 -Inductor, Sunlord, MWSA1204S-150, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-220 -Inductor, Sunlord, MWSA1204S-220, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-R22 -Inductor, Sunlord, MWSA1204S-R22, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-R47 -Inductor, Sunlord, MWSA1204S-R47, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-R68 -Inductor, Sunlord, MWSA1204S-R68, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1204S-R82 -Inductor, Sunlord, MWSA1204S-R82, 13.45x12.8x4.0mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-1R0 -Inductor, Sunlord, MWSA1205S-1R0, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-1R5 -Inductor, Sunlord, MWSA1205S-1R5, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-2R2 -Inductor, Sunlord, MWSA1205S-2R2, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-3R3 -Inductor, Sunlord, MWSA1205S-3R3, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-4R7 -Inductor, Sunlord, MWSA1205S-4R7, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-6R8 -Inductor, Sunlord, MWSA1205S-6R8, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-100 -Inductor, Sunlord, MWSA1205S-100, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-150 -Inductor, Sunlord, MWSA1205S-150, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-220 -Inductor, Sunlord, MWSA1205S-220, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-330 -Inductor, Sunlord, MWSA1205S-330, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-470 -Inductor, Sunlord, MWSA1205S-470, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-R22 -Inductor, Sunlord, MWSA1205S-R22, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-R36 -Inductor, Sunlord, MWSA1205S-R36, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-R50 -Inductor, Sunlord, MWSA1205S-R50, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-R68 -Inductor, Sunlord, MWSA1205S-R68, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1205S-R82 -Inductor, Sunlord, MWSA1205S-R82, 13.45x12.6x4.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-1R5 -Inductor, Sunlord, MWSA1206S-1R5, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-2R2 -Inductor, Sunlord, MWSA1206S-2R2, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-3R3 -Inductor, Sunlord, MWSA1206S-3R3, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-4R7 -Inductor, Sunlord, MWSA1206S-4R7, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-5R6 -Inductor, Sunlord, MWSA1206S-5R6, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-6R8 -Inductor, Sunlord, MWSA1206S-6R8, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-8R2 -Inductor, Sunlord, MWSA1206S-8R2, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-100 -Inductor, Sunlord, MWSA1206S-100, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-101 -Inductor, Sunlord, MWSA1206S-101, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-120 -Inductor, Sunlord, MWSA1206S-120, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-121 -Inductor, Sunlord, MWSA1206S-121, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-150 -Inductor, Sunlord, MWSA1206S-150, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-151 -Inductor, Sunlord, MWSA1206S-151, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-180 -Inductor, Sunlord, MWSA1206S-180, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-220 -Inductor, Sunlord, MWSA1206S-220, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-270 -Inductor, Sunlord, MWSA1206S-270, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-330 -Inductor, Sunlord, MWSA1206S-330, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-470 -Inductor, Sunlord, MWSA1206S-470, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-680 -Inductor, Sunlord, MWSA1206S-680, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1206S-R68 -Inductor, Sunlord, MWSA1206S-R68, 13.45x12.6x5.8mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1265S -Inductor, Sunlord, MWSA1265S, 13.45x12.6x6.5mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA1707S -Inductor, Sunlord, MWSA1707S, 17.15x17.15x6.7mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_MWSA2213S -Inductor, Sunlord, MWSA2213S, 23.5x22.0x12.6mm, https://sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMjExMTUxNDQ4MDU0NTQucGRm&lan=en -Inductor mwsa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA3010S -Inductor, Sunlord, SWPA3010S, 3.0x3.0x1.0mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA3012S -Inductor, Sunlord, SWPA3012S, 3.0x3.0x1.2mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA3015S -Inductor, Sunlord, SWPA3015S, 3.0x3.0x1.5mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA4010S -Inductor, Sunlord, SWPA4010S, 4.0x4.0x1.0mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA4012S -Inductor, Sunlord, SWPA4012S, 4.0x4.0x1.2mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA4018S -Inductor, Sunlord, SWPA4018S, 4.0x4.0x1.8mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA4020S -Inductor, Sunlord, SWPA4020S, 4.0x4.0x2.0mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA4026S -Inductor, Sunlord, SWPA4026S, 4.0x4.0x2.6mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA4030S -Inductor, Sunlord, SWPA4030S, 4.0x4.0x3.0mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA5012S -Inductor, Sunlord, SWPA5012S, 5.0x5.0x1.2mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA5020S -Inductor, Sunlord, SWPA5020S, 5.0x5.0x2.0mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA5040S -Inductor, Sunlord, SWPA5040S, 5.0x5.0x4.0mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA6020S -Inductor, Sunlord, SWPA6020S, 6.0x6.0x2.0mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA6028S -Inductor, Sunlord, SWPA6028S, 6.0x6.0x2.8mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA6040S -Inductor, Sunlord, SWPA6040S, 6.0x6.0x4.0mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA6045S -Inductor, Sunlord, SWPA6045S, 6.0x6.0x4.5mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA8040S -Inductor, Sunlord, SWPA8040S, 8.0x8.0x4.2mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA252010S -Inductor, Sunlord, SWPA252010S, 2.5x2.0x1.0mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWPA252012S -Inductor, Sunlord, SWPA252012S, 2.5x2.0x1.2mm, https://www.sunlordinc.com/UploadFiles/PDF_Cat/20120704094224784.pdf -Inductor swpa -0 -2 -2 -Inductor_SMD -L_Sunlord_SWRB1204S -Inductor, Sunlord, SWRB1204S, 12.5x12.5x5.0mm, https://www.sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMTA2MTAxMTMyMzc4MTEucGRm&lan=en -Inductor swrb -0 -2 -2 -Inductor_SMD -L_Sunlord_SWRB1205S -Inductor, Sunlord, SWRB1205S, 12.5x12.5x6.0mm, https://www.sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMTA2MTAxMTMyMzc4MTEucGRm&lan=en -Inductor swrb -0 -2 -2 -Inductor_SMD -L_Sunlord_SWRB1207S -Inductor, Sunlord, SWRB1207S, 12.5x12.5x8.0mm, https://www.sunlordinc.com/Download.aspx?file=L1VwbG9hZEZpbGVzL1BERl9DYXQvMjAyMTA2MTAxMTMyMzc4MTEucGRm&lan=en -Inductor swrb -0 -2 -2 -Inductor_SMD -L_TDK_MLZ1608 -Inductor, TDK, MLZ1608, 1.6x0.8x0.8mm, "https://product.tdk.com/system/files/dam/doc/product/inductor/inductor/smd/catalog/inductor_commercial_decoupling_mlz1608_en.pdf" -Inductor MLZ -0 -2 -2 -Inductor_SMD -L_TDK_MLZ2012_h0.85mm -Inductor, TDK, MLZ2012_h0.85mm, 2.0x1.25x0.85mm, "https://product.tdk.com/system/files/dam/doc/product/inductor/inductor/smd/catalog/inductor_commercial_decoupling_mlz2012_en.pdf" -Inductor MLZ -0 -2 -2 -Inductor_SMD -L_TDK_MLZ2012_h1.25mm -Inductor, TDK, MLZ2012_h1.25mm, 2.0x1.25x1.25mm, "https://product.tdk.com/system/files/dam/doc/product/inductor/inductor/smd/catalog/inductor_commercial_decoupling_mlz2012_en.pdf" -Inductor MLZ -0 -2 -2 -Inductor_SMD -L_TDK_NLV25_2.5x2.0mm -TDK NLV25, 2.5x2.0x1.8mm, https://product.tdk.com/info/en/catalog/datasheets/inductor_commercial_standard_nlv25-ef_en.pdf -tdk nlv25 nlcv25 nlfv25 -0 -2 -2 -Inductor_SMD -L_TDK_NLV32_3.2x2.5mm -TDK NLV32, 3.2x2.5x2.2mm, https://product.tdk.com/info/en/catalog/datasheets/inductor_commercial_standard_nlv32-ef_en.pdf -tdk nlv32 nlcv32 nlfv32 -0 -2 -2 -Inductor_SMD -L_TDK_SLF6025 -Inductor, TDK, SLF6025, 6.0mmx6.0mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/document/catalog/smd/inductor_commercial_power_slf6025_en.pdf) -Inductor TDK_SLF6025 -0 -2 -2 -Inductor_SMD -L_TDK_SLF6028 -Inductor, TDK, SLF6028, 6.0mmx6.0mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/document/catalog/smd/inductor_commercial_power_slf6028_en.pdf) -Inductor TDK_SLF6028 -0 -2 -2 -Inductor_SMD -L_TDK_SLF6045 -Inductor, TDK, SLF6045, 6.0mmx6.0mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/document/catalog/smd/inductor_commercial_power_slf6045_en.pdf) -Inductor TDK_SLF6045 -0 -2 -2 -Inductor_SMD -L_TDK_SLF7032 -Inductor, TDK, SLF7032, 7.0mmx7.0mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/document/catalog/smd/inductor_commercial_power_slf7032_en.pdf) -Inductor TDK_SLF7032 -0 -2 -2 -Inductor_SMD -L_TDK_SLF7045 -Inductor, TDK, SLF7045, 7.0mmx7.0mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/document/catalog/smd/inductor_commercial_power_slf7045_en.pdf) -Inductor TDK_SLF7045 -0 -2 -2 -Inductor_SMD -L_TDK_SLF7055 -Inductor, TDK, SLF7055, 7.0mmx7.0mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/document/catalog/smd/inductor_commercial_power_slf7055_en.pdf) -Inductor TDK_SLF7055 -0 -2 -2 -Inductor_SMD -L_TDK_SLF10145 -Inductor, TDK, SLF10145, 10.1mmx10.1mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/catalog/datasheets/inductor_automotive_power_slf10145-h_en.pdf) -Inductor TDK_SLF10145 -0 -2 -2 -Inductor_SMD -L_TDK_SLF10165 -Inductor, TDK, SLF10165, 10.1mmx10.1mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/catalog/datasheets/inductor_commercial_power_slf10165_en.pdf) -Inductor TDK_SLF10165 -0 -2 -2 -Inductor_SMD -L_TDK_SLF12555 -Inductor, TDK, SLF12555, 12.5mmx12.5mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/catalog/datasheets/inductor_commercial_power_slf12555_en.pdf) -Inductor SLF12555 -0 -2 -2 -Inductor_SMD -L_TDK_SLF12565 -Inductor, TDK, SLF12565, 12.5mmx12.5mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/catalog/datasheets/inductor_automotive_power_slf12565-h_en.pdf) -Inductor SLF12565 -0 -2 -2 -Inductor_SMD -L_TDK_SLF12575 -Inductor, TDK, SLF12575, 12.5mmx12.5mm (Script generated with StandardBox.py) (https://product.tdk.com/info/en/catalog/datasheets/inductor_automotive_power_slf12575-h_en.pdf) -Inductor SLF12575 -0 -2 -2 -Inductor_SMD -L_TDK_VLF10040 -Inductor,TDK, TDK-VLP-8040, 8.6mmx8.6mm -inductor TDK VLP smd VLF10040 -0 -2 -2 -Inductor_SMD -L_TDK_VLP8040 -Inductor,TDK, TDK-VLP-8040, 8.6mmx8.6mm -inductor TDK VLP smd VLP8040 -0 -2 -2 -Inductor_SMD -L_TDK_VLS6045EX_VLS6045AF -Inductor,TDK, VLS-6045, 6x6x4.5mm, https://product.tdk.com/system/files/dam/doc/product/inductor/inductor/smd/catalog/inductor_commercial_power_vls6045ex_en.pdf -inductor TDK VLS SMD VLS6045EF VLS6045AF -0 -2 -2 -Inductor_SMD -L_TaiTech_TMPC1265_13.5x12.5mm -Tai Tech TMPC1265 series SMD inductor https://datasheet.lcsc.com/lcsc/2009171439_TAI-TECH-TMPC1265HP-100MG-D_C305223.pdf, 13.5x12.5x6.2mm -Tai Tech TMPC1265 SMD inductor -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_BK_Array_1206_3216Metric -Ferrite Bead Array 4x0603, Taiyo Yuden BK Series (see https://www.yuden.co.jp/productdata/catalog/mlci09_e.pdf) -ferrite bead array -0 -8 -8 -Inductor_SMD -L_Taiyo-Yuden_MD-1616 -Inductor, Taiyo Yuden, MD series, Taiyo-Yuden_MD-1616, 1.6mmx1.6mm -inductor taiyo-yuden md smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_MD-2020 -Inductor, Taiyo Yuden, MD series, Taiyo-Yuden_MD-2020, 2.0mmx2.0mm -inductor taiyo-yuden md smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_MD-3030 -Inductor, Taiyo Yuden, MD series, Taiyo-Yuden_MD-3030, 3.0mmx3.0mm -inductor taiyo-yuden md smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_MD-4040 -Inductor, Taiyo Yuden, MD series, Taiyo-Yuden_MD-4040, 4.0mmx4.0mm -inductor taiyo-yuden md smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_MD-5050 -Inductor, Taiyo Yuden, MD series, Taiyo-Yuden_MD-5050, 5.0mmx5.0mm -inductor taiyo-yuden md smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-20xx -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-20xx, 2.0mmx2.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-20xx_HandSoldering -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-20xx, 2.0mmx2.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-24xx -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-24xx, 2.4mmx2.4mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-24xx_HandSoldering -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-24xx, 2.4mmx2.4mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-30xx -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-30xx, 3.0mmx3.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-30xx_HandSoldering -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-30xx, 3.0mmx3.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-40xx -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-40xx, 4.0mmx4.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-40xx_HandSoldering -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-40xx, 4.0mmx4.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-50xx -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-50xx, 4.9mmx4.9mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-50xx_HandSoldering -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-50xx, 4.9mmx4.9mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-60xx -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-60xx, 6.0mmx6.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-60xx_HandSoldering -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-60xx, 6.0mmx6.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-80xx -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-80xx, 8.0mmx8.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-80xx_HandSoldering -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-80xx, 8.0mmx8.0mm -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-10050_9.8x10.0mm -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-10050, 9.8mmx10.0mm, https://ds.yuden.co.jp/TYCOMPAS/or/specSheet?pn=NR10050T1R3N -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_Taiyo-Yuden_NR-10050_9.8x10.0mm_HandSoldering -Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-10050, 9.8mmx10.0mm, https://ds.yuden.co.jp/TYCOMPAS/or/specSheet?pn=NR10050T1R3N -inductor taiyo-yuden nr smd -0 -2 -2 -Inductor_SMD -L_TracoPower_TCK-047_5.2x5.8mm -Inductor, Traco, TCK-047, 5.2x5.8mm, https://www.tracopower.com/products/tck047.pdf -inductor smd traco -0 -2 -2 -Inductor_SMD -L_TracoPower_TCK-141 -Choke, SMD, 4.0x4.0mm 2.1mm height, https://www.tracopower.com/products/tck141.pdf -Choke SMD -0 -2 -2 -Inductor_SMD -L_Vishay_IFSC-1515AH_4x4x1.8mm -Low Profile, High Current Inductors (https://www.vishay.com/docs/34295/sc15ah01.pdf) -SMD Vishay Inductor Low Profile -0 -2 -2 -Inductor_SMD -L_Vishay_IHLP-1212 -Inductor, Vishay, IHLP series, 3.0mmx3.0mm -inductor vishay ihlp smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHLP-1616 -Inductor, Vishay, IHLP series, 4.1mmx4.1mm -inductor vishay ihlp smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHLP-2020 -Inductor, Vishay, IHLP series, 5.1mmx5.1mm -inductor vishay ihlp smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHLP-2525 -Inductor, Vishay, IHLP series, 6.3mmx6.3mm -inductor vishay ihlp smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHLP-4040 -Inductor, Vishay, IHLP series, 10.2mmx10.2mm -inductor vishay ihlp smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHLP-5050 -Inductor, Vishay, IHLP series, 12.7mmx12.7mm -inductor vishay ihlp smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHLP-6767 -Inductor, Vishay, IHLP series, 17.0mmx17.0mm -inductor vishay ihlp smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHSM-3825 -Inductor, Vishay, Vishay_IHSM-3825, http://www.vishay.com/docs/34018/ihsm3825.pdf, 11.2mmx6.3mm -inductor vishay icsm smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHSM-4825 -Inductor, Vishay, Vishay_IHSM-4825, http://www.vishay.com/docs/34019/ihsm4825.pdf, 13.7mmx6.3mm -inductor vishay icsm smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHSM-5832 -Inductor, Vishay, Vishay_IHSM-5832, http://www.vishay.com/docs/34020/ihsm5832.pdf, 16.3mmx8.1mm -inductor vishay icsm smd -0 -2 -2 -Inductor_SMD -L_Vishay_IHSM-7832 -Inductor, Vishay, Vishay_IHSM-7832, http://www.vishay.com/docs/34021/ihsm7832.pdf, 19.8mmx8.1mm -inductor vishay icsm smd -0 -2 -2 -Inductor_SMD -L_Walsin_WLFM201209x -Inductor, Walsin, WLFM201209x, 2.0x1.25x0.9mm, http://www.passivecomponent.com/wp-content/uploads/inductor/WLFM_C_series.pdf -Inductor ferrite multilayer power -0 -2 -2 -Inductor_SMD -L_Walsin_WLFM201609x -Inductor, Walsin, WLFM201609x, 2.0x1.6x0.9mm, http://www.passivecomponent.com/wp-content/uploads/inductor/WLFM_C_series.pdf -Inductor ferrite multilayer power -0 -2 -2 -Inductor_SMD -L_Walsin_WLFM252009x -Inductor, Walsin, WLFM252009x, 2.5x2.0x0.9mm, http://www.passivecomponent.com/wp-content/uploads/inductor/WLFM_C_series.pdf -Inductor ferrite multilayer power -0 -2 -2 -Inductor_SMD -L_Wuerth_HCF-2013 -7443630070, http://katalog.we-online.de/pbs/datasheet/7443630070.pdf -inductor shielded wuerth hcf -0 -3 -2 -Inductor_SMD -L_Wuerth_HCF-2815 -74436410150, http://katalog.we-online.de/pbs/datasheet/74436410150.pdf -inductor shielded wuerth hcf -0 -3 -2 -Inductor_SMD -L_Wuerth_HCF-2818 -7443640330, http://katalog.we-online.de/pbs/datasheet/7443640330.pdf -inductor shielded wuerth hcf -0 -3 -2 -Inductor_SMD -L_Wuerth_HCI-1030 -Inductor, Wuerth Elektronik, Wuerth_HCI-1030, 10.6mmx10.6mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-1040 -Inductor, Wuerth Elektronik, Wuerth_HCI-1040, 10.2mmx10.2mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-1050 -Inductor, Wuerth Elektronik, Wuerth_HCI-1050, 10.2mmx10.2mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-1335 -Inductor, Wuerth Elektronik, Wuerth_HCI-1335, 12.8mmx12.8mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-1350 -Inductor, Wuerth Elektronik, Wuerth_HCI-1350, 12.8mmx12.8mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-1365 -Inductor, Wuerth Elektronik, Wuerth_HCI-1365, 12.8mmx12.8mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-1890 -Inductor, Wuerth Elektronik, Wuerth_HCI-1890, 18.2mmx18.2mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-2212 -Inductor, Wuerth Elektronik, Wuerth_HCI-2212, 22.5mmx22.0mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-5040 -Inductor, Wuerth Elektronik, Wuerth_HCI-5040, 5.5mmx5.2mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-7030 -Inductor, Wuerth Elektronik, Wuerth_HCI-7030, 6.9mmx6.9mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-7040 -Inductor, Wuerth Elektronik, Wuerth_HCI-7040, 6.9mmx6.9mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCI-7050 -Inductor, Wuerth Elektronik, Wuerth_HCI-7050, 6.9mmx6.9mm -inductor Wuerth hci smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-1050 -Inductor, Wuerth Elektronik, Wuerth_HCM-1050, 10.2mmx7.0mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-1052 -Inductor, Wuerth Elektronik, Wuerth_HCM-1052, 10.5mmx10.3mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-1070 -Inductor, Wuerth Elektronik, Wuerth_HCM-1070, 10.1mmx7.0mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-1078 -Inductor, Wuerth Elektronik, Wuerth_HCM-1078, 9.4mmx6.2mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-1190 -Inductor, Wuerth Elektronik, Wuerth_HCM-1190, 10.5mmx11.0mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-1240 -Inductor, Wuerth Elektronik, Wuerth_HCM-1240, 10.0mmx11.8mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-1350 -Inductor, Wuerth Elektronik, Wuerth_HCM-1350, 13.5mmx13.3mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-1390 -Inductor, Wuerth Elektronik, Wuerth_HCM-1390, 12.5mmx13.0mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-7050 -Inductor, Wuerth Elektronik, Wuerth_HCM-7050, 7.2mmx7.0mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_HCM-7070 -Inductor, Wuerth Elektronik, Wuerth_HCM-7070, 7.4mmx7.2mm -inductor Wuerth hcm smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-1610 -Inductor, Wuerth Elektronik, Wuerth_MAPI-1610, 1.6mmx1.6mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-2010 -Inductor, Wuerth Elektronik, Wuerth_MAPI-2010, 2.0mmx1.6mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-2506 -Inductor, Wuerth Elektronik, Wuerth_MAPI-2506, 2.5mmx2.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-2508 -Inductor, Wuerth Elektronik, Wuerth_MAPI-2508, 2.5mmx2.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-2510 -Inductor, Wuerth Elektronik, Wuerth_MAPI-2510, 2.5mmx2.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-2512 -Inductor, Wuerth Elektronik, Wuerth_MAPI-2512, 2.5mmx2.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-3010 -Inductor, Wuerth Elektronik, Wuerth_MAPI-3010, 3.0mmx3.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-3012 -Inductor, Wuerth Elektronik, Wuerth_MAPI-3012, 3.0mmx3.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-3015 -Inductor, Wuerth Elektronik, Wuerth_MAPI-3015, 3.0mmx3.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-3020 -Inductor, Wuerth Elektronik, Wuerth_MAPI-3020, 3.0mmx3.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-4020 -Inductor, Wuerth Elektronik, Wuerth_MAPI-4020, 4.0mmx4.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_MAPI-4030 -Inductor, Wuerth Elektronik, Wuerth_MAPI-4030, 4.0mmx4.0mm -inductor Wuerth smd -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-DD-Typ-L-Typ-XL-Typ-XXL -Shielded Coupled Inductor, Wuerth Elektronik, WE-DD, SMD, Typ L, Typ XL, Typ XXL, https://katalog.we-online.com/pbs/datasheet/744874001.pdf -Choke Coupled Double Inductor SMD Wuerth WE-DD TypL TypXL TypXXL -0 -4 -4 -Inductor_SMD -L_Wuerth_WE-DD-Typ-M-Typ-S -Shielded Coupled Inductor, Wuerth Elektronik, WE-DD, SMD, Typ M, Typ S, https://katalog.we-online.com/pbs/datasheet/744878001.pdf, https://katalog.we-online.de/pbs/datasheet/744877001.pdf -Choke Coupled Double Inductor SMD Wuerth WE-DD TypM TypS -0 -4 -4 -Inductor_SMD -L_Wuerth_WE-GF-1210 -Unshielded Inductor, Wuerth Elektronik, WE-GF, SMD, 1210, https://www.we-online.de/katalog/datasheet/74476401.pdf -Unshielded Inductor WE-GF 1210 Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PD-Typ-7345 -Shielded Power Inductor, Wuerth Elektronik, WE-PD, SMD, 7345, https://katalog.we-online.com/pbs/datasheet/744777001.pdf -Choke Shielded Power Inductor WE-PD 7345 Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PD-Typ-LS -Shielded Power Inductor, Wuerth Elektronik, WE-PD, SMD, Typ LS, https://katalog.we-online.com/pbs/datasheet/7447715906.pdf -Choke Shielded Power Inductor WE-PD TypLS Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PD-Typ-LS_Handsoldering -Shielded Power Inductor, Wuerth Elektronik, WE-PD, SMD, Typ LS, Handsoldering, https://katalog.we-online.com/pbs/datasheet/7447715906.pdf -Choke Shielded Power Inductor WE-PD TypLS Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PD-Typ-M-Typ-S -Shielded Power Inductor, Wuerth Elektronik, WE-PD, SMT, Typ M, Typ S, https://katalog.we-online.com/pbs/datasheet/744778005.pdf -Choke Shielded Power Inductor WE-PD TypM TypS Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PD-Typ-M-Typ-S_Handsoldering -Shielded Power Inductor, Wuerth Elektronik, WE-PD, SMT, Typ M, Typ S, Handsoldering, https://katalog.we-online.com/pbs/datasheet/744778005.pdf -Choke Shielded Power Inductor WE-PD TypM TypS Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PD2-Typ-L -Power Inductor, Wuerth Elektronik, WE-PD2, SMD, Typ L, , https://katalog.we-online.com/pbs/datasheet/74477510.pdf -Choke Power Inductor WE-PD2 TypL Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PD2-Typ-MS -Power Inductor, Wuerth Elektronik, WE-PD2, SMD, Typ MS, https://katalog.we-online.com/pbs/datasheet/744774022.pdf -Choke Power Inductor WE-PD2 TypMS Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PD2-Typ-XL -Power Inductor, Wuerth Elektronik, WE-PD2, SMT, Typ XL, https://katalog.we-online.com/pbs/datasheet/744776012.pdf -Choke Power Inductor WE-PD2 TypXL Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PD4-Typ-X -Power Inductor, Wuerth Elektronik, WE-PD4, SMT, Typ X, https://katalog.we-online.de/pbs/datasheet/74458001.pdf -Choke Power Inductor WE-PD4 TypX Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PDF -Shielded Power Inductor, Wuerth Elektronik, WE-PDF, SMD, https://katalog.we-online.de/pbs/datasheet/7447797022.pdf -Choke Shielded Power Inductor WE-PDF Wuerth -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-PDF_Handsoldering -Shielded Power Inductor, Wuerth Elektronik, WE-PDF, SMD, Handsoldering, https://katalog.we-online.de/pbs/datasheet/7447797022.pdf -Choke Shielded Power Inductor WE-PDF Wuerth Handsoldering -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-TPC-3816 -L_Wuerth_WE-TPC-3816 StepUp generated footprint, http://katalog.we-online.de/pbs/datasheet/7440310047.pdf -wurth wuerth smd inductor -0 -2 -2 -Inductor_SMD -L_Wuerth_WE-XHMI-8080 -Inductor, Wuerth, WE-XHMI 8080, 8.3mmx8.8mm (Script generated with StandardBox.py) (https://www.we-online.com/components/products/datasheet/74439358068.pdf) -Inductor Wuerth WE-XHMI 8080 -0 -2 -2 -Inductor_SMD -L_Wurth_WE-CAIR-5910 -WE-CAIR Air coil, https://www.we-online.com/components/products/datasheet/744918254.pdf -air coil inductor wurth we cair -0 -2 -2 -Inductor_SMD_Wurth -L_Wurth_WE-LQSH-2010 -Semi-Shielded High Saturation Power Inductor, body 2x1.6mm, https://www.we-online.com/catalog/en/WE-LQSH#/articles/WE-LQSH-2010 -SMT -0 -2 -2 -Inductor_SMD_Wurth -L_Wurth_WE-LQSH-2512 -Semi-Shielded High Saturation Power Inductor, body 2.5x2mm, https://www.we-online.com/catalog/en/WE-LQSH#/articles/WE-LQSH-2512 -SMT -0 -2 -2 -Inductor_SMD_Wurth -L_Wurth_WE-LQSH-3012 -Semi-Shielded High Saturation Power Inductor, body 3x3mm, https://www.we-online.com/catalog/en/WE-LQSH#/articles/WE-LQSH-3012 -SMT -0 -2 -2 -Inductor_SMD_Wurth -L_Wurth_WE-LQSH-4020 -Semi-Shielded High Saturation Power Inductor, body 4x4mm, https://www.we-online.com/catalog/en/WE-LQSH#/articles/WE-LQSH-4020 -SMT -0 -2 -2 -Inductor_THT -Choke_EPCOS_B82722A -Current-Compensated Ring Core Double Chokes, EPCOS, B82722A, 22.3mmx22.7mm, https://en.tdk.eu/inf/30/db/ind_2008/b82722a_j.pdf -chokes epcos tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN102-04-14.0x14.0mm -Current-compensated Chokes, Schaffner, RN102-04, 14.0mmx14.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN112-04-17.7x17.1mm -Current-compensated Chokes, Schaffner, RN112-04, 17.7mmx17.1mm https://www.schaffner.com/fileadmin/user_upload/pim/products/datasheets/RN_series.pdf -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN114-04-22.5x21.5mm -Current-compensated Chokes, Schaffner, RN114-04, 22.5mmx21.5mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN116-04-22.5x21.5mm -Current-compensated Chokes, Schaffner, RN116-04, 22.5mmx21.5mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN122-04-28.0x27.0mm -Current-compensated Chokes, Schaffner, RN122-04, 28.0mmx27.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN142-04-33.1x32.5mm -Current-compensated Chokes, Schaffner, RN142-04, 33.1mmx32.5mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN143-04-33.1x32.5mm -Current-compensated Chokes, Schaffner, RN143-04, 33.1mmx32.5mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN152-04-43.0x41.8mm -Current-compensated Chokes, Schaffner, RN152-04, 43.0mmx41.8mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN202-04-8.8x18.2mm -Current-compensated Chokes, Schaffner, RN202-04, 8.8mmx18.2mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN204-04-9.0x14.0mm -Current-compensated Chokes, Schaffner, RN204-04, 9.0mmx14.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN212-04-12.5x18.0mm -Current-compensated Chokes, Schaffner, RN212-04, 12.5mmx18.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN214-04-15.5x23.0mm -Current-compensated Chokes, Schaffner, RN214-04, 15.5mmx23.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN216-04-15.5x23.0mm -Current-compensated Chokes, Schaffner, RN216-04, 15.5mmx23.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN218-04-12.5x18.0mm -Current-compensated Chokes, Schaffner, RN218-04, 12.5mmx18.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN222-04-18.0x31.0mm -Current-compensated Chokes, Schaffner, RN222-04, 18.0mmx31.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN232-04-18.0x31.0mm -Current-compensated Chokes, Schaffner, RN232-04, 18.0mmx31.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -Choke_Schaffner_RN242-04-18.0x31.0mm -Current-compensated Chokes, Schaffner, RN242-04, 18.0mmx31.0mm https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/ -chokes schaffner tht -0 -4 -4 -Inductor_THT -L_Axial_L5.0mm_D3.6mm_P10.00mm_Horizontal_Murata_BL01RN1A2A2 -Inductor, Murata BL01RN1A2A2, Axial, Horizontal, pin pitch=10.00mm, length*diameter=5*3.6mm, https://www.murata.com/en-global/products/productdetail?partno=BL01RN1A2A2%23 -inductor axial horizontal -0 -2 -2 -Inductor_THT -L_Axial_L5.3mm_D2.2mm_P2.54mm_Vertical_Vishay_IM-1 -Inductor, Axial series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=5.3*2.2mm^2, Vishay, IM-1, http://www.vishay.com/docs/34030/im.pdf -Inductor Axial series Axial Vertical pin pitch 2.54mm length 5.3mm diameter 2.2mm Vishay IM-1 -0 -2 -2 -Inductor_THT -L_Axial_L5.3mm_D2.2mm_P7.62mm_Horizontal_Vishay_IM-1 -Inductor, Axial series, Axial, Horizontal, pin pitch=7.62mm, , length*diameter=5.3*2.2mm^2, Vishay, IM-1, http://www.vishay.com/docs/34030/im.pdf -Inductor Axial series Axial Horizontal pin pitch 7.62mm length 5.3mm diameter 2.2mm Vishay IM-1 -0 -2 -2 -Inductor_THT -L_Axial_L5.3mm_D2.2mm_P10.16mm_Horizontal_Vishay_IM-1 -Inductor, Axial series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=5.3*2.2mm^2, Vishay, IM-1, http://www.vishay.com/docs/34030/im.pdf -Inductor Axial series Axial Horizontal pin pitch 10.16mm length 5.3mm diameter 2.2mm Vishay IM-1 -0 -2 -2 -Inductor_THT -L_Axial_L6.6mm_D2.7mm_P2.54mm_Vertical_Vishay_IM-2 -Inductor, Axial series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=6.6*2.7mm^2, Vishay, IM-2, http://www.vishay.com/docs/34030/im.pdf -Inductor Axial series Axial Vertical pin pitch 2.54mm length 6.6mm diameter 2.7mm Vishay IM-2 -0 -2 -2 -Inductor_THT -L_Axial_L6.6mm_D2.7mm_P10.16mm_Horizontal_Vishay_IM-2 -Inductor, Axial series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=6.6*2.7mm^2, Vishay, IM-2, http://www.vishay.com/docs/34030/im.pdf -Inductor Axial series Axial Horizontal pin pitch 10.16mm length 6.6mm diameter 2.7mm Vishay IM-2 -0 -2 -2 -Inductor_THT -L_Axial_L7.0mm_D3.3mm_P2.54mm_Vertical_Fastron_MICC -Inductor, Axial series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=7*3.3mm^2, Fastron, MICC, http://www.fastrongroup.com/image-show/70/MICC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 2.54mm length 7mm diameter 3.3mm Fastron MICC -0 -2 -2 -Inductor_THT -L_Axial_L7.0mm_D3.3mm_P5.08mm_Vertical_Fastron_MICC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=7*3.3mm^2, Fastron, MICC, http://www.fastrongroup.com/image-show/70/MICC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 5.08mm length 7mm diameter 3.3mm Fastron MICC -0 -2 -2 -Inductor_THT -L_Axial_L7.0mm_D3.3mm_P10.16mm_Horizontal_Fastron_MICC -Inductor, Axial series, Axial, Horizontal, pin pitch=10.16mm, , length*diameter=7*3.3mm^2, Fastron, MICC, http://www.fastrongroup.com/image-show/70/MICC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 10.16mm length 7mm diameter 3.3mm Fastron MICC -0 -2 -2 -Inductor_THT -L_Axial_L7.0mm_D3.3mm_P12.70mm_Horizontal_Fastron_MICC -Inductor, Axial series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=7*3.3mm^2, Fastron, MICC, http://www.fastrongroup.com/image-show/70/MICC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 12.7mm length 7mm diameter 3.3mm Fastron MICC -0 -2 -2 -Inductor_THT -L_Axial_L9.5mm_D4.0mm_P2.54mm_Vertical_Fastron_SMCC -Inductor, Axial series, Axial, Vertical, pin pitch=2.54mm, , length*diameter=9.5*4mm^2, Fastron, SMCC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_SMCC_NEU.pdf, http://cdn-reichelt.de/documents/datenblatt/B400/LEADEDINDUCTORS.pdf -Inductor Axial series Axial Vertical pin pitch 2.54mm length 9.5mm diameter 4mm Fastron SMCC -0 -2 -2 -Inductor_THT -L_Axial_L9.5mm_D4.0mm_P5.08mm_Vertical_Fastron_SMCC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=9.5*4mm^2, Fastron, SMCC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_SMCC_NEU.pdf, http://cdn-reichelt.de/documents/datenblatt/B400/LEADEDINDUCTORS.pdf -Inductor Axial series Axial Vertical pin pitch 5.08mm length 9.5mm diameter 4mm Fastron SMCC -0 -2 -2 -Inductor_THT -L_Axial_L9.5mm_D4.0mm_P12.70mm_Horizontal_Fastron_SMCC -Inductor, Axial series, Axial, Horizontal, pin pitch=12.7mm, , length*diameter=9.5*4mm^2, Fastron, SMCC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_SMCC_NEU.pdf, http://cdn-reichelt.de/documents/datenblatt/B400/LEADEDINDUCTORS.pdf -Inductor Axial series Axial Horizontal pin pitch 12.7mm length 9.5mm diameter 4mm Fastron SMCC -0 -2 -2 -Inductor_THT -L_Axial_L9.5mm_D4.0mm_P15.24mm_Horizontal_Fastron_SMCC -Inductor, Axial series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=9.5*4mm^2, Fastron, SMCC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_SMCC_NEU.pdf, http://cdn-reichelt.de/documents/datenblatt/B400/LEADEDINDUCTORS.pdf -Inductor Axial series Axial Horizontal pin pitch 15.24mm length 9.5mm diameter 4mm Fastron SMCC -0 -2 -2 -Inductor_THT -L_Axial_L11.0mm_D4.5mm_P5.08mm_Vertical_Fastron_MECC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=11*4.5mm^2, Fastron, MECC, http://www.fastrongroup.com/image-show/21/MECC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 5.08mm length 11mm diameter 4.5mm Fastron MECC -0 -2 -2 -Inductor_THT -L_Axial_L11.0mm_D4.5mm_P7.62mm_Vertical_Fastron_MECC -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=11*4.5mm^2, Fastron, MECC, http://www.fastrongroup.com/image-show/21/MECC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 7.62mm length 11mm diameter 4.5mm Fastron MECC -0 -2 -2 -Inductor_THT -L_Axial_L11.0mm_D4.5mm_P15.24mm_Horizontal_Fastron_MECC -Inductor, Axial series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=11*4.5mm^2, Fastron, MECC, http://www.fastrongroup.com/image-show/21/MECC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 15.24mm length 11mm diameter 4.5mm Fastron MECC -0 -2 -2 -Inductor_THT -L_Axial_L12.0mm_D5.0mm_P5.08mm_Vertical_Fastron_MISC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=12*5mm^2, Fastron, MISC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_MISC.pdf -Inductor Axial series Axial Vertical pin pitch 5.08mm length 12mm diameter 5mm Fastron MISC -0 -2 -2 -Inductor_THT -L_Axial_L12.0mm_D5.0mm_P7.62mm_Vertical_Fastron_MISC -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=12*5mm^2, Fastron, MISC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_MISC.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 12mm diameter 5mm Fastron MISC -0 -2 -2 -Inductor_THT -L_Axial_L12.0mm_D5.0mm_P15.24mm_Horizontal_Fastron_MISC -Inductor, Axial series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=12*5mm^2, Fastron, MISC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_MISC.pdf -Inductor Axial series Axial Horizontal pin pitch 15.24mm length 12mm diameter 5mm Fastron MISC -0 -2 -2 -Inductor_THT -L_Axial_L12.8mm_D5.8mm_P5.08mm_Vertical_Fastron_HBCC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=12.8*5.8mm^2, Fastron, HBCC, http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 5.08mm length 12.8mm diameter 5.8mm Fastron HBCC -0 -2 -2 -Inductor_THT -L_Axial_L12.8mm_D5.8mm_P7.62mm_Vertical_Fastron_HBCC -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=12.8*5.8mm^2, Fastron, HBCC, http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 7.62mm length 12.8mm diameter 5.8mm Fastron HBCC -0 -2 -2 -Inductor_THT -L_Axial_L12.8mm_D5.8mm_P20.32mm_Horizontal_Fastron_HBCC -Inductor, Axial series, Axial, Horizontal, pin pitch=20.32mm, , length*diameter=12.8*5.8mm^2, Fastron, HBCC, http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 20.32mm length 12.8mm diameter 5.8mm Fastron HBCC -0 -2 -2 -Inductor_THT -L_Axial_L12.8mm_D5.8mm_P25.40mm_Horizontal_Fastron_HBCC -Inductor, Axial series, Axial, Horizontal, pin pitch=25.4mm, , length*diameter=12.8*5.8mm^2, Fastron, HBCC, http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 25.4mm length 12.8mm diameter 5.8mm Fastron HBCC -0 -2 -2 -Inductor_THT -L_Axial_L13.0mm_D4.5mm_P5.08mm_Vertical_Fastron_HCCC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=13*4.5mm^2, Fastron, HCCC, http://www.fastrongroup.com/image-show/19/HCCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 5.08mm length 13mm diameter 4.5mm Fastron HCCC -0 -2 -2 -Inductor_THT -L_Axial_L13.0mm_D4.5mm_P7.62mm_Vertical_Fastron_HCCC -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=13*4.5mm^2, Fastron, HCCC, http://www.fastrongroup.com/image-show/19/HCCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 7.62mm length 13mm diameter 4.5mm Fastron HCCC -0 -2 -2 -Inductor_THT -L_Axial_L13.0mm_D4.5mm_P15.24mm_Horizontal_Fastron_HCCC -Inductor, Axial series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=13*4.5mm^2, Fastron, HCCC, http://www.fastrongroup.com/image-show/19/HCCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 15.24mm length 13mm diameter 4.5mm Fastron HCCC -0 -2 -2 -Inductor_THT -L_Axial_L14.0mm_D4.5mm_P5.08mm_Vertical_Fastron_LACC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=14*4.5mm^2, Fastron, LACC, http://www.fastrongroup.com/image-show/20/LACC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 5.08mm length 14mm diameter 4.5mm Fastron LACC -0 -2 -2 -Inductor_THT -L_Axial_L14.0mm_D4.5mm_P7.62mm_Vertical_Fastron_LACC -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=14*4.5mm^2, Fastron, LACC, http://www.fastrongroup.com/image-show/20/LACC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 7.62mm length 14mm diameter 4.5mm Fastron LACC -0 -2 -2 -Inductor_THT -L_Axial_L14.0mm_D4.5mm_P15.24mm_Horizontal_Fastron_LACC -Inductor, Axial series, Axial, Horizontal, pin pitch=15.24mm, , length*diameter=14*4.5mm^2, Fastron, LACC, http://www.fastrongroup.com/image-show/20/LACC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 15.24mm length 14mm diameter 4.5mm Fastron LACC -0 -2 -2 -Inductor_THT -L_Axial_L14.5mm_D5.8mm_P5.08mm_Vertical_Fastron_HBCC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=14.5*5.8mm^2, Fastron, HBCC, http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 5.08mm length 14.5mm diameter 5.8mm Fastron HBCC -0 -2 -2 -Inductor_THT -L_Axial_L14.5mm_D5.8mm_P7.62mm_Vertical_Fastron_HBCC -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=14.5*5.8mm^2, Fastron, HBCC, http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 7.62mm length 14.5mm diameter 5.8mm Fastron HBCC -0 -2 -2 -Inductor_THT -L_Axial_L14.5mm_D5.8mm_P20.32mm_Horizontal_Fastron_HBCC -Inductor, Axial series, Axial, Horizontal, pin pitch=20.32mm, , length*diameter=14.5*5.8mm^2, Fastron, HBCC, http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 20.32mm length 14.5mm diameter 5.8mm Fastron HBCC -0 -2 -2 -Inductor_THT -L_Axial_L14.5mm_D5.8mm_P25.40mm_Horizontal_Fastron_HBCC -Inductor, Axial series, Axial, Horizontal, pin pitch=25.4mm, , length*diameter=14.5*5.8mm^2, Fastron, HBCC, http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 25.4mm length 14.5mm diameter 5.8mm Fastron HBCC -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D6.3mm_P5.08mm_Vertical_Fastron_VHBCC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=16*6.3mm^2, Fastron, VHBCC, http://www.fastrongroup.com/image-show/25/VHBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 5.08mm length 16mm diameter 6.3mm Fastron VHBCC -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D6.3mm_P7.62mm_Vertical_Fastron_VHBCC -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=16*6.3mm^2, Fastron, VHBCC, http://www.fastrongroup.com/image-show/25/VHBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 7.62mm length 16mm diameter 6.3mm Fastron VHBCC -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D6.3mm_P20.32mm_Horizontal_Fastron_VHBCC -Inductor, Axial series, Axial, Horizontal, pin pitch=20.32mm, , length*diameter=16*6.3mm^2, Fastron, VHBCC, http://www.fastrongroup.com/image-show/25/VHBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 20.32mm length 16mm diameter 6.3mm Fastron VHBCC -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D6.3mm_P25.40mm_Horizontal_Fastron_VHBCC -Inductor, Axial series, Axial, Horizontal, pin pitch=25.4mm, , length*diameter=16*6.3mm^2, Fastron, VHBCC, http://www.fastrongroup.com/image-show/25/VHBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 25.4mm length 16mm diameter 6.3mm Fastron VHBCC -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D7.5mm_P5.08mm_Vertical_Fastron_XHBCC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=16*7.5mm^2, Fastron, XHBCC, http://www.fastrongroup.com/image-show/26/XHBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 5.08mm length 16mm diameter 7.5mm Fastron XHBCC -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D7.5mm_P7.62mm_Vertical_Fastron_XHBCC -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=16*7.5mm^2, Fastron, XHBCC, http://www.fastrongroup.com/image-show/26/XHBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Vertical pin pitch 7.62mm length 16mm diameter 7.5mm Fastron XHBCC -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D7.5mm_P20.32mm_Horizontal_Fastron_XHBCC -Inductor, Axial series, Axial, Horizontal, pin pitch=20.32mm, , length*diameter=16*7.5mm^2, Fastron, XHBCC, http://www.fastrongroup.com/image-show/26/XHBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 20.32mm length 16mm diameter 7.5mm Fastron XHBCC -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D7.5mm_P25.40mm_Horizontal_Fastron_XHBCC -Inductor, Axial series, Axial, Horizontal, pin pitch=25.4mm, , length*diameter=16*7.5mm^2, Fastron, XHBCC, http://www.fastrongroup.com/image-show/26/XHBCC.pdf?type=Complete-DataSheet&productType=series -Inductor Axial series Axial Horizontal pin pitch 25.4mm length 16mm diameter 7.5mm Fastron XHBCC -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D9.5mm_P5.08mm_Vertical_Vishay_IM-10-37 -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=16*9.5mm^2, Vishay, IM-10-37, http://www.vishay.com/docs/34030/im10.pdf -Inductor Axial series Axial Vertical pin pitch 5.08mm length 16mm diameter 9.5mm Vishay IM-10-37 -0 -2 -2 -Inductor_THT -L_Axial_L16.0mm_D9.5mm_P20.32mm_Horizontal_Vishay_IM-10-37 -Inductor, Axial series, Axial, Horizontal, pin pitch=20.32mm, , length*diameter=16*9.5mm^2, Vishay, IM-10-37, http://www.vishay.com/docs/34030/im10.pdf -Inductor Axial series Axial Horizontal pin pitch 20.32mm length 16mm diameter 9.5mm Vishay IM-10-37 -0 -2 -2 -Inductor_THT -L_Axial_L17.5mm_D12.0mm_P7.62mm_Vertical_Vishay_IM-10-46 -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=17.5*12mm^2, Vishay, IM-10-46, http://www.vishay.com/docs/34030/im10.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 17.5mm diameter 12mm Vishay IM-10-46 -0 -2 -2 -Inductor_THT -L_Axial_L17.5mm_D12.0mm_P20.32mm_Horizontal_Vishay_IM-10-46 -Inductor, Axial series, Axial, Horizontal, pin pitch=20.32mm, , length*diameter=17.5*12mm^2, Vishay, IM-10-46, http://www.vishay.com/docs/34030/im10.pdf -Inductor Axial series Axial Horizontal pin pitch 20.32mm length 17.5mm diameter 12mm Vishay IM-10-46 -0 -2 -2 -Inductor_THT -L_Axial_L20.0mm_D8.0mm_P5.08mm_Vertical -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=20*8mm^2 -Inductor Axial series Axial Vertical pin pitch 5.08mm length 20mm diameter 8mm -0 -2 -2 -Inductor_THT -L_Axial_L20.0mm_D8.0mm_P7.62mm_Vertical -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=20*8mm^2 -Inductor Axial series Axial Vertical pin pitch 7.62mm length 20mm diameter 8mm -0 -2 -2 -Inductor_THT -L_Axial_L20.0mm_D8.0mm_P25.40mm_Horizontal -Inductor, Axial series, Axial, Horizontal, pin pitch=25.4mm, , length*diameter=20*8mm^2 -Inductor Axial series Axial Horizontal pin pitch 25.4mm length 20mm diameter 8mm -0 -2 -2 -Inductor_THT -L_Axial_L20.3mm_D12.1mm_P7.62mm_Vertical_Vishay_IHA-101 -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=20.32*12.07mm^2, Vishay, IHA-101, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 20.32mm diameter 12.07mm Vishay IHA-101 -0 -2 -2 -Inductor_THT -L_Axial_L20.3mm_D12.1mm_P28.50mm_Horizontal_Vishay_IHA-101 -Inductor, Axial series, Axial, Horizontal, pin pitch=28.5mm, , length*diameter=20.32*12.07mm^2, Vishay, IHA-101, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Horizontal pin pitch 28.5mm length 20.32mm diameter 12.07mm Vishay IHA-101 -0 -2 -2 -Inductor_THT -L_Axial_L20.3mm_D12.7mm_P7.62mm_Vertical_Vishay_IHA-201 -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=20.32*12.7mm^2, Vishay, IHA-201, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 20.32mm diameter 12.7mm Vishay IHA-201 -0 -2 -2 -Inductor_THT -L_Axial_L20.3mm_D12.7mm_P25.40mm_Horizontal_Vishay_IHA-201 -Inductor, Axial series, Axial, Horizontal, pin pitch=25.4mm, , length*diameter=20.32*12.7mm^2, Vishay, IHA-201, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Horizontal pin pitch 25.4mm length 20.32mm diameter 12.7mm Vishay IHA-201 -0 -2 -2 -Inductor_THT -L_Axial_L23.4mm_D12.7mm_P7.62mm_Vertical_Vishay_IHA-203 -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=23.37*12.7mm^2, Vishay, IHA-203, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 23.37mm diameter 12.7mm Vishay IHA-203 -0 -2 -2 -Inductor_THT -L_Axial_L23.4mm_D12.7mm_P32.00mm_Horizontal_Vishay_IHA-203 -Inductor, Axial series, Axial, Horizontal, pin pitch=32mm, , length*diameter=23.37*12.7mm^2, Vishay, IHA-203, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Horizontal pin pitch 32mm length 23.37mm diameter 12.7mm Vishay IHA-203 -0 -2 -2 -Inductor_THT -L_Axial_L24.0mm_D7.1mm_P5.08mm_Vertical_Vishay_IM-10-28 -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=24*7.1mm^2, Vishay, IM-10-28, http://www.vishay.com/docs/34035/im10.pdf -Inductor Axial series Axial Vertical pin pitch 5.08mm length 24mm diameter 7.1mm Vishay IM-10-28 -0 -2 -2 -Inductor_THT -L_Axial_L24.0mm_D7.1mm_P30.48mm_Horizontal_Vishay_IM-10-28 -Inductor, Axial series, Axial, Horizontal, pin pitch=30.48mm, , length*diameter=24*7.1mm^2, Vishay, IM-10-28, http://www.vishay.com/docs/34035/im10.pdf -Inductor Axial series Axial Horizontal pin pitch 30.48mm length 24mm diameter 7.1mm Vishay IM-10-28 -0 -2 -2 -Inductor_THT -L_Axial_L24.0mm_D7.5mm_P5.08mm_Vertical_Fastron_MESC -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=24*7.5mm^2, Fastron, MESC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_MESC.pdf -Inductor Axial series Axial Vertical pin pitch 5.08mm length 24mm diameter 7.5mm Fastron MESC -0 -2 -2 -Inductor_THT -L_Axial_L24.0mm_D7.5mm_P7.62mm_Vertical_Fastron_MESC -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=24*7.5mm^2, Fastron, MESC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_MESC.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 24mm diameter 7.5mm Fastron MESC -0 -2 -2 -Inductor_THT -L_Axial_L24.0mm_D7.5mm_P27.94mm_Horizontal_Fastron_MESC -Inductor, Axial series, Axial, Horizontal, pin pitch=27.94mm, , length*diameter=24*7.5mm^2, Fastron, MESC, http://cdn-reichelt.de/documents/datenblatt/B400/DS_MESC.pdf -Inductor Axial series Axial Horizontal pin pitch 27.94mm length 24mm diameter 7.5mm Fastron MESC -0 -2 -2 -Inductor_THT -L_Axial_L26.0mm_D9.0mm_P5.08mm_Vertical_Fastron_77A -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=26*9mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Vertical pin pitch 5.08mm length 26mm diameter 9mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L26.0mm_D9.0mm_P7.62mm_Vertical_Fastron_77A -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=26*9mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 26mm diameter 9mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L26.0mm_D9.0mm_P30.48mm_Horizontal_Fastron_77A -Inductor, Axial series, Axial, Horizontal, pin pitch=30.48mm, , length*diameter=26*9mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Horizontal pin pitch 30.48mm length 26mm diameter 9mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L26.0mm_D10.0mm_P5.08mm_Vertical_Fastron_77A -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=26*10mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Vertical pin pitch 5.08mm length 26mm diameter 10mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L26.0mm_D10.0mm_P7.62mm_Vertical_Fastron_77A -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=26*10mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 26mm diameter 10mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L26.0mm_D10.0mm_P30.48mm_Horizontal_Fastron_77A -Inductor, Axial series, Axial, Horizontal, pin pitch=30.48mm, , length*diameter=26*10mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Horizontal pin pitch 30.48mm length 26mm diameter 10mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L26.0mm_D11.0mm_P5.08mm_Vertical_Fastron_77A -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=26*11mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Vertical pin pitch 5.08mm length 26mm diameter 11mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L26.0mm_D11.0mm_P7.62mm_Vertical_Fastron_77A -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=26*11mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 26mm diameter 11mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L26.0mm_D11.0mm_P30.48mm_Horizontal_Fastron_77A -Inductor, Axial series, Axial, Horizontal, pin pitch=30.48mm, , length*diameter=26*11mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Horizontal pin pitch 30.48mm length 26mm diameter 11mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L26.7mm_D12.1mm_P7.62mm_Vertical_Vishay_IHA-103 -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=26.67*12.07mm^2, Vishay, IHA-103, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 26.67mm diameter 12.07mm Vishay IHA-103 -0 -2 -2 -Inductor_THT -L_Axial_L26.7mm_D12.1mm_P35.00mm_Horizontal_Vishay_IHA-103 -Inductor, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=26.67*12.07mm^2, Vishay, IHA-103, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Horizontal pin pitch 35mm length 26.67mm diameter 12.07mm Vishay IHA-103 -0 -2 -2 -Inductor_THT -L_Axial_L26.7mm_D14.0mm_P7.62mm_Vertical_Vishay_IHA-104 -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=26.67*13.97mm^2, Vishay, IHA-104, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 26.67mm diameter 13.97mm Vishay IHA-104 -0 -2 -2 -Inductor_THT -L_Axial_L26.7mm_D14.0mm_P35.00mm_Horizontal_Vishay_IHA-104 -Inductor, Axial series, Axial, Horizontal, pin pitch=35mm, , length*diameter=26.67*13.97mm^2, Vishay, IHA-104, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Horizontal pin pitch 35mm length 26.67mm diameter 13.97mm Vishay IHA-104 -0 -2 -2 -Inductor_THT -L_Axial_L29.9mm_D14.0mm_P7.62mm_Vertical_Vishay_IHA-105 -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=29.85*13.97mm^2, Vishay, IHA-105, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 29.85mm diameter 13.97mm Vishay IHA-105 -0 -2 -2 -Inductor_THT -L_Axial_L29.9mm_D14.0mm_P38.00mm_Horizontal_Vishay_IHA-105 -Inductor, Axial series, Axial, Horizontal, pin pitch=38mm, , length*diameter=29.85*13.97mm^2, Vishay, IHA-105, http://www.vishay.com/docs/34014/iha.pdf -Inductor Axial series Axial Horizontal pin pitch 38mm length 29.85mm diameter 13.97mm Vishay IHA-105 -0 -2 -2 -Inductor_THT -L_Axial_L30.0mm_D8.0mm_P5.08mm_Vertical_Fastron_77A -Inductor, Axial series, Axial, Vertical, pin pitch=5.08mm, , length*diameter=30*8mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Vertical pin pitch 5.08mm length 30mm diameter 8mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L30.0mm_D8.0mm_P7.62mm_Vertical_Fastron_77A -Inductor, Axial series, Axial, Vertical, pin pitch=7.62mm, , length*diameter=30*8mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Vertical pin pitch 7.62mm length 30mm diameter 8mm Fastron 77A -0 -2 -2 -Inductor_THT -L_Axial_L30.0mm_D8.0mm_P35.56mm_Horizontal_Fastron_77A -Inductor, Axial series, Axial, Horizontal, pin pitch=35.56mm, , length*diameter=30*8mm^2, Fastron, 77A, http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf -Inductor Axial series Axial Horizontal pin pitch 35.56mm length 30mm diameter 8mm Fastron 77A -0 -2 -2 -Inductor_THT -L_CommonMode_PulseElectronics_PH9455x105NL_1 -common mode, inductor, filter, https://productfinder.pulseelectronics.com/api/open/product-attachments/datasheet/ph9455.105nl -cmode choke dual -0 -4 -4 -Inductor_THT -L_CommonMode_PulseElectronics_PH9455x155NL_1 -common mode, inductor, filter, https://productfinder.pulseelectronics.com/api/open/product-attachments/datasheet/ph9455.105nl -cmode choke dual -0 -4 -4 -Inductor_THT -L_CommonMode_PulseElectronics_PH9455x205NL_1 -common mode, inductor, filter, https://productfinder.pulseelectronics.com/api/open/product-attachments/datasheet/ph9455.105nl -cmode choke dual -0 -4 -4 -Inductor_THT -L_CommonMode_PulseElectronics_PH9455x405NL_1 -common mode, inductor, filter, https://productfinder.pulseelectronics.com/api/open/product-attachments/datasheet/ph9455.105nl -cmode choke dual -0 -4 -4 -Inductor_THT -L_CommonMode_PulseElectronics_PH9455x705NL_1 -common mode, inductor, filter, https://productfinder.pulseelectronics.com/api/open/product-attachments/datasheet/ph9455.105nl -cmode choke dual -0 -4 -4 -Inductor_THT -L_CommonMode_PulseElectronics_PH9455xxx6NL_2 -common mode, inductor, filter, https://productfinder.pulseelectronics.com/api/open/product-attachments/datasheet/ph9455.105nl -cmode choke dual -0 -4 -4 -Inductor_THT -L_CommonMode_TDK_B82746S4143A040 -13A, 3Phase, Triple Coil, CM Choke, https://www.tdk-electronics.tdk.com/inf/30/ds/b82746s.pdf -common mode filter triple choke -0 -6 -6 -Inductor_THT -L_CommonMode_TDK_B82746S6702A040 -8A, 3Phase, Triple Coil, CM Choke, https://www.tdk-electronics.tdk.com/inf/30/ds/b82746s.pdf -common mode filter triple choke -0 -6 -6 -Inductor_THT -L_CommonMode_TDK_B82747E6163A040 -16A, 3Phase, Triple Coil, CM Choke, drill hole 2mm ( https://product.tdk.com/system/files/dam/doc/product/emc/emc/line-filter/data_sheet/30/ds/b82747e6.pdf ) -three phase common mode triple choke -0 -6 -6 -Inductor_THT -L_CommonMode_TDK_B82747E6203A040 -20A, 3Phase, Triple Coil, CM Choke, drill hole 2.2mm ( https://product.tdk.com/system/files/dam/doc/product/emc/emc/line-filter/data_sheet/30/ds/b82747e6.pdf ) -three phase common mode triple choke -0 -6 -6 -Inductor_THT -L_CommonMode_TDK_B82747E6253A040 -25A, 3Phase, Triple Coil, CM Choke, drill hole 2.44mm ( https://product.tdk.com/system/files/dam/doc/product/emc/emc/line-filter/data_sheet/30/ds/b82747e6.pdf ) -three phase common mode triple choke -0 -6 -6 -Inductor_THT -L_CommonMode_TDK_B82747E6353A040 -35A, 3Phase, Triple Coil, CM Choke, drill hole 3mm ( https://product.tdk.com/system/files/dam/doc/product/emc/emc/line-filter/data_sheet/30/ds/b82747e6.pdf ) -three phase common mode triple choke -0 -6 -6 -Inductor_THT -L_CommonMode_TDK_B82767S4123N030 -12A, 3Phase N, Quadruple Coil, https://www.tdk-electronics.tdk.com/inf/30/ds/b82767s4.pdf -common mode filter quadruple choke -0 -8 -8 -Inductor_THT -L_CommonMode_TDK_B82767S4193N030 -19A, 3Phase N, Quadruple Coil, https://www.tdk-electronics.tdk.com/inf/30/ds/b82767s4.pdf -common mode filter quadruple choke -0 -8 -8 -Inductor_THT -L_CommonMode_TDK_B82767S4263N030 -26A , 3Phase N, Quadruple Coil, https://www.tdk-electronics.tdk.com/inf/30/ds/b82767s4.pdf -common mode filter quadruple choke -0 -8 -8 -Inductor_THT -L_CommonMode_Toroid_Vertical_L19.3mm_W10.8mm_Px6.35mm_Py15.24mm_Bourns_8100 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=6.35*15.24mm^2, , length*width=19.304*10.795mm^2, Bourns, 8100, http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 6.35*15.24mm^2 length 19.304mm width 10.795mm Bourns 8100 -0 -4 -4 -Inductor_THT -L_CommonMode_Toroid_Vertical_L21.0mm_W10.0mm_Px5.08mm_Py12.70mm_Murata_5100 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=5.08*12.70mm^2, , length*width=21*10mm^2, muRATA, 5100, http://www.murata-ps.com/data/magnetics/kmp_5100.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 5.08*12.70mm^2 length 21mm width 10mm muRATA 5100 -0 -4 -4 -Inductor_THT -L_CommonMode_Toroid_Vertical_L24.0mm_W16.3mm_Px10.16mm_Py20.32mm_Murata_5200 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=10.16*20.32mm^2, , length*width=24*16.3mm^2, muRATA, 5200, http://www.murata-ps.com/data/magnetics/kmp_5200.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 10.16*20.32mm^2 length 24mm width 16.3mm muRATA 5200 -0 -4 -4 -Inductor_THT -L_CommonMode_Toroid_Vertical_L30.5mm_W15.2mm_Px10.16mm_Py20.32mm_Bourns_8100 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=10.16*20.32mm^2, , length*width=30.479999999999997*15.239999999999998mm^2, Bourns, 8100, http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 10.16*20.32mm^2 length 30.479999999999997mm width 15.239999999999998mm Bourns 8100 -0 -4 -4 -Inductor_THT -L_CommonMode_Toroid_Vertical_L34.3mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=15.24*22.86mm^2, , length*width=34.29*20.32mm^2, Bourns, 8100, http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 15.24*22.86mm^2 length 34.29mm width 20.32mm Bourns 8100 -0 -4 -4 -Inductor_THT -L_CommonMode_Toroid_Vertical_L36.8mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=15.24*22.86mm^2, , length*width=36.83*20.32mm^2, Bourns, 8100, http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 15.24*22.86mm^2 length 36.83mm width 20.32mm Bourns 8100 -0 -4 -4 -Inductor_THT -L_CommonMode_Toroid_Vertical_L38.1mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=15.24*22.86mm^2, , length*width=38.099999999999994*20.32mm^2, Bourns, 8100, http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 15.24*22.86mm^2 length 38.099999999999994mm width 20.32mm Bourns 8100 -0 -4 -4 -Inductor_THT -L_CommonMode_Toroid_Vertical_L39.4mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=15.24*22.86mm^2, , length*width=39.37*20.32mm^2, Bourns, 8100, http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 15.24*22.86mm^2 length 39.37mm width 20.32mm Bourns 8100 -0 -4 -4 -Inductor_THT -L_CommonMode_Toroid_Vertical_L41.9mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=15.24*22.86mm^2, , length*width=41.91*20.32mm^2, Bourns, 8100, http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 15.24*22.86mm^2 length 41.91mm width 20.32mm Bourns 8100 -0 -4 -4 -Inductor_THT -L_CommonMode_Toroid_Vertical_L43.2mm_W22.9mm_Px17.78mm_Py30.48mm_Bourns_8100 -L_CommonMode_Toroid, Vertical series, Radial, pin pitch=17.78*30.48mm^2, , length*width=43.18*22.86mm^2, Bourns, 8100, http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf -L_CommonMode_Toroid Vertical series Radial pin pitch 17.78*30.48mm^2 length 43.18mm width 22.86mm Bourns 8100 -0 -4 -4 -Inductor_THT -L_CommonMode_VAC_T60405-S6123-X140 -40A, 3Phase, Triple Coil, CM Choke, https://vacuumschmelze.com/03_Documents/Datasheets%20-%20Drawings/Commom-Mode-Chokes/6123-X140.pdf -common mode filter -0 -6 -6 -Inductor_THT -L_CommonMode_VAC_T60405-S6123-X240 -40A, 3Phase, Triple Coil, CM Choke, https://vacuumschmelze.com/03_Documents/Datasheets%20-%20Drawings/Commom-Mode-Chokes/6123-X240.pdf -common mode filter triple choke -0 -6 -6 -Inductor_THT -L_CommonMode_VAC_T60405-S6123-X402 -30A, 3Phase N, Quadruple Coil, CM Choke, https://vacuumschmelze.com/03_Documents/Datasheets%20-%20Drawings/Commom-Mode-Chokes/6123-X402.pdf -common mode filter quadruple choke -0 -8 -8 -Inductor_THT -L_CommonMode_Wuerth_WE-CMB-L -Wuerth, WE-CMB, Bauform L, -CommonModeChoke Gleichtaktdrossel -0 -4 -4 -Inductor_THT -L_CommonMode_Wuerth_WE-CMB-M -Wuerth, WE-CMB, Bauform M, -CommonModeChoke Gleichtaktdrossel -0 -4 -4 -Inductor_THT -L_CommonMode_Wuerth_WE-CMB-S -Wuerth, WE-CMB, Bauform S, -CommonModeChoke Gleichtaktdrossel -0 -4 -4 -Inductor_THT -L_CommonMode_Wuerth_WE-CMB-XL -Wuerth, WE-CMB, Bauform XL, -CommonModeChoke Gleichtaktdrossel -0 -4 -4 -Inductor_THT -L_CommonMode_Wuerth_WE-CMB-XS -Wuerth, WE-CMB, Bauform XS, -CommonModeChoke Gleichtaktdrossel -0 -4 -4 -Inductor_THT -L_CommonMode_Wuerth_WE-CMB-XXL -Wuerth, WE-CMB, Bauform XXL, -CommonModeChoke Gleichtaktdrossel -0 -4 -4 -Inductor_THT -L_Mount_Lodestone_VTM120 -Lodestone Pacific, 30.48mm diameter vertical toroid mount, 16AWG/1.27mm holes, https://www.lodestonepacific.com/wp-content/uploads/2020/07/VTM-Series-Full-Page.pdf -vertical inductor toroid mount -0 -4 -4 -Inductor_THT -L_Mount_Lodestone_VTM160 -Lodestone Pacific, 40.64mm diameter vertical toroid mount, 16AWG/1.27mm holes, https://www.lodestonepacific.com/wp-content/uploads/2020/07/VTM-Series-Full-Page.pdf -vertical inductor toroid mount -0 -4 -4 -Inductor_THT -L_Mount_Lodestone_VTM254 -Lodestone Pacific, 64.51mm diameter vertical toroid mount, 16AWG/1.27mm holes, https://www.lodestonepacific.com/wp-content/uploads/2020/07/VTM-Series-Full-Page.pdf -vertical inductor toroid mount -0 -4 -4 -Inductor_THT -L_Mount_Lodestone_VTM280 -Lodestone Pacific, 71.12mm diameter vertical toroid mount, 16AWG/1.27mm holes, https://www.lodestonepacific.com/wp-content/uploads/2020/07/VTM-Series-Full-Page.pdf -vertical inductor toroid mount -0 -4 -4 -Inductor_THT -L_Mount_Lodestone_VTM950-6 -Lodestone Pacific, vertical toroid mount, 11x19mm, 6 pins, https://www.lodestonepacific.com/wp-content/uploads/2021/05/VTM950-6.pdf -vertical inductor toroid mount -0 -6 -6 -Inductor_THT -L_Radial_D6.0mm_P4.00mm -Inductor, Radial series, Radial, pin pitch=4.00mm, , diameter=6.0mm, http://www.abracon.com/Magnetics/radial/AIUR-07.pdf -Inductor Radial series Radial pin pitch 4.00mm diameter 6.0mm -0 -2 -2 -Inductor_THT -L_Radial_D7.0mm_P3.00mm -Inductor, Radial series, Radial, pin pitch=3.00mm, , diameter=7mm, http://www.abracon.com/Magnetics/radial/AIUR-16.pdf -Inductor Radial series Radial pin pitch 3.00mm diameter 7mm -0 -2 -2 -Inductor_THT -L_Radial_D7.2mm_P3.00mm_Murata_1700 -Inductor, Radial series, Radial, pin pitch=3.00mm, , diameter=7.2mm, MuRATA, 1700, http://www.murata-ps.com/data/magnetics/kmp_1700.pdf -Inductor Radial series Radial pin pitch 3.00mm diameter 7.2mm MuRATA 1700 -0 -2 -2 -Inductor_THT -L_Radial_D7.5mm_P3.50mm_Fastron_07P -Inductor, Radial series, Radial, pin pitch=3.50mm, , diameter=7.5mm, Fastron, 07P, http://www.fastrongroup.com/image-show/39/07P.pdf?type=Complete-DataSheet&productType=series -Inductor Radial series Radial pin pitch 3.50mm diameter 7.5mm Fastron 07P -0 -2 -2 -Inductor_THT -L_Radial_D7.5mm_P5.00mm_Fastron_07P -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=7.5mm, Fastron, 07P, http://www.fastrongroup.com/image-show/39/07P.pdf?type=Complete-DataSheet&productType=series -Inductor Radial series Radial pin pitch 5.00mm diameter 7.5mm Fastron 07P -0 -2 -2 -Inductor_THT -L_Radial_D7.8mm_P5.00mm_Fastron_07HCP -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=7.8mm, Fastron, 07HCP, http://www.abracon.com/Magnetics/radial/AISR875.pdf -Inductor Radial series Radial pin pitch 5.00mm diameter 7.8mm Fastron 07HCP -0 -2 -2 -Inductor_THT -L_Radial_D8.7mm_P5.00mm_Fastron_07HCP -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=8.7mm, Fastron, 07HCP, http://cdn-reichelt.de/documents/datenblatt/B400/DS_07HCP.pdf -Inductor Radial series Radial pin pitch 5.00mm diameter 8.7mm Fastron 07HCP -0 -2 -2 -Inductor_THT -L_Radial_D9.5mm_P5.00mm_Fastron_07HVP -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=9.5mm, Fastron, 07HVP, http://www.fastrongroup.com/image-show/107/07HVP%2007HVP_T.pdf?type=Complete-DataSheet&productType=series -Inductor Radial series Radial pin pitch 5.00mm diameter 9.5mm Fastron 07HVP -0 -2 -2 -Inductor_THT -L_Radial_D10.0mm_P5.00mm_Fastron_07M -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Fastron, 07M, http://www.fastrongroup.com/image-show/37/07M.pdf?type=Complete-DataSheet&productType=series -Inductor Radial series Radial pin pitch 5.00mm diameter 10mm Fastron 07M -0 -2 -2 -Inductor_THT -L_Radial_D10.0mm_P5.00mm_Fastron_07P -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Fastron, 07P, http://www.fastrongroup.com/image-show/37/07M.pdf?type=Complete-DataSheet&productType=series -Inductor Radial series Radial pin pitch 5.00mm diameter 10mm Fastron 07P -0 -2 -2 -Inductor_THT -L_Radial_D10.0mm_P5.00mm_Neosid_SD12_style3 -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=10.0mm, Neosid, SD12, style3, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12.pdf -Inductor Radial series Radial pin pitch 5.00mm diameter 10.0mm Neosid SD12 style3 -0 -2 -2 -Inductor_THT -L_Radial_D10.0mm_P5.00mm_Neosid_SD12k_style3 -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=10.0mm, Neosid, SD12k, style3, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12k.pdf -Inductor Radial series Radial pin pitch 5.00mm diameter 10.0mm Neosid SD12k style3 -0 -2 -2 -Inductor_THT -L_Radial_D10.5mm_P4.00x5.00mm_Murata_1200RS -Inductor, Radial, Pitch=4.00x5.00mm, Diameter=10.5mm, Murata 1200RS, http://www.murata-ps.com/data/magnetics/kmp_1200rs.pdf -Inductor Radial Murata 1200RS -0 -4 -2 -Inductor_THT -L_Radial_D10.5mm_P5.00mm_Abacron_AISR-01 -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=10.5mm, Abacron, AISR-01, http://www.abracon.com/Magnetics/radial/AISR-01.pdf -Inductor Radial series Radial pin pitch 5.00mm diameter 10.5mm Abacron AISR-01 -0 -2 -2 -Inductor_THT -L_Radial_D12.0mm_P5.00mm_Fastron_11P -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=12.0mm, Fastron, 11P, http://cdn-reichelt.de/documents/datenblatt/B400/DS_11P.pdf -Inductor Radial series Radial pin pitch 5.00mm diameter 12.0mm Fastron 11P -0 -2 -2 -Inductor_THT -L_Radial_D12.0mm_P5.00mm_Neosid_SD12_style2 -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=12.0mm, Neosid, SD12, style2, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12.pdf -Inductor Radial series Radial pin pitch 5.00mm diameter 12.0mm Neosid SD12 style2 -0 -2 -2 -Inductor_THT -L_Radial_D12.0mm_P5.00mm_Neosid_SD12k_style2 -Inductor, Radial series, Radial, pin pitch=5.00mm, , diameter=12.0mm, Neosid, SD12k, style2, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12k.pdf -Inductor Radial series Radial pin pitch 5.00mm diameter 12.0mm Neosid SD12k style2 -0 -2 -2 -Inductor_THT -L_Radial_D12.0mm_P6.00mm_Murata_1900R -Inductor, Radial series, Radial, pin pitch=6.00mm, , diameter=12.0mm, MuRATA, 1900R, http://www.murata-ps.com/data/magnetics/kmp_1900r.pdf -Inductor Radial series Radial pin pitch 6.00mm diameter 12.0mm MuRATA 1900R -0 -2 -2 -Inductor_THT -L_Radial_D12.0mm_P10.00mm_Neosid_SD12_style1 -Inductor, Radial series, Radial, pin pitch=10.00mm, , diameter=12.0mm, Neosid, SD12, style1, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12.pdf -Inductor Radial series Radial pin pitch 10.00mm diameter 12.0mm Neosid SD12 style1 -0 -2 -2 -Inductor_THT -L_Radial_D12.0mm_P10.00mm_Neosid_SD12k_style1 -Inductor, Radial series, Radial, pin pitch=10.00mm, , diameter=12.0mm, Neosid, SD12k, style1, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12k.pdf -Inductor Radial series Radial pin pitch 10.00mm diameter 12.0mm Neosid SD12k style1 -0 -2 -2 -Inductor_THT -L_Radial_D12.5mm_P7.00mm_Fastron_09HCP -Inductor, Radial series, Radial, pin pitch=7.00mm, , diameter=12.5mm, Fastron, 09HCP, http://cdn-reichelt.de/documents/datenblatt/B400/DS_09HCP.pdf -Inductor Radial series Radial pin pitch 7.00mm diameter 12.5mm Fastron 09HCP -0 -2 -2 -Inductor_THT -L_Radial_D12.5mm_P9.00mm_Fastron_09HCP -Inductor, Radial series, Radial, pin pitch=9.00mm, , diameter=12.5mm, Fastron, 09HCP, http://cdn-reichelt.de/documents/datenblatt/B400/DS_09HCP.pdf -Inductor Radial series Radial pin pitch 9.00mm diameter 12.5mm Fastron 09HCP -0 -2 -2 -Inductor_THT -L_Radial_D13.5mm_P7.00mm_Fastron_09HCP -Inductor, Radial series, Radial, pin pitch=7.00mm, , diameter=13.5mm, Fastron, 09HCP, http://cdn-reichelt.de/documents/datenblatt/B400/DS_09HCP.pdf -Inductor Radial series Radial pin pitch 7.00mm diameter 13.5mm Fastron 09HCP -0 -2 -2 -Inductor_THT -L_Radial_D14.2mm_P10.00mm_Neosid_SD14 -Inductor, Radial series, Radial, pin pitch=10.00mm, , diameter=14.2mm, Neosid, SD14, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd14.pdf -Inductor Radial series Radial pin pitch 10.00mm diameter 14.2mm Neosid SD14 -0 -2 -2 -Inductor_THT -L_Radial_D16.0mm_P10.00mm_Panasonic_15E-L -Panasonic ELC Type 15E-L inductor, radial, shielded, pin pitch=10.00mm, diameter=16.0mm, https://mediap.industry.panasonic.eu/assets/imported/industrial.panasonic.com/cdbs/www-data/pdf/AGB0000/AGB0000CE10.pdf -ELC15ExxxL -0 -3 -3 -Inductor_THT -L_Radial_D16.8mm_P11.43mm_Vishay_IHB-1 -Inductor, Radial series, Radial, pin pitch=11.43mm, , diameter=16.8mm, Vishay, IHB-1, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 11.43mm diameter 16.8mm Vishay IHB-1 -0 -2 -2 -Inductor_THT -L_Radial_D16.8mm_P12.07mm_Vishay_IHB-1 -Inductor, Radial series, Radial, pin pitch=12.07mm, , diameter=16.8mm, Vishay, IHB-1, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 12.07mm diameter 16.8mm Vishay IHB-1 -0 -2 -2 -Inductor_THT -L_Radial_D16.8mm_P12.70mm_Vishay_IHB-1 -Inductor, Radial series, Radial, pin pitch=12.70mm, , diameter=16.8mm, Vishay, IHB-1, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 12.70mm diameter 16.8mm Vishay IHB-1 -0 -2 -2 -Inductor_THT -L_Radial_D18.0mm_P10.00mm -Inductor, Radial series, Radial, pin pitch=10.00mm, , diameter=18mm, http://www.abracon.com/Magnetics/radial/AIUR-15.pdf -Inductor Radial series Radial pin pitch 10.00mm diameter 18mm -0 -2 -2 -Inductor_THT -L_Radial_D21.0mm_P14.61mm_Vishay_IHB-2 -Inductor, Radial series, Radial, pin pitch=14.61mm, , diameter=21mm, Vishay, IHB-2, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 14.61mm diameter 21mm Vishay IHB-2 -0 -2 -2 -Inductor_THT -L_Radial_D21.0mm_P15.00mm_Vishay_IHB-2 -Inductor, Radial series, Radial, pin pitch=15.00mm, , diameter=21mm, Vishay, IHB-2, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 15.00mm diameter 21mm Vishay IHB-2 -0 -2 -2 -Inductor_THT -L_Radial_D21.0mm_P15.24mm_Vishay_IHB-2 -Inductor, Radial series, Radial, pin pitch=15.24mm, , diameter=21mm, Vishay, IHB-2, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 15.24mm diameter 21mm Vishay IHB-2 -0 -2 -2 -Inductor_THT -L_Radial_D21.0mm_P15.75mm_Vishay_IHB-2 -Inductor, Radial series, Radial, pin pitch=15.75mm, , diameter=21mm, Vishay, IHB-2, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 15.75mm diameter 21mm Vishay IHB-2 -0 -2 -2 -Inductor_THT -L_Radial_D21.0mm_P19.00mm -Inductor, Radial series, Radial, pin pitch=19.00mm, , diameter=21mm, http://www.abracon.com/Magnetics/radial/AIRD02.pdf -Inductor Radial series Radial pin pitch 19.00mm diameter 21mm -0 -2 -2 -Inductor_THT -L_Radial_D24.0mm_P24.00mm -Inductor, Radial series, Radial, pin pitch=24.00mm, , diameter=24mm -Inductor Radial series Radial pin pitch 24.00mm diameter 24mm -0 -2 -2 -Inductor_THT -L_Radial_D24.4mm_P22.90mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=22.90mm, , diameter=24.4mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 22.90mm diameter 24.4mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D24.4mm_P23.10mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=23.10mm, , diameter=24.4mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 23.10mm diameter 24.4mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D24.4mm_P23.40mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=23.40mm, , diameter=24.4mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 23.40mm diameter 24.4mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D24.4mm_P23.70mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=23.70mm, , diameter=24.4mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 23.70mm diameter 24.4mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D24.4mm_P23.90mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=23.90mm, , diameter=24.4mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 23.90mm diameter 24.4mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D27.9mm_P18.29mm_Vishay_IHB-3 -Inductor, Radial series, Radial, pin pitch=18.29mm, , diameter=27.9mm, Vishay, IHB-3, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 18.29mm diameter 27.9mm Vishay IHB-3 -0 -2 -2 -Inductor_THT -L_Radial_D27.9mm_P19.05mm_Vishay_IHB-3 -Inductor, Radial series, Radial, pin pitch=19.05mm, , diameter=27.9mm, Vishay, IHB-3, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 19.05mm diameter 27.9mm Vishay IHB-3 -0 -2 -2 -Inductor_THT -L_Radial_D27.9mm_P20.07mm_Vishay_IHB-3 -Inductor, Radial series, Radial, pin pitch=20.07mm, , diameter=27.9mm, Vishay, IHB-3, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 20.07mm diameter 27.9mm Vishay IHB-3 -0 -2 -2 -Inductor_THT -L_Radial_D28.0mm_P29.20mm -Inductor, Radial series, Radial, pin pitch=29.20mm, , diameter=28mm -Inductor Radial series Radial pin pitch 29.20mm diameter 28mm -0 -2 -2 -Inductor_THT -L_Radial_D29.8mm_P28.30mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=28.30mm, , diameter=29.8mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 28.30mm diameter 29.8mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D29.8mm_P28.50mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=28.50mm, , diameter=29.8mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 28.50mm diameter 29.8mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D29.8mm_P28.80mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=28.80mm, , diameter=29.8mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 28.80mm diameter 29.8mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D29.8mm_P29.00mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=29.00mm, , diameter=29.8mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 29.00mm diameter 29.8mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D29.8mm_P29.30mm_Murata_1400series -Inductor, Radial series, Radial, pin pitch=29.30mm, , diameter=29.8mm, muRATA, 1400series, http://www.murata-ps.com/data/magnetics/kmp_1400.pdf -Inductor Radial series Radial pin pitch 29.30mm diameter 29.8mm muRATA 1400series -0 -2 -2 -Inductor_THT -L_Radial_D40.6mm_P26.16mm_Vishay_IHB-5 -Inductor, Radial series, Radial, pin pitch=26.16mm, , diameter=40.64mm, Vishay, IHB-5, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 26.16mm diameter 40.64mm Vishay IHB-5 -0 -2 -2 -Inductor_THT -L_Radial_D40.6mm_P27.18mm_Vishay_IHB-4 -Inductor, Radial series, Radial, pin pitch=27.18mm, , diameter=40.64mm, Vishay, IHB-4, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 27.18mm diameter 40.64mm Vishay IHB-4 -0 -2 -2 -Inductor_THT -L_Radial_D40.6mm_P27.94mm_Vishay_IHB-4 -Inductor, Radial series, Radial, pin pitch=27.94mm, , diameter=40.64mm, Vishay, IHB-4, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 27.94mm diameter 40.64mm Vishay IHB-4 -0 -2 -2 -Inductor_THT -L_Radial_D40.6mm_P27.94mm_Vishay_IHB-5 -Inductor, Radial series, Radial, pin pitch=27.94mm, , diameter=40.64mm, Vishay, IHB-5, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 27.94mm diameter 40.64mm Vishay IHB-5 -0 -2 -2 -Inductor_THT -L_Radial_D40.6mm_P28.70mm_Vishay_IHB-5 -Inductor, Radial series, Radial, pin pitch=28.70mm, , diameter=40.64mm, Vishay, IHB-5, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 28.70mm diameter 40.64mm Vishay IHB-5 -0 -2 -2 -Inductor_THT -L_Radial_D50.8mm_P33.27mm_Vishay_IHB-6 -Inductor, Radial series, Radial, pin pitch=33.27mm, , diameter=50.8mm, Vishay, IHB-6, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 33.27mm diameter 50.8mm Vishay IHB-6 -0 -2 -2 -Inductor_THT -L_Radial_D50.8mm_P34.29mm_Vishay_IHB-6 -Inductor, Radial series, Radial, pin pitch=34.29mm, , diameter=50.8mm, Vishay, IHB-6, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 34.29mm diameter 50.8mm Vishay IHB-6 -0 -2 -2 -Inductor_THT -L_Radial_D50.8mm_P35.81mm_Vishay_IHB-6 -Inductor, Radial series, Radial, pin pitch=35.81mm, , diameter=50.8mm, Vishay, IHB-6, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 35.81mm diameter 50.8mm Vishay IHB-6 -0 -2 -2 -Inductor_THT -L_Radial_D50.8mm_P36.32mm_Vishay_IHB-6 -Inductor, Radial series, Radial, pin pitch=36.32mm, , diameter=50.8mm, Vishay, IHB-6, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 36.32mm diameter 50.8mm Vishay IHB-6 -0 -2 -2 -Inductor_THT -L_Radial_D50.8mm_P38.86mm_Vishay_IHB-6 -Inductor, Radial series, Radial, pin pitch=38.86mm, , diameter=50.8mm, Vishay, IHB-6, http://www.vishay.com/docs/34015/ihb.pdf -Inductor Radial series Radial pin pitch 38.86mm diameter 50.8mm Vishay IHB-6 -0 -2 -2 -Inductor_THT -L_Radial_L7.5mm_W4.6mm_P5.00mm_Neosid_SD75 -Inductor, Radial series, Radial, pin pitch=5.00mm, , length*width=7.5*4.6mm^2, Neosid, SD75, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd75.pdf -Inductor Radial series Radial pin pitch 5.00mm length 7.5mm width 4.6mm Neosid SD75 -0 -2 -2 -Inductor_THT -L_Radial_L8.0mm_W8.0mm_P5.00mm_Neosid_NE-CPB-07E -Inductor, Radial series, Radial, pin pitch=5.00mm, , length*width=8*8mm^2, Neosid, NE-CPB-07E, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB07E.pdf -Inductor Radial series Radial pin pitch 5.00mm length 8mm width 8mm Neosid NE-CPB-07E -0 -2 -2 -Inductor_THT -L_Radial_L8.0mm_W8.0mm_P5.00mm_Neosid_SD8 -Inductor, Radial series, Radial, pin pitch=5.00mm, , length*width=8*8mm^2, Neosid, SD8, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd8.pdf -Inductor Radial series Radial pin pitch 5.00mm length 8mm width 8mm Neosid SD8 -0 -2 -2 -Inductor_THT -L_Radial_L9.1mm_W9.1mm_Px6.35mm_Py6.35mm_Pulse_LP-25 -Inductor, Radial series, Radial, pin pitch=6.35*6.35mm^2, , length*width=9.14*9.14mm^2, Pulse, LP-25, http://datasheet.octopart.com/PE-54044NL-Pulse-datasheet-5313493.pdf -Inductor Radial series Radial pin pitch 6.35*6.35mm^2 length 9.14mm width 9.14mm Pulse LP-25 -0 -2 -2 -Inductor_THT -L_Radial_L10.2mm_W10.2mm_Px7.62mm_Py7.62mm_Pulse_LP-30 -Inductor, Radial series, Radial, pin pitch=7.62*7.62mm^2, , length*width=10.16*10.16mm^2, Pulse, LP-30, http://datasheet.octopart.com/PE-54044NL-Pulse-datasheet-5313493.pdf -Inductor Radial series Radial pin pitch 7.62*7.62mm^2 length 10.16mm width 10.16mm Pulse LP-30 -0 -2 -2 -Inductor_THT -L_Radial_L11.5mm_W11.5mm_Px6.00mm_Py6.00mm_Neosid_NE-CPB-11EN_Drill1.3mm -Inductor, Radial series, Radial, pin pitch=6.00*6.00mm^2, , length*width=11.5*11.5mm^2, Neosid, NE-CPB-11EN, Drill1.3mm, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB11EN.pdf -Inductor Radial series Radial pin pitch 6.00*6.00mm^2 length 11.5mm width 11.5mm Neosid NE-CPB-11EN Drill1.3mm -0 -2 -2 -Inductor_THT -L_Radial_L11.5mm_W11.5mm_Px6.00mm_Py6.00mm_Neosid_NE-CPB-11EN_Drill1.5mm -Inductor, Radial series, Radial, pin pitch=6.00*6.00mm^2, , length*width=11.5*11.5mm^2, Neosid, NE-CPB-11EN, Drill1.5mm, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB11EN.pdf -Inductor Radial series Radial pin pitch 6.00*6.00mm^2 length 11.5mm width 11.5mm Neosid NE-CPB-11EN Drill1.5mm -0 -2 -2 -Inductor_THT -L_Radial_L11.5mm_W11.5mm_Px6.00mm_Py6.00mm_Neosid_NE-CPB-11EN_Drill1.7mm -Inductor, Radial series, Radial, pin pitch=6.00*6.00mm^2, , length*width=11.5*11.5mm^2, Neosid, NE-CPB-11EN, Drill1.7mm, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB11EN.pdf -Inductor Radial series Radial pin pitch 6.00*6.00mm^2 length 11.5mm width 11.5mm Neosid NE-CPB-11EN Drill1.7mm -0 -2 -2 -Inductor_THT -L_Radial_L11.5mm_W11.5mm_Px6.00mm_Py6.00mm_Neosid_NE-CPB-11EN_Drill1.8mm -Inductor, Radial series, Radial, pin pitch=6.00*6.00mm^2, , length*width=11.5*11.5mm^2, Neosid, NE-CPB-11EN, Drill1.8mm, http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB11EN.pdf -Inductor Radial series Radial pin pitch 6.00*6.00mm^2 length 11.5mm width 11.5mm Neosid NE-CPB-11EN Drill1.8mm -0 -2 -2 -Inductor_THT -L_Radial_L12.6mm_W12.6mm_Px9.52mm_Py9.52mm_Pulse_LP-37 -Inductor, Radial series, Radial, pin pitch=9.52*9.52mm^2, , length*width=12.57*12.57mm^2, Pulse, LP-37, http://datasheet.octopart.com/PE-54044NL-Pulse-datasheet-5313493.pdf -Inductor Radial series Radial pin pitch 9.52*9.52mm^2 length 12.57mm width 12.57mm Pulse LP-37 -0 -2 -2 -Inductor_THT -L_Radial_L16.1mm_W16.1mm_Px7.62mm_Py12.70mm_Pulse_LP-44 -Inductor, Radial series, Radial, pin pitch=7.62*12.70mm^2, , length*width=16.13*16.13mm^2, Pulse, LP-44, http://datasheet.octopart.com/PE-54044NL-Pulse-datasheet-5313493.pdf -Inductor Radial series Radial pin pitch 7.62*12.70mm^2 length 16.13mm width 16.13mm Pulse LP-44 -0 -2 -2 -Inductor_THT -L_SELF1408 -Self Ferrite 14 - 08 -SELF -0 -7 -3 -Inductor_THT -L_SELF1418 -Self Ferrite 14 - 18 -SELF -0 -10 -4 -Inductor_THT -L_Toroid_Horizontal_D3.2mm_P6.40mm_Diameter3-5mm_Amidon-T12 -L_Toroid, Horizontal series, Radial, pin pitch=6.40mm, , diameter=3.175mm, Diameter3-5mm, Amidon-T12 -L_Toroid Horizontal series Radial pin pitch 6.40mm diameter 3.175mm Diameter3-5mm Amidon-T12 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D4.1mm_P8.00mm_Diameter4-5mm_Amidon-T16 -L_Toroid, Horizontal series, Radial, pin pitch=8.00mm, , diameter=4.064mm, Diameter4-5mm, Amidon-T16 -L_Toroid Horizontal series Radial pin pitch 8.00mm diameter 4.064mm Diameter4-5mm Amidon-T16 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D5.1mm_P9.00mm_Diameter6-5mm_Amidon-T20 -L_Toroid, Horizontal series, Radial, pin pitch=9.00mm, , diameter=5.08mm, Diameter6-5mm, Amidon-T20 -L_Toroid Horizontal series Radial pin pitch 9.00mm diameter 5.08mm Diameter6-5mm Amidon-T20 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D6.5mm_P10.00mm_Diameter7-5mm_Amidon-T25 -L_Toroid, Horizontal series, Radial, pin pitch=10.00mm, , diameter=6.476999999999999mm, Diameter7-5mm, Amidon-T25 -L_Toroid Horizontal series Radial pin pitch 10.00mm diameter 6.476999999999999mm Diameter7-5mm Amidon-T25 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D7.8mm_P13.00mm_Diameter9-5mm_Amidon-T30 -L_Toroid, Horizontal series, Radial, pin pitch=13.00mm, , diameter=7.7978mm, Diameter9-5mm, Amidon-T30 -L_Toroid Horizontal series Radial pin pitch 13.00mm diameter 7.7978mm Diameter9-5mm Amidon-T30 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D9.5mm_P15.00mm_Diameter10-5mm_Amidon-T37 -L_Toroid, Horizontal series, Radial, pin pitch=15.00mm, , diameter=9.524999999999999mm, Diameter10-5mm, Amidon-T37 -L_Toroid Horizontal series Radial pin pitch 15.00mm diameter 9.524999999999999mm Diameter10-5mm Amidon-T37 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D11.2mm_P17.00mm_Diameter12-5mm_Amidon-T44 -L_Toroid, Horizontal series, Radial, pin pitch=17.00mm, , diameter=11.176mm, Diameter12-5mm, Amidon-T44 -L_Toroid Horizontal series Radial pin pitch 17.00mm diameter 11.176mm Diameter12-5mm Amidon-T44 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D12.7mm_P20.00mm_Diameter14-5mm_Amidon-T50 -L_Toroid, Horizontal series, Radial, pin pitch=20.00mm, , diameter=12.7mm, Diameter14-5mm, Amidon-T50 -L_Toroid Horizontal series Radial pin pitch 20.00mm diameter 12.7mm Diameter14-5mm Amidon-T50 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D16.8mm_P14.70mm_Vishay_TJ3 -L_Toroid, Horizontal series, Radial, pin pitch=14.70mm, , diameter=16.8mm, Vishay, TJ3, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Horizontal series Radial pin pitch 14.70mm diameter 16.8mm Vishay TJ3 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D16.8mm_P14.70mm_Vishay_TJ3_BigPads -L_Toroid, Horizontal series, Radial, pin pitch=14.70mm, , diameter=16.8mm, Vishay, TJ3, BigPads, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Horizontal series Radial pin pitch 14.70mm diameter 16.8mm Vishay TJ3 BigPads -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D17.3mm_P15.24mm_Bourns_2000 -L_Toroid, Horizontal series, Radial, pin pitch=15.24mm, , diameter=17.3mm, Bourns, 2000, http://www.bourns.com/docs/Product-Datasheets/2000_series.pdf?sfvrsn=5 -L_Toroid Horizontal series Radial pin pitch 15.24mm diameter 17.3mm Bourns 2000 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D21.8mm_P19.10mm_Bourns_2100 -L_Toroid, Horizontal series, Radial, pin pitch=19.10mm, , diameter=21.8mm, Bourns, 2100, http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3 -L_Toroid Horizontal series Radial pin pitch 19.10mm diameter 21.8mm Bourns 2100 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D21.8mm_P19.60mm_Bourns_2100 -L_Toroid, Horizontal series, Radial, pin pitch=19.60mm, , diameter=21.8mm, Bourns, 2100, http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3 -L_Toroid Horizontal series Radial pin pitch 19.60mm diameter 21.8mm Bourns 2100 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D22.4mm_P19.80mm_Vishay_TJ4 -L_Toroid, Horizontal series, Radial, pin pitch=19.80mm, , diameter=22.4mm, Vishay, TJ4, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Horizontal series Radial pin pitch 19.80mm diameter 22.4mm Vishay TJ4 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D24.1mm_P21.80mm_Bourns_2200 -L_Toroid, Horizontal series, Radial, pin pitch=21.80mm, , diameter=24.1mm, Bourns, 2200, http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3 -L_Toroid Horizontal series Radial pin pitch 21.80mm diameter 24.1mm Bourns 2200 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D24.1mm_P23.10mm_Bourns_2200 -L_Toroid, Horizontal series, Radial, pin pitch=23.10mm, , diameter=24.1mm, Bourns, 2200, http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3 -L_Toroid Horizontal series Radial pin pitch 23.10mm diameter 24.1mm Bourns 2200 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D25.4mm_P22.90mm_Vishay_TJ5 -L_Toroid, Horizontal series, Radial, pin pitch=22.90mm, , diameter=25.4mm, Vishay, TJ5, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Horizontal series Radial pin pitch 22.90mm diameter 25.4mm Vishay TJ5 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D25.4mm_P22.90mm_Vishay_TJ5_BigPads -L_Toroid, Horizontal series, Radial, pin pitch=22.90mm, , diameter=25.4mm, Vishay, TJ5, BigPads, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Horizontal series Radial pin pitch 22.90mm diameter 25.4mm Vishay TJ5 BigPads -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D26.0mm_P5.08mm -inductor 26mm diameter toroid -SELF INDUCTOR -0 -3 -2 -Inductor_THT -L_Toroid_Horizontal_D28.0mm_P25.10mm_Bourns_2200 -L_Toroid, Horizontal series, Radial, pin pitch=25.10mm, , diameter=28mm, Bourns, 2200, http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3 -L_Toroid Horizontal series Radial pin pitch 25.10mm diameter 28mm Bourns 2200 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D28.0mm_P26.67mm_Bourns_2200 -L_Toroid, Horizontal series, Radial, pin pitch=26.67mm, , diameter=28mm, Bourns, 2200, http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3 -L_Toroid Horizontal series Radial pin pitch 26.67mm diameter 28mm Bourns 2200 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D32.5mm_P28.90mm_Bourns_2300 -L_Toroid, Horizontal series, Radial, pin pitch=28.90mm, , diameter=32.5mm, Bourns, 2300, http://www.bourns.com/docs/Product-Datasheets/2300_series.pdf?sfvrsn=3 -L_Toroid Horizontal series Radial pin pitch 28.90mm diameter 32.5mm Bourns 2300 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D32.5mm_P30.00mm_Bourns_2300 -L_Toroid, Horizontal series, Radial, pin pitch=30.00mm, , diameter=32.5mm, Bourns, 2300, http://www.bourns.com/docs/Product-Datasheets/2300_series.pdf?sfvrsn=3 -L_Toroid Horizontal series Radial pin pitch 30.00mm diameter 32.5mm Bourns 2300 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D35.1mm_P31.00mm_Vishay_TJ6 -L_Toroid, Horizontal series, Radial, pin pitch=31.00mm, , diameter=35.1mm, Vishay, TJ6, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Horizontal series Radial pin pitch 31.00mm diameter 35.1mm Vishay TJ6 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D40.0mm_P48.26mm -L_Toroid, Horizontal series, Radial, pin pitch=48.26mm, , diameter=40mm -L_Toroid Horizontal series Radial pin pitch 48.26mm diameter 40mm -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D41.9mm_P37.60mm_Vishay_TJ7 -L_Toroid, Horizontal series, Radial, pin pitch=37.60mm, , diameter=41.9mm, Vishay, TJ7, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Horizontal series Radial pin pitch 37.60mm diameter 41.9mm Vishay TJ7 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D49.3mm_P44.60mm_Vishay_TJ8 -L_Toroid, Horizontal series, Radial, pin pitch=44.60mm, , diameter=49.3mm, Vishay, TJ8, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Horizontal series Radial pin pitch 44.60mm diameter 49.3mm Vishay TJ8 -0 -2 -2 -Inductor_THT -L_Toroid_Horizontal_D69.1mm_P63.20mm_Vishay_TJ9 -L_Toroid, Horizontal series, Radial, pin pitch=63.20mm, , diameter=69.1mm, Vishay, TJ9, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Horizontal series Radial pin pitch 63.20mm diameter 69.1mm Vishay TJ9 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L10.0mm_W5.0mm_P5.08mm -L_Toroid, Vertical series, Radial, pin pitch=5.08mm, , length*width=10*5mm^2 -L_Toroid Vertical series Radial pin pitch 5.08mm length 10mm width 5mm -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L13.0mm_W6.5mm_P5.60mm -L_Toroid, Vertical series, Radial, pin pitch=5.60mm, , length*width=13*6.5mm^2 -L_Toroid Vertical series Radial pin pitch 5.60mm length 13mm width 6.5mm -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L14.0mm_W5.6mm_P5.30mm_Bourns_5700 -L_Toroid, Vertical series, Radial, pin pitch=5.30mm, , length*width=14*5.6mm^2, Bourns, 5700, http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf -L_Toroid Vertical series Radial pin pitch 5.30mm length 14mm width 5.6mm Bourns 5700 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L14.0mm_W6.3mm_P4.57mm_Pulse_A -L_Toroid, Vertical series, Radial, pin pitch=4.57mm, , length*width=13.97*6.35mm^2, Pulse, A, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 4.57mm length 13.97mm width 6.35mm Pulse A -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L14.7mm_W8.6mm_P5.58mm_Pulse_KM-1 -L_Toroid, Vertical series, Radial, pin pitch=5.58mm, , length*width=14.73*8.64mm^2, Pulse, KM-1, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 5.58mm length 14.73mm width 8.64mm Pulse KM-1 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L16.0mm_W8.0mm_P7.62mm -L_Toroid, Vertical series, Radial, pin pitch=7.62mm, , length*width=16*8mm^2 -L_Toroid Vertical series Radial pin pitch 7.62mm length 16mm width 8mm -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L16.3mm_W7.1mm_P7.11mm_Pulse_H -L_Toroid, Vertical series, Radial, pin pitch=7.11mm, , length*width=16.26*7.11mm^2, Pulse, H, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 7.11mm length 16.26mm width 7.11mm Pulse H -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L16.4mm_W7.6mm_P6.60mm_Vishay_TJ3 -L_Toroid, Vertical series, Radial, pin pitch=6.60mm, , length*width=16.4*7.6mm^2, Vishay, TJ3, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 6.60mm length 16.4mm width 7.6mm Vishay TJ3 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L16.5mm_W11.4mm_P7.62mm_Pulse_KM-2 -L_Toroid, Vertical series, Radial, pin pitch=7.62mm, , length*width=16.51*11.43mm^2, Pulse, KM-2, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 7.62mm length 16.51mm width 11.43mm Pulse KM-2 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L16.8mm_W9.2mm_P7.10mm_Vishay_TJ3 -L_Toroid, Vertical series, Radial, pin pitch=7.10mm, , length*width=16.8*9.2mm^2, Vishay, TJ3, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 7.10mm length 16.8mm width 9.2mm Vishay TJ3 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L16.8mm_W9.2mm_P7.10mm_Vishay_TJ3_BigPads -L_Toroid, Vertical series, Radial, pin pitch=7.10mm, , length*width=16.8*9.2mm^2, Vishay, TJ3, BigPads, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 7.10mm length 16.8mm width 9.2mm Vishay TJ3 BigPads -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L17.8mm_W8.1mm_P7.62mm_Bourns_5700 -L_Toroid, Vertical series, Radial, pin pitch=7.62mm, , length*width=17.8*8.1mm^2, Bourns, 5700, http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf -L_Toroid Vertical series Radial pin pitch 7.62mm length 17.8mm width 8.1mm Bourns 5700 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L17.8mm_W9.7mm_P7.11mm_Pulse_B -L_Toroid, Vertical series, Radial, pin pitch=7.11mm, , length*width=17.78*9.65mm^2, Pulse, B, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 7.11mm length 17.78mm width 9.65mm Pulse B -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L19.1mm_W8.1mm_P7.10mm_Bourns_5700 -L_Toroid, Vertical series, Radial, pin pitch=7.10mm, , length*width=19.1*8.1mm^2, Bourns, 5700, http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf -L_Toroid Vertical series Radial pin pitch 7.10mm length 19.1mm width 8.1mm Bourns 5700 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L21.6mm_W8.4mm_P8.38mm_Pulse_G -L_Toroid, Vertical series, Radial, pin pitch=8.38mm, , length*width=21.59*8.38mm^2, Pulse, G, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 8.38mm length 21.59mm width 8.38mm Pulse G -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L21.6mm_W9.1mm_P8.40mm_Bourns_5700 -L_Toroid, Vertical series, Radial, pin pitch=8.40mm, , length*width=21.6*9.1mm^2, Bourns, 5700, http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf -L_Toroid Vertical series Radial pin pitch 8.40mm length 21.6mm width 9.1mm Bourns 5700 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L21.6mm_W9.5mm_P7.11mm_Pulse_C -L_Toroid, Vertical series, Radial, pin pitch=7.11mm, , length*width=21.59*9.53mm^2, Pulse, C, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 7.11mm length 21.59mm width 9.53mm Pulse C -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L21.6mm_W11.4mm_P7.62mm_Pulse_KM-3 -L_Toroid, Vertical series, Radial, pin pitch=7.62mm, , length*width=21.59*11.43mm^2, Pulse, KM-3, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 7.62mm length 21.59mm width 11.43mm Pulse KM-3 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L22.4mm_W10.2mm_P7.90mm_Vishay_TJ4 -L_Toroid, Vertical series, Radial, pin pitch=7.90mm, , length*width=22.4*10.2mm^2, Vishay, TJ4, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 7.90mm length 22.4mm width 10.2mm Vishay TJ4 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L24.6mm_W15.5mm_P11.44mm_Pulse_KM-4 -L_Toroid, Vertical series, Radial, pin pitch=11.44mm, , length*width=24.64*15.5mm^2, Pulse, KM-4, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 11.44mm length 24.64mm width 15.5mm Pulse KM-4 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L25.4mm_W14.7mm_P12.20mm_Vishay_TJ5 -L_Toroid, Vertical series, Radial, pin pitch=12.20mm, , length*width=25.4*14.7mm^2, Vishay, TJ5, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 12.20mm length 25.4mm width 14.7mm Vishay TJ5 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L25.4mm_W14.7mm_P12.20mm_Vishay_TJ5_BigPads -L_Toroid, Vertical series, Radial, pin pitch=12.20mm, , length*width=25.4*14.7mm^2, Vishay, TJ5, BigPads, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 12.20mm length 25.4mm width 14.7mm Vishay TJ5 BigPads -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L26.7mm_W14.0mm_P10.16mm_Pulse_D -L_Toroid, Vertical series, Radial, pin pitch=10.16mm, , length*width=26.67*13.97mm^2, Pulse, D, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 10.16mm length 26.67mm width 13.97mm Pulse D -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L28.6mm_W14.3mm_P11.43mm_Bourns_5700 -L_Toroid, Vertical series, Radial, pin pitch=11.43mm, , length*width=28.6*14.3mm^2, Bourns, 5700, http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf -L_Toroid Vertical series Radial pin pitch 11.43mm length 28.6mm width 14.3mm Bourns 5700 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L31.8mm_W15.9mm_P13.50mm_Bourns_5700 -L_Toroid, Vertical series, Radial, pin pitch=13.50mm, , length*width=31.8*15.9mm^2, Bourns, 5700, http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf -L_Toroid Vertical series Radial pin pitch 13.50mm length 31.8mm width 15.9mm Bourns 5700 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L33.0mm_W17.8mm_P12.70mm_Pulse_KM-5 -L_Toroid, Vertical series, Radial, pin pitch=12.70mm, , length*width=33.02*17.78mm^2, Pulse, KM-5, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 12.70mm length 33.02mm width 17.78mm Pulse KM-5 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L35.1mm_W21.1mm_P18.50mm_Vishay_TJ6 -L_Toroid, Vertical series, Radial, pin pitch=18.50mm, , length*width=35.1*21.1mm^2, Vishay, TJ6, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 18.50mm length 35.1mm width 21.1mm Vishay TJ6 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L35.6mm_W17.8mm_P12.70mm_Pulse_E -L_Toroid, Vertical series, Radial, pin pitch=12.70mm, , length*width=35.56*17.78mm^2, Pulse, E, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 12.70mm length 35.56mm width 17.78mm Pulse E -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L41.9mm_W17.8mm_P12.70mm_Pulse_F -L_Toroid, Vertical series, Radial, pin pitch=12.70mm, , length*width=41.91*17.78mm^2, Pulse, F, http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf -L_Toroid Vertical series Radial pin pitch 12.70mm length 41.91mm width 17.78mm Pulse F -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L41.9mm_W19.1mm_P15.80mm_Vishay_TJ7 -L_Toroid, Vertical series, Radial, pin pitch=15.80mm, , length*width=41.9*19.1mm^2, Vishay, TJ7, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 15.80mm length 41.9mm width 19.1mm Vishay TJ7 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L46.0mm_W19.1mm_P21.80mm_Bourns_5700 -L_Toroid, Vertical series, Radial, pin pitch=21.80mm, , length*width=46*19.1mm^2, Bourns, 5700, http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf -L_Toroid Vertical series Radial pin pitch 21.80mm length 46mm width 19.1mm Bourns 5700 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L48.8mm_W25.4mm_P20.80mm_Vishay_TJ8 -L_Toroid, Vertical series, Radial, pin pitch=20.80mm, , length*width=48.8*25.4mm^2, Vishay, TJ8, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 20.80mm length 48.8mm width 25.4mm Vishay TJ8 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L54.0mm_W23.8mm_P20.10mm_Bourns_5700 -L_Toroid, Vertical series, Radial, pin pitch=20.10mm, , length*width=54*23.8mm^2, Bourns, 5700, http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf -L_Toroid Vertical series Radial pin pitch 20.10mm length 54mm width 23.8mm Bourns 5700 -0 -2 -2 -Inductor_THT -L_Toroid_Vertical_L67.6mm_W36.1mm_P31.80mm_Vishay_TJ9 -L_Toroid, Vertical series, Radial, pin pitch=31.80mm, , length*width=67.6*36.1mm^2, Vishay, TJ9, http://www.vishay.com/docs/34079/tj.pdf -L_Toroid Vertical series Radial pin pitch 31.80mm length 67.6mm width 36.1mm Vishay TJ9 -0 -2 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-2012_LeadDiameter1.2mm -Shielded High Current Inductor, body 17x22mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT-2012-ROUND -THT -0 -2 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-2012_LeadDiameter1.5mm -Shielded High Current Inductor, body 17x22mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT-2012-ROUND -THT -0 -2 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-2504 -Shielded High Current Inductor, body 22x25.7mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT_SIZE_2504 -THT -0 -2 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-3521 -Shielded High Current Inductor, body 21.5x36mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT-3521 -THT -0 -4 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-3533_LeadDiameter1.8mm -Shielded High Current Inductor, body 34.5x36mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT-3533-ROUND -THT -0 -4 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-3533_LeadDiameter2.0mm -Shielded High Current Inductor, body 34.5x36mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT-3533-ROUND -THT -0 -4 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-3540_LeadDiameter0.8mm -Shielded High Current Inductor, body 41x36mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT-3540 -THT -0 -4 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-3540_LeadDiameter1.3mm -Shielded High Current Inductor, body 41x36mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT-3540 -THT -0 -4 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-3540_LeadDiameter1.5mm -Shielded High Current Inductor, body 41x36mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT-3540 -THT -0 -4 -2 -Inductor_THT_Wurth -L_Wurth_WE-HCFT-3540_LeadDiameter2.0mm -Shielded High Current Inductor, body 41x36mm, https://www.we-online.com/catalog/en/WE-HCFT#/articles/WE-HCFT-3540 -THT -0 -4 -2 -Jumper -SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm -SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 2 copper strips -net tie solder jumper bridged -0 -2 -2 -Jumper -SolderJumper-2_P1.3mm_Bridged2Bar_RoundedPad1.0x1.5mm -SMD Solder Jumper, 1x1.5mm, rounded Pads, 0.3mm gap, bridged with 2 copper strips -net tie solder jumper bridged -0 -2 -2 -Jumper -SolderJumper-2_P1.3mm_Bridged_Pad1.0x1.5mm -SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, bridged with 1 copper strip -net tie solder jumper bridged -0 -2 -2 -Jumper -SolderJumper-2_P1.3mm_Bridged_RoundedPad1.0x1.5mm -SMD Solder Jumper, 1x1.5mm, rounded Pads, 0.3mm gap, bridged with 1 copper strip -net tie solder jumper bridged -0 -2 -2 -Jumper -SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm -SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, open -solder jumper open -0 -2 -2 -Jumper -SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm -SMD Solder Jumper, 1x1.5mm, rounded Pads, 0.3mm gap, open -solder jumper open -0 -2 -2 -Jumper -SolderJumper-2_P1.3mm_Open_TrianglePad1.0x1.5mm -SMD Solder Jumper, 1x1.5mm Triangular Pads, 0.3mm gap, open -solder jumper open -0 -2 -2 -Jumper -SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm -SMD Solder 3-pad Jumper, 1x1.5mm Pads, 0.3mm gap, pads 1-2 Bridged2Bar with 2 copper strip -net tie solder jumper bridged -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm_NumberLabels -SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, pads 1-2 Bridged2Bar with 2 copper strip, labeled with numbers -net tie solder jumper bridged -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Bridged2Bar12_RoundedPad1.0x1.5mm -SMD Solder 3-pad Jumper, 1x1.5mm rounded Pads, 0.3mm gap, pads 1-2 Bridged2Bar with 2 copper strip -net tie solder jumper bridged -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Bridged2Bar12_RoundedPad1.0x1.5mm_NumberLabels -SMD Solder 3-pad Jumper, 1x1.5mm rounded Pads, 0.3mm gap, pads 1-2 Bridged2Bar with 2 copper strip, labeled with numbers -net tie solder jumper bridged -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Bridged12_Pad1.0x1.5mm -SMD Solder 3-pad Jumper, 1x1.5mm Pads, 0.3mm gap, pads 1-2 bridged with 1 copper strip -net tie solder jumper bridged -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Bridged12_Pad1.0x1.5mm_NumberLabels -SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, pads 1-2 bridged with 1 copper strip, labeled with numbers -net tie solder jumper bridged -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Bridged12_RoundedPad1.0x1.5mm -SMD Solder 3-pad Jumper, 1x1.5mm rounded Pads, 0.3mm gap, pads 1-2 bridged with 1 copper strip -net tie solder jumper bridged -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Bridged12_RoundedPad1.0x1.5mm_NumberLabels -SMD Solder 3-pad Jumper, 1x1.5mm rounded Pads, 0.3mm gap, pads 1-2 bridged with 1 copper strip, labeled with numbers -net tie solder jumper bridged -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Open_Pad1.0x1.5mm -SMD Solder 3-pad Jumper, 1x1.5mm Pads, 0.3mm gap, open -solder jumper open -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Open_Pad1.0x1.5mm_NumberLabels -SMD Solder Jumper, 1x1.5mm Pads, 0.3mm gap, open, labeled with numbers -solder jumper open -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm -SMD Solder 3-pad Jumper, 1x1.5mm rounded Pads, 0.3mm gap, open -solder jumper open -0 -3 -3 -Jumper -SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm_NumberLabels -SMD Solder 3-pad Jumper, 1x1.5mm rounded Pads, 0.3mm gap, open, labeled with numbers -solder jumper open -0 -3 -3 -Jumper -SolderJumper-3_P2.0mm_Open_TrianglePad1.0x1.5mm -SMD Solder Jumper, 1x1.5mm Triangular Pads, 0.3mm gap, open -solder jumper open -0 -5 -3 -Jumper -SolderJumper-3_P2.0mm_Open_TrianglePad1.0x1.5mm_NumberLabels -SMD Solder Jumper, 1x1.5mm Triangular Pads, 0.3mm gap, open, labeled with numbers -solder jumper open -0 -5 -3 -LEA_FootprintLibrary -6-PinHeader_Molex_532617006 -Molex 532617006 - -0 -8 -6 -LEA_FootprintLibrary -1731471 -1731471 -Connector -0 -2 -2 -LEA_FootprintLibrary -7466204 -7466204-1 -Connector -0 -1 -1 -LEA_FootprintLibrary -ADAPTER_Soic16 - - -0 -16 -16 -LEA_FootprintLibrary -B32032A4472M000 -B32032A4472M000 -Capacitor -0 -2 -2 -LEA_FootprintLibrary -B32774X__31.5_x_21_ -B32774X_(31.5_x_21) -Capacitor -0 -2 -2 -LEA_FootprintLibrary -B65878E1012D001 -B65878E1012D001-2 -Inductor -0 -12 -12 -LEA_FootprintLibrary -B82801C1265A150 -B82801C1265A150 -Transformer -0 -12 -12 -LEA_FootprintLibrary -BGA-361_19x19_16.0x16.0mm - - -0 -337 -337 -LEA_FootprintLibrary -CAPPRD500W65D1250H2200 -12.5*20 -Capacitor Polarised -0 -2 -2 -LEA_FootprintLibrary -CAS-120TA - - -0 -3 -3 -LEA_FootprintLibrary -CAS-D20TA - - -0 -6 -6 -LEA_FootprintLibrary -CLM-107-02-L-D-K-TR - - -0 -14 -14 -LEA_FootprintLibrary -CR_0A-G3_H_VIS - -PLZ10A-G3/H -0 -2 -2 -LEA_FootprintLibrary -CU8965ALD -CU8965-ALD-1 -Transformer -0 -4 -4 -LEA_FootprintLibrary -C_0402 -C 0402 - -0 -2 -2 -LEA_FootprintLibrary -C_0603 -C 0603 - -0 -2 -2 -LEA_FootprintLibrary -C_0805 -C_0805 - -0 -2 -2 -LEA_FootprintLibrary -C_1206 -Case Code A -Capacitor Polarised -0 -2 -2 -LEA_FootprintLibrary -C_2220_5650Metric -Capacitor SMD 2220 (5650 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: http://datasheets.avx.com/AVX-HV_MLCC.pdf), generated with kicad-footprint-generator -capacitor -0 -2 -2 -LEA_FootprintLibrary -C_5750 -Capacitor SMD 5750 -capacitor -0 -2 -2 -LEA_FootprintLibrary -Con_BNC_PCB - -SMA THT Female Jack Vertical -0 -5 -2 -LEA_FootprintLibrary -Con_DPT - - -0 -50 -11 -LEA_FootprintLibrary -Con_DPT_Power - - -0 -32 -3 -LEA_FootprintLibrary -Con_DPT_Signal - - -0 -8 -8 -LEA_FootprintLibrary -Con_SMA_PCB - -SMA THT Female Jack Vertical -0 -5 -2 -LEA_FootprintLibrary -Conn_02x03 -TSW-102-07-G-T-1 -Connector -0 -6 -6 -LEA_FootprintLibrary -Conn_02x05_Male -10129381-910002BLF -Connector -0 -10 -10 -LEA_FootprintLibrary -Conn_02x09_Male -M20-9980946 -Connector -0 -18 -18 -LEA_FootprintLibrary -DCDC_24V_20V_-5V - - -0 -5 -5 -LEA_FootprintLibrary -DFN3820A_VIS - -SE20ND -0 -2 -2 -LEA_FootprintLibrary -DHVQFN14_SOT762-1_Nexpria - - -0 -16 -14 -LEA_FootprintLibrary -DIOC1709X85N -0603Diodes -Diode -0 -2 -2 -LEA_FootprintLibrary -DIOM5026X220N -SOD-106_(PMDS) -Diode -0 -2 -2 -LEA_FootprintLibrary -DIOM5436X244N -DO-214AA -TVS Diode (Uni-directional) -0 -2 -2 -LEA_FootprintLibrary -DIP_Murata_NKE0309DC -Murata NKE0309DC - -0 -4 -4 -LEA_FootprintLibrary -ECQE2475JB -ECQ-E2475JB-2 -Capacitor -0 -2 -2 -LEA_FootprintLibrary -ERF5-060-02.0 - - -0 -120 -120 -LEA_FootprintLibrary -ERF5-075-05.0-L-DV - - -0 -150 -150 -LEA_FootprintLibrary -ERM5-060-02.0 - - -0 -120 -120 -LEA_FootprintLibrary -ERM5-075-05.0-L-DV -ERM5-075-05.0-L-DV - -0 -150 -150 -LEA_FootprintLibrary -Fiducials_large - - -0 -1 -0 -LEA_FootprintLibrary -Fiducials_medium - - -0 -1 -0 -LEA_FootprintLibrary -Fiducials_small - - -0 -1 -0 -LEA_FootprintLibrary -HC-49_US_ABRACON - - -0 -2 -2 -LEA_FootprintLibrary -HDRV3W66P0X254_1X3_762X254X855P -M20-9770342 -Connector -0 -3 -3 -LEA_FootprintLibrary -HDRV8W66P0X254_1X8_2032X254X860P -M20-9990846 -Connector -0 -8 -8 -LEA_FootprintLibrary -HDRV16W64P254_2X8_2032X508X914P -M20-9980846 -Connector -0 -16 -16 -LEA_FootprintLibrary -Infineon_PG-DSO-8 -Infineon_PG-DSO-8 -DSO DSO-8 SOIC SOIC-8 -0 -8 -8 -LEA_FootprintLibrary -Jumper_bridge - - -0 -2 -2 -LEA_FootprintLibrary -LCB_connector_top - - -0 -300 -300 -LEA_FootprintLibrary -LED_0402 -LED 0402 - -0 -2 -2 -LEA_FootprintLibrary -LED_0603 -LED 0402 - -0 -2 -2 -LEA_FootprintLibrary -LQFP-64_12x12_Pitch0.5mm_FTDI - - -0 -64 -64 -LEA_FootprintLibrary -L_0402 -L 0402 - -0 -2 -2 -LEA_FootprintLibrary -L_0603 -L 0603 - -0 -2 -2 -LEA_FootprintLibrary -L_0805 -C_0805 - -0 -2 -2 -LEA_FootprintLibrary -L_1210 -L_1210 - -0 -2 -2 -LEA_FootprintLibrary -MSOP-10_AnalogDevices_RM10 -MSOP-10 from Analog Devices - -0 -10 -10 -LEA_FootprintLibrary -MSOP8_ROHM - - -0 -8 -8 -LEA_FootprintLibrary -MountingHole_M3 - - -0 -1 -0 -LEA_FootprintLibrary -MountingHole_M3_Plastic_Screw - - -0 -0 -0 -LEA_FootprintLibrary -MountingHole_M3_conn - - -0 -1 -1 -LEA_FootprintLibrary -Osc_ECS_2.5x2 -ECS-2520S - -0 -4 -4 -LEA_FootprintLibrary -Osc_ESC_5x3.2 - - -0 -4 -4 -LEA_FootprintLibrary -PEM2S24S24S -PEM2-S24-S24-S-1 -Power Supply -0 -4 -4 -LEA_FootprintLibrary -PLZ6V2CG3H -PLZ6V2C-G3/H-2 -Zener Diode -0 -2 -2 -LEA_FootprintLibrary -PinHeader_1x01_P2.54mm_Vertical -Through hole straight pin header, 1x01, 2.54mm pitch, single row -Through hole pin header THT 1x01 2.54mm single row -0 -1 -1 -LEA_FootprintLibrary -PinHeader_1x02_P2.54mm_Vertical -Through hole straight pin header, 1x02, 2.54mm pitch, single row -Through hole pin header THT 1x02 2.54mm single row -0 -2 -2 -LEA_FootprintLibrary -PinHeader_2x30_P2.54mm_Vertical -Through hole straight pin header, 2x30, 2.54mm pitch, double rows -Through hole pin header THT 2x30 2.54mm double row -0 -60 -60 -LEA_FootprintLibrary -Potentiometer_Bourns_PTV09A-1_Single_Vertical -Potentiometer, vertical, Bourns PTV09A-1 Single, http://www.bourns.com/docs/Product-Datasheets/ptv09.pdf -Potentiometer vertical Bourns PTV09A-1 Single -0 -3 -3 -LEA_FootprintLibrary -QSOP_16_Maxim_E16+1 - - -0 -16 -16 -LEA_FootprintLibrary -ROHM_TO-252-3 -ROHM_TO-252-3 -Integrated Circuit -0 -3 -3 -LEA_FootprintLibrary -R_0402 -R 0402 - -0 -2 -2 -LEA_FootprintLibrary -R_0603 -C 0402 - -0 -2 -2 -LEA_FootprintLibrary -R_0805 -R_0805 - -0 -2 -2 -LEA_FootprintLibrary -R_1206 -ERJ8_B_BW_R_LW_CW_D -Resistor -0 -2 -2 -LEA_FootprintLibrary -R_2512 -PCS2512D -Resistor -0 -2 -2 -LEA_FootprintLibrary -R_Shunt_Coax - - -0 -18 -2 -LEA_FootprintLibrary -R_Shunt_SMD - - -0 -84 -2 -LEA_FootprintLibrary -SE20NJM3H -DFN3820A -Diode -0 -2 -2 -LEA_FootprintLibrary -SIP-7_Murata_MEJ1S0305SC -Murata MEJ1S0305SC - -0 -4 -4 -LEA_FootprintLibrary -SMB_Molex731000207 -Molex 731000207 - -0 -5 -2 -LEA_FootprintLibrary -SOD-323_Infineon - - -0 -2 -2 -LEA_FootprintLibrary -SOD-323_Panjit - - -0 -2 -2 -LEA_FootprintLibrary -SOD-323_Vishay - - -0 -2 -2 -LEA_FootprintLibrary -SOD2512X110N -SOD323 -Zener Diode -0 -2 -2 -LEA_FootprintLibrary -SOD2513X110N -SOD323A -TVS Diode (Bi-directional) -0 -2 -2 -LEA_FootprintLibrary -SOD3716X145N -B140HW-7 -Schottky Diode -0 -2 -2 -LEA_FootprintLibrary -SOIC-8 - - -0 -8 -8 -LEA_FootprintLibrary -SOIC-14 - - -0 -14 -14 -LEA_FootprintLibrary -SOIC-16 - - -0 -16 -16 -LEA_FootprintLibrary -SOIC-16_TI_DW0016B_HV - - -0 -16 -16 -LEA_FootprintLibrary -SOIC-16_TI_DWW0016A_Optimized - - -0 -16 -16 -LEA_FootprintLibrary -SOIC127P600X175-8N -D0008A -Integrated Circuit -0 -8 -8 -LEA_FootprintLibrary -SOIC127P1030X265-16N -SOIC__ -Undefined or Miscellaneous -0 -14 -14 -LEA_FootprintLibrary -SOIC127P1032X265-16N -W16MS+12 -Integrated Circuit -0 -16 -16 -LEA_FootprintLibrary -SOP50P310X90-8N -DCU (R-PDSO-G8) -Integrated Circuit -0 -8 -8 -LEA_FootprintLibrary -SOP65P640X120-16N -RU-16 (TSSOP) -Integrated Circuit -0 -16 -16 -LEA_FootprintLibrary -SOP254P1016X460-4N -LTV-814S -Undefined or Miscellaneous -0 -4 -4 -LEA_FootprintLibrary -SOT-23-5_Microchip - - -0 -5 -5 -LEA_FootprintLibrary -SOT-23-5_TI - - -0 -5 -5 -LEA_FootprintLibrary -SOT-23-6_Microchip - - -0 -6 -6 -LEA_FootprintLibrary -SOT-89-3 -SOT-89-3, http://ww1.microchip.com/downloads/en/DeviceDoc/3L_SOT-89_MB_C04-029C.pdf -SOT-89-3 -0 -3 -3 -LEA_FootprintLibrary -SOT-89-5 -SOT-89-5, http://www.e-devices.ricoh.co.jp/en/products/product_power/pkg/sot-89-5.pdf -SOT-89-5 -0 -5 -5 -LEA_FootprintLibrary -SOT-223-3_TabPin2 -module CMS SOT223 4 pins -CMS SOT -0 -4 -2 -LEA_FootprintLibrary -SOT-223-6_TI -module CMS SOT223 6 pins, http://www.ti.com/lit/ds/symlink/tps737.pdf -CMS SOT -0 -6 -6 -LEA_FootprintLibrary -SOT65P210X110-5N -DCK -Integrated Circuit -0 -5 -5 -LEA_FootprintLibrary -SOT95P237X112-3N -DBZ0003A HEIGHT 1.12 -Integrated Circuit -0 -3 -3 -LEA_FootprintLibrary -SOT95P280X145-5N -DBV (R-PDSO-G5) -Power Supply -0 -5 -5 -LEA_FootprintLibrary -SOT95P280X145-6L -SOT-23-6 -Undefined or Miscellaneous -0 -6 -6 -LEA_FootprintLibrary -SOT96P240X100-3N -SOT23-ren6 -Diode -0 -3 -3 -LEA_FootprintLibrary -SOT96P240X110-3N -SOT-23 (f) -Transistor BJT PNP -0 -3 -3 -LEA_FootprintLibrary -SOT223_SC73_Nexperia - - -0 -4 -4 -LEA_FootprintLibrary -STD13N60M2 -STD13N60M2-5 -MOSFET (N-Channel) -0 -3 -3 -LEA_FootprintLibrary -SW_2MS1T1B1M2QES -E-Switch slide switch, EG series, SPDT, right angle, http://spec_sheets.e-switch.com/specs/P040042.pdf -switch SPDT -0 -3 -3 -LEA_FootprintLibrary -SW_Push -tactile push button, 6x6mm e.g. PTS645xx series, height=9.5mm -tact sw push 6mm smd -0 -4 -2 -LEA_FootprintLibrary -SYM_ESD-Logo_6.6x6mm_SilkScreen -Electrostatic discharge Logo -Logo ESD -0 -0 -0 -LEA_FootprintLibrary -SYM_lea_logo - - -0 -0 -0 -LEA_FootprintLibrary -TO-263-7_TabPin8 -TO-263 / D2PAK / DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/ -D2PAK DDPAK TO-263 D2PAK-7 TO-263-7 SOT-427 -0 -12 -4 -LEA_FootprintLibrary -TO-263-7_TabPin8_Heatsink -TO-263 / D2PAK / DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/ -D2PAK DDPAK TO-263 D2PAK-7 TO-263-7 SOT-427 -0 -14 -4 -LEA_FootprintLibrary -TSOT-23 -3-pin TSOT23 package, http://www.analog.com.tw/pdf/All_In_One.pdf -TSOT-23 -0 -3 -3 -LEA_FootprintLibrary -TSOT-23-6_TI - - -0 -6 -6 -LEA_FootprintLibrary -TSSOP-14_TI -TSSOP-14 from Texas Instruments - -0 -14 -14 -LEA_FootprintLibrary -TestPoint_KeystoneElectronics5019 - - -0 -1 -1 -LEA_FootprintLibrary -TestPoint_Pad_D1.0mm -SMD pad as test Point, diameter 1.0mm -test point SMD pad -0 -1 -1 -LEA_FootprintLibrary -Text_Debugger -Text Debugger - -0 -0 -0 -LEA_FootprintLibrary -Trimmer_TTE_84W - - -0 -3 -3 -LEA_FootprintLibrary -UCC28740QDRQ1 -D0007A_2022 -Integrated Circuit -0 -7 -7 -LEA_FootprintLibrary -USB_C_GCT_USB4110-GF-A - - -0 -16 -13 -LEA_FootprintLibrary -USB_C_Molex_217179-0001 - - -0 -16 -13 -LEA_FootprintLibrary -VXO780121000 -VXO78012-1000 -Power Supply -0 -3 -3 -LEA_FootprintLibrary -WSON-8-1EP_2x2mm_P0.5mm_EP0.9x1.6mm -8-Lead Plastic WSON, 2x2mm Body, 0.5mm Pitch, WSON-8, http://www.ti.com/lit/ds/symlink/lm27761.pdf -WSON 8 1EP -0 -11 -9 -LED_SMD -LED-APA102-2020 -http://www.led-color.com/upload/201604/APA102-2020%20SMD%20LED.pdf -LED RGB SPI -0 -8 -6 -LED_SMD -LED-L1T2_LUMILEDS -http://www.lumileds.com/uploads/438/DS133-pdf -LUMILEDS LUXEON TX L1T2 LED -0 -3 -3 -LED_SMD -LED_1W_3W_R8 -https://www.gme.cz/data/attachments/dsh.518-234.1.pdf -LED 1W 3W 5W -0 -3 -3 -LED_SMD -LED_0201_0603Metric -LED SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -LED -0 -4 -2 -LED_SMD -LED_0201_0603Metric_Pad0.64x0.40mm_HandSolder -LED SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -LED handsolder -0 -4 -2 -LED_SMD -LED_0402_1005Metric -LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED -0 -2 -2 -LED_SMD -LED_0402_1005Metric_Pad0.77x0.64mm_HandSolder -LED SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED handsolder -0 -2 -2 -LED_SMD -LED_0603_1608Metric -LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED -0 -2 -2 -LED_SMD -LED_0603_1608Metric_Pad1.05x0.95mm_HandSolder -LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED handsolder -0 -2 -2 -LED_SMD -LED_0805_2012Metric -LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator -LED -0 -2 -2 -LED_SMD -LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder -LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator -LED handsolder -0 -2 -2 -LED_SMD -LED_1206_3216Metric -LED SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED -0 -2 -2 -LED_SMD -LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder -LED SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED handsolder -0 -2 -2 -LED_SMD -LED_1206_3216Metric_ReverseMount_Hole1.8x2.4mm -LED SMD 1206 (3216 Metric), reverse mount, square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -diode reverse -0 -2 -2 -LED_SMD -LED_1210_3225Metric -LED SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED -0 -2 -2 -LED_SMD -LED_1210_3225Metric_Pad1.42x2.65mm_HandSolder -LED SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED handsolder -0 -2 -2 -LED_SMD -LED_1812_4532Metric -LED SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -LED -0 -2 -2 -LED_SMD -LED_1812_4532Metric_Pad1.30x3.40mm_HandSolder -LED SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -LED handsolder -0 -2 -2 -LED_SMD -LED_2010_5025Metric -LED SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED -0 -2 -2 -LED_SMD -LED_2010_5025Metric_Pad1.52x2.65mm_HandSolder -LED SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED handsolder -0 -2 -2 -LED_SMD -LED_2512_6332Metric -LED SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED -0 -2 -2 -LED_SMD -LED_2512_6332Metric_Pad1.52x3.35mm_HandSolder -LED SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator -LED handsolder -0 -2 -2 -LED_SMD -LED_ASMB-KTF0-0A306 -2220 Tricolor PLCC-4 LED, https://docs.broadcom.com/docs/ASMB-KTF0-0A306-DS100 -Tricolor LED -0 -4 -4 -LED_SMD -LED_Avago_PLCC4_3.2x2.8mm_CW -3.2mm x 2.8mm PLCC4 RGB LED, https://docs.broadcom.com/docs/AV02-4186EN -LED Avago PLCC-4 3528 ASMB-MTB0-0A3A2 -0 -4 -4 -LED_SMD -LED_Avago_PLCC6_3x2.8mm -https://docs.broadcom.com/docs/AV02-3793EN -LED Avago PLCC-6 ASMT-YTB7-0AA02 -0 -6 -6 -LED_SMD -LED_CSP_Samsung_LH181B_2.36x2.36mm -High Power CSP LED, 2.36mm x 2.36mm, 1.4A max, https://cdn.samsung.com/led/file/resource/2021/01/Data_Sheet_LH181B_Rev.4.0.pdf -LED Samsung LH181B -0 -2 -2 -LED_SMD -LED_Cree-PLCC4_2x2mm_CW -2.0mm x 2.0mm PLCC4 LED, https://assets.cree-led.com/a/ds/h/HB-CLMVC-FKA.pdf -LED Cree PLCC-4 2020 CLMVB-FKA CLMVC-FKA -0 -4 -4 -LED_SMD -LED_Cree-PLCC4_3.2x2.8mm_CCW -3.2mm x 2.8mm PLCC4 RGB LED, https://assets.cree-led.com/a/ds/h/HB-CLV1A-FKB.pdf -LED Cree PLCC-4 3528 CLV1A-FKB -0 -4 -4 -LED_SMD -LED_Cree-PLCC4_5x5mm_CW -5.0mm x 5.0mm PLCC4 LED -LED Cree PLCC-4 5050 -0 -4 -4 -LED_SMD -LED_Cree-PLCC6_4.7x1.5mm -4.7mm x 1.5mm PLCC6 LED, http://www.cree.com/led-components/media/documents/1381-QLS6AFKW.pdf -LED Cree PLCC-6 -0 -6 -6 -LED_SMD -LED_Cree-XB -http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/XLampXBD.pdf -LED Cree XB -0 -5 -3 -LED_SMD -LED_Cree-XH -http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/ds-XHB.pdf -LED Cree XH -0 -8 -2 -LED_SMD -LED_Cree-XHP35 -http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/ds--XHP35.pdf -LED Cree XHP35 -0 -6 -3 -LED_SMD -LED_Cree-XHP50_6V -Cree XHP50, 6V footprint, http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/ds%20XHP50.pdf -LED Cree XHP50 -0 -15 -3 -LED_SMD -LED_Cree-XHP50_12V -Cree XHP50, 12V footprint, http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/ds%20XHP50.pdf -LED XHP50 Cree -0 -15 -3 -LED_SMD -LED_Cree-XHP70_6V -Cree XHP70 LED, 6V version, http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/ds%20XHP70.pdf -LED Cree XHP70 -0 -15 -3 -LED_SMD -LED_Cree-XHP70_12V -Cree XHP70 LED, 12V version, http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/ds%20XHP70.pdf -LED Cree XHP70 -0 -15 -3 -LED_SMD -LED_Cree-XP -LED Cree-XP http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/XLampXPE2.pdf -LED Cree XP -0 -6 -3 -LED_SMD -LED_Cree-XP-G -LED Cree-XP-G http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/XLampXPG.pdf -LED Cree XP-G -0 -6 -3 -LED_SMD -LED_Cree-XQ -LED Cree-XQ http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/ds-XQB.pdf -LED Cree XQ -0 -2 -2 -LED_SMD -LED_Cree-XQ_HandSoldering -LED Cree-XQ handsoldering pads http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/ds-XQB.pdf -LED Cree XQ -0 -2 -2 -LED_SMD -LED_Dialight_591 -LED SMD 3mm Right Angle series (http://www.dialightsignalsandcomponents.com/Assets/Drawings/2D_Drawings_DrawingDetailedSpec/C17354.pdf) -LED Dialight 591 -0 -2 -2 -LED_SMD -LED_Inolux_IN-PI554FCH_PLCC4_5.0x5.0mm_P3.2mm -5.0mm x 5.0mm PLCC4 Addressable RGB LED, http://www.inolux-corp.com/datasheet/SMDLED/Addressable%20LED/IN-PI554FCH.pdf -LED RGB NeoPixel addressable PLCC-4 5050 -0 -4 -4 -LED_SMD -LED_Kingbright_AAA3528ESGCT -Kingbright, dual LED, 3.5 x 2.8 mm Surface Mount LED Lamp (http://www.kingbrightusa.com/images/catalog/SPEC/AAA3528ESGCT.pdf) -dual led smd -0 -4 -4 -LED_SMD -LED_Kingbright_APDA3020VBCD -LED, SMD, APDA3020VBC/D, https://www.kingbrightusa.com/images/catalog/SPEC/APDA3020VBC-D.pdf -LED APDA3020VBC/D Kingbright -0 -2 -2 -LED_SMD -LED_Kingbright_APFA3010_3x1.5mm_Horizontal -LED RGB, APFA3010, http://www.kingbrightusa.com/images/catalog/SPEC/APFA3010LSEEZGKQBKC.pdf -LED RGB APFA3010 KINGBRIGHT 3x1.5mm -0 -4 -4 -LED_SMD -LED_Kingbright_APHBM2012_2x1.25mm -Dual LED SMD https://www.kingbrightusa.com/KCpack.asp?txtPack=APHBM2012 -LED Kingbright APHM2012 -0 -4 -4 -LED_SMD -LED_Kingbright_KPA-3010_3x2x1mm -Kingbright surface mount LED, right angle, 3.0 x 2.0 x 1.0 mm, https://www.kingbright.com/attachments/file/psearch/000/00/00/KPA-3010SURCK(Ver.26A).pdf -Kingbright LED right-angle -0 -3 -3 -LED_SMD -LED_Kingbright_KPBD-3224 -Kingbright, dual LED, red-green, dome lens, 3.2 x 2.4 mm Surface Mount LED Lamp (https://www.kingbright.com/attachments/file/psearch/000/00/00/KPBD-3224SURKCGKC(Ver.20A).pdf) -Kingbright dual LED KPBD-3224 -0 -4 -4 -LED_SMD -LED_LiteOn_LTST-C19HE1WT -LiteOn RGB LED; https://optoelectronics.liteon.com/upload/download/DS22-2008-0044/LTST-C19HE1WT.pdf -LED RGB Chip SMD -0 -4 -4 -LED_SMD -LED_LiteOn_LTST-C295K_1.6x0.8mm -Topview dual http://optoelectronics.liteon.com/upload/download/DS22-2009-0072/LTST-C295KGKRKT.PDF -SMD Top view Dual colour -0 -4 -4 -LED_SMD -LED_LiteOn_LTST-E563C_PLCC4_5.0x5.0mm_P3.2mm -RGB addressable LED, 5x5mm body, 1.57mm height, https://optoelectronics.liteon.com/upload/download/DS35-2018-0092/LTST-E563CHEGBW-AW.PDF -LED RGB 5050 PLCC-4 -0 -4 -4 -LED_SMD -LED_LiteOn_LTST-E563C_PLCC4_5.0x5.0mm_P3.2mm_HandSoldering -RGB addressable LED, 5x5mm body, 1.57mm height, https://optoelectronics.liteon.com/upload/download/DS35-2018-0092/LTST-E563CHEGBW-AW.PDF -LED RGB 5050 PLCC-4 -0 -4 -4 -LED_SMD -LED_LiteOn_LTST-S326 -http://optoelectronics.liteon.com/upload/download/DS22-2000-287/LTST-S326KGJRKT.PDF -LED SMD right angle CCA -0 -3 -3 -LED_SMD -LED_Lumex_SML-LX0303SIUPGUSB -Lumex RGB LED, clear, SMD, https://www.lumex.com/spec/SML-LX0303SIUPGUSB.pdf -LED RGB -0 -4 -4 -LED_SMD -LED_Lumex_SML-LX0404SIUPGUSB -Lumex RGB LED, clear, SMD, https://www.lumex.com/spec/SML-LX0404SIUPGUSB.pdf -LED RGB -0 -4 -4 -LED_SMD -LED_Luminus_MP-3030-1100_3.0x3.0mm -Mid Power LED, Luminus MP-3030-1100, 3.0x3.0mm, 816mW, https://download.luminus.com/datasheets/Luminus_MP3030_1100_Datasheet.pdf -LED Luminus MP-3030-1100 -0 -5 -2 -LED_SMD -LED_Osram_Lx_P47F_D2mm_ReverseMount -OSRAM, reverse-mount LED, SMD, 2mm diameter, http://www.farnell.com/datasheets/2711587.pdf -LED ReverseMount Reverse -0 -4 -2 -LED_SMD -LED_PLCC-2_3.4x3.0mm_AK -LED PLCC-2 SMD package, orientation marker at anode, https://dammedia.osram.info/media/resource/hires/osram-dam-5824137/SFH%204257_EN.pdf -LED PLCC-2 SMD TOPLED -0 -2 -2 -LED_SMD -LED_PLCC-2_3.4x3.0mm_KA -LED PLCC-2 SMD package, orientation marker at cathode, https://dammedia.osram.info/media/resource/hires/osram-dam-6035009/SFH%204253_EN.pdf -LED PLCC-2 SMD TOPLED -0 -2 -2 -LED_SMD -LED_PLCC-2_3x2mm_AK -LED, SMD, PLCC-2, 3.0 x 2.0mm, orientation marker at anode, https://media.digikey.com/pdf/Data%20Sheets/CREE%20Power/CLM3A-BKW,GKW.pdf -LED PLCC-2 SMD -0 -2 -2 -LED_SMD -LED_PLCC-2_3x2mm_KA -LED, SMD, PLCC-2, 3.0 x 2.0mm, orientation marker at cathode, https://optoelectronics.liteon.com/upload/download/DS22-2009-0099/LTW-M670ZVS-M5_0906.pdf -LED PLCC-2 SMD -0 -2 -2 -LED_SMD -LED_PLCC_2835 -https://www.luckylight.cn/media/component/data-sheet/R2835BC-B2M-M10.pdf -LED -0 -2 -2 -LED_SMD -LED_PLCC_2835_Handsoldering -https://www.luckylight.cn/media/component/data-sheet/R2835BC-B2M-M10.pdf -LED -0 -2 -2 -LED_SMD -LED_RGB_1210 -RGB LED 3.2x2.7mm http://www.avagotech.com/docs/AV02-0610EN -LED 3227 -0 -4 -4 -LED_SMD -LED_RGB_5050-6 -http://cdn.sparkfun.com/datasheets/Components/LED/5060BRG4.pdf -RGB LED 5050-6 -0 -6 -6 -LED_SMD -LED_RGB_Cree-PLCC-6_6x5mm_P2.1mm -http://www.farnell.com/datasheets/2003905.pdf -LED RGB PLCC-6 CLP6C-FBK -0 -6 -6 -LED_SMD -LED_RGB_Everlight_EASV3015RGBA0_Horizontal -LED, RGB, right-angle, clear, https://everlightamericas.com/index.php?controller=attachment&id_attachment=3220 -LED RGB right-angle -0 -4 -4 -LED_SMD -LED_RGB_Getian_GT-P6PRGB4303 -https://www.gme.sk/img/cache/doc/518/177/vykonova-led-getian-gt-p6prgb4303-datasheet-1.pdf -LED RGB -0 -7 -7 -LED_SMD -LED_RGB_PLCC-6 -RGB LED PLCC-6 -RGB LED PLCC-6 -0 -6 -6 -LED_SMD -LED_RGB_Wuerth-PLCC4_3.2x2.8mm_150141M173100 -3.2mm x 2.8mm PLCC4 LED, https://www.we-online.de/katalog/datasheet/150141M173100.pdf -LED RGB Wurth PLCC-4 3528 -0 -4 -4 -LED_SMD -LED_ROHM_SMLVN6 -https://www.rohm.com/datasheet/SMLVN6RGB1U -LED ROHM SMLVN6 -0 -6 -6 -LED_SMD -LED_SK6805_PLCC4_2.4x2.7mm_P1.3mm -https://cdn-shop.adafruit.com/product-files/3484/3484_Datasheet.pdf -LED RGB NeoPixel Nano PLCC-4 -0 -4 -4 -LED_SMD -LED_SK6812MINI_PLCC4_3.5x3.5mm_P1.75mm -3.5mm x 3.5mm PLCC4 Addressable RGB LED NeoPixel, https://cdn-shop.adafruit.com/product-files/2686/SK6812MINI_REV.01-1-2.pdf -LED RGB NeoPixel Mini PLCC-4 3535 -0 -4 -4 -LED_SMD -LED_SK6812_EC15_1.5x1.5mm -RGB addressable LED, 0.1W, 1.5mm x 1.5mm, 0.65m height, orientation mark at GND, http://ledstrip-china.com/productshow.asp?id=322 -LED RGB NeoPixel -0 -4 -4 -LED_SMD -LED_SK6812_PLCC4_5.0x5.0mm_P3.2mm -5.0mm x 5.0mm Addressable RGB LED NeoPixel, https://cdn-shop.adafruit.com/product-files/1138/SK6812+LED+datasheet+.pdf -LED RGB NeoPixel PLCC-4 5050 -0 -4 -4 -LED_SMD -LED_WS2812B-2020_PLCC4_2.0x2.0mm -2.0mm x 2.0mm Addressable RGB LED NeoPixel Nano, 12 mA, https://cdn-shop.adafruit.com/product-files/4684/4684_WS2812B-2020_V1.3_EN.pdf -LED RGB NeoPixel Nano PLCC-4 2020 -0 -4 -4 -LED_SMD -LED_WS2812B_PLCC4_5.0x5.0mm_P3.2mm -5.0mm x 5.0mm Addressable RGB LED NeoPixel, https://cdn-shop.adafruit.com/datasheets/WS2812B.pdf -LED RGB NeoPixel PLCC-4 5050 -0 -4 -4 -LED_SMD -LED_WS2812_PLCC6_5.0x5.0mm_P1.6mm -https://cdn-shop.adafruit.com/datasheets/WS2812.pdf -LED RGB NeoPixel -0 -6 -6 -LED_SMD -LED_Wurth_150044M155260 -Wurth Common anode RGB LED, SMD, 0404, 1x1x1.65mm, https://www.we-online.com/catalog/datasheet/150044M155260.pdf -LED RGB ARGB -0 -4 -4 -LED_SMD -LED_Yuji_5730 -LED,Yuji,5730,https://cdn.shopify.com/s/files/1/0344/6401/files/YJWJ014-1.1_YJ-BC-5730L-G02.pdf -LED Yuji 5730 -0 -3 -2 -LED_SMD -LED_miniPLCC_2315 -https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DAV02-2205EN_DS_ASMT-TxBM_2014-05-09.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430858274704&ssbinary=true -LED -0 -2 -2 -LED_SMD -LED_miniPLCC_2315_Handsoldering -https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DAV02-2205EN_DS_ASMT-TxBM_2014-05-09.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430858274704&ssbinary=true -LED -0 -2 -2 -LED_THT -LED_BL-FL7680RGB -'Piranha' RGB LED, through hole, common anode, 7.62x7.62mm, BGRA pin order, https://cdn-shop.adafruit.com/datasheets/BL-FL7680RGB.pdf -RGB LED Piranha Super-Flux BetLux -0 -4 -4 -LED_THT -LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O1.27mm_Z1.6mm -LED, , diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins -LED diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins -0 -2 -2 -LED_THT -LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O1.27mm_Z4.9mm -LED, , diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins -LED diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins -0 -2 -2 -LED_THT -LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O1.27mm_Z8.2mm -LED, , diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm, 2 pins -LED diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm 2 pins -0 -2 -2 -LED_THT -LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O3.81mm_Z1.6mm -LED, , diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins -LED diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins -0 -2 -2 -LED_THT -LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O3.81mm_Z4.9mm -LED, , diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins -LED diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins -0 -2 -2 -LED_THT -LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O3.81mm_Z8.2mm -LED, , diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm, 2 pins -LED diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm 2 pins -0 -2 -2 -LED_THT -LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O6.35mm_Z1.6mm -LED, , diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins -LED diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins -0 -2 -2 -LED_THT -LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O6.35mm_Z4.9mm -LED, , diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins -LED diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins -0 -2 -2 -LED_THT -LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O6.35mm_Z8.2mm -LED, , diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm, 2 pins, diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm, 2 pins -LED diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 1.6mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 4.9mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm 2 pins diameter 1.8mm size 1.8x2.4mm^2 z-position of LED center 8.2mm 2 pins -0 -2 -2 -LED_THT -LED_D1.8mm_W3.3mm_H2.4mm -LED, Round, Rectangular size 3.3x2.4mm^2 diameter 1.8mm, 2 pins -LED Round Rectangular size 3.3x2.4mm^2 diameter 1.8mm 2 pins -0 -2 -2 -LED_THT -LED_D2.0mm_W4.0mm_H2.8mm_FlatTop -LED, Round, FlatTop, Rectangular size 4.0x2.8mm^2 diameter 2.0mm, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-1034IDT(Ver.9A).pdf -LED Round FlatTop Rectangular size 4.0x2.8mm^2 diameter 2.0mm 2 pins -0 -2 -2 -LED_THT -LED_D2.0mm_W4.8mm_H2.5mm_FlatTop -LED, Round, FlatTop, Rectangular size 4.8x2.5mm^2 diameter 2.0mm, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-13GD(Ver.11B).pdf -LED Round FlatTop Rectangular size 4.8x2.5mm^2 diameter 2.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm -LED, diameter 3.0mm, 2 pins -LED diameter 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm-3 -LED, diameter 3.0mm, 2 pins, diameter 3.0mm, 3 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-3VSURKCGKC(Ver.8A).pdf -LED diameter 3.0mm 2 pins diameter 3.0mm 3 pins -0 -3 -3 -LED_THT -LED_D3.0mm_Clear -IR-LED, diameter 3.0mm, 2 pins, color: clear -IR infrared LED diameter 3.0mm 2 pins clear -0 -2 -2 -LED_THT -LED_D3.0mm_FlatTop -LED, Round, FlatTop, diameter 3.0mm, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-47XEC(Ver.9A).pdf -LED Round FlatTop diameter 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O1.27mm_Z2.0mm -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O1.27mm_Z2.0mm_Clear -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O1.27mm_Z2.0mm_IRBlack -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O1.27mm_Z2.0mm_IRGrey -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O1.27mm_Z6.0mm -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O1.27mm_Z10.0mm -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O3.81mm_Z2.0mm -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O3.81mm_Z6.0mm -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O6.35mm_Z2.0mm -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O6.35mm_Z6.0mm -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_Horizontal_O6.35mm_Z10.0mm -LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins -LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins -0 -2 -2 -LED_THT -LED_D3.0mm_IRBlack -IR-ED, diameter 3.0mm, 2 pins, color: black -IR infrared LED diameter 3.0mm 2 pins black -0 -2 -2 -LED_THT -LED_D3.0mm_IRGrey -IR-LED, diameter 3.0mm, 2 pins, color: grey -IR infrared LED diameter 3.0mm 2 pins grey -0 -2 -2 -LED_THT -LED_D4.0mm -LED, diameter 4.0mm, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-43GD(Ver.12B).pdf -LED diameter 4.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm -LED, diameter 5.0mm, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf -LED diameter 5.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm-3 -LED, diameter 5.0mm, 2 pins, diameter 5.0mm, 3 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-59EGC(Ver.17A).pdf -LED diameter 5.0mm 2 pins diameter 5.0mm 3 pins -0 -3 -3 -LED_THT -LED_D5.0mm-3_Horizontal_O3.81mm_Z3.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 3 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 3 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins -0 -3 -3 -LED_THT -LED_D5.0mm-4_RGB -LED, diameter 5.0mm, 4 pins, WP154A4, https://www.kingbright.com/attachments/file/psearch/000/00/00/L-154A4SUREQBFZGEW(Ver.11A).pdf -LED diameter 5.0mm 2 pins diameter 5.0mm 3 pins diameter 5.0mm 4 pins RGB RGBLED -0 -4 -4 -LED_THT -LED_D5.0mm-4_RGB_Staggered_Pins -LED, diameter 5.0mm, 4 pins, WP154A4, https://www.kingbright.com/attachments/file/psearch/000/00/00/L-154A4SUREQBFZGEW(Ver.11A).pdf -rgb led -0 -4 -4 -LED_THT -LED_D5.0mm-4_RGB_Wide_Pins -LED, diameter 5.0mm, 4 pins, WP154A4, https://www.kingbright.com/attachments/file/psearch/000/00/00/L-154A4SUREQBFZGEW(Ver.11A).pdf -LED diameter 5.0mm 2 pins diameter 5.0mm 3 pins diameter 5.0mm 4 pins RGB RGBLED -0 -4 -4 -LED_THT -LED_D5.0mm_Clear -LED, diameter 5.0mm, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf -LED diameter 5.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_FlatTop -LED, Round, FlatTop, diameter 5.0mm, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-483GDT(Ver.15B).pdf -LED Round FlatTop diameter 5.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O1.27mm_Z3.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O1.27mm_Z3.0mm_Clear -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O1.27mm_Z3.0mm_IRBlack -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O1.27mm_Z3.0mm_IRGrey -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O1.27mm_Z9.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O1.27mm_Z15.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 15.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 15.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O3.81mm_Z3.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O3.81mm_Z9.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O3.81mm_Z15.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 15.0mm, 2 pins, diameter 5.0mm z-position of LED center 15.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 15.0mm 2 pins diameter 5.0mm z-position of LED center 15.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O6.35mm_Z3.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O6.35mm_Z9.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_Horizontal_O6.35mm_Z15.0mm -LED, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 3.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 9.0mm, 2 pins, diameter 5.0mm z-position of LED center 15.0mm, 2 pins, diameter 5.0mm z-position of LED center 15.0mm, 2 pins, diameter 5.0mm z-position of LED center 15.0mm, 2 pins -LED diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 3.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 9.0mm 2 pins diameter 5.0mm z-position of LED center 15.0mm 2 pins diameter 5.0mm z-position of LED center 15.0mm 2 pins diameter 5.0mm z-position of LED center 15.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_IRBlack -LED, diameter 5.0mm, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf -LED diameter 5.0mm 2 pins -0 -2 -2 -LED_THT -LED_D5.0mm_IRGrey -LED, diameter 5.0mm, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf -LED diameter 5.0mm 2 pins -0 -2 -2 -LED_THT -LED_D8.0mm -LED, diameter 8.0mm, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/LED8MMGE_LED8MMGN_LED8MMRT%23KIN.pdf -LED diameter 8.0mm 2 pins -0 -2 -2 -LED_THT -LED_D8.0mm-3 -LED, diameter 8.0mm, 2 pins, diameter 8.0mm, 3 pins -LED diameter 8.0mm 2 pins diameter 8.0mm 3 pins -0 -3 -3 -LED_THT -LED_D10.0mm -LED, diameter 10.0mm, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/LED10-4500RT%23KIN.pdf -LED diameter 10.0mm 2 pins -0 -2 -2 -LED_THT -LED_D10.0mm-3 -LED, diameter 10.0mm, 2 pins, diameter 10.0mm, 3 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-819EGW(Ver.14A).pdf -LED diameter 10.0mm 2 pins diameter 10.0mm 3 pins -0 -3 -3 -LED_THT -LED_D20.0mm -LED, diameter 20.0mm, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/DLC2-6GD%28V6%29.pdf -LED diameter 20.0mm 2 pins -0 -2 -2 -LED_THT -LED_Oval_W5.2mm_H3.8mm -LED_Oval, Oval, Oval size 5.2x3.8mm^2, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-5603QBC-D(Ver.12B).pdf -LED_Oval Oval Oval size 5.2x3.8mm^2 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W3.0mm_H2.0mm -LED_Rectangular, Rectangular, Rectangular size 3.0x2.0mm^2, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-169XCGDK(Ver.9B).pdf -LED_Rectangular Rectangular Rectangular size 3.0x2.0mm^2 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W3.9mm_H1.8mm -LED_Rectangular, Rectangular, Rectangular size 3.9x1.8mm^2, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-2774GD(Ver.7B).pdf -LED_Rectangular Rectangular Rectangular size 3.9x1.8mm^2 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W3.9mm_H1.8mm_FlatTop -LED_Rectangular, Rectangular, Rectangular size 3.9x1.8mm^2, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-2774GD(Ver.7B).pdf -LED_Rectangular Rectangular Rectangular size 3.9x1.8mm^2 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W3.9mm_H1.9mm -LED_Rectangular, Rectangular, Rectangular size 3.9x1.9mm^2, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-144GDT(Ver.14B).pdf -LED_Rectangular Rectangular Rectangular size 3.9x1.9mm^2 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-169XCGDK(Ver.9B).pdf -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm-3Pins -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2, 3 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-169XCGDK(Ver.9B).pdf -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 3 pins -0 -3 -3 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O1.27mm_Z1.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O1.27mm_Z3.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O1.27mm_Z5.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm, 2 pins -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O3.81mm_Z1.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O3.81mm_Z3.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O3.81mm_Z5.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm, 2 pins -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O6.35mm_Z1.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O6.35mm_Z3.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O6.35mm_Z5.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm, 2 pins, Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm, 2 pins -LED_Rectangular Rectangular Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 1.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 3.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm 2 pins Rectangular size 5.0x2.0mm^2 z-position of LED center 5.0mm 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W5.0mm_H5.0mm -LED_Rectangular, Rectangular, Rectangular size 5.0x5.0mm^2, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-169XCGDK(Ver.9B).pdf -LED_Rectangular Rectangular Rectangular size 5.0x5.0mm^2 2 pins -0 -2 -2 -LED_THT -LED_Rectangular_W7.62mm_H4.55mm_P5.08mm_R3 -Datasheet can be found at https://www.gme.cz/data/attachments/dsh.511-795.1.pdf -LED automotive super flux 7.62mm -0 -4 -2 -LED_THT -LED_SideEmitter_Rectangular_W4.5mm_H1.6mm -LED_SideEmitter_Rectangular, Rectangular, SideEmitter, Rectangular size 4.5x1.6mm^2, 2 pins, http://cdn-reichelt.de/documents/datenblatt/A500/LED15MMGE_LED15MMGN%23KIN.pdf -LED_SideEmitter_Rectangular Rectangular SideEmitter Rectangular size 4.5x1.6mm^2 2 pins -0 -2 -2 -LED_THT -LED_VCCLite_5381H1_6.35x6.35mm -Red 5381 Series LED VCCLite https://vcclite.com/wp-content/uploads/wpallimport/files/files/5381Series.pdf http://static.vcclite.com/pdf/Mounting%20Hole%20Pattern%202.pdf -Red 5381 Series LED -0 -2 -2 -LED_THT -LED_VCCLite_5381H3_6.35x6.35mm -Amber 5381 Series LED VCCLite https://vcclite.com/wp-content/uploads/wpallimport/files/files/5381Series.pdf http://static.vcclite.com/pdf/Mounting%20Hole%20Pattern%202.pdf -Amber 5381 Series LED -0 -2 -2 -LED_THT -LED_VCCLite_5381H5_6.35x6.35mm -Green 5381 Series LED VCCLite https://vcclite.com/wp-content/uploads/wpallimport/files/files/5381Series.pdf http://static.vcclite.com/pdf/Mounting%20Hole%20Pattern%202.pdf -Green 5381 Series LED -0 -2 -2 -LED_THT -LED_VCCLite_5381H7_6.35x6.35mm -Yellow 5381 Series LED VCCLite https://vcclite.com/wp-content/uploads/wpallimport/files/files/5381Series.pdf http://static.vcclite.com/pdf/Mounting%20Hole%20Pattern%202.pdf -Yellow 5381 Series LED -0 -2 -2 -Module -A20_OLINUXINO_LIME2 -A20 Olinuxino LIME2, 1.2GHz, 512-1024MB RAM, Micro-SD, NAND or eMMC, 1000Mbit Ethernet -A20 Olimex Olinuxino LIME2 development board -0 -180 -180 -Module -Adafruit_Feather -Common footprint for the Adafruit Feather series of boards, https://learn.adafruit.com/adafruit-feather/feather-specification -Adafruit Feather -0 -28 -28 -Module -Adafruit_Feather_32u4_FONA -Footprint for the Adafruit Feather 32u4 FONA board, https://learn.adafruit.com/adafruit-feather-32u4-fona -Adafruit Feather 32u4 FONA -0 -28 -28 -Module -Adafruit_Feather_32u4_FONA_WithMountingHoles -Footprint for the Adafruit Feather 32u4 FONA board, https://learn.adafruit.com/adafruit-feather-32u4-fona -Adafruit Feather 32u4 FONA -0 -28 -28 -Module -Adafruit_Feather_32u4_RFM -Footprint for the Adafruit Feather 32u4 RFM series of boards, https://learn.adafruit.com/adafruit-feather-32u4-radio-with-rfm69hcw-module -Adafruit Feather 32u4 RFM -0 -31 -31 -Module -Adafruit_Feather_32u4_RFM_WithMountingHoles -Footprint for the Adafruit Feather 32u4 RFM series of boards, https://learn.adafruit.com/adafruit-feather-32u4-radio-with-rfm69hcw-module -Adafruit Feather 32u4 RFM -0 -31 -31 -Module -Adafruit_Feather_M0_RFM -Footprint for the Adafruit Feather M0 RFM series of boards, e.g. https://learn.adafruit.com/adafruit-feather-m0-radio-with-rfm69-packet-radio -Adafruit Feather M0 RFM -0 -32 -32 -Module -Adafruit_Feather_M0_RFM_WithMountingHoles -Footprint for the Adafruit Feather M0 RFM series of boards, e.g. https://learn.adafruit.com/adafruit-feather-m0-radio-with-rfm69-packet-radio - Adafruit Feather M0 RFM -0 -32 -32 -Module -Adafruit_Feather_M0_Wifi -Footprint for the Adafruit Feather M0 Wifi board, https://learn.adafruit.com/adafruit-feather-m0-wifi-atwinc1500/ -Adafruit Feather M0 Wifi -0 -28 -28 -Module -Adafruit_Feather_M0_Wifi_WithMountingHoles -Footprint for the Adafruit Feather M0 Wifi board, https://learn.adafruit.com/adafruit-feather-m0-wifi-atwinc1500/ -Adafruit Feather M0 Wifi -0 -28 -28 -Module -Adafruit_Feather_WICED -Footprint for the Adafruit Feather WICED Wifi board, https://learn.adafruit.com/introducing-the-adafruit-wiced-feather-wifi -Adafruit Feather WICED Wifi -0 -29 -29 -Module -Adafruit_Feather_WICED_WithMountingHoles -Footprint for the Adafruit Feather WICED Wifi board, https://learn.adafruit.com/introducing-the-adafruit-wiced-feather-wifi -Adafruit Feather WICED Wifi -0 -29 -29 -Module -Adafruit_Feather_WithMountingHoles -Common footprint for the Adafruit Feather series of boards, https://learn.adafruit.com/adafruit-feather/feather-specification -Adafruit Feather -0 -28 -28 -Module -Adafruit_HUZZAH_ESP8266_breakout -32-bit microcontroller module with WiFi, https://www.adafruit.com/product/2471 -ESP8266 WiFi microcontroller -0 -20 -20 -Module -Adafruit_HUZZAH_ESP8266_breakout_WithMountingHoles -32-bit microcontroller module with WiFi, https://www.adafruit.com/product/2471 -ESP8266 WiFi microcontroller -0 -20 -20 -Module -Arduino_Nano -Arduino Nano, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf -Arduino Nano -0 -30 -30 -Module -Arduino_Nano_WithMountingHoles -Arduino Nano, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf -Arduino Nano -0 -30 -30 -Module -Arduino_UNO_R2 -Arduino UNO R2, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf -Arduino UNO R2 -0 -30 -30 -Module -Arduino_UNO_R2_WithMountingHoles -Arduino UNO R2, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf -Arduino UNO R2 -0 -30 -30 -Module -Arduino_UNO_R3 -Arduino UNO R3, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf -Arduino UNO R3 -0 -32 -32 -Module -Arduino_UNO_R3_WithMountingHoles -Arduino UNO R3, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf -Arduino UNO R3 -0 -32 -32 -Module -BeagleBoard_PocketBeagle -PocketBeagle, https://github.com/beagleboard/pocketbeagle/wiki/System-Reference-Manual#71_Expansion_Header_Connectors -PocketBeagle -0 -72 -72 -Module -Carambola2 -8devices Carambola2, OpenWRT, industrial SoM computer, https://www.8devices.com/media/products/carambola2/downloads/carambola2-datasheet.pdf -carambola2 8devices -0 -104 -52 -Module -Electrosmith_Daisy_Seed -Embedded platform for audio applications. 96kHz / 24-bit audio hardware. 64MB of SDRAM for up to 10 minute long audio. ARM Cortex-M7 MCU, running at 480MHz. 31 total GPIO pins with configurable functionality. 12-bit Digital to Analog Converters (x2). SD card interfaces. PWM outputs. Serial Protocols for connecting external sensors and devices (SPI, UART, I2S, I2C). Dedicated VIN pin for power. Micro USB port, and additional USB pins for full OTG-support as host and device. https://static1.squarespace.com/static/58d03fdc1b10e3bf442567b8/t/6227e6236f02fb68d1577146/1646781988478/Daisy_Seed_datasheet_v1.0.3.pdf -Electrosmith Daisy Seed Microcontroller Module ARM Cortex-M7 Audio Codec -0 -40 -40 -Module -Maple_Mini -Maple Mini, http://docs.leaflabs.com/static.leaflabs.com/pub/leaflabs/maple-docs/0.0.12/hardware/maple-mini.html -Maple Mini -0 -40 -40 -Module -Olimex_MOD-WIFI-ESP8266-DEV -ESP8266 development board https://www.olimex.com/Products/IoT/ESP8266/MOD-WIFI-ESP8266-DEV/resources/dimensions-WIFI-ESP8266-DEV.png -ESP8266 -0 -22 -22 -Module -Onion_Omega2+ -https://onion.io/omega2/ -Omega Onion module -0 -32 -32 -Module -Onion_Omega2S -https://github.com/OnionIoT/Omega2/raw/master/Documents/Omega2S%20Datasheet.pdf -onion omega module -0 -89 -64 -Module -Pololu_Breakout-16_15.2x20.3mm -Pololu Breakout 16-pin 15.2x20.3mm 0.6x0.8\ -Pololu Breakout -0 -16 -16 -Module -Raspberry_Pi_Zero_Socketed_THT_FaceDown_MountingHoles -Raspberry Pi Zero using through hole straight pin socket, 2x20, 2.54mm pitch, https://www.raspberrypi.org/documentation/hardware/raspberrypi/mechanical/rpi_MECH_Zero_1p2.pdf -raspberry pi zero through hole -0 -40 -40 -Module -ST_Morpho_Connector_144_STLink -ST Morpho Connector 144 With STLink -ST Morpho Connector 144 STLink -0 -148 -148 -Module -ST_Morpho_Connector_144_STLink_MountingHoles -ST Morpho Connector 144 With STLink -ST Morpho Connector 144 STLink -0 -148 -148 -Module -Sipeed-M1 -AI accelerated MCU with optional wifi, https://dl.sipeed.com/MAIX/HDK/Sipeed-M1&M1W/Specifications -AI Kendryte K210 RISC-V -0 -85 -77 -Module -Texas_EUK_R-PDSS-T7_THT -Texas Instruments EUK 7 Pin Double Sided Module -module pcb -0 -7 -7 -Module -Texas_EUS_R-PDSS-T5_THT -Texas Instruments EUS 5 Pin Double Sided Module -module pcb -0 -5 -5 -Module -Texas_EUW_R-PDSS-T7_THT -Texas Instruments EUW 7 Pin Double Sided Module -module pcb -0 -7 -7 -Module -WEMOS_D1_mini_light -16-pin module, column spacing 22.86 mm (900 mils), https://wiki.wemos.cc/products:d1:d1_mini, https://c1.staticflickr.com/1/734/31400410271_f278b087db_z.jpg -ESP8266 WiFi microcontroller -0 -16 -16 -Motors -Vybronics_VZ30C1T8219732L -Vibration motor, 2.3-3.2V, 14000rpm, 0.7G, https://www.vybronics.com/wp-content/uploads/datasheet-files/Vybronics-VZ30C1T8219732L-datasheet.pdf -vibration motor Vybronics -0 -12 -3 -MountingEquipment -DINRailAdapter_3xM3_PhoenixContact_1201578 -https://www.phoenixcontact.com/online/portal/us?uri=pxc-oc-itemdetail:pid=1201578&library=usen&tab=1 -DIN rail adapter universal three M3 clearance holes -0 -0 -0 -MountingHole -MountingHole_2.1mm -Mounting Hole 2.1mm, no annular -mounting hole 2.1mm no annular -0 -0 -0 -MountingHole -MountingHole_2.2mm_M2 -Mounting Hole 2.2mm, no annular, M2 -mounting hole 2.2mm no annular m2 -0 -0 -0 -MountingHole -MountingHole_2.2mm_M2_DIN965 -Mounting Hole 2.2mm, no annular, M2, DIN965 -mounting hole 2.2mm no annular m2 din965 -0 -0 -0 -MountingHole -MountingHole_2.2mm_M2_DIN965_Pad -Mounting Hole 2.2mm, M2, DIN965 -mounting hole 2.2mm m2 din965 -0 -1 -1 -MountingHole -MountingHole_2.2mm_M2_DIN965_Pad_TopBottom -Mounting Hole 2.2mm, M2, DIN965 -mounting hole 2.2mm m2 din965 -0 -3 -1 -MountingHole -MountingHole_2.2mm_M2_DIN965_Pad_TopOnly -Mounting Hole 2.2mm, M2, DIN965 -mounting hole 2.2mm m2 din965 -0 -2 -1 -MountingHole -MountingHole_2.2mm_M2_ISO7380 -Mounting Hole 2.2mm, no annular, M2, ISO7380 -mounting hole 2.2mm no annular m2 iso7380 -0 -0 -0 -MountingHole -MountingHole_2.2mm_M2_ISO7380_Pad -Mounting Hole 2.2mm, M2, ISO7380 -mounting hole 2.2mm m2 iso7380 -0 -1 -1 -MountingHole -MountingHole_2.2mm_M2_ISO7380_Pad_TopBottom -Mounting Hole 2.2mm, M2, ISO7380 -mounting hole 2.2mm m2 iso7380 -0 -3 -1 -MountingHole -MountingHole_2.2mm_M2_ISO7380_Pad_TopOnly -Mounting Hole 2.2mm, M2, ISO7380 -mounting hole 2.2mm m2 iso7380 -0 -2 -1 -MountingHole -MountingHole_2.2mm_M2_ISO14580 -Mounting Hole 2.2mm, no annular, M2, ISO14580 -mounting hole 2.2mm no annular m2 iso14580 -0 -0 -0 -MountingHole -MountingHole_2.2mm_M2_ISO14580_Pad -Mounting Hole 2.2mm, M2, ISO14580 -mounting hole 2.2mm m2 iso14580 -0 -1 -1 -MountingHole -MountingHole_2.2mm_M2_ISO14580_Pad_TopBottom -Mounting Hole 2.2mm, M2, ISO14580 -mounting hole 2.2mm m2 iso14580 -0 -3 -1 -MountingHole -MountingHole_2.2mm_M2_ISO14580_Pad_TopOnly -Mounting Hole 2.2mm, M2, ISO14580 -mounting hole 2.2mm m2 iso14580 -0 -2 -1 -MountingHole -MountingHole_2.2mm_M2_Pad -Mounting Hole 2.2mm, M2 -mounting hole 2.2mm m2 -0 -1 -1 -MountingHole -MountingHole_2.2mm_M2_Pad_TopBottom -Mounting Hole 2.2mm, M2 -mounting hole 2.2mm m2 -0 -3 -1 -MountingHole -MountingHole_2.2mm_M2_Pad_TopOnly -Mounting Hole 2.2mm, M2 -mounting hole 2.2mm m2 -0 -2 -1 -MountingHole -MountingHole_2.2mm_M2_Pad_Via -Mounting Hole 2.2mm, M2 -mounting hole 2.2mm m2 -0 -9 -1 -MountingHole -MountingHole_2.5mm -Mounting Hole 2.5mm, no annular -mounting hole 2.5mm no annular -0 -0 -0 -MountingHole -MountingHole_2.5mm_Pad -Mounting Hole 2.5mm -mounting hole 2.5mm -0 -1 -1 -MountingHole -MountingHole_2.5mm_Pad_TopBottom -Mounting Hole 2.5mm -mounting hole 2.5mm -0 -3 -1 -MountingHole -MountingHole_2.5mm_Pad_TopOnly -Mounting Hole 2.5mm -mounting hole 2.5mm -0 -2 -1 -MountingHole -MountingHole_2.5mm_Pad_Via -Mounting Hole 2.5mm -mounting hole 2.5mm -0 -9 -1 -MountingHole -MountingHole_2.7mm -Mounting Hole 2.7mm, no annular -mounting hole 2.7mm no annular -0 -0 -0 -MountingHole -MountingHole_2.7mm_M2.5 -Mounting Hole 2.7mm, no annular, M2.5 -mounting hole 2.7mm no annular m2.5 -0 -0 -0 -MountingHole -MountingHole_2.7mm_M2.5_DIN965 -Mounting Hole 2.7mm, no annular, M2.5, DIN965 -mounting hole 2.7mm no annular m2.5 din965 -0 -0 -0 -MountingHole -MountingHole_2.7mm_M2.5_DIN965_Pad -Mounting Hole 2.7mm, M2.5, DIN965 -mounting hole 2.7mm m2.5 din965 -0 -1 -1 -MountingHole -MountingHole_2.7mm_M2.5_DIN965_Pad_TopBottom -Mounting Hole 2.7mm, M2.5, DIN965 -mounting hole 2.7mm m2.5 din965 -0 -3 -1 -MountingHole -MountingHole_2.7mm_M2.5_DIN965_Pad_TopOnly -Mounting Hole 2.7mm, M2.5, DIN965 -mounting hole 2.7mm m2.5 din965 -0 -2 -1 -MountingHole -MountingHole_2.7mm_M2.5_ISO7380 -Mounting Hole 2.7mm, no annular, M2.5, ISO7380 -mounting hole 2.7mm no annular m2.5 iso7380 -0 -0 -0 -MountingHole -MountingHole_2.7mm_M2.5_ISO7380_Pad -Mounting Hole 2.7mm, M2.5, ISO7380 -mounting hole 2.7mm m2.5 iso7380 -0 -1 -1 -MountingHole -MountingHole_2.7mm_M2.5_ISO7380_Pad_TopBottom -Mounting Hole 2.7mm, M2.5, ISO7380 -mounting hole 2.7mm m2.5 iso7380 -0 -3 -1 -MountingHole -MountingHole_2.7mm_M2.5_ISO7380_Pad_TopOnly -Mounting Hole 2.7mm, M2.5, ISO7380 -mounting hole 2.7mm m2.5 iso7380 -0 -2 -1 -MountingHole -MountingHole_2.7mm_M2.5_ISO14580 -Mounting Hole 2.7mm, no annular, M2.5, ISO14580 -mounting hole 2.7mm no annular m2.5 iso14580 -0 -0 -0 -MountingHole -MountingHole_2.7mm_M2.5_ISO14580_Pad -Mounting Hole 2.7mm, M2.5, ISO14580 -mounting hole 2.7mm m2.5 iso14580 -0 -1 -1 -MountingHole -MountingHole_2.7mm_M2.5_ISO14580_Pad_TopBottom -Mounting Hole 2.7mm, M2.5, ISO14580 -mounting hole 2.7mm m2.5 iso14580 -0 -3 -1 -MountingHole -MountingHole_2.7mm_M2.5_ISO14580_Pad_TopOnly -Mounting Hole 2.7mm, M2.5, ISO14580 -mounting hole 2.7mm m2.5 iso14580 -0 -2 -1 -MountingHole -MountingHole_2.7mm_M2.5_Pad -Mounting Hole 2.7mm, M2.5 -mounting hole 2.7mm m2.5 -0 -1 -1 -MountingHole -MountingHole_2.7mm_M2.5_Pad_TopBottom -Mounting Hole 2.7mm, M2.5 -mounting hole 2.7mm m2.5 -0 -3 -1 -MountingHole -MountingHole_2.7mm_M2.5_Pad_TopOnly -Mounting Hole 2.7mm, M2.5 -mounting hole 2.7mm m2.5 -0 -2 -1 -MountingHole -MountingHole_2.7mm_M2.5_Pad_Via -Mounting Hole 2.7mm -mounting hole 2.7mm -0 -9 -1 -MountingHole -MountingHole_2.7mm_Pad -Mounting Hole 2.7mm -mounting hole 2.7mm -0 -1 -1 -MountingHole -MountingHole_2.7mm_Pad_TopBottom -Mounting Hole 2.7mm -mounting hole 2.7mm -0 -3 -1 -MountingHole -MountingHole_2.7mm_Pad_TopOnly -Mounting Hole 2.7mm -mounting hole 2.7mm -0 -2 -1 -MountingHole -MountingHole_2.7mm_Pad_Via -Mounting Hole 2.7mm -mounting hole 2.7mm -0 -9 -1 -MountingHole -MountingHole_2mm -Mounting Hole 2mm, no annular -mounting hole 2mm no annular -0 -0 -0 -MountingHole -MountingHole_3.2mm_M3 -Mounting Hole 3.2mm, no annular, M3 -mounting hole 3.2mm no annular m3 -0 -0 -0 -MountingHole -MountingHole_3.2mm_M3_DIN965 -Mounting Hole 3.2mm, no annular, M3, DIN965 -mounting hole 3.2mm no annular m3 din965 -0 -0 -0 -MountingHole -MountingHole_3.2mm_M3_DIN965_Pad -Mounting Hole 3.2mm, M3, DIN965 -mounting hole 3.2mm m3 din965 -0 -1 -1 -MountingHole -MountingHole_3.2mm_M3_DIN965_Pad_TopBottom -Mounting Hole 3.2mm, M3, DIN965 -mounting hole 3.2mm m3 din965 -0 -3 -1 -MountingHole -MountingHole_3.2mm_M3_DIN965_Pad_TopOnly -Mounting Hole 3.2mm, M3, DIN965 -mounting hole 3.2mm m3 din965 -0 -2 -1 -MountingHole -MountingHole_3.2mm_M3_ISO7380 -Mounting Hole 3.2mm, no annular, M3, ISO7380 -mounting hole 3.2mm no annular m3 iso7380 -0 -0 -0 -MountingHole -MountingHole_3.2mm_M3_ISO7380_Pad -Mounting Hole 3.2mm, M3, ISO7380 -mounting hole 3.2mm m3 iso7380 -0 -1 -1 -MountingHole -MountingHole_3.2mm_M3_ISO7380_Pad_TopBottom -Mounting Hole 3.2mm, M3, ISO7380 -mounting hole 3.2mm m3 iso7380 -0 -3 -1 -MountingHole -MountingHole_3.2mm_M3_ISO7380_Pad_TopOnly -Mounting Hole 3.2mm, M3, ISO7380 -mounting hole 3.2mm m3 iso7380 -0 -2 -1 -MountingHole -MountingHole_3.2mm_M3_ISO14580 -Mounting Hole 3.2mm, no annular, M3, ISO14580 -mounting hole 3.2mm no annular m3 iso14580 -0 -0 -0 -MountingHole -MountingHole_3.2mm_M3_ISO14580_Pad -Mounting Hole 3.2mm, M3, ISO14580 -mounting hole 3.2mm m3 iso14580 -0 -1 -1 -MountingHole -MountingHole_3.2mm_M3_ISO14580_Pad_TopBottom -Mounting Hole 3.2mm, M3, ISO14580 -mounting hole 3.2mm m3 iso14580 -0 -3 -1 -MountingHole -MountingHole_3.2mm_M3_ISO14580_Pad_TopOnly -Mounting Hole 3.2mm, M3, ISO14580 -mounting hole 3.2mm m3 iso14580 -0 -2 -1 -MountingHole -MountingHole_3.2mm_M3_Pad -Mounting Hole 3.2mm, M3 -mounting hole 3.2mm m3 -0 -1 -1 -MountingHole -MountingHole_3.2mm_M3_Pad_TopBottom -Mounting Hole 3.2mm, M3 -mounting hole 3.2mm m3 -0 -3 -1 -MountingHole -MountingHole_3.2mm_M3_Pad_TopOnly -Mounting Hole 3.2mm, M3 -mounting hole 3.2mm m3 -0 -2 -1 -MountingHole -MountingHole_3.2mm_M3_Pad_Via -Mounting Hole 3.2mm, M3 -mounting hole 3.2mm m3 -0 -9 -1 -MountingHole -MountingHole_3.5mm -Mounting Hole 3.5mm, no annular -mounting hole 3.5mm no annular -0 -0 -0 -MountingHole -MountingHole_3.5mm_Pad -Mounting Hole 3.5mm -mounting hole 3.5mm -0 -1 -1 -MountingHole -MountingHole_3.5mm_Pad_TopBottom -Mounting Hole 3.5mm -mounting hole 3.5mm -0 -3 -1 -MountingHole -MountingHole_3.5mm_Pad_TopOnly -Mounting Hole 3.5mm -mounting hole 3.5mm -0 -2 -1 -MountingHole -MountingHole_3.5mm_Pad_Via -Mounting Hole 3.5mm -mounting hole 3.5mm -0 -9 -1 -MountingHole -MountingHole_3.7mm -Mounting Hole 3.7mm, no annular -mounting hole 3.7mm no annular -0 -0 -0 -MountingHole -MountingHole_3.7mm_Pad -Mounting Hole 3.7mm -mounting hole 3.7mm -0 -1 -1 -MountingHole -MountingHole_3.7mm_Pad_TopBottom -Mounting Hole 3.7mm -mounting hole 3.7mm -0 -3 -1 -MountingHole -MountingHole_3.7mm_Pad_TopOnly -Mounting Hole 3.7mm -mounting hole 3.7mm -0 -2 -1 -MountingHole -MountingHole_3.7mm_Pad_Via -Mounting Hole 3.7mm -mounting hole 3.7mm -0 -9 -1 -MountingHole -MountingHole_3mm -Mounting Hole 3mm, no annular -mounting hole 3mm no annular -0 -0 -0 -MountingHole -MountingHole_3mm_Pad -Mounting Hole 3mm -mounting hole 3mm -0 -1 -1 -MountingHole -MountingHole_3mm_Pad_TopBottom -Mounting Hole 3mm -mounting hole 3mm -0 -3 -1 -MountingHole -MountingHole_3mm_Pad_TopOnly -Mounting Hole 3mm -mounting hole 3mm -0 -2 -1 -MountingHole -MountingHole_3mm_Pad_Via -Mounting Hole 3mm -mounting hole 3mm -0 -9 -1 -MountingHole -MountingHole_4.3mm_M4 -Mounting Hole 4.3mm, no annular, M4 -mounting hole 4.3mm no annular m4 -0 -0 -0 -MountingHole -MountingHole_4.3mm_M4_DIN965 -Mounting Hole 4.3mm, no annular, M4, DIN965 -mounting hole 4.3mm no annular m4 din965 -0 -0 -0 -MountingHole -MountingHole_4.3mm_M4_DIN965_Pad -Mounting Hole 4.3mm, M4, DIN965 -mounting hole 4.3mm m4 din965 -0 -1 -1 -MountingHole -MountingHole_4.3mm_M4_DIN965_Pad_TopBottom -Mounting Hole 4.3mm, M4, DIN965 -mounting hole 4.3mm m4 din965 -0 -3 -1 -MountingHole -MountingHole_4.3mm_M4_DIN965_Pad_TopOnly -Mounting Hole 4.3mm, M4, DIN965 -mounting hole 4.3mm m4 din965 -0 -2 -1 -MountingHole -MountingHole_4.3mm_M4_ISO7380 -Mounting Hole 4.3mm, no annular, M4, ISO7380 -mounting hole 4.3mm no annular m4 iso7380 -0 -0 -0 -MountingHole -MountingHole_4.3mm_M4_ISO7380_Pad -Mounting Hole 4.3mm, M4, ISO7380 -mounting hole 4.3mm m4 iso7380 -0 -1 -1 -MountingHole -MountingHole_4.3mm_M4_ISO7380_Pad_TopBottom -Mounting Hole 4.3mm, M4, ISO7380 -mounting hole 4.3mm m4 iso7380 -0 -3 -1 -MountingHole -MountingHole_4.3mm_M4_ISO7380_Pad_TopOnly -Mounting Hole 4.3mm, M4, ISO7380 -mounting hole 4.3mm m4 iso7380 -0 -2 -1 -MountingHole -MountingHole_4.3mm_M4_ISO14580 -Mounting Hole 4.3mm, no annular, M4, ISO14580 -mounting hole 4.3mm no annular m4 iso14580 -0 -0 -0 -MountingHole -MountingHole_4.3mm_M4_ISO14580_Pad -Mounting Hole 4.3mm, M4, ISO14580 -mounting hole 4.3mm m4 iso14580 -0 -1 -1 -MountingHole -MountingHole_4.3mm_M4_ISO14580_Pad_TopBottom -Mounting Hole 4.3mm, M4, ISO14580 -mounting hole 4.3mm m4 iso14580 -0 -3 -1 -MountingHole -MountingHole_4.3mm_M4_ISO14580_Pad_TopOnly -Mounting Hole 4.3mm, M4, ISO14580 -mounting hole 4.3mm m4 iso14580 -0 -2 -1 -MountingHole -MountingHole_4.3mm_M4_Pad -Mounting Hole 4.3mm, M4 -mounting hole 4.3mm m4 -0 -1 -1 -MountingHole -MountingHole_4.3mm_M4_Pad_TopBottom -Mounting Hole 4.3mm, M4 -mounting hole 4.3mm m4 -0 -3 -1 -MountingHole -MountingHole_4.3mm_M4_Pad_TopOnly -Mounting Hole 4.3mm, M4 -mounting hole 4.3mm m4 -0 -2 -1 -MountingHole -MountingHole_4.3mm_M4_Pad_Via -Mounting Hole 4.3mm, M4 -mounting hole 4.3mm m4 -0 -9 -1 -MountingHole -MountingHole_4.3x6.2mm_M4_Pad -Mounting Hole 4.3x6.2mm, M4 -mounting hole 4.3x6.2mm m4 -0 -1 -1 -MountingHole -MountingHole_4.3x6.2mm_M4_Pad_Via -Mounting Hole 4.3x6.2mm, M4 -mounting hole 4.3x6.2mm m4 -0 -17 -1 -MountingHole -MountingHole_4.5mm -Mounting Hole 4.5mm, no annular -mounting hole 4.5mm no annular -0 -0 -0 -MountingHole -MountingHole_4.5mm_Pad -Mounting Hole 4.5mm -mounting hole 4.5mm -0 -1 -1 -MountingHole -MountingHole_4.5mm_Pad_TopBottom -Mounting Hole 4.5mm -mounting hole 4.5mm -0 -3 -1 -MountingHole -MountingHole_4.5mm_Pad_TopOnly -Mounting Hole 4.5mm -mounting hole 4.5mm -0 -2 -1 -MountingHole -MountingHole_4.5mm_Pad_Via -Mounting Hole 4.5mm -mounting hole 4.5mm -0 -9 -1 -MountingHole -MountingHole_4mm -Mounting Hole 4mm, no annular -mounting hole 4mm no annular -0 -0 -0 -MountingHole -MountingHole_4mm_Pad -Mounting Hole 4mm -mounting hole 4mm -0 -1 -1 -MountingHole -MountingHole_4mm_Pad_TopBottom -Mounting Hole 4mm -mounting hole 4mm -0 -3 -1 -MountingHole -MountingHole_4mm_Pad_TopOnly -Mounting Hole 4mm -mounting hole 4mm -0 -2 -1 -MountingHole -MountingHole_4mm_Pad_Via -Mounting Hole 4mm -mounting hole 4mm -0 -9 -1 -MountingHole -MountingHole_5.3mm_M5 -Mounting Hole 5.3mm, no annular, M5 -mounting hole 5.3mm no annular m5 -0 -0 -0 -MountingHole -MountingHole_5.3mm_M5_DIN965 -Mounting Hole 5.3mm, no annular, M5, DIN965 -mounting hole 5.3mm no annular m5 din965 -0 -0 -0 -MountingHole -MountingHole_5.3mm_M5_DIN965_Pad -Mounting Hole 5.3mm, M5, DIN965 -mounting hole 5.3mm m5 din965 -0 -1 -1 -MountingHole -MountingHole_5.3mm_M5_DIN965_Pad_TopBottom -Mounting Hole 5.3mm, M5, DIN965 -mounting hole 5.3mm m5 din965 -0 -3 -1 -MountingHole -MountingHole_5.3mm_M5_DIN965_Pad_TopOnly -Mounting Hole 5.3mm, M5, DIN965 -mounting hole 5.3mm m5 din965 -0 -2 -1 -MountingHole -MountingHole_5.3mm_M5_ISO7380 -Mounting Hole 5.3mm, no annular, M5, ISO7380 -mounting hole 5.3mm no annular m5 iso7380 -0 -0 -0 -MountingHole -MountingHole_5.3mm_M5_ISO7380_Pad -Mounting Hole 5.3mm, M5, ISO7380 -mounting hole 5.3mm m5 iso7380 -0 -1 -1 -MountingHole -MountingHole_5.3mm_M5_ISO7380_Pad_TopBottom -Mounting Hole 5.3mm, M5, ISO7380 -mounting hole 5.3mm m5 iso7380 -0 -3 -1 -MountingHole -MountingHole_5.3mm_M5_ISO7380_Pad_TopOnly -Mounting Hole 5.3mm, M5, ISO7380 -mounting hole 5.3mm m5 iso7380 -0 -2 -1 -MountingHole -MountingHole_5.3mm_M5_ISO14580 -Mounting Hole 5.3mm, no annular, M5, ISO14580 -mounting hole 5.3mm no annular m5 iso14580 -0 -0 -0 -MountingHole -MountingHole_5.3mm_M5_ISO14580_Pad -Mounting Hole 5.3mm, M5, ISO14580 -mounting hole 5.3mm m5 iso14580 -0 -1 -1 -MountingHole -MountingHole_5.3mm_M5_ISO14580_Pad_TopBottom -Mounting Hole 5.3mm, M5, ISO14580 -mounting hole 5.3mm m5 iso14580 -0 -3 -1 -MountingHole -MountingHole_5.3mm_M5_ISO14580_Pad_TopOnly -Mounting Hole 5.3mm, M5, ISO14580 -mounting hole 5.3mm m5 iso14580 -0 -2 -1 -MountingHole -MountingHole_5.3mm_M5_Pad -Mounting Hole 5.3mm, M5 -mounting hole 5.3mm m5 -0 -1 -1 -MountingHole -MountingHole_5.3mm_M5_Pad_TopBottom -Mounting Hole 5.3mm, M5 -mounting hole 5.3mm m5 -0 -3 -1 -MountingHole -MountingHole_5.3mm_M5_Pad_TopOnly -Mounting Hole 5.3mm, M5 -mounting hole 5.3mm m5 -0 -2 -1 -MountingHole -MountingHole_5.3mm_M5_Pad_Via -Mounting Hole 5.3mm, M5 -mounting hole 5.3mm m5 -0 -9 -1 -MountingHole -MountingHole_5.5mm -Mounting Hole 5.5mm, no annular -mounting hole 5.5mm no annular -0 -0 -0 -MountingHole -MountingHole_5.5mm_Pad -Mounting Hole 5.5mm -mounting hole 5.5mm -0 -1 -1 -MountingHole -MountingHole_5.5mm_Pad_TopBottom -Mounting Hole 5.5mm -mounting hole 5.5mm -0 -3 -1 -MountingHole -MountingHole_5.5mm_Pad_TopOnly -Mounting Hole 5.5mm -mounting hole 5.5mm -0 -2 -1 -MountingHole -MountingHole_5.5mm_Pad_Via -Mounting Hole 5.5mm -mounting hole 5.5mm -0 -9 -1 -MountingHole -MountingHole_5mm -Mounting Hole 5mm, no annular -mounting hole 5mm no annular -0 -0 -0 -MountingHole -MountingHole_5mm_Pad -Mounting Hole 5mm -mounting hole 5mm -0 -1 -1 -MountingHole -MountingHole_5mm_Pad_TopBottom -Mounting Hole 5mm -mounting hole 5mm -0 -3 -1 -MountingHole -MountingHole_5mm_Pad_TopOnly -Mounting Hole 5mm -mounting hole 5mm -0 -2 -1 -MountingHole -MountingHole_5mm_Pad_Via -Mounting Hole 5mm -mounting hole 5mm -0 -9 -1 -MountingHole -MountingHole_6.4mm_M6 -Mounting Hole 6.4mm, no annular, M6 -mounting hole 6.4mm no annular m6 -0 -0 -0 -MountingHole -MountingHole_6.4mm_M6_DIN965 -Mounting Hole 6.4mm, no annular, M6, DIN965 -mounting hole 6.4mm no annular m6 din965 -0 -0 -0 -MountingHole -MountingHole_6.4mm_M6_DIN965_Pad -Mounting Hole 6.4mm, M6, DIN965 -mounting hole 6.4mm m6 din965 -0 -1 -1 -MountingHole -MountingHole_6.4mm_M6_DIN965_Pad_TopBottom -Mounting Hole 6.4mm, M6, DIN965 -mounting hole 6.4mm m6 din965 -0 -3 -1 -MountingHole -MountingHole_6.4mm_M6_DIN965_Pad_TopOnly -Mounting Hole 6.4mm, M6, DIN965 -mounting hole 6.4mm m6 din965 -0 -2 -1 -MountingHole -MountingHole_6.4mm_M6_ISO7380 -Mounting Hole 6.4mm, no annular, M6, ISO7380 -mounting hole 6.4mm no annular m6 iso7380 -0 -0 -0 -MountingHole -MountingHole_6.4mm_M6_ISO7380_Pad -Mounting Hole 6.4mm, M6, ISO7380 -mounting hole 6.4mm m6 iso7380 -0 -1 -1 -MountingHole -MountingHole_6.4mm_M6_ISO7380_Pad_TopBottom -Mounting Hole 6.4mm, M6, ISO7380 -mounting hole 6.4mm m6 iso7380 -0 -3 -1 -MountingHole -MountingHole_6.4mm_M6_ISO7380_Pad_TopOnly -Mounting Hole 6.4mm, M6, ISO7380 -mounting hole 6.4mm m6 iso7380 -0 -2 -1 -MountingHole -MountingHole_6.4mm_M6_ISO14580 -Mounting Hole 6.4mm, no annular, M6, ISO14580 -mounting hole 6.4mm no annular m6 iso14580 -0 -0 -0 -MountingHole -MountingHole_6.4mm_M6_ISO14580_Pad -Mounting Hole 6.4mm, M6, ISO14580 -mounting hole 6.4mm m6 iso14580 -0 -1 -1 -MountingHole -MountingHole_6.4mm_M6_ISO14580_Pad_TopBottom -Mounting Hole 6.4mm, M6, ISO14580 -mounting hole 6.4mm m6 iso14580 -0 -3 -1 -MountingHole -MountingHole_6.4mm_M6_ISO14580_Pad_TopOnly -Mounting Hole 6.4mm, M6, ISO14580 -mounting hole 6.4mm m6 iso14580 -0 -2 -1 -MountingHole -MountingHole_6.4mm_M6_Pad -Mounting Hole 6.4mm, M6 -mounting hole 6.4mm m6 -0 -1 -1 -MountingHole -MountingHole_6.4mm_M6_Pad_TopBottom -Mounting Hole 6.4mm, M6 -mounting hole 6.4mm m6 -0 -3 -1 -MountingHole -MountingHole_6.4mm_M6_Pad_TopOnly -Mounting Hole 6.4mm, M6 -mounting hole 6.4mm m6 -0 -2 -1 -MountingHole -MountingHole_6.4mm_M6_Pad_Via -Mounting Hole 6.4mm, M6 -mounting hole 6.4mm m6 -0 -9 -1 -MountingHole -MountingHole_6.5mm -Mounting Hole 6.5mm, no annular -mounting hole 6.5mm no annular -0 -0 -0 -MountingHole -MountingHole_6.5mm_Pad -Mounting Hole 6.5mm -mounting hole 6.5mm -0 -1 -1 -MountingHole -MountingHole_6.5mm_Pad_TopBottom -Mounting Hole 6.5mm -mounting hole 6.5mm -0 -3 -1 -MountingHole -MountingHole_6.5mm_Pad_TopOnly -Mounting Hole 6.5mm -mounting hole 6.5mm -0 -2 -1 -MountingHole -MountingHole_6.5mm_Pad_Via -Mounting Hole 6.5mm -mounting hole 6.5mm -0 -9 -1 -MountingHole -MountingHole_6mm -Mounting Hole 6mm, no annular -mounting hole 6mm no annular -0 -0 -0 -MountingHole -MountingHole_6mm_Pad -Mounting Hole 6mm -mounting hole 6mm -0 -1 -1 -MountingHole -MountingHole_6mm_Pad_TopBottom -Mounting Hole 6mm -mounting hole 6mm -0 -3 -1 -MountingHole -MountingHole_6mm_Pad_TopOnly -Mounting Hole 6mm -mounting hole 6mm -0 -2 -1 -MountingHole -MountingHole_6mm_Pad_Via -Mounting Hole 6mm -mounting hole 6mm -0 -9 -1 -MountingHole -MountingHole_8.4mm_M8 -Mounting Hole 8.4mm, no annular, M8 -mounting hole 8.4mm no annular m8 -0 -0 -0 -MountingHole -MountingHole_8.4mm_M8_Pad -Mounting Hole 8.4mm, M8 -mounting hole 8.4mm m8 -0 -1 -1 -MountingHole -MountingHole_8.4mm_M8_Pad_TopBottom -Mounting Hole 8.4mm, M8 -mounting hole 8.4mm m8 -0 -3 -1 -MountingHole -MountingHole_8.4mm_M8_Pad_TopOnly -Mounting Hole 8.4mm, M8 -mounting hole 8.4mm m8 -0 -2 -1 -MountingHole -MountingHole_8.4mm_M8_Pad_Via -Mounting Hole 8.4mm, M8 -mounting hole 8.4mm m8 -0 -9 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H5mm_9771050360 -Mounting Hardware, external M3, height 5, Wuerth electronics 9771050360 (https://katalog.we-online.com/em/datasheet/9771050360.pdf), generated with kicad-footprint-generator -Mounting M3 9771050360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H6mm_9771060360 -Mounting Hardware, external M3, height 6, Wuerth electronics 9771060360 (https://katalog.we-online.com/em/datasheet/9771060360.pdf), generated with kicad-footprint-generator -Mounting M3 9771060360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H7mm_9771070360 -Mounting Hardware, external M3, height 7, Wuerth electronics 9771070360 (https://katalog.we-online.com/em/datasheet/9771070360.pdf), generated with kicad-footprint-generator -Mounting M3 9771070360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H8mm_9771080360 -Mounting Hardware, external M3, height 8, Wuerth electronics 9771080360 (https://katalog.we-online.com/em/datasheet/9771080360.pdf), generated with kicad-footprint-generator -Mounting M3 9771080360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H9mm_9771090360 -Mounting Hardware, external M3, height 9, Wuerth electronics 9771090360 (https://katalog.we-online.com/em/datasheet/9771090360.pdf), generated with kicad-footprint-generator -Mounting M3 9771090360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H10mm_9771100360 -Mounting Hardware, external M3, height 10, Wuerth electronics 9771100360 (https://katalog.we-online.com/em/datasheet/9771100360.pdf), generated with kicad-footprint-generator -Mounting M3 9771100360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H11mm_9771110360 -Mounting Hardware, external M3, height 11, Wuerth electronics 9771110360 (https://katalog.we-online.com/em/datasheet/9771110360.pdf), generated with kicad-footprint-generator -Mounting M3 9771110360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H12mm_9771120360 -Mounting Hardware, external M3, height 12, Wuerth electronics 9771120360 (https://katalog.we-online.com/em/datasheet/9771120360.pdf), generated with kicad-footprint-generator -Mounting M3 9771120360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H13mm_9771130360 -Mounting Hardware, external M3, height 13, Wuerth electronics 9771130360 (https://katalog.we-online.com/em/datasheet/9771130360.pdf), generated with kicad-footprint-generator -Mounting M3 9771130360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H14mm_9771140360 -Mounting Hardware, external M3, height 14, Wuerth electronics 9771140360 (https://katalog.we-online.com/em/datasheet/9771140360.pdf), generated with kicad-footprint-generator -Mounting M3 9771140360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSE-ExternalM3_H15mm_9771150360 -Mounting Hardware, external M3, height 15, Wuerth electronics 9771150360 (https://katalog.we-online.com/em/datasheet/9771150360.pdf), generated with kicad-footprint-generator -Mounting M3 9771150360 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H1mm_9774010482 -Mounting Hardware, inside through hole 4.5mm, height 1, Wuerth electronics 9774010482 (https://katalog.we-online.de/em/datasheet/9774010482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774010482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H2mm_9774020482 -Mounting Hardware, inside through hole 4.5mm, height 2, Wuerth electronics 9774020482 (https://katalog.we-online.de/em/datasheet/9774020482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774020482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H3mm_9774030482 -Mounting Hardware, inside through hole 4.5mm, height 3, Wuerth electronics 9774030482 (https://katalog.we-online.de/em/datasheet/9774030482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774030482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H4mm_9774040482 -Mounting Hardware, inside through hole 4.5mm, height 4, Wuerth electronics 9774040482 (https://katalog.we-online.de/em/datasheet/9774040482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774040482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H5mm_9774050482 -Mounting Hardware, inside through hole 4.5mm, height 5, Wuerth electronics 9774050482 (https://katalog.we-online.de/em/datasheet/9774050482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774050482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H6mm_9774060482 -Mounting Hardware, inside through hole 4.5mm, height 6, Wuerth electronics 9774060482 (https://katalog.we-online.de/em/datasheet/9774060482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774060482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H7mm_9774070482 -Mounting Hardware, inside through hole 4.5mm, height 7, Wuerth electronics 9774070482 (https://katalog.we-online.de/em/datasheet/9774070482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774070482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H8mm_9774080482 -Mounting Hardware, inside through hole 4.5mm, height 8, Wuerth electronics 9774080482 (https://katalog.we-online.de/em/datasheet/9774080482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774080482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H9mm_9774090482 -Mounting Hardware, inside through hole 4.5mm, height 9, Wuerth electronics 9774090482 (https://katalog.we-online.de/em/datasheet/9774090482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774090482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-4.5mm_H10mm_9774100482 -Mounting Hardware, inside through hole 4.5mm, height 10, Wuerth electronics 9774100482 (https://katalog.we-online.de/em/datasheet/9774100482.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774100482 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H1.5mm_9774015633 -Mounting Hardware, inside through hole M1.6, height 1.5, Wuerth electronics 9774015633 (https://katalog.we-online.com/em/datasheet/9774015633.pdf), generated with kicad-footprint-generator -Mounting M1.6 9774015633 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H1mm_9774010633 -Mounting Hardware, inside through hole M1.6, height 1, Wuerth electronics 9774010633 (https://katalog.we-online.com/em/datasheet/9774010633.pdf), generated with kicad-footprint-generator -Mounting M1.6 9774010633 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H2.5mm_9774025633 -Mounting Hardware, inside through hole M1.6, height 2.5, Wuerth electronics 9774025633 (https://katalog.we-online.com/em/datasheet/9774025633.pdf), generated with kicad-footprint-generator -Mounting M1.6 9774025633 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H2.5mm_ThreadDepth1.5mm_97730256332 -Mounting Hardware, inside blind hole M1.6, height 2.5, Wuerth electronics 97730256332 (https://katalog.we-online.com/em/datasheet/97730256332.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730256332 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H2.5mm_ThreadDepth1.5mm_NoNPTH_97730256330 -Mounting Hardware, inside blind hole M1.6, height 2.5, Wuerth electronics 97730256330 (https://katalog.we-online.com/em/datasheet/97730256330R.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730256330 -0 -5 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H2mm_9774020633 -Mounting Hardware, inside through hole M1.6, height 2, Wuerth electronics 9774020633 (https://katalog.we-online.com/em/datasheet/9774020633.pdf), generated with kicad-footprint-generator -Mounting M1.6 9774020633 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H3.5mm_ThreadDepth2mm_97730356332 -Mounting Hardware, inside blind hole M1.6, height 3.5, Wuerth electronics 97730356332 (https://katalog.we-online.com/em/datasheet/97730356332.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730356332 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H3.5mm_ThreadDepth2mm_97730356334 -Mounting Hardware, inside blind hole M1.6, height 3.5, Wuerth electronics 97730356334 (https://katalog.we-online.com/em/datasheet/97730356334.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730356334 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H3.5mm_ThreadDepth2mm_NoNPTH_97730356330 -Mounting Hardware, inside blind hole M1.6, height 3.5, Wuerth electronics 97730356330 (https://katalog.we-online.com/em/datasheet/97730356330.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730356330 -0 -5 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H3mm_9774030633 -Mounting Hardware, inside through hole M1.6, height 3, Wuerth electronics 9774030633 (https://katalog.we-online.com/em/datasheet/9774030633.pdf), generated with kicad-footprint-generator -Mounting M1.6 9774030633 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H3mm_ThreadDepth1.8mm_97730306332 -Mounting Hardware, inside blind hole M1.6, height 3, Wuerth electronics 97730306332 (https://katalog.we-online.com/em/datasheet/97730306332.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730306332 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H3mm_ThreadDepth1.8mm_NoNPTH_97730306330 -Mounting Hardware, inside blind hole M1.6, height 3, Wuerth electronics 97730306330 (https://katalog.we-online.com/em/datasheet/97730306330.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730306330 -0 -5 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H4.5mm_ThreadDepth2mm_97730456332 -Mounting Hardware, inside blind hole M1.6, height 4.5, Wuerth electronics 97730456332 (https://katalog.we-online.com/em/datasheet/97730456332.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730456332 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H4.5mm_ThreadDepth2mm_97730456334 -Mounting Hardware, inside blind hole M1.6, height 4.5, Wuerth electronics 97730456334 (https://katalog.we-online.com/em/datasheet/97730456334.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730456334 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H4.5mm_ThreadDepth2mm_NoNPTH_97730456330 -Mounting Hardware, inside blind hole M1.6, height 4.5, Wuerth electronics 97730456330 (https://katalog.we-online.com/em/datasheet/97730456330.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730456330 -0 -5 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H4mm_ThreadDepth2mm_97730406332 -Mounting Hardware, inside blind hole M1.6, height 4, Wuerth electronics 97730406332 (https://katalog.we-online.com/em/datasheet/97730406332.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730406332 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H4mm_ThreadDepth2mm_97730406334 -Mounting Hardware, inside blind hole M1.6, height 4, Wuerth electronics 97730406334 (https://katalog.we-online.com/em/datasheet/97730406334.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730406334 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H4mm_ThreadDepth2mm_NoNPTH_97730406330 -Mounting Hardware, inside blind hole M1.6, height 4, Wuerth electronics 97730406330 (https://katalog.we-online.com/em/datasheet/97730406330.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730406330 -0 -5 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H5mm_ThreadDepth2mm_97730506332 -Mounting Hardware, inside blind hole M1.6, height 5, Wuerth electronics 97730506332 (https://katalog.we-online.com/em/datasheet/97730506332.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730506332 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H5mm_ThreadDepth2mm_97730506334 -Mounting Hardware, inside blind hole M1.6, height 5, Wuerth electronics 97730506334 (https://katalog.we-online.com/em/datasheet/97730506334.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730506334 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H5mm_ThreadDepth2mm_NoNPTH_97730506330 -Mounting Hardware, inside blind hole M1.6, height 5, Wuerth electronics 97730506330 (https://katalog.we-online.com/em/datasheet/97730506330.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730506330 -0 -5 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H6mm_ThreadDepth2mm_97730606332 -Mounting Hardware, inside blind hole M1.6, height 6, Wuerth electronics 97730606332 (https://katalog.we-online.com/em/datasheet/97730606332.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730606332 -0 -6 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H6mm_ThreadDepth2mm_97730606334 -Mounting Hardware, inside blind hole M1.6, height 6, Wuerth electronics 97730606334 (https://katalog.we-online.com/em/datasheet/97730606334.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730606334 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M1.6_H6mm_ThreadDepth2mm_NoNPTH_97730606330 -Mounting Hardware, inside blind hole M1.6, height 6, Wuerth electronics 97730606330 (https://katalog.we-online.com/em/datasheet/97730606330.pdf), generated with kicad-footprint-generator -Mounting M1.6 97730606330 -0 -5 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H1.5mm_9774015243 -Mounting Hardware, inside through hole M2, height 1.5, Wuerth electronics 9774015243 (https://katalog.we-online.de/em/datasheet/9774015243.pdf), generated with kicad-footprint-generator -Mounting M2 9774015243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H1mm_9774010243 -Mounting Hardware, inside through hole M2, height 1, Wuerth electronics 9774010243 (https://katalog.we-online.de/em/datasheet/9774010243.pdf), generated with kicad-footprint-generator -Mounting M2 9774010243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H2.5mm_9774025243 -Mounting Hardware, inside through hole M2, height 2.5, Wuerth electronics 9774025243 (https://katalog.we-online.de/em/datasheet/9774025243.pdf), generated with kicad-footprint-generator -Mounting M2 9774025243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H2mm_9774020243 -Mounting Hardware, inside through hole M2, height 2, Wuerth electronics 9774020243 (https://katalog.we-online.de/em/datasheet/9774020243.pdf), generated with kicad-footprint-generator -Mounting M2 9774020243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H3.5mm_9774035243 -Mounting Hardware, inside through hole M2, height 3.5, Wuerth electronics 9774035243 (https://katalog.we-online.de/em/datasheet/9774035243.pdf), generated with kicad-footprint-generator -Mounting M2 9774035243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H3mm_9774030243 -Mounting Hardware, inside through hole M2, height 3, Wuerth electronics 9774030243 (https://katalog.we-online.de/em/datasheet/9774030243.pdf), generated with kicad-footprint-generator -Mounting M2 9774030243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H4.5mm_9774045243 -Mounting Hardware, inside through hole M2, height 4.5, Wuerth electronics 9774045243 (https://katalog.we-online.de/em/datasheet/9774045243.pdf), generated with kicad-footprint-generator -Mounting M2 9774045243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H4mm_9774040243 -Mounting Hardware, inside through hole M2, height 4, Wuerth electronics 9774040243 (https://katalog.we-online.de/em/datasheet/9774040243.pdf), generated with kicad-footprint-generator -Mounting M2 9774040243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H5mm_9774050243 -Mounting Hardware, inside through hole M2, height 5, Wuerth electronics 9774050243 (https://katalog.we-online.de/em/datasheet/9774050243.pdf), generated with kicad-footprint-generator -Mounting M2 9774050243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H6mm_9774060243 -Mounting Hardware, inside through hole M2, height 6, Wuerth electronics 9774060243 (https://katalog.we-online.de/em/datasheet/9774060243.pdf), generated with kicad-footprint-generator -Mounting M2 9774060243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H7mm_9774070243 -Mounting Hardware, inside through hole M2, height 7, Wuerth electronics 9774070243 (https://katalog.we-online.de/em/datasheet/9774070243.pdf), generated with kicad-footprint-generator -Mounting M2 9774070243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M2_H8mm_9774080243 -Mounting Hardware, inside through hole M2, height 8, Wuerth electronics 9774080243 (https://katalog.we-online.de/em/datasheet/9774080243.pdf), generated with kicad-footprint-generator -Mounting M2 9774080243 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H1.5mm_9774015360 -Mounting Hardware, inside through hole M3, height 1.5, Wuerth electronics 9774015360 (https://katalog.we-online.de/em/datasheet/9774015360.pdf), generated with kicad-footprint-generator -Mounting M3 9774015360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H1mm_9774010360 -Mounting Hardware, inside through hole M3, height 1, Wuerth electronics 9774010360 (https://katalog.we-online.de/em/datasheet/9774010360.pdf), generated with kicad-footprint-generator -Mounting M3 9774010360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H2.5mm_9774025360 -Mounting Hardware, inside through hole M3, height 2.5, Wuerth electronics 9774025360 (https://katalog.we-online.de/em/datasheet/9774025360.pdf), generated with kicad-footprint-generator -Mounting M3 9774025360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H2mm_9774020360 -Mounting Hardware, inside through hole M3, height 2, Wuerth electronics 9774020360 (https://katalog.we-online.de/em/datasheet/9774020360.pdf), generated with kicad-footprint-generator -Mounting M3 9774020360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H3mm_9774030360 -Mounting Hardware, inside through hole M3, height 3, Wuerth electronics 9774030360 (https://katalog.we-online.de/em/datasheet/9774030360R.pdf), generated with kicad-footprint-generator -Mounting M3 9774030360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H4mm_9774040360 -Mounting Hardware, inside through hole M3, height 4, Wuerth electronics 9774040360 (https://katalog.we-online.de/em/datasheet/9774040360.pdf), generated with kicad-footprint-generator -Mounting M3 9774040360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H5mm_9774050360 -Mounting Hardware, inside through hole M3, height 5, Wuerth electronics 9774050360 (https://katalog.we-online.de/em/datasheet/9774050360.pdf), generated with kicad-footprint-generator -Mounting M3 9774050360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H6mm_9774060360 -Mounting Hardware, inside through hole M3, height 6, Wuerth electronics 9774060360 (https://katalog.we-online.de/em/datasheet/9774060360.pdf), generated with kicad-footprint-generator -Mounting M3 9774060360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H7mm_9774070360 -Mounting Hardware, inside through hole M3, height 7, Wuerth electronics 9774070360 (https://katalog.we-online.de/em/datasheet/9774070360.pdf), generated with kicad-footprint-generator -Mounting M3 9774070360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H8mm_9774080360 -Mounting Hardware, inside through hole M3, height 8, Wuerth electronics 9774080360 (https://katalog.we-online.de/em/datasheet/9774080360.pdf), generated with kicad-footprint-generator -Mounting M3 9774080360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H9mm_9774090360 -Mounting Hardware, inside through hole M3, height 9, Wuerth electronics 9774090360 (https://katalog.we-online.de/em/datasheet/9774090360.pdf), generated with kicad-footprint-generator -Mounting M3 9774090360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H10mm_9774100360 -Mounting Hardware, inside through hole M3, height 10, Wuerth electronics 9774100360 (https://katalog.we-online.de/em/datasheet/9774100360.pdf), generated with kicad-footprint-generator -Mounting M3 9774100360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H11mm_9774110360 -Mounting Hardware, inside through hole M3, height 11, Wuerth electronics 9774110360 (https://katalog.we-online.de/em/datasheet/9774110360.pdf), generated with kicad-footprint-generator -Mounting M3 9774110360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H12mm_9774120360 -Mounting Hardware, inside through hole M3, height 12, Wuerth electronics 9774120360 (https://katalog.we-online.de/em/datasheet/9774120360.pdf), generated with kicad-footprint-generator -Mounting M3 9774120360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H13mm_9774130360 -Mounting Hardware, inside through hole M3, height 13, Wuerth electronics 9774130360 (https://katalog.we-online.de/em/datasheet/9774130360.pdf), generated with kicad-footprint-generator -Mounting M3 9774130360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H14mm_9774140360 -Mounting Hardware, inside through hole M3, height 14, Wuerth electronics 9774140360 (https://katalog.we-online.de/em/datasheet/9774140360.pdf), generated with kicad-footprint-generator -Mounting M3 9774140360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSI-M3_H15mm_9774150360 -Mounting Hardware, inside through hole M3, height 15, Wuerth electronics 9774150360 (https://katalog.we-online.de/em/datasheet/9774150360.pdf), generated with kicad-footprint-generator -Mounting M3 9774150360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H2.6mm_ReverseMount_9775026960 -Mounting Hardware, inside through hole 3.2mm, height 2.6, Wuerth electronics 9775026960 (https://katalog.we-online.com/em/datasheet/9775026960R.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775026960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H3.1mm_ReverseMount_9775031960 -Mounting Hardware, inside through hole 3.2mm, height 3.1, Wuerth electronics 9775031960 (https://katalog.we-online.com/em/datasheet/9775031960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775031960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H3.6mm_ReverseMount_9775036960 -Mounting Hardware, inside through hole 3.2mm, height 3.6, Wuerth electronics 9775036960 (https://katalog.we-online.com/em/datasheet/9775036960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775036960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H4.1mm_ReverseMount_9775041960 -Mounting Hardware, inside through hole 3.2mm, height 4.1, Wuerth electronics 9775041960 (https://katalog.we-online.com/em/datasheet/9775041960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775041960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H4.6mm_ReverseMount_9775046960 -Mounting Hardware, inside through hole 3.2mm, height 4.6, Wuerth electronics 9775046960 (https://katalog.we-online.com/em/datasheet/9775046960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775046960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H5.1mm_ReverseMount_9775051960 -Mounting Hardware, inside through hole 3.2mm, height 5.1, Wuerth electronics 9775051960 (https://katalog.we-online.com/em/datasheet/9775051960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775051960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H5.6mm_ReverseMount_9775056960 -Mounting Hardware, inside through hole 3.2mm, height 5.6, Wuerth electronics 9775056960 (https://katalog.we-online.com/em/datasheet/9775056960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775056960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H6.6mm_ReverseMount_9775066960 -Mounting Hardware, inside through hole 3.2mm, height 6.6, Wuerth electronics 9775066960 (https://katalog.we-online.com/em/datasheet/9775066960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775066960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H7.6mm_ReverseMount_9775076960 -Mounting Hardware, inside through hole 3.2mm, height 7.6, Wuerth electronics 9775076960 (https://katalog.we-online.com/em/datasheet/9775076960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775076960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H8.6mm_ReverseMount_9775086960 -Mounting Hardware, inside through hole 3.2mm, height 8.6, Wuerth electronics 9775086960 (https://katalog.we-online.com/em/datasheet/9775086960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775086960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H9.6mm_ReverseMount_9775096960 -Mounting Hardware, inside through hole 3.2mm, height 9.6, Wuerth electronics 9775096960 (https://katalog.we-online.com/em/datasheet/9775096960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775096960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H10.6mm_ReverseMount_9775106960 -Mounting Hardware, inside through hole 3.2mm, height 10.6, Wuerth electronics 9775106960 (https://katalog.we-online.com/em/datasheet/9775106960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775106960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-3.2mm_H11.6mm_ReverseMount_9775116960 -Mounting Hardware, inside through hole 3.2mm, height 11.6, Wuerth electronics 9775116960 (https://katalog.we-online.com/em/datasheet/9775116960.pdf), generated with kicad-footprint-generator -Mounting 3.2mm 9775116960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H2.6mm_ReverseMount_9775026360 -Mounting Hardware, inside through hole M3, height 2.6, Wuerth electronics 9775026360 (https://katalog.we-online.com/em/datasheet/9775026360.pdf), generated with kicad-footprint-generator -Mounting M3 9775026360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H3.1mm_ReverseMount_9775031360 -Mounting Hardware, inside through hole M3, height 3.1, Wuerth electronics 9775031360 (https://katalog.we-online.com/em/datasheet/9775031360.pdf), generated with kicad-footprint-generator -Mounting M3 9775031360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H3.6mm_ReverseMount_9775036360 -Mounting Hardware, inside through hole M3, height 3.6, Wuerth electronics 9775036360 (https://katalog.we-online.com/em/datasheet/9775036360.pdf), generated with kicad-footprint-generator -Mounting M3 9775036360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H4.1mm_ReverseMount_9775041360 -Mounting Hardware, inside through hole M3, height 4.1, Wuerth electronics 9775041360 (https://katalog.we-online.com/em/datasheet/9775041360.pdf), generated with kicad-footprint-generator -Mounting M3 9775041360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H4.6mm_ReverseMount_9775046360 -Mounting Hardware, inside through hole M3, height 4.6, Wuerth electronics 9775046360 (https://katalog.we-online.com/em/datasheet/9775046360.pdf), generated with kicad-footprint-generator -Mounting M3 9775046360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H5.1mm_ReverseMount_9775051360 -Mounting Hardware, inside through hole M3, height 5.1, Wuerth electronics 9775051360 (https://katalog.we-online.com/em/datasheet/9775051360.pdf), generated with kicad-footprint-generator -Mounting M3 9775051360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H5.6mm_ReverseMount_9775056360 -Mounting Hardware, inside through hole M3, height 5.6, Wuerth electronics 9775056360 (https://katalog.we-online.com/em/datasheet/9775056360.pdf), generated with kicad-footprint-generator -Mounting M3 9775056360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H6.6mm_ReverseMount_9775066360 -Mounting Hardware, inside through hole M3, height 6.6, Wuerth electronics 9775066360 (https://katalog.we-online.com/em/datasheet/9775066360.pdf), generated with kicad-footprint-generator -Mounting M3 9775066360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H7.6mm_ReverseMount_9775076360 -Mounting Hardware, inside through hole M3, height 7.6, Wuerth electronics 9775076360 (https://katalog.we-online.com/em/datasheet/9775076360.pdf), generated with kicad-footprint-generator -Mounting M3 9775076360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H8.6mm_ReverseMount_9775086360 -Mounting Hardware, inside through hole M3, height 8.6, Wuerth electronics 9775086360 (https://katalog.we-online.com/em/datasheet/9775086360.pdf), generated with kicad-footprint-generator -Mounting M3 9775086360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H9.6mm_ReverseMount_9775096360 -Mounting Hardware, inside through hole M3, height 9.6, Wuerth electronics 9775096360 (https://katalog.we-online.com/em/datasheet/9775096360.pdf), generated with kicad-footprint-generator -Mounting M3 9775096360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H10.6mm_ReverseMount_9775106360 -Mounting Hardware, inside through hole M3, height 10.6, Wuerth electronics 9775106360 (https://katalog.we-online.com/em/datasheet/9775106360.pdf), generated with kicad-footprint-generator -Mounting M3 9775106360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSR-M3_H11.6mm_ReverseMount_9775116360 -Mounting Hardware, inside through hole M3, height 11.6, Wuerth electronics 9775116360 (https://katalog.we-online.com/em/datasheet/9775116360.pdf), generated with kicad-footprint-generator -Mounting M3 9775116360 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H2.5mm_SnapRivet_9776025960 -Mounting Hardware, inside through hole 3.3mm, height 2.5, Wuerth electronics 9776025960 (https://katalog.we-online.com/em/datasheet/9776025960.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776025960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H2mm_SnapRivet_9776020960 -Mounting Hardware, inside through hole 3.3mm, height 2, Wuerth electronics 9776020960 (https://katalog.we-online.com/em/datasheet/9776020960.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776020960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H3mm_SnapRivet_9776030960 -Mounting Hardware, inside through hole 3.3mm, height 3, Wuerth electronics 9776030960 (https://katalog.we-online.com/em/datasheet/9776030960.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776030960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H4mm_SnapRivet_9776040960 -Mounting Hardware, inside through hole 3.3mm, height 4, Wuerth electronics 9776040960 (https://katalog.we-online.com/em/datasheet/9776040960.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776040960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H5mm_SnapRivet_9776050960 -Mounting Hardware, inside through hole 3.3mm, height 5, Wuerth electronics 9776050960 (https://katalog.we-online.com/em/datasheet/9776050960.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776050960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H6mm_SnapRivet_9776060960 -Mounting Hardware, inside through hole 3.3mm, height 6, Wuerth electronics 9776060960 (https://katalog.we-online.com/em/datasheet/9776060960.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776060960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H7mm_SnapRivet_9776070960 -Mounting Hardware, inside through hole 3.3mm, height 7, Wuerth electronics 9776070960 (https://katalog.we-online.com/em/datasheet/9776070960.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776070960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H8mm_SnapRivet_9776080960 -Mounting Hardware, inside through hole 3.3mm, height 8, Wuerth electronics 9776080960 (https://katalog.we-online.com/em/datasheet/9776080960.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776080960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H9mm_SnapRivet_9776090960 -Mounting Hardware, inside through hole 3.3mm, height 9, Wuerth electronics 9776090960 (https://katalog.we-online.com/em/datasheet/9776090960.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776090960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMSSR-3.3mm_H10mm_SnapRivet_9776100960 -Mounting Hardware, inside through hole 3.3mm, height 10, Wuerth electronics 9776100960 (https://katalog.we-online.com/em/datasheet/9776100960R.pdf), generated with kicad-footprint-generator -Mounting 3.3mm 9776100960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H1.5mm_9774015951 -Mounting Hardware, inside through hole 2.7mm, height 1.5, Wuerth electronics 9774015951 (https://katalog.we-online.de/em/datasheet/9774015951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774015951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H1mm_9774010951 -Mounting Hardware, inside through hole 2.7mm, height 1, Wuerth electronics 9774010951 (https://katalog.we-online.de/em/datasheet/9774010951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774010951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H2.5mm_9774025951 -Mounting Hardware, inside through hole 2.7mm, height 2.5, Wuerth electronics 9774025951 (https://katalog.we-online.de/em/datasheet/9774025951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774025951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H2mm_9774020951 -Mounting Hardware, inside through hole 2.7mm, height 2, Wuerth electronics 9774020951 (https://katalog.we-online.de/em/datasheet/9774020951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774020951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H3mm_9774030951 -Mounting Hardware, inside through hole 2.7mm, height 3, Wuerth electronics 9774030951 (https://katalog.we-online.de/em/datasheet/9774030951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774030951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H4mm_9774040951 -Mounting Hardware, inside through hole 2.7mm, height 4, Wuerth electronics 9774040951 (https://katalog.we-online.de/em/datasheet/9774040951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774040951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H5.5mm_9774055951 -Mounting Hardware, inside through hole 2.7mm, height 5.5, Wuerth electronics 9774055951 (https://katalog.we-online.de/em/datasheet/9774055951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774055951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H5mm_9774050951 -Mounting Hardware, inside through hole 2.7mm, height 5, Wuerth electronics 9774050951 (https://katalog.we-online.de/em/datasheet/9774050951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774050951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H6.5mm_9774065951 -Mounting Hardware, inside through hole 2.7mm, height 6.5, Wuerth electronics 9774065951 (https://katalog.we-online.de/em/datasheet/9774065951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774065951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H6mm_9774060951 -Mounting Hardware, inside through hole 2.7mm, height 6, Wuerth electronics 9774060951 (https://katalog.we-online.de/em/datasheet/9774060951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774060951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H7mm_9774070951 -Mounting Hardware, inside through hole 2.7mm, height 7, Wuerth electronics 9774070951 (https://katalog.we-online.de/em/datasheet/9774070951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774070951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H8mm_9774080951 -Mounting Hardware, inside through hole 2.7mm, height 8, Wuerth electronics 9774080951 (https://katalog.we-online.de/em/datasheet/9774080951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774080951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H9mm_9774090951 -Mounting Hardware, inside through hole 2.7mm, height 9, Wuerth electronics 9774090951 (https://katalog.we-online.de/em/datasheet/9774090951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774090951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.7mm_H10mm_9774100951 -Mounting Hardware, inside through hole 2.7mm, height 10, Wuerth electronics 9774100951 (https://katalog.we-online.de/em/datasheet/9774100951.pdf), generated with kicad-footprint-generator -Mounting 2.7mm 9774100951 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H1.5mm_9774015943 -Mounting Hardware, inside through hole 2.25mm, height 1.5, Wuerth electronics 9774015943 (https://katalog.we-online.de/em/datasheet/9774015943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774015943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H1mm_9774010943 -Mounting Hardware, inside through hole 2.25mm, height 1, Wuerth electronics 9774010943 (https://katalog.we-online.de/em/datasheet/9774010943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774010943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H2.5mm_9774025943 -Mounting Hardware, inside through hole 2.25mm, height 2.5, Wuerth electronics 9774025943 (https://katalog.we-online.de/em/datasheet/9774025943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774025943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H2mm_9774020943 -Mounting Hardware, inside through hole 2.25mm, height 2, Wuerth electronics 9774020943 (https://katalog.we-online.de/em/datasheet/9774020943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774020943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H3.5mm_9774035943 -Mounting Hardware, inside through hole 2.25mm, height 3.5, Wuerth electronics 9774035943 (https://katalog.we-online.de/em/datasheet/9774035943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774035943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H3mm_9774030943 -Mounting Hardware, inside through hole 2.25mm, height 3, Wuerth electronics 9774030943 (https://katalog.we-online.de/em/datasheet/9774030943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774030943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H4.5mm_9774045943 -Mounting Hardware, inside through hole 2.25mm, height 4.5, Wuerth electronics 9774045943 (https://katalog.we-online.de/em/datasheet/9774045943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774045943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H4mm_9774040943 -Mounting Hardware, inside through hole 2.25mm, height 4, Wuerth electronics 9774040943 (https://katalog.we-online.de/em/datasheet/9774040943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774040943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H5mm_9774050943 -Mounting Hardware, inside through hole 2.25mm, height 5, Wuerth electronics 9774050943 (https://katalog.we-online.de/em/datasheet/9774050943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774050943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H6mm_9774060943 -Mounting Hardware, inside through hole 2.25mm, height 6, Wuerth electronics 9774060943 (https://katalog.we-online.de/em/datasheet/9774060943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774060943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H7mm_9774070943 -Mounting Hardware, inside through hole 2.25mm, height 7, Wuerth electronics 9774070943 (https://katalog.we-online.de/em/datasheet/9774070943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774070943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-2.25mm_H8mm_9774080943 -Mounting Hardware, inside through hole 2.25mm, height 8, Wuerth electronics 9774080943 (https://katalog.we-online.de/em/datasheet/9774080943.pdf), generated with kicad-footprint-generator -Mounting 2.25mm 9774080943 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H1.5mm_9774015960 -Mounting Hardware, inside through hole 3.3mm, height 1.5, Wuerth electronics 9774015960 (https://katalog.we-online.de/em/datasheet/9774015960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774015960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H1mm_9774010960 -Mounting Hardware, inside through hole 3.3mm, height 1, Wuerth electronics 9774010960 (https://katalog.we-online.de/em/datasheet/9774010960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774010960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H2.5mm_9774025960 -Mounting Hardware, inside through hole 3.3mm, height 2.5, Wuerth electronics 9774025960 (https://katalog.we-online.de/em/datasheet/9774025960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774025960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H2mm_9774020960 -Mounting Hardware, inside through hole 3.3mm, height 2, Wuerth electronics 9774020960 (https://katalog.we-online.de/em/datasheet/9774020960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774020960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H3mm_9774030960 -Mounting Hardware, inside through hole 3.3mm, height 3, Wuerth electronics 9774030960 (https://katalog.we-online.de/em/datasheet/9774030960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774030960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H4mm_9774040960 -Mounting Hardware, inside through hole 3.3mm, height 4, Wuerth electronics 9774040960 (https://katalog.we-online.de/em/datasheet/9774040960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774040960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H5mm_9774050960 -Mounting Hardware, inside through hole 3.3mm, height 5, Wuerth electronics 9774050960 (https://katalog.we-online.de/em/datasheet/9774050960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774050960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H6mm_9774060960 -Mounting Hardware, inside through hole 3.3mm, height 6, Wuerth electronics 9774060960 (https://katalog.we-online.de/em/datasheet/9774060960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774060960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H7mm_9774070960 -Mounting Hardware, inside through hole 3.3mm, height 7, Wuerth electronics 9774070960 (https://katalog.we-online.de/em/datasheet/9774070960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774070960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H8mm_9774080960 -Mounting Hardware, inside through hole 3.3mm, height 8, Wuerth electronics 9774080960 (https://katalog.we-online.de/em/datasheet/9774080960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774080960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H9mm_9774090960 -Mounting Hardware, inside through hole 3.3mm, height 9, Wuerth electronics 9774090960 (https://katalog.we-online.de/em/datasheet/9774090960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774090960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H10mm_9774100960 -Mounting Hardware, inside through hole 3.3mm, height 10, Wuerth electronics 9774100960 (https://katalog.we-online.de/em/datasheet/9774100960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774100960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H11mm_9774110960 -Mounting Hardware, inside through hole 3.3mm, height 11, Wuerth electronics 9774110960 (https://katalog.we-online.de/em/datasheet/9774110960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774110960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H12mm_9774120960 -Mounting Hardware, inside through hole 3.3mm, height 12, Wuerth electronics 9774120960 (https://katalog.we-online.de/em/datasheet/9774120960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774120960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H13mm_9774130960 -Mounting Hardware, inside through hole 3.3mm, height 13, Wuerth electronics 9774130960 (https://katalog.we-online.de/em/datasheet/9774130960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774130960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H14mm_9774140960 -Mounting Hardware, inside through hole 3.3mm, height 14, Wuerth electronics 9774140960 (https://katalog.we-online.de/em/datasheet/9774140960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774140960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-3.3mm_H15mm_9774150960 -Mounting Hardware, inside through hole 3.3mm, height 15, Wuerth electronics 9774150960 (https://katalog.we-online.de/em/datasheet/9774150960.pdf,), generated with kicad-footprint-generator -Mounting 3.3mm 9774150960 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H1mm_9774010982 -Mounting Hardware, inside through hole 4.5mm, height 1, Wuerth electronics 9774010982 (https://katalog.we-online.de/em/datasheet/9774010982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774010982 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H2mm_9774020982 -Mounting Hardware, inside through hole 4.5mm, height 2, Wuerth electronics 9774020982 (https://katalog.we-online.de/em/datasheet/9774020982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774020982 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H3mm_9774030982 -Mounting Hardware, inside through hole 4.5mm, height 3, Wuerth electronics 9774030982 (https://katalog.we-online.de/em/datasheet/9774030982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774030982 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H4mm_9774040982 -Mounting Hardware, inside through hole 4.5mm, height 4, Wuerth electronics 9774040982 (https://katalog.we-online.de/em/datasheet/9774040982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774040982 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H5mm_9774050982 -Mounting Hardware, inside through hole 4.5mm, height 5, Wuerth electronics 9774050982 (https://katalog.we-online.de/em/datasheet/9774050982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774050982 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H6mm_9774060982 -Mounting Hardware, inside through hole 4.5mm, height 6, Wuerth electronics 9774060982 (https://katalog.we-online.de/em/datasheet/9774060982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774060982 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H7mm_9774070982 -Mounting Hardware, inside through hole 4.5mm, height 7, Wuerth electronics 9774070982 (https://katalog.we-online.de/em/datasheet/9774070982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774070982 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H8mm_9774080982 -Mounting Hardware, inside through hole 4.5mm, height 8, Wuerth electronics 9774080982 (https://katalog.we-online.de/em/datasheet/9774080982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774080982 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H9mm_9774090982 -Mounting Hardware, inside through hole 4.5mm, height 9, Wuerth electronics 9774090982 (https://katalog.we-online.de/em/datasheet/9774090982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774090982 -0 -8 -1 -Mounting_Wuerth -Mounting_Wuerth_WA-SMST-4.5mm_H10mm_9774100982 -Mounting Hardware, inside through hole 4.5mm, height 10, Wuerth electronics 9774100982 (https://katalog.we-online.de/em/datasheet/9774100982.pdf), generated with kicad-footprint-generator -Mounting 4.5mm 9774100982 -0 -8 -1 -NetTie -NetTie-2_SMD_Pad0.5mm -Net tie, 2 pin, 0.5mm square SMD pads -net tie -0 -2 -2 -NetTie -NetTie-2_SMD_Pad2.0mm -Net tie, 2 pin, 2.0mm square SMD pads -net tie -0 -2 -2 -NetTie -NetTie-2_THT_Pad0.3mm -Net tie, 2 pin, 0.3mm round THT pads -net tie -0 -2 -2 -NetTie -NetTie-2_THT_Pad1.0mm -Net tie, 2 pin, 1.0mm round THT pads -net tie -0 -2 -2 -NetTie -NetTie-3_SMD_Pad0.5mm -Net tie, 3 pin, 0.5mm square SMD pads -net tie -0 -3 -3 -NetTie -NetTie-3_SMD_Pad2.0mm -Net tie, 3 pin, 2.0mm square SMD pads -net tie -0 -3 -3 -NetTie -NetTie-3_THT_Pad0.3mm -Net tie, 3 pin, 0.3mm round THT pads -net tie -0 -3 -3 -NetTie -NetTie-3_THT_Pad1.0mm -Net tie, 3 pin, 1.0mm round THT pads -net tie -0 -3 -3 -NetTie -NetTie-4_SMD_Pad0.5mm -Net tie, 4 pin, 0.5mm square SMD pads -net tie -0 -4 -4 -NetTie -NetTie-4_SMD_Pad2.0mm -Net tie, 4 pin, 2.0mm square SMD pads -net tie -0 -4 -4 -NetTie -NetTie-4_THT_Pad0.3mm -Net tie, 4 pin, 0.3mm round THT pads -net tie -0 -4 -4 -NetTie -NetTie-4_THT_Pad1.0mm -Net tie, 4 pin, 1.0mm round THT pads -net tie -0 -4 -4 -OptoDevice -ADNS-9800 -Laser Gaming Sensor ADNS-9800 -MOUSE MOUSE_SENSOR LASER_GAMING_SENSOR -0 -16 -16 -OptoDevice -AGILENT_HFBR-152x -Fiberoptic Transmitter TX, HFBR series (https://docs.broadcom.com/docs/AV02-3283EN) -Fiberoptic Transmitter -0 -6 -6 -OptoDevice -AGILENT_HFBR-252x -Fiberoptic Receiver RX, HFBR series (https://docs.broadcom.com/docs/AV02-3283EN) -Fiberoptic Transmitter -0 -6 -6 -OptoDevice -AMS_TSL2550_SMD -http://ams.com/eng/content/download/250130/975613/142977 -TSL2550 ambient light sensor -0 -4 -4 -OptoDevice -Broadcom_AFBR-16xxZ_Horizontal -Fiber Optic Transmitter and Receiver, https://docs.broadcom.com/docs/AV02-4369EN -Fiber Optic Transmitter and Receiver -0 -6 -6 -OptoDevice -Broadcom_AFBR-16xxZ_Tilted -Fiber Optic Transmitter and Receiver, https://docs.broadcom.com/docs/AV02-4369EN -Fiber Optic Transmitter and Receiver -0 -6 -6 -OptoDevice -Broadcom_AFBR-16xxZ_Vertical -Fiber Optic Transmitter and Receiver, https://docs.broadcom.com/docs/AV02-4369EN -Fiber Optic Transmitter and Receiver -0 -6 -6 -OptoDevice -Broadcom_APDS-9301 -ambient light sensor, i2c interface, 6-pin chipled package, https://docs.broadcom.com/docs/AV02-2315EN -ambient light sensor chipled -0 -6 -6 -OptoDevice -Broadcom_DFN-6_2x2mm_P0.65mm -Broadcom DFN, 6 Pin (https://docs.broadcom.com/docs/AV02-4755EN), generated with kicad-footprint-generator ipc_noLead_generator.py -Broadcom DFN NoLead -0 -6 -6 -OptoDevice -Broadcom_LGA-8_2x2mm_P0.5mm -Broadcom LGA, 8 Pin (https://docs.broadcom.com/doc/APDS-9251-001-DS#page=19), generated with kicad-footprint-generator ipc_noLead_generator.py -Broadcom LGA NoLead -0 -8 -8 -OptoDevice -Broadcom_LGA-8_2x2mm_P0.53mm -Broadcom LGA, 8 Pin (https://docs.broadcom.com/docs/AV02-4755EN), generated with kicad-footprint-generator ipc_noLead_generator.py -Broadcom LGA NoLead -0 -8 -8 -OptoDevice -Everlight_ITR1201SR10AR -package for Everlight ITR1201SR10AR, light-direction upwards, see https://www.everlight.com/file/ProductFile/ITR1201SR10AR-TR.pdf -reflective opto couple photo coupler -0 -4 -4 -OptoDevice -Everlight_ITR8307 -package for Everlight ITR8307 with PCB cutout, light-direction upwards, see http://www.everlight.com/file/ProductFile/ITR8307.pdf -refective opto couple photo coupler -0 -4 -4 -OptoDevice -Everlight_ITR8307F43 -package for Everlight ITR8307/F43, see https://everlighteurope.com/index.php?controller=attachment&id_attachment=5385 -refective opto couple photo coupler -0 -4 -4 -OptoDevice -Everlight_ITR8307_Reverse -package for Everlight ITR8307 with PCB cutout, light-direction downwards, see http://www.everlight.com/file/ProductFile/ITR8307.pdf -refective opto couple photo coupler -0 -4 -4 -OptoDevice -Everlight_ITR9608-F -Fork-type photointerrupter (https://everlighteurope.com/index.php?controller=attachment&id_attachment=5389) -Photointerrupter infrared LED -0 -4 -4 -OptoDevice -Finder_34.81 -Relay SPST, Finder Type 34.81 (opto relays/coupler), vertical/standing form, see https://gfinder.findernet.com/public/attachments/34/EN/S34USAEN.pdf -Relay SPST Finder -0 -4 -4 -OptoDevice -Hamamatsu_C12880 -Hamamatsu spectrometer, see http://www.hamamatsu.com/resources/pdf/ssd/c12880ma_kacc1226e.pdf -opto spectrometer Hamamatsu -0 -10 -10 -OptoDevice -Hamamatsu_S13360-30CS -SiPM, 2pin -Hamamatsu SiPM -0 -2 -2 -OptoDevice -Kingbright_KPS-3227 -3.2mmx2.7mm, light sensor, https://www.kingbright.com/attachments/file/psearch/000/00/00/KPS-3227SP1C(Ver.16).pdf -KPS-3227 Ambient Light Photo Sensor -0 -4 -4 -OptoDevice -Kingbright_KPS-5130 -http://www.kingbright.com/attachments/file/psearch/000/00/00/KPS-5130PD7C(Ver.14).pdf -KPS-5130 photodiode RGB sensor -0 -4 -4 -OptoDevice -Kingbright_KRC011_Horizontal -Subminiature Reflective Optical Sensor, http://www.kingbright.com/attachments/file/psearch/000/00/00/KRC011(Ver.15).pdf -Subminiature Reflective Optical Sensor -0 -4 -4 -OptoDevice -Kingbright_KRC011_Vertical -Subminiature Reflective Optical Sensor, http://www.kingbright.com/attachments/file/psearch/000/00/00/KRC011(Ver.15).pdf -Subminiature Reflective Optical Sensor -0 -4 -4 -OptoDevice -Kodenshi_LG206D -http://kodenshi.co.jp/products/pdf/sensor/photointerrupter_ic/LG206D.pdf -Photointerrupter infrared LED with photo IC -0 -5 -5 -OptoDevice -Kodenshi_LG206L -http://kodenshi.co.jp/products/pdf/sensor/photointerrupter_ic/LG205L.pdf -Photointerrupter infrared LED with photo IC -0 -5 -5 -OptoDevice -Kodenshi_SG105 -package for Kodenshi SG-105 with PCB cutout, light-direction upwards, see http://www.kodenshi.co.jp/products/pdf/sensor/photointerrupter_ref/SG-105.pdf -refective opto couple photo coupler -0 -4 -4 -OptoDevice -Kodenshi_SG105F -package for Kodenshi SG-105F, see http://www.kodenshi.co.jp/products/pdf/sensor/photointerrupter_ref/SG-105F.pdf -refective opto couple photo coupler -0 -4 -4 -OptoDevice -Kodenshi_SG105_Reverse -package for Kodenshi SG-105 with PCB cutout, light-direction downwards, see http://www.kodenshi.co.jp/products/pdf/sensor/photointerrupter_ref/SG-105.pdf -refective opto couple photo coupler -0 -4 -4 -OptoDevice -LaserDiode_TO3.3-D3.3-3 -Laser Diode, TO-3.3mm, 3pin -Laser Diode TO3.3 -0 -3 -3 -OptoDevice -LaserDiode_TO5-D9-3 -Laser Diode, TO5-like (D=9mm), 3pin -Laser Diode TO5-like -0 -3 -3 -OptoDevice -LaserDiode_TO18-D5.6-3 -Laser Diode, TO18-like (D=5.6mm), 3pin -Laser Diode TO18-like -0 -3 -3 -OptoDevice -LaserDiode_TO38ICut-3 -Laser Diode, TO-38-ICut, 3pin -Laser Diode TO38-ICut -0 -3 -3 -OptoDevice -LaserDiode_TO56-3 -Laser Diode, TO-56, 3pin -Laser Diode TO56 -0 -3 -3 -OptoDevice -Lightpipe_Bivar_RLP1-400-650 -1-way, 2.8mm lightpipe, 10mm lens output height, 17mm protrusion, https://www.bivar.com/parts_content/Datasheets/RLP1-XXX-XXX.pdf -planar light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-100-F -1-way, 3mm lightpipe, flat face, 3.8mm lens output height, 2.54mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-100-R -1-way, 3mm lightpipe, round face, 3.8mm lens output height, 2.54mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-150-F -1-way, 3mm lightpipe, flat face, 3.8mm lens output height, 3.81mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-150-R -1-way, 3mm lightpipe, round face, 3.8mm lens output height, 3.81mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-200-R -1-way, 3mm lightpipe, round face, 3.8mm lens output height, 5.08mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-250-F -1-way, 3mm lightpipe, flat face, 3.8mm lens output height, 6.35mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-250-R -1-way, 3mm lightpipe, round face, 3.8mm lens output height, 6.35mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-300-F -1-way, 3mm lightpipe, flat face, 3.8mm lens output height, 7.62mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-300-R -1-way, 3mm lightpipe, round face, 3.8mm lens output height, 7.62mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Bivar_SLP3-150-450-R -1-way, 3mm lightpipe, round face, 3.8mm lens output height, 11.43mm protrusion, https://www.bivar.com/parts_content/Datasheets/SLP3-150-XXX-X.pdf -light pipe 1 way 3mm -0 -0 -0 -OptoDevice -Lightpipe_Dialight_515-1064F -https://www.dialightsignalsandcomponents.com/515-optopipe-light-pipe-trilevel-2-5mm-rnd-flat-diff-lens-press-fit/#tab-resources -lightpipe triple tower right angle 3mm -0 -0 -0 -OptoDevice -Lightpipe_LPF-C012303S -https://www.lumex.com/spec/LPF-C012303S.pdf -lightpipe dual tower right angle 3mm -0 -0 -0 -OptoDevice -Lightpipe_LPF-C013301S -https://www.lumex.com/spec/LPF-C013301S.pdf -lightpipe triple tower right angle 3mm -0 -0 -0 -OptoDevice -Lightpipe_Mentor_1275.x00x -https://www.mentor-bauelemente.de/katalog/ll/MENTOR-LL.pdf -spherical light pipe 4 way 3mm PLCC-2 PLCC-4 -0 -0 -0 -OptoDevice -Lightpipe_Mentor_1276.1004 -https://www.mentor-bauelemente.de/katalog/ll/MENTOR-LL.pdf -spherical light pipe 4 way 3mm PLCC-2 PLCC-4 -0 -0 -0 -OptoDevice -Lightpipe_Mentor_1276.2004 -https://www.mentor-bauelemente.de/katalog/ll/MENTOR-LL.pdf -planar light pipe 4 way 3mm PLCC-2 PLCC-4 -0 -0 -0 -OptoDevice -Lite-On_LTR-303ALS-01 -ambient light sensor, i2c interface, 6-pin chipled package, http://optoelectronics.liteon.com/upload/download/DS86-2013-0004/LTR-303ALS-01_DS_V1.pdf -ambient light sensor chipled -0 -6 -6 -OptoDevice -Luna_NSL-32 -Optoisolator with LED and photoresistor -optoisolator -0 -4 -4 -OptoDevice -Maxim_OLGA-14_3.3x5.6mm_P0.8mm -https://pdfserv.maximintegrated.com/land_patterns/90-0602.PDF -OLGA-14 OESIP-14 -0 -14 -14 -OptoDevice -ONSemi_QSE15x -3 Lead Plastic Package -ONSemi QSE158 QSE159 -0 -3 -3 -OptoDevice -OnSemi_CASE100AQ -OnSemi CASE 100AQ for QRE1113, see https://www.onsemi.com/pub/Collateral/QRE1113-D.PDF -reflective opto couple photo coupler -0 -4 -4 -OptoDevice -OnSemi_CASE100CY -OnSemi CASE 100CY, light-direction upwards, see http://www.onsemi.com/pub/Collateral/QRE1113-D.PDF -refective opto couple photo coupler -0 -4 -4 -OptoDevice -Osram_BP104-SMD -PhotoDiode, plastic SMD DIL, 4.5x4mm, area: 2.2x2.2mm, https://dammedia.osram.info/media/resource/hires/osram-dam-5989350/BP%20104%20FAS_EN.pdf -PhotoDiode plastic SMD DIL -0 -6 -2 -OptoDevice -Osram_BPW34S-SMD -PhotoDiode, plastic SMD DIL, 4.5x4mm, area: 2.65x2.65mm, https://dammedia.osram.info/media/resource/hires/osram-dam-5488319/BPW%2034%20S_EN.pdf -PhotoDiode plastic SMD DIL -0 -6 -2 -OptoDevice -Osram_BPW82 -PhotoDiode, BPW82, RM2.54 -PhotoDiode BPW82 RM2.54 -0 -2 -2 -OptoDevice -Osram_DIL2_4.3x4.65mm_P5.08mm -PhotoDiode, plastic DIL, 4.3x4.65mm², RM5.08 -PhotoDiode plastic DIL RM5.08 -0 -2 -2 -OptoDevice -Osram_LPT80A -PhotoTransistor, sidelooker package, RM2.54 -PhotoTransistor sidelooker package RM2.54 -0 -2 -2 -OptoDevice -Osram_SFH9x0x -package for Osram SFH9x0x series of reflective photo interrupters/couplers, see http://www.osram-os.com/Graphics/XPic6/00200860_0.pdf -reflective photo interrupter SMD -0 -6 -6 -OptoDevice -Osram_SFH205 -PhotoDiode, SFH205, RM2.54 -PhotoDiode SFH205 RM2.54 -0 -2 -2 -OptoDevice -Osram_SFH225 -PhotoDiode, SFH225, RM2.54 -PhotoDiode SFH225 RM2.54 -0 -2 -2 -OptoDevice -Osram_SFH2201 -PhotoDiode, Clear Silicone, Osram TOPLED, 4x5.09mm, area: 2.85x2.85mm, Orientation mark at cathode, https://dammedia.osram.info/media/resource/hires/osram-dam-25688470/SFH%202201%20A01_EN.pdf -PhotoDiode silicone -0 -6 -2 -OptoDevice -Osram_SFH2430 -PhotoDiode, plastic SMD DIL, 4.5x4mm, area: 2.65x2.65mm, https://dammedia.osram.info/media/resource/hires/osram-dam-5467144/SFH%202430_EN.pdf -PhotoDiode plastic SMD DIL -0 -6 -2 -OptoDevice -Osram_SFH2440 -PhotoDiode, plastic SMD DIL, 4.5x4mm, area: 2.65x2.65mm, https://dammedia.osram.info/media/resource/hires/osram-dam-5467146/SFH%202440_EN.pdf -PhotoDiode plastic SMD DIL -0 -6 -2 -OptoDevice -Osram_SFH3710 -Osram SHF3710 NPN phototransistor, SMD Chip LED package, 2.0 x 1.25mm, height 0.85mm, https://look.ams-osram.com/m/14a91a7e00106d22/original/SFH-3710.pdf -phototransistor npn -0 -2 -2 -OptoDevice -Osram_SMD-SmartDIL -PhotoDiode, plastic SMD SmatDIL -PhotoDiode plastic SMD SmatDIL -0 -3 -3 -OptoDevice -Panasonic_APV-AQY_SSOP-4_4.45x2.65mm_P1.27mm -https://www.panasonic-electric-works.com/cps/rde/xbcr/pew_eu_en/technical_information_photomos_en.pdf -SSOP4 APV21 AQY22 -0 -4 -4 -OptoDevice -PerkinElmer_VTL5C -Axial Vactrol (http://www.qsl.net/wa1ion/vactrol/vactrol.pdf) -vactrol -0 -4 -4 -OptoDevice -PerkinElmer_VTL5Cx2 -Axial Vactrol (http://www.qsl.net/wa1ion/vactrol/vactrol.pdf) -vactrol -0 -5 -5 -OptoDevice -R_LDR_4.9x4.2mm_P2.54mm_Vertical -Resistor, LDR 4.9x4.2mm -Resistor LDR4.9x4.2 -0 -2 -2 -OptoDevice -R_LDR_5.0x4.1mm_P3mm_Vertical -Resistor, LDR 5x4.1mm, see http://cdn-reichelt.de/documents/datenblatt/A500/A90xxxx%23PE.pdf -Resistor LDR5x4.1mm -0 -2 -2 -OptoDevice -R_LDR_5.1x4.3mm_P3.4mm_Vertical -Resistor, LDR 5.1x3.4mm, see http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf -Resistor LDR5.1x3.4mm -0 -2 -2 -OptoDevice -R_LDR_5.2x5.2mm_P3.5mm_Horizontal -Resistor, LDR 5.2x5.2, upright, see http://cdn-reichelt.de/documents/datenblatt/A500/M996011A.pdf -Resistor LDR5.2x5.2 -0 -2 -2 -OptoDevice -R_LDR_7x6mm_P5.1mm_Vertical -Resistor, LDR 7x6mm -Resistor LDR7x6mm -0 -2 -2 -OptoDevice -R_LDR_10x8.5mm_P7.6mm_Vertical -Resistor, LDR 10x8.5mm -Resistor LDR10.8.5mm -0 -2 -2 -OptoDevice -R_LDR_11x9.4mm_P8.2mm_Vertical -Resistor, LDR 11x9.4mm -Resistor LDR11x9.4mm -0 -2 -2 -OptoDevice -R_LDR_12x10.8mm_P9.0mm_Vertical -Resistor, LDR 12x10.8mm, see http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf -Resistor LDR12x10.8mm -0 -2 -2 -OptoDevice -R_LDR_D6.4mm_P3.4mm_Vertical -Resistor, LDR D=6.4mm, see http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf -Resistor LDRD=6.4mm -0 -2 -2 -OptoDevice -R_LDR_D13.8mm_P9.0mm_Vertical -Resistor, diameter 13.8mm pitch 9mm, see http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf -Resistor LDR -0 -2 -2 -OptoDevice -R_LDR_D20mm_P17.5mm_Vertical -Resistor, LDR 20mm diameter, pin pitch 17.5mm, see http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf -Resistor LDR -0 -2 -2 -OptoDevice -Renesas_DFN-6_1.5x1.6mm_P0.5mm -DFN, 6 Pin (https://www.renesas.com/us/en/document/psc/package-drawing-hodfn-6pin-l615x16?language=en&r=568376) -DFN NoLead -0 -6 -6 -OptoDevice -ST_VL53L0X -https://www.st.com/resource/en/datasheet/vl53l1x.pdf -laser-ranging sensor -0 -12 -12 -OptoDevice -ST_VL53L1X -https://www.st.com/resource/en/datasheet/vl53l1x.pdf -laser-ranging sensor -0 -12 -12 -OptoDevice -Sharp_GP2Y0A41SK0F -http://www.sharp-world.com/products/device/lineup/data/pdf/datasheet/gp2y0a41sk_e.pdf -Distance Sensor Sharp -0 -2 -2 -OptoDevice -Sharp_IS471F -Sharp OPIC IS471F, see http://pdf.datasheetcatalog.com/datasheet/Sharp/mXvrzty.pdf -Sharp OPIC IS471F -0 -4 -4 -OptoDevice -Sharp_IS485 -Sharp OPIC, IS485, IS486, see http://microrato.ua.pt/main/Actividades/Estagios/Docs/IS485_6.pdf -Sharp OPIC IS485 IS486 -0 -3 -3 -OptoDevice -Siemens_SFH900 -package for Siemens SFH900 reflex photo interrupter/coupler/object detector, see https://www.batronix.com/pdf/sfh900.pdf -Siemens SFH900 reflex photo interrupter coupler object detector -0 -3 -3 -OptoDevice -Toshiba_TORX170_TORX173_TORX193_TORX194 -Fiberoptic Reciver, RX, Toshiba, Toslink, TORX170, TORX173, TORX193, TORX194 -Fiberoptic Reciver RX Toshiba Toslink TORX170 TORX173 TORX193 TORX194 -0 -6 -6 -OptoDevice -Toshiba_TOTX170_TOTX173_TOTX193_TOTX194 -Fiberoptic Reciver, RX, Toshiba, Toslink, TORX170, TORX173, TORX193, TORX194 -Fiberoptic Reciver RX Toshiba Toslink TORX170 TORX173 TORX193 TORX194 -0 -6 -6 -OptoDevice -Vishay_CAST-3Pin -IR Receiver Vishay TSOP-xxxx, CAST package, see https://www.vishay.com/docs/82493/tsop311.pdf -IRReceiverVishayTSOP-xxxx CAST -0 -3 -3 -OptoDevice -Vishay_CNY70 -package for Vishay CNY70 refective photo coupler/interrupter, https://www.vishay.com/docs/83751/cny70.pdf -Vishay CNY70 refective photo coupler -0 -4 -4 -OptoDevice -Vishay_MINICAST-3Pin -IR Receiver Vishay TSOP-xxxx, MINICAST package, see https://www.vishay.com/docs/82669/tsop32s40f.pdf -IR Receiver Vishay TSOP-xxxx MINICAST -0 -3 -3 -OptoDevice -Vishay_MINIMOLD-3Pin -IR Receiver Vishay TSOP-xxxx, MINIMOLD package, see https://www.vishay.com/docs/82742/tsop331.pdf -IR Receiver Vishay TSOP-xxxx MINIMOLD -0 -3 -3 -OptoDevice -Vishay_MOLD-3Pin -IR Receiver Vishay TSOP-xxxx, MOLD package, see https://www.vishay.com/docs/82669/tsop32s40f.pdf -IR Receiver Vishay TSOP-xxxx MOLD -0 -3 -3 -Oscillator -Oscillator_DIP-8 -Oscillator, DIP8,http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf -oscillator -0 -4 -4 -Oscillator -Oscillator_DIP-8_LargePads -Oscillator, DIP8, Large Pads, http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf -oscillator -0 -4 -4 -Oscillator -Oscillator_DIP-14 -Oscillator, DIP14, http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf -oscillator -0 -4 -4 -Oscillator -Oscillator_DIP-14_LargePads -Oscillator, DIP14, Large Pads, http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf -oscillator -0 -4 -4 -Oscillator -Oscillator_OCXO_Morion_MV267 -http://www.morion.com.ru/catalog_pdf/MV267.pdf -OCXO -0 -5 -5 -Oscillator -Oscillator_OCXO_Morion_MV317 -https://www.morion-us.com/catalog_pdf/mv317.pdf -OCXO -0 -5 -5 -Oscillator -Oscillator_SMD_Abracon_ABLNO -https://abracon.com/Precisiontiming/ABLNO.pdf -VCXO XO -0 -4 -4 -Oscillator -Oscillator_SMD_Abracon_ASCO-4Pin_1.6x1.2mm -Miniature Crystal Clock Oscillator Abracon ASCO series, https://abracon.com/Oscillators/ASCO.pdf, 1.6x1.2mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_Abracon_ASDMB-4Pin_2.5x2.0mm -Miniature Crystal Clock Oscillator Abracon ASDMB series, 2.5x2.0mm package, http://www.abracon.com/Oscillators/ASDMB.pdf -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_Abracon_ASE-4Pin_3.2x2.5mm -Miniature Crystal Clock Oscillator Abracon ASE series, http://www.abracon.com/Oscillators/ASEseries.pdf, 3.2x2.5mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_Abracon_ASE-4Pin_3.2x2.5mm_HandSoldering -Miniature Crystal Clock Oscillator Abracon ASE series, http://www.abracon.com/Oscillators/ASEseries.pdf, hand-soldering, 3.2x2.5mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_Abracon_ASV-4Pin_7.0x5.1mm -Miniature Crystal Clock Oscillator Abracon ASV series, http://www.abracon.com/Oscillators/ASV.pdf, 7.0x5.1mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_Abracon_ASV-4Pin_7.0x5.1mm_HandSoldering -Miniature Crystal Clock Oscillator Abracon ASV series, http://www.abracon.com/Oscillators/ASV.pdf, hand-soldering, 7.0x5.1mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_Diodes_FN-4Pin_7.0x5.0mm -FN Series Crystal Clock Oscillator (XO) (https://www.diodes.com/assets/Datasheets/FN_3-3V.pdf) -Oscillator Crystal SMD SMT -0 -4 -4 -Oscillator -Oscillator_SMD_ECS_2520MV-xxx-xx-4Pin_2.5x2.0mm -Miniature Crystal Clock Oscillator ECS 2520MV series, https://www.ecsxtal.com/store/pdf/ECS-2520MV.pdf -Miniature Crystal Clock Oscillator ECS 2520MV series SMD SMT HCMOS -0 -4 -4 -Oscillator -Oscillator_SMD_EuroQuartz_XO32-4Pin_3.2x2.5mm -Miniature Crystal Clock Oscillator EuroQuartz XO32 series, http://cdn-reichelt.de/documents/datenblatt/B400/XO32.pdf, 3.2x2.5mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_EuroQuartz_XO32-4Pin_3.2x2.5mm_HandSoldering -Miniature Crystal Clock Oscillator EuroQuartz XO32 series, http://cdn-reichelt.de/documents/datenblatt/B400/XO32.pdf, hand-soldering, 3.2x2.5mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_EuroQuartz_XO53-4Pin_5.0x3.2mm -Miniature Crystal Clock Oscillator EuroQuartz XO53 series, http://cdn-reichelt.de/documents/datenblatt/B400/XO53.pdf, 5.0x3.2mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_EuroQuartz_XO53-4Pin_5.0x3.2mm_HandSoldering -Miniature Crystal Clock Oscillator EuroQuartz XO53 series, http://cdn-reichelt.de/documents/datenblatt/B400/XO53.pdf, hand-soldering, 5.0x3.2mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_EuroQuartz_XO91-4Pin_7.0x5.0mm -Miniature Crystal Clock Oscillator EuroQuartz XO91 series, http://cdn-reichelt.de/documents/datenblatt/B400/XO91.pdf, 7.0x5.0mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_EuroQuartz_XO91-4Pin_7.0x5.0mm_HandSoldering -Miniature Crystal Clock Oscillator EuroQuartz XO91 series, http://cdn-reichelt.de/documents/datenblatt/B400/XO91.pdf, hand-soldering, 7.0x5.0mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS1-6Pin_14.8x9.1mm -Miniature Crystal Clock Oscillator TXCO Fordahl DFA S1-KHZ/LHZ, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, 14.8x9.1mm^2 package -SMD SMT crystal oscillator -0 -6 -6 -Oscillator -Oscillator_SMD_Fordahl_DFAS2-4Pin_7.3x5.1mm -Mminiature Crystal Clock Oscillator TXCO Fordahl DFA S2-KS/LS/US, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, 7.3x5.1mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS2-4Pin_7.3x5.1mm_HandSoldering -Mminiature Crystal Clock Oscillator TXCO Fordahl DFA S2-KS/LS/US, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, hand-soldering, 7.3x5.1mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS3-4Pin_9.1x7.2mm -Miniature Crystal Clock Oscillator TXCO Fordahl DFA S3-KS/LS/US, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, 9.1x7.2mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS3-4Pin_9.1x7.2mm_HandSoldering -Miniature Crystal Clock Oscillator TXCO Fordahl DFA S3-KS/LS/US, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, hand-soldering, 9.1x7.2mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS7-4Pin_19.9x12.9mm -Miniature Crystal Clock Oscillator TXCO Fordahl DFA S7-K/L, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, 19.9x12.9mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS7-4Pin_19.9x12.9mm_HandSoldering -Miniature Crystal Clock Oscillator TXCO Fordahl DFA S7-K/L, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, hand-soldering, 19.9x12.9mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS11-4Pin_7.0x5.0mm -Miniature Crystal Clock Oscillator TXCO Fordahl DFA S11-OV/UOV, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, 7.0x5.0mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS11-4Pin_7.0x5.0mm_HandSoldering -Miniature Crystal Clock Oscillator TXCO Fordahl DFA S11-OV/UOV, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, hand-soldering, 7.0x5.0mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS15-4Pin_5.0x3.2mm -Ultraminiature Crystal Clock Oscillator TXCO Fordahl DFA S15-OV/UOV, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, 5.0x3.2mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_Fordahl_DFAS15-4Pin_5.0x3.2mm_HandSoldering -Ultraminiature Crystal Clock Oscillator TXCO Fordahl DFA S15-OV/UOV, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, hand-soldering, 5.0x3.2mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_Fox_FT5H_5.0x3.2mm -https://foxonline.com/wp-content/uploads/pdfs/T5HN_T5HV.pdf -Fox TXCO temperature compensated oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_IDT_JS6-6_5.0x3.2mm_P1.27mm -SMD Crystal Oscillator IDT https://www.idt.com/document/dst/xu-family-datasheet#page=15, 5.0x3.2mm -SMD SMT crystal oscillator -0 -6 -6 -Oscillator -Oscillator_SMD_IDT_JU6-6_7.0x5.0mm_P2.54mm -SMD Crystal Oscillator IDT https://www.idt.com/document/dst/xu-family-datasheet#page=17, 7.0x5.0mm -SMD SMT crystal oscillator -0 -6 -6 -Oscillator -Oscillator_SMD_IQD_IQXO70-4Pin_7.5x5.0mm -IQD Crystal Clock Oscillator IQXO-70, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, 7.5x5.0mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_IQD_IQXO70-4Pin_7.5x5.0mm_HandSoldering -IQD Crystal Clock Oscillator IQXO-70, http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf, hand-soldering, 7.5x5.0mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_Kyocera_2520-6Pin_2.5x2.0mm -https://global.kyocera.com/prdct/electro/product/pdf/kt2520_e.pdf -2.5mm 2mm SMD -0 -6 -6 -Oscillator -Oscillator_SMD_Kyocera_KC2520Z-4Pin_2.5x2.0mm -https://global.kyocera.com/prdct/electro/product/pdf/clock_z_xz_e.pdf -2.5mm 2mm SMD -0 -4 -4 -Oscillator -Oscillator_SMD_OCXO_ConnorWinfield_OH300 -http://www.conwin.com/datasheets/cx/cx282.pdf -OCXO -0 -7 -7 -Oscillator -Oscillator_SMD_SI570_SI571_HandSoldering -SI570, SI571, Programmable oscillator, Standard -SI570 SI571 Programmable oscillator Standard -0 -8 -8 -Oscillator -Oscillator_SMD_SI570_SI571_Standard -SI570, SI571, Programmable oscillator, Standard -SI570 SI571 Programmable oscillator Standard -0 -8 -8 -Oscillator -Oscillator_SMD_SeikoEpson_SG210-4Pin_2.5x2.0mm -SMD Crystal Oscillator Seiko Epson SG-210 https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-210SED, 2.5x2.0mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG210-4Pin_2.5x2.0mm_HandSoldering -SMD Crystal Oscillator Seiko Epson SG-210 https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-210SED, hand-soldering, 2.5x2.0mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG3030CM -SMD Crystal Oscillator Seiko Epson SG-3030CM package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002CA-4Pin_7.0x5.0mm -SMD Crystal Oscillator Seiko Epson SG-8002CA https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, 7.0x5.0mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002CA-4Pin_7.0x5.0mm_HandSoldering -SMD Crystal Oscillator Seiko Epson SG-8002CA https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, hand-soldering, 7.0x5.0mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm -SMD Crystal Oscillator Seiko Epson SG-8002CE https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, 3.2x2.5mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm_HandSoldering -SMD Crystal Oscillator Seiko Epson SG-8002CE https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, hand-soldering, 3.2x2.5mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002JA-4Pin_14.0x8.7mm -SMD Crystal Oscillator Seiko Epson SG-8002JA https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, 14.0x8.7mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002JA-4Pin_14.0x8.7mm_HandSoldering -SMD Crystal Oscillator Seiko Epson SG-8002JA https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, hand-soldering, 14.0x8.7mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002JC-4Pin_10.5x5.0mm -SMD Crystal Oscillator Seiko Epson SG-8002JC https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, 10.5x5.0mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002JC-4Pin_10.5x5.0mm_HandSoldering -SMD Crystal Oscillator Seiko Epson SG-8002JC https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, hand-soldering, 10.5x5.0mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002LB-4Pin_5.0x3.2mm -SMD Crystal Oscillator Seiko Epson SG-8002LB https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, 5.0x3.2mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_SG8002LB-4Pin_5.0x3.2mm_HandSoldering -SMD Crystal Oscillator Seiko Epson SG-8002LB https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, hand-soldering, 5.0x3.2mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SMD_SeikoEpson_TG2520SMN-xxx-xxxxxx-4Pin_2.5x2.0mm -Miniature Crystal Clock Oscillator TG2520 series, https://support.epson.biz/td/api/doc_check.php?dl=app_TG2520SMN&lang=en -Miniature Crystal Clock Oscillator TG2520 series SMD SMT -0 -4 -4 -Oscillator -Oscillator_SMD_SiTime_PQFD-6L_3.2x2.5mm -3.2x2.5mm, 6-pin QFN (https://www.sitime.com/datasheet/SiT9365 page 13) -SMD SMT mems oscillator -0 -6 -6 -Oscillator -Oscillator_SMD_SiTime_SiT9121-6Pin_3.2x2.5mm -3.2x2.5mm, 1-220MHz High Performance Differential Oscillator SiTime SiT9121 https://www.sitime.com/datasheet/SiT9121 -SMD SMT crystal oscillator -0 -6 -6 -Oscillator -Oscillator_SMD_Silicon_Labs_LGA-6_2.5x3.2mm_P1.25mm -Silicon_Labs LGA, 6 Pin (https://www.silabs.com/documents/public/data-sheets/si512-13.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Silicon_Labs LGA NoLead -0 -6 -6 -Oscillator -Oscillator_SMD_TCXO_G158 -TCXO -TCXO -0 -4 -4 -Oscillator -Oscillator_SMD_TXC_7C-4Pin_5.0x3.2mm -Miniature Crystal Clock Oscillator TXC 7C series, http://www.txccorp.com/download/products/osc/7C_o.pdf, 5.0x3.2mm^2 package -SMD SMT crystal oscillator -0 -4 -4 -Oscillator -Oscillator_SMD_TXC_7C-4Pin_5.0x3.2mm_HandSoldering -Miniature Crystal Clock Oscillator TXC 7C series, http://www.txccorp.com/download/products/osc/7C_o.pdf, hand-soldering, 5.0x3.2mm^2 package -SMD SMT crystal oscillator hand-soldering -0 -4 -4 -Oscillator -Oscillator_SeikoEpson_SG-8002DB -14-lead dip package, row spacing 7.62 mm (300 mils) -DIL DIP PDIP 2.54mm 7.62mm 300mil -0 -4 -4 -Oscillator -Oscillator_SeikoEpson_SG-8002DC -8-lead dip package, row spacing 7.62 mm (300 mils) -DIL DIP PDIP 2.54mm 7.62mm 300mil -0 -4 -4 -Package_BGA -Alliance_TFBGA-54_8x8mm_Layout9x9_P0.8mm -Alliance TFBGA 54 pins, 8x8mm, 54 Ball, 9x9 Layout, 0.8mm Pitch, https://www.alliancememory.com/wp-content/uploads/pdf/dram/Alliance_Memory_64M-AS4C4M16SA-CI_v5.0_October_2018.pdf#page=54 -BGA 54 0.8 -0 -54 -54 -Package_BGA -Analog_BGA-28_4.0x6.25mm_Layout4x7_P0.8mm_Ball0.45mm_Pad0.4 -Analog BGA-28 4.0mm x 6.25mm package, pitch 0.4mm pad, based on https://www.analog.com/media/en/technical-documentation/data-sheets/8063fa.pdf -BGA 28 0.8 -0 -28 -28 -Package_BGA -Analog_BGA-49_6.25x6.25mm_Layout7x7_P0.8mm_Ball0.5mm_Pad0.4mm -Analog BGA-49, 6.25x6.25mm, 49 Ball, 7x7 Layout, 0.8mm Pitch, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-bga/05081600_0_bga49.pdf https://www.analog.com/media/en/technical-documentation/product-information/assembly-considerations-for-umodule-bga-lga-package.pdf -BGA 49 0.8 -0 -49 -49 -Package_BGA -Analog_BGA-209_9.5x16mm_Layout11x19_P0.8mm_Ball0.5mm_Pad0.4mm -Analog BGA-209 (Reference LTC DWG#05-08-1561 Rev B), 9.5x16.0mm, 209 Ball, 11x19 Layout, 0.8mm Pitch, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-bga/05081561_bga209.pdf -BGA 209 0.8 -0 -209 -209 -Package_BGA -BGA-9_1.6x1.6mm_Layout3x3_P0.5mm -BGA-9, http://www.ti.com/lit/ds/symlink/bq27421-g1.pdf -BGA-9 -0 -9 -9 -Package_BGA -BGA-16_1.92x1.92mm_Layout4x4_P0.5mm -BGA-16, http://www.st.com/content/ccc/resource/technical/document/datasheet/group2/bc/cd/62/9e/8f/30/47/69/CD00151267/files/CD00151267.pdf/jcr:content/translations/en.CD00151267.pdf -BGA-16 -0 -16 -16 -Package_BGA -BGA-25_6.35x6.35mm_Layout5x5_P1.27mm -BGA-25, http://cds.linear.com/docs/en/datasheet/4624fc.pdf -BGA-25 uModule -0 -25 -25 -Package_BGA -BGA-36_3.396x3.466mm_Layout6x6_P0.4mm_Ball0.25mm_Pad0.2mm_NSMD -Altera V36, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00486-00.pdf -Altera BGA-36 V36 VBGA -0 -36 -36 -Package_BGA -BGA-48_8.0x9.0mm_Layout6x8_P0.8mm -BGA-48 - pitch 0.8 mm -BGA-48 -0 -48 -48 -Package_BGA -BGA-64_9.0x9.0mm_Layout10x10_P0.8mm -BGA-64, 10x10 raster, 9x9mm package, pitch 0.8mm -BGA-64 -0 -64 -64 -Package_BGA -BGA-68_5.0x5.0mm_Layout9x9_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD -Altera MBGA-68, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00344-01.pdf -Altera BGA-68 M68 MBGA -0 -68 -68 -Package_BGA -BGA-81_4.496x4.377mm_Layout9x9_P0.4mm_Ball0.25mm_Pad0.2mm_NSMD -Altera V81, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00478-01.pdf -Altera VBGA V81 BGA-81 -0 -81 -81 -Package_BGA -BGA-90_8.0x13.0mm_Layout2x3x15_P0.8mm -BGA-90, http://www.issi.com/WW/pdf/42-45S32800J.pdf -BGA-90 -0 -90 -90 -Package_BGA -BGA-96_9.0x13.0mm_Layout2x3x16_P0.8mm -BGA-96, http://www.mouser.com/ds/2/198/43-46TR16640B-81280BL-706483.pdf -BGA-96 -0 -96 -96 -Package_BGA -BGA-100_6.0x6.0mm_Layout11x11_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD -Altera MBGA-100, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00345-01.pdf -Altera BGA-100 M100 MBGA -0 -100 -100 -Package_BGA -BGA-100_11.0x11.0mm_Layout10x10_P1.0mm_Ball0.5mm_Pad0.4mm_NSMD -BGA-100, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00223-02.pdf -BGA-100 -0 -100 -100 -Package_BGA -BGA-121_9.0x9.0mm_Layout11x11_P0.8mm_Ball0.4mm_Pad0.35mm_NSMD -121-ball, 0.8mm BGA (based on http://www.latticesemi.com/view_document?document_id=213) -BGA 0.8mm 9mm 121 -0 -121 -121 -Package_BGA -BGA-121_12.0x12.0mm_Layout11x11_P1.0mm -BGA-121, http://cds.linear.com/docs/en/packaging/05081891_A_bga121.pdf -BGA-121 -0 -121 -121 -Package_BGA -BGA-132_12x18mm_Layout11x17_P1.0mm -BGA-132 11x17 12x18mm 1.0pitch -BGA-132 -0 -132 -132 -Package_BGA -BGA-144_7.0x7.0mm_Layout13x13_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD -Altera MBGA-144, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00346-00.pdf -Altera BGA-144 M144 MBGA -0 -144 -144 -Package_BGA -BGA-144_13.0x13.0mm_Layout12x12_P1.0mm -BGA-144, http://www.topline.tv/drawings/pdf/BGA%201,0mm%20pitch/LBGA144T1.0-DC128.pdf -BGA-144 -0 -144 -144 -Package_BGA -BGA-152_14x18mm_Layout13x17_P0.5mm -BGA-152_14x18mm_Layout13x17_P0.5mm -VBGA-152 -0 -152 -152 -Package_BGA -BGA-153_8.0x8.0mm_Layout15x15_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD -Altera MBGA-153, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00471-00.pdf -Altera BGA-153 M153 MBGA -0 -153 -153 -Package_BGA -BGA-169_11.0x11.0mm_Layout13x13_P0.8mm_Ball0.5mm_Pad0.4mm_NSMD -Altera U169, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00470-01.pdf -Altera UBGA U169 BGA-169 -0 -169 -169 -Package_BGA -BGA-200_10.0x14.5mm_Layout12x22_P0.80x0.65mm -BGA-200, 14.5x10.0mm, 200 Ball, 12x22 Layout, 0.8x0.65mm Pitch, http://www.issi.com/WW/pdf/43-46LQ32256A-AL.pdf -BGA 200 0.8x0.65 -0 -200 -200 -Package_BGA -BGA-256_11.0x11.0mm_Layout20x20_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD -Altera MBGA-256, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00348-01.pdf -Altera BGA-256 M256 MBGA -0 -256 -256 -Package_BGA -BGA-256_14.0x14.0mm_Layout16x16_P0.8mm_Ball0.45mm_Pad0.32mm_NSMD -BGA-256, dimensions: https://www.xilinx.com/support/documentation/package_specs/ft256.pdf, design rules: https://www.xilinx.com/support/documentation/user_guides/ug1099-bga-device-design-rules.pdf -BGA-256 -0 -256 -256 -Package_BGA -BGA-256_17.0x17.0mm_Layout16x16_P1.0mm_Ball0.5mm_Pad0.4mm_NSMD -BGA-256, dimensions: https://www.xilinx.com/support/documentation/package_specs/ft256.pdf, design rules: https://www.xilinx.com/support/documentation/user_guides/ug1099-bga-device-design-rules.pdf -BGA-256 -0 -256 -256 -Package_BGA -BGA-324_15.0x15.0mm_Layout18x18_P0.8mm_Ball0.5mm_Pad0.4mm_NSMD -Altera U324, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00474-02.pdf -Altera UBGA U324 BGA-324 -0 -324 -324 -Package_BGA -BGA-324_15.0x15.0mm_Layout18x18_P0.8mm_Ball0.45mm_Pad0.4mm_NSMD -BGA-324, 15x15mm, 324 Ball, 18x18 Layout, 0.8mm Pitch, https://colognechip.com/docs/ds1001-gatemate1-datasheet-2022-05.pdf#page=92 -BGA 324 0.8 GateMate FPGA -0 -324 -324 -Package_BGA -BGA-324_19.0x19.0mm_Layout18x18_P1.0mm_Ball0.5mm_Pad0.4mm_NSMD -BGA-324, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00233-03.pdf -BGA-324 -0 -324 -324 -Package_BGA -BGA-352_35.0x35.0mm_Layout26x26_P1.27mm -BGA-352, https://www.fujitsu.com/downloads/MICRO/fma/pdfmcu/b352p05.pdf -BGA-352 -0 -352 -352 -Package_BGA -BGA-400_21.0x21.0mm_Layout20x20_P1.0mm -BGA-400, https://www.xilinx.com/support/documentation/package_specs/fg400.pdf -BGA-400 -0 -400 -400 -Package_BGA -BGA-484_23.0x23.0mm_Layout22x22_P1.0mm -BGA-484, https://www.xilinx.com/support/documentation/package_specs/fg484.pdf -BGA-484 -0 -484 -484 -Package_BGA -BGA-529_19x19mm_Layout23x23_P0.8mm -BGA-529, NSMD, 19.0x19.0mm, 529 Ball, 23x23 Layout, 0.8mm Pitch, https://www.efinixinc.com/docs/titanium-packaging-ug-v5.2.pdf -BGA 529 0.8 529-ball FBGA -0 -529 -529 -Package_BGA -BGA-624_21.0x21.0mm_Layout25x25_P0.8mm -BGA-624, 25x25 grid, 21x21mm package, pitch 0.8mm; https://www.nxp.com/docs/en/package-information/SOT1529-1.pdf -BGA 624 0.8 -0 -624 -624 -Package_BGA -BGA-625_21.0x21.0mm_Layout25x25_P0.8mm -BGA-625 -BGA-625 -0 -625 -625 -Package_BGA -BGA-672_27.0x27.0mm_Layout26x26_P1.0mm_Ball0.6mm_Pad0.5mm_NSMD -Altera BGA-672, https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00472-00.pdf -Altera BGA-672 F672 FBGA -0 -672 -672 -Package_BGA -BGA-676_27.0x27.0mm_Layout26x26_P1.0mm_Ball0.6mm_Pad0.5mm_NSMD -XILINX BGA-676, https://www.xilinx.com/support/documentation/package_specs/fg676.pdf -XILINX BGA-676 FG676/FGG676 -0 -676 -676 -Package_BGA -BGA-1023_33.0x33.0mm_Layout32x32_P1.0mm -BGA-1023 -BGA-1023 -0 -1023 -1023 -Package_BGA -BGA-1156_35.0x35.0mm_Layout34x34_P1.0mm -BGA-1156 -BGA-1156 -0 -1156 -1156 -Package_BGA -BGA-1295_37.5x37.5mm_Layout36x36_P1.0mm -BGA-1295 -BGA-1295 -0 -1295 -1295 -Package_BGA -FB-BGA-484_23.0x23.0mm_Layout22x22_P1.0mm -Xilinx FB-484, https://www.xilinx.com/support/documentation/user_guides/ug1099-bga-device-design-rules.pdf -FB-BGA-484 -0 -484 -484 -Package_BGA -FBGA-78_7.5x11mm_Layout2x3x13_P0.8mm -FBGA-78, https://www.skhynix.com/product/filedata/fileDownload.do?seq=7687 -FBGA-78 -0 -78 -78 -Package_BGA -Fujitsu_WLP-15_2.28x3.092mm_Layout3x5_P0.4mm -WLP-15, 3x5 raster, 2.28x3.092mm package, pitch 0.4mm; http://www.fujitsu.com/global/documents/products/devices/semiconductor/fram/lineup/MB85RS1MT-DS501-00022-7v0-E.pdf -BGA 8 0.4 -0 -8 -8 -Package_BGA -Infineon_LFBGA-292_17x17mm_Layout20x20_P0.8mm_Ball0.5mm_Pad0.35 -Infineon LFBGA-292, 0.35mm pad, 17.0x17.0mm, 292 Ball, 20x20 Layout, 0.8mm Pitch, https://www.infineon.com/cms/en/product/packages/PG-LFBGA/PG-LFBGA-292-11/ -BGA 292 0.8 -0 -292 -292 -Package_BGA -LFBGA-100_10x10mm_Layout10x10_P0.8mm -LFBGA-100, 10x10 raster, 10x10mm package, pitch 0.8mm; see section 6.3 of http://www.st.com/resource/en/datasheet/stm32f103tb.pdf -BGA 100 0.8 -0 -100 -100 -Package_BGA -LFBGA-144_10x10mm_Layout12x12_P0.8mm -LFBGA-144, 12x12 raster, 10x10mm package, pitch 0.8mm; see section 6.1 of http://www.st.com/resource/en/datasheet/stm32f103ze.pdf -BGA 144 0.8 -0 -144 -144 -Package_BGA -LFBGA-169_16x12mm_Layout28x14_P0.5mm_Ball0.3_Pad0.3mm_NSMD -https://4donline.ihs.com/images/VipMasterIC/IC/SGST/SGSTS20279/SGSTS20279-1.pdf?hkey=EF798316E3902B6ED9A73243A3159BB0 -eMMC Flash LFBGA169 -0 -169 -169 -Package_BGA -LFBGA-400_16x16mm_Layout20x20_P0.8mm -LFBGA-400, NSMD, 16.0x16.0mm, 400 Ball, 20x20 Layout, 0.8mm Pitch, https://www.efinixinc.com/docs/titanium-packaging-ug-v5.2.pdf -BGA 400 0.8 400-ball FBGA -0 -400 -400 -Package_BGA -LFBGA-484_18x18mm_Layout22x22_P0.8mm -LFBGA-484, NSMD, 18.0x18.0mm, 484 Ball, 22x22 Layout, 0.8mm Pitch, https://www.efinixinc.com/docs/titanium-packaging-ug-v5.2.pdf -BGA 484 0.8 484-ball LFBGA -0 -484 -484 -Package_BGA -Lattice_caBGA-381_17.0x17.0mm_Layout20x20_P0.8mm_Ball0.4mm_Pad0.4mm_NSMD -Lattice caBGA-381 footprint for ECP5 FPGAs, based on http://www.latticesemi.com/view_document?document_id=213 -BGA 381 0.8 -0 -381 -381 -Package_BGA -Lattice_caBGA-381_17.0x17.0mm_Layout20x20_P0.8mm_Ball0.4mm_Pad0.6mm_SMD -Lattice caBGA-381 footprint for ECP5 FPGAs, based on http://www.latticesemi.com/view_document?document_id=213 -BGA 381 0.8 -0 -381 -381 -Package_BGA -Lattice_caBGA-756_27.0x27.0mm_Layout32x32_P0.8mm -Lattice caBGA-756, ECP5 FPGAs, 27.0x27.0mm, 756 Ball, 32x32 Layout, 0.8mm Pitch, http://www.latticesemi.com/view_document?document_id=213 -BGA 756 0.8 -0 -756 -756 -Package_BGA -Linear_BGA-133_15.0x15.0mm_Layout12x12_P1.27mm -Analog Devices (Linear Tech), 133-pin BGA uModule, 15.0x15.0x4.92mm, https://www.analog.com/media/en/technical-documentation/data-sheets/4637fc.pdf -133 pin bga -0 -134 -134 -Package_BGA -MAPBGA_9x9mm_Layout17x17_P0.5mm -MAPBGA 9x9x1.11 PKG, 9.0x9.0mm, 272 Ball, 17x17 Layout, 0.5mm Pitch, https://www.nxp.com/docs/en/package-information/98ASA00869D.pdf#page=1 -BGA 272 0.5 -0 -272 -272 -Package_BGA -MAPBGA_14x14mm_Layout17x17_P0.8mm -MAPBGA 14x14x1.18 PKG, 14.0x14.0mm, 289 Ball, 17x17 Layout, 0.8mm Pitch, https://www.nxp.com/docs/en/package-information/98ASA00855D.pdf#page=1 -BGA 289 0.8 -0 -289 -289 -Package_BGA -Maxim_WLP-9_1.595x1.415_Layout3x3_P0.4mm_Ball0.27mm_Pad0.25mm_NSMD -Maxim_WLP-9 W91C1+1 https://pdfserv.maximintegrated.com/package_dwgs/21-0459.PDF -Maxim_WLP-9 -0 -9 -9 -Package_BGA -Maxim_WLP-12 -Maxim_WLP-12 W121B2+1 http://pdfserv.maximintegrated.com/package_dwgs/21-0009.PDF -Maxim_WLP-12 -0 -12 -12 -Package_BGA -Maxim_WLP-12_1.608x2.008mm_Layout4x3_P0.4mm_Ball0.27mm_Pad0.25mm_NSMD -Maxim WLP-12, W121H2+1, 2.008x1.608mm, 12 Ball, 4x3 Layout, 0.4mm Pitch, https://pdfserv.maximintegrated.com/package_dwgs/21-100302.PDF, https://pdfserv.maximintegrated.com/package_dwgs/21-100302.PDF -BGA 12 0.4 -0 -12 -12 -Package_BGA -Microchip_TFBGA-196_11x11mm_Layout14x14_P0.75mm_SMD -TFBGA-196, 11.0x11.0mm, 196 Ball, 14x14 Layout, 0.75mm Pitch, http://ww1.microchip.com/downloads/en/DeviceDoc/SAMA5D2-Series-Data-Sheet-DS60001476C.pdf#page=2956 -BGA 196 0.75 -0 -196 -196 -Package_BGA -Micron_FBGA-78_7.5x10.6mm_Layout9x13_P0.8mm -FBGA-78, 10.6x7.5mm, 78 Ball, 9x13 Layout, 0.8mm Pitch, https://www.micron.com/-/media/client/global/documents/products/data-sheet/dram/ddr3/4gb_ddr3l.pdf#page=24 -BGA 78 0.8 -0 -78 -78 -Package_BGA -Micron_FBGA-78_8x10.5mm_Layout9x13_P0.8mm -FBGA-78, 10.5x8.0mm, 78 Ball, 9x13 Layout, 0.8mm Pitch, https://www.micron.com/-/media/client/global/documents/products/data-sheet/dram/ddr3/4gb_ddr3l.pdf#page=25 -BGA 78 0.8 -0 -78 -78 -Package_BGA -Micron_FBGA-78_9x10.5mm_Layout9x13_P0.8mm -FBGA-78, 10.5x9.0mm, 78 Ball, 9x13 Layout, 0.8mm Pitch, https://www.micron.com/-/media/client/global/documents/products/data-sheet/dram/ddr3/4gb_ddr3l.pdf#page=23 -BGA 78 0.8 -0 -78 -78 -Package_BGA -Micron_FBGA-96_7.5x13.5mm_Layout9x16_P0.8mm -FBGA-96, 13.5x7.5mm, 96 Ball, 9x16 Layout, 0.8mm Pitch, https://www.micron.com/-/media/client/global/documents/products/data-sheet/dram/ddr3/4gb_ddr3l.pdf#page=27 -BGA 96 0.8 -0 -96 -96 -Package_BGA -Micron_FBGA-96_8x14mm_Layout9x16_P0.8mm -FBGA-96, 14.0x8.0mm, 96 Ball, 9x16 Layout, 0.8mm Pitch, https://www.micron.com/-/media/client/global/documents/products/data-sheet/dram/ddr3/4gb_ddr3l.pdf#page=28 -BGA 96 0.8 -0 -96 -96 -Package_BGA -Micron_FBGA-96_9x14mm_Layout9x16_P0.8mm -FBGA-96, 14.0x9.0mm, 96 Ball, 9x16 Layout, 0.8mm Pitch, https://www.micron.com/-/media/client/global/documents/products/data-sheet/dram/ddr3/4gb_ddr3l.pdf#page=26 -BGA 96 0.8 -0 -96 -96 -Package_BGA -NXP_VFBGA-42_2.6x3mm_Layout6x7_P0.4mm_Ball0.25mm_Pad0.24mm -NXP VFBGA-42, 3.0x2.6mm, 42 Ball, 6x7 Layout, 0.4mm Pitch, https://www.nxp.com/docs/en/package-information/SOT1963-1.pdf -BGA 42 0.4 -0 -42 -42 -Package_BGA -ST_LFBGA-354_16x16mm_Layout19x19_P0.8mm -ST LFBGA-354, 16.0x16.0mm, 354 Ball, 19x19 Layout, 0.8mm Pitch, https://www.st.com/resource/en/datasheet/stm32mp151a.pdf -BGA 354 0.8 -0 -354 -354 -Package_BGA -ST_LFBGA-448_18x18mm_Layout22x22_P0.8mm -ST LFBGA-448, 18.0x18.0mm, 448 Ball, 22x22 Layout, 0.8mm Pitch, https://www.st.com/resource/en/datasheet/stm32mp151a.pdf -BGA 448 0.8 -0 -448 -448 -Package_BGA -ST_TFBGA-225_13x13mm_Layout15x15_P0.8mm -ST TFBGA-225, 13.0x13.0mm, 225 Ball, 15x15 Layout, 0.8mm Pitch, https://www.st.com/resource/en/datasheet/stm32h7b3ri.pdf -BGA 225 0.8 -0 -225 -225 -Package_BGA -ST_TFBGA-257_10x10mm_Layout19x19_P0.5mmP0.65mm -ST TFBGA-257, 10.0x10.0mm, 257 Ball, 19x19 Layout, 0.5mm Pitch, https://www.st.com/resource/en/datasheet/stm32mp151a.pdf -BGA 257 0.5 -0 -257 -257 -Package_BGA -ST_TFBGA-361_12x12mm_Layout23x23_P0.5mmP0.65mm -ST TFBGA-361, 12.0x12.0mm, 361 Ball, 23x23 Layout, 0.5mm Pitch, https://www.st.com/resource/en/datasheet/stm32mp151a.pdf -BGA 361 0.5 -0 -361 -361 -Package_BGA -ST_UFBGA-73_5x5mm_Layout9x9_P0.5mm -ST UFBGA-73, 5.0x5.0mm, 73 Ball, 9x9 Layout, 0.5mm Pitch, https://www.st.com/resource/en/datasheet/stm32wl54jc.pdf -BGA 73 0.5 -0 -73 -73 -Package_BGA -ST_UFBGA-121_6x6mm_Layout11x11_P0.5mm -ST UFBGA-121, 6.0x6.0mm, 121 Ball, 11x11 Layout, 0.5mm Pitch, https://www.st.com/resource/en/datasheet/stm32g473pb.pdf -BGA 121 0.5 -0 -121 -121 -Package_BGA -ST_UFBGA-129_7x7mm_Layout13x13_P0.5mm -ST UFBGA-129, 7.0x7.0mm, 129 Ball, 13x13 Layout, 0.5mm Pitch, https://www.st.com/resource/en/datasheet/stm32wb55vc.pdf -BGA 129 0.5 -0 -129 -129 -Package_BGA -ST_uTFBGA-36_3.6x3.6mm_Layout6x6_P0.5mm -ST uTFBGA-36, 0.25mm pad, 3.6x3.6mm, 36 Ball, 6x6 Layout, 0.5mm Pitch, https://www.st.com/resource/en/datasheet/stulpi01a.pdf -BGA 36 0.5 -0 -36 -36 -Package_BGA -TFBGA-64_5x5mm_Layout8x8_P0.5mm -TFBGA-64, 8x8 raster, 5x5mm package, pitch 0.5mm; see section 6.3 of http://www.st.com/resource/en/datasheet/stm32f100v8.pdf -BGA 64 0.5 -0 -64 -64 -Package_BGA -TFBGA-100_5.5x5.5mm_Layout10x10_P0.5mm -TFBGA-100, NSMD, 5.5x5.5mm, 100 Ball, 10x10 Layout, 0.5mm Pitch, https://www.efinixinc.com/docs/titanium-packaging-ug-v5.2.pdf -BGA 100 0.5 100-ball FBGA -0 -100 -100 -Package_BGA -TFBGA-100_8x8mm_Layout10x10_P0.8mm -TFBGA-100, 10x10 raster, 8x8mm package, pitch 0.8mm; see section 6.2 of http://www.st.com/resource/en/datasheet/stm32f746zg.pdf -BGA 100 0.8 -0 -100 -100 -Package_BGA -TFBGA-100_9.0x9.0mm_Layout10x10_P0.8mm -TFBGA-100, 10x10, 9x9mm package, pitch 0.8mm -TFBGA-100 -0 -100 -100 -Package_BGA -TFBGA-121_10x10mm_Layout11x11_P0.8mm -TFBGA-121, 11x11 raster, 10x10mm package, pitch 0.8mm; http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#p495 -BGA 121 0.8 -0 -121 -121 -Package_BGA -TFBGA-216_13x13mm_Layout15x15_P0.8mm -TFBGA-216, 15x15 raster, 13x13mm package, pitch 0.8mm; see section 6.8 of http://www.st.com/resource/en/datasheet/stm32f746zg.pdf -BGA 216 0.8 -0 -216 -216 -Package_BGA -TFBGA-225_10x10mm_Layout15x15_P0.65mm -TFBGA-225, NSMD, 10.0x10.0mm, 225 Ball, 15x15 Layout, 0.65mm Pitch, https://www.efinixinc.com/docs/titanium-packaging-ug-v5.2.pdf -BGA 225 0.65 225-ball FBGA -0 -225 -225 -Package_BGA -TFBGA-265_14x14mm_Layout17x17_P0.8mm -TFBGA-265, 17x17 raster, 14x14mm package, pitch 0.8mm; see section 7.8 of http://www.st.com/resource/en/datasheet/DM00387108.pdf -BGA 265 0.8 -0 -265 -265 -Package_BGA -TFBGA-361_13x13mm_Layout19x19_P0.65mm -TFBGA-361, NSMD, 13.0x13.0mm, 361 Ball, 19x19 Layout, 0.65mm Pitch, https://www.efinixinc.com/docs/titanium-packaging-ug-v5.2.pdf -BGA 361 0.65 361-ball FBGA -0 -361 -361 -Package_BGA -Texas_BGA-289_15.0x15.0mm_Layout17x17_P0.8mm_Ball0.5mm_Pad0.4mm -Texas Instruments BGA-289, 0.4mm pad, 15x15mm, 289 Ball, 17x17 Layout, 0.8mm Pitch, https://www.ti.com/lit/ml/mpbg777/mpbg777.pdf -BGA 289 0.8 ZAV S-PBGA-N289 -0 -289 -289 -Package_BGA -Texas_DSBGA-5_0.822x1.116mm_Layout2x1x2_P0.4mm -Texas Instruments, DSBGA, 0.822x1.116mm, 5 bump 2x1x2 array, NSMD pad definition (http://www.ti.com/lit/ds/symlink/opa330.pdf, http://www.ti.com/lit/an/snva009ag/snva009ag.pdf) -Texas Instruments DSBGA BGA YFF S-XBGA-N5 -0 -10 -5 -Package_BGA -Texas_DSBGA-5_0.8875x1.3875mm_Layout2x3_P0.5mm -Texas Instruments, DSBGA, area grid, NSMD, YZP0005 pad definition, 0.8875x1.3875mm, 5 Ball, 2x3 Layout, 0.5mm Pitch, https://www.ti.com/lit/ds/symlink/sn74lvc1g17.pdf#page=42, https://www.ti.com/lit/ml/mxbg018l/mxbg018l.pdf -BGA 5 0.5 YZP -0 -5 -5 -Package_BGA -Texas_DSBGA-6_0.9x1.4mm_Layout2x3_P0.5mm -Texas Instruments, DSBGA, 0.9x1.4mm, 6 bump 2x3 (perimeter) array, NSMD pad definition (http://www.ti.com/lit/ds/symlink/ts5a3159a.pdf) -Texas Instruments DSBGA BGA YZP R-XBGA-N6 -0 -12 -6 -Package_BGA -Texas_DSBGA-6_0.95x1.488mm_Layout2x3_P0.4mm -Texas Instruments, DSBGA, area grid, YBG pad definition, 0.95x1.488mm, 6 Ball, 2x3 Layout, 0.4mm Pitch, https://www.ti.com/lit/ml/mxbg419/mxbg419.pdf, https://www.ti.com/lit/ds/symlink/tmp117.pdf -BGA 6 0.4 -0 -6 -6 -Package_BGA -Texas_DSBGA-6_0.704x1.054mm_Layout2x3_P0.35mm -Texas Instruments, DSBGA-6, 0.704x1.054mm, NSMD, YKA pad definition, 0.704x1.054mm, 6 Ball, 2x3 Layout, 0.35mm Pitch, https://www.ti.com/lit/ml/mxbg383/mxbg383.pdf, https://www.ti.com/lit/ds/symlink/tps62800.pdf -BGA 6 0.35 -0 -6 -6 -Package_BGA -Texas_DSBGA-6_0.855x1.255mm_Layout2x3_P0.4mm_LevelB -Texas Instruments, DSBGA, area grid, YBG pad definition, 0.95x1.488mm, 6 Ball, 2x3 Layout, 0.4mm Pitch, YFF0006, NSMD pad definition, https://www.ti.com/lit/ds/symlink/lmg1020.pdf, https://www.ti.com/lit/ml/mxbg078z/mxbg078z.pdf -BGA 6 0.4 YFF0006 -0 -6 -6 -Package_BGA -Texas_DSBGA-6_0.855x1.255mm_Layout2x3_P0.4mm_LevelC -Texas Instruments, DSBGA, area grid, YBG pad definition, 0.95x1.488mm, 6 Ball, 2x3 Layout, 0.4mm Pitch, YFF0006, NSMD pad definition, https://www.ti.com/lit/ds/symlink/lmg1020.pdf, https://www.ti.com/lit/ml/mxbg078z/mxbg078z.pdf -BGA 6 0.4 YFF0006 -0 -6 -6 -Package_BGA -Texas_DSBGA-8_0.9x1.9mm_Layout2x4_P0.5mm -Texas Instruments, DSBGA, 0.9x1.9mm, 8 bump 2x4 (perimeter) array, NSMD pad definition (http://www.ti.com/lit/ds/symlink/txb0102.pdf, http://www.ti.com/lit/an/snva009ag/snva009ag.pdf) -Texas Instruments DSBGA BGA YZP R-XBGA-N8 -0 -16 -8 -Package_BGA -Texas_DSBGA-8_0.705x1.468mm_Layout2x4_P0.4mm -Texas Instruments, DSBGA, area grid, YBJ0008 pad definition, 1.468x0.705mm, 8 Ball, 2x4 Layout, 0.4mm Pitch, https://www.ti.com/lit/gpn/ina234 -BGA 8 0.4 -0 -8 -8 -Package_BGA -Texas_DSBGA-8_1.43x1.41mm_Layout3x3_P0.5mm -Texas Instruments, DSBGA, 1.43x1.41mm, 8 bump 3x3 (perimeter) array, NSMD pad definition (http://www.ti.com/lit/ds/symlink/lmc555.pdf, http://www.ti.com/lit/an/snva009ag/snva009ag.pdf) -Texas Instruments DSBGA BGA YZP R-XBGA-N8 -0 -16 -8 -Package_BGA -Texas_DSBGA-8_1.5195x1.5195mm_Layout3x3_P0.5mm -Texas Instruments, DSBGA, 1.5195x1.5195x0.600mm, 8 ball 3x3 area grid, YZR pad definition (http://www.ti.com/lit/ml/mxbg270/mxbg270.pdf) -BGA 8 0.5 -0 -8 -8 -Package_BGA -Texas_DSBGA-9_1.4715x1.4715mm_Layout3x3_P0.5mm -Texas Instruments, DSBGA, 1.4715x1.4715mm, 9 bump 3x3 array, NSMD pad definition (http://www.ti.com/lit/ds/symlink/lm4990.pdf, http://www.ti.com/lit/an/snva009ag/snva009ag.pdf) -Texas Instruments DSBGA BGA YZR0009 -0 -18 -9 -Package_BGA -Texas_DSBGA-10_1.36x1.86mm_Layout3x4_P0.5mm -Texas Instruments, DSBGA, area grid, YZP, YZP0010, 1.86x1.36mm, 10 Ball, 3x4 Layout, 0.5mm Pitch, http://www.ti.com/lit/ds/symlink/ts3a24159.pdf -BGA 10 0.5 -0 -20 -10 -Package_BGA -Texas_DSBGA-12_1.36x1.86mm_Layout3x4_P0.5mm -Texas Instruments, DSBGA, area grid, YZT, 1.86x1.36mm, 12 Ball, 3x4 Layout, 0.5mm Pitch, http://www.ti.com/lit/ds/symlink/txb0104.pdf, http://www.ti.com/lit/an/snva009ag/snva009ag.pdf -BGA 12 0.5 R-XBGA-N12 -0 -24 -12 -Package_BGA -Texas_DSBGA-16_2.39x2.39mm_Layout4x4_P0.5mm -Texas Instruments, DSBGA, area grid, YZF, YZF0016, 2.39x2.39mm, 16 Ball, 4x4 Layout, 0.5mm Pitch, https://www.ti.com/lit/ds/symlink/dac80508.pdf -BGA 16 0.5 -0 -32 -16 -Package_BGA -Texas_DSBGA-28_1.9x3.0mm_Layout4x7_P0.4mm -Texas Instruments, DSBGA, 3.0x1.9x0.625mm, 28 ball 7x4 area grid, NSMD pad definition (http://www.ti.com/lit/ds/symlink/bq51050b.pdf, http://www.ti.com/lit/an/snva009ag/snva009ag.pdf) -BGA 28 0.4 -0 -28 -28 -Package_BGA -Texas_DSBGA-49_3.33x3.488mm_Layout7x7_P0.4mm -Texas Instruments, DSBGA, 3.33x3.488x0.625mm, 49 ball 7x7 area grid, NSMD pad definition (http://www.ti.com/lit/ds/symlink/msp430f2234.pdf, http://www.ti.com/lit/an/snva009ag/snva009ag.pdf) -texas dsbga 49 -0 -49 -49 -Package_BGA -Texas_DSBGA-64_3.415x3.535mm_Layout8x8_P0.4mm -Texas Instruments, DSBGA, 3.415x3.535x0.625mm, 64 ball 8x8 area grid, NSMD pad definition (http://www.ti.com/lit/ds/slas718g/slas718g.pdf, http://www.ti.com/lit/an/snva009ag/snva009ag.pdf) -texas dsbga 64 -0 -64 -64 -Package_BGA -Texas_MicroStar_Junior_BGA-12_2.0x2.5mm_Layout4x3_P0.5mm -Texas Instruments, BGA Microstar Junior, 2x2.5mm, 12 bump 4x3 grid, NSMD pad definition (http://www.ti.com/lit/ds/symlink/txb0104.pdf, http://www.ti.com/lit/wp/ssyz015b/ssyz015b.pdf) -Texas_Junior_BGA-12 -0 -12 -12 -Package_BGA -Texas_MicroStar_Junior_BGA-80_5.0x5.0mm_Layout9x9_P0.5mm -Texas Instruments, BGA Microstar Junior, 5x5mm, 80 ball 9x9 grid, NSMD pad definition (http://www.ti.com/lit/ds/symlink/tlv320aic23b.pdf, http://www.ti.com/lit/wp/ssyz015b/ssyz015b.pdf) -Texas_Junior_BGA-80 -0 -80 -80 -Package_BGA -Texas_MicroStar_Junior_BGA-113_7.0x7.0mm_Layout12x12_P0.5mm -Texas Instruments, BGA Microstar Junior, NSMD, 7x7mm, 113 Ball, 12x12 Layout, 0.5mm Pitch, http://www.ti.com/lit/ml/mpbg674/mpbg674.pdf, http://www.ti.com/lit/wp/ssyz015b/ssyz015b.pdf -BGA 113 0.5 -0 -113 -113 -Package_BGA -UCBGA-36_2.5x2.5mm_Layout6x6_P0.4mm -UCBGA-36, 6x6 raster, 2.5x2.5mm package, pitch 0.4mm; https://www.latticesemi.com/view_document?document_id=213 -BGA 36 0.4 -0 -36 -36 -Package_BGA -UCBGA-49_3x3mm_Layout7x7_P0.4mm -UCBGA-49, 7x7 raster, 3x3mm package, pitch 0.4mm; https://www.latticesemi.com/view_document?document_id=213 -BGA 49 0.4 -0 -49 -49 -Package_BGA -UCBGA-81_4x4mm_Layout9x9_P0.4mm -UCBGA-81, 9x9 raster, 4x4mm package, pitch 0.4mm; https://www.latticesemi.com/view_document?document_id=213 -BGA 81 0.4 -0 -81 -81 -Package_BGA -UFBGA-15_3.0x3.0mm_Layout4x4_P0.65mm -UFBGA-15, 4x4, 3x3mm package, pitch 0.65mm -UFBGA-15 -0 -15 -15 -Package_BGA -UFBGA-32_4.0x4.0mm_Layout6x6_P0.5mm -UFBGA-32, 6x6, 4x4mm package, pitch 0.5mm -UFBGA-32 -0 -32 -32 -Package_BGA -UFBGA-64_5x5mm_Layout8x8_P0.5mm -UFBGA-64, 8x8 raster, 5x5mm package, pitch 0.5mm; see section 7.1 of http://www.st.com/resource/en/datasheet/stm32f051t8.pdf -BGA 64 0.5 -0 -64 -64 -Package_BGA -UFBGA-100_7x7mm_Layout12x12_P0.5mm -UFBGA-100, 12x12 raster, 7x7mm package, pitch 0.5mm; see section 7.1 of http://www.st.com/resource/en/datasheet/stm32f103tb.pdf -BGA 100 0.5 -0 -100 -100 -Package_BGA -UFBGA-132_7x7mm_Layout12x12_P0.5mm -UFBGA-132, 12x12 raster, 7x7mm package, pitch 0.5mm; see section 7.4 of http://www.st.com/resource/en/datasheet/stm32l152zc.pdf -BGA 132 0.5 -0 -132 -132 -Package_BGA -UFBGA-132_7x7mm_P0.5mm -UFBGA 132 Pins, 0.5mm Pitch, 0.3mm Ball, http://www.st.com/resource/en/datasheet/stm32l486qg.pdf -ufbga bga small-pitch -0 -132 -132 -Package_BGA -UFBGA-144_7x7mm_Layout12x12_P0.5mm -UFBGA-144, 12x12 raster, 7x7mm package, pitch 0.5mm; see section 7.4 of http://www.st.com/resource/en/datasheet/stm32f446ze.pdf -BGA 144 0.5 -0 -144 -144 -Package_BGA -UFBGA-144_10x10mm_Layout12x12_P0.8mm -UFBGA-144, 12x12 raster, 10x10mm package, pitch 0.8mm; see section 7.5 of http://www.st.com/resource/en/datasheet/stm32f446ze.pdf -BGA 144 0.8 -0 -144 -144 -Package_BGA -UFBGA-169_7x7mm_Layout13x13_P0.5mm -UFBGA-169, 13x13 raster, 7x7mm package, pitch 0.5mm; see section 7.6 of http://www.st.com/resource/en/datasheet/stm32f429ng.pdf -BGA 169 0.5 -0 -169 -169 -Package_BGA -UFBGA-201_10x10mm_Layout15x15_P0.65mm -UFBGA-201, 15x15 raster, 10x10mm package, pitch 0.65mm; see section 7.6 of http://www.st.com/resource/en/datasheet/stm32f207vg.pdf -BGA 201 0.65 -0 -201 -201 -Package_BGA -VFBGA-49_5.0x5.0mm_Layout7x7_P0.65mm -VFBGA-49, 7x7, 5x5mm package, pitch 0.65mm -VFBGA-49 -0 -49 -49 -Package_BGA -VFBGA-86_6x6mm_Layout10x10_P0.55mm_Ball0.25mm_Pad0.2mm -VFBGA-86, 6.0x6.0mm, 86 Ball, 10x10 Layout, 0.55mm Pitch, https://www.dialog-semiconductor.com/sites/default/files/da1469x_datasheet_3v1.pdf#page=740 -BGA 86 0.55 -0 -86 -86 -Package_BGA -VFBGA-100_7.0x7.0mm_Layout10x10_P0.65mm -VFBGA-100, 10x10, 7x7mm package, pitch 0.65mm -VFBGA-100 -0 -100 -100 -Package_BGA -WLP-4_0.73x0.73mm_Layout2x2_P0.35mm_Ball0.22mm_Pad0.2mm_NSMD -WLP-4, 2x2 raster, 0.73x0.73mm package, pitch 0.35mm; https://datasheets.maximintegrated.com/en/ds/MAX40200.pdf -BGA 4 0.35 -0 -4 -4 -Package_BGA -WLP-4_0.83x0.83mm_P0.4mm -WLP-4_0.83x0.83mm_P0.4mm https://pdfserv.maximintegrated.com/package_dwgs/21-100107.PDF, https://www.maximintegrated.com/en/app-notes/index.mvp/id/1891 -WLP-4 -0 -4 -4 -Package_BGA -WLP-4_0.86x0.86mm_P0.4mm -WLP-4_0.86x0.86mm_P0.4mm https://pdfserv.maximintegrated.com/package_dwgs/21-0612.PDF, https://www.maximintegrated.com/en/app-notes/index.mvp/id/1891 -WLP-4 -0 -4 -4 -Package_BGA -WLP-9_1.448x1.468mm_Layout3x3_P0.4mm_Ball0.27mm_Pad0.25mm -WLP-9, 1.448x1.468mm, 9 Ball, 3x3 Layout, 0.4mm Pitch, https://pdfserv.maximintegrated.com/package_dwgs/21-100168.PDF -BGA 9 0.4 -0 -9 -9 -Package_BGA -XBGA-121_10x10mm_Layout11x11_P0.8mm -XBGA-121, 11x11 raster, 10x10mm package, pitch 0.6mm; http://ww1.microchip.com/downloads/en/DeviceDoc/39969b.pdf -BGA 121 0.8 -0 -121 -121 -Package_BGA -XFBGA-36_3.5x3.5mm_Layout6x6_P0.5mm -XFBGA-36, https://www.nxp.com/docs/en/package-information/SOT1555-1.pdf -XFBGA-36 -0 -36 -36 -Package_BGA -XFBGA-64_5.0x5.0mm_Layout8x8_P0.5mm -XFBGA-64, https://www.nxp.com/docs/en/package-information/SOT1555-1.pdf -XFBGA-64 -0 -64 -64 -Package_BGA -XFBGA-121_8x8mm_Layout11x11_P0.65mm -XFBGA-121, https://www.nxp.com/docs/en/package-information/SOT1533-1.pdf -XFBGA-121 -0 -121 -121 -Package_BGA -Xilinx_CLG225 -Zynq-7000 BGA, 15x15 grid, 13x13mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=77, NSMD pad definition Appendix A -BGA 225 0.8 CLG225 -0 -225 -225 -Package_BGA -Xilinx_CLG400 -Zynq-7000 BGA, 20x20 grid, 17x17mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=78, NSMD pad definition Appendix A -BGA 400 0.8 CLG400 CL400 -0 -400 -400 -Package_BGA -Xilinx_CLG484_CLG485 -Zynq-7000 BGA, 22x22 grid, 19x19mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=79, NSMD pad definition Appendix A -BGA 484 0.8 CLG484 CL484 CLG485 CL485 -0 -484 -484 -Package_BGA -Xilinx_CPG236 -Artix-7 BGA, 19x19 grid, 10x10mm package, 0.5mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=266, NSMD pad definition Appendix A -BGA 238 0.5 CP236 CPG236 -0 -238 -238 -Package_BGA -Xilinx_CPG238 -Artix-7 BGA, 19x19 grid, 10x10mm package, 0.5mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=267, NSMD pad definition Appendix A -BGA 238 0.5 CPG238 -0 -238 -238 -Package_BGA -Xilinx_CPGA196 -Spartan-7 BGA, 14x14 grid, 8x8mm package, 0.5mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=260, NSMD pad definition Appendix A -BGA 196 0.5 CPGA196 -0 -196 -196 -Package_BGA -Xilinx_CSG324 -Artix-7 BGA, 18x18 grid, 15x15mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=268, NSMD pad definition Appendix A -BGA 324 0.8 CS324 CSG324 -0 -324 -324 -Package_BGA -Xilinx_CSG325 -Artix-7 BGA, 18x18 grid, 15x15mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=268, NSMD pad definition Appendix A -BGA 324 0.8 CS325 CSG235 -0 -324 -324 -Package_BGA -Xilinx_CSGA225 -Spartan-7 BGA, 15x15 grid, 13x13mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=262, NSMD pad definition Appendix A -BGA 225 0.8 CSGA225 -0 -225 -225 -Package_BGA -Xilinx_CSGA324 -Spartan-7 BGA, 18x18 grid, 15x15mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=263, NSMD pad definition Appendix A -BGA 324 0.8 CSGA324 -0 -324 -324 -Package_BGA -Xilinx_FBG484 -Artix-7, Kintex-7 and Zynq-7000 BGA, 22x22 grid, 23x23mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=271, ttps://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=281, https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=82, NSMD pad definition Appendix A -BGA 484 1 FB484 FBG484 FBV484 -0 -484 -484 -Package_BGA -Xilinx_FBG676 -Artix-7, Kintex-7 and Zynq-7000 BGA, 26x26 grid, 27x27mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=273, https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=284, https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=84, NSMD pad definition Appendix A -BGA 676 1 FB676 FBG676 FBV676 -0 -676 -676 -Package_BGA -Xilinx_FBG900 -Kintex-7 BGA, 30x30 grid, 31x31mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=289, NSMD pad definition Appendix A -BGA 900 1 FB900 FBG900 FBV900 -0 -900 -900 -Package_BGA -Xilinx_FFG676 -Kintex-7 and Zynq-7000 BGA, 26x26 grid, 27x27mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=292, https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=88, NSMD pad definition Appendix A -BGA 676 1 FF676 FFG676 FFV676 -0 -676 -676 -Package_BGA -Xilinx_FFG900_FFG901 -Kintex-7 and Zynq-7000 BGA, 30x30 grid, 31x31mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=294, https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=90, NSMD pad definition Appendix A -BGA 900 1 FF900 FFG900 FFV900 FF901 FFG901 FFV901 -0 -900 -900 -Package_BGA -Xilinx_FFG1156 -Artix-7, Kintex-7 and Zynq-7000 BGA, 34x34 grid, 35x35mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=277, https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=296, https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=91, NSMD pad definition Appendix A -BGA 1156 1 FF1156 FFG1156 FFV1156 -0 -1156 -1156 -Package_BGA -Xilinx_FFG1157_FFG1158 -Virtex-7 BGA, 34x34 grid, 35x35mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=299, NSMD pad definition Appendix A -BGA 1156 1 FF1157 FFG1157 FFV1157 FF1158 FFG1158 FFV1158 -0 -1156 -1156 -Package_BGA -Xilinx_FFG1761 -Virtex-7 BGA, 42x42 grid, 42.5x42.5mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=300, NSMD pad definition Appendix A -BGA 1760 1 FF1761 FFG1761 -0 -1760 -1760 -Package_BGA -Xilinx_FFG1926_FFG1927_FFG1928_FFG1930 -Virtex-7 BGA, 44x44 grid, 45x45mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=303, NSMD pad definition Appendix A -BGA 1924 1 FF1926 FFG1926 FF1927 FFG1927 FFV1927 FF1928 FFG1928 FF1930 FFG1930 -0 -1924 -1924 -Package_BGA -Xilinx_FFV1761 -Virtex-7 BGA, 42x42 grid, 42.5x42.5mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=301, NSMD pad definition Appendix A -BGA 1760 1 FFV1761 -0 -1760 -1760 -Package_BGA -Xilinx_FGG484 -Artix-7 BGA, 22x22 grid, 23x23mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=275, NSMD pad definition Appendix A -BGA 484 1 FG484 FGG484 -0 -484 -484 -Package_BGA -Xilinx_FGG676 -Artix-7 BGA, 26x26 grid, 27x27mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=276, NSMD pad definition Appendix A -BGA 676 1 FG676 FGG676 -0 -676 -676 -Package_BGA -Xilinx_FGGA484 -Spartan-7 BGA, 22x22 grid, 23x23mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=264, NSMD pad definition Appendix A -BGA 484 1 FGGA484 -0 -484 -484 -Package_BGA -Xilinx_FGGA676 -Spartan-7 BGA, 26x26 grid, 27x27mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=265, NSMD pad definition Appendix A -BGA 676 1 FGGA676 -0 -676 -676 -Package_BGA -Xilinx_FHG1761 -Virtex-7 BGA, 42x42 grid, 45x45mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=302, NSMD pad definition Appendix A -BGA 1760 1 FH1761 FHG1761 -0 -1760 -1760 -Package_BGA -Xilinx_FLG1925_FLG1926_FLG1928_FLG1930 -Virtex-7 BGA, 44x44 grid, 45x45mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=304, NSMD pad definition Appendix A -BGA 1924 1 FL1925 FLG1925 FL1926 FLG1926 FL1928 FLG1928 FL1930 FLG1930 -0 -1924 -1924 -Package_BGA -Xilinx_FTG256 -Artix-7 BGA, 16x16 grid, 17x17mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=269, NSMD pad definition Appendix A -BGA 256 1 FT256 FTG256 -0 -256 -256 -Package_BGA -Xilinx_FTGB196 -Spartan-7 BGA, 14x14 grid, 15x15mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=261, NSMD pad definition Appendix A -BGA 196 1 FTGB196 -0 -196 -196 -Package_BGA -Xilinx_RB484 -Artix-7 and Zynq-7000 BGA, 22x22 grid, 23x23mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=278, https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=92, NSMD pad definition Appendix A -BGA 484 1 RB484 -0 -484 -484 -Package_BGA -Xilinx_RB676 -Artix-7 BGA, 26x26 grid, 27x27mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=280, NSMD pad definition Appendix A -BGA 676 1 RB676 -0 -676 -676 -Package_BGA -Xilinx_RF676 -Kintex-7 BGA, 26x26 grid, 27x27mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=297, NSMD pad definition Appendix A -BGA 676 1 RF676 -0 -676 -676 -Package_BGA -Xilinx_RF900 -Kintex-7 and Zynq-7000 BGA, 30x30 grid, 31x31mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=298, https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=94, NSMD pad definition Appendix A -BGA 900 1 RF900 -0 -900 -900 -Package_BGA -Xilinx_RF1156 -Zynq-7000 BGA, 34x34 grid, 35x35mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=95, NSMD pad definition Appendix A -BGA 1156 1 RF1156 -0 -1156 -1156 -Package_BGA -Xilinx_RF1157_RF1158 -Virtex-7 BGA, 34x34 grid, 35x35mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=305, NSMD pad definition Appendix A -BGA 1156 1 RF1157 RF1158 -0 -1156 -1156 -Package_BGA -Xilinx_RF1761 -Virtex-7 BGA, 42x42 grid, 42.5x42.5mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=306, NSMD pad definition Appendix A -BGA 1760 1 RF1761 -0 -1760 -1760 -Package_BGA -Xilinx_RF1930 -Virtex-7 BGA, 44x44 grid, 45x45mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=307, NSMD pad definition Appendix A -BGA 1924 1 RF1930 -0 -1924 -1924 -Package_BGA -Xilinx_RFG676 -Zynq-7000 BGA, 26x26 grid, 27x27mm package, 1mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=93, NSMD pad definition Appendix A -BGA 676 1 RF676 RFG676 -0 -676 -676 -Package_BGA -Xilinx_RS484 -Artix-7 BGA, 22x22 grid, 19x19mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=279, NSMD pad definition Appendix A -BGA 484 0.8 RS484 -0 -484 -484 -Package_BGA -Xilinx_SBG484 -Artix-7 BGA, 22x22 grid, 19x19mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=270, NSMD pad definition Appendix A -BGA 484 0.8 SB484 SBG484 SBV484 -0 -484 -484 -Package_BGA -Xilinx_SBG485 -Zynq-7000 BGA, 22x22 grid, 19x19mm package, 0.8mm pitch; https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=80, NSMD pad definition Appendix A -BGA 484 0.8 SBG485 SBV485 -0 -484 -484 -Package_CSP -Analog_LFCSP-8-1EP_3x3mm_P0.5mm_EP1.53x1.85mm -LFCSP, exposed pad, Analog Devices (http://www.analog.com/media/en/technical-documentation/data-sheets/ADL5542.pdf) -LFCSP 8 0.5 -0 -12 -5 -Package_CSP -Analog_LFCSP-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm -Analog LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_16_23.pdf, CP-16-23), generated with kicad-footprint-generator ipc_noLead_generator.py -Analog LFCSP NoLead -0 -21 -17 -Package_CSP -Analog_LFCSP-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm_ThermalVias -Analog LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_16_23.pdf, CP-16-23), generated with kicad-footprint-generator ipc_noLead_generator.py -Analog LFCSP NoLead -0 -31 -17 -Package_CSP -Analog_LFCSP-16-1EP_4x4mm_P0.65mm_EP2.35x2.35mm -Analog LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_16_20.pdf, CP-16-20), generated with kicad-footprint-generator ipc_noLead_generator.py -Analog LFCSP NoLead -0 -21 -17 -Package_CSP -Analog_LFCSP-16-1EP_4x4mm_P0.65mm_EP2.35x2.35mm_ThermalVias -Analog LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_16_20.pdf, CP-16-20), generated with kicad-footprint-generator ipc_noLead_generator.py -Analog LFCSP NoLead -0 -31 -17 -Package_CSP -Analog_LFCSP-UQ-10_1.3x1.6mm_P0.4mm -Analog LFCSP-UQ, 10 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_10_10.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Analog LFCSP-UQ NoLead -0 -10 -10 -Package_CSP -DiodesInc_GEA20_WLCSP-20_1.70x2.10mm_Layout4x5_P0.4mm -Diodes Incorporated WLCSP-20, GEA20, 1.7x2.1mm, 20 Ball, 4x5 Layout, 0.4mm Pitch, https://www.diodes.com/assets/Package-Files/GEA20.pdf -CSP 20 0.4 -0 -20 -20 -Package_CSP -Efinix_WLCSP-64_3.5353x3.3753mm_Layout8x8_P0.4mm -Efinix WLCSP, NSMD pad definition Page 60, 3.5353x3.3753mm, 64 Ball, 8x8 Layout, 0.4mm Pitch, https://www.efinixinc.com/docs/titanium-packaging-ug-v5.2.pdf -CSP 64 0.4 64-ball WLCSP -0 -64 -64 -Package_CSP -LFCSP-6-1EP_2x2mm_P0.65mm_EP1x1.6mm -LFCSP, 6 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_6_3.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -11 -7 -Package_CSP -LFCSP-8-1EP_3x2mm_P0.5mm_EP1.6x1.65mm -LFCSP 8pin Pitch 0.5mm, http://www.analog.com/media/en/package-pcb-resources/package/57080735642908cp_8_4.pdf -LFCSP 8pin thermal pad 3x2mm Pitch 0.5mm -0 -9 -9 -Package_CSP -LFCSP-8-1EP_3x3mm_P0.5mm_EP1.6x2.34mm -LFCSP, 8 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/CP_8_11.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -13 -9 -Package_CSP -LFCSP-8-1EP_3x3mm_P0.5mm_EP1.6x2.34mm_ThermalVias -LFCSP, 8 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/CP_8_11.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -20 -9 -Package_CSP -LFCSP-8-1EP_3x3mm_P0.5mm_EP1.45x1.74mm -LFCSP, 8 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-8/CP_8_13.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -13 -9 -Package_CSP -LFCSP-8_2x2mm_P0.5mm -LFCSP 8pin Pitch 0.5mm, http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_8_6.pdf -LFCSP 8pin 2x2mm Pitch 0.5mm -0 -8 -8 -Package_CSP -LFCSP-16-1EP_3x3mm_P0.5mm_EP1.3x1.3mm -LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-16/CP_16_21.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -21 -17 -Package_CSP -LFCSP-16-1EP_3x3mm_P0.5mm_EP1.3x1.3mm_ThermalVias -LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-16/CP_16_21.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -26 -17 -Package_CSP -LFCSP-16-1EP_3x3mm_P0.5mm_EP1.6x1.6mm -LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-16/CP_16_22.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -21 -17 -Package_CSP -LFCSP-16-1EP_3x3mm_P0.5mm_EP1.6x1.6mm_ThermalVias -LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-16/CP_16_22.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -26 -17 -Package_CSP -LFCSP-16-1EP_3x3mm_P0.5mm_EP1.7x1.7mm -LFCSP, 16 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/HMC7992.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -21 -17 -Package_CSP -LFCSP-16-1EP_3x3mm_P0.5mm_EP1.7x1.7mm_ThermalVias -LFCSP, 16 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/HMC7992.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -26 -17 -Package_CSP -LFCSP-16-1EP_3x3mm_P0.5mm_EP1.854x1.854mm -16-Lead Lead Frame Chip Scale Package, 3x3mm, 0.5mm pitch, 1.854mm thermal pad (CP-16-22, http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_16_22.pdf) -LFCSP 16 0.5 -0 -21 -17 -Package_CSP -LFCSP-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm -LFCSP, 16 pin, 4x4mm, 2.1mm sq pad (http://www.analog.com/media/en/technical-documentation/data-sheets/ADG633.pdf) -LFCSP 16 0.65 -0 -21 -17 -Package_CSP -LFCSP-16-1EP_4x4mm_P0.65mm_EP2.4x2.4mm -LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-16/cp-16-40.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -21 -17 -Package_CSP -LFCSP-16-1EP_4x4mm_P0.65mm_EP2.4x2.4mm_ThermalVias -LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-16/cp-16-40.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -31 -17 -Package_CSP -LFCSP-16-1EP_4x4mm_P0.65mm_EP2.6x2.6mm -LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_16_17.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -21 -17 -Package_CSP -LFCSP-16-1EP_4x4mm_P0.65mm_EP2.6x2.6mm_ThermalVias -LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_16_17.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -31 -17 -Package_CSP -LFCSP-16_3x3mm_P0.5mm -LFCSP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-16/CP_16_32.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -16 -16 -Package_CSP -LFCSP-20-1EP_4x4mm_P0.5mm_EP2.1x2.1mm -20-Lead Frame Chip Scale Package - 4x4x0.9 mm Body [LFCSP], (see http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_20_6.pdf) -LFCSP 0.5 -0 -25 -21 -Package_CSP -LFCSP-20-1EP_4x4mm_P0.5mm_EP2.5x2.5mm -LFCSP, 20 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/AD7682_7689.pdf), generated with kicad-footprint-generator ipc_dfn_qfn_generator.py -LFCSP DFN_QFN -0 -25 -21 -Package_CSP -LFCSP-20-1EP_4x4mm_P0.5mm_EP2.5x2.5mm_ThermalVias -LFCSP, 20 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/AD7682_7689.pdf), generated with kicad-footprint-generator ipc_dfn_qfn_generator.py -LFCSP DFN_QFN -0 -35 -21 -Package_CSP -LFCSP-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm -LFCSP, 20 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-20/CP_20_8.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -25 -21 -Package_CSP -LFCSP-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias -LFCSP, 20 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-20/CP_20_8.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -35 -21 -Package_CSP -LFCSP-24-1EP_4x4mm_P0.5mm_EP2.3x2.3mm -LFCSP, 24 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_24_14.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -29 -25 -Package_CSP -LFCSP-24-1EP_4x4mm_P0.5mm_EP2.3x2.3mm_ThermalVias -LFCSP, 24 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_24_14.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -39 -25 -Package_CSP -LFCSP-24-1EP_4x4mm_P0.5mm_EP2.5x2.5mm -LFCSP, 24 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_24_7.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -29 -25 -Package_CSP -LFCSP-24-1EP_4x4mm_P0.5mm_EP2.5x2.5mm_ThermalVias -LFCSP, 24 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_24_7.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -39 -25 -Package_CSP -LFCSP-28-1EP_5x5mm_P0.5mm_EP3.14x3.14mm -LFCSP, 28 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-28/CP_28_10.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -33 -29 -Package_CSP -LFCSP-28-1EP_5x5mm_P0.5mm_EP3.14x3.14mm_ThermalVias -LFCSP, 28 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-28/CP_28_10.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -43 -29 -Package_CSP -LFCSP-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm -LFCSP, 32 Pin (https://www.analog.com/media/en/package-pcb-resources/package/414143737956480539664569cp_32_2.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -42 -33 -Package_CSP -LFCSP-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm_ThermalVias -LFCSP, 32 Pin (https://www.analog.com/media/en/package-pcb-resources/package/414143737956480539664569cp_32_2.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -59 -33 -Package_CSP -LFCSP-32-1EP_5x5mm_P0.5mm_EP3.5x3.5mm -LFCSP, 32 Pin (https://www.analog.com/media/en/package-pcb-resources/package/3416438741201015623cp_32_4.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -37 -33 -Package_CSP -LFCSP-32-1EP_5x5mm_P0.5mm_EP3.5x3.5mm_ThermalVias -LFCSP, 32 Pin (https://www.analog.com/media/en/package-pcb-resources/package/3416438741201015623cp_32_4.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -47 -33 -Package_CSP -LFCSP-32-1EP_5x5mm_P0.5mm_EP3.6x3.6mm -LFCSP, 32 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ADV7280.PDF#page=28), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -37 -33 -Package_CSP -LFCSP-32-1EP_5x5mm_P0.5mm_EP3.6x3.6mm_ThermalVias -LFCSP, 32 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ADV7280.PDF#page=28), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -47 -33 -Package_CSP -LFCSP-32-1EP_5x5mm_P0.5mm_EP3.25x3.25mm -32-Lead Frame Chip Scale Package LFCSP (5mm x 5mm); (see http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-32/CP_32_27.pdf -LFCSP 0.5 -0 -37 -33 -Package_CSP -LFCSP-40-1EP_6x6mm_P0.5mm_EP3.9x3.9mm -LFCSP, 40 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_40_14.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -45 -41 -Package_CSP -LFCSP-40-1EP_6x6mm_P0.5mm_EP3.9x3.9mm_ThermalVias -LFCSP, 40 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_40_14.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -55 -41 -Package_CSP -LFCSP-40-1EP_6x6mm_P0.5mm_EP4.6x4.6mm -LFCSP, 40 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-40/CP_40_15.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -45 -41 -Package_CSP -LFCSP-40-1EP_6x6mm_P0.5mm_EP4.6x4.6mm_ThermalVias -LFCSP, 40 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-40/CP_40_15.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -55 -41 -Package_CSP -LFCSP-40-1EP_6x6mm_P0.5mm_EP4.65x4.65mm -LFCSP, 40 Pin (https://www.jedec.org/system/files/docs/MO-220K01.pdf (variation VJJD-5)), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -45 -41 -Package_CSP -LFCSP-40-1EP_6x6mm_P0.5mm_EP4.65x4.65mm_ThermalVias -LFCSP, 40 Pin (https://www.jedec.org/system/files/docs/MO-220K01.pdf (variation VJJD-5)), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -55 -41 -Package_CSP -LFCSP-48-1EP_7x7mm_P0.5mm_EP4.1x4.1mm -LFCSP, 48 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_48_5.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -58 -49 -Package_CSP -LFCSP-48-1EP_7x7mm_P0.5mm_EP4.1x4.1mm_ThermalVias -LFCSP, 48 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_48_5.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -75 -49 -Package_CSP -LFCSP-64-1EP_9x9mm_P0.5mm_EP5.21x5.21mm -LFCSP, 64 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_64_7.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -69 -65 -Package_CSP -LFCSP-64-1EP_9x9mm_P0.5mm_EP5.21x5.21mm_ThermalVias -LFCSP, 64 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_64_7.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -86 -65 -Package_CSP -LFCSP-72-1EP_10x10mm_P0.5mm_EP5.3x5.3mm -LFCSP, 72 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/ADAU1452_1451_1450.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -82 -73 -Package_CSP -LFCSP-72-1EP_10x10mm_P0.5mm_EP5.3x5.3mm_ThermalVias -LFCSP, 72 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/ADAU1452_1451_1450.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP NoLead -0 -99 -73 -Package_CSP -LFCSP-72-1EP_10x10mm_P0.5mm_EP6.15x6.15mm -72-Lead Frame Chip Scale Package - 10x10x0.9 mm Body [LFCSP]; (see https://www.intersil.com/content/dam/Intersil/documents/l72_/l72.10x10c.pdf) -LFCSP 0.5 -0 -77 -73 -Package_CSP -LFCSP-VQ-24-1EP_4x4mm_P0.5mm_EP2.642x2.642mm -LFCSP VQ, 24 pin, exposed pad, 4x4mm body, pitch 0.5mm (http://www.analog.com/media/en/package-pcb-resources/package/56702234806764cp_24_3.pdf, http://www.analog.com/media/en/technical-documentation/data-sheets/ADL5801.pdf) -LFCSP 0.5 -0 -29 -25 -Package_CSP -LFCSP-VQ-48-1EP_7x7mm_P0.5mm -LFCSP VQ, 48 pin, exposed pad, 7x7mm body (http://www.analog.com/media/en/technical-documentation/data-sheets/AD7951.pdf, http://www.analog.com/en/design-center/packaging-quality-symbols-footprints/symbols-and-footprints/AD7951.html) -LFCSP 48 -0 -49 -49 -Package_CSP -LFCSP-WD-8-1EP_3x3mm_P0.65mm_EP1.6x2.44mm -LFCSP-WD, 8 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/CP_8_19.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP-WD NoLead -0 -15 -9 -Package_CSP -LFCSP-WD-8-1EP_3x3mm_P0.65mm_EP1.6x2.44mm_ThermalVias -LFCSP-WD, 8 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/CP_8_19.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP-WD NoLead -0 -22 -9 -Package_CSP -LFCSP-WD-10-1EP_3x3mm_P0.5mm_EP1.64x2.38mm -LFCSP-WD, 10 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-10/CP_10_9.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP-WD NoLead -0 -17 -11 -Package_CSP -LFCSP-WD-10-1EP_3x3mm_P0.5mm_EP1.64x2.38mm_ThermalVias -LFCSP-WD, 10 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-10/CP_10_9.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LFCSP-WD NoLead -0 -24 -11 -Package_CSP -Maxim_WLCSP-35_3.0x2.17mm_Layout7x5_P0.4mm_Ball0.27mm_Pad0.25mm -WLCSP-35, 2.168x2.998mm, 35 Ball, 7x5 Layout, 0.4mm Pitch, https://pdfserv.maximintegrated.com/package_dwgs/21-100489.PDF -CSP 35 0.4 -0 -35 -35 -Package_CSP -Nexperia_WLCSP-15_6-3-6_2.37x1.17mm_Layout6x3_P0.4mm -Nexperia wafer level chip-size package; 15 bumps (6-3-6), 2.37x1.17mm, 15 Ball, 6x3 Layout, 0.4mm Pitch, https://assets.nexperia.com/documents/data-sheet/PCMFXUSB3S_SER.pdf -CSP 15 0.4 -0 -15 -15 -Package_CSP -ST_WLCSP-18_1.86x2.14mm_P0.4mm_Stagger -ST WLCSP-18, ST Die ID 466, 1.86x2.14mm, 18 Ball, X-staggered 7x5 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32g031y8.pdf -CSP 18 0.4 -0 -18 -18 -Package_CSP -ST_WLCSP-20_1.94x2.40mm_Layout4x5_P0.4mm -ST WLCSP-20, ST die ID 456, 1.94x2.4mm, 20 Ball, 4x5 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32g051f8.pdf#page=102 -CSP 20 0.4 -0 -20 -20 -Package_CSP -ST_WLCSP-25_2.30x2.48mm_Layout5x5_P0.4mm -ST WLCSP-25, ST die ID 460, 2.3x2.48mm, 25 Ball, 5x5 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32g071eb.pdf -CSP 25 0.4 -0 -25 -25 -Package_CSP -ST_WLCSP-25_Die425 -WLCSP-25, 5x5 raster, 2.097x2.493mm package, pitch 0.4mm; see section 7.6 of http://www.st.com/resource/en/datasheet/stm32l031f6.pdf -BGA 25 0.4 -0 -25 -25 -Package_CSP -ST_WLCSP-25_Die444 -WLCSP-25, 5x5 raster, 2.423x2.325mm package, pitch 0.4mm; see section 7.5 of http://www.st.com/resource/en/datasheet/stm32f031k6.pdf -BGA 25 0.4 -0 -25 -25 -Package_CSP -ST_WLCSP-25_Die457 -WLCSP-25, 5x5 raster, 2.133x2.070mm package, pitch 0.4mm; see section 7.3 of http://www.st.com/resource/en/datasheet/stm32l011k3.pdf -BGA 25 0.4 -0 -25 -25 -Package_CSP -ST_WLCSP-36_2.58x3.07mm_Layout6x6_P0.4mm -ST WLCSP-36, ST die ID 464, 2.58x3.07mm, 36 Ball, 6x6 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32l412t8.pdf -CSP 36 0.4 -0 -36 -36 -Package_CSP -ST_WLCSP-36_Die417 -WLCSP-36, 6x6 raster, 2.61x2.88mm package, pitch 0.4mm; see section 7.4 of http://www.st.com/resource/en/datasheet/stm32l052t8.pdf -BGA 36 0.4 -0 -36 -36 -Package_CSP -ST_WLCSP-36_Die440 -WLCSP-36, 6x6 raster, 2.605x2.703mm package, pitch 0.4mm; see section 7.5 of http://www.st.com/resource/en/datasheet/stm32f051t8.pdf -BGA 36 0.4 -0 -36 -36 -Package_CSP -ST_WLCSP-36_Die445 -WLCSP-36, 6x6 raster, 2.605x2.703mm package, pitch 0.4mm; see section 7.3 of http://www.st.com/resource/en/datasheet/stm32f042k6.pdf -BGA 36 0.4 -0 -36 -36 -Package_CSP -ST_WLCSP-36_Die458 -WLCSP-36, 6x6 raster, 2.553x2.579mm package, pitch 0.4mm; see section 7.1 of http://www.st.com/resource/en/datasheet/stm32f410t8.pdf -BGA 36 0.4 -0 -36 -36 -Package_CSP -ST_WLCSP-49_3.15x3.13mm_Layout7x7_P0.4mm -ST WLCSP-49, ST die ID 468, 3.15x3.13mm, 49 Ball, 7x7 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32g431c6.pdf -CSP 49 0.4 -0 -49 -49 -Package_CSP -ST_WLCSP-49_3.30x3.38mm_Layout7x7_P0.4mm_Offcenter -ST WLCSP-49, off-center ball grid, ST die ID 494, 3.3x3.38mm, 49 Ball, 7x7 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32wb15cc.pdf#page=119 -CSP 49 0.4 -0 -49 -49 -Package_CSP -ST_WLCSP-49_Die423 -WLCSP-49, 7x7 raster, 2.965x2.965mm package, pitch 0.4mm; see section 7.1 of http://www.st.com/resource/en/datasheet/stm32f401vc.pdf -BGA 49 0.4 -0 -49 -49 -Package_CSP -ST_WLCSP-49_Die431 -WLCSP-49, 7x7 raster, 2.999x3.185mm package, pitch 0.4mm; see section 7.1 of http://www.st.com/resource/en/datasheet/stm32f411vc.pdf -BGA 49 0.4 -0 -49 -49 -Package_CSP -ST_WLCSP-49_Die433 -WLCSP-49, 7x7 raster, 3.029x3.029mm package, pitch 0.4mm; see section 7.1.1 of http://www.st.com/resource/en/datasheet/stm32f401ce.pdf -BGA 49 0.4 -0 -49 -49 -Package_CSP -ST_WLCSP-49_Die435 -WLCSP-49, 7x7 raster, 3.141x3.127mm package, pitch 0.4mm; see section 7.6 of http://www.st.com/resource/en/datasheet/DM00257211.pdf -BGA 49 0.4 -0 -49 -49 -Package_CSP -ST_WLCSP-49_Die438 -WLCSP-49, 7x7 raster, 3.89x3.74mm package, pitch 0.5mm; see section 7.5 of http://www.st.com/resource/en/datasheet/stm32f303r8.pdf -BGA 49 0.5 -0 -49 -49 -Package_CSP -ST_WLCSP-49_Die439 -WLCSP-49, 7x7 raster, 3.417x3.151mm package, pitch 0.4mm; see section 7.1 of http://www.st.com/resource/en/datasheet/stm32f301r8.pdf -BGA 49 0.4 -0 -49 -49 -Package_CSP -ST_WLCSP-49_Die447 -WLCSP-49, 7x7 raster, 3.294x3.258mm package, pitch 0.4mm; see section 7.6 of http://www.st.com/resource/en/datasheet/stm32l072kz.pdf -BGA 49 0.4 -0 -49 -49 -Package_CSP -ST_WLCSP-49_Die448 -WLCSP-49, 7x7 raster, 3.277x3.109mm package, pitch 0.4mm; see section 7.4 of http://www.st.com/resource/en/datasheet/stm32f071v8.pdf -BGA 49 0.4 -0 -49 -49 -Package_CSP -ST_WLCSP-52_3.09x3.15mm_P0.4mm_Stagger -ST WLCSP-52, ST die ID 467, 3.09x3.15mm, 52 Ball, X-staggered 13x8 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32g0b1ne.pdf#page=136 -CSP 52 0.4 -0 -52 -52 -Package_CSP -ST_WLCSP-63_Die427 -WLCSP-63, 7x9 raster, 3.228x4.164mm package, pitch 0.4mm; see section 7.6 of http://www.st.com/resource/en/datasheet/stm32l151cc.pdf -BGA 63 0.4 -0 -63 -63 -Package_CSP -ST_WLCSP-64_3.56x3.52mm_Layout8x8_P0.4mm -ST WLCSP-64, ST die ID 479, 3.56x3.52mm, 64 Ball, 8x8 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32g491re.pdf -CSP 64 0.4 -0 -64 -64 -Package_CSP -ST_WLCSP-64_Die414 -WLCSP-64, 8x8 raster, 4.466x4.395mm package, pitch 0.5mm; see section 6.3 of http://www.st.com/resource/en/datasheet/stm32f103ze.pdf -BGA 64 0.5 -0 -64 -64 -Package_CSP -ST_WLCSP-64_Die427 -WLCSP-64, 8x8 raster, 4.539x4.911mm package, pitch 0.4mm; see section 7.5 of http://www.st.com/resource/en/datasheet/stm32l152zc.pdf -BGA 64 0.4 -0 -64 -64 -Package_CSP -ST_WLCSP-64_Die435 -WLCSP-64, 8x8 raster, 3.141x3.127mm package, pitch 0.35mm; see section 7.5 of http://www.st.com/resource/en/datasheet/DM00257211.pdf -BGA 64 0.35 -0 -64 -64 -Package_CSP -ST_WLCSP-64_Die436 -WLCSP-64, 8x8 raster, 4.539x4.911mm package, pitch 0.4mm; see section 7.5 of http://www.st.com/resource/en/datasheet/stm32l152zd.pdf -BGA 64 0.4 -0 -64 -64 -Package_CSP -ST_WLCSP-64_Die441 -WLCSP-64, 8x8 raster, 3.623x3.651mm package, pitch 0.4mm; see section 7.1 of http://www.st.com/resource/en/datasheet/DM00213872.pdf -BGA 64 0.4 -0 -64 -64 -Package_CSP -ST_WLCSP-64_Die442 -WLCSP-64, 8x8 raster, 3.347x3.585mm package, pitch 0.4mm; see section 7.4 of http://www.st.com/resource/en/datasheet/stm32f091vb.pdf -BGA 64 0.4 -0 -64 -64 -Package_CSP -ST_WLCSP-64_Die462 -WLCSP-64, 8x8 raster, 3.357x3.657mm package, pitch 0.4mm; see section 7.5 of http://www.st.com/resource/en/datasheet/DM00340475.pdf -BGA 64 0.4 -0 -64 -64 -Package_CSP -ST_WLCSP-66_Die411 -WLCSP-66, 9x9 raster, 3.639x3.971mm package, pitch 0.4mm; see section 7.2 of http://www.st.com/resource/en/datasheet/stm32f207vg.pdf -BGA 66 0.4 -0 -66 -66 -Package_CSP -ST_WLCSP-66_Die432 -WLCSP-66, 8x9 raster, 3.767x4.229mm package, pitch 0.4mm; see section 7.2 of http://www.st.com/resource/en/datasheet/stm32f378vc.pdf -BGA 66 0.4 -0 -66 -66 -Package_CSP -ST_WLCSP-72_Die415 -WLCSP-72, 9x9 raster, 4.4084x3.7594mm package, pitch 0.4mm; see section 7.5 of http://www.st.com/resource/en/datasheet/stm32l476me.pdf -BGA 72 0.4 -0 -72 -72 -Package_CSP -ST_WLCSP-81_4.02x4.27mm_Layout9x9_P0.4mm -ST WLCSP-81, ST die ID 469, 4.02x4.27mm, 81 Ball, 9x9 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32g483me.pdf -CSP 81 0.4 -0 -81 -81 -Package_CSP -ST_WLCSP-81_4.36x4.07mm_Layout9x9_P0.4mm -ST WLCSP-81, ST die ID 472, 4.36x4.07mm, 81 Ball, 9x9 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32l562ce.pdf -CSP 81 0.4 -0 -81 -81 -Package_CSP -ST_WLCSP-81_Die415 -WLCSP-81, 9x9 raster, 4.4084x3.7594mm package, pitch 0.4mm; see section 7.4 of http://www.st.com/resource/en/datasheet/stm32l476me.pdf -BGA 81 0.4 -0 -81 -81 -Package_CSP -ST_WLCSP-81_Die421 -WLCSP-81, 9x9 raster, 3.693x3.815mm package, pitch 0.4mm; see section 7.6 of http://www.st.com/resource/en/datasheet/stm32f446ze.pdf -BGA 81 0.4 -0 -81 -81 -Package_CSP -ST_WLCSP-81_Die463 -WLCSP-81, 9x9 raster, 4.039x3.951mm package, pitch 0.4mm; see section 7.1 of http://www.st.com/resource/en/datasheet/DM00282249.pdf -BGA 81 0.4 -0 -81 -81 -Package_CSP -ST_WLCSP-90_4.20x3.95mm_P0.4mm_Stagger -ST WLCSP-90, ST die ID 482, 4.2x3.95mm, 90 Ball, X-staggered 18x10 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32u575og.pdf#page=306 -CSP 90 0.4 -0 -90 -90 -Package_CSP -ST_WLCSP-90_Die413 -WLCSP-90, 10x9 raster, 4.223x3.969mm package, pitch 0.4mm; see section 6.1 of http://www.st.com/resource/en/datasheet/stm32f405og.pdf -BGA 90 0.4 -0 -90 -90 -Package_CSP -ST_WLCSP-100_4.40x4.38mm_Layout10x10_P0.4mm_Offcenter -ST WLCSP-100, off-center ball grid, ST die ID 495, 4.4x4.38mm, 100 Ball, 10x10 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32wb55vc.pdf -CSP 100 0.4 -0 -100 -100 -Package_CSP -ST_WLCSP-100_4.437x4.456mm_Layout10x10_P0.4mm -ST WLCSP-100, ST die ID 471, 4.437x4.456mm, 100 Ball, 10x10 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32l4p5ve.pdf -CSP 100 0.4 -0 -100 -100 -Package_CSP -ST_WLCSP-100_Die422 -WLCSP-100, 10x10 raster, 4.201x4.663mm package, pitch 0.4mm; see section 7.4 of http://www.st.com/resource/en/datasheet/stm32f302vc.pdf -BGA 100 0.4 -0 -100 -100 -Package_CSP -ST_WLCSP-100_Die446 -WLCSP-100, 10x10 raster, 4.775x5.041mm package, pitch 0.4mm; see section 7.5 of http://www.st.com/resource/en/datasheet/stm32f303zd.pdf -BGA 100 0.4 -0 -100 -100 -Package_CSP -ST_WLCSP-100_Die452 -WLCSP-100, 10x10 raster, 4.201x4.663mm package, pitch 0.4mm; see section 7.7 of http://www.st.com/resource/en/datasheet/DM00330506.pdf -BGA 100 0.4 -0 -100 -100 -Package_CSP -ST_WLCSP-100_Die461 -WLCSP-100, 10x10 raster, 4.618x4.142mm package, pitch 0.4mm; see section 7.5 of http://www.st.com/resource/en/datasheet/DM00284211.pdf -BGA 100 0.4 -0 -100 -100 -Package_CSP -ST_WLCSP-104_Die437 -WLCSP-104, 9x12 raster, 4.095x5.094mm package, pitch 0.4mm; see section 7.5 of http://www.st.com/resource/en/datasheet/stm32l152ze.pdf -BGA 104 0.4 -0 -104 -104 -Package_CSP -ST_WLCSP-115_3.73x4.15mm_P0.35mm_Stagger -ST WLCSP-115, ST die ID 483, 3.73x4.15mm, 115 Ball, Y-staggered 11x21 Layout, 0.35mm Pitch, https://www.st.com/resource/en/datasheet/stm32h725vg.pdf -CSP 115 0.35 -0 -115 -115 -Package_CSP -ST_WLCSP-115_4.63x4.15mm_P0.4mm_Stagger -ST WLCSP-115, ST die ID 461, 4.63x4.15mm, 115 Ball, X-staggered 21x11 Layout, 0.4mm Pitch, https://www.st.com/resource/en/datasheet/stm32l496wg.pdf -CSP 115 0.4 -0 -115 -115 -Package_CSP -ST_WLCSP-132_4.57x4.37mm_Layout12x11_P0.35mm -ST WLCSP-132, ST die ID 480, 4.57x4.37mm, 132 Ball, 12x11 Layout, 0.35mm Pitch, https://www.st.com/resource/en/datasheet/stm32h7a3ai.pdf -CSP 132 0.35 -0 -132 -132 -Package_CSP -ST_WLCSP-143_Die419 -WLCSP-143, 11x13 raster, 4.521x5.547mm package, pitch 0.4mm; see section 7.2 of http://www.st.com/resource/en/datasheet/stm32f429ng.pdf -BGA 143 0.4 -0 -143 -143 -Package_CSP -ST_WLCSP-143_Die449 -WLCSP-143, 11x13 raster, 4.539x5.849mm package, pitch 0.4mm; see section 6.3 of http://www.st.com/resource/en/datasheet/stm32f746zg.pdf -BGA 143 0.4 -0 -143 -143 -Package_CSP -ST_WLCSP-144_Die470 -WLCSP-144, 12x12 raster, 5.24x5.24mm package, pitch 0.4mm; see section 7.4 of http://www.st.com/resource/en/datasheet/DM00366448.pdf -BGA 144 0.4 -0 -144 -144 -Package_CSP -ST_WLCSP-156_4.96x4.64mm_Layout13x12_P0.35mm -ST WLCSP-156, ST die ID 450, 4.96x4.64mm, 156 Ball, 13x12 Layout, 0.35mm Pitch, https://www.st.com/resource/en/datasheet/stm32h747xi.pdf -CSP 156 0.35 -0 -156 -156 -Package_CSP -ST_WLCSP-168_Die434 -WLCSP-168, 12x14 raster, 4.891x5.692mm package, pitch 0.4mm; see section 6.3 of http://www.st.com/resource/en/datasheet/stm32f469ni.pdf -BGA 168 0.4 -0 -168 -168 -Package_CSP -ST_WLCSP-180_Die451 -WLCSP-180, 13x14 raster, 5.537x6.095mm package, pitch 0.4mm; see section 6.6 of http://www.st.com/resource/en/datasheet/DM00273119.pdf -BGA 180 0.4 -0 -180 -180 -Package_CSP -WLCSP-4-X1-WLB0909-4_0.89x0.89mm_P0.5mm -X1-WLB0909, 0.89x0.89mm, 4 Ball, 2x2 Layout, 0.5mm Pitch, https://www.diodes.com/assets/Datasheets/AP22913.pdf -CSP 4 0.5 -0 -4 -4 -Package_CSP -WLCSP-4_0.64x0.64mm_P0.35mm -WLCSP-4, 0.64x0.64mm, 4 Ball, 2x2 Layout, 0.35mm Pitch, https://www.onsemi.com/pdf/datasheet/ncp163-d.pdf#page=23 -CSP 4 0.35 -0 -4 -4 -Package_CSP -WLCSP-6_1.4x1.0mm_P0.4mm -6pin Pitch 0.4mm -6pin Pitch 0.4mm WLCSP -0 -6 -6 -Package_CSP -WLCSP-8_1.58x1.63x0.35mm_Layout3x5_P0.35x0.4mm_Ball0.25mm_Pad0.25mm_NSMD -WLCSP/XFBGA 8-pin package, staggered pins, http://www.adestotech.com/wp-content/uploads/DS-AT25DF041B_040.pdf -WLCSP WLCSP-8 XFBGA XFBGA-8 CSP BGA Chip-Scale Glass-Top -0 -8 -8 -Package_CSP -WLCSP-8_1.551x2.284mm_P0.5mm -WLCSP-8, 2.284x1.551mm, 8 Ball, 2x4 Layout, 0.5mm Pitch, https://www.adestotech.com/wp-content/uploads/AT25SL321_112.pdf#page=75 -CSP 8 0.5 -0 -8 -8 -Package_CSP -WLCSP-12_1.56x1.56mm_P0.4mm -WLCSP 12 1.56x1.56 https://ae-bst.resource.bosch.com/media/_tech/media/datasheets/BST-BMM150-DS001-01.pdf -BMM150 WLCSP -0 -12 -12 -Package_CSP -WLCSP-12_1.403x1.555mm_P0.4mm_Stagger -WLCSP-12, 6x4 raster staggered array, 1.403x1.555mm package, pitch 0.4mm; http://ww1.microchip.com/downloads/en/devicedoc/atmel-8235-8-bit-avr-microcontroller-attiny20_datasheet.pdf#page=208 -CSP 12 0.2x0.346333 -0 -12 -12 -Package_CSP -WLCSP-16_1.409x1.409mm_P0.35mm -WLCSP-16, 1.409x1.409mm, 16 Ball, 4x4 Layout, 0.35mm Pitch, http://www.latticesemi.com/view_document?document_id=213 -CSP 16 0.35 -0 -16 -16 -Package_CSP -WLCSP-16_2.225x2.17mm_P0.5mm -WLCSP-16 2.225x2.17mm, 2.17x2.225mm, 16 Ball, 4x4 Layout, 0.5mm Pitch, https://ww1.microchip.com/downloads/en/DeviceDoc/16B_WLCSP_CS_C04-06036c.pdf -CSP 16 0.5 -0 -16 -16 -Package_CSP -WLCSP-16_4x4_B2.17x2.32mm_P0.5mm -WLCSP-16, http://www.nxp.com/documents/data_sheet/LPC1102_1104.pdf, http://www.nxp.com/assets/documents/data/en/application-notes/AN3846.pdf -WLCSP-16 NXP -0 -16 -16 -Package_CSP -WLCSP-20_1.934x2.434mm_Layout4x5_P0.4mm -WLCSP-20, 4x5 raster, 1.934x2.434mm package, pitch 0.4mm; see section 36.2.3 of http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-42363-SAM-D11_Datasheet.pdf -BGA 20 0.4 -0 -20 -20 -Package_CSP -WLCSP-20_1.994x1.94mm_Layout4x5_P0.4mm -WLCSP-20, https://www.nxp.com/docs/en/package-information/98ASA00539D.pdf -WLCSP-20 -0 -20 -20 -Package_CSP -WLCSP-20_1.994x1.609mm_Layout5x4_P0.4mm -WLCSP-20, https://www.nxp.com/docs/en/package-information/98ASA00676D.pdf -WLCSP-20 -0 -20 -20 -Package_CSP -WLCSP-36_2.82x2.67mm_Layout6x6_P0.4mm -WLCSP-36, https://www.nxp.com/docs/en/package-information/98ASA00949D.pdf -WLCSP-36 -0 -36 -36 -Package_CSP -WLCSP-36_2.374x2.459mm_Layout6x6_P0.35mm -WLCSP-36, https://www.nxp.com/docs/en/package-information/98ASA00604D.pdf -WLCSP-36 -0 -36 -36 -Package_CSP -WLCSP-56_3.170x3.444mm_Layout7x8_P0.4mm -WLCSP-56, 7x8 raster, 3.170x3.444mm package, pitch 0.4mm; see section 48.2.4 of http://ww1.microchip.com/downloads/en/DeviceDoc/DS60001479B.pdf -BGA 56 0.4 -0 -56 -56 -Package_CSP -WLCSP-81_4.41x3.76mm_P0.4mm -WLCSP-81, 9x9, 0.4mm Pitch, http://www.st.com/content/ccc/resource/technical/document/technical_note/92/30/3c/a1/4c/bb/43/6f/DM00103228.pdf/files/DM00103228.pdf/jcr:content/translations/en.DM00103228.pdf -WLCSP ST -0 -81 -81 -Package_CSP -pSemi_CSP-16_1.64x2.04mm_P0.4mm -pSemi CSP-16 1.64x2.04x0.285mm (http://www.psemi.com/pdf/datasheets/pe29101ds.pdf, http://www.psemi.com/pdf/app_notes/an77.pdf) -psemi csp 16 -0 -16 -16 -Package_CSP -pSemi_CSP-16_1.64x2.04mm_P0.4mm_Pad0.18mm -pSemi CSP-16 1.64x2.04x0.285mm (http://www.psemi.com/pdf/datasheets/pe29101ds.pdf, http://www.psemi.com/pdf/app_notes/an77.pdf) -psemi csp 16 -0 -16 -16 -Package_DFN_QFN -AMS_QFN-4-1EP_2x2mm_P0.95mm_EP0.7x1.6mm -UFD Package, 4-Lead Plastic QFN (2mm x 2mm), http://ams.com/eng/content/download/950231/2267959/483138 -QFN 0.95 -0 -6 -5 -Package_DFN_QFN -AO_DFN-8-1EP_5.55x5.2mm_P1.27mm_EP4.12x4.6mm -DD Package; 8-Lead Plastic DFN (5.55mm x 5.2mm), Pin 5-8 connected to EP (http://www.aosmd.com/res/packaging_information/DFN5x6_8L_EP1_P.pdf) -dfn -0 -25 -5 -Package_DFN_QFN -Cypress_QFN-56-1EP_8x8mm_P0.5mm_EP6.22x6.22mm_ThermalVias -56-Lead Plastic Quad Flat, No Lead Package (ML) - 8x8x0.9 mm Body [QFN] (see datasheet at http://www.cypress.com/file/138911/download and app note at http://www.cypress.com/file/140006/download) -QFN 0.5 -0 -87 -57 -Package_DFN_QFN -DFN-6-1EP_1.2x1.2mm_P0.4mm_EP0.3x0.94mm_PullBack -DFN, 6 Pin (http://www.onsemi.com/pub/Collateral/NCP133-D.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -9 -7 -Package_DFN_QFN -DFN-6-1EP_2x1.6mm_P0.5mm_EP1.15x1.3mm -DFN, 6 Pin (https://www.onsemi.com/pdf/datasheet/ncp349-d.pdf#page=12), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -9 -7 -Package_DFN_QFN -DFN-6-1EP_2x1.8mm_P0.5mm_EP1.2x1.6mm -DFN, 6 Pin (https://www.diodes.com/assets/Package-Files/U-DFN2018-6.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -11 -7 -Package_DFN_QFN -DFN-6-1EP_2x2mm_P0.5mm_EP0.6x1.37mm -DFN, 6 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-dfn/05081703_C_DC6.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -9 -7 -Package_DFN_QFN -DFN-6-1EP_2x2mm_P0.5mm_EP0.61x1.42mm -DC6 Package; 6-Lead Plastic DFN (2mm x 2mm) (see Linear Technology DFN_6_05-08-1703.pdf) -DFN 0.5 -0 -9 -7 -Package_DFN_QFN -DFN-6-1EP_2x2mm_P0.65mm_EP1.01x1.7mm -DFN, 6 Pin (https://www.diodes.com/assets/Package-Files/U-DFN2020-6%20(Type%20C).pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -9 -7 -Package_DFN_QFN -DFN-6-1EP_2x2mm_P0.65mm_EP1x1.6mm -6-Lead Plastic Dual Flat, No Lead Package (MA) - 2x2x0.9 mm Body [DFN] (see Microchip Packaging Specification 00000049BS.pdf) -DFN 0.65 -0 -9 -7 -Package_DFN_QFN -DFN-6-1EP_3x2mm_P0.5mm_EP1.65x1.35mm -DFN, 6 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-dfn/(DCB6)%20DFN%2005-08-1715%20Rev%20A.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -11 -7 -Package_DFN_QFN -DFN-6-1EP_3x3mm_P0.95mm_EP1.7x2.6mm -DFN6 3*3 MM, 0.95 PITCH; CASE 506AH-01 (see ON Semiconductor 506AH.PDF) -DFN 0.95 -0 -13 -7 -Package_DFN_QFN -DFN-6-1EP_3x3mm_P1mm_EP1.5x2.4mm -DFN, 6 Pin (https://www.silabs.com/documents/public/data-sheets/Si7020-A20.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -11 -7 -Package_DFN_QFN -DFN-6_1.3x1.2mm_P0.4mm -6-Lead Plastic DFN (1.3mm x 1.2mm) -DFN 0.4 -0 -6 -6 -Package_DFN_QFN -DFN-8-1EP_2x2mm_P0.5mm_EP0.6x1.2mm -DFN, 8 Pin (https://www.qorvo.com/products/d/da001879), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -11 -9 -Package_DFN_QFN -DFN-8-1EP_2x2mm_P0.5mm_EP0.7x1.3mm -DFN, 8 Pin (https://www.onsemi.com/pub/Collateral/NUF4401MN-D.PDF#page=6), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_2x2mm_P0.5mm_EP0.8x1.6mm -DFN, 8 Pin (https://www.qorvo.com/products/d/da007268), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -10 -9 -Package_DFN_QFN -DFN-8-1EP_2x2mm_P0.5mm_EP0.9x1.3mm -DFN, 8 Pin (https://www.onsemi.com/pub/Collateral/NB3N551-D.PDF#page=7), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_2x2mm_P0.5mm_EP0.9x1.5mm -DFN, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-8127-AVR-8-bit-Microcontroller-ATtiny4-ATtiny5-ATtiny9-ATtiny10_Datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_2x2mm_P0.5mm_EP0.9x1.6mm -DFN, 8 Pin (https://www.st.com/resource/en/datasheet/lm2903.pdf#page=16), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -10 -9 -Package_DFN_QFN -DFN-8-1EP_2x2mm_P0.5mm_EP1.05x1.75mm -DFN8 2x2, 0.5P; CASE 506CN (see ON Semiconductor 506CN.PDF) -DFN 0.5 -0 -11 -9 -Package_DFN_QFN -DFN-8-1EP_2x2mm_P0.45mm_EP0.64x1.38mm -DC8 Package 8-Lead Plastic DFN (2mm x 2mm) (see Linear Technology DFN_8_05-08-1719.pdf) -DFN 0.45 -0 -11 -9 -Package_DFN_QFN -DFN-8-1EP_2x3mm_P0.5mm_EP0.56x2.15mm -DFN, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/2451fg.pdf#page=17), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -11 -9 -Package_DFN_QFN -DFN-8-1EP_2x3mm_P0.5mm_EP0.61x2.2mm -DDB Package; 8-Lead Plastic DFN (3mm x 2mm) (see Linear Technology DFN_8_05-08-1702.pdf) -DFN 0.5 -0 -12 -9 -Package_DFN_QFN -DFN-8-1EP_3x2mm_P0.5mm_EP1.3x1.5mm -8-Lead Plastic Dual Flat, No Lead Package (8MA2) - 2x3x0.6 mm Body [UDFN] (see Atmel-8815-SEEPROM-AT24CS01-02-Datasheet.pdf) -DFN 0.5 -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_3x2mm_P0.5mm_EP1.7x1.4mm -DFN, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/8L_DFN_2x3x0_9_MC_C04-123C.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_3x2mm_P0.5mm_EP1.36x1.46mm -8-Lead Plastic Dual Flat, No Lead Package (8MA2) - 2x3x0.6 mm Body (http://ww1.microchip.com/downloads/en/DeviceDoc/20005010F.pdf) -DFN 0.5 -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_3x2mm_P0.5mm_EP1.75x1.45mm -8-Lead Plastic Dual Flat, No Lead Package (MC) - 2x3x0.9 mm Body [DFN] (see Microchip Packaging Specification 00000049BS.pdf) -DFN 0.5 -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_3x2mm_P0.45mm_EP1.66x1.36mm -DCB Package 8-Lead Plastic DFN (2mm x 3mm) (see Linear Technology DFN_8_05-08-1718.pdf) -DFN 0.45 -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_3x3mm_P0.5mm_EP1.65x2.38mm -DFN, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/4320fb.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -10 -9 -Package_DFN_QFN -DFN-8-1EP_3x3mm_P0.5mm_EP1.65x2.38mm_ThermalVias -DFN, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/4320fb.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -20 -9 -Package_DFN_QFN -DFN-8-1EP_3x3mm_P0.5mm_EP1.66x2.38mm -DD Package; 8-Lead Plastic DFN (3mm x 3mm) (see Linear Technology DFN_8_05-08-1698.pdf) -DFN 0.5 -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_3x3mm_P0.65mm_EP1.7x2.05mm -DFN, 8 Pin (http://www.ixysic.com/home/pdfs.nsf/www/IX4426-27-28.pdf/$file/IX4426-27-28.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_3x3mm_P0.65mm_EP1.55x2.4mm -8-Lead Plastic Dual Flat, No Lead Package (MF) - 3x3x0.9 mm Body [DFN] (see Microchip Packaging Specification 00000049BS.pdf) -DFN 0.65 -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_4x4mm_P0.8mm_EP2.3x3.24mm -DFN, 8 Pin (https://www.st.com/resource/en/datasheet/ld1086.pdf#page=35), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_4x4mm_P0.8mm_EP2.5x3.6mm -8-Lead Plastic Dual Flat, No Lead Package (MD) - 4x4x0.9 mm Body [DFN] (see Microchip Packaging Specification 00000049BS.pdf) -DFN 0.8 -0 -15 -9 -Package_DFN_QFN -DFN-8-1EP_4x4mm_P0.8mm_EP2.39x2.21mm -8-Lead Plastic Dual Flat, No Lead Package (MD) - 4x4x0.9 mm Body [DFN] (http://www.onsemi.com/pub/Collateral/NCP4308-D.PDF) -DFN 0.8 -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_6x5mm_P1.27mm_EP2x2mm -DD Package; 8-Lead Plastic DFN (6mm x 5mm) (see http://www.everspin.com/file/236/download) -dfn -0 -13 -9 -Package_DFN_QFN -DFN-8-1EP_6x5mm_P1.27mm_EP4x4mm -DD Package; 8-Lead Plastic DFN (6mm x 5mm) (see http://www.everspin.com/file/236/download) -dfn -0 -25 -9 -Package_DFN_QFN -DFN-8_2x2mm_P0.5mm -DFN8 2x2, 0.5P; No exposed pad - Ref http://pdfserv.maximintegrated.com/land_patterns/90-0349.PDF -DFN 0.5 -0 -8 -8 -Package_DFN_QFN -DFN-10-1EP_2.6x2.6mm_P0.5mm_EP1.3x2.2mm -DFN, 10 Pin (https://www.nxp.com/docs/en/data-sheet/PCF85063A.pdf#page=48), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -15 -11 -Package_DFN_QFN -DFN-10-1EP_2.6x2.6mm_P0.5mm_EP1.3x2.2mm_ThermalVias -DFN, 10 Pin (https://www.nxp.com/docs/en/data-sheet/PCF85063A.pdf#page=48), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -22 -11 -Package_DFN_QFN -DFN-10-1EP_2x3mm_P0.5mm_EP0.64x2.4mm -DDB Package; 10-Lead Plastic DFN (3mm x 2mm) (see Linear Technology DFN_10_05-08-1722.pdf) -DFN 0.5 -0 -13 -11 -Package_DFN_QFN -DFN-10-1EP_3x3mm_P0.5mm_EP1.7x2.5mm -DFN, 10 Pin (https://www.monolithicpower.com/pub/media/document/MPQ2483_r1.05.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -15 -11 -Package_DFN_QFN -DFN-10-1EP_3x3mm_P0.5mm_EP1.55x2.48mm -10-Lead Plastic Dual Flat, No Lead Package (MF) - 3x3x0.9 mm Body [DFN] (see Microchip Packaging Specification 00000049BS.pdf) -DFN 0.5 -0 -15 -11 -Package_DFN_QFN -DFN-10-1EP_3x3mm_P0.5mm_EP1.58x2.35mm -DFN, 10 Pin (https://ww1.microchip.com/downloads/aemDocuments/documents/OTH/ProductDocuments/DataSheets/22005b.pdf#page=24), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -15 -11 -Package_DFN_QFN -DFN-10-1EP_3x3mm_P0.5mm_EP1.58x2.35mm_ThermalVias -DFN, 10 Pin (https://ww1.microchip.com/downloads/aemDocuments/documents/OTH/ProductDocuments/DataSheets/22005b.pdf#page=24), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -20 -11 -Package_DFN_QFN -DFN-10-1EP_3x3mm_P0.5mm_EP1.65x2.38mm -DFN, 10 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3471fb.pdf#page=15), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -15 -11 -Package_DFN_QFN -DFN-10-1EP_3x3mm_P0.5mm_EP1.65x2.38mm_ThermalVias -DFN, 10 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3471fb.pdf#page=15), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -22 -11 -Package_DFN_QFN -DFN-10-1EP_3x3mm_P0.5mm_EP1.75x2.7mm -10-Lead Plastic Dual Flat No-Lead Package, 3x3mm Body (see Atmel Appnote 8826) -DFN 0.5 -0 -15 -11 -Package_DFN_QFN -DFN-10_2x2mm_P0.4mm -10-Lead Plastic DFN (2mm x 2mm) 0.40mm pitch -DFN 10 0.4mm -0 -10 -10 -Package_DFN_QFN -DFN-12-1EP_2x3mm_P0.45mm_EP0.64x2.4mm -DDB Package; 12-Lead Plastic DFN (3mm x 2mm) (see Linear Technology DFN_12_05-08-1723.pdf) -DFN 0.45 -0 -15 -13 -Package_DFN_QFN -DFN-12-1EP_3x3mm_P0.5mm_EP1.4x2.55mm -DFN, 12 Pin (https://www.st.com/resource/en/datasheet/st25dv04k.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -14 -13 -Package_DFN_QFN -DFN-12-1EP_3x3mm_P0.5mm_EP1.6x2.5mm -DFN, 12 Pin (https://ww1.microchip.com/downloads/aemDocuments/documents/APID/ProductDocuments/DataSheets/MIC2207-2MHz-3A-PWM-Buck-Regulator-DS20006470A.pdf#page=22), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -17 -13 -Package_DFN_QFN -DFN-12-1EP_3x3mm_P0.5mm_EP1.6x2.5mm_ThermalVias -DFN, 12 Pin (https://ww1.microchip.com/downloads/aemDocuments/documents/APID/ProductDocuments/DataSheets/MIC2207-2MHz-3A-PWM-Buck-Regulator-DS20006470A.pdf#page=22), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -24 -13 -Package_DFN_QFN -DFN-12-1EP_3x3mm_P0.5mm_EP2.05x2.86mm -10-Lead Plastic Dual Flat, No Lead Package (MF) - 3x3x0.9 mm Body [DFN] (see Microchip Packaging Specification 00000049BS.pdf) -DFN 0.5 -0 -17 -13 -Package_DFN_QFN -DFN-12-1EP_3x3mm_P0.45mm_EP1.66x2.38mm -DD Package; 12-Lead Plastic DFN (3mm x 3mm) (see Linear Technology DFN_12_05-08-1725.pdf) -DFN 0.45 -0 -17 -13 -Package_DFN_QFN -DFN-12-1EP_3x4mm_P0.5mm_EP1.7x3.3mm -DE/UE Package; 12-Lead Plastic DFN (4mm x 3mm) (see Linear Technology DFN_12_05-08-1695.pdf) -DFN 0.5 -0 -21 -13 -Package_DFN_QFN -DFN-12-1EP_4x4mm_P0.5mm_EP2.66x3.38mm -DF Package; 12-Lead Plastic DFN (4mm x 4mm) (see Linear Technology 05081733_A_DF12.pdf) -DFN 0.5 -0 -21 -13 -Package_DFN_QFN -DFN-12-1EP_4x4mm_P0.65mm_EP2.64x3.54mm -DFN12, 4x4, 0.65P; CASE 506CE (see ON Semiconductor 506CE.PDF) -DFN 0.65 -0 -21 -13 -Package_DFN_QFN -DFN-14-1EP_3x3mm_P0.4mm_EP1.78x2.35mm -DD Package; 14-Lead Plastic DFN (3mm x 3mm) (http://pdfserv.maximintegrated.com/land_patterns/90-0063.PDF) -DFN 0.40 -0 -19 -15 -Package_DFN_QFN -DFN-14-1EP_3x4.5mm_P0.65mm_EP1.65x4.25mm -14-lead very thin plastic quad flat, 3.0x4.5mm size, 0.65mm pitch (http://ww1.microchip.com/downloads/en/DeviceDoc/14L_VDFN_4_5x3_0mm_JHA_C041198A.pdf) -VDFN DFN 0.65mm -0 -23 -15 -Package_DFN_QFN -DFN-14-1EP_3x4.5mm_P0.65mm_EP1.65x4.25mm_ThermalVias -14-lead very thin plastic quad flat, 3.0x4.5mm size, 0.65mm pitch (http://ww1.microchip.com/downloads/en/DeviceDoc/14L_VDFN_4_5x3_0mm_JHA_C041198A.pdf) -VDFN DFN 0.65mm -0 -33 -15 -Package_DFN_QFN -DFN-14-1EP_3x4mm_P0.5mm_EP1.7x3.3mm -DE Package; 14-Lead Plastic DFN (4mm x 3mm) (see Linear Technology DFN_14_05-08-1708.pdf) -DFN 0.5 -0 -23 -15 -Package_DFN_QFN -DFN-14-1EP_4x4mm_P0.5mm_EP2.86x3.6mm -DFN14, 4x4, 0.5P; CASE 506CM (see ON Semiconductor 506CM.PDF) -DFN 0.5 -0 -23 -15 -Package_DFN_QFN -DFN-14_1.35x3.5mm_P0.5mm -DFN, 14 Pin (https://m.littelfuse.com/~/media/electronics/datasheets/tvs_diode_arrays/littelfuse_tvs_diode_array_sp3012_datasheet.pdf.pdf#page=7), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -14 -14 -Package_DFN_QFN -DFN-16-1EP_3x4mm_P0.45mm_EP1.7x3.3mm -DE Package; 16-Lead Plastic DFN (4mm x 3mm) (see Linear Technology DFN_16_05-08-1732.pdf) -DFN 0.45 -0 -25 -17 -Package_DFN_QFN -DFN-16-1EP_3x5mm_P0.5mm_EP1.66x4.4mm -DHC Package; 16-Lead Plastic DFN (5mm x 3mm) (see Linear Technology DFN_16_05-08-1706.pdf) -DFN 0.5 -0 -27 -17 -Package_DFN_QFN -DFN-16-1EP_4x5mm_P0.5mm_EP2.44x4.34mm -DHD Package; 16-Lead Plastic DFN (5mm x 4mm) (see Linear Technology 05081707_A_DHD16.pdf) -DFN 0.5 -0 -25 -17 -Package_DFN_QFN -DFN-16-1EP_5x5mm_P0.5mm_EP3.46x4mm -DH Package; 16-Lead Plastic DFN (5mm x 5mm) (see Linear Technology DFN_16_05-08-1709.pdf) -DFN 0.5 -0 -26 -17 -Package_DFN_QFN -DFN-18-1EP_3x5mm_P0.5mm_EP1.66x4.4mm -DHC Package; 18-Lead Plastic DFN (5mm x 3mm) (see Linear Technology 05081955_0_DHC18.pdf) -DFN 0.5 -0 -29 -19 -Package_DFN_QFN -DFN-18-1EP_4x5mm_P0.5mm_EP2.44x4.34mm -DHD Package; 18-Lead Plastic DFN (5mm x 4mm) (see Linear Technology DFN_18_05-08-1778.pdf) -DFN 0.5 -0 -27 -19 -Package_DFN_QFN -DFN-20-1EP_5x6mm_P0.5mm_EP3.24x4.24mm -DFN20, 6x5, 0.5P; CASE 505AB (see ON Semiconductor 505AB.PDF) -DFN 0.5 -0 -33 -21 -Package_DFN_QFN -DFN-22-1EP_5x6mm_P0.5mm_EP3.14x4.3mm -DFN22 6*5*0.9 MM, 0.5 P; CASE 506AF\xe2\x88\x9201 (see ON Semiconductor 506AF.PDF) -DFN 0.5 -0 -35 -23 -Package_DFN_QFN -DFN-24-1EP_4x7mm_P0.5mm_EP2.64x6.44mm -DKD Package; 24-Lead Plastic DFN (7mm x 4mm) (see Linear Technology DFN_24_05-08-1864.pdf) -DFN 0.5 -0 -35 -25 -Package_DFN_QFN -DFN-32-1EP_4x7mm_P0.4mm_EP2.64x6.44mm -DKD Package; 32-Lead Plastic DFN (7mm x 4mm) (see Linear Technology DFN_32_05-08-1734.pdf) -DFN 0.4 -0 -43 -33 -Package_DFN_QFN -DFN-44-1EP_5x8.9mm_P0.4mm_EP3.7x8.4mm -DFN44 8.9x5, 0.4P; CASE 506BU-01 (see ON Semiconductor 506BU.PDF) -DFN 0.4 -0 -63 -45 -Package_DFN_QFN -DFN-S-8-1EP_6x5mm_P1.27mm -8-Lead Plastic Dual Flat, No Lead Package (MF) - 6x5 mm Body [DFN-S] (see Microchip Packaging Specification 00000049BS.pdf) -DFN 1.27 -0 -16 -9 -Package_DFN_QFN -DHVQFN-14-1EP_2.5x3mm_P0.5mm_EP1x1.5mm -DHVQFN, 14 Pin (JEDEC MO-241/VAA, https://assets.nexperia.com/documents/package-information/SOT762-1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DHVQFN NoLead -0 -16 -15 -Package_DFN_QFN -DHVQFN-16-1EP_2.5x3.5mm_P0.5mm_EP1x2mm -DHVQFN, 16 Pin (JEDEC MO-241/VAB, https://assets.nexperia.com/documents/package-information/SOT763-1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DHVQFN NoLead -0 -19 -17 -Package_DFN_QFN -DHVQFN-20-1EP_2.5x4.5mm_P0.5mm_EP1x3mm -DHVQFN, 20 Pin (JEDEC MO-241/VAC, https://assets.nexperia.com/documents/package-information/SOT764-1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -DHVQFN NoLead -0 -23 -21 -Package_DFN_QFN -Diodes_DFN1006-3 -DFN package size 1006 3 pins -DFN package size 1006 3 pins -0 -3 -3 -Package_DFN_QFN -Diodes_UDFN-10_1.0x2.5mm_P0.5mm -U-DFN2510-10 package used by Diodes Incorporated (https://www.diodes.com/assets/Package-Files/U-DFN2510-10-Type-CJ.pdf) -UDFN-10 U-DFN2510-10 Diodes -0 -10 -10 -Package_DFN_QFN -Diodes_UDFN2020-6_Type-F -U-DFN2020-6 (Type F) (https://www.diodes.com/assets/Package-Files/U-DFN2020-6-Type-F.pdf) -U-DFN2020-6 (Type F) -0 -8 -8 -Package_DFN_QFN -HVQFN-16-1EP_3x3mm_P0.5mm_EP1.5x1.5mm -HVQFN, 16 Pin (https://www.nxp.com/docs/en/package-information/SOT758-1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -21 -17 -Package_DFN_QFN -HVQFN-24-1EP_4x4mm_P0.5mm_EP2.1x2.1mm -HVQFN, 24 Pin (https://www.nxp.com/docs/en/package-information/SOT616-1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -34 -25 -Package_DFN_QFN -HVQFN-24-1EP_4x4mm_P0.5mm_EP2.5x2.5mm -HVQFN, 24 Pin (https://www.nxp.com/docs/en/package-information/SOT616-3.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -29 -25 -Package_DFN_QFN -HVQFN-24-1EP_4x4mm_P0.5mm_EP2.5x2.5mm_ThermalVias -HVQFN, 24 Pin (https://www.nxp.com/docs/en/package-information/SOT616-3.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -39 -25 -Package_DFN_QFN -HVQFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm -HVQFN, 24 Pin (https://www.nxp.com/docs/en/package-information/SOT616-3.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -34 -25 -Package_DFN_QFN -HVQFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias -HVQFN, 24 Pin (https://www.nxp.com/docs/en/package-information/SOT616-3.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -39 -25 -Package_DFN_QFN -HVQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm -HVQFN, 32 Pin (https://www.nxp.com/docs/en/package-information/SOT617-1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -42 -33 -Package_DFN_QFN -HVQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm_ThermalVias -HVQFN, 32 Pin (https://www.nxp.com/docs/en/package-information/SOT617-1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -59 -33 -Package_DFN_QFN -HVQFN-40-1EP_6x6mm_P0.5mm_EP4.1x4.1mm -HVQFN, 40 Pin (https://www.nxp.com/docs/en/package-information/SOT618-1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -50 -41 -Package_DFN_QFN -HVQFN-40-1EP_6x6mm_P0.5mm_EP4.1x4.1mm_ThermalVias -HVQFN, 40 Pin (https://www.nxp.com/docs/en/package-information/SOT618-1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -HVQFN NoLead -0 -67 -41 -Package_DFN_QFN -Infineon_MLPQ-16-14-1EP_4x4mm_P0.5mm -MLPQ 32 leads, 7x7mm, 0.127mm stencil (https://www.infineon.com/dgdl/Infineon-AN1170-AN-v05_00-EN.pdf?fileId=5546d462533600a40153559ac3e51134) -mlpq 32 7x7mm -0 -19 -15 -Package_DFN_QFN -Infineon_MLPQ-40-32-1EP_7x7mm_P0.5mm -MLPQ 32 leads, 7x7mm, 0.127mm stencil (https://www.infineon.com/dgdl/Infineon-AN1170-AN-v05_00-EN.pdf?fileId=5546d462533600a40153559ac3e51134) -mlpq 32 7x7mm -0 -76 -33 -Package_DFN_QFN -Infineon_MLPQ-48-1EP_7x7mm_P0.5mm_EP5.15x5.15mm -MLPQ 48 leads, 7x7mm (https://www.infineon.com/dgdl/irs2052mpbf.pdf?fileId=5546d462533600a401535675d3b32788) -mlpq 32 7x7mm -0 -115 -49 -Package_DFN_QFN -Infineon_MLPQ-48-1EP_7x7mm_P0.5mm_EP5.55x5.55mm -MLPQ 48 leads, 7x7mm (https://www.infineon.com/dgdl/irs2093mpbf.pdf?fileId=5546d462533600a401535675fb892793) -mlpq 32 7x7mm -0 -113 -49 -Package_DFN_QFN -Infineon_PQFN-22-15-4EP_6x5mm_P0.65mm -PQFN 22 leads, 5x6mm, 0.127mm stencil (https://www.infineon.com/dgdl/ir4301.pdf?fileId=5546d462533600a4015355d5fc691819, https://www.infineon.com/dgdl/Infineon-AN1170-AN-v05_00-EN.pdf?fileId=5546d462533600a40153559ac3e51134) -pqfn 22 5x6mm -0 -56 -15 -Package_DFN_QFN -Infineon_PQFN-44-31-5EP_7x7mm_P0.5mm -PQFN 44 leads, 7x7mm, 0.127mm stencil (https://www.infineon.com/dgdl/ir4302.pdf?fileId=5546d462533600a4015355d602a9181d, https://www.infineon.com/dgdl/Infineon-AN1170-AN-v05_00-EN.pdf?fileId=5546d462533600a40153559ac3e51134) -pqfn 44 7x7mm -0 -125 -27 -Package_DFN_QFN -LQFN-10-1EP_2x2mm_P0.5mm_EP0.7x0.7mm -LQFN, 10 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-lqfn/05081644_0_LQFN10.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LQFN NoLead -0 -12 -11 -Package_DFN_QFN -LQFN-12-1EP_2x2mm_P0.5mm_EP0.7x0.7mm -LQFN, 12 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-lqfn/05081530_B_LQFN12.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LQFN NoLead -0 -14 -13 -Package_DFN_QFN -LQFN-16-1EP_3x3mm_P0.5mm_EP1.7x1.7mm -LQFN, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-lqfn/05081595_0_lqfn16.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LQFN NoLead -0 -18 -17 -Package_DFN_QFN -Linear_DE14MA -14-Lead Plastic DFN, 4mm x 3mm (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-dfn/05081731_C_DE14MA.pdf) -DFN 0.5 -0 -14 -14 -Package_DFN_QFN -Linear_UGK52_QFN-46-52 -Linear UKG52(46) package, QFN-52-1EP variant (see http://cds.linear.com/docs/en/datasheet/3886fe.pdf) -QFN 0.5 -0 -62 -47 -Package_DFN_QFN -MLF-6-1EP_1.6x1.6mm_P0.5mm_EP0.5x1.26mm -MLF, 6 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/mic5353.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -MLF NoLead -0 -9 -7 -Package_DFN_QFN -MLF-8-1EP_3x3mm_P0.65mm_EP1.55x2.3mm -8-Pin ePad 3mm x 3mm MLF - 3x3x0.85 mm Body (see Microchip datasheet http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf) -DFN MLF 0.65 -0 -12 -9 -Package_DFN_QFN -MLF-8-1EP_3x3mm_P0.65mm_EP1.55x2.3mm_ThermalVias -8-Pin ePad 3mm x 3mm MLF - 3x3x0.85 mm Body (see Microchip datasheet http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf) -DFN MLF 0.65 -0 -15 -9 -Package_DFN_QFN -MLF-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm -MLF, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc8246.pdf#page=263), generated with kicad-footprint-generator ipc_noLead_generator.py -MLF NoLead -0 -25 -21 -Package_DFN_QFN -MLF-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias -MLF, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc8246.pdf#page=263), generated with kicad-footprint-generator ipc_noLead_generator.py -MLF NoLead -0 -30 -21 -Package_DFN_QFN -MLPQ-16-1EP_4x4mm_P0.65mm_EP2.8x2.8mm -Micro Leadframe Package, 16 pin with exposed pad -MLPQ- 0.65 -0 -21 -17 -Package_DFN_QFN -Maxim_TDFN-6-1EP_3x3mm_P0.95mm_EP1.5x2.3mm -Maxim TDFN, 6 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/MAX4460-MAX4462.pdf#page=19, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/tdfn-ep/21-0137.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Maxim TDFN NoLead -0 -11 -7 -Package_DFN_QFN -Maxim_TDFN-12-1EP_3x3mm_P0.5mm_EP1.7x2.5mm -Maxim TDFN, 12 Pin (https://www.analog.com/media/en/package-pcb-resources/land-pattern/tdfn/90-0397.pdf) -DFN NoLead -0 -17 -13 -Package_DFN_QFN -Maxim_TDFN-12-1EP_3x3mm_P0.5mm_EP1.7x2.5mm_ThermalVias -Maxim TDFN, 12 Pin (https://www.analog.com/media/en/package-pcb-resources/land-pattern/tdfn/90-0397.pdf) -DFN NoLead -0 -23 -13 -Package_DFN_QFN -Micrel_MLF-8-1EP_2x2mm_P0.5mm_EP0.6x1.2mm -Micrel MLF, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/mic23050.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Micrel MLF NoLead -0 -11 -9 -Package_DFN_QFN -Micrel_MLF-8-1EP_2x2mm_P0.5mm_EP0.6x1.2mm_ThermalVias -Micrel MLF, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/mic23050.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Micrel MLF NoLead -0 -16 -9 -Package_DFN_QFN -Micrel_MLF-8-1EP_2x2mm_P0.5mm_EP0.8x1.3mm_ThermalVias -http://ww1.microchip.com/downloads/en/DeviceDoc/mic2290.pdf -mlf 8 2x2 mm -0 -14 -9 -Package_DFN_QFN -Microchip_8E-16 -16-Lead Quad Flat, No Lead Package (8E) - 4x4x0.9 mm Body [UQFN]; (see Microchip Packaging Specification 00000049BS.pdf) -QFN Microchip 8E 16 -0 -20 -17 -Package_DFN_QFN -Microchip_DRQFN-44-1EP_5x5mm_P0.7mm_EP2.65x2.65mm -QFN, 44 Pin, dual row (http://ww1.microchip.com/downloads/en/DeviceDoc/44L_VQFN_5x5mm_Dual_Row_%5BS3B%5D_C04-21399a.pdf) -QFN dual row -0 -49 -45 -Package_DFN_QFN -Microchip_DRQFN-44-1EP_5x5mm_P0.7mm_EP2.65x2.65mm_ThermalVias -QFN, 44 Pin, dual row (http://ww1.microchip.com/downloads/en/DeviceDoc/44L_VQFN_5x5mm_Dual_Row_%5BS3B%5D_C04-21399a.pdf) -QFN dual row -0 -59 -45 -Package_DFN_QFN -Microchip_DRQFN-64-1EP_7x7mm_P0.65mm_EP4.1x4.1mm -QFN, 64 Pin, dual row (http://ww1.microchip.com/downloads/en/DeviceDoc/64L_VQFN_7x7_Dual_Row_%5BSVB%5D_C04-21420a.pdf) -QFN dual row -0 -74 -65 -Package_DFN_QFN -Microchip_DRQFN-64-1EP_7x7mm_P0.65mm_EP4.1x4.1mm_ThermalVias -QFN, 64 Pin, dual row (http://ww1.microchip.com/downloads/en/DeviceDoc/64L_VQFN_7x7_Dual_Row_%5BSVB%5D_C04-21420a.pdf) -QFN dual row -0 -91 -65 -Package_DFN_QFN -Microsemi_QFN-40-32-2EP_6x8mm_P0.5mm -40-Lead (32-Lead Populated) Plastic Quad Flat, No Lead Package - 6x8x0.9mm Body (https://www.microsemi.com/document-portal/doc_download/131677-pd70224-data-sheet) -QFN 0.5 -0 -92 -34 -Package_DFN_QFN -Mini-Circuits_DL805 -https://ww2.minicircuits.com/case_style/DL805.pdf -RF Switch -0 -11 -9 -Package_DFN_QFN -Mini-Circuits_FG873-4_3x3mm -Mini Circuits Case style FG (https://ww2.minicircuits.com/case_style/FG873.pdf) -FG873 -0 -4 -4 -Package_DFN_QFN -NXP_LQFN-48-1EP_7x7mm_P0.5mm_EP3.5x3.5mm_16xMask0.45x0.45 -LQFN, 48 Pin (https://www.nxp.com/docs/en/package-information/98ASA00694D.pdf) -NXP LQFN NoLead -0 -65 -49 -Package_DFN_QFN -NXP_LQFN-48-1EP_7x7mm_P0.5mm_EP3.5x3.5mm_16xMask0.45x0.45_ThermalVias -LQFN, 48 Pin (https://www.nxp.com/docs/en/package-information/98ASA00694D.pdf) -NXP LQFN NoLead -0 -75 -49 -Package_DFN_QFN -Nordic_AQFN-73-1EP_7x7mm_P0.5mm -http://infocenter.nordicsemi.com/index.jsp?topic=%2Fcom.nordic.infocenter.nrf52%2Fdita%2Fnrf52%2Fchips%2Fnrf52840.html -AQFN 7mm -0 -78 -74 -Package_DFN_QFN -Nordic_AQFN-94-1EP_7x7mm_P0.4mm -aQFN, Nordic, nRF5340, https://infocenter.nordicsemi.com/pdf/nRF5340_PS_v1.2.pdf -aqfn qfn nordic nrf nrf5340 aqfn94 -0 -103 -95 -Package_DFN_QFN -OnSemi_DFN-8_2x2mm_P0.5mm -DFN8 2x2, 0.5P (https://www.onsemi.com/pub/Collateral/511AT.PDF) -DFN 0.5 -0 -8 -8 -Package_DFN_QFN -OnSemi_SIP-38-6EP-9x7mm_P0.65mm_EP1.2x1.2mm -On Semiconductor, SIP-38, 9x7mm, (https://www.onsemi.com/pub/Collateral/AX-SIP-SFEU-D.PDF#page=19) -On Semiconductor SIP -0 -44 -44 -Package_DFN_QFN -OnSemi_UDFN-8_1.2x1.8mm_P0.4mm -8-Lead Plastic Dual Flat, No Lead Package, 1.2x1.8x1.55 mm Body [UDFN] (See http://www.onsemi.com/pub/Collateral/NLSV2T244-D.PDF) -dfn udfn dual flat -0 -8 -8 -Package_DFN_QFN -OnSemi_VCT-28_3.5x3.5mm_P0.4mm -OnSemi VCT, 28 Pin (http://www.onsemi.com/pub/Collateral/601AE.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -OnSemi VCT NoLead -0 -28 -28 -Package_DFN_QFN -OnSemi_XDFN-10_1.35x2.2mm_P0.4mm -10-Lead Plastic XDFN (1.35mm x 2.2mm) (see https://www.onsemi.com/pdf/datasheet/emi8132-d.pdf) -OnSemi XDFN-10 0.4 -0 -10 -10 -Package_DFN_QFN -OnSemi_XDFN4-1EP_1.0x1.0mm_EP0.52x0.52mm -XDFN4 footprint (as found on the https://www.onsemi.com/pub/Collateral/NCP115-D.PDF) -OnSemi XDFN4 -0 -5 -5 -Package_DFN_QFN -PQFN-8-EP_6x5mm_P1.27mm_Generic -Universal Footprint for Thermally-enhanced SO-8 packages; compatible with Vishay PowerPAK SO−8, International Rectifier PQFN, Texas Instrument SON 5 × 6 mm (Q5A), Alpha and Omega DFN 5 × 6, ST Microelectronics PowerFLAT™ 5 × 6, Toshiba SOP Advance, Infineon Super SO8, NXP LFPAK (SOT669), Renesas WPAK(3F) / LFPAK, Fairchild Power 56, APEC PMPAK 5 × 6, MagnaChip PowerDFN56, ROHM HSOP8, UBIQ PRPAK56, NIKO−SEM PDFN 5 × 6, NEC 8-pin HVSON. Datasheets: https://www.onsemi.com/pub/Collateral/AND9137-D.PDF, https://assets.nexperia.com/documents/leaflet/75016838.pdf -Thermally-enhanced SO-8 PowerPAK PQFN Q5A PowerFLAT LFPAK SOT669 WPAK(3F) LFPAK Power56 PMPAK PowerDFN56 HSOP8 PRPAK56 PDFN HVSON -0 -21 -5 -Package_DFN_QFN -Panasonic_HQFN-16-1EP_4x4mm_P0.65mm_EP2.9x2.9mm -Panasonic HQFN-16, 4x4x0.85mm (https://industrial.panasonic.com/content/data/SC/ds/ds7/c0/PKG_HQFN016-A-0404XZL_EN.pdf) -panasonic hqfn -0 -37 -17 -Package_DFN_QFN -Panasonic_HSON-8_8x8mm_P2.00mm -Panasonic HSON-8, 8x8x1.25mm (https://industrial.panasonic.com/content/data/SC/ds/ds7/c0/PKG_HSON008-A-0808XXI_EN.pdf) -panasonic hson -0 -27 -9 -Package_DFN_QFN -QFN-12-1EP_3x3mm_P0.5mm_EP1.6x1.6mm -QFN, 12 Pin (https://www.nxp.com/docs/en/data-sheet/MMZ09332B.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -17 -13 -Package_DFN_QFN -QFN-12-1EP_3x3mm_P0.5mm_EP1.6x1.6mm_ThermalVias -QFN, 12 Pin (https://www.nxp.com/docs/en/data-sheet/MMZ09332B.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -32 -13 -Package_DFN_QFN -QFN-12-1EP_3x3mm_P0.5mm_EP1.65x1.65mm -QFN, 12 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_12_%2005-08-1855.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -17 -13 -Package_DFN_QFN -QFN-12-1EP_3x3mm_P0.5mm_EP1.65x1.65mm_ThermalVias -QFN, 12 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_12_%2005-08-1855.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -22 -13 -Package_DFN_QFN -QFN-12-1EP_3x3mm_P0.51mm_EP1.45x1.45mm -QFN, 12 Pin (https://ww2.minicircuits.com/case_style/DQ1225.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -17 -13 -Package_DFN_QFN -QFN-16-1EP_3x3mm_P0.5mm_EP1.7x1.7mm -QFN, 16 Pin (https://www.st.com/resource/en/datasheet/tsv521.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_3x3mm_P0.5mm_EP1.7x1.7mm_ThermalVias -QFN, 16 Pin (http://www.cypress.com/file/46236/download), generated with kicad-footprint-generator ipc_dfn_qfn_generator.py -QFN DFN_QFN -0 -31 -17 -Package_DFN_QFN -QFN-16-1EP_3x3mm_P0.5mm_EP1.9x1.9mm -QFN, 16 Pin (https://www.nxp.com/docs/en/package-information/98ASA00525D.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_3x3mm_P0.5mm_EP1.9x1.9mm_ThermalVias -QFN, 16 Pin (https://www.nxp.com/docs/en/package-information/98ASA00525D.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -26 -17 -Package_DFN_QFN -QFN-16-1EP_3x3mm_P0.5mm_EP1.45x1.45mm -QFN, 16 Pin (http://cds.linear.com/docs/en/datasheet/37551fd.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_3x3mm_P0.5mm_EP1.45x1.45mm_ThermalVias -QFN, 16 Pin (http://cds.linear.com/docs/en/datasheet/37551fd.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -26 -17 -Package_DFN_QFN -QFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm -QFN, 16 Pin (https://www.onsemi.com/pub/Collateral/NCN4555-D.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm_ThermalVias -QFN, 16 Pin (https://www.onsemi.com/pub/Collateral/NCN4555-D.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -26 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.5mm_EP2.45x2.45mm -QFN, 16 Pin (https://www.renesas.com/eu/en/www/doc/datasheet/isl8117.pdf#page=22), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.5mm_EP2.45x2.45mm_ThermalVias -QFN, 16 Pin (https://www.renesas.com/eu/en/www/doc/datasheet/isl8117.pdf#page=22), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm -QFN, 16 Pin (http://www.thatcorp.com/datashts/THAT_1580_Datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm_ThermalVias -QFN, 16 Pin (http://www.thatcorp.com/datashts/THAT_1580_Datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.5x2.5mm -QFN, 16 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=266), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.5x2.5mm_ThermalVias -QFN, 16 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=266), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm -QFN, 16 Pin (https://www.allegromicro.com/~/media/Files/Datasheets/A4403-Datasheet.ashx), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm_PullBack -QFN, 16 Pin (https://ams.com/documents/20143/36005/AS5055A_DS000304_2-00.pdf#page=24), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm_PullBack_ThermalVias -QFN, 16 Pin (https://ams.com/documents/20143/36005/AS5055A_DS000304_2-00.pdf#page=24), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm_ThermalVias -QFN, 16 Pin (https://www.allegromicro.com/~/media/Files/Datasheets/A4403-Datasheet.ashx), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.15x2.15mm -QFN, 16 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/4001f.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_4x4mm_P0.65mm_EP2.15x2.15mm_ThermalVias -QFN, 16 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/4001f.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -QFN-16-1EP_5x5mm_P0.8mm_EP2.7x2.7mm -QFN, 16 Pin (http://www.intersil.com/content/dam/Intersil/documents/l16_/l16.5x5.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -QFN-16-1EP_5x5mm_P0.8mm_EP2.7x2.7mm_ThermalVias -QFN, 16 Pin (http://www.intersil.com/content/dam/Intersil/documents/l16_/l16.5x5.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -QFN-20-1EP_3.5x3.5mm_P0.5mm_EP2x2mm -QFN, 20 Pin (http://www.ti.com/lit/ml/mpqf239/mpqf239.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -QFN-20-1EP_3.5x3.5mm_P0.5mm_EP2x2mm_ThermalVias -QFN, 20 Pin (http://www.ti.com/lit/ml/mpqf239/mpqf239.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -30 -21 -Package_DFN_QFN -QFN-20-1EP_3x3mm_P0.4mm_EP1.65x1.65mm -QFN, 20 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3553fc.pdf#page=34), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -QFN-20-1EP_3x3mm_P0.4mm_EP1.65x1.65mm_ThermalVias -QFN, 20 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3553fc.pdf#page=34), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -30 -21 -Package_DFN_QFN -QFN-20-1EP_3x3mm_P0.45mm_EP1.6x1.6mm -QFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/atmel-8235-8-bit-avr-microcontroller-attiny20_datasheet.pdf#page=212), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -QFN-20-1EP_3x3mm_P0.45mm_EP1.6x1.6mm_ThermalVias -QFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/atmel-8235-8-bit-avr-microcontroller-attiny20_datasheet.pdf#page=212), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -30 -21 -Package_DFN_QFN -QFN-20-1EP_3x4mm_P0.5mm_EP1.65x2.65mm -QFN, 20 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1742.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -QFN-20-1EP_3x4mm_P0.5mm_EP1.65x2.65mm_ThermalVias -QFN, 20 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1742.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -32 -21 -Package_DFN_QFN -QFN-20-1EP_4x4mm_P0.5mm_EP2.5x2.5mm -QFN, 20 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=274), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -QFN-20-1EP_4x4mm_P0.5mm_EP2.5x2.5mm_ThermalVias -QFN, 20 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=274), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -30 -21 -Package_DFN_QFN -QFN-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm -QFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc2535.pdf#page=164), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -QFN-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias -QFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc2535.pdf#page=164), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -30 -21 -Package_DFN_QFN -QFN-20-1EP_4x4mm_P0.5mm_EP2.7x2.7mm -QFN, 20 Pin (https://www.silabs.com/documents/public/data-sheets/Si5351-B.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -QFN-20-1EP_4x4mm_P0.5mm_EP2.7x2.7mm_ThermalVias -QFN, 20 Pin (https://www.silabs.com/documents/public/data-sheets/Si5351-B.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -30 -21 -Package_DFN_QFN -QFN-20-1EP_4x5mm_P0.5mm_EP2.65x3.65mm -QFN, 20 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1711.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -27 -21 -Package_DFN_QFN -QFN-20-1EP_4x5mm_P0.5mm_EP2.65x3.65mm_ThermalVias -QFN, 20 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1711.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -40 -21 -Package_DFN_QFN -QFN-20-1EP_5x5mm_P0.65mm_EP3.35x3.35mm -QFN, 20 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=276), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -QFN-20-1EP_5x5mm_P0.65mm_EP3.35x3.35mm_ThermalVias -QFN, 20 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=276), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -35 -21 -Package_DFN_QFN -QFN-24-1EP_3x3mm_P0.4mm_EP1.75x1.6mm -QFN, 24 Pin (https://www.invensense.com/wp-content/uploads/2015/02/PS-MPU-9250A-01-v1.1.pdf#page=39), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_3x3mm_P0.4mm_EP1.75x1.6mm_ThermalVias -QFN, 24 Pin (https://www.invensense.com/wp-content/uploads/2015/02/PS-MPU-9250A-01-v1.1.pdf#page=39), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -34 -25 -Package_DFN_QFN -QFN-24-1EP_3x4mm_P0.4mm_EP1.65x2.65mm -QFN, 24 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1742.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -25 -Package_DFN_QFN -QFN-24-1EP_3x4mm_P0.4mm_EP1.65x2.65mm_ThermalVias -QFN, 24 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1742.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -38 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm -QFN, 24 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=278), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias -QFN, 24 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=278), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.7x2.6mm -QFN, 24 Pin (https://store.invensense.com/datasheets/invensense/MPU-6050_DataSheet_V3%204.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.7x2.6mm_ThermalVias -QFN, 24 Pin (https://store.invensense.com/datasheets/invensense/MPU-6050_DataSheet_V3%204.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.7x2.7mm -QFN, 24 Pin (http://www.alfarzpp.lv/eng/sc/AS3330.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.7x2.7mm_ThermalVias -QFN, 24 Pin (http://www.alfarzpp.lv/eng/sc/AS3330.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm -QFN, 24 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/hmc431.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm_ThermalVias -QFN, 24 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/hmc431.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.15x2.15mm -QFN, 24 Pin (https://www.st.com/resource/en/datasheet/led1642gw.pdf#page=34), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.15x2.15mm_ThermalVias -QFN, 24 Pin (https://www.st.com/resource/en/datasheet/led1642gw.pdf#page=34), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.65x2.65mm -QFN, 24 Pin (http://www.cypress.com/file/46236/download), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.65x2.65mm_ThermalVias -QFN, 24 Pin (http://www.cypress.com/file/46236/download), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.75x2.75mm -QFN, 24 Pin (https://www.infineon.com/dgdl/Infineon-EZ-PD_BCR_Datasheet_USB_Type-C_Port_Controller_for_Power_Sinks-DataSheet-v03_00-EN.pdf?fileId=8ac78c8c7d0d8da4017d0ee7ce9d70ad), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_4x4mm_P0.5mm_EP2.75x2.75mm_ThermalVias -QFN, 24 Pin (https://www.infineon.com/dgdl/Infineon-EZ-PD_BCR_Datasheet_USB_Type-C_Port_Controller_for_Power_Sinks-DataSheet-v03_00-EN.pdf?fileId=8ac78c8c7d0d8da4017d0ee7ce9d70ad), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -QFN-24-1EP_4x5mm_P0.5mm_EP2.65x3.65mm -QFN, 24 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_24_05-08-1696.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -25 -Package_DFN_QFN -QFN-24-1EP_4x5mm_P0.5mm_EP2.65x3.65mm_ThermalVias -QFN, 24 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_24_05-08-1696.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -44 -25 -Package_DFN_QFN -QFN-24-1EP_5x5mm_P0.65mm_EP3.2x3.2mm -QFN, 24 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/(UH24)%20QFN%2005-08-1747%20Rev%20A.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_5x5mm_P0.65mm_EP3.2x3.2mm_ThermalVias -QFN, 24 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/(UH24)%20QFN%2005-08-1747%20Rev%20A.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -51 -25 -Package_DFN_QFN -QFN-24-1EP_5x5mm_P0.65mm_EP3.4x3.4mm -QFN, 24 Pin (http://www.thatcorp.com/datashts/THAT_5173_Datasheet.pdf#page=17), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_5x5mm_P0.65mm_EP3.4x3.4mm_ThermalVias -QFN, 24 Pin (http://www.thatcorp.com/datashts/THAT_5173_Datasheet.pdf#page=17), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -QFN-24-1EP_5x5mm_P0.65mm_EP3.6x3.6mm -QFN, 24 Pin (https://www.nxp.com/docs/en/package-information/98ASA00734D.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -34 -25 -Package_DFN_QFN -QFN-24-1EP_5x5mm_P0.65mm_EP3.6x3.6mm_ThermalVias -QFN, 24 Pin (https://www.nxp.com/docs/en/package-information/98ASA00734D.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -67 -25 -Package_DFN_QFN -QFN-24-1EP_5x5mm_P0.65mm_EP3.25x3.25mm -QFN, 24 Pin (https://semtech.my.salesforce.com/sfc/p/#E0000000JelG/a/44000000MDkO/lWPNMeJClEs8Zvyu7AlDlKSyZqhYdVpQzFLVfUp.EXs), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -QFN-24-1EP_5x5mm_P0.65mm_EP3.25x3.25mm_ThermalVias -QFN, 24 Pin (https://semtech.my.salesforce.com/sfc/p/#E0000000JelG/a/44000000MDkO/lWPNMeJClEs8Zvyu7AlDlKSyZqhYdVpQzFLVfUp.EXs), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -51 -25 -Package_DFN_QFN -QFN-28-1EP_3x6mm_P0.5mm_EP1.7x4.75mm -QFN, 28 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081926_0_UDE28.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -37 -29 -Package_DFN_QFN -QFN-28-1EP_3x6mm_P0.5mm_EP1.7x4.75mm_ThermalVias -QFN, 28 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081926_0_UDE28.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -53 -29 -Package_DFN_QFN -QFN-28-1EP_4x4mm_P0.4mm_EP2.3x2.3mm -QFN, 28 Pin (http://www.issi.com/WW/pdf/31FL3731.pdf#page=21), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -33 -29 -Package_DFN_QFN -QFN-28-1EP_4x4mm_P0.4mm_EP2.3x2.3mm_ThermalVias -QFN, 28 Pin (http://www.issi.com/WW/pdf/31FL3731.pdf#page=21), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -43 -29 -Package_DFN_QFN -QFN-28-1EP_4x4mm_P0.4mm_EP2.4x2.4mm -QFN, 28 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=280), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -33 -29 -Package_DFN_QFN -QFN-28-1EP_4x4mm_P0.4mm_EP2.4x2.4mm_ThermalVias -QFN, 28 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=280), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -43 -29 -Package_DFN_QFN -QFN-28-1EP_4x4mm_P0.4mm_EP2.6x2.6mm -QFN, 28 Pin (package code T2844-1; https://pdfserv.maximintegrated.com/package_dwgs/21-0139.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -33 -29 -Package_DFN_QFN -QFN-28-1EP_4x4mm_P0.4mm_EP2.6x2.6mm_ThermalVias -QFN, 28 Pin (package code T2844-1; https://pdfserv.maximintegrated.com/package_dwgs/21-0139.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -43 -29 -Package_DFN_QFN -QFN-28-1EP_4x4mm_P0.45mm_EP2.4x2.4mm -QFN, 28 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/8008S.pdf#page=16), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -33 -29 -Package_DFN_QFN -QFN-28-1EP_4x4mm_P0.45mm_EP2.4x2.4mm_ThermalVias -QFN, 28 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/8008S.pdf#page=16), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -43 -29 -Package_DFN_QFN -QFN-28-1EP_4x5mm_P0.5mm_EP2.65x3.65mm -QFN, 28 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/3555fe.pdf#page=32), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -35 -29 -Package_DFN_QFN -QFN-28-1EP_4x5mm_P0.5mm_EP2.65x3.65mm_ThermalVias -QFN, 28 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/3555fe.pdf#page=32), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -48 -29 -Package_DFN_QFN -QFN-28-1EP_5x5mm_P0.5mm_EP3.1x3.1mm -QFN, 28 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/TMC2202_TMC2208_TMC2224_datasheet_rev1.14.pdf#page=77), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -38 -29 -Package_DFN_QFN -QFN-28-1EP_5x5mm_P0.5mm_EP3.1x3.1mm_ThermalVias -QFN, 28 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/TMC2202_TMC2208_TMC2224_datasheet_rev1.14.pdf#page=77), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -71 -29 -Package_DFN_QFN -QFN-28-1EP_5x5mm_P0.5mm_EP3.35x3.35mm -QFN, 28 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=283), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -38 -29 -Package_DFN_QFN -QFN-28-1EP_5x5mm_P0.5mm_EP3.35x3.35mm_ThermalVias -QFN, 28 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=283), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -55 -29 -Package_DFN_QFN -QFN-28-1EP_5x5mm_P0.5mm_EP3.75x3.75mm -QFN, 28 Pin (https://www.cmlmicro.com/wp-content/uploads/2017/10/CMX901_ds.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -38 -29 -Package_DFN_QFN -QFN-28-1EP_5x5mm_P0.5mm_EP3.75x3.75mm_ThermalVias -QFN, 28 Pin (https://www.cmlmicro.com/wp-content/uploads/2017/10/CMX901_ds.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -29 -Package_DFN_QFN -QFN-28-1EP_5x6mm_P0.5mm_EP3.65x4.65mm -QFN, 28 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081932_0_UHE28.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -41 -29 -Package_DFN_QFN -QFN-28-1EP_5x6mm_P0.5mm_EP3.65x4.65mm_ThermalVias -QFN, 28 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081932_0_UHE28.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -62 -29 -Package_DFN_QFN -QFN-28-1EP_6x6mm_P0.65mm_EP4.8x4.8mm -QFN, 28 Pin (https://www.semtech.com/uploads/documents/sx1272.pdf#page=125), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -38 -29 -Package_DFN_QFN -QFN-28-1EP_6x6mm_P0.65mm_EP4.8x4.8mm_ThermalVias -QFN, 28 Pin (https://www.semtech.com/uploads/documents/sx1272.pdf#page=125), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -71 -29 -Package_DFN_QFN -QFN-28-1EP_6x6mm_P0.65mm_EP4.25x4.25mm -QFN, 28 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=289), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -38 -29 -Package_DFN_QFN -QFN-28-1EP_6x6mm_P0.65mm_EP4.25x4.25mm_ThermalVias -QFN, 28 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=289), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -71 -29 -Package_DFN_QFN -QFN-28_4x4mm_P0.5mm -QFN, 28 Pin (http://www.st.com/resource/en/datasheet/stm32f031k6.pdf#page=90), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -28 -28 -Package_DFN_QFN -QFN-32-1EP_4x4mm_P0.4mm_EP2.9x2.9mm -QFN, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/atmel-8153-8-and-16-bit-avr-microcontroller-xmega-e-atxmega8e5-atxmega16e5-atxmega32e5_datasheet.pdf#page=70), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -37 -33 -Package_DFN_QFN -QFN-32-1EP_4x4mm_P0.4mm_EP2.9x2.9mm_ThermalVias -QFN, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/atmel-8153-8-and-16-bit-avr-microcontroller-xmega-e-atxmega8e5-atxmega16e5-atxmega32e5_datasheet.pdf#page=70), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -47 -33 -Package_DFN_QFN -QFN-32-1EP_4x4mm_P0.4mm_EP2.65x2.65mm -QFN, 32 Pin (https://www.renesas.com/eu/en/package-image/pdf/outdrawing/l32.4x4a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -37 -33 -Package_DFN_QFN -QFN-32-1EP_4x4mm_P0.4mm_EP2.65x2.65mm_ThermalVias -QFN, 32 Pin (https://www.renesas.com/eu/en/package-image/pdf/outdrawing/l32.4x4a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -59 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm -QFN, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/8008S.pdf#page=20), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -42 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm_ThermalVias -QFN, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/8008S.pdf#page=20), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -59 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.3x3.3mm -QFN, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00002164B.pdf#page=68), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -42 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.3x3.3mm_ThermalVias -QFN, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00002164B.pdf#page=68), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -59 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.6x3.6mm -QFN, 32 Pin (http://infocenter.nordicsemi.com/pdf/nRF52810_PS_v1.1.pdf#page=468), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -42 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.6x3.6mm_ThermalVias -QFN, 32 Pin (http://infocenter.nordicsemi.com/pdf/nRF52810_PS_v1.1.pdf#page=468), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -59 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.7x3.7mm -QFN, 32 Pin (https://www.espressif.com/sites/default/files/documentation/0a-esp8285_datasheet_en.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -37 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.7x3.7mm_ThermalVias -QFN, 32 Pin (https://www.espressif.com/sites/default/files/documentation/0a-esp8285_datasheet_en.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -59 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm -QFN, 32 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_32_05-08-1693.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -42 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm_ThermalVias -QFN, 32 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_32_05-08-1693.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -59 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.65x3.65mm -QFN, 32 Pin (https://www.exar.com/ds/mxl7704.pdf#page=35), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -42 -33 -Package_DFN_QFN -QFN-32-1EP_5x5mm_P0.5mm_EP3.65x3.65mm_ThermalVias -QFN, 32 Pin (https://www.exar.com/ds/mxl7704.pdf#page=35), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -59 -33 -Package_DFN_QFN -QFN-32-1EP_7x7mm_P0.65mm_EP4.7x4.7mm -QFN, 32 Pin (https://www.nxp.com/docs/en/data-sheet/LPC111X.pdf#page=108), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -49 -33 -Package_DFN_QFN -QFN-32-1EP_7x7mm_P0.65mm_EP4.7x4.7mm_ThermalVias -QFN, 32 Pin (https://www.nxp.com/docs/en/data-sheet/LPC111X.pdf#page=108), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -75 -33 -Package_DFN_QFN -QFN-32-1EP_7x7mm_P0.65mm_EP4.65x4.65mm -QFN, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-8209-8-bit%20AVR%20ATmega16M1-32M1-64M1_Datasheet.pdf#page=426), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -49 -33 -Package_DFN_QFN -QFN-32-1EP_7x7mm_P0.65mm_EP4.65x4.65mm_ThermalVias -QFN, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-8209-8-bit%20AVR%20ATmega16M1-32M1-64M1_Datasheet.pdf#page=426), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -75 -33 -Package_DFN_QFN -QFN-32-1EP_7x7mm_P0.65mm_EP5.4x5.4mm -QFN, 32 Pin (http://www.thatcorp.com/datashts/THAT_5171_Datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -49 -33 -Package_DFN_QFN -QFN-32-1EP_7x7mm_P0.65mm_EP5.4x5.4mm_ThermalVias -QFN, 32 Pin (http://www.thatcorp.com/datashts/THAT_5171_Datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -75 -33 -Package_DFN_QFN -QFN-36-1EP_5x6mm_P0.5mm_EP3.6x4.1mm -QFN, 36 Pin (https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2100_datasheet_Rev1.08.pdf#page=43), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -46 -37 -Package_DFN_QFN -QFN-36-1EP_5x6mm_P0.5mm_EP3.6x4.1mm_ThermalVias -QFN, 36 Pin (https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2100_datasheet_Rev1.08.pdf#page=43), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -56 -37 -Package_DFN_QFN -QFN-36-1EP_5x6mm_P0.5mm_EP3.6x4.6mm -QFN, 36 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/(UHE36)%20QFN%2005-08-1876%20Rev%20%C3%98.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -49 -37 -Package_DFN_QFN -QFN-36-1EP_5x6mm_P0.5mm_EP3.6x4.6mm_ThermalVias -QFN, 36 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/(UHE36)%20QFN%2005-08-1876%20Rev%20%C3%98.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -56 -37 -Package_DFN_QFN -QFN-36-1EP_6x6mm_P0.5mm_EP3.7x3.7mm -QFN, 36 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/36L_QFN_6x6_with_3_7x3_7_EP_Punch_Dimpled_4E_C04-0241A.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -46 -37 -Package_DFN_QFN -QFN-36-1EP_6x6mm_P0.5mm_EP3.7x3.7mm_ThermalVias -QFN, 36 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/36L_QFN_6x6_with_3_7x3_7_EP_Punch_Dimpled_4E_C04-0241A.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -63 -37 -Package_DFN_QFN -QFN-36-1EP_6x6mm_P0.5mm_EP4.1x4.1mm -QFN, 36 Pin (www.st.com/resource/en/datasheet/stm32f101t6.pdf#page=72), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -46 -37 -Package_DFN_QFN -QFN-36-1EP_6x6mm_P0.5mm_EP4.1x4.1mm_ThermalVias -QFN, 36 Pin (www.st.com/resource/en/datasheet/stm32f101t6.pdf#page=72), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -63 -37 -Package_DFN_QFN -QFN-38-1EP_4x6mm_P0.4mm_EP2.65x4.65mm -QFN, 38 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_38_05-08-1750.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -47 -39 -Package_DFN_QFN -QFN-38-1EP_4x6mm_P0.4mm_EP2.65x4.65mm_ThermalVias -QFN, 38 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_38_05-08-1750.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -56 -39 -Package_DFN_QFN -QFN-38-1EP_5x7mm_P0.5mm_EP3.15x5.15mm -QFN, 38 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_38_05-08-1701.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -54 -39 -Package_DFN_QFN -QFN-38-1EP_5x7mm_P0.5mm_EP3.15x5.15mm_ThermalVias -QFN, 38 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_38_05-08-1701.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -63 -39 -Package_DFN_QFN -QFN-40-1EP_5x5mm_P0.4mm_EP3.6x3.6mm -QFN, 40 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=297), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -50 -41 -Package_DFN_QFN -QFN-40-1EP_5x5mm_P0.4mm_EP3.6x3.6mm_ThermalVias -QFN, 40 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=297), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -67 -41 -Package_DFN_QFN -QFN-40-1EP_5x5mm_P0.4mm_EP3.8x3.8mm -QFN, 40 Pin (http://www.issi.com/WW/pdf/31FL3736.pdf#page=28), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -50 -41 -Package_DFN_QFN -QFN-40-1EP_5x5mm_P0.4mm_EP3.8x3.8mm_ThermalVias -QFN, 40 Pin (http://www.issi.com/WW/pdf/31FL3736.pdf#page=28), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -67 -41 -Package_DFN_QFN -QFN-40-1EP_6x6mm_P0.5mm_EP4.6x4.6mm -QFN, 40 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=295), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -57 -41 -Package_DFN_QFN -QFN-40-1EP_6x6mm_P0.5mm_EP4.6x4.6mm_ThermalVias -QFN, 40 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=295), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -83 -41 -Package_DFN_QFN -QFN-42-1EP_5x6mm_P0.4mm_EP3.7x4.7mm -QFN, 42 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081875_0_UHE42.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -55 -43 -Package_DFN_QFN -QFN-42-1EP_5x6mm_P0.4mm_EP3.7x4.7mm_ThermalVias -QFN, 42 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081875_0_UHE42.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -76 -43 -Package_DFN_QFN -QFN-44-1EP_7x7mm_P0.5mm_EP5.2x5.2mm -QFN, 44 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/2512S.pdf#page=17), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -61 -45 -Package_DFN_QFN -QFN-44-1EP_7x7mm_P0.5mm_EP5.2x5.2mm_ThermalVias -QFN, 44 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/2512S.pdf#page=17), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -87 -45 -Package_DFN_QFN -QFN-44-1EP_7x7mm_P0.5mm_EP5.15x5.15mm -QFN, 44 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_44_05-08-1763.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -61 -45 -Package_DFN_QFN -QFN-44-1EP_7x7mm_P0.5mm_EP5.15x5.15mm_ThermalVias -QFN, 44 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_44_05-08-1763.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -87 -45 -Package_DFN_QFN -QFN-44-1EP_8x8mm_P0.65mm_EP6.45x6.45mm -QFN, 44 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/39935c.pdf#page=152), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -70 -45 -Package_DFN_QFN -QFN-44-1EP_8x8mm_P0.65mm_EP6.45x6.45mm_ThermalVias -QFN, 44 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/39935c.pdf#page=152), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -107 -45 -Package_DFN_QFN -QFN-44-1EP_9x9mm_P0.65mm_EP7.5x7.5mm -44-Lead Plastic Quad Flat, No Lead Package - 9x9 mm Body [QFN]; see section 10.3 of https://www.parallax.com/sites/default/files/downloads/P8X32A-Propeller-Datasheet-v1.4.0_0.pdf -QFN 0.65 -0 -49 -45 -Package_DFN_QFN -QFN-44-1EP_9x9mm_P0.65mm_EP7.5x7.5mm_ThermalVias -44-Lead Plastic Quad Flat, No Lead Package - 9x9 mm Body [QFN] with thermal vias; see section 10.3 of https://www.parallax.com/sites/default/files/downloads/P8X32A-Propeller-Datasheet-v1.4.0_0.pdf -QFN 0.65 -0 -55 -45 -Package_DFN_QFN -QFN-48-1EP_5x5mm_P0.35mm_EP3.7x3.7mm -QFN, 48 Pin (https://www.espressif.com/sites/default/files/documentation/esp32_datasheet_en.pdf#page=38), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -58 -49 -Package_DFN_QFN -QFN-48-1EP_5x5mm_P0.35mm_EP3.7x3.7mm_ThermalVias -QFN, 48 Pin (https://www.espressif.com/sites/default/files/documentation/esp32_datasheet_en.pdf#page=38), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -75 -49 -Package_DFN_QFN -QFN-48-1EP_6x6mm_P0.4mm_EP4.2x4.2mm -QFN, 48 Pin (https://static.dev.sifive.com/SiFive-FE310-G000-datasheet-v1p5.pdf#page=20), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -58 -49 -Package_DFN_QFN -QFN-48-1EP_6x6mm_P0.4mm_EP4.2x4.2mm_ThermalVias -QFN, 48 Pin (https://static.dev.sifive.com/SiFive-FE310-G000-datasheet-v1p5.pdf#page=20), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -49 -Package_DFN_QFN -QFN-48-1EP_6x6mm_P0.4mm_EP4.3x4.3mm -QFN, 48 Pin (https://www.espressif.com/sites/default/files/documentation/esp32_datasheet_en.pdf#page=38), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -58 -49 -Package_DFN_QFN -QFN-48-1EP_6x6mm_P0.4mm_EP4.3x4.3mm_ThermalVias -QFN, 48 Pin (https://www.espressif.com/sites/default/files/documentation/esp32_datasheet_en.pdf#page=38), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -75 -49 -Package_DFN_QFN -QFN-48-1EP_6x6mm_P0.4mm_EP4.6x4.6mm -QFN, 48 Pin (http://infocenter.nordicsemi.com/pdf/nRF51822_PS_v3.3.pdf#page=67), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -58 -49 -Package_DFN_QFN -QFN-48-1EP_6x6mm_P0.4mm_EP4.6x4.6mm_ThermalVias -QFN, 48 Pin (http://infocenter.nordicsemi.com/pdf/nRF51822_PS_v3.3.pdf#page=67), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -49 -Package_DFN_QFN -QFN-48-1EP_6x6mm_P0.4mm_EP4.66x4.66mm -QFN, 48 Pin (https://www.onsemi.com/pub/Collateral/485BA.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -58 -49 -Package_DFN_QFN -QFN-48-1EP_6x6mm_P0.4mm_EP4.66x4.66mm_ThermalVias -QFN, 48 Pin (https://www.onsemi.com/pub/Collateral/485BA.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP3.5x3.5mm -QFN, 48 Pin (http://ww1.microchip.com/downloads/en/devicedoc/00002117f.pdf#page=69), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -58 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP3.5x3.5mm_ThermalVias -QFN, 48 Pin (http://ww1.microchip.com/downloads/en/devicedoc/00002117f.pdf#page=69), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -75 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.1x5.1mm -QFN, 48 Pin (http://ww1.microchip.com/downloads/en/devicedoc/00002117f.pdf#page=70), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -65 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.1x5.1mm_ThermalVias -QFN, 48 Pin (http://ww1.microchip.com/downloads/en/devicedoc/00002117f.pdf#page=70), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.3x5.3mm -QFN, 48 Pin (https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2041_datasheet.pdf#page=62), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -65 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.3x5.3mm_ThermalVias -QFN, 48 Pin (https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2041_datasheet.pdf#page=62), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm -QFN, 48 Pin (http://www.st.com/resource/en/datasheet/stm32f042k6.pdf#page=94), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -65 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm_ThermalVias -QFN, 48 Pin (http://www.st.com/resource/en/datasheet/stm32f042k6.pdf#page=94), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.15x5.15mm -QFN, 48 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_48_05-08-1704.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -65 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.15x5.15mm_ThermalVias -QFN, 48 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_48_05-08-1704.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.45x5.45mm -QFN, 48 Pin (http://www.thatcorp.com/datashts/THAT_626x_Datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -65 -49 -Package_DFN_QFN -QFN-48-1EP_7x7mm_P0.5mm_EP5.45x5.45mm_ThermalVias -QFN, 48 Pin (http://www.thatcorp.com/datashts/THAT_626x_Datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -49 -Package_DFN_QFN -QFN-48-1EP_8x8mm_P0.5mm_EP6.2x6.2mm -QFN, 48 Pin (https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT232H.pdf#page=49), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -74 -49 -Package_DFN_QFN -QFN-48-1EP_8x8mm_P0.5mm_EP6.2x6.2mm_ThermalVias -QFN, 48 Pin (https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT232H.pdf#page=49), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -111 -49 -Package_DFN_QFN -QFN-52-1EP_7x8mm_P0.5mm_EP5.41x6.45mm -QFN, 52 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_52_05-08-1729.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -73 -53 -Package_DFN_QFN -QFN-52-1EP_7x8mm_P0.5mm_EP5.41x6.45mm_ThermalVias -QFN, 52 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_52_05-08-1729.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -104 -53 -Package_DFN_QFN -QFN-56-1EP_7x7mm_P0.4mm_EP3.2x3.2mm -QFN, 56 Pin (https://datasheets.raspberrypi.com/rp2040/rp2040-datasheet.pdf#page=634), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -61 -57 -Package_DFN_QFN -QFN-56-1EP_7x7mm_P0.4mm_EP3.2x3.2mm_ThermalVias -QFN, 56 Pin (https://datasheets.raspberrypi.com/rp2040/rp2040-datasheet.pdf#page=634), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -66 -57 -Package_DFN_QFN -QFN-56-1EP_7x7mm_P0.4mm_EP4x4mm -QFN, 56 Pin (https://www.espressif.com/sites/default/files/documentation/esp32-s2_datasheet_en.pdf#page=41), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -66 -57 -Package_DFN_QFN -QFN-56-1EP_7x7mm_P0.4mm_EP4x4mm_ThermalVias -QFN, 56 Pin (https://www.espressif.com/sites/default/files/documentation/esp32-s2_datasheet_en.pdf#page=41), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -71 -57 -Package_DFN_QFN -QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm -QFN, 56 Pin (http://www.cypress.com/file/416486/download#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -73 -57 -Package_DFN_QFN -QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm_ThermalVias -QFN, 56 Pin (http://www.cypress.com/file/416486/download#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -99 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP4.3x4.3mm -QFN, 56 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00002142A.pdf#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -73 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP4.3x4.3mm_ThermalVias -QFN, 56 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00002142A.pdf#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -99 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP4.5x5.2mm -QFN, 56 Pin (http://www.ti.com/lit/an/scea032/scea032.pdf#page=4), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -73 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP4.5x5.2mm_ThermalVias -QFN, 56 Pin (http://www.ti.com/lit/an/scea032/scea032.pdf#page=4), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -99 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP4.5x5.2mm_ThermalVias_TopTented -QFN, 56 Pin top tented version (manually modified). For information see: http://www.cypress.com/file/138911/download -QFN DFN_QFN -0 -115 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP5.6x5.6mm -QFN, 56 Pin (http://www.ti.com/lit/ds/symlink/tlc5957.pdf#page=23), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -73 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP5.6x5.6mm_ThermalVias -QFN, 56 Pin (http://www.ti.com/lit/ds/symlink/tlc5957.pdf#page=23), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -99 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP5.9x5.9mm -QFN, 56 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00001734B.pdf#page=50), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -73 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP5.9x5.9mm_ThermalVias -QFN, 56 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00001734B.pdf#page=50), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -99 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP6.1x6.1mm -QFN, 56 Pin (http://intantech.com/files/Intan_RHD2000_series_datasheet.pdf#page=38), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -73 -57 -Package_DFN_QFN -QFN-56-1EP_8x8mm_P0.5mm_EP6.1x6.1mm_ThermalVias -QFN, 56 Pin (http://intantech.com/files/Intan_RHD2000_series_datasheet.pdf#page=38), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -99 -57 -Package_DFN_QFN -QFN-64-1EP_8x8mm_P0.4mm_EP6.5x6.5mm -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/64L_VQFN_8x8_with%206_5x6_5%20EP_JXX_C04-0437A.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -90 -65 -Package_DFN_QFN -QFN-64-1EP_8x8mm_P0.4mm_EP6.5x6.5mm_ThermalVias -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/64L_VQFN_8x8_with%206_5x6_5%20EP_JXX_C04-0437A.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -127 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP3.4x3.4mm -QFN, 64 Pin (www.intel.com/content/www/us/en/ethernet-controllers/i210-ethernet-controller-datasheet.html), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -74 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP3.4x3.4mm_ThermalVias -QFN, 64 Pin (www.intel.com/content/www/us/en/ethernet-controllers/i210-ethernet-controller-datasheet.html), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -79 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP3.8x3.8mm -QFN, 64 Pin (https://datasheet.lcsc.com/szlcsc/Realtek-Semicon-RTL8211EG-VB-CG_C69264.pdf#page=77), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -74 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP3.8x3.8mm_ThermalVias -QFN, 64 Pin (https://datasheet.lcsc.com/szlcsc/Realtek-Semicon-RTL8211EG-VB-CG_C69264.pdf#page=77), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -79 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP4.1x4.1mm -QFN, 64 Pin (https://www.silabs.com/documents/public/data-sheets/cp2108-datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -74 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP4.1x4.1mm_ThermalVias -QFN, 64 Pin (https://www.silabs.com/documents/public/data-sheets/cp2108-datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -79 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP4.7x4.7mm -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/60001477A.pdf (page 1083)), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -74 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP4.7x4.7mm_ThermalVias -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/60001477A.pdf (page 1083)), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP4.35x4.35mm -QFN, 64 Pin (https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT2232H.pdf#page=57), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -74 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP4.35x4.35mm_ThermalVias -QFN, 64 Pin (https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT2232H.pdf#page=57), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -91 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP5.2x5.2mm -QFN, 64 Pin (https://www.silabs.com/documents/public/data-sheets/Si5345-44-42-D-DataSheet.pdf#page=51), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -81 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP5.2x5.2mm_ThermalVias -QFN, 64 Pin (https://www.silabs.com/documents/public/data-sheets/Si5345-44-42-D-DataSheet.pdf#page=51), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -107 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP5.4x5.4mm -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/70593d.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -81 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP5.4x5.4mm_ThermalVias -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/70593d.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -107 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP5.45x5.45mm -QFN, 64 Pin (https://www.infineon.com/dgdl/Infineon-MA12040-DS-v01_00-EN.pdf?fileId=5546d46264a8de7e0164b7467a3d617c#page=81), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -81 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP5.45x5.45mm_ThermalVias -QFN, 64 Pin (https://www.infineon.com/dgdl/Infineon-MA12040-DS-v01_00-EN.pdf?fileId=5546d46264a8de7e0164b7467a3d617c#page=81), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -107 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP6x6mm -QFN, 64 Pin (http://www.ti.com/lit/ds/symlink/tusb8041.pdf#page=42), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -81 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP6x6mm_ThermalVias -QFN, 64 Pin (http://www.ti.com/lit/ds/symlink/tusb8041.pdf#page=42), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -107 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.3x7.3mm -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00002304A.pdf (page 43)), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -90 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.3x7.3mm_ThermalVias -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00002304A.pdf (page 43)), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -127 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.5x7.5mm -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc7593.pdf (page 432)), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -101 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.5x7.5mm_ThermalVias -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc7593.pdf (page 432)), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -151 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.15x7.15mm -QFN, 64 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/229321fa.pdf#page=27), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -90 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.15x7.15mm_ThermalVias -QFN, 64 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/229321fa.pdf#page=27), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -127 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.25x7.25mm -64-Lead Plastic Quad Flat No-Lead Package, 9x9mm Body (see Atmel Appnote 8826) -QFN 0.5 -0 -90 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.35x7.35mm -64-Lead Plastic Quad Flat, No Lead Package (MR) - 9x9x0.9 mm Body [QFN]; (see Microchip Packaging Specification 00000049BS.pdf) -QFN 0.5 -0 -90 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.65x7.65mm -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-2549-8-bit-AVR-Microcontroller-ATmega640-1280-1281-2560-2561_datasheet.pdf (page 415)), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -101 -65 -Package_DFN_QFN -QFN-64-1EP_9x9mm_P0.5mm_EP7.65x7.65mm_ThermalVias -QFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-2549-8-bit-AVR-Microcontroller-ATmega640-1280-1281-2560-2561_datasheet.pdf (page 415)), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -151 -65 -Package_DFN_QFN -QFN-68-1EP_8x8mm_P0.4mm_EP5.2x5.2mm -QFN, 68 Pin (https://cdn.microsemi.com/documents/1bf6886f-5919-4508-a50b-b1dbf3fdf0f4/download/#page=98), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -85 -69 -Package_DFN_QFN -QFN-68-1EP_8x8mm_P0.4mm_EP5.2x5.2mm_ThermalVias -QFN, 68 Pin (https://cdn.microsemi.com/documents/1bf6886f-5919-4508-a50b-b1dbf3fdf0f4/download/#page=98), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -111 -69 -Package_DFN_QFN -QFN-68-1EP_8x8mm_P0.4mm_EP6.4x6.4mm -QFN, 68 Pin (https://www.st.com/resource/en/datasheet/stm32h725ze.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -85 -69 -Package_DFN_QFN -QFN-68-1EP_8x8mm_P0.4mm_EP6.4x6.4mm_ThermalVias -QFN, 68 Pin (https://www.st.com/resource/en/datasheet/stm32h725ze.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -111 -69 -Package_DFN_QFN -QFN-72-1EP_10x10mm_P0.5mm_EP6x6mm -QFN, 72 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00001682C.pdf#page=70), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -82 -73 -Package_DFN_QFN -QFN-72-1EP_10x10mm_P0.5mm_EP6x6mm_ThermalVias -QFN, 72 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00001682C.pdf#page=70), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -135 -73 -Package_DFN_QFN -QFN-76-1EP_9x9mm_P0.4mm_EP3.8x3.8mm -QFN, 76 Pin (https://www.marvell.com/documents/bqcwxsoiqfjkcjdjhkvc/#page=19), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -86 -77 -Package_DFN_QFN -QFN-76-1EP_9x9mm_P0.4mm_EP3.8x3.8mm_ThermalVias -QFN, 76 Pin (https://www.marvell.com/documents/bqcwxsoiqfjkcjdjhkvc/#page=19), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -103 -77 -Package_DFN_QFN -QFN-76-1EP_9x9mm_P0.4mm_EP5.81x6.31mm -QFN, 76 Pin (https://ftdichip.com/wp-content/uploads/2020/07/DS_FT600Q-FT601Q-IC-Datasheet.pdf#page=27), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -78 -77 -Package_DFN_QFN -QFN-76-1EP_9x9mm_P0.4mm_EP5.81x6.31mm_ThermalVias -QFN, 76 Pin (https://ftdichip.com/wp-content/uploads/2020/07/DS_FT600Q-FT601Q-IC-Datasheet.pdf#page=27), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -103 -77 -Package_DFN_QFN -Qorvo_DFN-8-1EP_2x2mm_P0.5mm -DFN 8 2x2mm, 0.5mm http://www.qorvo.com/products/d/da000896 -DFN 0.5 Qorvo 2x2mm -0 -9 -9 -Package_DFN_QFN -ROHM_DFN0604-3 -DFN package size 0604 3 pins -DFN package size 0604 3 pins -0 -3 -3 -Package_DFN_QFN -ST_UFQFPN-20_3x3mm_P0.5mm -UFQFPN 20-lead, 3 x 3 mm, 0.5 mm pitch, ultra thin fine pitch quad flat package (http://www.st.com/resource/en/datasheet/stm8s003f3.pdf) -UFQFPN 0.5 -0 -20 -20 -Package_DFN_QFN -ST_UQFN-6L_1.5x1.7mm_P0.5mm -ST UQFN 6 pin 0.5mm Pitch http://www.st.com/resource/en/datasheet/ecmf02-2amx6.pdf -UQFN DFN 0.5 ST -0 -6 -6 -Package_DFN_QFN -SiliconLabs_QFN-20-1EP_3x3mm_P0.5mm_EP1.8x1.8mm -20-Lead Plastic Quad Flat, No Lead Package - 3x3 mm Body [QFN] with corner pads; see figure 8.2 of https://www.silabs.com/documents/public/data-sheets/efm8bb1-datasheet.pdf -QFN 0.5 -0 -25 -21 -Package_DFN_QFN -SiliconLabs_QFN-20-1EP_3x3mm_P0.5mm_EP1.8x1.8mm_ThermalVias -20-Lead Plastic Quad Flat, No Lead Package - 3x3 mm Body [QFN] with corner pads and thermal vias; see figure 8.2 of https://www.silabs.com/documents/public/data-sheets/efm8bb1-datasheet.pdf -QFN 0.5 -0 -31 -21 -Package_DFN_QFN -TDFN-6-1EP_2.5x2.5mm_P0.65mm_EP1.3x2mm -TDFN, 6 Pin (http://www.nve.com/Downloads/ab3.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -11 -7 -Package_DFN_QFN -TDFN-6-1EP_2.5x2.5mm_P0.65mm_EP1.3x2mm_ThermalVias -TDFN, 6 Pin (http://www.nve.com/Downloads/ab3.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -18 -7 -Package_DFN_QFN -TDFN-8-1EP_2x2mm_P0.5mm_EP0.8x1.2mm -TDFN, 8 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0168.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -13 -9 -Package_DFN_QFN -TDFN-8-1EP_3x2mm_P0.5mm_EP1.3x1.4mm -TDFN, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/MCP6V66-Family-Data-Sheet-DS20006266A.pdf#page=35), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -13 -9 -Package_DFN_QFN -TDFN-8-1EP_3x2mm_P0.5mm_EP1.4x1.4mm -TDFN, 8 Pin (http://ww1.microchip.com/downloads/en/devicedoc/20005514a.pdf#page=35), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -13 -9 -Package_DFN_QFN -TDFN-8-1EP_3x2mm_P0.5mm_EP1.80x1.65mm -8-lead plastic dual flat, 2x3x0.75mm size, 0.5mm pitch (http://ww1.microchip.com/downloads/en/DeviceDoc/8L_TDFN_2x3_MN_C04-0129E-MN.pdf) -TDFN DFN 0.5mm -0 -12 -9 -Package_DFN_QFN -TDFN-8-1EP_3x2mm_P0.5mm_EP1.80x1.65mm_ThermalVias -8-lead plastic dual flat, 2x3x0.75mm size, 0.5mm pitch (http://ww1.microchip.com/downloads/en/DeviceDoc/8L_TDFN_2x3_MN_C04-0129E-MN.pdf) -TDFN DFN 0.5mm -0 -17 -9 -Package_DFN_QFN -TDFN-8_1.4x1.6mm_P0.4mm -TDFN, 8 Pin (https://www.silabs.com/documents/public/data-sheets/si7210-datasheet.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -8 -8 -Package_DFN_QFN -TDFN-10-1EP_2x3mm_P0.5mm_EP0.9x2mm -TDFN, 10 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0429.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -13 -11 -Package_DFN_QFN -TDFN-10-1EP_2x3mm_P0.5mm_EP0.9x2mm_ThermalVias -TDFN, 10 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0429.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -16 -11 -Package_DFN_QFN -TDFN-12-1EP_3x3mm_P0.4mm_EP1.7x2.45mm -TDFN, 12 Pin (https://www.renesas.com/us/en/document/psc/package-drawing-tdfn-12pin-l123x3c), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -25 -13 -Package_DFN_QFN -TDFN-12-1EP_3x3mm_P0.4mm_EP1.7x2.45mm_ThermalVias -TDFN, 12 Pin (https://www.renesas.com/us/en/document/psc/package-drawing-tdfn-12pin-l123x3c), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -32 -13 -Package_DFN_QFN -TDFN-12_2x3mm_P0.5mm -TDFN, 12 Pads, No exposed, http://www.st.com/resource/en/datasheet/stm6600.pdf -DFN -0 -12 -12 -Package_DFN_QFN -TDFN-14-1EP_3x3mm_P0.4mm_EP1.78x2.35mm -TDFN, 14 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0137.PDF (T1433-2C)), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -19 -15 -Package_DFN_QFN -TDFN-14-1EP_3x3mm_P0.4mm_EP1.78x2.35mm_ThermalVias -TDFN, 14 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0137.PDF (T1433-2C)), generated with kicad-footprint-generator ipc_noLead_generator.py -TDFN NoLead -0 -26 -15 -Package_DFN_QFN -TQFN-16-1EP_3x3mm_P0.5mm_EP1.6x1.6mm -TQFN, 16 Pin (https://www.diodes.com/assets/Datasheets/PI6C5946002.pdf#page=12), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -21 -17 -Package_DFN_QFN -TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm -TQFN, 16 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0136.PDF (T1633-5), https://pdfserv.maximintegrated.com/land_patterns/90-0032.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -21 -17 -Package_DFN_QFN -TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm_ThermalVias -TQFN, 16 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0136.PDF (T1633-5), https://pdfserv.maximintegrated.com/land_patterns/90-0032.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -26 -17 -Package_DFN_QFN -TQFN-16-1EP_5x5mm_P0.8mm_EP2.29x2.29mm -TQFN, 16 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T1655-4)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -21 -17 -Package_DFN_QFN -TQFN-16-1EP_5x5mm_P0.8mm_EP2.29x2.29mm_ThermalVias -TQFN, 16 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T1655-4)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -26 -17 -Package_DFN_QFN -TQFN-16-1EP_5x5mm_P0.8mm_EP3.1x3.1mm -TQFN, 16 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T1655-2)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -21 -17 -Package_DFN_QFN -TQFN-16-1EP_5x5mm_P0.8mm_EP3.1x3.1mm_ThermalVias -TQFN, 16 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T1655-2)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -31 -17 -Package_DFN_QFN -TQFN-20-1EP_4x4mm_P0.5mm_EP2.1x2.1mm -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0139.PDF (T2044-2)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -25 -21 -Package_DFN_QFN -TQFN-20-1EP_4x4mm_P0.5mm_EP2.1x2.1mm_ThermalVias -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0139.PDF (T2044-2)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -30 -21 -Package_DFN_QFN -TQFN-20-1EP_4x4mm_P0.5mm_EP2.7x2.7mm -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0139.PDF (T2044-5C)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -25 -21 -Package_DFN_QFN -TQFN-20-1EP_4x4mm_P0.5mm_EP2.7x2.7mm_ThermalVias -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0139.PDF (T2044-5C)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -35 -21 -Package_DFN_QFN -TQFN-20-1EP_4x4mm_P0.5mm_EP2.9x2.9mm -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-100172.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -25 -21 -Package_DFN_QFN -TQFN-20-1EP_4x4mm_P0.5mm_EP2.9x2.9mm_ThermalVias -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-100172.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -35 -21 -Package_DFN_QFN -TQFN-20-1EP_5x5mm_P0.65mm_EP3.1x3.1mm -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T2055-3)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -25 -21 -Package_DFN_QFN -TQFN-20-1EP_5x5mm_P0.65mm_EP3.1x3.1mm_ThermalVias -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T2055-3)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -35 -21 -Package_DFN_QFN -TQFN-20-1EP_5x5mm_P0.65mm_EP3.25x3.25mm -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T2055-5)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -25 -21 -Package_DFN_QFN -TQFN-20-1EP_5x5mm_P0.65mm_EP3.25x3.25mm_ThermalVias -TQFN, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T2055-5)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -35 -21 -Package_DFN_QFN -TQFN-24-1EP_4x4mm_P0.5mm_EP2.1x2.1mm -TQFN, 24 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0139.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -29 -25 -Package_DFN_QFN -TQFN-24-1EP_4x4mm_P0.5mm_EP2.1x2.1mm_ThermalVias -TQFN, 24 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0139.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -39 -25 -Package_DFN_QFN -TQFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm -TQFN, 24 Pin (http://pdfserv.maxim-ic.com/package_dwgs/21-0139.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -29 -25 -Package_DFN_QFN -TQFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias -TQFN, 24 Pin (http://pdfserv.maxim-ic.com/package_dwgs/21-0139.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -39 -25 -Package_DFN_QFN -TQFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm_PullBack -TQFN, 24 Pin (https://ams.com/documents/20143/36005/AS1115_DS000206_1-00.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -29 -25 -Package_DFN_QFN -TQFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm_PullBack_ThermalVias -TQFN, 24 Pin (https://ams.com/documents/20143/36005/AS1115_DS000206_1-00.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -39 -25 -Package_DFN_QFN -TQFN-28-1EP_5x5mm_P0.5mm_EP2.7x2.7mm -TQFN, 28 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T2855-4)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -33 -29 -Package_DFN_QFN -TQFN-28-1EP_5x5mm_P0.5mm_EP2.7x2.7mm_ThermalVias -TQFN, 28 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T2855-4)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -38 -29 -Package_DFN_QFN -TQFN-28-1EP_5x5mm_P0.5mm_EP3.25x3.25mm -TQFN, 28 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T2855-3)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -33 -29 -Package_DFN_QFN -TQFN-28-1EP_5x5mm_P0.5mm_EP3.25x3.25mm_ThermalVias -TQFN, 28 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T2855-3)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -43 -29 -Package_DFN_QFN -TQFN-32-1EP_5x5mm_P0.5mm_EP2.1x2.1mm -TQFN, 32 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T3255-6)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -37 -33 -Package_DFN_QFN -TQFN-32-1EP_5x5mm_P0.5mm_EP2.1x2.1mm_ThermalVias -TQFN, 32 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T3255-6)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -42 -33 -Package_DFN_QFN -TQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm -TQFN, 32 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T3255-3)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -37 -33 -Package_DFN_QFN -TQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm_ThermalVias -TQFN, 32 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T3255-3)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -47 -33 -Package_DFN_QFN -TQFN-32-1EP_5x5mm_P0.5mm_EP3.4x3.4mm -TQFN, 32 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T3255-9)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -37 -33 -Package_DFN_QFN -TQFN-32-1EP_5x5mm_P0.5mm_EP3.4x3.4mm_ThermalVias -TQFN, 32 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T3255-9)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -47 -33 -Package_DFN_QFN -TQFN-40-1EP_5x5mm_P0.4mm_EP3.5x3.5mm -TQFN, 40 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T4055-1)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -45 -41 -Package_DFN_QFN -TQFN-40-1EP_5x5mm_P0.4mm_EP3.5x3.5mm_ThermalVias -TQFN, 40 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF (T4055-1)), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -55 -41 -Package_DFN_QFN -TQFN-48-1EP_7x7mm_P0.5mm_EP5.1x5.1mm -TQFN, 48 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0144.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -58 -49 -Package_DFN_QFN -TQFN-48-1EP_7x7mm_P0.5mm_EP5.1x5.1mm_ThermalVias -TQFN, 48 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0144.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -TQFN NoLead -0 -75 -49 -Package_DFN_QFN -Texas_B3QFN-14-1EP_5x5.5mm_P0.65mm -Texas instruments QFN Package, datasheet: https://www.ti.com/lit/ds/symlink/tpsm53602.pdf -Texas instruments QFN -0 -15 -15 -Package_DFN_QFN -Texas_B3QFN-14-1EP_5x5.5mm_P0.65mm_ThermalVia -Texas instruments QFN Package, datasheet: https://www.ti.com/lit/ds/symlink/tpsm53602.pdf -Texas instruments QFN -0 -34 -15 -Package_DFN_QFN -Texas_DRB0008A -DFN-8, 3x3x1mm, http://www.ti.com/lit/ds/symlink/ucc24610.pdf -DRB0008A -0 -23 -9 -Package_DFN_QFN -Texas_MOF0009A -Texas Instruments, QFM MOF0009A, 6x8x2mm (http://www.ti.com/lit/ml/mpsi063a/mpsi063a.pdf) -ti qfm mof0009a -0 -24 -9 -Package_DFN_QFN -Texas_QFN-41_10x16mm -QFN, 41 Pin (http://www.ti.com/lit/ml/mpqf506/mpqf506.pdf) -QFN DFN_QFN -0 -65 -41 -Package_DFN_QFN -Texas_R-PUQFN-N10 -http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=MPQF186&fileType=pdf -Texas_R-PUQFN-N10 -0 -10 -10 -Package_DFN_QFN -Texas_R-PUQFN-N12 -Texas_R-PUQFN-N12 http://www.ti.com/lit/ds/symlink/txb0104.pdf -Texas_R-PUQFN-N12 -0 -13 -12 -Package_DFN_QFN -Texas_R-PWQFN-N28_EP2.1x3.1mm -QFN, 28 Pin (http://www.ti.com/lit/ds/symlink/tps51363.pdf#page=29), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -33 -29 -Package_DFN_QFN -Texas_R-PWQFN-N28_EP2.1x3.1mm_ThermalVias -QFN, 28 Pin (http://www.ti.com/lit/ds/symlink/tps51363.pdf#page=29), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -43 -29 -Package_DFN_QFN -Texas_RGE0024C_EP2.1x2.1mm -Texas QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/pca9548a.pdf#page=37), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas QFN NoLead -0 -29 -25 -Package_DFN_QFN -Texas_RGE0024C_EP2.1x2.1mm_ThermalVias -Texas QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/pca9548a.pdf#page=37), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas QFN NoLead -0 -39 -25 -Package_DFN_QFN -Texas_RGE0024H_EP2.7x2.7mm -Texas QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=39), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas QFN NoLead -0 -29 -25 -Package_DFN_QFN -Texas_RGE0024H_EP2.7x2.7mm_ThermalVias -Texas QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=39), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas QFN NoLead -0 -39 -25 -Package_DFN_QFN -Texas_RGV_S-PVQFN-N16_EP2.1x2.1mm -QFN, 16 Pin (http://www.ti.com/lit/ds/symlink/ina3221.pdf#page=44), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -Texas_RGV_S-PVQFN-N16_EP2.1x2.1mm_ThermalVias -QFN, 16 Pin (http://www.ti.com/lit/ds/symlink/ina3221.pdf#page=44), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -Texas_RGY_R-PVQFN-N16_EP2.05x2.55mm -QFN, 16 Pin (https://www.ti.com/lit/ds/symlink/ts5v330.pdf#page=28) -QFN NoLead -0 -21 -17 -Package_DFN_QFN -Texas_RGY_R-PVQFN-N16_EP2.05x2.55mm_ThermalVias -QFN, 16 Pin (https://www.ti.com/lit/ds/symlink/ts5v330.pdf#page=28) -QFN NoLead -0 -27 -18 -Package_DFN_QFN -Texas_RGY_R-PVQFN-N20_EP2.05x3.05mm -QFN, 20 Pin, RGY0020A (https://www.ti.com/lit/ds/symlink/txb0108.pdf#page=33) -QFN NoLead -0 -25 -21 -Package_DFN_QFN -Texas_RGY_R-PVQFN-N20_EP2.05x3.05mm_ThermalVias -QFN, 20 Pin, RGY0020A (https://www.ti.com/lit/ds/symlink/txb0108.pdf#page=33) -QFN NoLead -0 -29 -21 -Package_DFN_QFN -Texas_RGY_R-PVQFN-N24_EP2.05x3.1mm -QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/bq24133.pdf#page=40) -QFN NoLead -0 -29 -25 -Package_DFN_QFN -Texas_RGY_R-PVQFN-N24_EP2.05x3.1mm_ThermalVias -QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/bq24133.pdf#page=40) -QFN NoLead -0 -36 -25 -Package_DFN_QFN -Texas_RJE0020A_VQFN-20-1EP_3x3mm_P0.45mm_EP0.675x0.76mm -Texas RJE0020A VQFN, 20 Pin (https://www.ti.com/lit/ds/symlink/tps51396a.pdf#page=31), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas VQFN NoLead -0 -22 -21 -Package_DFN_QFN -Texas_RJE0020A_VQFN-20-1EP_3x3mm_P0.45mm_EP0.675x0.76mm_ThermalVias -Texas RJE0020A VQFN, 20 Pin (https://www.ti.com/lit/ds/symlink/tps51396a.pdf#page=31), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas VQFN NoLead -0 -24 -21 -Package_DFN_QFN -Texas_RNN0018A -Texas Instruments, VQFN-HR RNN0018A (http://www.ti.com/lit/ds/symlink/tps568215.pdf) -ti vqfn-hr rnn0018a -0 -26 -18 -Package_DFN_QFN -Texas_RUM0016A_EP2.6x2.6mm -QFN, 16 Pin (http://www.ti.com/lit/ds/symlink/lmh0074.pdf#page=13), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -Texas_RUM0016A_EP2.6x2.6mm_ThermalVias -QFN, 16 Pin (http://www.ti.com/lit/ds/symlink/lmh0074.pdf#page=13), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -Texas_RWH0032A -Texas Instruments, RWH0032A, 8x8x0.9mm (http://www.ti.com/lit/ds/snosd10c/snosd10c.pdf) -ti rwh0032a -0 -71 -33 -Package_DFN_QFN -Texas_RWH0032A_ThermalVias -Texas Instruments, RWH0032A, 8x8x0.9mm (http://www.ti.com/lit/ds/snosd10c/snosd10c.pdf) -ti rwh0032a -0 -94 -33 -Package_DFN_QFN -Texas_S-PDSO-N10_EP1.2x2mm -DFN, 10 Pin (http://www.ti.com/lit/ds/symlink/tps7a91.pdf#page=30), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -15 -11 -Package_DFN_QFN -Texas_S-PDSO-N10_EP1.2x2mm_ThermalVias -DFN, 10 Pin (http://www.ti.com/lit/ds/symlink/tps7a91.pdf#page=30), generated with kicad-footprint-generator ipc_noLead_generator.py -DFN NoLead -0 -22 -11 -Package_DFN_QFN -Texas_S-PVQFN-N14 -Texas_S-PVQFN-N14 http://www.ti.com/lit/ds/symlink/txb0104.pdf -Texas_S-PVQFN-N14_4.3x4.3_Pitch0.5mm_ThermalPad -0 -19 -15 -Package_DFN_QFN -Texas_S-PVQFN-N14_ThermalVias -Texas_S-PVQFN-N14_ThermalVias http://www.ti.com/lit/ds/symlink/txb0104.pdf -Texas_S-PVQFN-N14_ThermalVias -0 -24 -15 -Package_DFN_QFN -Texas_S-PVQFN-N16_EP2.7x2.7mm -QFN, 16 Pin (http://www.ti.com/lit/ds/symlink/msp430g2001.pdf#page=43), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -26 -17 -Package_DFN_QFN -Texas_S-PVQFN-N16_EP2.7x2.7mm_ThermalVias -QFN, 16 Pin (http://www.ti.com/lit/ds/symlink/msp430g2001.pdf#page=43), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -Texas_S-PVQFN-N20_EP2.4x2.4mm -QFN, 20 Pin (http://www.ti.com/lit/ds/symlink/cc1101.pdf#page=101), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -Texas_S-PVQFN-N20_EP2.4x2.4mm_ThermalVias -QFN, 20 Pin (http://www.ti.com/lit/ds/symlink/cc1101.pdf#page=101), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -35 -21 -Package_DFN_QFN -Texas_S-PVQFN-N20_EP2.7x2.7mm -QFN, 20 Pin (http://www.ti.com/lit/ds/symlink/drv8662.pdf#page=23), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -Texas_S-PVQFN-N20_EP2.7x2.7mm_ThermalVias -QFN, 20 Pin (http://www.ti.com/lit/ds/symlink/drv8662.pdf#page=23), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -35 -21 -Package_DFN_QFN -Texas_S-PVQFN-N20_EP3.15x3.15mm -QFN, 20 Pin (www.ti.com/lit/ds/symlink/tps7a7200.pdf#page=36), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -25 -21 -Package_DFN_QFN -Texas_S-PVQFN-N20_EP3.15x3.15mm_ThermalVias -QFN, 20 Pin (www.ti.com/lit/ds/symlink/tps7a7200.pdf#page=36), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -47 -21 -Package_DFN_QFN -Texas_S-PVQFN-N24_EP2.1x2.1mm -QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/msp430fr5720.pdf#page=108), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -Texas_S-PVQFN-N24_EP2.1x2.1mm_ThermalVias -QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/msp430fr5720.pdf#page=108), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -Texas_S-PVQFN-N32_EP3.45x3.45mm -QFN, 32 Pin (http://www.ti.com/lit/ds/symlink/msp430f1122.pdf#page=54), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -42 -33 -Package_DFN_QFN -Texas_S-PVQFN-N32_EP3.45x3.45mm_ThermalVias -QFN, 32 Pin (http://www.ti.com/lit/ds/symlink/msp430f1122.pdf#page=54), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -59 -33 -Package_DFN_QFN -Texas_S-PVQFN-N36_EP4.4x4.4mm -QFN, 36 Pin (http://www.ti.com/lit/ds/slvsba5d/slvsba5d.pdf#page=35), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -46 -37 -Package_DFN_QFN -Texas_S-PVQFN-N36_EP4.4x4.4mm_ThermalVias -QFN, 36 Pin (http://www.ti.com/lit/ds/slvsba5d/slvsba5d.pdf#page=35), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -79 -37 -Package_DFN_QFN -Texas_S-PVQFN-N40_EP2.9x2.9mm -QFN, 40 Pin (http://www.ti.com/lit/ds/symlink/msp430fr5731.pdf#page=111 JEDEC MO-220 variation VJJD-2), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -45 -41 -Package_DFN_QFN -Texas_S-PVQFN-N40_EP2.9x2.9mm_ThermalVias -QFN, 40 Pin (http://www.ti.com/lit/ds/symlink/msp430fr5731.pdf#page=111 JEDEC MO-220 variation VJJD-2), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -55 -41 -Package_DFN_QFN -Texas_S-PVQFN-N40_EP3.52x2.62mm -QFN, 40 Pin (http://www.ti.com/lit/ds/symlink/drv8308.pdf#page=56 JEDEC MO-220 variation VJJD-2), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -47 -41 -Package_DFN_QFN -Texas_S-PVQFN-N40_EP3.52x2.62mm_ThermalVias -QFN, 40 Pin (http://www.ti.com/lit/ds/symlink/drv8308.pdf#page=56 JEDEC MO-220 variation VJJD-2), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -60 -41 -Package_DFN_QFN -Texas_S-PVQFN-N40_EP4.6x4.6mm -QFN, 40 Pin (http://www.ti.com/lit/ds/symlink/dac7750.pdf#page=54), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -50 -41 -Package_DFN_QFN -Texas_S-PVQFN-N40_EP4.6x4.6mm_ThermalVias -QFN, 40 Pin (http://www.ti.com/lit/ds/symlink/dac7750.pdf#page=54), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -83 -41 -Package_DFN_QFN -Texas_S-PVQFN-N40_EP4.15x4.15mm -QFN, 40 Pin (http://www.ti.com/lit/ds/symlink/msp430g2755.pdf#page=70 JEDEC MO-220 variation VJJD-2), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -50 -41 -Package_DFN_QFN -Texas_S-PVQFN-N40_EP4.15x4.15mm_ThermalVias -QFN, 40 Pin (http://www.ti.com/lit/ds/symlink/msp430g2755.pdf#page=70 JEDEC MO-220 variation VJJD-2), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -83 -41 -Package_DFN_QFN -Texas_S-PVQFN-N48_EP5.15x5.15mm -QFN, 48 Pin (http://www.ti.com/lit/ds/symlink/msp430f5232.pdf#page=111), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -65 -49 -Package_DFN_QFN -Texas_S-PVQFN-N48_EP5.15x5.15mm_ThermalVias -QFN, 48 Pin (http://www.ti.com/lit/ds/symlink/msp430f5232.pdf#page=111), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -111 -49 -Package_DFN_QFN -Texas_S-PVQFN-N64_EP4.25x4.25mm -QFN, 64 Pin (http://www.ti.com/lit/ds/symlink/msp430f5217.pdf#page=120), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -69 -65 -Package_DFN_QFN -Texas_S-PVQFN-N64_EP4.25x4.25mm_ThermalVias -QFN, 64 Pin (http://www.ti.com/lit/ds/symlink/msp430f5217.pdf#page=120), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -127 -65 -Package_DFN_QFN -Texas_S-PWQFN-N16_EP1.2x0.8mm -Texas RTE WQFN, 16 Pin (https://www.ti.com/lit/ds/symlink/tps43060.pdf#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas WQFN NoLead -0 -21 -17 -Package_DFN_QFN -Texas_S-PWQFN-N16_EP1.2x0.8mm_ThermalVias -Texas RTE WQFN, 16 Pin (https://www.ti.com/lit/ds/symlink/tps43060.pdf#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas WQFN NoLead -0 -23 -17 -Package_DFN_QFN -Texas_S-PWQFN-N16_EP2.1x2.1mm -QFN, 16 Pin (http://www.ti.com/lit/ds/symlink/drv8801.pdf#page=31 MO-220 variation VGGC), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -21 -17 -Package_DFN_QFN -Texas_S-PWQFN-N16_EP2.1x2.1mm_ThermalVias -QFN, 16 Pin (http://www.ti.com/lit/ds/symlink/drv8801.pdf#page=31 MO-220 variation VGGC), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -31 -17 -Package_DFN_QFN -Texas_S-PWQFN-N20 -20-Pin Plastic Quad Flatpack No-Lead Package, Body 3.0x3.0x0.8mm, Texas Instruments (http://www.ti.com/lit/ds/symlink/tps22993.pdf) -QFN 0.4 -0 -24 -20 -Package_DFN_QFN -Texas_S-PWQFN-N24_EP2.7x2.7mm -QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/bq25601.pdf#page=54), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -29 -25 -Package_DFN_QFN -Texas_S-PWQFN-N24_EP2.7x2.7mm_ThermalVias -QFN, 24 Pin (http://www.ti.com/lit/ds/symlink/bq25601.pdf#page=54), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -39 -25 -Package_DFN_QFN -Texas_S-PWQFN-N32_EP2.8x2.8mm -QFN, 32 Pin (https://www.ti.com/lit/ds/symlink/bq25703a.pdf#page=90), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -37 -33 -Package_DFN_QFN -Texas_S-PWQFN-N32_EP2.8x2.8mm_ThermalVias -QFN, 32 Pin (https://www.ti.com/lit/ds/symlink/bq25703a.pdf#page=90), generated with kicad-footprint-generator ipc_noLead_generator.py -QFN NoLead -0 -47 -33 -Package_DFN_QFN -Texas_S-PWQFN-N100_EP5.5x5.5mm -http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=szza059&fileType=pdf,http://www.ti.com/lit/ds/sllse76m/sllse76m.pdf -MultiRow QFN -0 -114 -105 -Package_DFN_QFN -Texas_S-PWQFN-N100_EP5.5x5.5mm_ThermalVias -http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=szza059&fileType=pdf,http://www.ti.com/lit/ds/sllse76m/sllse76m.pdf -MultiRow QFN -0 -131 -105 -Package_DFN_QFN -Texas_S-PX2QFN-14 -Texas QFN, 14 Pin (http://www.ti.com/lit/ds/symlink/tlv9004.pdf#page=64), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas QFN NoLead -0 -14 -14 -Package_DFN_QFN -Texas_UQFN-10_1.5x2mm_P0.5mm -Texas RSE0010A UQFN, 10 Pin (https://www.ti.com/lit/ml/mpqf186d/mpqf186d.pdf) -Texas RSE0010 UQFN NoLead -0 -10 -10 -Package_DFN_QFN -Texas_VQFN-HR-12_2x2.5mm_P0.5mm -Texas VQFN-HR, 12 Pin, http://www.ti.com/lit/ml/mpqf508/mpqf508.pdf -RUX0012A Texas VQFN-HR NoLead -0 -12 -12 -Package_DFN_QFN -Texas_VQFN-HR-12_2x2.5mm_P0.5mm_ThermalVias -Texas VQFN-HR, 12 Pin, http://www.ti.com/lit/ml/mpqf508/mpqf508.pdf -RUX0012A Texas VQFN-HR NoLead -0 -16 -12 -Package_DFN_QFN -Texas_VQFN-HR-20_3x2.5mm_P0.5mm_RQQ0011A -Texas VQFN-HR, 11 Pin, https://www.ti.com/lit/ml/mpqf579/mpqf579.pdf -RQQ0011A -0 -15 -11 -Package_DFN_QFN -Texas_VQFN-RHL-20 -http://www.ti.com/lit/ds/symlink/bq51050b.pdf -RHL0020A -0 -33 -21 -Package_DFN_QFN -Texas_VQFN-RHL-20_ThermalVias -http://www.ti.com/lit/ds/symlink/bq51050b.pdf -RHL0020A -0 -41 -21 -Package_DFN_QFN -Texas_VSON-HR-8_1.5x2mm_P0.5mm -Texas VSON-HR, 8 Pin (http://www.ti.com/lit/ds/symlink/tps62823.pdf#page=29), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas VSON-HR NoLead -0 -8 -8 -Package_DFN_QFN -Texas_WQFN-10_2x2mm_P0.5mm -Texas WQFN, 10 Pin (https://www.ti.com/lit/ds/symlink/tmp461.pdf#page=35 (RUN0010A)), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas WQFN NoLead -0 -10 -10 -Package_DFN_QFN -Texas_WQFN-MR-100_3x3-DapStencil -http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=szza059&fileType=pdf,http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=mpqf258&fileType=pdf,http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=LPPD235&fileType=pdf -MultiRow QFN -0 -113 -105 -Package_DFN_QFN -Texas_WQFN-MR-100_ThermalVias_3x3-DapStencil -http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=szza059&fileType=pdf,http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=mpqf258&fileType=pdf,http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=LPPD235&fileType=pdf -MultiRow QFN -0 -126 -105 -Package_DFN_QFN -Texas_X2QFN-12_1.6x1.6mm_P0.4mm -Texas X2QFN, 12 Pin (http://www.ti.com/lit/ml/mpqf391c/mpqf391c.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas X2QFN NoLead -0 -12 -12 -Package_DFN_QFN -UDFN-4-1EP_1x1mm_P0.65mm_EP0.48x0.48mm -UDFN-4_1x1mm_P0.65mm, http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550x-300mA-Single-Output-LDO-in-Small-Packages-DS20006006A.pdf -UDFN-4_1x1mm_P0.65mm -0 -5 -5 -Package_DFN_QFN -UDFN-9_1.0x3.8mm_P0.5mm -9-pin UDFN package, 1.0x3.8mm, (Ref: https://katalog.we-online.de/pbs/datasheet/824014881.pdf) -UDFN SMD -0 -9 -9 -Package_DFN_QFN -UDFN-10_1.35x2.6mm_P0.5mm -http://www.st.com/content/ccc/resource/technical/document/datasheet/f2/11/8a/ed/40/31/40/56/DM00088292.pdf/files/DM00088292.pdf/jcr:content/translations/en.DM00088292.pdf -UDFN 0.5 uQFN -0 -10 -10 -Package_DFN_QFN -UFQFPN-32-1EP_5x5mm_P0.5mm_EP3.5x3.5mm -UFQFPN, 32 Pin (https://www.st.com/resource/en/datasheet/stm32g071k8.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -UFQFPN NoLead -0 -37 -33 -Package_DFN_QFN -UFQFPN-32-1EP_5x5mm_P0.5mm_EP3.5x3.5mm_ThermalVias -UFQFPN, 32 Pin (https://www.st.com/resource/en/datasheet/stm32g071k8.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -UFQFPN NoLead -0 -47 -33 -Package_DFN_QFN -UQFN-10_1.3x1.8mm_P0.4mm -UQFN, 10 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00001725D.pdf (Page 9)), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -10 -10 -Package_DFN_QFN -UQFN-10_1.4x1.8mm_P0.4mm -UQFN 10pin, https://www.onsemi.com/pub/Collateral/488AT.PDF -UQFN-10_1.4x1.8mm_P0.4mm -0 -10 -10 -Package_DFN_QFN -UQFN-10_1.6x2.1mm_P0.5mm -UQFN, 10 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/00001725D.pdf (Page 12)), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -10 -10 -Package_DFN_QFN -UQFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm -16-Lead Ultra Thin Quad Flat, No Lead Package (UC) - 3x3x0.5 mm Body [UQFN]; (see Microchip Packaging Specification 00000049BS.pdf) -QFN 0.5 -0 -21 -17 -Package_DFN_QFN -UQFN-16-1EP_4x4mm_P0.65mm_EP2.6x2.6mm -UQFN, 16 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/16L_UQFN_4x4x0_5mm_JQ_C04257A.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -21 -17 -Package_DFN_QFN -UQFN-16-1EP_4x4mm_P0.65mm_EP2.6x2.6mm_ThermalVias -UQFN, 16 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/16L_UQFN_4x4x0_5mm_JQ_C04257A.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -31 -17 -Package_DFN_QFN -UQFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm -16-Lead Ultra Thin Plastic Quad Flat, No Lead Package (JQ) - 4x4x0.5 mm Body [UQFN]; (see Microchip Packaging Specification 00000049BS.pdf) -QFN 0.65 -0 -21 -17 -Package_DFN_QFN -UQFN-20-1EP_3x3mm_P0.4mm_EP1.85x1.85mm -UQFN, 20 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=332), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -25 -21 -Package_DFN_QFN -UQFN-20-1EP_3x3mm_P0.4mm_EP1.85x1.85mm_ThermalVias -UQFN, 20 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=332), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -30 -21 -Package_DFN_QFN -UQFN-20-1EP_4x4mm_P0.5mm_EP2.8x2.8mm -UQFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/40001839B.pdf#page=464), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -25 -21 -Package_DFN_QFN -UQFN-20-1EP_4x4mm_P0.5mm_EP2.8x2.8mm_ThermalVias -UQFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/40001839B.pdf#page=464), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -35 -21 -Package_DFN_QFN -UQFN-20_3x3mm_P0.4mm -UQFN, 20 Pin (https://resurgentsemi.com/wp-content/uploads/2018/09/MPR121_rev5-Resurgent.pdf?d453f8&d453f8), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -20 -20 -Package_DFN_QFN -UQFN-28-1EP_4x4mm_P0.4mm_EP2.35x2.35mm -UQFN, 28 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=338), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -33 -29 -Package_DFN_QFN -UQFN-28-1EP_4x4mm_P0.4mm_EP2.35x2.35mm_ThermalVias -UQFN, 28 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=338), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -43 -29 -Package_DFN_QFN -UQFN-40-1EP_5x5mm_P0.4mm_EP3.8x3.8mm -UQFN, 40 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=345), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -50 -41 -Package_DFN_QFN -UQFN-40-1EP_5x5mm_P0.4mm_EP3.8x3.8mm_ThermalVias -UQFN, 40 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=345), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -67 -41 -Package_DFN_QFN -UQFN-48-1EP_6x6mm_P0.4mm_EP4.45x4.45mm -UQFN, 48 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=347), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -58 -49 -Package_DFN_QFN -UQFN-48-1EP_6x6mm_P0.4mm_EP4.45x4.45mm_ThermalVias -UQFN, 48 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=347), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -75 -49 -Package_DFN_QFN -UQFN-48-1EP_6x6mm_P0.4mm_EP4.62x4.62mm -UQFN, 48 Pin (https://github.com/KiCad/kicad-symbols/pull/1189#issuecomment-449506354), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -53 -49 -Package_DFN_QFN -UQFN-48-1EP_6x6mm_P0.4mm_EP4.62x4.62mm_ThermalVias -UQFN, 48 Pin (https://github.com/KiCad/kicad-symbols/pull/1189#issuecomment-449506354), generated with kicad-footprint-generator ipc_noLead_generator.py -UQFN NoLead -0 -75 -49 -Package_DFN_QFN -VDFN-8-1EP_2x2mm_P0.5mm_EP0.9x1.7mm -8-Lead Very Thin Dual Flatpack No-Lead (LZ) - 2x3x0.9 mm Body [VDFN] (see Microchip Packaging Specification 00000049BS.pdf) -DFN 0.5 -0 -11 -9 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.1x1.1mm -VQFN, 16 Pin (https://ww1.microchip.com/downloads/en/DeviceDoc/16L_VQFN-WFS_3x3mm_4MX_C04-00508a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -18 -17 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.1x1.1mm_ThermalVias -VQFN, 16 Pin (https://ww1.microchip.com/downloads/en/DeviceDoc/16L_VQFN-WFS_3x3mm_4MX_C04-00508a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -23 -17 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.6x1.6mm -VQFN, 16 Pin (http://www.ti.com/lit/ds/symlink/cdclvp1102.pdf#page=28), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -21 -17 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.6x1.6mm_ThermalVias -VQFN, 16 Pin (http://www.ti.com/lit/ds/symlink/cdclvp1102.pdf#page=28), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -26 -17 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.8x1.8mm -VQFN, 16 Pin (https://www.st.com/resource/en/datasheet/stspin220.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -21 -17 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.8x1.8mm_ThermalVias -VQFN, 16 Pin (https://www.st.com/resource/en/datasheet/stspin220.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -26 -17 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.45x1.45mm -VQFN, 16 Pin (http://www.ti.com/lit/ds/sbos354a/sbos354a.pdf, JEDEC MO-220 variant VEED-6), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -21 -17 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.45x1.45mm_ThermalVias -VQFN, 16 Pin (http://www.ti.com/lit/ds/sbos354a/sbos354a.pdf, JEDEC MO-220 variant VEED-6), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -26 -17 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.68x1.68mm -VQFN, 16 Pin (http://www.ti.com/lit/ds/symlink/tlv62095.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -21 -17 -Package_DFN_QFN -VQFN-16-1EP_3x3mm_P0.5mm_EP1.68x1.68mm_ThermalVias -VQFN, 16 Pin (http://www.ti.com/lit/ds/symlink/tlv62095.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -26 -17 -Package_DFN_QFN -VQFN-20-1EP_3x3mm_P0.4mm_EP1.7x1.7mm -VQFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/20%20Lead%20VQFN%203x3x0_9mm_1_7EP%20U2B%20C04-21496a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -25 -21 -Package_DFN_QFN -VQFN-20-1EP_3x3mm_P0.4mm_EP1.7x1.7mm_ThermalVias -VQFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/20%20Lead%20VQFN%203x3x0_9mm_1_7EP%20U2B%20C04-21496a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -30 -21 -Package_DFN_QFN -VQFN-20-1EP_3x3mm_P0.45mm_EP1.55x1.55mm -VQFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc8246.pdf#page=264), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -25 -21 -Package_DFN_QFN -VQFN-20-1EP_3x3mm_P0.45mm_EP1.55x1.55mm_ThermalVias -VQFN, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc8246.pdf#page=264), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -30 -21 -Package_DFN_QFN -VQFN-24-1EP_4x4mm_P0.5mm_EP2.5x2.5mm -VQFN, 24 Pin (https://ww1.microchip.com/downloads/aemDocuments/documents/product-documents/package-drawings/24L-VQFN%E2%80%934x4x0.9mm-MJ-C04-00143b.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -29 -25 -Package_DFN_QFN -VQFN-24-1EP_4x4mm_P0.5mm_EP2.5x2.5mm_ThermalVias -VQFN, 24 Pin (https://ww1.microchip.com/downloads/aemDocuments/documents/product-documents/package-drawings/24L-VQFN%E2%80%934x4x0.9mm-MJ-C04-00143b.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -39 -25 -Package_DFN_QFN -VQFN-24-1EP_4x4mm_P0.5mm_EP2.45x2.45mm -VQFN, 24 Pin (http://www.ti.com/lit/ds/symlink/msp430f1101a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -34 -25 -Package_DFN_QFN -VQFN-24-1EP_4x4mm_P0.5mm_EP2.45x2.45mm_ThermalVias -VQFN, 24 Pin (http://www.ti.com/lit/ds/symlink/msp430f1101a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -39 -25 -Package_DFN_QFN -VQFN-28-1EP_4x4mm_P0.45mm_EP2.4x2.4mm -VQFN, 28 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-9505-AT42-QTouch-BSW-AT42QT1060_Datasheet.pdf#page=28), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -33 -29 -Package_DFN_QFN -VQFN-28-1EP_4x4mm_P0.45mm_EP2.4x2.4mm_ThermalVias -VQFN, 28 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-9505-AT42-QTouch-BSW-AT42QT1060_Datasheet.pdf#page=28), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -43 -29 -Package_DFN_QFN -VQFN-28-1EP_4x5mm_P0.5mm_EP2.55x3.55mm -VQFN, 28 Pin (http://www.ti.com/lit/ds/symlink/lm5175.pdf#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -35 -29 -Package_DFN_QFN -VQFN-28-1EP_4x5mm_P0.5mm_EP2.55x3.55mm_ThermalVias -VQFN, 28 Pin (http://www.ti.com/lit/ds/symlink/lm5175.pdf#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -48 -29 -Package_DFN_QFN -VQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm -VQFN, 32 Pin (http://ww1.microchip.com/downloads/en/devicedoc/atmel-9520-at42-qtouch-bsw-at42qt1110_datasheet.pdf#page=42), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -37 -33 -Package_DFN_QFN -VQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm_ThermalVias -VQFN, 32 Pin (http://ww1.microchip.com/downloads/en/devicedoc/atmel-9520-at42-qtouch-bsw-at42qt1110_datasheet.pdf#page=42), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -47 -33 -Package_DFN_QFN -VQFN-32-1EP_5x5mm_P0.5mm_EP3.5x3.5mm -VQFN, 32 Pin (https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT4222H.pdf#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -37 -33 -Package_DFN_QFN -VQFN-32-1EP_5x5mm_P0.5mm_EP3.5x3.5mm_ThermalVias -VQFN, 32 Pin (https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT4222H.pdf#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -47 -33 -Package_DFN_QFN -VQFN-32-1EP_5x5mm_P0.5mm_EP3.15x3.15mm -VQFN, 32 Pin (https://www.ti.com/lit/ds/slvs589d/slvs589d.pdf#page=33), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -37 -33 -Package_DFN_QFN -VQFN-32-1EP_5x5mm_P0.5mm_EP3.15x3.15mm_ThermalVias -VQFN, 32 Pin (https://www.ti.com/lit/ds/slvs589d/slvs589d.pdf#page=33), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -47 -33 -Package_DFN_QFN -VQFN-46-1EP_5x6mm_P0.4mm_EP2.8x3.8mm -VQFN, 46 Pin (http://www.ti.com/lit/ds/symlink/lp5036.pdf#page=59), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -53 -47 -Package_DFN_QFN -VQFN-46-1EP_5x6mm_P0.4mm_EP2.8x3.8mm_ThermalVias -VQFN, 46 Pin (http://www.ti.com/lit/ds/symlink/lp5036.pdf#page=59), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -66 -47 -Package_DFN_QFN -VQFN-48-1EP_6x6mm_P0.4mm_EP4.1x4.1mm -VQFN, 48 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/48L_VQFN_6x6mm_6LX_C04-00494a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -58 -49 -Package_DFN_QFN -VQFN-48-1EP_6x6mm_P0.4mm_EP4.1x4.1mm_ThermalVias -VQFN, 48 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/48L_VQFN_6x6mm_6LX_C04-00494a.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -75 -49 -Package_DFN_QFN -VQFN-48-1EP_7x7mm_P0.5mm_EP4.1x4.1mm -VQFN, 48 Pin (http://www.ti.com/lit/ds/symlink/cc430f5137.pdf#page=128), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -58 -49 -Package_DFN_QFN -VQFN-48-1EP_7x7mm_P0.5mm_EP4.1x4.1mm_ThermalVias -VQFN, 48 Pin (http://www.ti.com/lit/ds/symlink/cc430f5137.pdf#page=128), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -75 -49 -Package_DFN_QFN -VQFN-48-1EP_7x7mm_P0.5mm_EP5.15x5.15mm -VQFN, 48 Pin (http://www.ti.com/lit/ds/symlink/cc1312r.pdf#page=48), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -53 -49 -Package_DFN_QFN -VQFN-48-1EP_7x7mm_P0.5mm_EP5.15x5.15mm_ThermalVias -VQFN, 48 Pin (http://www.ti.com/lit/ds/symlink/cc1312r.pdf#page=48), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -79 -49 -Package_DFN_QFN -VQFN-64-1EP_9x9mm_P0.5mm_EP5.4x5.4mm -VQFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/PIC16LF19195-6-7-Data-Sheet-40001873D.pdf#page=718), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -74 -65 -Package_DFN_QFN -VQFN-64-1EP_9x9mm_P0.5mm_EP5.4x5.4mm_ThermalVias -VQFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/PIC16LF19195-6-7-Data-Sheet-40001873D.pdf#page=718), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -100 -65 -Package_DFN_QFN -VQFN-64-1EP_9x9mm_P0.5mm_EP7.15x7.15mm -VQFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/64L_QFN_9x9_MR_C04-00149e.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -74 -65 -Package_DFN_QFN -VQFN-64-1EP_9x9mm_P0.5mm_EP7.15x7.15mm_ThermalVias -VQFN, 64 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/64L_QFN_9x9_MR_C04-00149e.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VQFN NoLead -0 -91 -65 -Package_DFN_QFN -Vishay_PowerPAK_MLP44-24L -PowerPAK PowerPAK MLP44-24L (https://www.vishay.com/docs/78231/mlp44-24l.pdf) -powerpak mlp44-24l -0 -28 -28 -Package_DFN_QFN -Vishay_PowerPAK_MLP44-24L_ThermalVias -PowerPAK PowerPAK MLP44-24L (https://www.vishay.com/docs/78231/mlp44-24l.pdf) -powerpak mlp44-24l -0 -35 -28 -Package_DFN_QFN -W-PDFN-8-1EP_6x5mm_P1.27mm_EP3x3mm -W-PDFN, 8 Pin (https://media-www.micron.com/-/media/client/global/documents/products/data-sheet/nor-flash/serial-nor/mt25q/die-rev-a/mt25q_qljs_u_256_aba_0.pdf#page=22), generated with kicad-footprint-generator ipc_noLead_generator.py -W-PDFN NoLead -0 -13 -9 -Package_DFN_QFN -WDFN-6-2EP_4.0x2.6mm_P0.65mm -WDFN, 6 pin, 4.0x2.6, 0.65P; Two exposed pads, (https://www.onsemi.com/pub/Collateral/511BZ.PDF) -DFN 0.65P dual flag -0 -8 -8 -Package_DFN_QFN -WDFN-8-1EP_2x2.2mm_P0.5mm_EP0.80x0.54 -https://www.onsemi.com/pub/Collateral/511BN.PDF -WDFN-8 1EP 2.2X2.0 0.5P -0 -9 -9 -Package_DFN_QFN -WDFN-8-1EP_2x2mm_P0.5mm_EP0.8x1.2mm -WDFN, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/20005474E.pdf#page=25), generated with kicad-footprint-generator ipc_noLead_generator.py -WDFN NoLead -0 -13 -9 -Package_DFN_QFN -WDFN-8-1EP_3x2mm_P0.5mm_EP1.3x1.4mm -WDFN, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/8L_TDFN_2x3_MNY_C04-0129E-MNY.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WDFN NoLead -0 -13 -9 -Package_DFN_QFN -WDFN-8-1EP_4x3mm_P0.65mm_EP2.4x1.8mm -WDFN, 8 Pin (https://www.onsemi.com/pub/Collateral/509AF.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -WDFN NoLead -0 -13 -9 -Package_DFN_QFN -WDFN-8-1EP_4x3mm_P0.65mm_EP2.4x1.8mm_ThermalVias -WDFN, 8 Pin (https://www.onsemi.com/pub/Collateral/509AF.PDF), generated with kicad-footprint-generator ipc_noLead_generator.py -WDFN NoLead -0 -20 -9 -Package_DFN_QFN -WDFN-8-1EP_6x5mm_P1.27mm_EP3.4x4mm -WDFN, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/8L_WDFN_5x6mm_MF_C04210B.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WDFN NoLead -0 -13 -9 -Package_DFN_QFN -WDFN-8_2x2mm_P0.5mm -DFN8 2x2, 0.5P; No exposed pad (http://www.onsemi.com/pub/Collateral/NCP4308-D.PDF) -DFN 0.5 -0 -8 -8 -Package_DFN_QFN -WDFN-10-1EP_3x3mm_P0.5mm_EP1.8x2.5mm -WDFN, 10 Pin (https://www.onsemi.com/pdf/datasheet/nis5420-d.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WDFN NoLead -0 -15 -11 -Package_DFN_QFN -WDFN-10-1EP_3x3mm_P0.5mm_EP1.8x2.5mm_ThermalVias -WDFN, 10 Pin (https://www.onsemi.com/pdf/datasheet/nis5420-d.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WDFN NoLead -0 -22 -11 -Package_DFN_QFN -WDFN-12-1EP_3x3mm_P0.45mm_EP1.7x2.5mm -WDFN, 12 Pin (https://www.diodes.com/assets/Datasheets/PAM2306.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WDFN NoLead -0 -17 -13 -Package_DFN_QFN -WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm -WQFN, 14 Pin (https://www.onsemi.com/pub/Collateral/FUSB302B-D.PDF#page=32), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -19 -15 -Package_DFN_QFN -WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm_ThermalVias -WQFN, 14 Pin (https://www.onsemi.com/pub/Collateral/FUSB302B-D.PDF#page=32), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -24 -15 -Package_DFN_QFN -WQFN-16-1EP_3x3mm_P0.5mm_EP1.6x1.6mm -WQFN, 16 Pin (https://www.ti.com/lit/ds/symlink/tpa6132a2.pdf#page=24), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -21 -17 -Package_DFN_QFN -WQFN-16-1EP_3x3mm_P0.5mm_EP1.6x1.6mm_ThermalVias -WQFN, 16 Pin (https://www.ti.com/lit/ds/symlink/tpa6132a2.pdf#page=24), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -26 -17 -Package_DFN_QFN -WQFN-16-1EP_3x3mm_P0.5mm_EP1.68x1.68mm -WQFN, 16 Pin (https://www.ti.com/lit/ds/symlink/tlv9064.pdf#page=44), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -21 -17 -Package_DFN_QFN -WQFN-16-1EP_3x3mm_P0.5mm_EP1.68x1.68mm_ThermalVias -WQFN, 16 Pin (https://www.ti.com/lit/ds/symlink/tlv9064.pdf#page=44), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -26 -17 -Package_DFN_QFN -WQFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm -WQFN, 16 Pin (https://www.onsemi.com/pub/Collateral/FUSB307B-D.PDF#page=56), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -21 -17 -Package_DFN_QFN -WQFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm_ThermalVias -WQFN, 16 Pin (https://www.onsemi.com/pub/Collateral/FUSB307B-D.PDF#page=56), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -26 -17 -Package_DFN_QFN -WQFN-16-1EP_4x4mm_P0.5mm_EP2.6x2.6mm -WQFN, 16 Pin (http://www.ti.com/lit/ds/symlink/ldc1312.pdf#page=59), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -21 -17 -Package_DFN_QFN -WQFN-16-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias -WQFN, 16 Pin (http://www.ti.com/lit/ds/symlink/ldc1312.pdf#page=59), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -31 -17 -Package_DFN_QFN -WQFN-20-1EP_2.5x4.5mm_P0.5mm_EP1x2.9mm -http://www.onsemi.com/pub/Collateral/510CD.PDF -WQFN-20 4.5mm 2.5mm 0.5mm -0 -24 -21 -Package_DFN_QFN -WQFN-20-1EP_3x3mm_P0.4mm_EP1.7x1.7mm -WQFN, 20 Pin (https://www.ti.com/lit/ds/symlink/ts3ds10224.pdf#page=29), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -25 -21 -Package_DFN_QFN -WQFN-20-1EP_3x3mm_P0.4mm_EP1.7x1.7mm_ThermalVias -WQFN, 20 Pin (https://www.ti.com/lit/ds/symlink/ts3ds10224.pdf#page=29), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -30 -21 -Package_DFN_QFN -WQFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm -WQFN, 24 Pin (http://www.ti.com/lit/ds/symlink/lm26480.pdf#page=39), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -29 -25 -Package_DFN_QFN -WQFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias -WQFN, 24 Pin (http://www.ti.com/lit/ds/symlink/lm26480.pdf#page=39), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -39 -25 -Package_DFN_QFN -WQFN-24-1EP_4x4mm_P0.5mm_EP2.45x2.45mm -WQFN, 24 Pin (http://www.ti.com/lit/ds/symlink/ts3a27518e.pdf#page=33), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -29 -25 -Package_DFN_QFN -WQFN-24-1EP_4x4mm_P0.5mm_EP2.45x2.45mm_ThermalVias -WQFN, 24 Pin (http://www.ti.com/lit/ds/symlink/ts3a27518e.pdf#page=33), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -39 -25 -Package_DFN_QFN -WQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm -QFN, 32-Leads, Body 5x5x0.8mm, Pitch 0.5mm, Thermal Pad 3.1x3.1mm; (see Texas Instruments LM25119 http://www.ti.com/lit/ds/symlink/lm25119.pdf) -WQFN 0.5 -0 -37 -33 -Package_DFN_QFN -WQFN-42-1EP_3.5x9mm_P0.5mm_EP2.05x7.55mm -WQFN, 42 Pin (http://www.ti.com/lit/ds/symlink/ts3l501e.pdf#page=23), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -57 -43 -Package_DFN_QFN -WQFN-42-1EP_3.5x9mm_P0.5mm_EP2.05x7.55mm_ThermalVias -WQFN, 42 Pin (http://www.ti.com/lit/ds/symlink/ts3l501e.pdf#page=23), generated with kicad-footprint-generator ipc_noLead_generator.py -WQFN NoLead -0 -82 -43 -Package_DIP -DIP-4_W7.62mm -4-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -4 -4 -Package_DIP -DIP-4_W7.62mm_LongPads -4-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -4 -4 -Package_DIP -DIP-4_W7.62mm_SMDSocket_SmallPads -4-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -4 -4 -Package_DIP -DIP-4_W7.62mm_Socket -4-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -4 -4 -Package_DIP -DIP-4_W7.62mm_Socket_LongPads -4-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -4 -4 -Package_DIP -DIP-4_W8.89mm_SMDSocket_LongPads -4-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -4 -4 -Package_DIP -DIP-4_W10.16mm -4-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -4 -4 -Package_DIP -DIP-4_W10.16mm_LongPads -4-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -4 -4 -Package_DIP -DIP-5-6_W7.62mm -5-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -5 -5 -Package_DIP -DIP-5-6_W7.62mm_LongPads -5-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -5 -5 -Package_DIP -DIP-5-6_W7.62mm_SMDSocket_SmallPads -5-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -5 -5 -Package_DIP -DIP-5-6_W7.62mm_Socket -5-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -5 -5 -Package_DIP -DIP-5-6_W7.62mm_Socket_LongPads -5-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -5 -5 -Package_DIP -DIP-5-6_W8.89mm_SMDSocket_LongPads -5-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -5 -5 -Package_DIP -DIP-5-6_W10.16mm -5-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -5 -5 -Package_DIP -DIP-5-6_W10.16mm_LongPads -5-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -5 -5 -Package_DIP -DIP-6_W7.62mm -6-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -6 -6 -Package_DIP -DIP-6_W7.62mm_LongPads -6-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -6 -6 -Package_DIP -DIP-6_W7.62mm_SMDSocket_SmallPads -6-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -6 -6 -Package_DIP -DIP-6_W7.62mm_Socket -6-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -6 -6 -Package_DIP -DIP-6_W7.62mm_Socket_LongPads -6-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -6 -6 -Package_DIP -DIP-6_W8.89mm_SMDSocket_LongPads -6-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -6 -6 -Package_DIP -DIP-6_W10.16mm -6-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -6 -6 -Package_DIP -DIP-6_W10.16mm_LongPads -6-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -6 -6 -Package_DIP -DIP-8-16_W7.62mm -16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -8 -8 -Package_DIP -DIP-8-16_W7.62mm_Socket -16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -8 -8 -Package_DIP -DIP-8-16_W7.62mm_Socket_LongPads -16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -8 -8 -Package_DIP -DIP-8-N6_W7.62mm -8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), missing pin 6 -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -7 -7 -Package_DIP -DIP-8-N7_W7.62mm -8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), missing pin 7 -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -7 -7 -Package_DIP -DIP-8_W7.62mm -8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -8 -8 -Package_DIP -DIP-8_W7.62mm_LongPads -8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -8 -8 -Package_DIP -DIP-8_W7.62mm_SMDSocket_SmallPads -8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -8 -8 -Package_DIP -DIP-8_W7.62mm_Socket -8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -8 -8 -Package_DIP -DIP-8_W7.62mm_Socket_LongPads -8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -8 -8 -Package_DIP -DIP-8_W8.89mm_SMDSocket_LongPads -8-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -8 -8 -Package_DIP -DIP-8_W10.16mm -8-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -8 -8 -Package_DIP -DIP-8_W10.16mm_LongPads -8-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -8 -8 -Package_DIP -DIP-10_W7.62mm -10-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -10 -10 -Package_DIP -DIP-10_W7.62mm_LongPads -10-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -10 -10 -Package_DIP -DIP-10_W7.62mm_SMDSocket_SmallPads -10-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -10 -10 -Package_DIP -DIP-10_W7.62mm_Socket -10-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -10 -10 -Package_DIP -DIP-10_W7.62mm_Socket_LongPads -10-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -10 -10 -Package_DIP -DIP-10_W8.89mm_SMDSocket_LongPads -10-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -10 -10 -Package_DIP -DIP-10_W10.16mm -10-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -10 -10 -Package_DIP -DIP-10_W10.16mm_LongPads -10-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -10 -10 -Package_DIP -DIP-12_W7.62mm -12-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -12 -12 -Package_DIP -DIP-12_W7.62mm_LongPads -12-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -12 -12 -Package_DIP -DIP-12_W7.62mm_SMDSocket_SmallPads -12-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -12 -12 -Package_DIP -DIP-12_W7.62mm_Socket -12-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -12 -12 -Package_DIP -DIP-12_W7.62mm_Socket_LongPads -12-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -12 -12 -Package_DIP -DIP-12_W8.89mm_SMDSocket_LongPads -12-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -12 -12 -Package_DIP -DIP-12_W10.16mm -12-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -12 -12 -Package_DIP -DIP-12_W10.16mm_LongPads -12-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -12 -12 -Package_DIP -DIP-14_W7.62mm -14-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -14 -14 -Package_DIP -DIP-14_W7.62mm_LongPads -14-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -14 -14 -Package_DIP -DIP-14_W7.62mm_SMDSocket_SmallPads -14-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -14 -14 -Package_DIP -DIP-14_W7.62mm_Socket -14-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -14 -14 -Package_DIP -DIP-14_W7.62mm_Socket_LongPads -14-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -14 -14 -Package_DIP -DIP-14_W8.89mm_SMDSocket_LongPads -14-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -14 -14 -Package_DIP -DIP-14_W10.16mm -14-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -14 -14 -Package_DIP -DIP-14_W10.16mm_LongPads -14-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -14 -14 -Package_DIP -DIP-16_W7.62mm -16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -16 -16 -Package_DIP -DIP-16_W7.62mm_LongPads -16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -16 -16 -Package_DIP -DIP-16_W7.62mm_SMDSocket_SmallPads -16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -16 -16 -Package_DIP -DIP-16_W7.62mm_Socket -16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -16 -16 -Package_DIP -DIP-16_W7.62mm_Socket_LongPads -16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -16 -16 -Package_DIP -DIP-16_W8.89mm_SMDSocket_LongPads -16-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -16 -16 -Package_DIP -DIP-16_W10.16mm -16-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -16 -16 -Package_DIP -DIP-16_W10.16mm_LongPads -16-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -16 -16 -Package_DIP -DIP-18_W7.62mm -18-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -18 -18 -Package_DIP -DIP-18_W7.62mm_LongPads -18-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -18 -18 -Package_DIP -DIP-18_W7.62mm_SMDSocket_SmallPads -18-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -18 -18 -Package_DIP -DIP-18_W7.62mm_Socket -18-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -18 -18 -Package_DIP -DIP-18_W7.62mm_Socket_LongPads -18-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -18 -18 -Package_DIP -DIP-18_W8.89mm_SMDSocket_LongPads -18-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -18 -18 -Package_DIP -DIP-20_W7.62mm -20-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -20 -20 -Package_DIP -DIP-20_W7.62mm_LongPads -20-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -20 -20 -Package_DIP -DIP-20_W7.62mm_SMDSocket_SmallPads -20-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -20 -20 -Package_DIP -DIP-20_W7.62mm_Socket -20-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -20 -20 -Package_DIP -DIP-20_W7.62mm_Socket_LongPads -20-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -20 -20 -Package_DIP -DIP-20_W8.89mm_SMDSocket_LongPads -20-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -20 -20 -Package_DIP -DIP-22_W7.62mm -22-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -22 -22 -Package_DIP -DIP-22_W7.62mm_LongPads -22-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -22 -22 -Package_DIP -DIP-22_W7.62mm_SMDSocket_SmallPads -22-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -22 -22 -Package_DIP -DIP-22_W7.62mm_Socket -22-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -22 -22 -Package_DIP -DIP-22_W7.62mm_Socket_LongPads -22-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -22 -22 -Package_DIP -DIP-22_W8.89mm_SMDSocket_LongPads -22-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -22 -22 -Package_DIP -DIP-22_W10.16mm -22-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -22 -22 -Package_DIP -DIP-22_W10.16mm_LongPads -22-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -22 -22 -Package_DIP -DIP-22_W10.16mm_SMDSocket_SmallPads -22-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil SMDSocket SmallPads -0 -22 -22 -Package_DIP -DIP-22_W10.16mm_Socket -22-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), Socket -THT DIP DIL PDIP 2.54mm 10.16mm 400mil Socket -0 -22 -22 -Package_DIP -DIP-22_W10.16mm_Socket_LongPads -22-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil Socket LongPads -0 -22 -22 -Package_DIP -DIP-22_W11.43mm_SMDSocket_LongPads -22-lead though-hole mounted DIP package, row spacing 11.43 mm (450 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 11.43mm 450mil SMDSocket LongPads -0 -22 -22 -Package_DIP -DIP-24_W7.62mm -24-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -24 -24 -Package_DIP -DIP-24_W7.62mm_LongPads -24-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -24 -24 -Package_DIP -DIP-24_W7.62mm_SMDSocket_SmallPads -24-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -24 -24 -Package_DIP -DIP-24_W7.62mm_Socket -24-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -24 -24 -Package_DIP -DIP-24_W7.62mm_Socket_LongPads -24-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -24 -24 -Package_DIP -DIP-24_W8.89mm_SMDSocket_LongPads -24-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -24 -24 -Package_DIP -DIP-24_W10.16mm -24-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils) -THT DIP DIL PDIP 2.54mm 10.16mm 400mil -0 -24 -24 -Package_DIP -DIP-24_W10.16mm_LongPads -24-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil LongPads -0 -24 -24 -Package_DIP -DIP-24_W10.16mm_SMDSocket_SmallPads -24-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil SMDSocket SmallPads -0 -24 -24 -Package_DIP -DIP-24_W10.16mm_Socket -24-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), Socket -THT DIP DIL PDIP 2.54mm 10.16mm 400mil Socket -0 -24 -24 -Package_DIP -DIP-24_W10.16mm_Socket_LongPads -24-lead though-hole mounted DIP package, row spacing 10.16 mm (400 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 10.16mm 400mil Socket LongPads -0 -24 -24 -Package_DIP -DIP-24_W11.43mm_SMDSocket_LongPads -24-lead though-hole mounted DIP package, row spacing 11.43 mm (450 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 11.43mm 450mil SMDSocket LongPads -0 -24 -24 -Package_DIP -DIP-24_W15.24mm -24-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils) -THT DIP DIL PDIP 2.54mm 15.24mm 600mil -0 -24 -24 -Package_DIP -DIP-24_W15.24mm_LongPads -24-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil LongPads -0 -24 -24 -Package_DIP -DIP-24_W15.24mm_SMDSocket_SmallPads -24-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil SMDSocket SmallPads -0 -24 -24 -Package_DIP -DIP-24_W15.24mm_Socket -24-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket -0 -24 -24 -Package_DIP -DIP-24_W15.24mm_Socket_LongPads -24-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket LongPads -0 -24 -24 -Package_DIP -DIP-24_W16.51mm_SMDSocket_LongPads -24-lead though-hole mounted DIP package, row spacing 16.51 mm (650 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 16.51mm 650mil SMDSocket LongPads -0 -24 -24 -Package_DIP -DIP-28_W7.62mm -28-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils) -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -28 -28 -Package_DIP -DIP-28_W7.62mm_LongPads -28-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -28 -28 -Package_DIP -DIP-28_W7.62mm_SMDSocket_SmallPads -28-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil SMDSocket SmallPads -0 -28 -28 -Package_DIP -DIP-28_W7.62mm_Socket -28-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket -0 -28 -28 -Package_DIP -DIP-28_W7.62mm_Socket_LongPads -28-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads -0 -28 -28 -Package_DIP -DIP-28_W8.89mm_SMDSocket_LongPads -28-lead though-hole mounted DIP package, row spacing 8.89 mm (350 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 8.89mm 350mil SMDSocket LongPads -0 -28 -28 -Package_DIP -DIP-28_W15.24mm -28-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils) -THT DIP DIL PDIP 2.54mm 15.24mm 600mil -0 -28 -28 -Package_DIP -DIP-28_W15.24mm_LongPads -28-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil LongPads -0 -28 -28 -Package_DIP -DIP-28_W15.24mm_SMDSocket_SmallPads -28-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil SMDSocket SmallPads -0 -28 -28 -Package_DIP -DIP-28_W15.24mm_Socket -28-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket -0 -28 -28 -Package_DIP -DIP-28_W15.24mm_Socket_LongPads -28-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket LongPads -0 -28 -28 -Package_DIP -DIP-28_W16.51mm_SMDSocket_LongPads -28-lead though-hole mounted DIP package, row spacing 16.51 mm (650 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 16.51mm 650mil SMDSocket LongPads -0 -28 -28 -Package_DIP -DIP-32_W7.62mm -32-lead dip package, row spacing 7.62 mm (300 mils) -DIL DIP PDIP 2.54mm 7.62mm 300mil -0 -32 -32 -Package_DIP -DIP-32_W15.24mm -32-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils) -THT DIP DIL PDIP 2.54mm 15.24mm 600mil -0 -32 -32 -Package_DIP -DIP-32_W15.24mm_LongPads -32-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil LongPads -0 -32 -32 -Package_DIP -DIP-32_W15.24mm_SMDSocket_SmallPads -32-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil SMDSocket SmallPads -0 -32 -32 -Package_DIP -DIP-32_W15.24mm_Socket -32-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket -0 -32 -32 -Package_DIP -DIP-32_W15.24mm_Socket_LongPads -32-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket LongPads -0 -32 -32 -Package_DIP -DIP-32_W16.51mm_SMDSocket_LongPads -32-lead though-hole mounted DIP package, row spacing 16.51 mm (650 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 16.51mm 650mil SMDSocket LongPads -0 -32 -32 -Package_DIP -DIP-40_W15.24mm -40-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils) -THT DIP DIL PDIP 2.54mm 15.24mm 600mil -0 -40 -40 -Package_DIP -DIP-40_W15.24mm_LongPads -40-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil LongPads -0 -40 -40 -Package_DIP -DIP-40_W15.24mm_SMDSocket_SmallPads -40-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil SMDSocket SmallPads -0 -40 -40 -Package_DIP -DIP-40_W15.24mm_Socket -40-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket -0 -40 -40 -Package_DIP -DIP-40_W15.24mm_Socket_LongPads -40-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket LongPads -0 -40 -40 -Package_DIP -DIP-40_W16.51mm_SMDSocket_LongPads -40-lead though-hole mounted DIP package, row spacing 16.51 mm (650 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 16.51mm 650mil SMDSocket LongPads -0 -40 -40 -Package_DIP -DIP-40_W25.4mm -40-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils) -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil -0 -40 -40 -Package_DIP -DIP-40_W25.4mm_LongPads -40-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils), LongPads -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil LongPads -0 -40 -40 -Package_DIP -DIP-40_W25.4mm_SMDSocket_SmallPads -40-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil SMDSocket SmallPads -0 -40 -40 -Package_DIP -DIP-40_W25.4mm_Socket -40-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils), Socket -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil Socket -0 -40 -40 -Package_DIP -DIP-40_W25.4mm_Socket_LongPads -40-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil Socket LongPads -0 -40 -40 -Package_DIP -DIP-40_W26.67mm_SMDSocket_LongPads -40-lead though-hole mounted DIP package, row spacing 26.67 mm (1050 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 26.669999999999998mm 1050mil SMDSocket LongPads -0 -40 -40 -Package_DIP -DIP-42_W15.24mm -42-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils) -THT DIP DIL PDIP 2.54mm 15.24mm 600mil -0 -42 -42 -Package_DIP -DIP-42_W15.24mm_LongPads -42-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil LongPads -0 -42 -42 -Package_DIP -DIP-42_W15.24mm_SMDSocket_SmallPads -42-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil SMDSocket SmallPads -0 -42 -42 -Package_DIP -DIP-42_W15.24mm_Socket -42-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket -0 -42 -42 -Package_DIP -DIP-42_W15.24mm_Socket_LongPads -42-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket LongPads -0 -42 -42 -Package_DIP -DIP-42_W16.51mm_SMDSocket_LongPads -42-lead though-hole mounted DIP package, row spacing 16.51 mm (650 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 16.51mm 650mil SMDSocket LongPads -0 -42 -42 -Package_DIP -DIP-48_W15.24mm -48-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils) -THT DIP DIL PDIP 2.54mm 15.24mm 600mil -0 -48 -48 -Package_DIP -DIP-48_W15.24mm_LongPads -48-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil LongPads -0 -48 -48 -Package_DIP -DIP-48_W15.24mm_SMDSocket_SmallPads -48-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil SMDSocket SmallPads -0 -48 -48 -Package_DIP -DIP-48_W15.24mm_Socket -48-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket -0 -48 -48 -Package_DIP -DIP-48_W15.24mm_Socket_LongPads -48-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket LongPads -0 -48 -48 -Package_DIP -DIP-48_W16.51mm_SMDSocket_LongPads -48-lead though-hole mounted DIP package, row spacing 16.51 mm (650 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 16.51mm 650mil SMDSocket LongPads -0 -48 -48 -Package_DIP -DIP-64_W15.24mm -64-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils) -THT DIP DIL PDIP 2.54mm 15.24mm 600mil -0 -64 -64 -Package_DIP -DIP-64_W15.24mm_LongPads -64-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil LongPads -0 -64 -64 -Package_DIP -DIP-64_W15.24mm_SMDSocket_SmallPads -64-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil SMDSocket SmallPads -0 -64 -64 -Package_DIP -DIP-64_W15.24mm_Socket -64-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket -0 -64 -64 -Package_DIP -DIP-64_W15.24mm_Socket_LongPads -64-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket LongPads -0 -64 -64 -Package_DIP -DIP-64_W16.51mm_SMDSocket_LongPads -64-lead though-hole mounted DIP package, row spacing 16.51 mm (650 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 16.51mm 650mil SMDSocket LongPads -0 -64 -64 -Package_DIP -DIP-64_W22.86mm -64-lead though-hole mounted DIP package, row spacing 22.86 mm (900 mils) -THT DIP DIL PDIP 2.54mm 22.86mm 900mil -0 -64 -64 -Package_DIP -DIP-64_W22.86mm_LongPads -64-lead though-hole mounted DIP package, row spacing 22.86 mm (900 mils), LongPads -THT DIP DIL PDIP 2.54mm 22.86mm 900mil LongPads -0 -64 -64 -Package_DIP -DIP-64_W22.86mm_SMDSocket_SmallPads -64-lead though-hole mounted DIP package, row spacing 22.86 mm (900 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 22.86mm 900mil SMDSocket SmallPads -0 -64 -64 -Package_DIP -DIP-64_W22.86mm_Socket -64-lead though-hole mounted DIP package, row spacing 22.86 mm (900 mils), Socket -THT DIP DIL PDIP 2.54mm 22.86mm 900mil Socket -0 -64 -64 -Package_DIP -DIP-64_W22.86mm_Socket_LongPads -64-lead though-hole mounted DIP package, row spacing 22.86 mm (900 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 22.86mm 900mil Socket LongPads -0 -64 -64 -Package_DIP -DIP-64_W24.13mm_SMDSocket_LongPads -64-lead though-hole mounted DIP package, row spacing 24.13 mm (950 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 24.13mm 950mil SMDSocket LongPads -0 -64 -64 -Package_DIP -DIP-64_W25.4mm -64-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils) -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil -0 -64 -64 -Package_DIP -DIP-64_W25.4mm_LongPads -64-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils), LongPads -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil LongPads -0 -64 -64 -Package_DIP -DIP-64_W25.4mm_SMDSocket_SmallPads -64-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils), SMDSocket, SmallPads -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil SMDSocket SmallPads -0 -64 -64 -Package_DIP -DIP-64_W25.4mm_Socket -64-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils), Socket -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil Socket -0 -64 -64 -Package_DIP -DIP-64_W25.4mm_Socket_LongPads -64-lead though-hole mounted DIP package, row spacing 25.4 mm (1000 mils), Socket, LongPads -THT DIP DIL PDIP 2.54mm 25.4mm 1000mil Socket LongPads -0 -64 -64 -Package_DIP -DIP-64_W26.67mm_SMDSocket_LongPads -64-lead though-hole mounted DIP package, row spacing 26.67 mm (1050 mils), SMDSocket, LongPads -THT DIP DIL PDIP 2.54mm 26.669999999999998mm 1050mil SMDSocket LongPads -0 -64 -64 -Package_DIP -Fairchild_LSOP-8 -8-Lead, 300\" Wide, Surface Mount Package (https://www.fairchildsemi.com/package-drawings/ML/MLSOP08A.pdf) -LSOP 2.54mm 300mil -0 -8 -8 -Package_DIP -PowerIntegrations_PDIP-8B -Power Integrations variant of 8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads, see https://www.power.com/sites/default/files/product-docs/lnk520.pdf -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -7 -7 -Package_DIP -PowerIntegrations_PDIP-8C -Power Integrations variant of 8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads, see https://ac-dc.power.com/sites/default/files/product-docs/tinyswitch-iii_family_datasheet.pdf -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -7 -7 -Package_DIP -PowerIntegrations_SDIP-10C -PowerIntegrations variant of 10-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), LongPads, see https://www.power.com/sites/default/files/product-docs/tophx_family_datasheet.pdf -THT DIP DIL PDIP 2.54mm 7.62mm 300mil LongPads -0 -9 -9 -Package_DIP -PowerIntegrations_SMD-8 -PowerIntegrations variant of 8-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils), see https://www.power.com/sites/default/files/product-docs/lnk520.pdf -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -8 -8 -Package_DIP -PowerIntegrations_SMD-8B -PowerIntegrations variant of 8-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils), see https://www.power.com/sites/default/files/product-docs/lnk520.pdf -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -7 -7 -Package_DIP -PowerIntegrations_SMD-8C -PowerIntegrations variant of 8-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils), see https://ac-dc.power.com/sites/default/files/product-docs/tinyswitch-iii_family_datasheet.pdf -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -7 -7 -Package_DIP -PowerIntegrations_eDIP-12B -Power Integrations eDIP-12B, see https://www.power.com/sites/default/files/product-docs/linkswitch-pl_family_datasheet.pdf -THT DIP DIL PDIP 2.54mm 7.62mm 300mil -0 -11 -11 -Package_DIP -SMDIP-4_W7.62mm -4-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -4 -4 -Package_DIP -SMDIP-4_W9.53mm -4-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -4 -4 -Package_DIP -SMDIP-4_W9.53mm_Clearance8mm -4-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -4 -4 -Package_DIP -SMDIP-4_W11.48mm -4-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -4 -4 -Package_DIP -SMDIP-6_W7.62mm -6-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -6 -6 -Package_DIP -SMDIP-6_W9.53mm -6-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -6 -6 -Package_DIP -SMDIP-6_W9.53mm_Clearance8mm -6-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -6 -6 -Package_DIP -SMDIP-6_W11.48mm -6-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -6 -6 -Package_DIP -SMDIP-8_W7.62mm -8-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -8 -8 -Package_DIP -SMDIP-8_W9.53mm -8-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -8 -8 -Package_DIP -SMDIP-8_W9.53mm_Clearance8mm -8-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -8 -8 -Package_DIP -SMDIP-8_W11.48mm -8-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -8 -8 -Package_DIP -SMDIP-10_W7.62mm -10-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -10 -10 -Package_DIP -SMDIP-10_W9.53mm -10-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -10 -10 -Package_DIP -SMDIP-10_W9.53mm_Clearance8mm -10-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -10 -10 -Package_DIP -SMDIP-10_W11.48mm -10-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -10 -10 -Package_DIP -SMDIP-12_W7.62mm -12-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -12 -12 -Package_DIP -SMDIP-12_W9.53mm -12-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -12 -12 -Package_DIP -SMDIP-12_W9.53mm_Clearance8mm -12-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -12 -12 -Package_DIP -SMDIP-12_W11.48mm -12-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -12 -12 -Package_DIP -SMDIP-14_W7.62mm -14-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -14 -14 -Package_DIP -SMDIP-14_W9.53mm -14-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -14 -14 -Package_DIP -SMDIP-14_W9.53mm_Clearance8mm -14-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -14 -14 -Package_DIP -SMDIP-14_W11.48mm -14-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -14 -14 -Package_DIP -SMDIP-16_W7.62mm -16-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -16 -16 -Package_DIP -SMDIP-16_W9.53mm -16-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -16 -16 -Package_DIP -SMDIP-16_W9.53mm_Clearance8mm -16-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -16 -16 -Package_DIP -SMDIP-16_W11.48mm -16-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -16 -16 -Package_DIP -SMDIP-18_W7.62mm -18-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -18 -18 -Package_DIP -SMDIP-18_W9.53mm -18-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -18 -18 -Package_DIP -SMDIP-18_W9.53mm_Clearance8mm -18-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -18 -18 -Package_DIP -SMDIP-18_W11.48mm -18-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -18 -18 -Package_DIP -SMDIP-20_W7.62mm -20-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -20 -20 -Package_DIP -SMDIP-20_W9.53mm -20-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -20 -20 -Package_DIP -SMDIP-20_W9.53mm_Clearance8mm -20-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -20 -20 -Package_DIP -SMDIP-20_W11.48mm -20-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -20 -20 -Package_DIP -SMDIP-22_W7.62mm -22-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -22 -22 -Package_DIP -SMDIP-22_W9.53mm -22-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -22 -22 -Package_DIP -SMDIP-22_W9.53mm_Clearance8mm -22-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils), Clearance8mm -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil Clearance8mm -0 -22 -22 -Package_DIP -SMDIP-22_W11.48mm -22-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -22 -22 -Package_DIP -SMDIP-24_W7.62mm -24-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -24 -24 -Package_DIP -SMDIP-24_W9.53mm -24-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -24 -24 -Package_DIP -SMDIP-24_W11.48mm -24-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -24 -24 -Package_DIP -SMDIP-24_W15.24mm -24-lead surface-mounted (SMD) DIP package, row spacing 15.24 mm (600 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 15.24mm 600mil -0 -24 -24 -Package_DIP -SMDIP-28_W15.24mm -28-lead surface-mounted (SMD) DIP package, row spacing 15.24 mm (600 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 15.24mm 600mil -0 -28 -28 -Package_DIP -SMDIP-32_W7.62mm -32-lead surface-mounted (SMD) DIP package, row spacing 7.62 mm (300 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 7.62mm 300mil -0 -32 -32 -Package_DIP -SMDIP-32_W9.53mm -32-lead surface-mounted (SMD) DIP package, row spacing 9.53 mm (375 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 9.53mm 375mil -0 -32 -32 -Package_DIP -SMDIP-32_W11.48mm -32-lead surface-mounted (SMD) DIP package, row spacing 11.48 mm (451 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 11.48mm 451mil -0 -32 -32 -Package_DIP -SMDIP-32_W15.24mm -32-lead surface-mounted (SMD) DIP package, row spacing 15.24 mm (600 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 15.24mm 600mil -0 -32 -32 -Package_DIP -SMDIP-40_W15.24mm -40-lead surface-mounted (SMD) DIP package, row spacing 15.24 mm (600 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 15.24mm 600mil -0 -40 -40 -Package_DIP -SMDIP-40_W25.24mm -40-lead surface-mounted (SMD) DIP package, row spacing 25.24 mm (993 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 25.24mm 993mil -0 -40 -40 -Package_DIP -SMDIP-42_W15.24mm -42-lead surface-mounted (SMD) DIP package, row spacing 15.24 mm (600 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 15.24mm 600mil -0 -42 -42 -Package_DIP -SMDIP-48_W15.24mm -48-lead surface-mounted (SMD) DIP package, row spacing 15.24 mm (600 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 15.24mm 600mil -0 -48 -48 -Package_DIP -SMDIP-64_W15.24mm -64-lead surface-mounted (SMD) DIP package, row spacing 15.24 mm (600 mils) -SMD DIP DIL PDIP SMDIP 2.54mm 15.24mm 600mil -0 -64 -64 -Package_DIP -Toshiba_11-7A9 -Toshiba 11-7A9 package, like 6-lead dip package with missing pin 5, row spacing 7.62 mm (300 mils), https://toshiba.semicon-storage.com/info/docget.jsp?did=1421&prodName=TLP3021(S) -Toshiba 11-7A9 DIL DIP PDIP 2.54mm 7.62mm 300mil -0 -5 -5 -Package_DIP -Vishay_HVM-DIP-3_W7.62mm -3-lead though-hole mounted high-volatge DIP package (based on standard DIP-4), row spacing 7.62 mm (300 mils), see https://www.vishay.com/docs/91361/hexdip.pdf -THT DIP DIL PDIP 2.54mm 7.62mm 300mil Vishay HVMDIP HEXDIP -0 -4 -3 -Package_DirectFET -DirectFET_L4 -DirectFET L4 https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=41 -DirectFET L4 MOSFET Infineon -0 -11 -3 -Package_DirectFET -DirectFET_L6 -DirectFET L6 https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=42 -DirectFET L6 MOSFET Infineon -0 -13 -3 -Package_DirectFET -DirectFET_L8 -DirectFET L8 https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=43 -DirectFET L8 MOSFET Infineon -0 -15 -3 -Package_DirectFET -DirectFET_LA -DirectFET LA https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=44 -DirectFET LA MOSFET Infineon -0 -15 -3 -Package_DirectFET -DirectFET_M2 -DirectFET M2 https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=33 -DirectFET M2 MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_M4 -DirectFET M4 https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=34 -DirectFET M4 MOSFET Infineon -0 -9 -3 -Package_DirectFET -DirectFET_MA -DirectFET MA https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=35 -DirectFET MA MOSFET Infineon -0 -8 -3 -Package_DirectFET -DirectFET_MB -DirectFET MB https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=36 -DirectFET MB MOSFET Infineon -0 -8 -3 -Package_DirectFET -DirectFET_MC -DirectFET MC https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=37 -DirectFET MC MOSFET Infineon -0 -10 -3 -Package_DirectFET -DirectFET_MD -DirectFET MD https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=38 -DirectFET MD MOSFET Infineon -0 -8 -3 -Package_DirectFET -DirectFET_ME -DirectFET ME https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=39 -DirectFET ME MOSFET Infineon -0 -10 -3 -Package_DirectFET -DirectFET_MF -DirectFET MF https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=40 -DirectFET MF MOSFET Infineon -0 -8 -3 -Package_DirectFET -DirectFET_MN -DirectFET MN https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=30 -DirectFET MN MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_MP -DirectFET MP https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=28 -DirectFET MP MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_MQ -DirectFET MQ https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=29 -DirectFET MQ MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_MT -DirectFET MT https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=26 -DirectFET MT MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_MU -DirectFET MU https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=32 -DirectFET MU MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_MX -DirectFET MX https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=27 -DirectFET MX MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_MZ -DirectFET MZ https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=31 -DirectFET MZ MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_S1 -DirectFET S1 https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=20 -DirectFET S1 MOSFET Infineon -0 -6 -3 -Package_DirectFET -DirectFET_S2 -DirectFET S2 https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=21 -DirectFET S2 MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_S3C -DirectFET S3C https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=25 -DirectFET S3C MOSFET Infineon -0 -8 -3 -Package_DirectFET -DirectFET_SA -DirectFET SA https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=22 -DirectFET SA MOSFET Infineon -0 -8 -3 -Package_DirectFET -DirectFET_SB -DirectFET SB https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=23 -DirectFET SB MOSFET Infineon -0 -6 -3 -Package_DirectFET -DirectFET_SC -DirectFET SC https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=24 -DirectFET SC MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_SH -DirectFET SH https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=19 -DirectFET SH MOSFET Infineon -0 -6 -3 -Package_DirectFET -DirectFET_SJ -DirectFET SJ https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=18 -DirectFET SJ MOSFET Infineon -0 -7 -3 -Package_DirectFET -DirectFET_SQ -DirectFET SQ https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=17 -DirectFET SQ MOSFET Infineon -0 -6 -3 -Package_DirectFET -DirectFET_ST -DirectFET ST https://www.infineon.com/dgdl/Infineon-AN-1035-ApplicationNotes-v29_01-EN.pdf?fileId=5546d462533600a40153559159020f76#page=16 -DirectFET ST MOSFET Infineon -0 -7 -3 -Package_LCC -PLCC-20 -PLCC, 20 pins, surface mount -plcc smt -0 -20 -20 -Package_LCC -PLCC-20_SMD-Socket -PLCC, 20 pins, surface mount -plcc smt -0 -20 -20 -Package_LCC -PLCC-20_THT-Socket -PLCC, 20 pins, through hole -plcc leaded -0 -20 -20 -Package_LCC -PLCC-28 -PLCC, 28 pins, surface mount -plcc smt -0 -28 -28 -Package_LCC -PLCC-28_SMD-Socket -PLCC, 28 pins, surface mount -plcc smt -0 -28 -28 -Package_LCC -PLCC-28_THT-Socket -PLCC, 28 pins, through hole -plcc leaded -0 -28 -28 -Package_LCC -PLCC-32_11.4x14.0mm_P1.27mm -PLCC, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc0015.pdf), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py -PLCC LCC -0 -32 -32 -Package_LCC -PLCC-32_THT-Socket -PLCC, 32 pins, through hole, http://www.assmann-wsw.com/fileadmin/datasheets/ASS_0981_CO.pdf -plcc leaded -0 -32 -32 -Package_LCC -PLCC-44 -PLCC, 44 pins, surface mount -plcc smt -0 -44 -44 -Package_LCC -PLCC-44_16.6x16.6mm_P1.27mm -PLCC, 44 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py -PLCC LCC -0 -44 -44 -Package_LCC -PLCC-44_SMD-Socket -PLCC, 44 pins, surface mount -plcc smt -0 -44 -44 -Package_LCC -PLCC-44_THT-Socket -PLCC, 44 pins, through hole -plcc leaded -0 -44 -44 -Package_LCC -PLCC-52 -PLCC, 52 pins, surface mount -plcc smt -0 -52 -52 -Package_LCC -PLCC-52_SMD-Socket -PLCC, 52 pins, surface mount -plcc smt -0 -52 -52 -Package_LCC -PLCC-52_THT-Socket -PLCC, 52 pins, through hole -plcc leaded -0 -52 -52 -Package_LCC -PLCC-68 -PLCC, 68 pins, surface mount -plcc smt -0 -68 -68 -Package_LCC -PLCC-68_24.2x24.2mm_P1.27mm -PLCC, 68 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py -PLCC LCC -0 -68 -68 -Package_LCC -PLCC-68_SMD-Socket -PLCC, 68 pins, surface mount -plcc smt -0 -68 -68 -Package_LCC -PLCC-68_THT-Socket -PLCC, 68 pins, through hole -plcc leaded -0 -68 -68 -Package_LCC -PLCC-84 -PLCC, 84 pins, surface mount -plcc smt -0 -84 -84 -Package_LCC -PLCC-84_29.3x29.3mm_P1.27mm -PLCC, 84 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py -PLCC LCC -0 -84 -84 -Package_LCC -PLCC-84_SMD-Socket -PLCC, 84 pins, surface mount -plcc smt -0 -84 -84 -Package_LCC -PLCC-84_THT-Socket -PLCC, 84 pins, through hole -plcc leaded -0 -84 -84 -Package_LGA -AMS_LGA-10-1EP_2.7x4mm_P0.6mm -LGA-10, http://ams.com/eng/content/download/951091/2269479/471718 -lga land grid array -0 -13 -11 -Package_LGA -AMS_LGA-20_4.7x4.5mm_P0.65mm -http://ams.com/eng/content/download/1008631/2361759/498838 -AMS LGA -0 -20 -20 -Package_LGA -AMS_OLGA-8_2x3.1mm_P0.8mm -AMS OLGA, 8 Pin (https://ams.com/documents/20143/36005/AS7341_DS000504_3-00.pdf/#page=63) -AMS OLGA NoLead -0 -8 -8 -Package_LGA -Bosch_LGA-8_2.5x2.5mm_P0.65mm_ClockwisePinNumbering -LGA-8 -lga land grid array -0 -8 -8 -Package_LGA -Bosch_LGA-8_2x2.5mm_P0.65mm_ClockwisePinNumbering -LGA-8, https://ae-bst.resource.bosch.com/media/_tech/media/datasheets/BST-BMP280-DS001-18.pdf -lga land grid array -0 -8 -8 -Package_LGA -Bosch_LGA-8_3x3mm_P0.8mm_ClockwisePinNumbering -Bosch LGA, 8 Pin (https://ae-bst.resource.bosch.com/media/_tech/media/datasheets/BST-BME680-DS001-00.pdf#page=44), generated with kicad-footprint-generator ipc_noLead_generator.py -Bosch LGA NoLead -0 -8 -8 -Package_LGA -Bosch_LGA-14_3x2.5mm_P0.5mm -LGA-14 Bosch https://ae-bst.resource.bosch.com/media/_tech/media/datasheets/BST-BMI160-DS000-07.pdf -lga land grid array -0 -14 -14 -Package_LGA -Infineon_PG-TSNP-6-10_0.7x1.1mm_0.7x1.1mm_P0.4mm -6 lead, 1.1x0.7mm body, 0.37mm height, TSNP (https://www.infineon.com/cms/en/product/packages/PG-TSNP/PG-TSNP-6-10/) -PG-TSNP-6-10 TSNP -0 -12 -6 -Package_LGA -Kionix_LGA-12_2x2mm_P0.5mm_LayoutBorder2x4y -Kionix LGA, 12 Pin (http://kionixfs.kionix.com/en/document/TN008-PCB-Design-Guidelines-for-2x2-LGA-Sensors.pdf#page=4), generated with kicad-footprint-generator ipc_noLead_generator.py -Kionix LGA NoLead -0 -12 -12 -Package_LGA -LGA-8_3x5mm_P1.25mm -LGA-8 -lga land grid array -0 -8 -8 -Package_LGA -LGA-8_8x6.2mm_P1.27mm -LGA, 8 Pin (https://datasheet.lcsc.com/lcsc/2303241700_Zetta-ZDSD64GLGEAG-R_C5277948.pdf#page=17), generated with kicad-footprint-generator ipc_noLead_generator.py -LGA NoLead -0 -8 -8 -Package_LGA -LGA-8_8x6mm_P1.27mm -LGA, 8 Pin (https://datasheet.lcsc.com/lcsc/2005251034_XTX-XTSD01GLGEAG_C558837.pdf#page=6), generated with kicad-footprint-generator ipc_noLead_generator.py -LGA NoLead -0 -8 -8 -Package_LGA -LGA-12_2x2mm_P0.5mm -LGA12 -lga land grid array -0 -12 -12 -Package_LGA -LGA-14_2x2mm_P0.35mm_LayoutBorder3x4y -LGA, 14 Pin (http://www.st.com/resource/en/datasheet/lis2dh.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LGA NoLead -0 -14 -14 -Package_LGA -LGA-14_3x2.5mm_P0.5mm_LayoutBorder3x4y -LGA, 14 Pin (https://www.st.com/resource/en/datasheet/lsm6ds3tr-c.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LGA NoLead -0 -14 -14 -Package_LGA -LGA-14_3x5mm_P0.8mm_LayoutBorder1x6y -LGA, 14 Pin (http://www.st.com/resource/en/datasheet/lsm303dlhc.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LGA NoLead -0 -14 -14 -Package_LGA -LGA-16_3x3mm_P0.5mm -http://www.memsic.com/userfiles/files/DataSheets/Magnetic-Sensors-Datasheets/MMC5883MA-RevC.pdf -lga land grid array -0 -16 -16 -Package_LGA -LGA-16_3x3mm_P0.5mm_LayoutBorder3x5y -LGA, 16 Pin (http://www.st.com/resource/en/datasheet/lis331hh.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LGA NoLead -0 -16 -16 -Package_LGA -LGA-16_4x4mm_P0.65mm_LayoutBorder4x4y -LGA, 16 Pin (http://www.st.com/resource/en/datasheet/l3gd20.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -LGA NoLead -0 -16 -16 -Package_LGA -LGA-24L_3x3.5mm_P0.43mm -LGA 24L 3x3.5mm Pitch 0.43mm -LGA 24L 3x3.5mm Pitch 0.43mm -0 -24 -24 -Package_LGA -LGA-28_5.2x3.8mm_P0.5mm -LGA 28 5.2x3.8mm Pitch 0.5mm -LGA 28 5.2x3.8mm Pitch 0.5mm -0 -28 -28 -Package_LGA -Linear_LGA-133_15.0x15.0mm_Layout12x12_P1.27mm -Analog Devices (Linear Tech), 133-pin LGA uModule, 15.0x15.0x4.32mm, https://www.analog.com/media/en/technical-documentation/data-sheets/4637fc.pdf -133 pin lga -0 -133 -133 -Package_LGA -MPS_LGA-18-10EP_12x12mm_P3.3mm -MPS LGA-18 12x12x3.82mm (https://www.monolithicpower.com/en/documentview/productdocument/index/version/2/document_type/Datasheet/lang/en/sku/MPM3550EGLE/document_id/5102/) -MPS LGA -0 -18 -18 -Package_LGA -NXP_LGA-8_3x5mm_P1.25mm_H1.1mm -NXP LGA, 8 Pin (https://www.nxp.com/docs/en/data-sheet/MPL3115A2.pdf#page=42), generated with kicad-footprint-generator ipc_noLead_generator.py -NXP LGA NoLead -0 -8 -8 -Package_LGA -NXP_LGA-8_3x5mm_P1.25mm_H1.2mm -NXP LGA, 8 Pin (https://www.nxp.com/docs/en/data-sheet/MPL115A1.pdf#page=15), generated with kicad-footprint-generator ipc_noLead_generator.py -NXP LGA NoLead -0 -8 -8 -Package_LGA -Rohm_MLGA010V020A_LGA-10_2x2mm_P0.45mm_LayoutBorder_3x2y -Rohm LGA, 10 Pin (https://fscdn.rohm.com/en/techdata_basic/ic/package/Jisso_MLGA010V020A-1-2_Rev005s_E2(MSL3).pdf) -Rohm LGA NoLead -0 -10 -10 -Package_LGA -ST_HLGA-10_2.5x2.5mm_P0.6mm_LayoutBorder3x2y -ST HLGA, 10 Pin (https://www.st.com/resource/en/datasheet/lps25hb.pdf#page=46), generated with kicad-footprint-generator ipc_noLead_generator.py -ST HLGA NoLead -0 -10 -10 -Package_LGA -ST_HLGA-10_2x2mm_P0.5mm_LayoutBorder3x2y -ST HLGA, 10 Pin (https://www.st.com/resource/en/datasheet/lps22hh.pdf#page=55), generated with kicad-footprint-generator ipc_noLead_generator.py -ST HLGA NoLead -0 -10 -10 -Package_LGA -Texas_SIL0008D_MicroSiP-8-1EP_2.8x3mm_P0.65mm_EP1.1x1.9mm -Texas SIL0008D MicroSiP, 8 Pin (http://www.ti.com/lit/ds/symlink/tps82130.pdf#page=19), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas MicroSiP NoLead -0 -12 -9 -Package_LGA -Texas_SIL0008D_MicroSiP-8-1EP_2.8x3mm_P0.65mm_EP1.1x1.9mm_ThermalVias -Texas SIL0008D MicroSiP, 8 Pin (http://www.ti.com/lit/ds/symlink/tps82130.pdf#page=19), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas MicroSiP NoLead -0 -16 -9 -Package_LGA -Texas_SIL0010A_MicroSiP-10-1EP_3.8x3mm_P0.6mm_EP0.7x2.9mm -Texas SIL0010A MicroSiP, 10 Pin (http://www.ti.com/lit/ml/mpds579b/mpds579b.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas MicroSiP NoLead -0 -14 -11 -Package_LGA -Texas_SIL0010A_MicroSiP-10-1EP_3.8x3mm_P0.6mm_EP0.7x2.9mm_ThermalVias -Texas SIL0010A MicroSiP, 10 Pin (http://www.ti.com/lit/ml/mpds579b/mpds579b.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Texas MicroSiP NoLead -0 -18 -11 -Package_LGA -VLGA-4_2x2.5mm_P1.65mm -VLGA, 4 Pin (https://ww1.microchip.com/downloads/en/DeviceDoc/DSC60XX-Ultra-Small-Ultra-Low-Power-MEMS-Oscillator-DS20005625C.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -VLGA NoLead -0 -4 -4 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP4x4mm -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00482-02.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -154 -145 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP4x4mm_ThermalVias -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00482-02.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -171 -145 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP5x5mm -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00476-02.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -161 -145 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP5x5mm_ThermalVias -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00476-02.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -187 -145 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP6.61x5.615mm -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00485-02.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -165 -145 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP6.61x5.615mm_ThermalVias -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00485-02.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -196 -145 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP7.2x6.35mm -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00487-01.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -175 -145 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP7.2x6.35mm_ThermalVias -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00487-01.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -218 -145 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP8.93x8.7mm -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00479-02.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -194 -145 -Package_QFP -EQFP-144-1EP_20x20mm_P0.5mm_EP8.93x8.7mm_ThermalVias -EQFP, 144 Pin (https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00479-02.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -EQFP QFP -0 -259 -145 -Package_QFP -HTQFP-64-1EP_10x10mm_P0.5mm_EP8x8mm -64-Lead Plastic Thin Quad Flatpack (PT) - 10x10x1 mm Body, 2.00 mm Footprint [HTQFP] thermal pad -HTQFP-64 Pitch 0.5 -0 -69 -65 -Package_QFP -HTQFP-64-1EP_10x10mm_P0.5mm_EP8x8mm_Mask4.4x4.4mm_ThermalVias -64-Lead Plastic Thin Quad Flatpack (PT) - 10x10x1 mm Body, 2.00 mm Footprint [HTQFP] thermal pad -HTQFP-64 Pitch 0.5 -0 -135 -65 -Package_QFP -LQFP-32_5x5mm_P0.5mm -LQFP, 32 Pin (https://www.nxp.com/docs/en/package-information/SOT401-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -32 -32 -Package_QFP -LQFP-32_7x7mm_P0.8mm -LQFP, 32 Pin (https://www.nxp.com/docs/en/package-information/SOT358-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -32 -32 -Package_QFP -LQFP-36_7x7mm_P0.65mm -LQFP, 36 Pin (https://www.onsemi.com/pub/Collateral/561AV.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -36 -36 -Package_QFP -LQFP-44_10x10mm_P0.8mm -LQFP, 44 Pin (https://www.nxp.com/files-static/shared/doc/package_info/98ASS23225W.pdf?&fsrch=1), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -44 -44 -Package_QFP -LQFP-48-1EP_7x7mm_P0.5mm_EP3.6x3.6mm -LQFP, 48 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/LTC7810.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -58 -49 -Package_QFP -LQFP-48-1EP_7x7mm_P0.5mm_EP3.6x3.6mm_ThermalVias -LQFP, 48 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/LTC7810.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -75 -49 -Package_QFP -LQFP-48_7x7mm_P0.5mm -LQFP, 48 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ltc2358-16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -48 -48 -Package_QFP -LQFP-52-1EP_10x10mm_P0.65mm_EP4.8x4.8mm -LQFP, 52 Pin (https://www.onsemi.com/pub/Collateral/848H-01.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -62 -53 -Package_QFP -LQFP-52-1EP_10x10mm_P0.65mm_EP4.8x4.8mm_ThermalVias -LQFP, 52 Pin (https://www.onsemi.com/pub/Collateral/848H-01.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -95 -53 -Package_QFP -LQFP-52_10x10mm_P0.65mm -LQFP, 52 Pin (https://www.nxp.com/docs/en/package-information/98ARL10526D.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -52 -52 -Package_QFP -LQFP-52_14x14mm_P1mm -LQFP, 52 Pin (http://www.holtek.com/documents/10179/116711/HT1632Cv170.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -52 -52 -Package_QFP -LQFP-64-1EP_10x10mm_P0.5mm_EP5x5mm -LQFP, 64 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/adv7611.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -90 -65 -Package_QFP -LQFP-64-1EP_10x10mm_P0.5mm_EP5x5mm_ThermalVias -LQFP, 64 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/adv7611.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -107 -65 -Package_QFP -LQFP-64-1EP_10x10mm_P0.5mm_EP6.5x6.5mm -LQFP, 64 Pin (https://www.nxp.com/files-static/shared/doc/package_info/98ARH98426A.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -90 -65 -Package_QFP -LQFP-64-1EP_10x10mm_P0.5mm_EP6.5x6.5mm_ThermalVias -LQFP, 64 Pin (https://www.nxp.com/files-static/shared/doc/package_info/98ARH98426A.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -127 -65 -Package_QFP -LQFP-64_7x7mm_P0.4mm -LQFP, 64 Pin (https://www.nxp.com/docs/en/package-information/SOT414-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -64 -64 -Package_QFP -LQFP-64_10x10mm_P0.5mm -LQFP, 64 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ad7606_7606-6_7606-4.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -64 -64 -Package_QFP -LQFP-64_14x14mm_P0.8mm -LQFP, 64 Pin (https://www.nxp.com/docs/en/package-information/SOT791-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -64 -64 -Package_QFP -LQFP-80_10x10mm_P0.4mm -LQFP, 80 Pin (https://www.renesas.com/eu/en/package-image/pdf/outdrawing/q80.10x10.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -80 -80 -Package_QFP -LQFP-80_12x12mm_P0.5mm -LQFP, 80 Pin (https://www.nxp.com/docs/en/package-information/SOT315-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -80 -80 -Package_QFP -LQFP-80_14x14mm_P0.65mm -LQFP, 80 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/AD9852.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -80 -80 -Package_QFP -LQFP-100_14x14mm_P0.5mm -LQFP, 100 Pin (https://www.nxp.com/docs/en/package-information/SOT407-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -100 -100 -Package_QFP -LQFP-128_14x14mm_P0.4mm -LQFP, 128 Pin (https://www.renesas.com/eu/en/package-image/pdf/outdrawing/q128.14x14.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -128 -128 -Package_QFP -LQFP-128_14x20mm_P0.5mm -LQFP, 128 Pin (https://www.nxp.com/docs/en/package-information/SOT425-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -128 -128 -Package_QFP -LQFP-144_20x20mm_P0.5mm -LQFP, 144 Pin (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=425), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -144 -144 -Package_QFP -LQFP-160_24x24mm_P0.5mm -LQFP, 160 Pin (https://www.nxp.com/docs/en/package-information/SOT435-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -160 -160 -Package_QFP -LQFP-176_20x20mm_P0.4mm -LQFP, 176 Pin (https://www.onsemi.com/pub/Collateral/566DB.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -176 -176 -Package_QFP -LQFP-176_24x24mm_P0.5mm -LQFP, 176 Pin (https://www.st.com/resource/en/datasheet/stm32f207vg.pdf#page=163), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -176 -176 -Package_QFP -LQFP-208_28x28mm_P0.5mm -LQFP, 208 Pin (https://www.nxp.com/docs/en/package-information/SOT459-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -208 -208 -Package_QFP -LQFP-216_24x24mm_P0.4mm -LQFP, 216 Pin (https://www.onsemi.com/pub/Collateral/561BE.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py -LQFP QFP -0 -216 -216 -Package_QFP -MQFP-44_10x10mm_P0.8mm -MQFP, 44 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ad7722.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -MQFP QFP -0 -44 -44 -Package_QFP -PQFP-32_5x5mm_P0.5mm -PQFP, 32 Pin (https://www.ti.com/lit/ds/symlink/ads127l01.pdf#page=87), generated with kicad-footprint-generator ipc_gullwing_generator.py -PQFP QFP -0 -32 -32 -Package_QFP -PQFP-44_10x10mm_P0.8mm -44-Lead Plastic Quad Flatpack - 10x10x2.5mm Body (http://www.onsemi.com/pub/Collateral/122BK.PDF) -PQFP 0.8 -0 -44 -44 -Package_QFP -PQFP-64_14x14mm_P0.8mm -PQFP, 64 Pin (https://www.renesas.com/us/en/document/psc/package-drawing-qfp-64pin-prqp0064gb), generated with kicad-footprint-generator ipc_gullwing_generator.py -PQFP QFP -0 -64 -64 -Package_QFP -PQFP-80_14x20mm_P0.8mm -PQFP80 14x20 / QIP80E CASE 122BS (see ON Semiconductor 122BS.PDF) -QFP 0.8 -0 -80 -80 -Package_QFP -PQFP-100_14x20mm_P0.65mm -PQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -PQFP QFP -0 -100 -100 -Package_QFP -PQFP-112_20x20mm_P0.65mm -PQFP, 112 pins, 20mm sq body, 0.65mm pitch (http://cache.freescale.com/files/shared/doc/package_info/98ASS23330W.pdf, http://www.nxp.com/docs/en/application-note/AN4388.pdf) -PQFP 112 -0 -112 -112 -Package_QFP -PQFP-132_24x24mm_P0.635mm -PQFP, 132 pins, 24mm sq body, 0.635mm pitch (https://www.intel.com/content/dam/www/public/us/en/documents/packaging-databooks/packaging-chapter-02-databook.pdf, http://www.nxp.com/docs/en/application-note/AN4388.pdf) -PQFP 132 -0 -132 -132 -Package_QFP -PQFP-132_24x24mm_P0.635mm_i386 -PQFP, 132 pins, 24mm sq body, 0.635mm pitch, Intel 386EX (https://www.intel.com/content/dam/www/public/us/en/documents/packaging-databooks/packaging-chapter-02-databook.pdf, http://www.nxp.com/docs/en/application-note/AN4388.pdf) -PQFP 132 Intel 386EX -0 -132 -132 -Package_QFP -PQFP-144_28x28mm_P0.65mm -PQFP, 144 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -PQFP QFP -0 -144 -144 -Package_QFP -PQFP-160_28x28mm_P0.65mm -PQFP, 160 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -PQFP QFP -0 -160 -160 -Package_QFP -PQFP-168_28x28mm_P0.65mm -PQFP, 168 Pin (https://www.renesas.cn/cn/zh/document/psc/package-drawing-qfp-168pin-prqp0168jb), generated with kicad-footprint-generator ipc_gullwing_generator.py -PQFP QFP -0 -168 -168 -Package_QFP -PQFP-208_28x28mm_P0.5mm -PQFP, 208 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -PQFP QFP -0 -208 -208 -Package_QFP -PQFP-240_32.1x32.1mm_P0.5mm -PQFP, 240 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -PQFP QFP -0 -240 -240 -Package_QFP -PQFP-256_28x28mm_P0.4mm -PQFP256 28x28 / QFP256J CASE 122BX (see ON Semiconductor 122BX.PDF) -QFP 0.4 -0 -256 -256 -Package_QFP -TQFP-32_7x7mm_P0.8mm -32-Lead Plastic Thin Quad Flatpack (PT) - 7x7x1.0 mm Body, 2.00 mm [TQFP] (see Microchip Packaging Specification 00000049BS.pdf) -QFP 0.8 -0 -32 -32 -Package_QFP -TQFP-44-1EP_10x10mm_P0.8mm_EP4.5x4.5mm -44-Lead Plastic Thin Quad Flatpack (MW) - 10x10x1.0 mm Body [TQFP] With 4.5x4.5 mm Exposed Pad (see Microchip Packaging Specification 00000049BS.pdf) -QFP 0.8 -0 -54 -45 -Package_QFP -TQFP-44_10x10mm_P0.8mm -44-Lead Plastic Thin Quad Flatpack (PT) - 10x10x1.0 mm Body [TQFP] (see Microchip Packaging Specification 00000049BS.pdf) -QFP 0.8 -0 -44 -44 -Package_QFP -TQFP-48-1EP_7x7mm_P0.5mm_EP3.5x3.5mm -48-Lead Thin Quad Flatpack (PT) - 7x7x1.0 mm Body [TQFP] With Exposed Pad (see Microchip Packaging Specification 00000049BS.pdf) -QFP 0.5 -0 -53 -49 -Package_QFP -TQFP-48-1EP_7x7mm_P0.5mm_EP4.11x4.11mm -TQFP, 48 Pin (https://www.lumissil.com/assets/pdf/core/IS31FL3236_DS.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -65 -49 -Package_QFP -TQFP-48-1EP_7x7mm_P0.5mm_EP5x5mm -TQFP, 48 Pin (https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2100_datasheet_Rev1.08.pdf (page 45)), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -65 -49 -Package_QFP -TQFP-48-1EP_7x7mm_P0.5mm_EP5x5mm_ThermalVias -TQFP, 48 Pin (https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2100_datasheet_Rev1.08.pdf (page 45)), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -91 -49 -Package_QFP -TQFP-48_7x7mm_P0.5mm -TQFP, 48 Pin (https://www.jedec.org/system/files/docs/MS-026D.pdf var ABC), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -48 -48 -Package_QFP -TQFP-52-1EP_10x10mm_P0.65mm_EP6.5x6.5mm -TQFP, 52 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/tqfp_edsv/sv_52_1.pdf), generated with kicad-footprint-generator ipc_qfp_generator.py -TQFP QFP -0 -78 -53 -Package_QFP -TQFP-52-1EP_10x10mm_P0.65mm_EP6.5x6.5mm_ThermalVias -TQFP, 52 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/tqfp_edsv/sv_52_1.pdf), generated with kicad-footprint-generator ipc_qfp_generator.py -TQFP QFP -0 -79 -53 -Package_QFP -TQFP-64-1EP_10x10mm_P0.5mm_EP8x8mm -64-Lead Plastic Thin Quad Flatpack (PT) - 10x10x1 mm Body, 2.00 mm Footprint [TQFP] thermal pad -QFP 0.5 -0 -90 -65 -Package_QFP -TQFP-64_7x7mm_P0.4mm -TQFP64 7x7, 0.4P CASE 932BH (see ON Semiconductor 932BH.PDF) -QFP 0.4 -0 -64 -64 -Package_QFP -TQFP-64_10x10mm_P0.5mm -TQFP, 64 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -64 -64 -Package_QFP -TQFP-64_14x14mm_P0.8mm -64-Lead Plastic Thin Quad Flatpack (PF) - 14x14x1 mm Body, 2.00 mm [TQFP] (see Microchip Packaging Specification 00000049BS.pdf) -QFP 0.8 -0 -64 -64 -Package_QFP -TQFP-80-1EP_14x14mm_P0.65mm_EP9.5x9.5mm -80-Lead Plastic Thin Quad Flatpack (PF) - 14x14mm body, 9.5mm sq thermal pad (http://www.analog.com/media/en/technical-documentation/data-sheets/AD9852.pdf) -QFP 0.65 -0 -85 -81 -Package_QFP -TQFP-80_12x12mm_P0.5mm -80-Lead Plastic Thin Quad Flatpack (PT) - 12x12x1 mm Body, 2.00 mm [TQFP] (see Microchip Packaging Specification 00000049BS.pdf) -QFP 0.5 -0 -80 -80 -Package_QFP -TQFP-80_14x14mm_P0.65mm -80-Lead Plastic Thin Quad Flatpack (PF) - 14x14x1 mm Body, 2.00 mm [TQFP] (see Microchip Packaging Specification 00000049BS.pdf) -QFP 0.65 -0 -80 -80 -Package_QFP -TQFP-100-1EP_14x14mm_P0.5mm_EP5x5mm -TQFP, 100 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/tqfp_edsv/sv_100_4.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -117 -101 -Package_QFP -TQFP-100-1EP_14x14mm_P0.5mm_EP5x5mm_ThermalVias -TQFP, 100 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/tqfp_edsv/sv_100_4.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -143 -101 -Package_QFP -TQFP-100_12x12mm_P0.4mm -100-Lead Plastic Thin Quad Flatpack (PT) - 12x12x1 mm Body, 2.00 mm [TQFP] (see Microchip Packaging Specification 00000049BS.pdf) -QFP 0.4 -0 -100 -100 -Package_QFP -TQFP-100_14x14mm_P0.5mm -TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -100 -100 -Package_QFP -TQFP-120_14x14mm_P0.4mm -TQFP120 14x14 / TQFP120 CASE 932AZ (see ON Semiconductor 932AZ.PDF) -QFP 0.4 -0 -120 -120 -Package_QFP -TQFP-128_14x14mm_P0.4mm -TQFP128 14x14 / TQFP128 CASE 932BB (see ON Semiconductor 932BB.PDF) -QFP 0.4 -0 -128 -128 -Package_QFP -TQFP-144_16x16mm_P0.4mm -144-Lead Plastic Thin Quad Flatpack (PH) - 16x16x1 mm Body, 2.00 mm Footprint [TQFP] (see Microchip Packaging Specification 00000049BS.pdf) -QFP 0.4 -0 -144 -144 -Package_QFP -TQFP-144_20x20mm_P0.5mm -TQFP, 144 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -144 -144 -Package_QFP -TQFP-176_24x24mm_P0.5mm -TQFP, 176 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -TQFP QFP -0 -176 -176 -Package_QFP -Texas_PHP0048E_HTQFP-48-1EP_7x7mm_P0.5mm_EP6.5x6.5mm_Mask3.62x3.62mm -Texas PHP0048E HTQFP, 48 Pin (https://www.ti.com/lit/ds/symlink/tusb4020bi.pdf#page=42), generated with kicad-footprint-generator ipc_gullwing_generator.py -Texas HTQFP QFP -0 -51 -49 -Package_QFP -Texas_PHP0048E_HTQFP-48-1EP_7x7mm_P0.5mm_EP6.5x6.5mm_Mask3.62x3.62mm_ThermalVias -Texas PHP0048E HTQFP, 48 Pin (https://www.ti.com/lit/ds/symlink/tusb4020bi.pdf#page=42), generated with kicad-footprint-generator ipc_gullwing_generator.py -Texas HTQFP QFP -0 -88 -49 -Package_QFP -VQFP-80_14x14mm_P0.65mm -VQFP, 80 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -VQFP QFP -0 -80 -80 -Package_QFP -VQFP-100_14x14mm_P0.5mm -VQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -VQFP QFP -0 -100 -100 -Package_QFP -VQFP-128_14x14mm_P0.4mm -VQFP, 128 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -VQFP QFP -0 -128 -128 -Package_QFP -VQFP-176_20x20mm_P0.4mm -VQFP, 176 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py -VQFP QFP -0 -176 -176 -Package_SIP -PowerIntegrations_eSIP-7C -eSIP-7C Vertical Flat Package with Heatsink Tab, https://ac-dc.power.com/sites/default/files/product-docs/topswitch-jx_family_datasheet.pdf -Power Integrations E Package -0 -6 -6 -Package_SIP -PowerIntegrations_eSIP-7F -eSIP-7F Flat Package with Heatsink Tab https://ac-dc.power.com/sites/default/files/product-docs/linkswitch-ph_family_datasheet.pdf -Power Integrations L Package -0 -6 -6 -Package_SIP -SIP-8_19x3mm_P2.54mm -SIP 8-pin (http://www.njr.com/semicon/PDF/package/SIP8_E.pdf) -SIP8 -0 -8 -8 -Package_SIP -SIP-9_21.54x3mm_P2.54mm -SIP 9-pin () -SIP8 -0 -9 -9 -Package_SIP -SIP-9_22.3x3mm_P2.54mm -SIP 9-pin () -SIP8 -0 -9 -9 -Package_SIP -SIP3_11.6x8.5mm -RECOM,R78EXX,https://www.recom-power.com/pdf/Innoline/R-78Exx-0.5.pdf -SIP3 Regulator Module -0 -3 -3 -Package_SIP -SIP4_Sharp-SSR_P7.62mm_Angled -SIP4 Footprint for SSR made by Sharp -Solid State relais SSR Sharp -0 -4 -4 -Package_SIP -SIP4_Sharp-SSR_P7.62mm_Angled_NoHole -SIP4 Footprint for SSR made by Sharp -Solid State relais SSR Sharp -0 -4 -4 -Package_SIP -SIP4_Sharp-SSR_P7.62mm_Straight -SIP4 Footprint for SSR made by Sharp -Solid State relais SSR Sharp -0 -4 -4 -Package_SIP -SIP9_Housing -SIP9 -SIP9 -0 -9 -9 -Package_SIP -SIP9_Housing_BigPads -SIP9, large pads -SIP9 -0 -9 -9 -Package_SIP -SLA704XM -SIP SLA704XM (http://www.sumzi.com/upload/files/2007/07/2007073114282034189.PDF) -SIP -0 -18 -18 -Package_SIP -STK672-040-E -SIP-22 (http://www.onsemi.com/pub_link/Collateral/EN5227-D.PDF) -SIP-22 -0 -22 -22 -Package_SIP -STK672-080-E -SIP-15 (http://www.onsemi.com/pub_link/Collateral/EN6507-D.PDF) -SIP-15 -0 -15 -15 -Package_SIP -Sanyo_STK4xx-15_59.2x8.0mm_P2.54mm -Sanyo SIP-15, 59.2mm x 8.0mm bosy size, STK-433E STK-435E STK-436E (http://datasheet.octopart.com/STK430-Sanyo-datasheet-107060.pdf) -Sanyo SIP-15 -0 -15 -15 -Package_SIP -Sanyo_STK4xx-15_78.0x8.0mm_P2.54mm -Sanyo SIP-15, 78.0mm x 8.0mm bosy size, STK-437E STK-439E STK-441E STK-443E (http://datasheet.octopart.com/STK430-Sanyo-datasheet-107060.pdf) -Sanyo SIP-15 -0 -15 -15 -Package_SO -Diodes_PSOP-8 -8-Lead Plastic PSOP, Exposed Die Pad (see https://www.diodes.com/assets/Datasheets/AP2204.pdf) -SSOP 0.50 exposed pad -0 -12 -9 -Package_SO -Diodes_SO-8EP -8-Lead Plastic SO, Exposed Die Pad (see https://www.diodes.com/assets/Package-Files/SO-8EP.pdf) -SO exposed pad -0 -9 -9 -Package_SO -ETSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3x4.2mm -20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body with Exposed Pad [eTSSOP] (see Microchip Packaging Specification 00000049BS.pdf) -SSOP 0.65 -0 -27 -21 -Package_SO -HSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.3x2.3mm -HSOP, 8 Pin (https://www.st.com/resource/en/datasheet/l7980.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HSOP SO -0 -13 -9 -Package_SO -HSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.3x2.3mm_ThermalVias -HSOP, 8 Pin (https://www.st.com/resource/en/datasheet/l7980.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HSOP SO -0 -18 -9 -Package_SO -HSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.1mm -HSOP, 8 Pin (https://www.st.com/resource/en/datasheet/l5973d.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HSOP SO -0 -13 -9 -Package_SO -HSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.1mm_ThermalVias -HSOP, 8 Pin (https://www.st.com/resource/en/datasheet/l5973d.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HSOP SO -0 -20 -9 -Package_SO -HSOP-20-1EP_11.0x15.9mm_P1.27mm_SlugDown -HSOP 11.0x15.9mm Pitch 1.27mm Slug Down (PowerSO-20) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/tda7266d.pdf, www.st.com/resource/en/application_note/cd00003801.pdf) -HSOP 11.0 x 15.9mm Pitch 1.27mm -0 -23 -21 -Package_SO -HSOP-20-1EP_11.0x15.9mm_P1.27mm_SlugDown_ThermalVias -HSOP 11.0x15.9mm Pitch 1.27mm Slug Down Thermal Vias (PowerSO-20) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/tda7266d.pdf, www.st.com/resource/en/application_note/cd00003801.pdf) -HSOP 11.0 x 15.9mm Pitch 1.27mm -0 -45 -21 -Package_SO -HSOP-20-1EP_11.0x15.9mm_P1.27mm_SlugUp -HSOP 11.0x15.9mm Pitch 1.27mm Slug Up (PowerSO-20) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/tda7266d.pdf, www.st.com/resource/en/application_note/cd00003801.pdf) -HSOP 11.0 x 15.9mm Pitch 1.27mm -0 -20 -20 -Package_SO -HSOP-32-1EP_7.5x11mm_P0.65mm_EP4.7x4.7mm -HSOP, 32 Pin (https://www.nxp.com/docs/en/package-information/SOT1746-3.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HSOP SO -0 -37 -33 -Package_SO -HSOP-36-1EP_11.0x15.9mm_P0.65mm_SlugDown -HSOP 11.0x15.9mm Pitch 0.65mm Slug Down (PowerSO-36) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/vn808cm-32-e.pdf, http://www.st.com/resource/en/application_note/cd00003801.pdf) -HSOP 11.0 x 15.9mm Pitch 0.65mm -0 -39 -37 -Package_SO -HSOP-36-1EP_11.0x15.9mm_P0.65mm_SlugDown_ThermalVias -HSOP 11.0x15.9mm Pitch 0.65mm Slug Down Thermal Vias (PowerSO-36) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/vn808cm-32-e.pdf, http://www.st.com/resource/en/application_note/cd00003801.pdf) -HSOP 11.0 x 15.9mm Pitch 0.65mm -0 -61 -37 -Package_SO -HSOP-36-1EP_11.0x15.9mm_P0.65mm_SlugUp -HSOP 11.0x15.9mm Pitch 0.65mm Slug Up (PowerSO-36) [JEDEC MO-166] (http://www.st.com/resource/en/datasheet/vn808cm-32-e.pdf, http://www.st.com/resource/en/application_note/cd00003801.pdf) -HSOP 11.0 x 15.9mm Pitch 0.65mm -0 -36 -36 -Package_SO -HSOP-54-1EP_7.5x17.9mm_P0.65mm_EP4.6x4.6mm -HSOP, 54 Pin (https://www.nxp.com/docs/en/package-information/98ASA10506D.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HSOP SO -0 -59 -55 -Package_SO -HTSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.4x3.2mm -HTSOP, 8 Pin (https://media.digikey.com/pdf/Data%20Sheets/Rohm%20PDFs/BD9G341EFJ.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSOP SO -0 -13 -9 -Package_SO -HTSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.4x3.2mm_ThermalVias -HTSOP, 8 Pin (https://media.digikey.com/pdf/Data%20Sheets/Rohm%20PDFs/BD9G341EFJ.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSOP SO -0 -20 -9 -Package_SO -HTSSOP-14-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask3x3.1mm -HTSSOP, 14 Pin (http://www.ti.com/lit/ds/symlink/lm5161.pdf#page=34), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -20 -15 -Package_SO -HTSSOP-14-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask3x3.1mm_ThermalVias -HTSSOP, 14 Pin (http://www.ti.com/lit/ds/symlink/lm5161.pdf#page=34), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -36 -15 -Package_SO -HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm -16-Lead Plastic HTSSOP (4.4x5x1.2mm); Thermal pad; (http://www.ti.com/lit/ds/symlink/drv8833.pdf) -SSOP 0.65 -0 -29 -17 -Package_SO -HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask2.46x2.31mm -HTSSOP, 16 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/LTC7810.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -20 -17 -Package_SO -HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask2.46x2.31mm_ThermalVias -HTSSOP, 16 Pin (http://www.analog.com/media/en/technical-documentation/data-sheets/LTC7810.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -27 -17 -Package_SO -HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask3x3mm_ThermalVias -16-Lead Plastic HTSSOP (4.4x5x1.2mm); Thermal pad with vias; (http://www.ti.com/lit/ds/symlink/drv8800.pdf) -SSOP 0.65 -0 -46 -17 -Package_SO -HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3x3mm -HTSSOP, 16 Pin (https://www.st.com/resource/en/datasheet/stp08cp05.pdf#page=20), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -21 -17 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP2.74x3.86mm -HTSSOP, 20 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/4011fb.pdf#page=24), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -23 -21 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP2.85x4mm -HTSSOP, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0108.PDF U20E-1), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -23 -21 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm -20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [HTSSOP], with thermal pad with vias -HTSSOP 0.65 -0 -29 -21 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.4x3.7mm -HTSSOP, 20 Pin (http://www.ti.com/lit/ds/symlink/bq24006.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -24 -21 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.75x3.43mm -HTSSOP, 20 Pin (http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=37&zoom=160,-90,3), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -26 -21 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.75x3.43mm_ThermalVias -HTSSOP, 20 Pin (http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=37&zoom=160,-90,3), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -42 -21 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.75x3.43mm_ThermalVias_HandSolder -HTSSOP, 20 Pin (http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=37&zoom=160,-90,3), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -42 -21 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.96x2.96mm -HTSSOP, 20 Pin (https://www.ti.com/lit/ds/symlink/tps2663.pdf#page=49), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -23 -21 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.96x2.96mm_ThermalVias -HTSSOP, 20 Pin (https://www.ti.com/lit/ds/symlink/tps2663.pdf#page=49), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -42 -21 -Package_SO -HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_ThermalVias -20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [HTSSOP], with thermal pad with vias -HTSSOP 0.65 -0 -45 -21 -Package_SO -HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.2x5mm -HTSSOP, 24 Pin (https://www.st.com/resource/en/datasheet/stp16cp05.pdf#page=25), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -31 -25 -Package_SO -HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.4x7.8mm_Mask2.4x2.98mm -HTSSOP, 24 Pin (https://www.ti.com/lit/ds/symlink/tlc5949.pdf#page=49), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -27 -25 -Package_SO -HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.4x7.8mm_Mask2.4x2.98mm_ThermalVias -HTSSOP, 24 Pin (https://www.ti.com/lit/ds/symlink/tlc5949.pdf#page=49), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -49 -25 -Package_SO -HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.4x7.8mm_Mask2.4x4.68mm -HTSSOP, 24 Pin (http://www.ti.com/lit/ds/symlink/tps703.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -28 -25 -Package_SO -HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.4x7.8mm_Mask2.4x4.68mm_ThermalVias -HTSSOP, 24 Pin (http://www.ti.com/lit/ds/symlink/tps703.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -47 -25 -Package_SO -HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP2.75x6.2mm -HTSSOP, 28 Pin (https://www.trinamic.com/fileadmin/assets/Support/AppNotes/AN005x-IC_Package_PCB_Footprint_Guidelines_HTSSOP28_rev1.00.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -30 -29 -Package_SO -HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP2.75x6.2mm_ThermalVias -HTSSOP, 28 Pin (https://www.trinamic.com/fileadmin/assets/Support/AppNotes/AN005x-IC_Package_PCB_Footprint_Guidelines_HTSSOP28_rev1.00.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -41 -29 -Package_SO -HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP2.85x5.4mm -HTSSOP, 28 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0108.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -30 -29 -Package_SO -HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP2.85x5.4mm_ThermalVias -HTSSOP, 28 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-0108.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -41 -29 -Package_SO -HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm -HTSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; thermal pad -TSSOP HTSSOP 0.65 thermal pad -0 -39 -29 -Package_SO -HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm_Mask2.4x6.17mm -HTSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; thermal pad -TSSOP HTSSOP 0.65 thermal pad -0 -40 -29 -Package_SO -HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm_Mask2.4x6.17mm_ThermalVias -HTSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; thermal pad -TSSOP HTSSOP 0.65 thermal pad -0 -55 -29 -Package_SO -HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm_ThermalVias -HTSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; thermal pad -TSSOP HTSSOP 0.65 thermal pad -0 -58 -29 -Package_SO -HTSSOP-32-1EP_6.1x11mm_P0.65mm_EP5.2x11mm_Mask4.11x4.36mm -HTSSOP32: plastic thin shrink small outline package; 32 leads; body width 6.1 mm; lead pitch 0.65 mm (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot487-1_po.pdf) -SSOP 0.65 PowerPAD -0 -43 -33 -Package_SO -HTSSOP-32-1EP_6.1x11mm_P0.65mm_EP5.2x11mm_Mask4.11x4.36mm_ThermalVias -HTSSOP32: plastic thin shrink small outline package; 32 leads; body width 6.1 mm; lead pitch 0.65 mm (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot487-1_po.pdf) -SSOP 0.65 PowerPAD -0 -83 -33 -Package_SO -HTSSOP-38-1EP_6.1x12.5mm_P0.65mm_EP5.2x12.5mm_Mask3.39x6.35mm -HTSSOP, 38 Pin (http://www.ti.com/lit/ds/symlink/tlc5951.pdf#page=47&zoom=140,-67,15), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -46 -39 -Package_SO -HTSSOP-38-1EP_6.1x12.5mm_P0.65mm_EP5.2x12.5mm_Mask3.39x6.35mm_ThermalVias -HTSSOP, 38 Pin (http://www.ti.com/lit/ds/symlink/tlc5951.pdf#page=47&zoom=140,-67,15), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -87 -39 -Package_SO -HTSSOP-44_6.1x14mm_P0.635mm_TopEP4.14x7.01mm -HTSSOP, 44 Pin (http://www.ti.com/lit/ds/symlink/tpa3251.pdf#page=38), generated with kicad-footprint-generator ipc_gullwing_generator.py -HTSSOP SO -0 -44 -44 -Package_SO -HTSSOP-56-1EP_6.1x14mm_P0.5mm_EP3.61x6.35mm -HTSSOP56: plastic thin shrink small outline package http://www.ti.com/lit/ds/symlink/drv8301.pdf -HTSSOP 0.5 -0 -72 -57 -Package_SO -HVSSOP-8-1EP_3x3mm_P0.65mm_EP1.57x1.89mm -HVSSOP, 8 Pin (https://www.ti.com/lit/ds/symlink/tpa6110a2.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HVSSOP SO -0 -13 -9 -Package_SO -HVSSOP-8-1EP_3x3mm_P0.65mm_EP1.57x1.89mm_ThermalVias -HVSSOP, 8 Pin (https://www.ti.com/lit/ds/symlink/tpa6110a2.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HVSSOP SO -0 -18 -9 -Package_SO -HVSSOP-10-1EP_3x3mm_P0.5mm_EP1.57x1.88mm -HVSSOP, 10 Pin (https://www.ti.com/lit/ds/symlink/bq24090.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HVSSOP SO -0 -15 -11 -Package_SO -HVSSOP-10-1EP_3x3mm_P0.5mm_EP1.57x1.88mm_ThermalVias -HVSSOP, 10 Pin (https://www.ti.com/lit/ds/symlink/bq24090.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HVSSOP SO -0 -20 -11 -Package_SO -Infineon_PG-DSO-8-27_3.9x4.9mm_EP2.65x3mm -Infineon PG-DSO, 8 Pin (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-8-27), generated with kicad-footprint-generator ipc_gullwing_generator.py -Infineon PG-DSO SO -0 -13 -9 -Package_SO -Infineon_PG-DSO-8-27_3.9x4.9mm_EP2.65x3mm_ThermalVias -Infineon PG-DSO, 8 Pin (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-8-27), generated with kicad-footprint-generator ipc_gullwing_generator.py -Infineon PG-DSO SO -0 -23 -9 -Package_SO -Infineon_PG-DSO-8-43 -Infineon_PG-DSO-8-43 -DSO DSO-8 SOIC SOIC-8 -0 -12 -9 -Package_SO -Infineon_PG-DSO-12-9 -Infineon PG-DSO 12 pin, exposed pad: 4.5x8.1mm, (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-9/) -PG-DSO -0 -23 -13 -Package_SO -Infineon_PG-DSO-12-9_ThermalVias -Infineon PG-DSO 12 pin, exposed pad: 4.5x8.1mm, with thermal vias (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-9/) -PG-DSO -0 -42 -13 -Package_SO -Infineon_PG-DSO-12-11 -Infineon PG-DSO 12 pin, exposed pad: 4.5x8.1mm, (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-11/) -PG-DSO -0 -23 -13 -Package_SO -Infineon_PG-DSO-12-11_ThermalVias -Infineon PG-DSO 12 pin, exposed pad: 4.5x8.1mm, with thermal vias (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-11/) -PG-DSO -0 -42 -13 -Package_SO -Infineon_PG-DSO-20-30 -Infineon SO package 20pin, exposed pad 4.5x7mm (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-71/) -DSO-20 -0 -31 -21 -Package_SO -Infineon_PG-DSO-20-30_ThermalVias -Infineon SO package 20pin, exposed pad 4.5x7mm (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-71/) -DSO-20 -0 -45 -21 -Package_SO -Infineon_PG-DSO-20-32 -Infineon SO package 20pin without exposed pad (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-32/) -DSO-20 -0 -20 -20 -Package_SO -Infineon_PG-DSO-20-85 -Infineon SO package 20pin with exposed pad (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-85/) -DSO-20 -0 -31 -21 -Package_SO -Infineon_PG-DSO-20-85_ThermalVias -Infineon SO package 20pin with exposed pad (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-85/) -DSO-20 -0 -113 -21 -Package_SO -Infineon_PG-DSO-20-87 -Infineon SO package 20pin without exposed pad (https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-87/) -DSO-20 -0 -20 -20 -Package_SO -Infineon_PG-DSO-20-U03_7.5x12.8mm -Infineon PG-DSO, 20 Pin (https://www.infineon.com/dgdl/Infineon-2ED1323S12P_2ED1324S12P-DataSheet-v01_01-EN.pdf?fileId=8ac78c8c869190210186e92f0f506d0c), generated with kicad-footprint-generator ipc_gullwing_generator.py -Infineon PG-DSO SO -0 -20 -20 -Package_SO -Infineon_PG-TSDSO-14-22 -Infineon_PG-TSDSO-14-22 -Infineon TSDSO 14-22 -0 -17 -15 -Package_SO -Linear_MSOP-12-16-1EP_3x4mm_P0.5mm -12-Lead Plastic Micro Small Outline Package (MS) [MSOP], variant of MSOP-16 (see http://cds.linear.com/docs/en/datasheet/3630fd.pdf) -SSOP 0.5 -0 -15 -13 -Package_SO -Linear_MSOP-12-16_3x4mm_P0.5mm -12-Lead Plastic Micro Small Outline Package (MS) [MSOP], variant of MSOP-16 (see https://www.analog.com/media/en/technical-documentation/data-sheets/3748fb.pdf) -SSOP 0.5 -0 -12 -12 -Package_SO -MFSOP6-4_4.4x3.6mm_P1.27mm -https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.4pin%20MFSOP6.html -MFSOP 4 pin SMD -0 -4 -4 -Package_SO -MFSOP6-5_4.4x3.6mm_P1.27mm -https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.5pin%20MFSOP6.html -MFSOP 4 pin SMD -0 -5 -5 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP1.5x1.8mm -MSOP, 8 Pin (https://www.diodes.com/assets/Package-Files/MSOP-8EP.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -13 -9 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP1.5x1.8mm_ThermalVias -MSOP, 8 Pin (https://www.diodes.com/assets/Package-Files/MSOP-8EP.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -18 -9 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP1.68x1.88mm -MSOP, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/4440fb.pdf#page=13), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -13 -9 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP1.68x1.88mm_ThermalVias -MSOP, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/4440fb.pdf#page=13), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -18 -9 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP1.73x1.85mm -MSOP, 8 Pin (http://www.ti.com/lit/ds/symlink/lm25085.pdf#page=32), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -13 -9 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP1.73x1.85mm_ThermalVias -MSOP, 8 Pin (http://www.ti.com/lit/ds/symlink/lm25085.pdf#page=32), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -18 -9 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP1.95x2.15mm -MSOP, 8 Pin (http://www.st.com/resource/en/datasheet/pm8834.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -13 -9 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP1.95x2.15mm_ThermalVias -MSOP, 8 Pin (http://www.st.com/resource/en/datasheet/pm8834.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -18 -9 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP2.5x3mm_Mask1.73x2.36mm -MSOP, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf#page=15), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -14 -9 -Package_SO -MSOP-8-1EP_3x3mm_P0.65mm_EP2.5x3mm_Mask1.73x2.36mm_ThermalVias -MSOP, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf#page=15), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -19 -9 -Package_SO -MSOP-8_3x3mm_P0.65mm -MSOP, 8 Pin (https://www.jedec.org/system/files/docs/mo-187F.pdf variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -8 -8 -Package_SO -MSOP-10-1EP_3x3mm_P0.5mm_EP1.68x1.88mm -MSOP, 10 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3805fg.pdf#page=18), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -15 -11 -Package_SO -MSOP-10-1EP_3x3mm_P0.5mm_EP1.68x1.88mm_ThermalVias -MSOP, 10 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3805fg.pdf#page=18), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -20 -11 -Package_SO -MSOP-10-1EP_3x3mm_P0.5mm_EP1.73x1.98mm -MSOP, 10 Pin (www.allegromicro.com/~/media/Files/Datasheets/A4952-3-Datasheet.ashx?la=en#page=10), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -15 -11 -Package_SO -MSOP-10-1EP_3x3mm_P0.5mm_EP1.73x1.98mm_ThermalVias -MSOP, 10 Pin (www.allegromicro.com/~/media/Files/Datasheets/A4952-3-Datasheet.ashx?la=en#page=10), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -20 -11 -Package_SO -MSOP-10-1EP_3x3mm_P0.5mm_EP2.2x3.1mm_Mask1.83x1.89mm -MSOP, 10 Pin (https://www.ti.com/lit/ds/symlink/xtr111.pdf#page=27), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -16 -11 -Package_SO -MSOP-10-1EP_3x3mm_P0.5mm_EP2.2x3.1mm_Mask1.83x1.89mm_ThermalVias -MSOP, 10 Pin (https://www.ti.com/lit/ds/symlink/xtr111.pdf#page=27), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -23 -11 -Package_SO -MSOP-10_3x3mm_P0.5mm -10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf) -SSOP 0.5 -0 -10 -10 -Package_SO -MSOP-12-1EP_3x4mm_P0.65mm_EP1.65x2.85mm -MSOP, 12 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3652fe.pdf#page=24), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -17 -13 -Package_SO -MSOP-12-1EP_3x4mm_P0.65mm_EP1.65x2.85mm_ThermalVias -MSOP, 12 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/3652fe.pdf#page=24), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -24 -13 -Package_SO -MSOP-12-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm -10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf) -SSOP 0.5 -0 -19 -13 -Package_SO -MSOP-12-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm_ThermalVias -10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf) -SSOP 0.5 -0 -25 -13 -Package_SO -MSOP-12-16_3x4mm_P0.5mm -10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf) -SSOP 0.5 -0 -12 -12 -Package_SO -MSOP-12_3x4mm_P0.65mm -MSOP, 12 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/6957fb.pdf#page=36), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -12 -12 -Package_SO -MSOP-16-1EP_3x4.039mm_P0.5mm_EP1.651x2.845mm -MSOP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-msop/05081667_F_MSE16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -21 -17 -Package_SO -MSOP-16-1EP_3x4.039mm_P0.5mm_EP1.651x2.845mm_ThermalVias -MSOP, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-msop/05081667_F_MSE16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -28 -17 -Package_SO -MSOP-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm -MSOP, 16 Pin (http://cds.linear.com/docs/en/datasheet/37551fd.pdf#page=23), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -21 -17 -Package_SO -MSOP-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm_ThermalVias -MSOP, 16 Pin (http://cds.linear.com/docs/en/datasheet/37551fd.pdf#page=23), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -28 -17 -Package_SO -MSOP-16_3x4.039mm_P0.5mm -MSOP, 16 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-msop/05081669_A_MS16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -16 -16 -Package_SO -MSOP-16_3x4mm_P0.5mm -MSOP, 16 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/436412f.pdf#page=22), generated with kicad-footprint-generator ipc_gullwing_generator.py -MSOP SO -0 -16 -16 -Package_SO -ONSemi_SO-8FL_488AA -ON Semi DFN5 5x6mm 1.27P SO-8FL CASE 488A https://www.onsemi.com/pub/Collateral/488AA.PDF -ON Semi DFN5 5x6mm 1.27P SO-8FL CASE 488A -0 -5 -5 -Package_SO -OnSemi_Micro8 -ON Semiconductor Micro8 (Case846A-02): https://www.onsemi.com/pub/Collateral/846A-02.PDF -micro8 -0 -8 -8 -Package_SO -PSOP-44_16.9x27.17mm_P1.27mm -PSOP44: plastic thin shrink small outline package; 44 leads; body width 16.90 mm -PSOP 1.27 -0 -44 -44 -Package_SO -PowerIntegrations_SO-8 -Power-Integrations variant of 8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC], see https://ac-dc.power.com/sites/default/files/product-docs/senzero_family_datasheet.pdf -SOIC 1.27 -0 -8 -8 -Package_SO -PowerIntegrations_SO-8B -Power-Integrations variant of 8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC], see https://www.mouser.com/ds/2/328/linkswitch-pl_family_datasheet-12517.pdf -SOIC 1.27 -0 -7 -7 -Package_SO -PowerIntegrations_SO-8C -Power-Integrations variant of 8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC], see https://www.mouser.com/ds/2/328/linkswitch-pl_family_datasheet-12517.pdf -SOIC 1.27 -0 -7 -7 -Package_SO -PowerIntegrations_eSOP-12B -eSOP-12B SMT Flat Package with Heatsink Tab, see https://ac-dc.power.com/sites/default/files/product-docs/topswitch-jx_family_datasheet.pdf -Power Integrations K Package -0 -12 -12 -Package_SO -PowerPAK_SO-8L_Single -PowerPAK SO-8L Single (https://www.vishay.com/docs/64721/an913.pdf) -PowerPAK SO-8L_Single -0 -13 -5 -Package_SO -PowerPAK_SO-8_Dual -PowerPAK SO-8 Dual (https://www.vishay.com/docs/71655/powerpak.pdf, https://www.vishay.com/docs/72600/72600.pdf) -PowerPAK SO-8 Dual -0 -10 -6 -Package_SO -PowerPAK_SO-8_Single -PowerPAK SO-8 Single (https://www.vishay.com/docs/71655/powerpak.pdf, https://www.vishay.com/docs/72599/72599.pdf) -PowerPAK SO-8 Single -0 -9 -5 -Package_SO -QSOP-16_3.9x4.9mm_P0.635mm -16-Lead Plastic Shrink Small Outline Narrow Body (QR)-.150" Body [QSOP] (see Microchip Packaging Specification 00000049BS.pdf) -SSOP 0.635 -0 -16 -16 -Package_SO -QSOP-20_3.9x8.7mm_P0.635mm -20-Lead Plastic Shrink Small Outline Narrow Body (http://www.analog.com/media/en/technical-documentation/data-sheets/ADuM7640_7641_7642_7643.pdf) -QSOP 0.635 -0 -20 -20 -Package_SO -QSOP-24_3.9x8.7mm_P0.635mm -24-Lead Plastic Shrink Small Outline Narrow Body (QR)-.150" Body [QSOP] (see Microchip Packaging Specification 00000049CH.pdf) -QSOP 0.635 -0 -24 -24 -Package_SO -ROHM_SOT-457_ClockwisePinNumbering -ROHM SOT-457 SC-74, 6 Pin (https://fscdn.rohm.com/en/products/databook/datasheet/discrete/transistor/digital/imh3at110-e.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -ROHM SC-74 SO -0 -6 -6 -Package_SO -SC-74-6_1.5x2.9mm_P0.95mm -SC-74, 6 Pin (https://www.nxp.com/docs/en/package-information/SOT457.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SC-74 SO -0 -6 -6 -Package_SO -SO-4_4.4x2.3mm_P1.27mm -4-Lead Plastic Small Outline (SO), see http://datasheet.octopart.com/OPIA403BTRE-Optek-datasheet-5328560.pdf -SO SOIC 1.27 -0 -4 -4 -Package_SO -SO-4_4.4x3.6mm_P2.54mm -4-Lead Plastic Small Outline (SO), see https://www.elpro.org/de/index.php?controller=attachment&id_attachment=339 -SO SOIC 2.54 -0 -4 -4 -Package_SO -SO-4_4.4x3.9mm_P2.54mm -SO, 4 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=10047&prodName=TLP3123), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -4 -4 -Package_SO -SO-4_4.4x4.3mm_P2.54mm -4-Lead Plastic Small Outline (SO), see https://docs.broadcom.com/docs/AV02-0173EN -SO SOIC 2.54 -0 -4 -4 -Package_SO -SO-4_7.6x3.6mm_P2.54mm -4-Lead Plastic Small Outline (SO) (http://www.everlight.com/file/ProductFile/201407061745083848.pdf) -SO SOIC 2.54 -0 -4 -4 -Package_SO -SO-5_4.4x3.6mm_P1.27mm -5-Lead Plastic Small Outline (SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true -SO SOIC 1.27 -0 -5 -5 -Package_SO -SO-6L_10x3.84mm_P1.27mm -6-pin plasic small outline 7,5mm long https://toshiba.semicon-storage.com/info/docget.jsp?did=53548&prodName=TLP2770 -SO-6L -0 -6 -6 -Package_SO -SO-6_4.4x3.6mm_P1.27mm -6-Lead Plastic Small Outline (SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true -SO SOIC 1.27 -0 -6 -6 -Package_SO -SO-8_3.9x4.9mm_P1.27mm -SO, 8 Pin (https://www.nxp.com/docs/en/data-sheet/PCF8523.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -8 -8 -Package_SO -SO-8_5.3x6.2mm_P1.27mm -SO, 8 Pin (https://www.ti.com/lit/ml/msop001a/msop001a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -8 -8 -Package_SO -SO-14_3.9x8.65mm_P1.27mm -SO, 14 Pin (https://www.st.com/resource/en/datasheet/l6491.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -14 -14 -Package_SO -SO-14_5.3x10.2mm_P1.27mm -SO, 14 Pin (https://www.ti.com/lit/ml/msop002a/msop002a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -14 -14 -Package_SO -SO-16_3.9x9.9mm_P1.27mm -SO, 16 Pin (https://www.nxp.com/docs/en/package-information/SOT109-1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -16 -16 -Package_SO -SO-16_5.3x10.2mm_P1.27mm -SO, 16 Pin (https://www.ti.com/lit/ml/msop002a/msop002a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -16 -16 -Package_SO -SO-20-1EP_7.52x12.825mm_P1.27mm_EP6.045x12.09mm_Mask3.56x4.47mm -SO, 20 Pin (http://www.ti.com/lit/ds/symlink/opa569.pdf, http://www.ti.com/lit/an/slma004b/slma004b.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -23 -21 -Package_SO -SO-20-1EP_7.52x12.825mm_P1.27mm_EP6.045x12.09mm_Mask3.56x4.47mm_ThermalVias -SO, 20 Pin (http://www.ti.com/lit/ds/symlink/opa569.pdf, http://www.ti.com/lit/an/slma004b/slma004b.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -51 -21 -Package_SO -SO-20_5.3x12.6mm_P1.27mm -SO, 20 Pin (https://www.ti.com/lit/ml/msop002a/msop002a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -20 -20 -Package_SO -SO-20_12.8x7.5mm_P1.27mm -SO-20, 12.8x7.5mm, https://www.nxp.com/docs/en/data-sheet/SA605.pdf -S0-20 -0 -20 -20 -Package_SO -SO-24_5.3x15mm_P1.27mm -SO, 24 Pin (https://www.ti.com/lit/ml/msop002a/msop002a.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SO SO -0 -24 -24 -Package_SO -SOIC-4_4.55x2.6mm_P1.27mm -SOIC, 4 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=12884&prodName=TLP291), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -4 -4 -Package_SO -SOIC-4_4.55x3.7mm_P2.54mm -SOIC, 6 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=11791&prodName=TLP185), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -4 -4 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm -SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -13 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm_ThermalVias -SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -20 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm -SOIC, 8 Pin (http://www.allegromicro.com/~/media/Files/Datasheets/A4950-Datasheet.ashx#page=8), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -13 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm_ThermalVias -SOIC, 8 Pin (http://www.allegromicro.com/~/media/Files/Datasheets/A4950-Datasheet.ashx#page=8), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -20 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.81mm -SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -13 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.81mm_ThermalVias -SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -20 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.62x3.51mm -SOIC, 8 Pin (https://www.monolithicpower.com/en/documentview/productdocument/index/version/2/document_type/Datasheet/lang/en/sku/MP2303A/document_id/494#page=14), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -13 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.62x3.51mm_ThermalVias -SOIC, 8 Pin (https://www.monolithicpower.com/en/documentview/productdocument/index/version/2/document_type/Datasheet/lang/en/sku/MP2303A/document_id/494#page=14), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -20 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.95x4.9mm_Mask2.71x3.4mm -SOIC, 8 Pin (http://www.ti.com/lit/ds/symlink/lm5017.pdf#page=31), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -14 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.95x4.9mm_Mask2.71x3.4mm_ThermalVias -SOIC, 8 Pin (http://www.ti.com/lit/ds/symlink/lm5017.pdf#page=31), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -23 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.514x3.2mm -SOIC, 8 Pin (https://www.renesas.com/eu/en/www/doc/datasheet/hip2100.pdf#page=13), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -13 -9 -Package_SO -SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.514x3.2mm_ThermalVias -SOIC, 8 Pin (https://www.renesas.com/eu/en/www/doc/datasheet/hip2100.pdf#page=13), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -20 -9 -Package_SO -SOIC-8-N7_3.9x4.9mm_P1.27mm -8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC], pin 7 removed (Microchip Packaging Specification 00000049BS.pdf, http://www.onsemi.com/pub/Collateral/NCP1207B.PDF) -SOIC 1.27 -0 -7 -7 -Package_SO -SOIC-8W_5.3x5.3mm_P1.27mm -8-Lead Plastic Small Outline (SM) - 5.28 mm Body [SOIC] (http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf) -SOIC 1.27 -0 -8 -8 -Package_SO -SOIC-8_3.9x4.9mm_P1.27mm -SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -8 -8 -Package_SO -SOIC-8_5.23x5.23mm_P1.27mm -SOIC, 8 Pin (http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf#page=68), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -8 -8 -Package_SO -SOIC-8_5.275x5.275mm_P1.27mm -SOIC, 8 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/20005045C.pdf#page=23), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -8 -8 -Package_SO -SOIC-8_7.5x5.85mm_P1.27mm -SOIC, 8 Pin (http://www.ti.com/lit/ml/mpds382b/mpds382b.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -8 -8 -Package_SO -SOIC-14-16_3.9x9.9mm_P1.27mm -SOIC, 16 Pin package with pin 2 and 13 removed for voltage clearance (UCC256301, https://www.ti.com/lit/ds/symlink/ucc256301.pdf) -SOIC SO -0 -14 -14 -Package_SO -SOIC-14W_7.5x9mm_P1.27mm -SOIC, 14 Pin (JEDEC MS-013AF, https://www.analog.com/media/en/package-pcb-resources/package/54614177245586rw_14.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -14 -14 -Package_SO -SOIC-14_3.9x8.7mm_P1.27mm -SOIC, 14 Pin (JEDEC MS-012AB, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_14.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -14 -14 -Package_SO -SOIC-16W-12_7.5x10.3mm_P1.27mm -SOIC-16 With 12 Pin Placed - Wide, 7.50 mm Body [SOIC] (https://docs.broadcom.com/docs/AV02-0169EN) -SOIC 1.27 16 12 Wide -0 -12 -12 -Package_SO -SOIC-16W_5.3x10.2mm_P1.27mm -16-Lead Plastic Small Outline (SO) - Wide, 5.3 mm Body (http://www.ti.com/lit/ml/msop002a/msop002a.pdf) -SOIC 1.27 -0 -16 -16 -Package_SO -SOIC-16W_7.5x10.3mm_P1.27mm -SOIC, 16 Pin (JEDEC MS-013AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/rw_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -16 -16 -Package_SO -SOIC-16W_7.5x12.8mm_P1.27mm -SOIC, 16 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ri_soic_ic/ri_16_1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -16 -16 -Package_SO -SOIC-16_3.9x9.9mm_P1.27mm -SOIC, 16 Pin (JEDEC MS-012AC, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -16 -16 -Package_SO -SOIC-16_4.55x10.3mm_P1.27mm -SOIC, 16 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=12858&prodName=TLP291-4), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -16 -16 -Package_SO -SOIC-18W_7.5x11.6mm_P1.27mm -SOIC, 18 Pin (JEDEC MS-013AB, https://www.analog.com/media/en/package-pcb-resources/package/33254132129439rw_18.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -18 -18 -Package_SO -SOIC-20W_7.5x12.8mm_P1.27mm -SOIC, 20 Pin (JEDEC MS-013AC, https://www.analog.com/media/en/package-pcb-resources/package/233848rw_20.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -20 -20 -Package_SO -SOIC-20W_7.5x15.4mm_P1.27mm -SOIC, 20 Pin (JEDEC MS-013AD, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/RI_20_1.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -20 -20 -Package_SO -SOIC-24W_7.5x15.4mm_P1.27mm -SOIC, 24 Pin (JEDEC MS-013AD, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/RW_24.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -24 -24 -Package_SO -SOIC-28W_7.5x17.9mm_P1.27mm -SOIC, 28 Pin (JEDEC MS-013AE, https://www.analog.com/media/en/package-pcb-resources/package/35833120341221rw_28.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -28 -28 -Package_SO -SOIC-28W_7.5x18.7mm_P1.27mm -SOIC, 28 Pin (https://www.akm.com/akm/en/file/datasheet/AK5394AVS.pdf#page=23), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOIC SO -0 -28 -28 -Package_SO -SOJ-36_10.16x23.49mm_P1.27mm -SOJ, 36 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOJ SO -0 -36 -36 -Package_SO -SOP-4_3.8x4.1mm_P2.54mm -SOP, 4 Pin (http://www.ixysic.com/home/pdfs.nsf/www/CPC1017N.pdf/$file/CPC1017N.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -4 -4 -Package_SO -SOP-4_4.4x2.6mm_P1.27mm -SOP, 4 Pin (http://www.vishay.com/docs/83510/tcmt1100.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -4 -4 -Package_SO -SOP-8-1EP_4.57x4.57mm_P1.27mm_EP4.57x4.45mm -SOP, 8 Pin (https://ww2.minicircuits.com/case_style/XX112.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -13 -9 -Package_SO -SOP-8-1EP_4.57x4.57mm_P1.27mm_EP4.57x4.45mm_ThermalVias -SOP, 8 Pin (https://ww2.minicircuits.com/case_style/XX112.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -20 -9 -Package_SO -SOP-8_3.9x4.9mm_P1.27mm -SOP, 8 Pin (http://www.macronix.com/Lists/Datasheet/Attachments/7534/MX25R3235F,%20Wide%20Range,%2032Mb,%20v1.6.pdf#page=79), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -8 -8 -Package_SO -SOP-8_3.76x4.96mm_P1.27mm -SOP, 8 Pin (https://ww2.minicircuits.com/case_style/XX211.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -8 -8 -Package_SO -SOP-8_5.28x5.23mm_P1.27mm -SOP, 8 Pin (http://www.macronix.com/Lists/Datasheet/Attachments/7534/MX25R3235F,%20Wide%20Range,%2032Mb,%20v1.6.pdf#page=80), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -8 -8 -Package_SO -SOP-8_6.62x9.15mm_P2.54mm -SOP, 8 Pin (http://www.ti.com/lit/ds/symlink/iso1050.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -8 -8 -Package_SO -SOP-8_6.605x9.655mm_P2.54mm -SOP, 8 Pin (https://www.onsemi.com/pdf/datasheet/hcpl2631m-d.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -8 -8 -Package_SO -SOP-16_3.9x9.9mm_P1.27mm -SOP, 16 Pin (https://www.diodes.com/assets/Datasheets/PAM8403.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -16 -16 -Package_SO -SOP-16_4.4x10.4mm_P1.27mm -SOP, 16 Pin (https://www.vishay.com/docs/83513/tcmd1000.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -16 -16 -Package_SO -SOP-16_4.55x10.3mm_P1.27mm -SOP, 16 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=12855&prodName=TLP290-4), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -16 -16 -Package_SO -SOP-18_7.495x11.515mm_P1.27mm -SOP, 18 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=30523), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -18 -18 -Package_SO -SOP-18_7x12.5mm_P1.27mm -SOP, 18 Pin (https://toshiba.semicon-storage.com/info/docget.jsp?did=30523), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -18 -18 -Package_SO -SOP-20_7.5x12.8mm_P1.27mm -SOP, 20 Pin (https://www.holtek.com/documents/10179/116723/sop20-300.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -20 -20 -Package_SO -SOP-24_7.5x15.4mm_P1.27mm -SOP, 24 Pin (http://www.issi.com/WW/pdf/31FL3218.pdf#page=14), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -24 -24 -Package_SO -SOP-44_12.6x28.5mm_P1.27mm -SOP, 44 Pin (https://www.mxic.com.tw/Lists/Datasheet/Attachments/8177/MX23C6410,%205V,%2064Mb,%20v3.1.pdf#page=5), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOP SO -0 -44 -44 -Package_SO -SSO-4_6.7x5.1mm_P2.54mm_Clearance8mm -4-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://www.vishay.com/docs/84299/vor1142b4.pdf -SSO Stretched SO SOIC 2.54 -0 -4 -4 -Package_SO -SSO-6_6.8x4.6mm_P1.27mm_Clearance7mm -8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true -SSO Stretched SO SOIC 1.27 -0 -6 -6 -Package_SO -SSO-6_6.8x4.6mm_P1.27mm_Clearance8mm -8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true -SSO Stretched SO SOIC 1.27 -0 -6 -6 -Package_SO -SSO-7-8_6.4x9.78mm_P2.54mm -SSO, 7 Pin (https://b2b-api.panasonic.eu/file_stream/pids/fileversion/2787), generated with kicad-footprint-generator ipc_gullwing_generator.py -SSO SO -0 -7 -7 -Package_SO -SSO-8_6.7x9.8mm_P2.54mm_Clearance8mm -8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://www.vishay.com/docs/83831/lh1533ab.pdf -SSO Stretched SO SOIC Pitch 2.54 -0 -8 -8 -Package_SO -SSO-8_6.8x5.9mm_P1.27mm_Clearance7mm -8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true -SSO Stretched SO SOIC Pitch 1.27 -0 -8 -8 -Package_SO -SSO-8_6.8x5.9mm_P1.27mm_Clearance8mm -8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true -SSO Stretched SO SOIC Pitch 1.27 -0 -8 -8 -Package_SO -SSO-8_9.6x6.3mm_P1.27mm_Clearance10.5mm -8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true -SSO Stretched SO SOIC Pitch 1.27 -0 -8 -8 -Package_SO -SSO-8_13.6x6.3mm_P1.27mm_Clearance14.2mm -8-Lead Plastic Stretched Small Outline (SSO/Stretched SO), see https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true -SSO Stretched SO SOIC Pitch 1.27 -0 -8 -8 -Package_SO -SSOP-8_2.95x2.8mm_P0.65mm -SSOP-8 2.9 x2.8mm Pitch 0.65mm -SSOP-8 2.95x2.8mm Pitch 0.65mm -0 -8 -8 -Package_SO -SSOP-8_3.9x5.05mm_P1.27mm -SSOP, 8 Pin (http://www.fujitsu.com/downloads/MICRO/fsa/pdf/products/memory/fram/MB85RS16-DS501-00014-6v0-E.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SSOP SO -0 -8 -8 -Package_SO -SSOP-8_3.95x5.21x3.27mm_P1.27mm -SSOP-8 3.95x5.21x3.27mm Pitch 1.27mm -SSOP-8 3.95x5.21x3.27mm 1.27mm -0 -8 -8 -Package_SO -SSOP-8_5.25x5.24mm_P1.27mm -SSOP, 8 Pin (http://www.fujitsu.com/ca/en/Images/MB85RS2MT-DS501-00023-1v0-E.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SSOP SO -0 -8 -8 -Package_SO -SSOP-10_3.9x4.9mm_P1.00mm -10-Lead SSOP, 3.9 x 4.9mm body, 1.00mm pitch (http://www.st.com/resource/en/datasheet/viper01.pdf) -SSOP 3.9 4.9 1.00 -0 -10 -10 -Package_SO -SSOP-14_5.3x6.2mm_P0.65mm -SSOP14: plastic shrink small outline package; 14 leads; body width 5.3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot337-1_po.pdf) -SSOP 0.65 -0 -14 -14 -Package_SO -SSOP-16_3.9x4.9mm_P0.635mm -SSOP16: plastic shrink small outline package; 16 leads; body width 3.9 mm; lead pitch 0.635; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot519-1_po.pdf) -SSOP 0.635 -0 -16 -16 -Package_SO -SSOP-16_4.4x5.2mm_P0.65mm -SSOP16: plastic shrink small outline package; 16 leads; body width 4.4 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot369-1_po.pdf) -SSOP 0.65 -0 -16 -16 -Package_SO -SSOP-16_5.3x6.2mm_P0.65mm -SSOP, 16 Pin (https://assets.nexperia.com/documents/data-sheet/74HC_HCT165.pdf#page=14), generated with kicad-footprint-generator ipc_gullwing_generator.py -SSOP SO -0 -16 -16 -Package_SO -SSOP-18_4.4x6.5mm_P0.65mm -SSOP18: plastic shrink small outline package; 18 leads; body width 4.4 mm (http://toshiba.semicon-storage.com/info/docget.jsp?did=30523&prodName=TBD62783APG) -SSOP 0.65 -0 -18 -18 -Package_SO -SSOP-20_3.9x8.7mm_P0.635mm -SSOP20: plastic shrink small outline package; 24 leads; body width 3.9 mm; lead pitch 0.635; (see http://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT231X.pdf) -SSOP 0.635 -0 -20 -20 -Package_SO -SSOP-20_4.4x6.5mm_P0.65mm -SSOP20: plastic shrink small outline package; 20 leads; body width 4.4 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot266-1_po.pdf) -SSOP 0.65 -0 -20 -20 -Package_SO -SSOP-20_5.3x7.2mm_P0.65mm -SSOP, 20 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/40001800C.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SSOP SO -0 -20 -20 -Package_SO -SSOP-24_3.9x8.7mm_P0.635mm -SSOP24: plastic shrink small outline package; 24 leads; body width 3.9 mm; lead pitch 0.635; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot556-1_po.pdf) -SSOP 0.635 -0 -24 -24 -Package_SO -SSOP-24_5.3x8.2mm_P0.65mm -24-Lead Plastic Shrink Small Outline (SS)-5.30 mm Body [SSOP] (see Microchip Packaging Specification 00000049BS.pdf) -SSOP 0.65 -0 -24 -24 -Package_SO -SSOP-28_3.9x9.9mm_P0.635mm -SSOP28: plastic shrink small outline package; 28 leads; body width 3.9 mm; lead pitch 0.635; (see http://cds.linear.com/docs/en/datasheet/38901fb.pdf) -SSOP 0.635 -0 -28 -28 -Package_SO -SSOP-28_5.3x10.2mm_P0.65mm -28-Lead Plastic Shrink Small Outline (SS)-5.30 mm Body [SSOP] (see Microchip Packaging Specification 00000049BS.pdf) -SSOP 0.65 -0 -28 -28 -Package_SO -SSOP-32_11.305x20.495mm_P1.27mm -SSOP, 32 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SSOP SO -0 -32 -32 -Package_SO -SSOP-44_5.3x12.8mm_P0.5mm -44-Lead Plastic Shrink Small Outline (SS)-5.30 mm Body [SSOP] (http://cds.linear.com/docs/en/datasheet/680313fa.pdf) -SSOP 0.5 -0 -44 -44 -Package_SO -SSOP-48_5.3x12.8mm_P0.5mm -SSOP, 48 Pin (https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-ssop/05081887_A_G48.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -SSOP SO -0 -48 -48 -Package_SO -SSOP-48_7.5x15.9mm_P0.635mm -SSOP48: plastic shrink small outline package; 48 leads; body width 7.5 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot370-1_po.pdf) -SSOP 0.635 -0 -48 -48 -Package_SO -SSOP-56_7.5x18.5mm_P0.635mm -SSOP56: plastic shrink small outline package; 56 leads; body width 7.5 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot371-1_po.pdf) -SSOP 0.635 -0 -56 -56 -Package_SO -STC_SOP-16_3.9x9.9mm_P1.27mm -STC SOP, 16 Pin (https://www.stcmicro.com/datasheet/STC15F2K60S2-en.pdf#page=156), generated with kicad-footprint-generator ipc_gullwing_generator.py -STC SOP SO -0 -16 -16 -Package_SO -ST_MultiPowerSO-30 -MultiPowerSO-30 3EP 16.0x17.2mm Pitch 1mm (http://www.st.com/resource/en/datasheet/vnh2sp30-e.pdf) -MultiPowerSO-30 3EP 16.0x17.2mm Pitch 1mm -0 -33 -33 -Package_SO -ST_PowerSSO-24_SlugDown -ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7266p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf) -ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm -0 -25 -25 -Package_SO -ST_PowerSSO-24_SlugDown_ThermalVias -ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7266p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf) -ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm -0 -38 -25 -Package_SO -ST_PowerSSO-24_SlugUp -ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7266p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf) -ST PowerSSO-24 1EP 7.5x10.3mm Pitch 0.8mm -0 -24 -24 -Package_SO -ST_PowerSSO-36_SlugDown -ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7492p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf) -ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm -0 -37 -37 -Package_SO -ST_PowerSSO-36_SlugDown_ThermalVias -ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7492p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf) -ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm -0 -50 -37 -Package_SO -ST_PowerSSO-36_SlugUp -ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm [JEDEC MO-271] (http://www.st.com/resource/en/datasheet/tda7492p.pdf, http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf) -ST PowerSSO-36 1EP 7.5x10.3mm Pitch 0.8mm -0 -36 -36 -Package_SO -TI_SO-PowerPAD-8 -8-Lead Plastic PSOP, Exposed Die Pad (TI DDA0008B, see http://www.ti.com/lit/ds/symlink/lm3404.pdf) -SSOP 0.50 exposed pad -0 -12 -9 -Package_SO -TI_SO-PowerPAD-8_ThermalVias -8-pin HTSOP package with 1.27mm pin pitch, compatible with SOIC-8, 3.9x4.9mm² body, exposed pad, thermal vias with large copper area, as proposed in http://www.ti.com/lit/ds/symlink/tps5430.pdf -HTSOP 1.27 -0 -19 -9 -Package_SO -TSOP-5_1.65x3.05mm_P0.95mm -TSOP-5 package (comparable to TSOT-23), https://www.vishay.com/docs/71200/71200.pdf -Jedec MO-193C TSOP-5L -0 -5 -5 -Package_SO -TSOP-6_1.65x3.05mm_P0.95mm -TSOP-6 package (comparable to TSOT-23), https://www.vishay.com/docs/71200/71200.pdf -Jedec MO-193C TSOP-6L -0 -6 -6 -Package_SO -TSOP-I-24_12.4x6mm_P0.5mm -TSOP-I, 24 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation AA), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -24 -24 -Package_SO -TSOP-I-24_14.4x6mm_P0.5mm -TSOP-I, 24 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation AB), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -24 -24 -Package_SO -TSOP-I-24_16.4x6mm_P0.5mm -TSOP-I, 24 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation AC), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -24 -24 -Package_SO -TSOP-I-24_18.4x6mm_P0.5mm -TSOP-I, 24 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation AD), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -24 -24 -Package_SO -TSOP-I-28_11.8x8mm_P0.55mm -TSOP I, 28 pins, 18.8x8mm body, 0.55mm pitch, IPC-calculated pads (http://ww1.microchip.com/downloads/en/devicedoc/doc0807.pdf) -TSOP I 28 pins -0 -28 -28 -Package_SO -TSOP-I-32_11.8x8mm_P0.5mm -TSOP-I, 32 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -32 -32 -Package_SO -TSOP-I-32_12.4x8mm_P0.5mm -TSOP-I, 32 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation BA), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -32 -32 -Package_SO -TSOP-I-32_14.4x8mm_P0.5mm -TSOP-I, 32 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation BB), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -32 -32 -Package_SO -TSOP-I-32_16.4x8mm_P0.5mm -TSOP-I, 32 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation BC), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -32 -32 -Package_SO -TSOP-I-32_18.4x8mm_P0.5mm -TSOP-I, 32 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation BD), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -32 -32 -Package_SO -TSOP-I-32_18.4x8mm_P0.5mm_Reverse -TSOP I, 32 pins, 18.4x8mm body (http://www.futurlec.com/Datasheet/Memory/628128.pdf), reverse mount -TSOP I 32 reverse -0 -32 -32 -Package_SO -TSOP-I-40_12.4x10mm_P0.5mm -TSOP-I, 40 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation CA), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -40 -40 -Package_SO -TSOP-I-40_14.4x10mm_P0.5mm -TSOP-I, 40 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation CB), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -40 -40 -Package_SO -TSOP-I-40_16.4x10mm_P0.5mm -TSOP-I, 40 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation CC), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -40 -40 -Package_SO -TSOP-I-40_18.4x10mm_P0.5mm -TSOP-I, 40 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation CD), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -40 -40 -Package_SO -TSOP-I-48_12.4x12mm_P0.5mm -TSOP-I, 48 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation DA), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -48 -48 -Package_SO -TSOP-I-48_14.4x12mm_P0.5mm -TSOP-I, 48 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation DB), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -48 -48 -Package_SO -TSOP-I-48_16.4x12mm_P0.5mm -TSOP-I, 48 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation DC), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -48 -48 -Package_SO -TSOP-I-48_18.4x12mm_P0.5mm -TSOP-I, 48 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation DD), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -48 -48 -Package_SO -TSOP-I-56_14.4x14mm_P0.5mm -TSOP-I, 56 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation EA), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -56 -56 -Package_SO -TSOP-I-56_16.4x14mm_P0.5mm -TSOP-I, 56 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation EB), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -56 -56 -Package_SO -TSOP-I-56_18.4x14mm_P0.5mm -TSOP-I, 56 Pin (https://www.jedec.org/standards-documents/docs/mo-142-d variation EC), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-I SO -0 -56 -56 -Package_SO -TSOP-II-32_21.0x10.2mm_P1.27mm -32-lead plastic TSOP; Type II -TSOP-II 32 -0 -32 -32 -Package_SO -TSOP-II-40-44_10.16x18.37mm_P0.8mm -TSOP-II, 40 Pin (https://www.renesas.com/us/en/document/psc/package-drawing-tsop2-44pin-ptsb0044ge-e), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-II SO -0 -40 -40 -Package_SO -TSOP-II-44_10.16x18.41mm_P0.8mm -TSOP-II, 44 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOP-II SO -0 -44 -44 -Package_SO -TSOP-II-54_22.2x10.16mm_P0.8mm -54-lead TSOP typ II package -TSOPII TSOP2 -0 -54 -54 -Package_SO -TSSOP-4_4.4x5mm_P4mm -TSSOP, 4 Pin (https://www.onsemi.com/pub/Collateral/MDB8S-D.PDF#page=4), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -4 -4 -Package_SO -TSSOP-8_3x3mm_P0.65mm -TSSOP8: plastic thin shrink small outline package; 8 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot505-1_po.pdf) -SSOP 0.65 -0 -8 -8 -Package_SO -TSSOP-8_4.4x3mm_P0.65mm -TSSOP, 8 Pin (JEDEC MO-153 Var AA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -8 -8 -Package_SO -TSSOP-10_3x3mm_P0.5mm -TSSOP10: plastic thin shrink small outline package; 10 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot552-1_po.pdf) -SSOP 0.5 -0 -10 -10 -Package_SO -TSSOP-14-1EP_4.4x5mm_P0.65mm -14-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] with exposed pad (http://cds.linear.com/docs/en/datasheet/34301fa.pdf) -SSOP 0.65 exposed pad -0 -18 -15 -Package_SO -TSSOP-14_4.4x3.6mm_P0.4mm -TSSOP, 14 Pin (JEDEC MO-194 Var AA https://www.jedec.org/document_search?search_api_views_fulltext=MO-194), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -14 -14 -Package_SO -TSSOP-14_4.4x5mm_P0.65mm -TSSOP, 14 Pin (JEDEC MO-153 Var AB-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -14 -14 -Package_SO -TSSOP-16-1EP_4.4x5mm_P0.65mm -FE Package; 16-Lead Plastic TSSOP (4.4mm); Exposed Pad Variation BB; (see Linear Technology 1956f.pdf) -SSOP 0.65 -0 -24 -17 -Package_SO -TSSOP-16-1EP_4.4x5mm_P0.65mm_EP3x3mm -TSSOP, 16 Pin (Allegro A4954 https://www.allegromicro.com/-/media/Files/Datasheets/A4954-Datasheet.ashx), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -21 -17 -Package_SO -TSSOP-16-1EP_4.4x5mm_P0.65mm_EP3x3mm_ThermalVias -TSSOP, 16 Pin (Allegro A4954 https://www.allegromicro.com/-/media/Files/Datasheets/A4954-Datasheet.ashx), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -26 -17 -Package_SO -TSSOP-16_4.4x3.6mm_P0.4mm -TSSOP, 16 Pin (JEDEC MO-194 Var AB https://www.jedec.org/document_search?search_api_views_fulltext=MO-194), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -16 -16 -Package_SO -TSSOP-16_4.4x5mm_P0.65mm -TSSOP, 16 Pin (JEDEC MO-153 Var AB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -16 -16 -Package_SO -TSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP2.15x3.35mm -TSSOP, 20 Pin (https://pdfserv.maximintegrated.com/package_dwgs/21-100132.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -25 -21 -Package_SO -TSSOP-20_4.4x5mm_P0.4mm -TSSOP, 20 Pin (JEDEC MO-194 Var AC https://www.jedec.org/document_search?search_api_views_fulltext=MO-194), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -20 -20 -Package_SO -TSSOP-20_4.4x5mm_P0.5mm -TSSOP, 20 Pin (JEDEC MO-153 Var BA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -20 -20 -Package_SO -TSSOP-20_4.4x6.5mm_P0.65mm -TSSOP, 20 Pin (JEDEC MO-153 Var AC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -20 -20 -Package_SO -TSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.2x5mm -TSSOP, 24 Pin (https://www.st.com/resource/en/datasheet/led1642gw.pdf#page=37), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -31 -25 -Package_SO -TSSOP-24_4.4x5mm_P0.4mm -TSSOP, 24 Pin (JEDEC MO-153 Var CA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -24 -24 -Package_SO -TSSOP-24_4.4x6.5mm_P0.5mm -TSSOP, 24 Pin (JEDEC MO-153 Var BB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -24 -24 -Package_SO -TSSOP-24_4.4x7.8mm_P0.65mm -TSSOP, 24 Pin (JEDEC MO-153 Var AD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -24 -24 -Package_SO -TSSOP-24_6.1x7.8mm_P0.65mm -TSSOP, 24 Pin (JEDEC MO-153 Var DA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -24 -24 -Package_SO -TSSOP-28-1EP_4.4x9.7mm_P0.65mm -TSSOP28: plastic thin shrink small outline package; 28 leads; body width 4.4 mm; Exposed Pad Variation; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot361-1_po.pdf) -SSOP 0.65 -0 -36 -29 -Package_SO -TSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP2.85x6.7mm -TSSOP, 28 Pin (JEDEC MO-153 Var AET Pkg.Code U28E-4 https://pdfserv.maximintegrated.com/package_dwgs/21-0108.PDF), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -35 -29 -Package_SO -TSSOP-28_4.4x7.8mm_P0.5mm -TSSOP, 28 Pin (JEDEC MO-153 Var BC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -28 -28 -Package_SO -TSSOP-28_4.4x9.7mm_P0.65mm -TSSOP, 28 Pin (JEDEC MO-153 Var AE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -28 -28 -Package_SO -TSSOP-28_6.1x7.8mm_P0.5mm -TSSOP, 28 Pin (JEDEC MO-153 Var EA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -28 -28 -Package_SO -TSSOP-28_6.1x9.7mm_P0.65mm -TSSOP, 28 Pin (JEDEC MO-153 Var DB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -28 -28 -Package_SO -TSSOP-28_8x9.7mm_P0.65mm -TSSOP, 28 Pin (JEDEC MO-153 Var GA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -28 -28 -Package_SO -TSSOP-30_4.4x7.8mm_P0.5mm -TSSOP, 30 Pin (JEDEC MO-153 Var BC-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -30 -30 -Package_SO -TSSOP-30_6.1x9.7mm_P0.65mm -TSSOP, 30 Pin (JEDEC MO-153 Var DB-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -30 -30 -Package_SO -TSSOP-32_4.4x6.5mm_P0.4mm -TSSOP, 32 Pin (JEDEC MO-153 Var CB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -32 -32 -Package_SO -TSSOP-32_6.1x11mm_P0.65mm -TSSOP, 32 Pin (JEDEC MO-153 Var DC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -32 -32 -Package_SO -TSSOP-32_8x11mm_P0.65mm -TSSOP, 32 Pin (JEDEC MO-153 Var GB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -32 -32 -Package_SO -TSSOP-36_4.4x7.8mm_P0.4mm -TSSOP, 36 Pin (JEDEC MO-153 Var CC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -36 -36 -Package_SO -TSSOP-36_4.4x9.7mm_P0.5mm -TSSOP, 36 Pin (JEDEC MO-153 Var BD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -36 -36 -Package_SO -TSSOP-36_6.1x7.8mm_P0.4mm -TSSOP, 36 Pin (JEDEC MO-153 Var FA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -36 -36 -Package_SO -TSSOP-36_6.1x9.7mm_P0.5mm -TSSOP, 36 Pin (JEDEC MO-153 Var EB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -36 -36 -Package_SO -TSSOP-36_6.1x12.5mm_P0.65mm -TSSOP, 36 Pin (JEDEC MO-153 Var DD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -36 -36 -Package_SO -TSSOP-36_8x9.7mm_P0.5mm -TSSOP, 36 Pin (JEDEC MO-153 Var HA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -36 -36 -Package_SO -TSSOP-36_8x12.5mm_P0.65mm -TSSOP, 36 Pin (JEDEC MO-153 Var GC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -36 -36 -Package_SO -TSSOP-38_4.4x9.7mm_P0.5mm -TSSOP, 38 Pin (JEDEC MO-153 Var BD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -38 -38 -Package_SO -TSSOP-38_6.1x12.5mm_P0.65mm -TSSOP, 38 Pin (JEDEC MO-153 Var DD-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -38 -38 -Package_SO -TSSOP-40_6.1x11mm_P0.5mm -TSSOP, 40 Pin (JEDEC MO-153 Var EC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -40 -40 -Package_SO -TSSOP-40_6.1x14mm_P0.65mm -TSSOP, 40 Pin (JEDEC MO-153 Var DE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -40 -40 -Package_SO -TSSOP-40_8x11mm_P0.5mm -TSSOP, 40 Pin (JEDEC MO-153 Var HB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -40 -40 -Package_SO -TSSOP-40_8x14mm_P0.65mm -TSSOP, 40 Pin (JEDEC MO-153 Var GD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -40 -40 -Package_SO -TSSOP-44_4.4x11.2mm_P0.5mm -TSSOP44: plastic thin shrink small outline package; 44 leads; body width 4.4 mm (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot510-1_po.pdf) -SSOP 0.5 -0 -44 -44 -Package_SO -TSSOP-44_4.4x11mm_P0.5mm -TSSOP, 44 Pin (JEDEC MO-153 Var BE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -44 -44 -Package_SO -TSSOP-44_6.1x11mm_P0.5mm -TSSOP, 44 Pin (JEDEC MO-153 Var EC-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -44 -44 -Package_SO -TSSOP-48_4.4x9.7mm_P0.4mm -TSSOP, 48 Pin (JEDEC MO-153 Var CD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -48 -48 -Package_SO -TSSOP-48_6.1x9.7mm_P0.4mm -TSSOP, 48 Pin (JEDEC MO-153 Var FB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -48 -48 -Package_SO -TSSOP-48_6.1x12.5mm_P0.5mm -TSSOP, 48 Pin (JEDEC MO-153 Var ED https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -48 -48 -Package_SO -TSSOP-48_8x9.7mm_P0.4mm -TSSOP, 48 Pin (JEDEC MO-153 Var JA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -48 -48 -Package_SO -TSSOP-48_8x12.5mm_P0.5mm -TSSOP, 48 Pin (JEDEC MO-153 Var HC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -48 -48 -Package_SO -TSSOP-50_4.4x12.5mm_P0.5mm -TSSOP, 50 Pin (JEDEC MO-153 Var BF https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -50 -50 -Package_SO -TSSOP-52_6.1x11mm_P0.4mm -TSSOP, 52 Pin (JEDEC MO-153 Var FC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -52 -52 -Package_SO -TSSOP-52_8x11mm_P0.4mm -TSSOP, 52 Pin (JEDEC MO-153 Var JB https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -52 -52 -Package_SO -TSSOP-56_4.4x11.3mm_P0.4mm -TSSOP, 56 Pin (JEDEC MO-194 Var AF https://www.jedec.org/document_search?search_api_views_fulltext=MO-194), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -56 -56 -Package_SO -TSSOP-56_6.1x12.5mm_P0.4mm -TSSOP, 56 Pin (JEDEC MO-153 Var FD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -56 -56 -Package_SO -TSSOP-56_6.1x14mm_P0.5mm -TSSOP, 56 Pin (JEDEC MO-153 Var EE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -56 -56 -Package_SO -TSSOP-56_8x12.5mm_P0.4mm -TSSOP, 56 Pin (JEDEC MO-153 Var JC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -56 -56 -Package_SO -TSSOP-56_8x14mm_P0.5mm -TSSOP, 56 Pin (JEDEC MO-153 Var HD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -56 -56 -Package_SO -TSSOP-60_8x12.5mm_P0.4mm -TSSOP, 60 Pin (JEDEC MO-153 Var JC-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -60 -60 -Package_SO -TSSOP-64_6.1x14mm_P0.4mm -TSSOP, 64 Pin (JEDEC MO-153 Var FE https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -64 -64 -Package_SO -TSSOP-64_6.1x17mm_P0.5mm -TSSOP, 64 Pin (JEDEC MO-153 Var EF https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -64 -64 -Package_SO -TSSOP-64_8x14mm_P0.4mm -TSSOP, 64 Pin (JEDEC MO-153 Var JD https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -64 -64 -Package_SO -TSSOP-68_8x14mm_P0.4mm -TSSOP, 68 Pin (JEDEC MO-153 Var JD-1 https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -68 -68 -Package_SO -TSSOP-80_6.1x17mm_P0.4mm -TSSOP, 80 Pin (JEDEC MO-153 Var FF https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -80 -80 -Package_SO -TSSOP-100_6.1x20.8mm_P0.4mm -TSSOP, 100 Pin (JEDEC MO-194 Var BB https://www.jedec.org/document_search?search_api_views_fulltext=MO-194), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSSOP SO -0 -100 -100 -Package_SO -Texas_HSOP-8-1EP_3.9x4.9mm_P1.27mm -Texas Instruments HSOP 9, 1.27mm pitch, 3.9x4.9mm body, exposed pad, DDA0008J (http://www.ti.com/lit/ds/symlink/tps5430.pdf) -HSOP 1.27 -0 -11 -9 -Package_SO -Texas_HSOP-8-1EP_3.9x4.9mm_P1.27mm_ThermalVias -Texas Instruments HSOP 9, 1.27mm pitch, 3.9x4.9mm body, exposed pad, thermal vias, DDA0008J (http://www.ti.com/lit/ds/symlink/tps5430.pdf) -HSOP 1.27 -0 -20 -9 -Package_SO -Texas_HTSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.95x4.9mm_Mask2.4x3.1mm_ThermalVias -8-pin HTSOP package with 1.27mm pin pitch, compatible with SOIC-8, 3.9x4.9mm body, exposed pad, thermal vias, http://www.ti.com/lit/ds/symlink/drv8870.pdf -HTSOP 1.27 -0 -18 -9 -Package_SO -Texas_PWP0020A -20-Pin Thermally Enhanced Thin Shrink Small-Outline Package, Body 4.4x6.5x1.1mm, Pad 3.0x4.2mm, Texas Instruments (see http://www.ti.com/lit/ds/symlink/lm5118.pdf) -PWP HTSSOP 0.65mm -0 -25 -21 -Package_SO -Texas_R-PDSO-G8_EP2.95x4.9mm_Mask2.4x3.1mm -HSOIC, 8 Pin (http://www.ti.com/lit/ds/symlink/lmr14030.pdf#page=28, http://www.ti.com/lit/ml/msoi002j/msoi002j.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HSOIC SO -0 -16 -9 -Package_SO -Texas_R-PDSO-G8_EP2.95x4.9mm_Mask2.4x3.1mm_ThermalVias -HSOIC, 8 Pin (http://www.ti.com/lit/ds/symlink/lmr14030.pdf#page=28, http://www.ti.com/lit/ml/msoi002j/msoi002j.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -HSOIC SO -0 -23 -9 -Package_SO -Texas_R-PDSO-N5 -Plastic Small outline http://www.ti.com/lit/ml/mpds158c/mpds158c.pdf -SOT23 R-PDSO-N5 -0 -5 -5 -Package_SO -VSO-40_7.6x15.4mm_P0.762mm -VSO40: plastic very small outline package; 40 leads (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot158-1_po.pdf) -SSOP 0.762 -0 -40 -40 -Package_SO -VSO-56_11.1x21.5mm_P0.75mm -VSO56: plastic very small outline package; 56 leads (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot190-1_po.pdf) -SSOP 0.75 -0 -56 -56 -Package_SO -VSSOP-8_2.3x2mm_P0.5mm -VSSOP-8 2.3x2mm Pitch 0.5mm -VSSOP-8 2.3x2mm Pitch 0.5mm -0 -8 -8 -Package_SO -VSSOP-8_2.4x2.1mm_P0.5mm -http://www.ti.com/lit/ml/mpds050d/mpds050d.pdf -VSSOP DCU R-PDSO-G8 Pitch0.5mm -0 -8 -8 -Package_SO -VSSOP-8_3.0x3.0mm_P0.65mm -VSSOP-8 3.0 x 3.0, http://www.ti.com/lit/ds/symlink/lm75b.pdf -VSSOP-8 3.0 x 3.0 -0 -8 -8 -Package_SO -VSSOP-10_3x3mm_P0.5mm -VSSOP, 10 Pin (http://www.ti.com/lit/ds/symlink/ads1115.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -VSSOP SO -0 -10 -10 -Package_SO -Vishay_PowerPAK_1212-8_Dual -PowerPAK 1212-8 Dual (https://www.vishay.com/docs/71656/ppak12128.pdf, https://www.vishay.com/docs/72598/72598.pdf) -Vishay_PowerPAK_1212-8_Dual -0 -6 -6 -Package_SO -Vishay_PowerPAK_1212-8_Single -PowerPAK 1212-8 Single (https://www.vishay.com/docs/71656/ppak12128.pdf, https://www.vishay.com/docs/72597/72597.pdf) -Vishay PowerPAK 1212-8 Single -0 -5 -5 -Package_SO -Zetex_SM8 -Zetex, SMD, 8 pin package (http://datasheet.octopart.com/ZDT6758TA-Zetex-datasheet-68057.pdf) -Zetex SM8 -0 -8 -8 -Package_SON -Diodes_PowerDI3333-8 -Diodes Incorporated PowerDI3333-8, Plastic Dual Flat No Lead Package, 3.3x3.3x0.8mm Body, https://www.diodes.com/assets/Package-Files/PowerDI3333-8.pdf -PowerDI 0.65 -0 -13 -5 -Package_SON -Diodes_PowerDI3333-8_UXC_3.3x3.3mm_P0.65mm -Diodes Incorporated PowerDI3333-8 UXC, 3.05x3.05x0.8mm Body, https://www.diodes.com/assets/Package-Files/PowerDI3333-8%20(Type%20UXC).pdf -PowerDI 0.65 -0 -6 -6 -Package_SON -Fairchild_DualPower33-6_3x3mm -Fairchild Power33 MOSFET package, 3x3mm (see https://www.fairchildsemi.com/datasheets/FD/FDMC8032L.pdf) -mosfet -0 -18 -6 -Package_SON -Fairchild_MicroPak-6_1.0x1.45mm_P0.5mm -Fairchild-specific MicroPak-6 1.0x1.45mm Pitch 0.5mm https://www.nxp.com/docs/en/application-note/AN10343.pdff -Fairchild-specific MicroPak-6 1.0x1.45mm Pitch 0.5mm -0 -6 -6 -Package_SON -Fairchild_MicroPak2-6_1.0x1.0mm_P0.35mm -Fairchild-specific MicroPak2-6 1.0x1.0mm Pitch 0.35mm https://www.nxp.com/docs/en/application-note/AN10343.pdff -Fairchild-specific MicroPak2-6 1.0x1.0mm Pitch 0.35mm -0 -6 -6 -Package_SON -HUSON-3-1EP_2x2mm_P1.3mm_EP1.1x1.6mm -HUSON, 3 Pin, SOT1061 (Ref: https://assets.nexperia.com/documents/data-sheet/PMEG2020CPA.pdf) -huson nolead SOT1061 -0 -4 -3 -Package_SON -HVSON-8-1EP_3x3mm_P0.65mm_EP1.6x2.4mm -HVSON, 8 Pin (https://www.nxp.com/docs/en/data-sheet/TJA1051.pdf#page=16), generated with kicad-footprint-generator ipc_noLead_generator.py -HVSON NoLead -0 -13 -9 -Package_SON -HVSON-8-1EP_4x4mm_P0.8mm_EP2.2x3.1mm -HVSON, 8 Pin (https://www.nxp.com/docs/en/data-sheet/PCF8523.pdf (page 57)), generated with kicad-footprint-generator ipc_noLead_generator.py -HVSON NoLead -0 -13 -9 -Package_SON -Infineon_PG-LSON-8-1 -https://www.infineon.com/cms/en/product/packages/PG-LSON/PG-LSON-8-1/ -PG-LSON-8-1 -0 -17 -9 -Package_SON -Infineon_PG-TDSON-8_6.15x5.15mm -Infineon, PG-TDSON-8, 6.15x5.15x1mm, https://www.infineon.com/dgdl/Infineon-BSC520N15NS3_-DS-v02_02-en.pdf?fileId=db3a30432239cccd0122eee57d9b21a4 -Infineon OptiMOS -0 -21 -5 -Package_SON -Infineon_PG-TISON-8-2 -Infineon, PG-TISON-8-2, 5x6x1.15mm, 1.27mm Pitch, Exposed Paddle, https://www.infineon.com/cms/en/product/packages/PG-TISON/PG-TISON-8-2/ -tison -0 -23 -7 -Package_SON -Infineon_PG-TISON-8-3 -Infineon, PG-TISON-8-2, 5x6x1.15mm, 1.27mm Pitch, Exposed Paddle, https://www.infineon.com/cms/en/product/packages/PG-TISON/PG-TISON-8-2/ -tison -0 -23 -7 -Package_SON -Infineon_PG-TISON-8-4 -Infineon, PG-TISON-8-4, 5x6x1.15mm, 1.27mm Pitch, Exposed Paddle, https://www.infineon.com/cms/en/product/packages/PG-TISON/PG-TISON-8-4/ -tison -0 -26 -8 -Package_SON -Infineon_PG-TISON-8-5 -Infineon, PG-TISON-8-5, 8x8x1.1mm, 1mm Pitch, https://www.infineon.com/cms/en/product/packages/PG-TISON/PG-TISON-8-5/ -tison -0 -34 -8 -Package_SON -NXP_XSON-16 -http://www.nxp.com/documents/outline_drawing/SOT1341-1.pdf -NXP XSON SOT-1341 -0 -16 -16 -Package_SON -Nexperia_HUSON-8_USON-8-1EP_1.35x1.7mm_P0.4mm_EP0.4x1.2mm -Nexperia HUSON-8 USON, 8 Pin (https://assets.nexperia.com/documents/data-sheet/IP4251_52_53_54-TTL.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Nexperia USON NoLead -0 -10 -9 -Package_SON -Nexperia_HUSON-12_USON-12-1EP_1.35x2.5mm_P0.4mm_EP0.4x2mm -Nexperia HUSON-12 USON, 12 Pin (https://assets.nexperia.com/documents/data-sheet/IP4251_52_53_54-TTL.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Nexperia USON NoLead -0 -14 -13 -Package_SON -Nexperia_HUSON-16_USON-16-1EP_1.35x3.3mm_P0.4mm_EP0.4x2.8mm -Nexperia HUSON-16 USON, 16 Pin (https://assets.nexperia.com/documents/data-sheet/IP4251_52_53_54-TTL.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Nexperia USON NoLead -0 -18 -17 -Package_SON -ROHM_VML0806 -VML0806, Rohm (http://rohmfs.rohm.com/en/techdata_basic/transistor/soldering_condition/VML0806_Soldering_Condition.pdf, http://rohmfs.rohm.com/en/products/databook/package/spec/discrete/vml0806_tr-e.pdf) -ROHM VML0806 -0 -3 -3 -Package_SON -RTC_SMD_MicroCrystal_C3_2.5x3.7mm -MicroCrystal C3 2.5x3.7mm, https://www.microcrystal.com/fileadmin/Media/Products/RTC/Datasheet/RV-1805-C3.pdf -RTC C3 -0 -10 -10 -Package_SON -SON-8-1EP_3x2mm_P0.5mm_EP1.4x1.6mm -SON, 8 Pin (http://www.fujitsu.com/downloads/MICRO/fsa/pdf/products/memory/fram/MB85RS16-DS501-00014-6v0-E.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -SON NoLead -0 -13 -9 -Package_SON -Texas_DPY0002A_0.6x1mm_P0.65mm -X1SON 2 pin 0.6x1mm 0.375mm height package, https://www.ti.com/lit/ml/mpss034c/mpss034c.pdf, https://www.ti.com/lit/ds/symlink/tpd6e05u06.pdf -X1SON -0 -2 -2 -Package_SON -Texas_DQK -Texas WSON-6 DQK, http://www.ti.com/lit/ds/symlink/csd16301q2.pdf -Texas WSON6 2x2mm -0 -10 -8 -Package_SON -Texas_DQX002A -Texas DQX - 2pin WSON, 2.5x1.7mm body, 0.8mm height. https://www.ti.com/lit/ds/symlink/lmt01.pdf -WSON-2 DQX -0 -2 -2 -Package_SON -Texas_DRC0010J -Texas DRC0010J, VSON10 3x3mm Body, 0.5mm Pitch, http://www.ti.com/lit/ds/symlink/tps63000.pdf -Texas VSON10 3x3mm -0 -17 -11 -Package_SON -Texas_DRC0010J_ThermalVias -Texas DRC0010J, VSON10 3x3mm Body, 0.5mm Pitch, http://www.ti.com/lit/ds/symlink/tps63000.pdf -Texas VSON10 3x3mm -0 -21 -11 -Package_SON -Texas_DSC0010J -3x3mm Body, 0.5mm Pitch, DSC0010J, WSON, http://www.ti.com/lit/ds/symlink/tps61201.pdf -0.5 DSC0010J WSON -0 -33 -11 -Package_SON -Texas_DSC0010J_ThermalVias -3x3mm Body, 0.5mm Pitch, DSC0010J, WSON, http://www.ti.com/lit/ds/symlink/tps61201.pdf -0.5 DSC0010J WSON -0 -39 -11 -Package_SON -Texas_PWSON-N6 -Plastic Small Outline No-Lead http://www.ti.com/lit/ml/mpds176e/mpds176e.pdf -Plastic Small Outline No-Lead -0 -7 -7 -Package_SON -Texas_R-PUSON-N8_USON-8-1EP_1.6x2.1mm_P0.5mm_EP0.4x1.7mm -USON, 8 Pin (https://www.ti.com/lit/gpn/tpd3f303#page=15), generated with kicad-footprint-generator ipc_noLead_generator.py -USON NoLead -0 -10 -9 -Package_SON -Texas_R-PUSON-N14 -USON, 14 Pin (https://www.ti.com/lit/ds/symlink/tpd6e05u06.pdf#page=28), generated with kicad-footprint-generator ipc_noLead_generator.py -USON NoLead -0 -14 -14 -Package_SON -Texas_R-PWSON-N12_EP0.4x2mm -http://www.ti.com/lit/ds/symlink/tpd6f003.pdf -WSON SMD -0 -16 -13 -Package_SON -Texas_S-PDSO-N12 -http://www.ti.com/lit/ds/symlink/bq27441-g1.pdf -SON thermal pads -0 -44 -13 -Package_SON -Texas_S-PVSON-N8 -8-Lead Plastic VSON, 3x3mm Body, 0.65mm Pitch, S-PVSON-N8, http://www.ti.com/lit/ds/symlink/opa2333.pdf -DFN 0.65 S-PVSON-N8 -0 -21 -9 -Package_SON -Texas_S-PVSON-N8_ThermalVias -8-Lead Plastic VSON, 3x3mm Body, 0.65mm Pitch, S-PVSON-N8, http://www.ti.com/lit/ds/symlink/opa2333.pdf -DFN 0.65 S-PVSON-N8 -0 -27 -9 -Package_SON -Texas_S-PVSON-N10 -3x3mm Body, 0.5mm Pitch, S-PVSON-N10, DRC, http://www.ti.com/lit/ds/symlink/tps61201.pdf -0.5 S-PVSON-N10 DRC -0 -33 -11 -Package_SON -Texas_S-PVSON-N10_ThermalVias -3x3mm Body, 0.5mm Pitch, S-PVSON-N10, DRC, http://www.ti.com/lit/ds/symlink/tps61201.pdf -0.5 S-PVSON-N10 DRC -0 -39 -11 -Package_SON -Texas_S-PWSON-N8_EP1.2x2mm -WSON, 8 Pin, TI DRG, (http://www.ti.com/lit/ds/symlink/lp2951.pdf#page=27), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -11 -9 -Package_SON -Texas_S-PWSON-N10 -3x3mm Body, 0.5mm Pitch, S-PWSON-N10, DSC, http://www.ti.com/lit/ds/symlink/tps63060.pdf -0.5 S-PWSON-N10 DSC -0 -41 -11 -Package_SON -Texas_S-PWSON-N10_ThermalVias -3x3mm Body, 0.5mm Pitch, S-PWSON-N10, DSC, http://www.ti.com/lit/ds/symlink/tps63060.pdf -0.5 S-PWSON-N10 DSC -0 -47 -11 -Package_SON -Texas_USON-6_1x1.45mm_P0.5mm_SMD -USON, 6 Pin (https://www.ti.com/lit/ds/symlink/sn74auc1g04.pdf#page=24), Solder Mask Defined -USON NoLead DRY0006A -0 -6 -6 -Package_SON -Texas_X2SON-4_1x1mm_P0.65mm -X2SON 5 pin 1x1mm package (Reference Datasheet: http://www.ti.com/lit/ds/sbvs193d/sbvs193d.pdf Reference part: TPS383x) [StepUp generated footprint] -X2SON -0 -13 -5 -Package_SON -Texas_X2SON-5_0.8x0.8mm_P0.48mm -X2SON 5 pin 0.8x0.8mm package (Reference Datasheet: https://www.ti.com/lit/ds/symlink/sn74lvc1g17.pdf#page=39 Reference part: SN74LVC1G17) [StepUp generated footprint] -X2SON DPW0005A -0 -9 -5 -Package_SON -Texas_X2SON-5_0.8x0.8mm_P0.48mm_RoutingVia -X2SON 5 pin 0.8x0.8mm package (Reference Datasheet: https://www.ti.com/lit/ds/symlink/sn74lvc1g17.pdf#page=39 Reference part: SN74LVC1G17) [StepUp generated footprint] -X2SON DPW0005A -0 -10 -5 -Package_SON -USON-10_2.5x1.0mm_P0.5mm -USON-10 2.5x1.0mm_ Pitch 0.5mm http://www.ti.com/lit/ds/symlink/tpd4e02b04.pdf -USON-10 2.5x1.0mm Pitch 0.5mm -0 -10 -10 -Package_SON -USON-20_2x4mm_P0.4mm -USON-20 2x4mm Pitch 0.4mm http://www.ti.com/lit/ds/symlink/txb0108.pdf -USON-20 2x4mm Pitch 0.4mm -0 -20 -20 -Package_SON -VSON-8-1EP_3x3mm_P0.65mm_EP1.65x2.4mm -VSON, 8 Pin (https://www.ti.com/lit/pdf/qfnd619), generated with kicad-footprint-generator ipc_noLead_generator.py -VSON NoLead -0 -10 -9 -Package_SON -VSON-8-1EP_3x3mm_P0.65mm_EP1.65x2.4mm_ThermalVias -VSON, 8 Pin (https://www.ti.com/lit/pdf/qfnd619), generated with kicad-footprint-generator ipc_noLead_generator.py -VSON NoLead -0 -16 -9 -Package_SON -VSON-8_1.5x2mm_P0.5mm -VSON, 8 Pin (http://www.ti.com/lit/ds/symlink/tps62840.pdf#page=37), generated with kicad-footprint-generator ipc_noLead_generator.py -VSON NoLead -0 -8 -8 -Package_SON -VSON-8_3.3x3.3mm_P0.65mm_NexFET -8-Lead Plastic Dual Flat, No Lead Package (MF) - 3.3x3.3x1 mm Body [VSON] http://www.ti.com/lit/ds/symlink/csd87334q3d.pdf -VSON 0.65 -0 -13 -5 -Package_SON -VSON-10-1EP_3x3mm_P0.5mm_EP1.2x2mm -VSON, 10 Pin (http://rohmfs.rohm.com/en/products/databook/datasheet/ic/power/switching_regulator/bd8314nuv-e.pdf (Page 20)), generated with kicad-footprint-generator ipc_noLead_generator.py -VSON NoLead -0 -15 -11 -Package_SON -VSON-10-1EP_3x3mm_P0.5mm_EP1.2x2mm_ThermalVias -VSON, 10 Pin (http://rohmfs.rohm.com/en/products/databook/datasheet/ic/power/switching_regulator/bd8314nuv-e.pdf (Page 20)), generated with kicad-footprint-generator ipc_noLead_generator.py -VSON NoLead -0 -18 -11 -Package_SON -VSON-10-1EP_3x3mm_P0.5mm_EP1.65x2.4mm -VSON 10 Thermal on 11 3x3mm Pitch 0.5mm http://chip.tomsk.ru/chip/chipdoc.nsf/Package/D8A64DD165C2AAD9472579400024FC41!OpenDocument -VSON 10 Thermal on 11 3x3mm Pitch 0.5mm -0 -21 -11 -Package_SON -VSON-10-1EP_3x3mm_P0.5mm_EP1.65x2.4mm_ThermalVias -VSON 10 Thermal on 11 3x3mm Pitch 0.5mm http://chip.tomsk.ru/chip/chipdoc.nsf/Package/D8A64DD165C2AAD9472579400024FC41!OpenDocument -VSON 10 Thermal on 11 3x3mm Pitch 0.5mm -0 -27 -11 -Package_SON -VSONP-8-1EP_5x6_P1.27mm -SON, 8-Leads, Body 5x6x1mm, Pitch 1.27mm; (see Texas Instruments CSD18531Q5A http://www.ti.com/lit/ds/symlink/csd18531q5a.pdf) -VSONP 1.27 -0 -13 -3 -Package_SON -WSON-6-1EP_2x2mm_P0.65mm_EP1x1.6mm -WSON, 6 Pin (http://www.ti.com/lit/ds/symlink/tps61040.pdf#page=35), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -9 -7 -Package_SON -WSON-6-1EP_2x2mm_P0.65mm_EP1x1.6mm_ThermalVias -WSON, 6 Pin (http://www.ti.com/lit/ds/symlink/tps61040.pdf#page=35), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -12 -7 -Package_SON -WSON-6-1EP_3x3mm_P0.95mm -WSON6 3*3 MM, 0.95 PITCH; http://www.ti.com/lit/ds/symlink/lmr62421.pdf -WSON6 0.95 -0 -10 -7 -Package_SON -WSON-6_1.5x1.5mm_P0.5mm -WSON6, http://www.ti.com/lit/ds/symlink/tlv702.pdf -WSON6_1.5x1.5mm_P0.5mm -0 -6 -6 -Package_SON -WSON-8-1EP_2x2mm_P0.5mm_EP0.9x1.6mm -8-Lead Plastic WSON, 2x2mm Body, 0.5mm Pitch, WSON-8, http://www.ti.com/lit/ds/symlink/lm27761.pdf -WSON 8 1EP -0 -11 -9 -Package_SON -WSON-8-1EP_2x2mm_P0.5mm_EP0.9x1.6mm_ThermalVias -8-Lead Plastic WSON, 2x2mm Body, 0.5mm Pitch, WSON-8, http://www.ti.com/lit/ds/symlink/lm27761.pdf -WSON 8 1EP ThermalVias -0 -14 -9 -Package_SON -WSON-8-1EP_3x2.5mm_P0.5mm_EP1.2x1.5mm_PullBack -WSON, 8 Pin (http://www.ti.com/lit/ml/mpds400/mpds400.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -13 -9 -Package_SON -WSON-8-1EP_3x2.5mm_P0.5mm_EP1.2x1.5mm_PullBack_ThermalVias -WSON, 8 Pin (http://www.ti.com/lit/ml/mpds400/mpds400.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -18 -9 -Package_SON -WSON-8-1EP_3x3mm_P0.5mm_EP1.2x2mm -WSON, 8 Pin (http://www.ti.com/lit/ds/symlink/lp2951.pdf#page=27), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -11 -9 -Package_SON -WSON-8-1EP_3x3mm_P0.5mm_EP1.2x2mm_ThermalVias -WSON, 8 Pin (http://www.ti.com/lit/ds/symlink/lp2951.pdf#page=27), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -18 -9 -Package_SON -WSON-8-1EP_3x3mm_P0.5mm_EP1.6x2.0mm -8-Lead Plastic WSON, 2x2mm Body, 0.5mm Pitch, WSON-8, http://www.ti.com/lit/ds/symlink/lm27761.pdf -WSON 8 1EP -0 -13 -9 -Package_SON -WSON-8-1EP_3x3mm_P0.5mm_EP1.45x2.4mm -WSON, 8 Pin (https://www.ti.com/lit/ds/symlink/ina333.pdf#page=30), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -11 -9 -Package_SON -WSON-8-1EP_3x3mm_P0.5mm_EP1.45x2.4mm_ThermalVias -WSON, 8 Pin (https://www.ti.com/lit/ds/symlink/ina333.pdf#page=30), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -18 -9 -Package_SON -WSON-8-1EP_4x4mm_P0.8mm_EP1.98x3mm -WSON, 8 Pin (https://www.ti.com/lit/ds/symlink/lm5017.pdf#page=34), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -13 -9 -Package_SON -WSON-8-1EP_4x4mm_P0.8mm_EP1.98x3mm_ThermalVias -WSON, 8 Pin (https://www.ti.com/lit/ds/symlink/lm5017.pdf#page=34), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -17 -9 -Package_SON -WSON-8-1EP_4x4mm_P0.8mm_EP2.2x3mm -WSON, 8 Pin (https://www.ti.com/lit/ds/symlink/lp2987.pdf#page=26), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -13 -9 -Package_SON -WSON-8-1EP_4x4mm_P0.8mm_EP2.2x3mm_ThermalVias -WSON, 8 Pin (https://www.ti.com/lit/ds/symlink/lp2987.pdf#page=26), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -17 -9 -Package_SON -WSON-8-1EP_4x4mm_P0.8mm_EP2.6x3mm -WSON, 8 Pin (https://www.ti.com/lit/ds/symlink/lp2951-n.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -13 -9 -Package_SON -WSON-8-1EP_4x4mm_P0.8mm_EP2.6x3mm_ThermalVias -WSON, 8 Pin (https://www.ti.com/lit/ds/symlink/lp2951-n.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -17 -9 -Package_SON -WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4.3mm -WSON, 8 Pin (http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf (page 68)), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -13 -9 -Package_SON -WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm -WSON, 8 Pin (http://ww1.microchip.com/downloads/en/AppNotes/S72030.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -13 -9 -Package_SON -WSON-8-1EP_8x6mm_P1.27mm_EP3.4x4.3mm -WSON, 8 Pin (https://datasheet.lcsc.com/lcsc/2204011730_GigaDevice-Semicon-Beijing-GD5F1GQ4UFYIGR_C2986324.pdf (page 44)), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -13 -9 -Package_SON -WSON-10-1EP_2.5x2.5mm_P0.5mm_EP1.2x2mm -WSON, 10 Pin (http://www.ti.com/lit/gpn/tps63030#page=24), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -15 -11 -Package_SON -WSON-10-1EP_2.5x2.5mm_P0.5mm_EP1.2x2mm_ThermalVias -WSON, 10 Pin (http://www.ti.com/lit/gpn/tps63030#page=24), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -22 -11 -Package_SON -WSON-10-1EP_2x3mm_P0.5mm_EP0.84x2.4mm -WSON-10 package 2x3mm body, pitch 0.5mm, see http://www.ti.com/lit/ds/symlink/tps62177.pdf -WSON 0.5 -0 -14 -11 -Package_SON -WSON-10-1EP_2x3mm_P0.5mm_EP0.84x2.4mm_ThermalVias -WSON-10 package 2x3mm body, pitch 0.5mm, thermal vias and counter-pad, see http://www.ti.com/lit/ds/symlink/tps62177.pdf -WSON 0.5 thermal vias -0 -17 -11 -Package_SON -WSON-10-1EP_4x3mm_P0.5mm_EP2.2x2mm -10-Lead Plastic WSON, 4x3mm Body, 0.5mm Pitch (http://www.ti.com/lit/ds/symlink/lm4990.pdf) -WSON 0.5 -0 -15 -11 -Package_SON -WSON-12-1EP_3x2mm_P0.5mm_EP1x2.65 -WSON-12 http://www.ti.com/lit/ds/symlink/lm27762.pdf -WSON-12 -0 -15 -13 -Package_SON -WSON-12-1EP_3x2mm_P0.5mm_EP1x2.65_ThermalVias -WSON-12 http://www.ti.com/lit/ds/symlink/lm27762.pdf -WSON-12 -0 -19 -13 -Package_SON -WSON-12-1EP_4x4mm_P0.5mm_EP2.6x3mm -WSON, 12 Pin (http://www.ti.com/lit/ds/symlink/ldc1312.pdf#page=62), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -17 -13 -Package_SON -WSON-12-1EP_4x4mm_P0.5mm_EP2.6x3mm_ThermalVias -WSON, 12 Pin (http://www.ti.com/lit/ds/symlink/ldc1312.pdf#page=62), generated with kicad-footprint-generator ipc_noLead_generator.py -WSON NoLead -0 -27 -13 -Package_SON -WSON-14-1EP_4.0x4.0mm_P0.5mm_EP2.6x2.6mm -14-Lead Plastic Dual Flat, No Lead Package - 4.0x4.0x0.8 mm Body [WSON], http://www.ti.com/lit/ml/mpds421/mpds421.pdf -NHL014B -0 -19 -15 -Package_SON -WSON-16_3.3x1.35_P0.4mm -WSON-16 3.3 x 1.35mm Pitch 0.4mm http://www.chip.tomsk.ru/chip/chipdoc.nsf/Package/C67E729A4D6C883A4725793E004C8739!OpenDocument -WSON-16 3.3 x 1.35mm Pitch 0.4mm -0 -20 -17 -Package_SON -X2SON-8_1.4x1mm_P0.35mm -X2SON-8 1.4x1mm Pitch0.35mm http://www.ti.com/lit/ds/symlink/pca9306.pdf -X2SON-8 1.4x1mm Pitch0.35mm -0 -8 -8 -Package_SO_J-Lead -TSOC-6_3.76x3.94mm_P1.27mm -Maxim Integrated TSOC-6 D6+1,https://datasheets.maximintegrated.com/en/ds/DS2401.pdf, https://pdfserv.maximintegrated.com/land_patterns/90-0321.PDF -TSOC-6 -0 -6 -6 -Package_TO_SOT_SMD -ATPAK-2 -ATPAK SMD package, http://www.onsemi.com/pub/Collateral/ENA2192-D.PDF -ATPAK -0 -7 -3 -Package_TO_SOT_SMD -Analog_KS-4 -Analog Devices KS-4, http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/sc70ks/ks_4.pdf -Analog Devices KS-4 (like EIAJ SC-82) -0 -4 -4 -Package_TO_SOT_SMD -Diodes_SOT-553 -Diodes SOT-553, https://www.diodes.com/assets/Package-Files/SOT553.pdf -SOT-553 -0 -5 -5 -Package_TO_SOT_SMD -HVSOF5 -HVSOF5, http://rohmfs.rohm.com/en/techdata_basic/ic/package/hvsof5_1-e.pdf, http://rohmfs.rohm.com/en/products/databook/datasheet/ic/sensor/hall/bu52001gul-e.pdf -HVSOF5 -0 -5 -5 -Package_TO_SOT_SMD -HVSOF6 -HVSOF6, http://rohmfs.rohm.com/en/techdata_basic/ic/package/hvsof6_1-e.pdf, http://rohmfs.rohm.com/en/products/databook/datasheet/ic/audio_video/video_amplifier/bh76106hfv-e.pdf -HVSOF6 -0 -7 -7 -Package_TO_SOT_SMD -Infineon_PG-HDSOP-10-1 -Infineon PG-HDSOP-10-1 (DDPAK), 20.96x6.5x2.3mm, slug up (https://www.infineon.com/cms/en/product/packages/PG-HDSOP/PG-HDSOP-10-1/) -hdsop 10 ddpak -0 -10 -10 -Package_TO_SOT_SMD -Infineon_PG-HSOF-8-1 -HSOF-8-1 [TOLL] power MOSFET (http://www.infineon.com/cms/en/product/packages/PG-HSOF/PG-HSOF-8-1/) -mosfet hsof toll -0 -53 -3 -Package_TO_SOT_SMD -Infineon_PG-HSOF-8-1_ThermalVias -HSOF-8-1 [TOLL] power MOSFET (http://www.infineon.com/cms/en/product/packages/PG-HSOF/PG-HSOF-8-1/) -mosfet hsof toll thermal vias -0 -96 -3 -Package_TO_SOT_SMD -Infineon_PG-HSOF-8-2 -HSOF-8-2 [TOLL] power MOSFET (http://www.infineon.com/cms/en/product/packages/PG-HSOF/PG-HSOF-8-2/) -mosfet hsof toll -0 -12 -4 -Package_TO_SOT_SMD -Infineon_PG-HSOF-8-2_ThermalVias -HSOF-8-2 [TOLL] power MOSFET (http://www.infineon.com/cms/en/product/packages/PG-HSOF/PG-HSOF-8-2/) -mosfet hsof toll thermal vias -0 -97 -4 -Package_TO_SOT_SMD -Infineon_PG-HSOF-8-2_ThermalVias2 -HSOF-8-2 [TOLL] power MOSFET (http://www.infineon.com/cms/en/product/packages/PG-HSOF/PG-HSOF-8-2/, https://www.infineon.com/dgdl/Infineon-ApplicationNote_600V_CoolMOS_C7_Gold_TOLL-AN-v01_00-EN.pdf?fileId=5546d4625b10283a015b144a1af70df6) -mosfet hsof toll thermal vias -0 -159 -4 -Package_TO_SOT_SMD -Infineon_PG-HSOF-8-3 -HSOF-8-3 power MOSFET (http://www.infineon.com/cms/en/product/packages/PG-HSOF/PG-HSOF-8-3/) -mosfet hsof -0 -25 -4 -Package_TO_SOT_SMD -Infineon_PG-HSOF-8-3_ThermalVias -HSOF-8-3 power MOSFET (http://www.infineon.com/cms/en/product/packages/PG-HSOF/PG-HSOF-8-3/) -mosfet hsof -0 -64 -4 -Package_TO_SOT_SMD -Infineon_PG-TO-220-7Lead_TabPin8 -Infineon PG-TO-220-7, Tab as Pin 8, see e.g. https://www.infineon.com/dgdl/Infineon-BTS50055-1TMC-DS-v01_00-EN.pdf?fileId=5546d4625a888733015aa9b0007235e9 -Infineon PG-TO-220-7 -0 -12 -8 -Package_TO_SOT_SMD -Infineon_PG-TSFP-3-1 -Infineon_PG-TSFP-3-1, https://www.infineon.com/dgdl/TSFP-3-1,-2-Package_Overview.pdf?fileId=db3a30431936bc4b0119539929863d46 -TSFP-3 -0 -3 -3 -Package_TO_SOT_SMD -LFPAK33 -LFPAK33 SOT-1210 https://assets.nexperia.com/documents/outline-drawing/SOT1210.pdf -LFPAK33 SOT-1210 -0 -17 -5 -Package_TO_SOT_SMD -LFPAK56 -LFPAK56 https://assets.nexperia.com/documents/outline-drawing/SOT669.pdf -LFPAK56 SOT-669 Power-SO8 -0 -18 -5 -Package_TO_SOT_SMD -Nexperia_CFP15_SOT-1289 -Nexperia CFP15 (SOT-1289), https://assets.nexperia.com/documents/outline-drawing/SOT1289.pdf -SOT-1289 CFP15 -0 -8 -3 -Package_TO_SOT_SMD -OnSemi_ECH8 -On Semiconductor ECH8, https://www.onsemi.com/pub/Collateral/318BF.PDF -ECH8 SOT28-FL SOT-28-FL -0 -8 -8 -Package_TO_SOT_SMD -PQFN_8x8 -Low Profile 8x8mm PQFN, Dual Cool 88, https://www.onsemi.com/pub/Collateral/FDMT80080DC-D.pdf -pqfn vdfn mosfet -0 -9 -3 -Package_TO_SOT_SMD -PowerMacro_M234_NoHole -TO-50-4 Power Macro Package Style M234 -TO-50-4 Power Macro Package Style M234 -0 -4 -4 -Package_TO_SOT_SMD -PowerMacro_M234_WithHole -TO-50-4 Power Macro Package Style M234 -TO-50-4 Power Macro Package Style M234 -0 -4 -4 -Package_TO_SOT_SMD -Rohm_HRP7 -Rohm HRP7 SMD package, http://rohmfs.rohm.com/en/techdata_basic/ic/package/hrp7_1-e.pdf, http://rohmfs.rohm.com/en/products/databook/datasheet/ic/motor/dc/bd621x-e.pdf -Rohm HRP7 SMD -0 -69 -7 -Package_TO_SOT_SMD -SC-59 -SC-59, https://lib.chipdip.ru/images/import_diod/original/SOT-23_SC-59.jpg -SC-59 -0 -3 -3 -Package_TO_SOT_SMD -SC-59_Handsoldering -SC-59, hand-soldering varaint, https://lib.chipdip.ru/images/import_diod/original/SOT-23_SC-59.jpg -SC-59 hand-soldering -0 -3 -3 -Package_TO_SOT_SMD -SC-70-8 -SC70-8 -SC70-8 -0 -8 -8 -Package_TO_SOT_SMD -SC-70-8_Handsoldering -SC70-8, Handsoldering -SC70-8 Handsoldering -0 -8 -8 -Package_TO_SOT_SMD -SC-82AA -SC-82AA -SC-82AA -0 -4 -4 -Package_TO_SOT_SMD -SC-82AA_Handsoldering -SC-82AA -SC-82AA -0 -4 -4 -Package_TO_SOT_SMD -SC-82AB -SC-82AB -SC-82AB -0 -4 -4 -Package_TO_SOT_SMD -SC-82AB_Handsoldering -SC-82AB -SC-82AB -0 -4 -4 -Package_TO_SOT_SMD -SOT-23 -SOT, 3 Pin (https://www.jedec.org/system/files/docs/to-236h.pdf variant AB), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOT TO_SOT_SMD -0 -3 -3 -Package_TO_SOT_SMD -SOT-23-3 -SOT, 3 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178D.PDF inferred 3-pin variant), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOT TO_SOT_SMD -0 -3 -3 -Package_TO_SOT_SMD -SOT-23-5 -SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOT TO_SOT_SMD -0 -5 -5 -Package_TO_SOT_SMD -SOT-23-5_HandSoldering -5-pin SOT23 package -SOT-23-5 hand-soldering -0 -5 -5 -Package_TO_SOT_SMD -SOT-23-6 -SOT, 6 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AB), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOT TO_SOT_SMD -0 -6 -6 -Package_TO_SOT_SMD -SOT-23-6_Handsoldering -6-pin SOT-23 package, Handsoldering -SOT-23-6 Handsoldering -0 -6 -6 -Package_TO_SOT_SMD -SOT-23-8 -SOT, 8 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant BA), generated with kicad-footprint-generator ipc_gullwing_generator.py -SOT TO_SOT_SMD -0 -8 -8 -Package_TO_SOT_SMD -SOT-23-8_Handsoldering -8-pin SOT-23 package, Handsoldering, http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/sot-23rj/rj_8.pdf -SOT-23-8 Handsoldering -0 -8 -8 -Package_TO_SOT_SMD -SOT-23W -SOT-23W http://www.allegromicro.com/~/media/Files/Datasheets/A112x-Datasheet.ashx?la=en&hash=7BC461E058CC246E0BAB62433B2F1ECA104CA9D3 -SOT-23W -0 -3 -3 -Package_TO_SOT_SMD -SOT-23W_Handsoldering -SOT-23W http://www.allegromicro.com/~/media/Files/Datasheets/A112x-Datasheet.ashx?la=en&hash=7BC461E058CC246E0BAB62433B2F1ECA104CA9D3 -SOT-23W for handsoldering -0 -3 -3 -Package_TO_SOT_SMD -SOT-23_Handsoldering -SOT-23, Handsoldering -SOT-23 -0 -3 -3 -Package_TO_SOT_SMD -SOT-89-3 -SOT-89-3, http://ww1.microchip.com/downloads/en/DeviceDoc/3L_SOT-89_MB_C04-029C.pdf -SOT-89-3 -0 -3 -3 -Package_TO_SOT_SMD -SOT-89-3_Handsoldering -SOT-89-3 Handsoldering -SOT-89-3 Handsoldering -0 -3 -3 -Package_TO_SOT_SMD -SOT-89-5 -SOT-89-5, http://www.e-devices.ricoh.co.jp/en/products/product_power/pkg/sot-89-5.pdf -SOT-89-5 -0 -5 -5 -Package_TO_SOT_SMD -SOT-89-5_Handsoldering -SOT-89-5, http://www.e-devices.ricoh.co.jp/en/products/product_power/pkg/sot-89-5.pdf -SOT-89-5 -0 -5 -5 -Package_TO_SOT_SMD -SOT-143 -SOT-143 https://www.nxp.com/docs/en/package-information/SOT143B.pdf -SOT-143 -0 -4 -4 -Package_TO_SOT_SMD -SOT-143R -SOT-143R, reverse pinning, https://www.nxp.com/docs/en/package-information/SOT143R.pdf -SOT-143R Reverse -0 -4 -4 -Package_TO_SOT_SMD -SOT-143R_Handsoldering -SOT-143R, reverse pinning, Handsoldering, https://www.nxp.com/docs/en/package-information/SOT143R.pdf -SOT-143 Reverse Handsoldering -0 -4 -4 -Package_TO_SOT_SMD -SOT-143_Handsoldering -SOT-143 Handsoldering https://www.nxp.com/docs/en/package-information/SOT143B.pdf -SOT-143 Handsoldering -0 -4 -4 -Package_TO_SOT_SMD -SOT-223 -module CMS SOT223 4 pins -CMS SOT -0 -4 -4 -Package_TO_SOT_SMD -SOT-223-3_TabPin2 -module CMS SOT223 4 pins -CMS SOT -0 -4 -3 -Package_TO_SOT_SMD -SOT-223-5 -module CMS SOT223 5 pins, http://ww1.microchip.com/downloads/en/DeviceDoc/51751a.pdf -CMS SOT -0 -5 -5 -Package_TO_SOT_SMD -SOT-223-6 -module CMS SOT223 6 pins, http://www.ti.com/lit/ds/symlink/tps737.pdf -CMS SOT -0 -6 -6 -Package_TO_SOT_SMD -SOT-223-6_TabPin3 -module CMS SOT223 6 pins, http://www.ti.com/lit/ds/symlink/tps737.pdf -CMS SOT -0 -6 -5 -Package_TO_SOT_SMD -SOT-223-8 -module CMS SOT223 8 pins, https://www.diodes.com/assets/Datasheets/ZXSBMR16PT8.pdf -CMS SOT -0 -8 -8 -Package_TO_SOT_SMD -SOT-323_SC-70 -SOT-323, SC-70 -SOT-323 SC-70 -0 -3 -3 -Package_TO_SOT_SMD -SOT-323_SC-70_Handsoldering -SOT-323, SC-70 Handsoldering -SOT-323 SC-70 Handsoldering -0 -3 -3 -Package_TO_SOT_SMD -SOT-343_SC-70-4 -SOT-343, SC-70-4 -SOT-343 SC-70-4 -0 -4 -4 -Package_TO_SOT_SMD -SOT-343_SC-70-4_Handsoldering -SOT-343, SC-70-4, Handsoldering -SOT-343 SC-70-4 Handsoldering -0 -4 -4 -Package_TO_SOT_SMD -SOT-353_SC-70-5 -SOT-353, SC-70-5 -SOT-353 SC-70-5 -0 -5 -5 -Package_TO_SOT_SMD -SOT-353_SC-70-5_Handsoldering -SOT-353, SC-70-5, Handsoldering -SOT-353 SC-70-5 Handsoldering -0 -5 -5 -Package_TO_SOT_SMD -SOT-363_SC-70-6 -SOT-363, SC-70-6 -SOT-363 SC-70-6 -0 -6 -6 -Package_TO_SOT_SMD -SOT-363_SC-70-6_Handsoldering -SOT-363, SC-70-6, Handsoldering -SOT-363 SC-70-6 Handsoldering -0 -6 -6 -Package_TO_SOT_SMD -SOT-383F -8-pin SOT-383F, http://www.mouser.com/ds/2/80/CPDVR085V0C-HF-RevB-10783.pdf -SOT-383F -0 -9 -9 -Package_TO_SOT_SMD -SOT-383FL -8-pin SOT-383FL package, http://www.onsemi.com/pub_link/Collateral/ENA2267-D.PDF -SOT-383FL -0 -8 -8 -Package_TO_SOT_SMD -SOT-416 -SOT-416, https://www.nxp.com/docs/en/package-information/SOT416.pdf -SOT-416 -0 -3 -3 -Package_TO_SOT_SMD -SOT-523 -SOT523, https://www.diodes.com/assets/Package-Files/SOT523.pdf -SOT-523 -0 -3 -3 -Package_TO_SOT_SMD -SOT-543 -SOT-543 4 lead surface package -SOT-543 SC-107A EMD4 -0 -4 -4 -Package_TO_SOT_SMD -SOT-553 -SOT553 -SOT-553 -0 -5 -5 -Package_TO_SOT_SMD -SOT-563 -SOT563 -SOT-563 -0 -6 -6 -Package_TO_SOT_SMD -SOT-583-8 -https://www.ti.com/lit/ds/symlink/tps62933.pdf -SOT-583-8 -0 -8 -8 -Package_TO_SOT_SMD -SOT-665 -SOT665 -SOT-665 -0 -5 -5 -Package_TO_SOT_SMD -SOT-666 -SOT666 -SOT-666 -0 -6 -6 -Package_TO_SOT_SMD -SOT-723 -http://toshiba.semicon-storage.com/info/docget.jsp?did=5879&prodName=RN1104MFV -sot 723 -0 -3 -3 -Package_TO_SOT_SMD -SOT-883 -SOT-883, https://assets.nexperia.com/documents/outline-drawing/SOT883.pdf -SOT-883 -0 -3 -3 -Package_TO_SOT_SMD -SOT-886 -SOT-886 -SOT-886 -0 -6 -6 -Package_TO_SOT_SMD -SOT-963 -SOT 963 6 pins package 1x0.8mm pitch 0.35mm -SOT 963 6 pins package 1x0.8mm pitch 0.35mm -0 -6 -6 -Package_TO_SOT_SMD -SOT-1123 -SOT-1123 small outline transistor (see http://www.onsemi.com/pub/Collateral/NST3906F3-D.PDF) -SOT-1123 transistor -0 -3 -3 -Package_TO_SOT_SMD -SOT-1333-1 -SOT-1333-1 -SOT-1333-1 -0 -9 -9 -Package_TO_SOT_SMD -SOT-1334-1 -SOT-1334-1 -SOT-1334-1 -0 -14 -14 -Package_TO_SOT_SMD -SuperSOT-3 -3-pin SuperSOT package https://www.fairchildsemi.com/package-drawings/MA/MA03B.pdf -SuperSOT-3 SSOT-3 -0 -3 -3 -Package_TO_SOT_SMD -SuperSOT-6 -6-pin SuperSOT package http://www.mouser.com/ds/2/149/FMB5551-889214.pdf -SuperSOT-6 SSOT-6 -0 -6 -6 -Package_TO_SOT_SMD -SuperSOT-8 -8-pin SuperSOT package, http://www.icbank.com/icbank_data/semi_package/ssot8_dim.pdf -SuperSOT-8 SSOT-8 -0 -8 -8 -Package_TO_SOT_SMD -TDSON-8-1 -Power MOSFET package, TDSON-8-1, 5.15x5.9mm (https://www.infineon.com/cms/en/product/packages/PG-TDSON/PG-TDSON-8-1/) -tdson -0 -14 -5 -Package_TO_SOT_SMD -TO-50-3_LongPad-NoHole_Housing -TO-50-3 Macro T Package Style M236 -TO-50-3 Macro T Package Style M236 -0 -3 -3 -Package_TO_SOT_SMD -TO-50-3_LongPad-WithHole_Housing -TO-50-3 Macro T Package Style M236 -TO-50-3 Macro T Package Style M236 -0 -3 -3 -Package_TO_SOT_SMD -TO-50-3_ShortPad-NoHole_Housing -TO-50-3 Macro T Package Style M236 -TO-50-3 Macro T Package Style M236 -0 -3 -3 -Package_TO_SOT_SMD -TO-50-3_ShortPad-WithHole_Housing -TO-50-3 Macro T Package Style M236 -TO-50-3 Macro T Package Style M236 -0 -3 -3 -Package_TO_SOT_SMD -TO-50-4_LongPad-NoHole_Housing -TO-50-4 Macro X Package Style M238 -TO-50-4 Macro X Package Style M238 -0 -4 -4 -Package_TO_SOT_SMD -TO-50-4_LongPad-WithHole_Housing -TO-50-4 Macro X Package Style M238 -TO-50-4 Macro X Package Style M238 -0 -4 -4 -Package_TO_SOT_SMD -TO-50-4_ShortPad-NoHole_Housing -TO-50-4 Macro X Package Style M238 -TO-50-4 Macro X Package Style M238 -0 -4 -4 -Package_TO_SOT_SMD -TO-50-4_ShortPad-WithHole_Housing -TO-50-4 Macro X Package Style M238 -TO-50-4 Macro X Package Style M238 -0 -4 -4 -Package_TO_SOT_SMD -TO-252-2 -TO-252/DPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-3-1/ -DPAK TO-252 DPAK-3 TO-252-3 SOT-428 -0 -7 -3 -Package_TO_SOT_SMD -TO-252-2_TabPin1 -TO-252-2, tab to pin 1 https://www.wolfspeed.com/media/downloads/87/CSD01060.pdf -TO-252-2 diode -0 -7 -2 -Package_TO_SOT_SMD -TO-252-3_TabPin2 -TO-252/DPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-3-1/ -DPAK TO-252 DPAK-3 TO-252-3 SOT-428 -0 -8 -3 -Package_TO_SOT_SMD -TO-252-3_TabPin4 -TO-252/DPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-3-1/ -DPAK TO-252 DPAK-3 TO-252-3 SOT-428 -0 -8 -4 -Package_TO_SOT_SMD -TO-252-4 -TO-252/DPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-5-11/ -DPAK TO-252 DPAK-5 TO-252-5 -0 -9 -5 -Package_TO_SOT_SMD -TO-252-5_TabPin3 -TO-252/DPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-5-11/ -DPAK TO-252 DPAK-5 TO-252-5 -0 -10 -5 -Package_TO_SOT_SMD -TO-252-5_TabPin6 -TO-252/DPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-5-11/ -DPAK TO-252 DPAK-5 TO-252-5 -0 -10 -6 -Package_TO_SOT_SMD -TO-263-2 -TO-263/D2PAK/DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-3-1/ -D2PAK DDPAK TO-263 D2PAK-3 TO-263-3 SOT-404 -0 -7 -3 -Package_TO_SOT_SMD -TO-263-2_TabPin1 -TO-263 / D2PAK / DDPAK SMD package, tab to pin 1, https://www.wolfspeed.com/media/downloads/137/C3D06060G.pdf -D2PAK DDPAK TO-263 D2PAK-3 TO-263-3 SOT-404 diode -0 -7 -2 -Package_TO_SOT_SMD -TO-263-3_TabPin2 -TO-263/D2PAK/DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-3-1/ -D2PAK DDPAK TO-263 D2PAK-3 TO-263-3 SOT-404 -0 -8 -3 -Package_TO_SOT_SMD -TO-263-3_TabPin4 -TO-263/D2PAK/DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-3-1/ -D2PAK DDPAK TO-263 D2PAK-3 TO-263-3 SOT-404 -0 -8 -4 -Package_TO_SOT_SMD -TO-263-4 -TO-263/D2PAK/DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-5-1/ -D2PAK DDPAK TO-263 D2PAK-5 TO-263-5 SOT-426 -0 -9 -5 -Package_TO_SOT_SMD -TO-263-5_TabPin3 -TO-263/D2PAK/DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-5-1/ -D2PAK DDPAK TO-263 D2PAK-5 TO-263-5 SOT-426 -0 -10 -5 -Package_TO_SOT_SMD -TO-263-5_TabPin6 -TO-263/D2PAK/DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-5-1/ -D2PAK DDPAK TO-263 D2PAK-5 TO-263-5 SOT-426 -0 -10 -6 -Package_TO_SOT_SMD -TO-263-6 -TO-263/D2PAK/DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/ -D2PAK DDPAK TO-263 D2PAK-7 TO-263-7 SOT-427 -0 -11 -7 -Package_TO_SOT_SMD -TO-263-7_TabPin4 -TO-263/D2PAK/DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/ -D2PAK DDPAK TO-263 D2PAK-7 TO-263-7 SOT-427 -0 -12 -7 -Package_TO_SOT_SMD -TO-263-7_TabPin8 -TO-263/D2PAK/DDPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/ -D2PAK DDPAK TO-263 D2PAK-7 TO-263-7 SOT-427 -0 -12 -8 -Package_TO_SOT_SMD -TO-263-9_TabPin5 -TO-263 / D2PAK / DDPAK SMD package, http://www.ti.com/lit/ds/symlink/lm4755.pdf -D2PAK DDPAK TO-263 D2PAK-9 TO-263-9 -0 -14 -9 -Package_TO_SOT_SMD -TO-263-9_TabPin10 -TO-263 / D2PAK / DDPAK SMD package, http://www.ti.com/lit/ds/symlink/lm4755.pdf -D2PAK DDPAK TO-263 D2PAK-9 TO-263-9 -0 -14 -10 -Package_TO_SOT_SMD -TO-268-2 -TO-268/D3PAK SMD package, http://www.icbank.com/icbank_data/semi_package/to268aa_dim.pdf -D3PAK TO-268 D3PAK-3 TO-268-3 -0 -7 -3 -Package_TO_SOT_SMD -TO-269AA -SMD package TO-269AA (e.g. diode bridge), see http://www.vishay.com/docs/88854/padlayouts.pdf -TO-269AA MBS diode bridge -0 -4 -4 -Package_TO_SOT_SMD -TO-277A -Thermal enhanced ultra thin SMD package; 3 leads; body: 4.3x6.1x0.43mm, https://www.vishay.com/docs/95570/to-277asmpc.pdf -TO-277A SMPC -0 -12 -3 -Package_TO_SOT_SMD -TO-277B -TO-227B https://media.digikey.com/pdf/Data%20Sheets/Littelfuse%20PDFs/DST2050S.pdf -TO-277B -0 -9 -3 -Package_TO_SOT_SMD -TSOT-23 -3-pin TSOT23 package, http://www.analog.com.tw/pdf/All_In_One.pdf -TSOT-23 -0 -3 -3 -Package_TO_SOT_SMD -TSOT-23-5 -TSOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/MO-193D.pdf variant AB), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOT TO_SOT_SMD -0 -5 -5 -Package_TO_SOT_SMD -TSOT-23-5_HandSoldering -5-pin TSOT23 package, http://cds.linear.com/docs/en/packaging/SOT_5_05-08-1635.pdf -TSOT-23-5 Hand-soldering -0 -5 -5 -Package_TO_SOT_SMD -TSOT-23-6 -TSOT, 6 Pin (https://www.jedec.org/sites/default/files/docs/MO-193D.pdf variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOT TO_SOT_SMD -0 -6 -6 -Package_TO_SOT_SMD -TSOT-23-6_HandSoldering -6-pin TSOT23 package, http://cds.linear.com/docs/en/packaging/SOT_6_05-08-1636.pdf -TSOT-23-6 MK06A TSOT-6 Hand-soldering -0 -6 -6 -Package_TO_SOT_SMD -TSOT-23-8 -TSOT, 8 Pin (https://www.jedec.org/sites/default/files/docs/MO-193D.pdf variant BA), generated with kicad-footprint-generator ipc_gullwing_generator.py -TSOT TO_SOT_SMD -0 -8 -8 -Package_TO_SOT_SMD -TSOT-23-8_HandSoldering -8-pin TSOT23 package, http://cds.linear.com/docs/en/packaging/SOT_8_05-08-1637.pdf -TSOT-23-8 Hand-soldering -0 -8 -8 -Package_TO_SOT_SMD -TSOT-23_HandSoldering -5-pin TSOT23 package, http://cds.linear.com/docs/en/packaging/SOT_5_05-08-1635.pdf -TSOT-23 Hand-soldering -0 -3 -3 -Package_TO_SOT_SMD -Texas_DRT-3 -Texas Instrument DRT-3 1x0.8mm Pitch 0.7mm http://www.ti.com/lit/ds/symlink/tpd2eusb30.pdf -DRT-3 1x0.8mm Pitch 0.7mm -0 -3 -3 -Package_TO_SOT_SMD -Texas_NDQ -Texas Instruments, NDQ, 5 pin (https://www.ti.com/lit/ml/mmsf022/mmsf022.pdf) -ti pfm dap -0 -6 -6 -Package_TO_SOT_SMD -Texas_NDW-7_TabPin4 -NDW0007A SMD package, http://www.ti.com/lit/ml/mmsf024/mmsf024.pdf -NDW NDW -0 -12 -7 -Package_TO_SOT_SMD -Texas_NDW-7_TabPin8 -NDW0007A SMD package, http://www.ti.com/lit/ml/mmsf024/mmsf024.pdf -NDW NDW -0 -12 -8 -Package_TO_SOT_SMD -Texas_NDY0011A -TO-PMOD-11 11-pin switching regulator package, http://www.ti.com/lit/ml/mmsf025/mmsf025.pdf -Texas TO-PMOD NDY00011A -0 -12 -12 -Package_TO_SOT_SMD -Texas_R-PDSO-G5_DCK-5 -DCK R-PDSO-G5, JEDEC MO-203C Var AA, https://www.ti.com/lit/ds/symlink/tmp20.pdf#page=23 -DCK R-PDSO-G5 MO-203C -0 -5 -5 -Package_TO_SOT_SMD -Texas_R-PDSO-G6 -R-PDSO-G6, http://www.ti.com/lit/ds/slis144b/slis144b.pdf -R-PDSO-G6 SC-70-6 -0 -6 -6 -Package_TO_SOT_SMD -Texas_R-PDSO-N5_DRL-5 -R-PDSO-N5, DRL, JEDEC MO-293B Var UAAD-1, https://www.ti.com/lit/ml/mpds158d/mpds158d.pdf -SOT R-PDSO-N5 DRL -0 -5 -5 -Package_TO_SOT_SMD -Texas_R-PDSO-N6_DRL-6 -R-PDSO-N6, DRL, similar to JEDEC MO-293B Var UAAD (but not the same) , https://www.ti.com/lit/pdf/mpds159f -SOT R-PDSO-N6 DRL -0 -6 -6 -Package_TO_SOT_SMD -VSOF5 -VSOF5 -VSOF5 -0 -5 -5 -Package_TO_SOT_SMD -Vishay_PowerPAK_SC70-6L_Dual -Vishay PowerPAK SC70 dual transistor package http://www.vishay.com/docs/70487/70487.pdf -powerpak sc70 sc-70 dual -0 -8 -6 -Package_TO_SOT_SMD -Vishay_PowerPAK_SC70-6L_Single -Vishay PowerPAK SC70 single transistor package http://www.vishay.com/docs/70486/70486.pdf -powerpak sc70 sc-70 -0 -6 -3 -Package_TO_SOT_THT -Analog_TO-46-4_ThermalShield -TO-46-4 with Valox case, based on https://www.analog.com/media/en/technical-documentation/data-sheets/199399fc.pdf -TO-46-4 LM399 -0 -4 -4 -Package_TO_SOT_THT -Fairchild_TO-220F-6L -Fairchild TO-220F-6L, http://www.mouser.com/ds/2/149/FSL136MRT-113334.pdf -Fairchild TO-220F-6L -0 -6 -6 -Package_TO_SOT_THT -Heraeus_TO-92-2 -TO-92 2-pin variant by Heraeus, drill 0.75mm (http://www.produktinfo.conrad.com/datenblaetter/175000-199999/181293-da-01-de-TO92_Temperatursensor_PT1000_32209225.pdf) -to-92 -0 -2 -2 -Package_TO_SOT_THT -NEC_Molded_7x4x9mm -Molded Japan Transistor Package 7x4x9mm^3, http://rtellason.com/transdata/2sb734.pdf -Japan transistor -0 -3 -3 -Package_TO_SOT_THT -PowerIntegrations_TO-220-7C -Non Isolated Modified TO-220 7pin Package, see http://www.farnell.com/datasheets/5793.pdf -Power Integration Y Package -0 -6 -6 -Package_TO_SOT_THT -SIPAK-1EP_Horizontal_TabDown -SIPAK, Horizontal, RM 2.286mm -SIPAK Horizontal RM 2.286mm -0 -4 -4 -Package_TO_SOT_THT -SIPAK_Vertical -SIPAK, Vertical, RM 2.286mm -SIPAK Vertical RM 2.286mm -0 -3 -3 -Package_TO_SOT_THT -SOD-70_P2.54mm -Plastic near cylindrical package Sod-70 see: https://www.nxp.com/docs/en/data-sheet/KTY81_SER.pdf [StepUp generated footprint] -Sod-70 -0 -2 -2 -Package_TO_SOT_THT -SOD-70_P5.08mm -Plastic near cylindrical package Sod-70 see: https://www.nxp.com/docs/en/data-sheet/KTY81_SER.pdf [StepUp generated footprint] -Sod-70 -0 -2 -2 -Package_TO_SOT_THT -SOT-227 -SOT-227 / SOT-227B / ISOTOP, M4 mounting screws (https://www.vishay.com/docs/95423/sot227g2.pdf, https://www.vishay.com/docs/95793/vs-fc420sa10.pdf) -sot 227 isotop -0 -8 -4 -Package_TO_SOT_THT -TO-3 -Transistor TO-3 -TR TO-3 TO3 TO-204 -0 -4 -3 -Package_TO_SOT_THT -TO-3P-3_Horizontal_TabDown -TO-3P-3, Horizontal, RM 5.45mm, , see https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.TO-3P(N).html -TO-3P-3 Horizontal RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-3P-3_Horizontal_TabUp -TO-3P-3, Horizontal, RM 5.45mm, , see https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.TO-3P(N).html -TO-3P-3 Horizontal RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-3P-3_Vertical -TO-3P-3, Vertical, RM 5.45mm, , see https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.TO-3P(N).html -TO-3P-3 Vertical RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-3PB-3_Horizontal_TabDown -TO-3PB-3, Horizontal, RM 5.45mm, , see http://www.onsemi.com/pub/Collateral/340AC.PDF -TO-3PB-3 Horizontal RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-3PB-3_Horizontal_TabUp -TO-3PB-3, Horizontal, RM 5.45mm, , see http://www.onsemi.com/pub/Collateral/340AC.PDF -TO-3PB-3 Horizontal RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-3PB-3_Vertical -TO-3PB-3, Vertical, RM 5.45mm, , see http://www.onsemi.com/pub/Collateral/340AC.PDF -TO-3PB-3 Vertical RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-5-2 -TO-5-2 -TO-5-2 -0 -2 -2 -Package_TO_SOT_THT -TO-5-2_Window -TO-5-2_Window, Window -TO-5-2_Window Window -0 -2 -2 -Package_TO_SOT_THT -TO-5-3 -TO-5-3 -TO-5-3 -0 -3 -3 -Package_TO_SOT_THT -TO-5-3_Window -TO-5-3_Window, Window -TO-5-3_Window Window -0 -3 -3 -Package_TO_SOT_THT -TO-5-4 -TO-5-4 -TO-5-4 -0 -4 -4 -Package_TO_SOT_THT -TO-5-4_Window -TO-5-4_Window, Window -TO-5-4_Window Window -0 -4 -4 -Package_TO_SOT_THT -TO-5-6 -TO-5-6 -TO-5-6 -0 -6 -6 -Package_TO_SOT_THT -TO-5-6_Window -TO-5-6_Window, Window -TO-5-6_Window Window -0 -6 -6 -Package_TO_SOT_THT -TO-5-8 -TO-5-8 -TO-5-8 -0 -8 -8 -Package_TO_SOT_THT -TO-5-8_PD5.08 -TO-5-8_PD5.08 -TO-5-8_PD5.08 -0 -8 -8 -Package_TO_SOT_THT -TO-5-8_PD5.08_Window -TO-5-8_PD5.08_Window, Window -TO-5-8_PD5.08_Window Window -0 -8 -8 -Package_TO_SOT_THT -TO-5-8_Window -TO-5-8_Window, Window -TO-5-8_Window Window -0 -8 -8 -Package_TO_SOT_THT -TO-5-10 -TO-5-10 -TO-5-10 -0 -10 -10 -Package_TO_SOT_THT -TO-5-10_Window -TO-5-10_Window, Window -TO-5-10_Window Window -0 -10 -10 -Package_TO_SOT_THT -TO-8-2 -TO-8-2 -TO-8-2 -0 -2 -2 -Package_TO_SOT_THT -TO-8-2_Window -TO-8-2_Window, Window -TO-8-2_Window Window -0 -2 -2 -Package_TO_SOT_THT -TO-8-3 -TO-8-3 -TO-8-3 -0 -3 -3 -Package_TO_SOT_THT -TO-8-3_Window -TO-8-3_Window, Window -TO-8-3_Window Window -0 -3 -3 -Package_TO_SOT_THT -TO-11-2 -TO-11-2 -TO-11-2 -0 -2 -2 -Package_TO_SOT_THT -TO-11-2_Window -TO-11-2_Window, Window -TO-11-2_Window Window -0 -2 -2 -Package_TO_SOT_THT -TO-11-3 -TO-11-3 -TO-11-3 -0 -3 -3 -Package_TO_SOT_THT -TO-11-3_Window -TO-11-3_Window, Window -TO-11-3_Window Window -0 -3 -3 -Package_TO_SOT_THT -TO-12-4 -TO-12-4 -TO-12-4 -0 -4 -4 -Package_TO_SOT_THT -TO-12-4_Window -TO-12-4_Window, Window -TO-12-4_Window Window -0 -4 -4 -Package_TO_SOT_THT -TO-17-4 -TO-17-4 -TO-17-4 -0 -4 -4 -Package_TO_SOT_THT -TO-17-4_Window -TO-17-4_Window, Window -TO-17-4_Window Window -0 -4 -4 -Package_TO_SOT_THT -TO-18-2 -TO-18-2 -TO-18-2 -0 -2 -2 -Package_TO_SOT_THT -TO-18-2_Lens -TO-18-2_Lens, Lens -TO-18-2_Lens Lens -0 -2 -2 -Package_TO_SOT_THT -TO-18-2_Window -TO-18-2_Window, Window -TO-18-2_Window Window -0 -2 -2 -Package_TO_SOT_THT -TO-18-3 -TO-18-3 -TO-18-3 -0 -3 -3 -Package_TO_SOT_THT -TO-18-3_Lens -TO-18-3_Lens, Lens -TO-18-3_Lens Lens -0 -3 -3 -Package_TO_SOT_THT -TO-18-3_Window -TO-18-3_Window, Window -TO-18-3_Window Window -0 -3 -3 -Package_TO_SOT_THT -TO-18-4 -TO-18-4 -TO-18-4 -0 -4 -4 -Package_TO_SOT_THT -TO-18-4_Lens -TO-18-4_Lens, Lens -TO-18-4_Lens Lens -0 -4 -4 -Package_TO_SOT_THT -TO-18-4_Window -TO-18-4_Window, Window -TO-18-4_Window Window -0 -4 -4 -Package_TO_SOT_THT -TO-33-4 -TO-33-4 -TO-33-4 -0 -4 -4 -Package_TO_SOT_THT -TO-33-4_Window -TO-33-4_Window, Window -TO-33-4_Window Window -0 -4 -4 -Package_TO_SOT_THT -TO-38-2 -TO-38-2 -TO-38-2 -0 -2 -2 -Package_TO_SOT_THT -TO-38-2_Window -TO-38-2_Window, Window -TO-38-2_Window Window -0 -2 -2 -Package_TO_SOT_THT -TO-38-3 -TO-38-3 -TO-38-3 -0 -3 -3 -Package_TO_SOT_THT -TO-38-3_Window -TO-38-3_Window, Window -TO-38-3_Window Window -0 -3 -3 -Package_TO_SOT_THT -TO-39-2 -TO-39-2 -TO-39-2 -0 -2 -2 -Package_TO_SOT_THT -TO-39-2_Window -TO-39-2_Window, Window -TO-39-2_Window Window -0 -2 -2 -Package_TO_SOT_THT -TO-39-3 -TO-39-3 -TO-39-3 -0 -3 -3 -Package_TO_SOT_THT -TO-39-3_Window -TO-39-3_Window, Window -TO-39-3_Window Window -0 -3 -3 -Package_TO_SOT_THT -TO-39-4 -TO-39-4 -TO-39-4 -0 -4 -4 -Package_TO_SOT_THT -TO-39-4_Window -TO-39-4_Window, Window -TO-39-4_Window Window -0 -4 -4 -Package_TO_SOT_THT -TO-39-6 -TO-39-6 -TO-39-6 -0 -6 -6 -Package_TO_SOT_THT -TO-39-6_Window -TO-39-6_Window, Window -TO-39-6_Window Window -0 -6 -6 -Package_TO_SOT_THT -TO-39-8 -TO-39-8 -TO-39-8 -0 -8 -8 -Package_TO_SOT_THT -TO-39-8_Window -TO-39-8_Window, Window -TO-39-8_Window Window -0 -8 -8 -Package_TO_SOT_THT -TO-39-10 -TO-39-10 -TO-39-10 -0 -10 -10 -Package_TO_SOT_THT -TO-39-10_Window -TO-39-10_Window, Window -TO-39-10_Window Window -0 -10 -10 -Package_TO_SOT_THT -TO-46-2 -TO-46-2 -TO-46-2 -0 -2 -2 -Package_TO_SOT_THT -TO-46-2_Pin2Center -TO-46-2, Pin2 at center of package, Thorlabs photodiodes -TO-46-2 Thorlabs -0 -2 -2 -Package_TO_SOT_THT -TO-46-2_Pin2Center_Window -TO-46-2, Pin2 at center of package, Thorlabs photodiodes -TO-46-2 Thorlabs -0 -2 -2 -Package_TO_SOT_THT -TO-46-2_Window -TO-46-2_Window, Window -TO-46-2_Window Window -0 -2 -2 -Package_TO_SOT_THT -TO-46-3 -TO-46-3 -TO-46-3 -0 -3 -3 -Package_TO_SOT_THT -TO-46-3_Pin2Center -TO-46-3, Pin2 at center of package, Thorlabs photodiodes, https://www.thorlabs.de/drawings/374b6862eb3b5a04-9360B5F6-5056-2306-D912111C06C3F830/FDGA05-SpecSheet.pdf -TO-46-3 Thorlabs -0 -3 -3 -Package_TO_SOT_THT -TO-46-3_Pin2Center_Window -TO-46-3, Pin2 at center of package, Thorlabs photodiodes, https://www.thorlabs.de/drawings/374b6862eb3b5a04-9360B5F6-5056-2306-D912111C06C3F830/FDGA05-SpecSheet.pdf -TO-46-3 Thorlabs -0 -3 -3 -Package_TO_SOT_THT -TO-46-3_Window -TO-46-3_Window, Window -TO-46-3_Window Window -0 -3 -3 -Package_TO_SOT_THT -TO-46-4 -TO-46-4 -TO-46-4 -0 -4 -4 -Package_TO_SOT_THT -TO-46-4_Window -TO-46-4_Window, Window -TO-46-4_Window Window -0 -4 -4 -Package_TO_SOT_THT -TO-52-2 -TO-52-2 -TO-52-2 -0 -2 -2 -Package_TO_SOT_THT -TO-52-2_Window -TO-52-2_Window, Window -TO-52-2_Window Window -0 -2 -2 -Package_TO_SOT_THT -TO-52-3 -TO-52-3 -TO-52-3 -0 -3 -3 -Package_TO_SOT_THT -TO-52-3_Window -TO-52-3_Window, Window -TO-52-3_Window Window -0 -3 -3 -Package_TO_SOT_THT -TO-72-4 -TO-72-4 -TO-72-4 -0 -4 -4 -Package_TO_SOT_THT -TO-72-4_Window -TO-72-4_Window, Window -TO-72-4_Window Window -0 -4 -4 -Package_TO_SOT_THT -TO-75-6 -TO-75-6 -TO-75-6 -0 -6 -6 -Package_TO_SOT_THT -TO-75-6_Window -TO-75-6_Window, Window -TO-75-6_Window Window -0 -6 -6 -Package_TO_SOT_THT -TO-78-6 -TO-78-6 -TO-78-6 -0 -6 -6 -Package_TO_SOT_THT -TO-78-6_Window -TO-78-6_Window, Window -TO-78-6_Window Window -0 -6 -6 -Package_TO_SOT_THT -TO-78-8 -TO-78-8 -TO-78-8 -0 -8 -8 -Package_TO_SOT_THT -TO-78-8_Window -TO-78-8_Window, Window -TO-78-8_Window Window -0 -8 -8 -Package_TO_SOT_THT -TO-78-10 -TO-78-10 -TO-78-10 -0 -10 -10 -Package_TO_SOT_THT -TO-78-10_Window -TO-78-10_Window, Window -TO-78-10_Window Window -0 -10 -10 -Package_TO_SOT_THT -TO-92 -TO-92 leads molded, narrow, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92-2 -TO-92 2-pin leads in-line, narrow, oval pads, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 diode SOD70 -0 -2 -2 -Package_TO_SOT_THT -TO-92-2_Horizontal1 -2-pin TO-92 horizontal, leads molded, narrow, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 temperature sensor diode -0 -2 -2 -Package_TO_SOT_THT -TO-92-2_Horizontal2 -2-pin TO-92 horizontal, leads molded, narrow, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 temperature sensor diode -0 -2 -2 -Package_TO_SOT_THT -TO-92-2_W4.0mm_Horizontal_FlatSideDown -TO-92 horizontal, leads in-line, narrow, oval pads, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -2 -2 -Package_TO_SOT_THT -TO-92-2_W4.0mm_Horizontal_FlatSideUp -TO-92 horizontal, leads in-line, narrow, oval pads, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -2 -2 -Package_TO_SOT_THT -TO-92-2_Wide -TO-92 2-pin leads in-line, wide, drill 0.75mm -to-92 sc-43 sc-43a sot54 PA33 diode SOD70 -0 -2 -2 -Package_TO_SOT_THT -TO-92Flat -TO-92Flat package, often used for hall sensors, drill 0.75mm (see e.g. http://www.ti.com/lit/ds/symlink/drv5023.pdf) -to-92Flat hall sensor -0 -3 -3 -Package_TO_SOT_THT -TO-92L -TO-92L leads in-line (large body variant of TO-92), also known as TO-226, wide, drill 0.75mm (see https://www.diodes.com/assets/Package-Files/TO92L.pdf and http://www.ti.com/lit/an/snoa059/snoa059.pdf) -TO-92L Molded Narrow transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92L_HandSolder -TO-92L leads in-line (large body variant of TO-92), also known as TO-226, wide, drill 0.75mm, hand-soldering variant with enlarged pads (see https://www.diodes.com/assets/Package-Files/TO92L.pdf and http://www.ti.com/lit/an/snoa059/snoa059.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92L_Inline -TO-92L leads in-line (large body variant of TO-92), also known as TO-226, wide, drill 0.75mm (see https://www.diodes.com/assets/Package-Files/TO92L.pdf and http://www.ti.com/lit/an/snoa059/snoa059.pdf) -TO-92L Inline Wide transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92L_Inline_Wide -TO-92L leads in-line (large body variant of TO-92), also known as TO-226, wide, drill 0.75mm (see https://www.diodes.com/assets/Package-Files/TO92L.pdf and http://www.ti.com/lit/an/snoa059/snoa059.pdf) -TO-92L Inline Wide transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92L_Wide -TO-92L leads in-line (large body variant of TO-92), also known as TO-226, wide, drill 0.75mm (see https://www.diodes.com/assets/Package-Files/TO92L.pdf and http://www.ti.com/lit/an/snoa059/snoa059.pdf) -TO-92L Molded Wide transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92Mini-2 -TO-92Mini package, drill 0.6mm (https://media.digikey.com/pdf/Data%20Sheets/Infineon%20PDFs/KT,KTY.pdf) -to-92Mini transistor -0 -2 -2 -Package_TO_SOT_THT -TO-92S -TO-92S package, drill 0.75mm (https://www.diodes.com/assets/Package-Files/TO92S%20(Type%20B).pdf) -to-92S transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92S-2 -TO-92S package, 2-pin, drill 0.75mm (https://www.diodes.com/assets/Package-Files/TO92S%20(Type%20B).pdf) -to-92S transistor -0 -2 -2 -Package_TO_SOT_THT -TO-92S_Wide -TO-92S_Wide package, drill 0.75mm (https://www.diodes.com/assets/Package-Files/TO92S%20(Type%20B).pdf) -TO-92S_Wide transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_HandSolder -TO-92 leads molded, narrow, drill 0.75mm, handsoldering variant with enlarged pads (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_Horizontal1 -TO-92 horizontal, leads molded, narrow, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_Horizontal2 -TO-92 horizontal, leads molded, narrow, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_Inline -TO-92 leads in-line, narrow, oval pads, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_Inline_Horizontal1 -TO-92 horizontal, leads in-line, narrow, oval pads, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_Inline_Horizontal2 -TO-92 horizontal, leads in-line, narrow, oval pads, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_Inline_W4.0mm_Horizontal_FlatSideDown -TO-92 horizontal, leads in-line, narrow, oval pads, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_Inline_W4.0mm_Horizontal_FlatSideUp -TO-92 horizontal, leads in-line, narrow, oval pads, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_Inline_Wide -TO-92 leads in-line, wide, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_W4.0mm_StaggerEven_Horizontal_FlatSideDown -TO-92 horizontal, leads molded, narrow, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_W4.0mm_StaggerEven_Horizontal_FlatSideUp -TO-92 horizontal, leads molded, narrow, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-92_Wide -TO-92 leads molded, wide, drill 0.75mm (see NXP sot054_po.pdf) -to-92 sc-43 sc-43a sot54 PA33 transistor -0 -3 -3 -Package_TO_SOT_THT -TO-99-6 -TO-99-6 -TO-99-6 -0 -6 -6 -Package_TO_SOT_THT -TO-99-6_Window -TO-99-6_Window, Window -TO-99-6_Window Window -0 -6 -6 -Package_TO_SOT_THT -TO-99-8 -TO-99-8 -TO-99-8 -0 -8 -8 -Package_TO_SOT_THT -TO-99-8_Window -TO-99-8_Window, Window -TO-99-8_Window Window -0 -8 -8 -Package_TO_SOT_THT -TO-100-10 -TO-100-10 -TO-100-10 -0 -10 -10 -Package_TO_SOT_THT -TO-100-10_Window -TO-100-10_Window, Window -TO-100-10_Window Window -0 -10 -10 -Package_TO_SOT_THT -TO-126-2_Horizontal_TabDown -TO-126-2, Horizontal, RM 5.08mm, see https://www.diodes.com/assets/Package-Files/TO126.pdf -TO-126-2 Horizontal RM 5.08mm -0 -2 -2 -Package_TO_SOT_THT -TO-126-2_Horizontal_TabUp -TO-126-2, Horizontal, RM 5.08mm, see https://www.diodes.com/assets/Package-Files/TO126.pdf -TO-126-2 Horizontal RM 5.08mm -0 -2 -2 -Package_TO_SOT_THT -TO-126-2_Vertical -TO-126-2, Vertical, RM 5.08mm, see https://www.diodes.com/assets/Package-Files/TO126.pdf -TO-126-2 Vertical RM 5.08mm -0 -2 -2 -Package_TO_SOT_THT -TO-126-3_Horizontal_TabDown -TO-126-3, Horizontal, RM 2.54mm, see https://www.diodes.com/assets/Package-Files/TO126.pdf -TO-126-3 Horizontal RM 2.54mm -0 -3 -3 -Package_TO_SOT_THT -TO-126-3_Horizontal_TabUp -TO-126-3, Horizontal, RM 2.54mm, see https://www.diodes.com/assets/Package-Files/TO126.pdf -TO-126-3 Horizontal RM 2.54mm -0 -3 -3 -Package_TO_SOT_THT -TO-126-3_Vertical -TO-126-3, Vertical, RM 2.54mm, see https://www.diodes.com/assets/Package-Files/TO126.pdf -TO-126-3 Vertical RM 2.54mm -0 -3 -3 -Package_TO_SOT_THT -TO-218-2_Horizontal_TabDown -TO-218-2, Horizontal, RM 10.95mm, SOT-93, see https://www.vishay.com/docs/95214/fto218.pdf -TO-218-2 Horizontal RM 10.95mm SOT-93 -0 -2 -2 -Package_TO_SOT_THT -TO-218-2_Horizontal_TabUp -TO-218-2, Horizontal, RM 10.95mm, SOT-93, see https://www.vishay.com/docs/95214/fto218.pdf -TO-218-2 Horizontal RM 10.95mm SOT-93 -0 -2 -2 -Package_TO_SOT_THT -TO-218-2_Vertical -TO-218-2, Vertical, RM 10.95mm, SOT-93, see https://www.vishay.com/docs/95214/fto218.pdf -TO-218-2 Vertical RM 10.95mm SOT-93 -0 -2 -2 -Package_TO_SOT_THT -TO-218-3_Horizontal_TabDown -TO-218-3, Horizontal, RM 5.475mm, SOT-93, see https://www.vishay.com/docs/95214/fto218.pdf -TO-218-3 Horizontal RM 5.475mm SOT-93 -0 -3 -3 -Package_TO_SOT_THT -TO-218-3_Horizontal_TabUp -TO-218-3, Horizontal, RM 5.475mm, SOT-93, see https://www.vishay.com/docs/95214/fto218.pdf -TO-218-3 Horizontal RM 5.475mm SOT-93 -0 -3 -3 -Package_TO_SOT_THT -TO-218-3_Vertical -TO-218-3, Vertical, RM 5.475mm, SOT-93, see https://www.vishay.com/docs/95214/fto218.pdf -TO-218-3 Vertical RM 5.475mm SOT-93 -0 -3 -3 -Package_TO_SOT_THT -TO-220-2_Horizontal_TabDown -TO-220-2, Horizontal, RM 5.08mm, see https://www.centralsemi.com/PDFS/CASE/TO-220-2PD.PDF -TO-220-2 Horizontal RM 5.08mm -0 -2 -2 -Package_TO_SOT_THT -TO-220-2_Horizontal_TabUp -TO-220-2, Horizontal, RM 5.08mm, see https://www.centralsemi.com/PDFS/CASE/TO-220-2PD.PDF -TO-220-2 Horizontal RM 5.08mm -0 -2 -2 -Package_TO_SOT_THT -TO-220-2_Vertical -TO-220-2, Vertical, RM 5.08mm, see https://www.centralsemi.com/PDFS/CASE/TO-220-2PD.PDF -TO-220-2 Vertical RM 5.08mm -0 -2 -2 -Package_TO_SOT_THT -TO-220-3_Horizontal_TabDown -TO-220-3, Horizontal, RM 2.54mm, see https://www.vishay.com/docs/66542/to-220-1.pdf -TO-220-3 Horizontal RM 2.54mm -0 -3 -3 -Package_TO_SOT_THT -TO-220-3_Horizontal_TabUp -TO-220-3, Horizontal, RM 2.54mm, see https://www.vishay.com/docs/66542/to-220-1.pdf -TO-220-3 Horizontal RM 2.54mm -0 -3 -3 -Package_TO_SOT_THT -TO-220-3_Vertical -TO-220-3, Vertical, RM 2.54mm, see https://www.vishay.com/docs/66542/to-220-1.pdf -TO-220-3 Vertical RM 2.54mm -0 -3 -3 -Package_TO_SOT_THT -TO-220-4_Horizontal_TabDown -TO-220-4, Horizontal, RM 2.54mm -TO-220-4 Horizontal RM 2.54mm -0 -4 -4 -Package_TO_SOT_THT -TO-220-4_Horizontal_TabUp -TO-220-4, Horizontal, RM 2.54mm -TO-220-4 Horizontal RM 2.54mm -0 -4 -4 -Package_TO_SOT_THT -TO-220-4_P5.08x2.54mm_StaggerEven_Lead3.8mm_Vertical -TO-220-4, Vertical, RM 2.54mm, staggered type-2 -TO-220-4 Vertical RM 2.54mm staggered type-2 -0 -4 -4 -Package_TO_SOT_THT -TO-220-4_P5.08x2.54mm_StaggerEven_Lead5.84mm_TabDown -TO-220-4, Horizontal, RM 2.54mm, staggered type-2 -TO-220-4 Horizontal RM 2.54mm staggered type-2 -0 -4 -4 -Package_TO_SOT_THT -TO-220-4_P5.08x2.54mm_StaggerOdd_Lead3.8mm_Vertical -TO-220-4, Vertical, RM 2.54mm, staggered type-1 -TO-220-4 Vertical RM 2.54mm staggered type-1 -0 -4 -4 -Package_TO_SOT_THT -TO-220-4_P5.08x2.54mm_StaggerOdd_Lead5.84mm_TabDown -TO-220-4, Horizontal, RM 2.54mm, staggered type-1 -TO-220-4 Horizontal RM 2.54mm staggered type-1 -0 -4 -4 -Package_TO_SOT_THT -TO-220-4_Vertical -TO-220-4, Vertical, RM 2.54mm -TO-220-4 Vertical RM 2.54mm -0 -4 -4 -Package_TO_SOT_THT -TO-220-5_Horizontal_TabDown -TO-220-5, Horizontal, RM 1.7mm, Pentawatt, Multiwatt-5, see http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421_straight_lead.pdf -TO-220-5 Horizontal RM 1.7mm Pentawatt Multiwatt-5 -0 -5 -5 -Package_TO_SOT_THT -TO-220-5_Horizontal_TabUp -TO-220-5, Horizontal, RM 1.7mm, Pentawatt, Multiwatt-5, see http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421_straight_lead.pdf -TO-220-5 Horizontal RM 1.7mm Pentawatt Multiwatt-5 -0 -5 -5 -Package_TO_SOT_THT -TO-220-5_P3.4x3.7mm_StaggerEven_Lead3.8mm_Vertical -TO-220-5, Vertical, RM 1.7mm, Pentawatt, Multiwatt-5, staggered type-2, see http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421.pdf?domain=www.linear.com, https://www.diodes.com/assets/Package-Files/TO220-5.pdf -TO-220-5 Vertical RM 1.7mm Pentawatt Multiwatt-5 staggered type-2 -0 -5 -5 -Package_TO_SOT_THT -TO-220-5_P3.4x3.7mm_StaggerOdd_Lead3.8mm_Vertical -TO-220-5, Vertical, RM 1.7mm, Pentawatt, Multiwatt-5, staggered type-1, see http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421.pdf?domain=www.linear.com, https://www.diodes.com/assets/Package-Files/TO220-5.pdf -TO-220-5 Vertical RM 1.7mm Pentawatt Multiwatt-5 staggered type-1 -0 -5 -5 -Package_TO_SOT_THT -TO-220-5_P3.4x3.8mm_StaggerEven_Lead7.13mm_TabDown -TO-220-5, Horizontal, RM 1.7mm, Pentawatt, Multiwatt-5, staggered type-2, see http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421.pdf?domain=www.linear.com, https://www.diodes.com/assets/Package-Files/TO220-5.pdf -TO-220-5 Horizontal RM 1.7mm Pentawatt Multiwatt-5 staggered type-2 -0 -5 -5 -Package_TO_SOT_THT -TO-220-5_P3.4x3.8mm_StaggerOdd_Lead7.13mm_TabDown -TO-220-5, Horizontal, RM 1.7mm, Pentawatt, Multiwatt-5, staggered type-1, see http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421.pdf?domain=www.linear.com, https://www.diodes.com/assets/Package-Files/TO220-5.pdf -TO-220-5 Horizontal RM 1.7mm Pentawatt Multiwatt-5 staggered type-1 -0 -5 -5 -Package_TO_SOT_THT -TO-220-5_Vertical -TO-220-5, Vertical, RM 1.7mm, Pentawatt, Multiwatt-5, see http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421_straight_lead.pdf -TO-220-5 Vertical RM 1.7mm Pentawatt Multiwatt-5 -0 -5 -5 -Package_TO_SOT_THT -TO-220-7_P2.54x3.7mm_StaggerEven_Lead3.8mm_Vertical -TO-220-7, Vertical, RM 1.27mm, Multiwatt-7, staggered type-2 -TO-220-7 Vertical RM 1.27mm Multiwatt-7 staggered type-2 -0 -7 -7 -Package_TO_SOT_THT -TO-220-7_P2.54x3.7mm_StaggerOdd_Lead3.8mm_Vertical -TO-220-7, Vertical, RM 1.27mm, Multiwatt-7, staggered type-1 -TO-220-7 Vertical RM 1.27mm Multiwatt-7 staggered type-1 -0 -7 -7 -Package_TO_SOT_THT -TO-220-7_P2.54x3.8mm_StaggerEven_Lead5.85mm_TabDown -TO-220-7, Horizontal, RM 1.27mm, Multiwatt-7, staggered type-2 -TO-220-7 Horizontal RM 1.27mm Multiwatt-7 staggered type-2 -0 -7 -7 -Package_TO_SOT_THT -TO-220-7_P2.54x3.8mm_StaggerOdd_Lead5.85mm_TabDown -TO-220-7, Horizontal, RM 1.27mm, Multiwatt-7, staggered type-1 -TO-220-7 Horizontal RM 1.27mm Multiwatt-7 staggered type-1 -0 -7 -7 -Package_TO_SOT_THT -TO-220-8_Vertical -TO-220-8 (Multiwatt8), Vertical, 2.54mm Pitch (http://www.st.com/resource/en/datasheet/tda7264.pdf) -TO-220-9 Vertical 2.54mm Pitch Multiwatt 8 -0 -8 -8 -Package_TO_SOT_THT -TO-220-9_P1.94x3.7mm_StaggerEven_Lead3.8mm_Vertical -TO-220-9, Vertical, RM 0.97mm, Multiwatt-9, staggered type-2 -TO-220-9 Vertical RM 0.97mm Multiwatt-9 staggered type-2 -0 -9 -9 -Package_TO_SOT_THT -TO-220-9_P1.94x3.7mm_StaggerOdd_Lead3.8mm_Vertical -TO-220-9, Vertical, RM 0.97mm, Multiwatt-9, staggered type-1 -TO-220-9 Vertical RM 0.97mm Multiwatt-9 staggered type-1 -0 -9 -9 -Package_TO_SOT_THT -TO-220-9_P1.94x3.8mm_StaggerEven_Lead5.85mm_TabDown -TO-220-9, Horizontal, RM 0.97mm, Multiwatt-9, staggered type-2 -TO-220-9 Horizontal RM 0.97mm Multiwatt-9 staggered type-2 -0 -9 -9 -Package_TO_SOT_THT -TO-220-9_P1.94x3.8mm_StaggerOdd_Lead5.85mm_TabDown -TO-220-9, Horizontal, RM 0.97mm, Multiwatt-9, staggered type-1 -TO-220-9 Horizontal RM 0.97mm Multiwatt-9 staggered type-1 -0 -9 -9 -Package_TO_SOT_THT -TO-220-11_P3.4x2.54mm_StaggerEven_Lead5.84mm_TabDown -TO-220-11, Horizontal, RM 1.7mm, staggered type-2, see http://www.st.com/resource/en/datasheet/tda7391lv.pdf -TO-220-11 Horizontal RM 1.7mm staggered type-2 -0 -11 -11 -Package_TO_SOT_THT -TO-220-11_P3.4x2.54mm_StaggerOdd_Lead5.84mm_TabDown -TO-220-11, Horizontal, RM 1.7mm, staggered type-1, see http://www.st.com/resource/en/datasheet/tda7391lv.pdf -TO-220-11 Horizontal RM 1.7mm staggered type-1 -0 -11 -11 -Package_TO_SOT_THT -TO-220-11_P3.4x5.08mm_StaggerEven_Lead4.58mm_Vertical -TO-220-11, Vertical, RM 1.7mm, staggered type-2, see http://www.st.com/resource/en/datasheet/tda7391lv.pdf -TO-220-11 Vertical RM 1.7mm staggered type-2 -0 -11 -11 -Package_TO_SOT_THT -TO-220-11_P3.4x5.08mm_StaggerOdd_Lead4.85mm_Vertical -TO-220-11, Vertical, RM 1.7mm, staggered type-1, see http://www.st.com/resource/en/datasheet/tda7391lv.pdf -TO-220-11 Vertical RM 1.7mm staggered type-1 -0 -11 -11 -Package_TO_SOT_THT -TO-220-11_P3.4x5.08mm_StaggerOdd_Lead8.45mm_TabDown -TO-220-11, Horizontal, RM 1.7mm, staggered type-1, see http://www.ti.com/lit/ds/symlink/lmd18200.pdf -TO-220-11 Horizontal RM 1.7mm staggered type-1 -0 -11 -11 -Package_TO_SOT_THT -TO-220-15_P2.54x2.54mm_StaggerEven_Lead4.58mm_Vertical -TO-220-15, Vertical, RM 1.27mm, staggered type-2, see http://www.st.com/resource/en/datasheet/l298.pdf -TO-220-15 Vertical RM 1.27mm staggered type-2 -0 -15 -15 -Package_TO_SOT_THT -TO-220-15_P2.54x2.54mm_StaggerEven_Lead5.84mm_TabDown -TO-220-15, Horizontal, RM 1.27mm, staggered type-2, see http://www.st.com/resource/en/datasheet/l298.pdf -TO-220-15 Horizontal RM 1.27mm staggered type-2 -0 -15 -15 -Package_TO_SOT_THT -TO-220-15_P2.54x2.54mm_StaggerOdd_Lead4.58mm_Vertical -TO-220-15, Vertical, RM 1.27mm, staggered type-1, see http://www.st.com/resource/en/datasheet/l298.pdf -TO-220-15 Vertical RM 1.27mm staggered type-1 -0 -15 -15 -Package_TO_SOT_THT -TO-220-15_P2.54x2.54mm_StaggerOdd_Lead5.84mm_TabDown -TO-220-15, Horizontal, RM 1.27mm, staggered type-1, see http://www.st.com/resource/en/datasheet/l298.pdf -TO-220-15 Horizontal RM 1.27mm staggered type-1 -0 -15 -15 -Package_TO_SOT_THT -TO-220F-2_Horizontal_TabDown -TO-220F-2, Horizontal, RM 5.08mm, see http://www.onsemi.com/pub/Collateral/FFPF10F150S-D.pdf -TO-220F-2 Horizontal RM 5.08mm -0 -2 -2 -Package_TO_SOT_THT -TO-220F-2_Horizontal_TabUp -TO-220F-2, Horizontal, RM 5.08mm, see http://www.onsemi.com/pub/Collateral/FFPF10F150S-D.pdf -TO-220F-2 Horizontal RM 5.08mm -0 -2 -2 -Package_TO_SOT_THT -TO-220F-2_Vertical -TO-220F-2, Vertical, RM 5.08mm, see http://www.onsemi.com/pub/Collateral/FFPF10F150S-D.pdf -TO-220F-2 Vertical RM 5.08mm -0 -2 -2 -Package_TO_SOT_THT -TO-220F-3_Horizontal_TabDown -TO-220F-3, Horizontal, RM 2.54mm, see http://www.st.com/resource/en/datasheet/stp20nm60.pdf -TO-220F-3 Horizontal RM 2.54mm -0 -3 -3 -Package_TO_SOT_THT -TO-220F-3_Horizontal_TabUp -TO-220F-3, Horizontal, RM 2.54mm, see http://www.st.com/resource/en/datasheet/stp20nm60.pdf -TO-220F-3 Horizontal RM 2.54mm -0 -3 -3 -Package_TO_SOT_THT -TO-220F-3_Vertical -TO-220F-3, Vertical, RM 2.54mm, see http://www.st.com/resource/en/datasheet/stp20nm60.pdf -TO-220F-3 Vertical RM 2.54mm -0 -3 -3 -Package_TO_SOT_THT -TO-220F-4_Horizontal_TabDown -TO-220F-4, Horizontal, RM 2.54mm, see https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf -TO-220F-4 Horizontal RM 2.54mm -0 -4 -4 -Package_TO_SOT_THT -TO-220F-4_Horizontal_TabUp -TO-220F-4, Horizontal, RM 2.54mm, see https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf -TO-220F-4 Horizontal RM 2.54mm -0 -4 -4 -Package_TO_SOT_THT -TO-220F-4_P5.08x2.05mm_StaggerEven_Lead1.85mm_Vertical -TO-220F-4, Vertical, RM 2.54mm, staggered type-2, see https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf -TO-220F-4 Vertical RM 2.54mm staggered type-2 -0 -4 -4 -Package_TO_SOT_THT -TO-220F-4_P5.08x2.05mm_StaggerOdd_Lead1.85mm_Vertical -TO-220F-4, Vertical, RM 2.54mm, staggered type-1, see https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf -TO-220F-4 Vertical RM 2.54mm staggered type-1 -0 -4 -4 -Package_TO_SOT_THT -TO-220F-4_P5.08x3.7mm_StaggerEven_Lead3.5mm_Vertical -TO-220F-4, Vertical, RM 2.54mm, staggered type-2, see https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf -TO-220F-4 Vertical RM 2.54mm staggered type-2 -0 -4 -4 -Package_TO_SOT_THT -TO-220F-4_P5.08x3.7mm_StaggerOdd_Lead3.5mm_Vertical -TO-220F-4, Vertical, RM 2.54mm, staggered type-1, see https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf -TO-220F-4 Vertical RM 2.54mm staggered type-1 -0 -4 -4 -Package_TO_SOT_THT -TO-220F-4_Vertical -TO-220F-4, Vertical, RM 2.54mm, see https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf -TO-220F-4 Vertical RM 2.54mm -0 -4 -4 -Package_TO_SOT_THT -TO-220F-5_Horizontal_TabDown -TO-220F-5, Horizontal, RM 1.7mm, PentawattF-, MultiwattF-5 -TO-220F-5 Horizontal RM 1.7mm PentawattF- MultiwattF-5 -0 -5 -5 -Package_TO_SOT_THT -TO-220F-5_Horizontal_TabUp -TO-220F-5, Horizontal, RM 1.7mm, PentawattF-, MultiwattF-5 -TO-220F-5 Horizontal RM 1.7mm PentawattF- MultiwattF-5 -0 -5 -5 -Package_TO_SOT_THT -TO-220F-5_P3.4x2.06mm_StaggerEven_Lead1.86mm_Vertical -TO-220F-5, Vertical, RM 1.7mm, PentawattF-, MultiwattF-5, staggered type-2 -TO-220F-5 Vertical RM 1.7mm PentawattF- MultiwattF-5 staggered type-2 -0 -5 -5 -Package_TO_SOT_THT -TO-220F-5_P3.4x2.06mm_StaggerOdd_Lead1.86mm_Vertical -TO-220F-5, Vertical, RM 1.7mm, PentawattF-, MultiwattF-5, staggered type-1 -TO-220F-5 Vertical RM 1.7mm PentawattF- MultiwattF-5 staggered type-1 -0 -5 -5 -Package_TO_SOT_THT -TO-220F-5_P3.4x3.7mm_StaggerEven_Lead3.5mm_Vertical -TO-220F-5, Vertical, RM 1.7mm, PentawattF-, MultiwattF-5, staggered type-2 -TO-220F-5 Vertical RM 1.7mm PentawattF- MultiwattF-5 staggered type-2 -0 -5 -5 -Package_TO_SOT_THT -TO-220F-5_P3.4x3.7mm_StaggerOdd_Lead3.5mm_Vertical -TO-220F-5, Vertical, RM 1.7mm, PentawattF-, MultiwattF-5, staggered type-1 -TO-220F-5 Vertical RM 1.7mm PentawattF- MultiwattF-5 staggered type-1 -0 -5 -5 -Package_TO_SOT_THT -TO-220F-5_Vertical -TO-220F-5, Vertical, RM 1.7mm, PentawattF-, MultiwattF-5 -TO-220F-5 Vertical RM 1.7mm PentawattF- MultiwattF-5 -0 -5 -5 -Package_TO_SOT_THT -TO-220F-7_P2.54x3.7mm_StaggerEven_Lead3.5mm_Vertical -TO-220F-7, Vertical, RM 1.27mm, staggered type-2 -TO-220F-7 Vertical RM 1.27mm staggered type-2 -0 -7 -7 -Package_TO_SOT_THT -TO-220F-7_P2.54x3.7mm_StaggerOdd_Lead3.5mm_Vertical -TO-220F-7, Vertical, RM 1.27mm, staggered type-1 -TO-220F-7 Vertical RM 1.27mm staggered type-1 -0 -7 -7 -Package_TO_SOT_THT -TO-220F-9_P1.8x3.7mm_StaggerEven_Lead3.5mm_Vertical -TO-220F-9, Vertical, RM 0.9mm, staggered type-2 -TO-220F-9 Vertical RM 0.9mm staggered type-2 -0 -9 -9 -Package_TO_SOT_THT -TO-220F-9_P1.8x3.7mm_StaggerOdd_Lead3.5mm_Vertical -TO-220F-9, Vertical, RM 0.9mm, staggered type-1 -TO-220F-9 Vertical RM 0.9mm staggered type-1 -0 -9 -9 -Package_TO_SOT_THT -TO-220F-11_P3.4x5.08mm_StaggerEven_Lead5.08mm_Vertical -TO-220F-11, Vertical, RM 1.7mm, MultiwattF-11, staggered type-2, see http://www.ti.com/lit/ds/symlink/lm3886.pdf -TO-220F-11 Vertical RM 1.7mm MultiwattF-11 staggered type-2 -0 -11 -11 -Package_TO_SOT_THT -TO-220F-11_P3.4x5.08mm_StaggerOdd_Lead5.08mm_Vertical -TO-220F-11, Vertical, RM 1.7mm, MultiwattF-11, staggered type-1, see http://www.ti.com/lit/ds/symlink/lm3886.pdf -TO-220F-11 Vertical RM 1.7mm MultiwattF-11 staggered type-1 -0 -11 -11 -Package_TO_SOT_THT -TO-220F-15_P2.54x5.08mm_StaggerEven_Lead5.08mm_Vertical -TO-220F-15, Vertical, RM 1.27mm, MultiwattF-15, staggered type-2 -TO-220F-15 Vertical RM 1.27mm MultiwattF-15 staggered type-2 -0 -15 -15 -Package_TO_SOT_THT -TO-220F-15_P2.54x5.08mm_StaggerOdd_Lead5.08mm_Vertical -TO-220F-15, Vertical, RM 1.27mm, MultiwattF-15, staggered type-1 -TO-220F-15 Vertical RM 1.27mm MultiwattF-15 staggered type-1 -0 -15 -15 -Package_TO_SOT_THT -TO-247-2_Horizontal_TabDown -TO-247-2, Horizontal, RM 10.9mm, see https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html -TO-247-2 Horizontal RM 10.9mm -0 -2 -2 -Package_TO_SOT_THT -TO-247-2_Horizontal_TabUp -TO-247-2, Horizontal, RM 10.9mm, see https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html -TO-247-2 Horizontal RM 10.9mm -0 -2 -2 -Package_TO_SOT_THT -TO-247-2_Vertical -TO-247-2, Vertical, RM 10.9mm, see https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html -TO-247-2 Vertical RM 10.9mm -0 -2 -2 -Package_TO_SOT_THT -TO-247-3_Horizontal_TabDown -TO-247-3, Horizontal, RM 5.45mm, see https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html -TO-247-3 Horizontal RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-247-3_Horizontal_TabUp -TO-247-3, Horizontal, RM 5.45mm, see https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html -TO-247-3 Horizontal RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-247-3_Vertical -TO-247-3, Vertical, RM 5.45mm, see https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html -TO-247-3 Vertical RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-247-4_Horizontal_TabDown -TO-247-4, Horizontal, RM 2.54mm, see https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html -TO-247-4 Horizontal RM 2.54mm -0 -4 -4 -Package_TO_SOT_THT -TO-247-4_Horizontal_TabUp -TO-247-4, Horizontal, RM 2.54mm, see https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html -TO-247-4 Horizontal RM 2.54mm -0 -4 -4 -Package_TO_SOT_THT -TO-247-4_Vertical -TO-247-4, Vertical, RM 2.54mm, see https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html -TO-247-4 Vertical RM 2.54mm -0 -4 -4 -Package_TO_SOT_THT -TO-247-5_Horizontal_TabDown -TO-247-5, Horizontal, RM 2.54mm, see http://ww1.microchip.com/downloads/en/DeviceDoc/20005685A.pdf -TO-247-5 Horizontal RM 2.54mm -0 -5 -5 -Package_TO_SOT_THT -TO-247-5_Horizontal_TabUp -TO-247-5, Horizontal, RM 2.54mm, see http://ww1.microchip.com/downloads/en/DeviceDoc/20005685A.pdf -TO-247-5 Horizontal RM 2.54mm -0 -5 -5 -Package_TO_SOT_THT -TO-247-5_Vertical -TO-247-5, Vertical, RM 2.54mm, see http://ww1.microchip.com/downloads/en/DeviceDoc/20005685A.pdf -TO-247-5 Vertical RM 2.54mm -0 -5 -5 -Package_TO_SOT_THT -TO-251-2-1EP_Horizontal_TabDown -TO-251-2, Horizontal, RM 4.58mm, IPAK, see https://www.diodes.com/assets/Package-Files/TO251.pdf -TO-251-2 Horizontal RM 4.58mm IPAK -0 -3 -3 -Package_TO_SOT_THT -TO-251-2_Vertical -TO-251-2, Vertical, RM 4.58mm, IPAK, see https://www.diodes.com/assets/Package-Files/TO251.pdf -TO-251-2 Vertical RM 4.58mm IPAK -0 -2 -2 -Package_TO_SOT_THT -TO-251-3-1EP_Horizontal_TabDown -TO-251-3, Horizontal, RM 2.29mm, IPAK, see https://www.diodes.com/assets/Package-Files/TO251.pdf -TO-251-3 Horizontal RM 2.29mm IPAK -0 -4 -4 -Package_TO_SOT_THT -TO-251-3_Vertical -TO-251-3, Vertical, RM 2.29mm, IPAK, see https://www.diodes.com/assets/Package-Files/TO251.pdf -TO-251-3 Vertical RM 2.29mm IPAK -0 -3 -3 -Package_TO_SOT_THT -TO-262-3-1EP_Horizontal_TabDown -TO-262-3, Horizontal, RM 2.54mm, IIPAK, I2PAK, see http://www.onsemi.com/pub/Collateral/EN8586-D.PDF -TO-262-3 Horizontal RM 2.54mm IIPAK I2PAK -0 -4 -4 -Package_TO_SOT_THT -TO-262-3_Vertical -TO-262-3, Vertical, RM 2.54mm, IIPAK, I2PAK, see http://www.onsemi.com/pub/Collateral/EN8586-D.PDF -TO-262-3 Vertical RM 2.54mm IIPAK I2PAK -0 -3 -3 -Package_TO_SOT_THT -TO-262-5-1EP_Horizontal_TabDown -TO-262-5, Horizontal, RM 1.7mm, IIPAK, I2PAK, see http://pdf.datasheetcatalog.com/datasheet/irf/iris4011.pdf -TO-262-5 Horizontal RM 1.7mm IIPAK I2PAK -0 -6 -6 -Package_TO_SOT_THT -TO-262-5_Vertical -TO-262-5, Vertical, RM 1.7mm, IIPAK, I2PAK, see http://pdf.datasheetcatalog.com/datasheet/irf/iris4011.pdf -TO-262-5 Vertical RM 1.7mm IIPAK I2PAK -0 -5 -5 -Package_TO_SOT_THT -TO-264-2_Horizontal_TabDown -TO-264-2, Horizontal, RM 10.9mm, see https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf -TO-264-2 Horizontal RM 10.9mm -0 -2 -2 -Package_TO_SOT_THT -TO-264-2_Horizontal_TabUp -TO-264-2, Horizontal, RM 10.9mm, see https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf -TO-264-2 Horizontal RM 10.9mm -0 -2 -2 -Package_TO_SOT_THT -TO-264-2_Vertical -TO-264-2, Vertical, RM 10.9mm, see https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf -TO-264-2 Vertical RM 10.9mm -0 -2 -2 -Package_TO_SOT_THT -TO-264-3_Horizontal_TabDown -TO-264-3, Horizontal, RM 5.45mm, see https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf -TO-264-3 Horizontal RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-264-3_Horizontal_TabUp -TO-264-3, Horizontal, RM 5.45mm, see https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf -TO-264-3 Horizontal RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-264-3_Vertical -TO-264-3, Vertical, RM 5.45mm, see https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf -TO-264-3 Vertical RM 5.45mm -0 -3 -3 -Package_TO_SOT_THT -TO-264-5_Horizontal_TabDown -TO-264-5, Horizontal, RM 3.81mm, see https://www.onsemi.com/pub/Collateral/NJL3281D-D.PDF -TO-264-5 Horizontal RM 3.81mm -0 -5 -5 -Package_TO_SOT_THT -TO-264-5_Horizontal_TabUp -TO-264-5, Horizontal, RM 3.81mm, see https://www.onsemi.com/pub/Collateral/NJL3281D-D.PDF -TO-264-5 Horizontal RM 3.81mm -0 -5 -5 -Package_TO_SOT_THT -TO-264-5_Vertical -TO-264-5, Vertical, RM 3.81mm, see https://www.onsemi.com/pub/Collateral/NJL3281D-D.PDF -TO-264-5 Vertical RM 3.81mm -0 -5 -5 -Potentiometer_SMD -Potentiometer_ACP_CA6-VSMD_Vertical -Potentiometer, vertical, ACP CA6-VSMD, http://www.acptechnologies.com/wp-content/uploads/2017/06/01-ACP-CA6.pdf -Potentiometer vertical ACP CA6-VSMD -0 -3 -3 -Potentiometer_SMD -Potentiometer_ACP_CA6-VSMD_Vertical_Hole -Potentiometer, vertical, shaft hole, ACP CA6-VSMD, http://www.acptechnologies.com/wp-content/uploads/2017/06/01-ACP-CA6.pdf -Potentiometer vertical hole ACP CA6-VSMD -0 -3 -3 -Potentiometer_SMD -Potentiometer_ACP_CA9-VSMD_Vertical -Potentiometer, vertical, ACP CA9-VSMD, http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf -Potentiometer vertical ACP CA9-VSMD -0 -3 -3 -Potentiometer_SMD -Potentiometer_ACP_CA9-VSMD_Vertical_Hole -Potentiometer, vertical, shaft hole, ACP CA9-VSMD, http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf -Potentiometer vertical hole ACP CA9-VSMD -0 -3 -3 -Potentiometer_SMD -Potentiometer_ACP_CA14-VSMD_Vertical -Potentiometer, vertical, ACP CA14-VSMD, http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf -Potentiometer vertical ACP CA14-VSMD -0 -3 -3 -Potentiometer_SMD -Potentiometer_ACP_CA14-VSMD_Vertical_Hole -Potentiometer, vertical, shaft hole, ACP CA14-VSMD, http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf -Potentiometer vertical hole ACP CA14-VSMD -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3214G_Horizontal -Potentiometer, horizontal, Bourns 3214G, https://www.bourns.com/docs/Product-Datasheets/3214.pdf -Potentiometer horizontal Bourns 3214G -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3214J_Horizontal -Potentiometer, horizontal, Bourns 3214J, https://www.bourns.com/docs/Product-Datasheets/3214.pdf -Potentiometer horizontal Bourns 3214J -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3214W_Vertical -Potentiometer, vertical, Bourns 3214W, https://www.bourns.com/docs/Product-Datasheets/3214.pdf -Potentiometer vertical Bourns 3214W -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3214X_Vertical -Potentiometer, vertical, Bourns 3214X, https://www.bourns.com/docs/Product-Datasheets/3214.pdf -Potentiometer vertical Bourns 3214X -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3224G_Horizontal -Potentiometer, horizontal, Bourns 3224G, https://www.bourns.com/docs/Product-Datasheets/3224.pdf -Potentiometer horizontal Bourns 3224G -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3224J_Horizontal -Potentiometer, horizontal, Bourns 3224J, https://www.bourns.com/docs/Product-Datasheets/3224.pdf -Potentiometer horizontal Bourns 3224J -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3224W_Vertical -Potentiometer, vertical, Bourns 3224W, https://www.bourns.com/docs/Product-Datasheets/3224.pdf -Potentiometer vertical Bourns 3224W -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3224X_Vertical -Potentiometer, vertical, Bourns 3224X, https://www.bourns.com/docs/Product-Datasheets/3224.pdf -Potentiometer vertical Bourns 3224X -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3269P_Horizontal -Potentiometer, horizontal, Bourns 3269P, https://www.bourns.com/docs/Product-Datasheets/3269.pdf -Potentiometer horizontal Bourns 3269P -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3269W_Vertical -Potentiometer, vertical, Bourns 3269W, https://www.bourns.com/docs/Product-Datasheets/3269.pdf -Potentiometer vertical Bourns 3269W -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3269X_Horizontal -Potentiometer, horizontal, Bourns 3269X, https://www.bourns.com/docs/Product-Datasheets/3269.pdf -Potentiometer horizontal Bourns 3269X -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3314G_Vertical -Potentiometer, vertical, top-adjust, Bourns 3314G, http://www.bourns.com/docs/Product-Datasheets/3314.pdf -Potentiometer vertical Bourns 3314G -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3314J_Vertical -Potentiometer, vertical, top-adjust, Bourns 3314J, http://www.bourns.com/docs/Product-Datasheets/3314.pdf -Potentiometer vertical Bourns 3314J -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3314R-1_Vertical_Hole -Potentiometer, vertical, bottom-adjust, single slot, Bourns 3314R-1, http://www.bourns.com/docs/Product-Datasheets/3314.pdf -Potentiometer vertical hole Bourns 3314R-1 -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3314R-GM5_Vertical -Potentiometer, vertical, cross-slot, extended leadframe, Bourns 3314R-GM5, http://www.bourns.com/docs/Product-Datasheets/3314.pdf -Potentiometer vertical Bourns 3314R-GM5 -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_3314S_Horizontal -Potentiometer, horizontal, Bourns 3314S, http://www.bourns.com/docs/Product-Datasheets/3314.pdf -Potentiometer horizontal Bourns 3314S -0 -3 -3 -Potentiometer_SMD -Potentiometer_Bourns_PRS11S_Vertical -Potentiometer, vertical, Bourns PRS11S, http://www.bourns.com/docs/Product-Datasheets/PRS11S.pdf -Potentiometer vertical Bourns PRS11S -0 -5 -5 -Potentiometer_SMD -Potentiometer_Bourns_TC33X_Vertical -Potentiometer, Bourns, TC33X, Vertical, https://www.bourns.com/pdfs/TC33.pdf -Potentiometer Bourns TC33X Vertical -0 -3 -3 -Potentiometer_SMD -Potentiometer_Vishay_TS53YJ_Vertical -Potentiometer, vertical, Vishay TS53YJ, https://www.vishay.com/docs/51008/ts53.pdf -Potentiometer vertical Vishay TS53YJ -0 -3 -3 -Potentiometer_SMD -Potentiometer_Vishay_TS53YL_Vertical -Potentiometer, vertical, Vishay TS53YL, https://www.vishay.com/docs/51008/ts53.pdf -Potentiometer vertical Vishay TS53YL -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA6-H2,5_Horizontal -Potentiometer, horizontal, ACP CA6-H2,5, http://www.acptechnologies.com/wp-content/uploads/2017/06/01-ACP-CA6.pdf -Potentiometer horizontal ACP CA6-H2,5 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA9-H2,5_Horizontal -Potentiometer, horizontal, ACP CA9-H2,5, http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf -Potentiometer horizontal ACP CA9-H2,5 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA9-H3,8_Horizontal -Potentiometer, horizontal, ACP CA9-H3,8, http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf -Potentiometer horizontal ACP CA9-H3,8 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA9-H5_Horizontal -Potentiometer, horizontal, ACP CA9-H5, http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf -Potentiometer horizontal ACP CA9-H5 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA9-V10_Vertical -Potentiometer, vertical, ACP CA9-V10, http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf -Potentiometer vertical ACP CA9-V10 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA9-V10_Vertical_Hole -Potentiometer, vertical, shaft hole, ACP CA9-V10, http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf -Potentiometer vertical hole ACP CA9-V10 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA14-H2,5_Horizontal -Potentiometer, horizontal, ACP CA14-H2,5, http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf -Potentiometer horizontal ACP CA14-H2,5 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA14-H4_Horizontal -Potentiometer, horizontal, ACP CA14-H4, http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf -Potentiometer horizontal ACP CA14-H4 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA14-H5_Horizontal -Potentiometer, horizontal, ACP CA14-H5, http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf -Potentiometer horizontal ACP CA14-H5 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA14V-15_Vertical -Potentiometer, vertical, ACP CA14V-15, http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf -Potentiometer vertical ACP CA14V-15 -0 -3 -3 -Potentiometer_THT -Potentiometer_ACP_CA14V-15_Vertical_Hole -Potentiometer, vertical, shaft hole, ACP CA14V-15, http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf -Potentiometer vertical hole ACP CA14V-15 -0 -3 -3 -Potentiometer_THT -Potentiometer_Alpha_RD901F-40-00D_Single_Vertical -Potentiometer, vertical, 9mm, single, http://www.taiwanalpha.com.tw/downloads?target=products&id=113 -potentiometer vertical 9mm single -0 -5 -3 -Potentiometer_THT -Potentiometer_Alpha_RD901F-40-00D_Single_Vertical_CircularHoles -Potentiometer, vertical, 9mm, single, http://www.taiwanalpha.com.tw/downloads?target=products&id=113 -potentiometer vertical 9mm single -0 -5 -3 -Potentiometer_THT -Potentiometer_Alpha_RD902F-40-00D_Dual_Vertical -Potentiometer, vertical, 9mm, dual, http://www.taiwanalpha.com.tw/downloads?target=products&id=113 -potentiometer vertical 9mm dual -0 -8 -6 -Potentiometer_THT -Potentiometer_Alpha_RD902F-40-00D_Dual_Vertical_CircularHoles -Potentiometer, vertical, 9mm, dual, http://www.taiwanalpha.com.tw/downloads?target=products&id=113 -potentiometer vertical 9mm dual -0 -8 -6 -Potentiometer_THT -Potentiometer_Alps_RK09K_Single_Horizontal -111009J 1110AK4 11100DN 1110AAR 1110077 1110A0J 110AMJ 1110B26 D1110C1A Potentiometer, horizontal, Alps RK09K Single, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk09k/rk09k.pdf -Potentiometer horizontal Alps RK09K Single Snapin -0 -5 -4 -Potentiometer_THT -Potentiometer_Alps_RK09K_Single_Vertical -113004U 1130A6S 11300DR 1130A8G 1130081 1130A5R 1130AP5 1130AST D1130C3W D1130C1B D1130C3C D1130C2P Potentiometer, vertical, Alps RK09K Single, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk09k/rk09k.pdf -Potentiometer vertical Alps RK09K RK09D Single Snapin -0 -5 -4 -Potentiometer_THT -Potentiometer_Alps_RK09L_Double_Horizontal -122002H 122002L 12B0A4S 12B0A1V Potentiometer, horizontal, Alps RK09L Double, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk09l/rk09l.pdf -Potentiometer horizontal Alps RK09L Double -0 -8 -7 -Potentiometer_THT -Potentiometer_Alps_RK09L_Double_Vertical -1240015 1240019 12D0A1W 12D0A1T Potentiometer, vertical, Alps RK09L Double, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk09l/rk09l.pdf -Potentiometer vertical Alps RK09L Double -0 -8 -7 -Potentiometer_THT -Potentiometer_Alps_RK09L_Single_Horizontal -1120A5F 1120036 1120A0Z 112003S Potentiometer, horizontal, Alps RK09L Single, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk09l/rk09l.pdf -Potentiometer horizontal Alps RK09L Single -0 -5 -4 -Potentiometer_THT -Potentiometer_Alps_RK09L_Single_Vertical - 1140A5L 114001E 1140A2U 114001T Potentiometer, vertical, Alps RK09L Single, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk09l/rk09l.pdf -Potentiometer vertical Alps RK09L Single -0 -5 -4 -Potentiometer_THT -Potentiometer_Alps_RK09Y11_Single_Horizontal -Potentiometer, horizontal, Long Life, Alps RK09Y11 Single, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk09y11/rk09y11.pdf -Potentiometer horizontal Alps RK09Y11 Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Alps_RK097_Dual_Horizontal -1210, Dual Pot, Horizontal, Alps RK097 Dual, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk097/rk097.pdf -Potentiometer horizontal Alps RK097 Dual -0 -6 -6 -Potentiometer_THT -Potentiometer_Alps_RK097_Dual_Horizontal_Switch -1221-5R1211, Dual Pot, Horizontal, Switch, Alps RK097 Dual, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk097/rk097.pdf -Potentiometer horizontal Alps RK097 Dual Switch -0 -8 -8 -Potentiometer_THT -Potentiometer_Alps_RK097_Single_Horizontal -1110, Single Pot, Horizontal, Alps RK097 Single, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk097/rk097.pdf -Potentiometer horizontal Alps RK097 Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Alps_RK097_Single_Horizontal_Switch -1111-5R1211, Single Pot, Horizontal, Switch, Alps RK097 Single, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk097/rk097.pdf -Potentiometer horizontal Alps RK097 Single Switch -0 -5 -5 -Potentiometer_THT -Potentiometer_Alps_RK163_Dual_Horizontal -12101A2 1210AX9 12A0B85 12A0BKR Potentiometer, horizontal, Alps RK163 Dual, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk16/rk16.pdf -Potentiometer horizontal Alps RK163 Dual -0 -6 -6 -Potentiometer_THT -Potentiometer_Alps_RK163_Single_Horizontal -1110U1Q 1110TNP 1110U2A 1110U0Q Potentiometer, horizontal, Alps RK163 Single, https://tech.alpsalpine.com/prod/e/pdf/potentiometer/rotarypotentiometers/rk16/rk16.pdf -Potentiometer horizontal Alps RK163 Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3005_Horizontal -Potentiometer, horizontal, Bourns 3005, http://www.bourns.com/docs/Product-Datasheets/3005.pdf -Potentiometer horizontal Bourns 3005 -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3006P_Horizontal -Potentiometer, horizontal, Bourns 3006P, https://www.bourns.com/docs/Product-Datasheets/3006.pdf -Potentiometer horizontal Bourns 3006P -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3006W_Horizontal -Potentiometer, horizontal, Bourns 3006W, https://www.bourns.com/docs/Product-Datasheets/3006.pdf -Potentiometer horizontal Bourns 3006W -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3006Y_Horizontal -Potentiometer, horizontal, Bourns 3006Y, https://www.bourns.com/docs/Product-Datasheets/3006.pdf -Potentiometer horizontal Bourns 3006Y -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3009P_Horizontal -Potentiometer, horizontal, Bourns 3009P, http://www.bourns.com/docs/Product-Datasheets/3009.pdf -Potentiometer horizontal Bourns 3009P -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3009Y_Horizontal -Potentiometer, horizontal, Bourns 3009Y, http://www.bourns.com/docs/Product-Datasheets/3009.pdf -Potentiometer horizontal Bourns 3009Y -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3266P_Horizontal -Potentiometer, horizontal, Bourns 3266P, https://www.bourns.com/docs/Product-Datasheets/3266.pdf -Potentiometer horizontal Bourns 3266P -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3266W_Vertical -Potentiometer, vertical, Bourns 3266W, https://www.bourns.com/docs/Product-Datasheets/3266.pdf -Potentiometer vertical Bourns 3266W -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3266X_Horizontal -Potentiometer, horizontal, Bourns 3266X, https://www.bourns.com/docs/Product-Datasheets/3266.pdf -Potentiometer horizontal Bourns 3266X -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3266Y_Vertical -Potentiometer, vertical, Bourns 3266Y, https://www.bourns.com/docs/Product-Datasheets/3266.pdf -Potentiometer vertical Bourns 3266Y -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3266Z_Horizontal -Potentiometer, horizontal, Bourns 3266Z, https://www.bourns.com/docs/Product-Datasheets/3266.pdf -Potentiometer horizontal Bourns 3266Z -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3296P_Horizontal -Potentiometer, horizontal, Bourns 3296P, https://www.bourns.com/pdfs/3296.pdf -Potentiometer horizontal Bourns 3296P -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3296W_Vertical -Potentiometer, vertical, Bourns 3296W, https://www.bourns.com/pdfs/3296.pdf -Potentiometer vertical Bourns 3296W -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3296X_Horizontal -Potentiometer, horizontal, Bourns 3296X, https://www.bourns.com/pdfs/3296.pdf -Potentiometer horizontal Bourns 3296X -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3296Y_Vertical -Potentiometer, vertical, Bourns 3296Y, https://www.bourns.com/pdfs/3296.pdf -Potentiometer vertical Bourns 3296Y -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3296Z_Horizontal -Potentiometer, horizontal, Bourns 3296Z, https://www.bourns.com/pdfs/3296.pdf -Potentiometer horizontal Bourns 3296Z -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3299P_Horizontal -Potentiometer, horizontal, Bourns 3299P, https://www.bourns.com/pdfs/3299.pdf -Potentiometer horizontal Bourns 3299P -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3299W_Vertical -Potentiometer, vertical, Bourns 3299W, https://www.bourns.com/pdfs/3299.pdf -Potentiometer vertical Bourns 3299W -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3299X_Horizontal -Potentiometer, horizontal, Bourns 3299X, https://www.bourns.com/pdfs/3299.pdf -Potentiometer horizontal Bourns 3299X -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3299Y_Vertical -Potentiometer, vertical, Bourns 3299Y, https://www.bourns.com/pdfs/3299.pdf -Potentiometer vertical Bourns 3299Y -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3299Z_Horizontal -Potentiometer, horizontal, Bourns 3299Z, https://www.bourns.com/pdfs/3299.pdf -Potentiometer horizontal Bourns 3299Z -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3339H_Vertical -Potentiometer, vertical, Bourns 3339H, http://www.bourns.com/docs/Product-Datasheets/3339.pdf -Potentiometer vertical Bourns 3339H -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3339P_Vertical -Potentiometer, vertical, Bourns 3339P, http://www.bourns.com/docs/Product-Datasheets/3339.pdf -Potentiometer vertical Bourns 3339P -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3339P_Vertical_HandSoldering -Potentiometer, vertical, Bourns 3339P, hand-soldering, http://www.bourns.com/docs/Product-Datasheets/3339.pdf -Potentiometer vertical Bourns 3339P hand-soldering -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3339S_Horizontal -Potentiometer, horizontal, Bourns 3339S, http://www.bourns.com/docs/Product-Datasheets/3339.pdf -Potentiometer horizontal Bourns 3339S -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3339W_Horizontal -Potentiometer, horizontal, Bourns 3339W, http://www.bourns.com/docs/Product-Datasheets/3339.pdf -Potentiometer horizontal Bourns 3339W -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3386C_Horizontal -Potentiometer, horizontal, Bourns 3386C, https://www.bourns.com/pdfs/3386.pdf -Potentiometer horizontal Bourns 3386C -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3386F_Vertical -Potentiometer, vertical, Bourns 3386F, https://www.bourns.com/pdfs/3386.pdf -Potentiometer vertical Bourns 3386F -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3386P_Vertical -Potentiometer, vertical, Bourns 3386P, https://www.bourns.com/pdfs/3386.pdf -Potentiometer vertical Bourns 3386P -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3386W_Vertical -Potentiometer, vertical, Bourns 3386W, https://www.bourns.com/docs/Product-Datasheets/3386.pdf -Potentiometer -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_3386X_Horizontal -Potentiometer, horizontal, Bourns 3386X, https://www.bourns.com/pdfs/3386.pdf -Potentiometer horizontal Bourns 3386X -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_PTA1543_Single_Slide -Bourns single-gang slide potentiometer, 15.0mm travel, https://www.bourns.com/docs/Product-Datasheets/pta.pdf -Bourns single-gang slide potentiometer 15.0mm -0 -7 -4 -Potentiometer_THT -Potentiometer_Bourns_PTA2043_Single_Slide -Bourns single-gang slide potentiometer, 20.0mm travel, https://www.bourns.com/docs/Product-Datasheets/pta.pdf -Bourns single-gang slide potentiometer 20.0mm -0 -7 -4 -Potentiometer_THT -Potentiometer_Bourns_PTA3043_Single_Slide -Bourns single-gang slide potentiometer, 30.0mm travel, https://www.bourns.com/docs/Product-Datasheets/pta.pdf -Bourns single-gang slide potentiometer 30.0mm -0 -7 -4 -Potentiometer_THT -Potentiometer_Bourns_PTA4543_Single_Slide -Bourns single-gang slide potentiometer, 45.0mm travel, https://www.bourns.com/docs/Product-Datasheets/pta.pdf -Bourns single-gang slide potentiometer 45.0mm -0 -7 -4 -Potentiometer_THT -Potentiometer_Bourns_PTA6043_Single_Slide -Bourns single-gang slide potentiometer, 60.0mm travel, https://www.bourns.com/docs/Product-Datasheets/pta.pdf -Bourns single-gang slide potentiometer 60.0mm -0 -7 -4 -Potentiometer_THT -Potentiometer_Bourns_PTV09A-1_Single_Vertical -Potentiometer, vertical, Bourns PTV09A-1 Single, http://www.bourns.com/docs/Product-Datasheets/ptv09.pdf -Potentiometer vertical Bourns PTV09A-1 Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Bourns_PTV09A-2_Single_Horizontal -Potentiometer, horizontal, Bourns PTV09A-2 Single, http://www.bourns.com/docs/Product-Datasheets/ptv09.pdf -Potentiometer horizontal Bourns PTV09A-2 Single -0 -5 -3 -Potentiometer_THT -Potentiometer_Omeg_PC16BU_Horizontal -Potentiometer, horizontal, Omeg PC16BU, http://www.omeg.co.uk/pc6bubrc.htm -Potentiometer horizontal Omeg PC16BU -0 -3 -3 -Potentiometer_THT -Potentiometer_Omeg_PC16BU_Vertical -Potentiometer, vertical, Omeg PC16BU, http://www.omeg.co.uk/pc6bubrc.htm -Potentiometer vertical Omeg PC16BU -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PC-16_Dual_Horizontal -Potentiometer, horizontal, Piher PC-16 Dual, http://www.piher-nacesa.com/pdf/20-PC16v03.pdf -Potentiometer horizontal Piher PC-16 Dual -0 -6 -6 -Potentiometer_THT -Potentiometer_Piher_PC-16_Single_Horizontal -Potentiometer, horizontal, Piher PC-16 Single, http://www.piher-nacesa.com/pdf/20-PC16v03.pdf -Potentiometer horizontal Piher PC-16 Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PC-16_Single_Vertical -Potentiometer, vertical, Piher PC-16 Single, http://www.piher-nacesa.com/pdf/20-PC16v03.pdf -Potentiometer vertical Piher PC-16 Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PC-16_Triple_Horizontal -Potentiometer, horizontal, Piher PC-16 Triple, http://www.piher-nacesa.com/pdf/20-PC16v03.pdf -Potentiometer horizontal Piher PC-16 Triple -0 -9 -9 -Potentiometer_THT -Potentiometer_Piher_PT-6-H_Horizontal -Potentiometer, horizontal, Piher PT-6-H, http://www.piher-nacesa.com/pdf/11-PT6v03.pdf -Potentiometer horizontal Piher PT-6-H -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-6-V_Vertical -Potentiometer, vertical, Piher PT-6-V, http://www.piher-nacesa.com/pdf/11-PT6v03.pdf -Potentiometer vertical Piher PT-6-V -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-6-V_Vertical_Hole -Potentiometer, vertical, shaft hole, Piher PT-6-V, http://www.piher-nacesa.com/pdf/11-PT6v03.pdf -Potentiometer vertical hole Piher PT-6-V -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-10-H01_Horizontal -Potentiometer, horizontal, Piher PT-10-H01, http://www.piher-nacesa.com/pdf/12-PT10v03.pdf -Potentiometer horizontal Piher PT-10-H01 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-10-H05_Horizontal -Potentiometer, horizontal, Piher PT-10-H05, http://www.piher-nacesa.com/pdf/12-PT10v03.pdf -Potentiometer horizontal Piher PT-10-H05 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-10-V05_Vertical -Potentiometer, vertical, Piher PT-10-V05, http://www.piher-nacesa.com/pdf/12-PT10v03.pdf -Potentiometer vertical Piher PT-10-V05 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-10-V10_Vertical -Potentiometer, vertical, Piher PT-10-V10, http://www.piher-nacesa.com/pdf/12-PT10v03.pdf -Potentiometer vertical Piher PT-10-V10 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-10-V10_Vertical_Hole -Potentiometer, vertical, shaft hole, Piher PT-10-V10, http://www.piher-nacesa.com/pdf/12-PT10v03.pdf -Potentiometer vertical hole Piher PT-10-V10 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-15-H01_Horizontal -Potentiometer, horizontal, Piher PT-15-H01, http://www.piher-nacesa.com/pdf/14-PT15v03.pdf -Potentiometer horizontal Piher PT-15-H01 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-15-H05_Horizontal -Potentiometer, horizontal, Piher PT-15-H05, http://www.piher-nacesa.com/pdf/14-PT15v03.pdf -Potentiometer horizontal Piher PT-15-H05 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-15-H06_Horizontal -Potentiometer, horizontal, Piher PT-15-H06, http://www.piher-nacesa.com/pdf/14-PT15v03.pdf -Potentiometer horizontal Piher PT-15-H06 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-15-H25_Horizontal -Potentiometer, horizontal, Piher PT-15-H25, http://www.piher-nacesa.com/pdf/14-PT15v03.pdf -Potentiometer horizontal Piher PT-15-H25 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-15-V02_Vertical -Potentiometer, vertical, Piher PT-15-V02, http://www.piher-nacesa.com/pdf/14-PT15v03.pdf -Potentiometer vertical Piher PT-15-V02 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-15-V02_Vertical_Hole -Potentiometer, vertical, shaft hole, Piher PT-15-V02, http://www.piher-nacesa.com/pdf/14-PT15v03.pdf -Potentiometer vertical hole Piher PT-15-V02 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-15-V15_Vertical -Potentiometer, vertical, Piher PT-15-V15, http://www.piher-nacesa.com/pdf/14-PT15v03.pdf -Potentiometer vertical Piher PT-15-V15 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_PT-15-V15_Vertical_Hole -Potentiometer, vertical, shaft hole, Piher PT-15-V15, http://www.piher-nacesa.com/pdf/14-PT15v03.pdf -Potentiometer vertical hole Piher PT-15-V15 -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_T-16H_Double_Horizontal -Potentiometer, horizontal, Piher T-16H Double, http://www.piher-nacesa.com/pdf/22-T16v03.pdf -Potentiometer horizontal Piher T-16H Double -0 -6 -6 -Potentiometer_THT -Potentiometer_Piher_T-16H_Single_Horizontal -Potentiometer, horizontal, Piher T-16H Single, http://www.piher-nacesa.com/pdf/22-T16v03.pdf -Potentiometer horizontal Piher T-16H Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Piher_T-16L_Single_Vertical_Hole -Potentiometer, vertical, shaft hole, Piher T-16L Single, http://www.piher-nacesa.com/pdf/22-T16v03.pdf -Potentiometer vertical hole Piher T-16L Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Runtron_RM-063_Horizontal -Potentiometer, horizontal, Trimmer, RM-063 http://www.runtron.com/down/PDF%20Datasheet/Carbon%20Film%20Potentiometer/RM065%20RM063.pdf -Potentiometer Trimmer RM-063 -0 -3 -3 -Potentiometer_THT -Potentiometer_Runtron_RM-065_Vertical -Potentiometer, vertical, Trimmer, RM-065 https://components101.com/sites/default/files/component_datasheet/Preset%20Potentiometer%20%28Trimpot%29.pdf -Potentiometer Trimmer RM-065 -0 -3 -3 -Potentiometer_THT -Potentiometer_TT_P0915N -http://www.ttelectronics.com/sites/default/files/download-files/Datasheet_PanelPot_P09xSeries.pdf -potentiometer vertical TT P0915N single -0 -5 -3 -Potentiometer_THT -Potentiometer_Vishay_43_Horizontal -Potentiometer, horizontal, Vishay 43, http://www.vishay.com/docs/57026/43.pdf -Potentiometer horizontal Vishay 43 -0 -3 -3 -Potentiometer_THT -Potentiometer_Vishay_148-149_Dual_Horizontal -Potentiometer, horizontal, Vishay 148-149 Dual, http://www.vishay.com/docs/57040/148149.pdf -Potentiometer horizontal Vishay 148-149 Dual -0 -6 -6 -Potentiometer_THT -Potentiometer_Vishay_148-149_Single_Horizontal -Potentiometer, horizontal, Vishay 148-149 Single, http://www.vishay.com/docs/57040/148149.pdf -Potentiometer horizontal Vishay 148-149 Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Vishay_148-149_Single_Vertical -Potentiometer, vertical, Vishay 148-149 Single, http://www.vishay.com/docs/57040/148149.pdf -Potentiometer vertical Vishay 148-149 Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Vishay_148E-149E_Dual_Horizontal -Potentiometer, horizontal, Vishay 148E-149E Dual, http://www.vishay.com/docs/57040/148149.pdf -Potentiometer horizontal Vishay 148E-149E Dual -0 -10 -6 -Potentiometer_THT -Potentiometer_Vishay_148E-149E_Single_Horizontal -Potentiometer, horizontal, Vishay 148E-149E Single, http://www.vishay.com/docs/57040/148149.pdf -Potentiometer horizontal Vishay 148E-149E Single -0 -7 -3 -Potentiometer_THT -Potentiometer_Vishay_248BH-249BH_Single_Horizontal -Potentiometer, horizontal, Vishay 248BH-249BH Single, http://www.vishay.com/docs/57054/248249.pdf -Potentiometer horizontal Vishay 248BH-249BH Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Vishay_248GJ-249GJ_Single_Horizontal -Potentiometer, horizontal, Vishay 248GJ-249GJ Single, http://www.vishay.com/docs/57054/248249.pdf -Potentiometer horizontal Vishay 248GJ-249GJ Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Vishay_248GJ-249GJ_Single_Vertical -Potentiometer, vertical, Vishay 248GJ-249GJ Single, http://www.vishay.com/docs/57054/248249.pdf -Potentiometer vertical Vishay 248GJ-249GJ Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Vishay_T7-YA_Single_Vertical -Potentiometer, vertical, Vishay T7-YA Single, http://www.vishay.com/docs/51015/t7.pdf -Potentiometer vertical Vishay T7-YA Single -0 -3 -3 -Potentiometer_THT -Potentiometer_Vishay_T73XW_Horizontal -Potentiometer, horizontal, Vishay T73XW, http://www.vishay.com/docs/51016/t73.pdf -Potentiometer horizontal Vishay T73XW -0 -3 -3 -Potentiometer_THT -Potentiometer_Vishay_T73XX_Horizontal -Potentiometer, horizontal, Vishay T73XX, http://www.vishay.com/docs/51016/t73.pdf -Potentiometer horizontal Vishay T73XX -0 -3 -3 -Potentiometer_THT -Potentiometer_Vishay_T73YP_Vertical -Potentiometer, vertical, Vishay T73YP, http://www.vishay.com/docs/51016/t73.pdf -Potentiometer vertical Vishay T73YP -0 -3 -3 -RF -Skyworks_SKY13575_639LF -http://www.skyworksinc.com/uploads/documents/SKY13575_639LF_203270D.pdf -Skyworks -0 -19 -15 -RF -Skyworks_SKY65404-31 -http://www.skyworksinc.com/uploads/documents/SKY65404_31_201512K.pdf -Skyworks -0 -7 -7 -RF_Antenna -AVX_M620720 -868Mhz, 915Mhz ISM antenna, 6 x 2 x 1.08mm, http://datasheets.avx.com/ethertronics/AVX-E_M620720.pdf -868 915 Mhz ISM antenna -0 -6 -3 -RF_Antenna -Abracon_APAES868R8060C16-T -Abracon RHCP ceramic patch antenna 854-882Mhz, 5dBi -APAES patch antenna -0 -1 -1 -RF_Antenna -Abracon_PRO-OB-440 -Abracon OnBoard SMD antenna 2400-2500Mhz, https://abracon.com/datasheets/PRO-OB-440.pdf -2400 PRO-OB-440 Antenna -0 -4 -4 -RF_Antenna -Abracon_PRO-OB-471 -OnBoard 868/915/868+2400 MHz Antenna, https://abracon.com/datasheets/PRO-OB-471.pdf -Antenna ProAnt OnBoard 868Mhz 915Mhz 2400Mhz -0 -6 -6 -RF_Antenna -Antenova_SR4G013_GPS -GPS/GLONASS/Galileo/BeiDou antenna, 1559-1609Mhz, SMD, https://www.application-datasheet.com/pdf/antenova/sr4g013.pdf -antenna GPS Antenova Beltii -0 -5 -2 -RF_Antenna -Astrocast_AST50127-00 -L-band patch antenna, https://docs.astrocast.com/dl/0534-DOC-M2M-ASTRO-Patch_Antenna-Datasheet.pdf -L-band antenna astrocast Patch Antenna -0 -1 -1 -RF_Antenna -Coilcraft_MA5532-AE_RFID -RFID Transponder Coil -antenna rfid coilcraft -0 -2 -2 -RF_Antenna -Johanson_2450AT18x100 -Johanson 2450AT43F0100 SMD antenna 2400-2500Mhz, -0.5dBi, https://www.johansontechnology.com/datasheets/2450AT18A100/2450AT18A100.pdf -antenna -0 -2 -2 -RF_Antenna -Johanson_2450AT43F0100 -Johanson 2450AT43F0100 SMD antenna 2400-2500Mhz, 1dBi, https://www.johansontechnology.com/datasheets/2450AT43F0100/2450AT43F0100.pdf -antenna -0 -2 -2 -RF_Antenna -Molex_47948-0001_2.4Ghz -SMD antenna 2400-2500Mhz, 3.7dBi, https://www.molex.com/content/dam/molex/molex-dot-com/products/automated/en-us/productspecificationpdf/479/47948/PS-47948-001-001.pdf?inline -rf antenna -0 -4 -2 -RF_Antenna -Pulse_W3000 -Pulse Electronics W3000 tri-band antenna for GPS (1.575Ghz), 868Mhz and 2.4Ghz ISM bands, https://productfinder.pulseeng.com/products/datasheets/W3000.pdf -Antenna GPS 868Mhz ISM 1.575Ghz -0 -2 -2 -RF_Antenna -Pulse_W3011 -Pulse RF Antenna, 4mm Clearance -antenna rf -0 -3 -2 -RF_Antenna -Texas_SWRA117D_2.4GHz_Left -http://www.ti.com/lit/an/swra117d/swra117d.pdf -PCB antenna -0 -2 -2 -RF_Antenna -Texas_SWRA117D_2.4GHz_Right -http://www.ti.com/lit/an/swra117d/swra117d.pdf -PCB antenna -0 -2 -2 -RF_Antenna -Texas_SWRA416_868MHz_915MHz -http://www.ti.com/lit/an/swra416/swra416.pdf -PCB antenna -0 -20 -1 -RF_Converter -Anaren_0805_2012Metric-6 -https://cdn.anaren.com/product-documents/Xinger/DirectionalCouplers/DC4759J5020AHF/DC4759J5020AHF_DataSheet(Rev_E).pdf -coupler rf -0 -6 -6 -RF_Converter -Balun_Johanson_1.6x0.8mm -6-pin 1.6x0.8 mm balun footprint -Johanson balun filter -0 -6 -6 -RF_Converter -Balun_Johanson_0896BM15A0001 -https://www.johansontechnology.com/datasheets/0896BM15A0001/0896BM15A0001.pdf -balun RF -0 -6 -6 -RF_Converter -Balun_Johanson_0900FM15K0039 -Johanson 0900FM15K0039 DFN, 10 Pin (https://www.johansontechnology.com/datasheets/0900FM15K0039/0900FM15K0039.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Johanson DFN NoLead -0 -10 -10 -RF_Converter -Balun_Johanson_0900PC15J0013 -Johanson 0900PC15J0013 DFN, 10 Pin (https://www.johansontechnology.com/datasheets/0900PC15J0013/0900PC15J0013.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Johanson DFN NoLead -0 -10 -10 -RF_Converter -Balun_Johanson_5400BL15B050E -https://www.johansontechnology.com/datasheets/5400BL15B050/5400BL15B050.pdf -balun RF -0 -6 -6 -RF_Converter -RF_Attenuator_Susumu_PAT1220 -http://www.susumu-usa.com/pdf/Foot_Print_38.pdf, https://www.susumu.co.jp/common/pdf/n_catalog_partition16_en.pdf -2mm 1.2mm -0 -3 -3 -RF_GPS -Linx_RXM-GPS -GPS Module, Linx (https://linxtechnologies.com/wp/wp-content/uploads/rxm-gps-rm.pdf) -gps linx -0 -22 -22 -RF_GPS -Quectel_L70-R -Quectel L70-R, (https://www.quectel.com/product/gps-only-l70-r) -GPS Quectel L70-R -0 -18 -18 -RF_GPS -Quectel_L80-R -Quectel L80-R GPS Module, Patch on Top, https://www.quectel.com/UploadImage/Downlad/Quectel_L80-R_Hardware_Design_V1.2.pdf -quectel GPS GNSS -0 -12 -12 -RF_GPS -SIM28ML -https://simcom.ee/documents/SIM28ML/SIM28ML_Hardware%20Design_V1.01.pdf -SIM28ML GPS -0 -18 -18 -RF_GPS -Sierra_XA11X0 -QFN-24, Pitch 1.20 no EP, https://source.sierrawireless.com/resources/airprime/hardware_specs_user_guides/airprime_xm1100_product_technical_specification -QFN-24 P1.20 -0 -24 -24 -RF_GPS -Sierra_XM11X0 -QFN-20, Pitch 1.20 no EP, https://source.sierrawireless.com/resources/airprime/hardware_specs_user_guides/airprime_xm1100_product_technical_specification -QFN-20 P1.20 -0 -20 -20 -RF_GPS -ublox_LEA -ublox LEA 6/7/8, (https://www.u-blox.com/sites/default/files/LEA-M8S-M8T-FW3_HardwareIntegrationManual_%28UBX-15030060%29.pdf) -GPS ublox LEA 6/7/8 -0 -28 -28 -RF_GPS -ublox_MAX -ublox MAX 6/7/8, (https://www.u-blox.com/sites/default/files/MAX-8-M8-FW3_HardwareIntegrationManual_%28UBX-15030059%29.pdf) -GPS ublox MAX 6/7/8 -0 -18 -18 -RF_GPS -ublox_NEO -ublox NEO 6/7/8, (https://www.u-blox.com/sites/default/files/NEO-8Q-NEO-M8-FW3_HardwareIntegrationManual_%28UBX-15029985%29_0.pdf) -GPS ublox NEO 6/7/8 -0 -24 -24 -RF_GPS -ublox_SAM-M8Q -GPS Module, 15.5x15.5x6.3mm, https://www.u-blox.com/sites/default/files/SAM-M8Q_HardwareIntegrationManual_%28UBX-16018358%29.pdf -ublox SAM-M8Q -0 -100 -20 -RF_GPS -ublox_SAM-M8Q_HandSolder -GPS Module, 15.5x15.5x6.3mm, https://www.u-blox.com/sites/default/files/SAM-M8Q_HardwareIntegrationManual_%28UBX-16018358%29.pdf -ublox SAM-M8Q -0 -20 -20 -RF_GPS -ublox_ZED -ublox ZED-F9, https://www.u-blox.com/sites/default/files/ZED-F9P_DataSheet_%28UBX-17051259%29.pdf -GPS GNSS ublox ZED -0 -102 -55 -RF_GSM -Quectel_BC66 -GSM NB-IoT module, 15.8x17.7x2mm, https://www.quectel.com/UploadImage/Downlad/Quectel_BC66_Hardware_Design_V1.1.pdf -GSM NB-IoT Module BC66 M66 -0 -116 -58 -RF_GSM -Quectel_BC95 -GSM NB-IoT module, 19.9x23.6x2.2mm, https://www.quectel.com/UploadImage/Downlad/Quectel_BC95_Hardware_Design_V1.3.pdf -GSM NB-IoT module BC95 -0 -188 -94 -RF_GSM -Quectel_BG96 -Quectel BG96 Cellular GSM 2G Module https://www.quectel.com/download/quectel_bg96_hardware_design_v1-4 -Quectel BG96 Cellular GSM 2G Module -0 -204 -102 -RF_GSM -Quectel_M95 -Quad-Band GSM/GPRS module, 19.9x23.6x2.65mm, https://www.quectel.com/UploadImage/Downlad/M95_Hardware_Design_V1.3.pdf -GSM Module M95 -0 -84 -42 -RF_GSM -SIMCom_SIM800C -Quad-Band GSM/GPRS module, 17.6x15.7x2.3mm, http://simcom.ee/documents/SIM800C/SIM800C_Hardware_Design_V1.05.pdf -GSM Module SIM800C -0 -84 -42 -RF_GSM -SIMCom_SIM900 -Quad-Band GSM/GPRS module, 24x24x3mm, http://simcom.ee/documents/SIM900/SIM900_Hardware%20Design_V2.05.pdf -GSM Module SIM900 -0 -68 -68 -RF_GSM -Telit_xL865 -Telit xL865 familly footprint, http://www.telit.com/fileadmin/user_upload/products/Downloads/3G/Telit_UL865_Hardware_User_Guide_r8.pdf -xL865 gsm umts -0 -52 -48 -RF_GSM -ublox_SARA-G3_LGA-96 -ublox Sara GSM/HSPA modem, https://www.u-blox.com/sites/default/files/SARA-G3-U2_SysIntegrManual_%28UBX-13000995%29.pdf, pag.162 -ublox SARA-G3 SARA-U2 GSM HSPA -0 -192 -96 -RF_Mini-Circuits -Mini-Circuits_BK377 -Footprint for Mini-Circuits case BK377 (https://ww2.minicircuits.com/case_style/BK276.pdf) -Mini-circuits BK377 -0 -14 -14 -RF_Mini-Circuits -Mini-Circuits_BK377_LandPatternPL-005 -Footprint for Mini-Circuits case BK377 (https://ww2.minicircuits.com/case_style/BK276.pdf) according to land-pattern PL-005, including GND vias (https://ww2.minicircuits.com/pcb/98-pl005.pdf) -Mini-circuits VCXO JTOS PL-005 -0 -50 -14 -RF_Mini-Circuits -Mini-Circuits_CD541_H2.08mm -https://ww2.minicircuits.com/case_style/CD541.pdf -RF Transformer -0 -6 -6 -RF_Mini-Circuits -Mini-Circuits_CD542_H2.84mm -https://ww2.minicircuits.com/case_style/CD542.pdf -RF Transformer -0 -6 -6 -RF_Mini-Circuits -Mini-Circuits_CD542_LandPatternPL-052 -Footprint for Mini-Circuits case CD542 (https://ww2.minicircuits.com/case_style/CD542.pdf) using land-pattern PL-052, including GND-vias (https://ww2.minicircuits.com/pcb/98-pl052.pdf) -MiniCircuits PL-052 CD542 -0 -17 -6 -RF_Mini-Circuits -Mini-Circuits_CD542_LandPatternPL-094 -Footprint for mini circuit case CD542, Land pattern PL-094, pads 5 and 2 connected via insulated copper area below body, vias included (case drawing: https://ww2.minicircuits.com/case_style/CD542.pdf, land pattern drawing: https://ww2.minicircuits.com/pcb/98-pl094.pdf) -mini-circuits CD542 pl-094 -0 -18 -6 -RF_Mini-Circuits -Mini-Circuits_CD636_H4.11mm -https://ww2.minicircuits.com/case_style/CD636.pdf -RF Transformer -0 -6 -6 -RF_Mini-Circuits -Mini-Circuits_CD636_LandPatternPL-035 -Footprint for Mini-Circuits case CD636 (https://ww2.minicircuits.com/case_style/CD636.pdf) following land pattern PL-035, including GND-vias (https://ww2.minicircuits.com/pcb/98-pl035.pdf) -mini-circuits pl-035 CD636 -0 -13 -6 -RF_Mini-Circuits -Mini-Circuits_CD637_H5.23mm -https://ww2.minicircuits.com/case_style/CD637.pdf -RF Transformer -0 -6 -6 -RF_Mini-Circuits -Mini-Circuits_CK605 -Footprint for Mini-Circuits case CK605 (https://ww2.minicircuits.com/case_style/CK605.pdf) -Mini-Circuits CK605 -0 -16 -16 -RF_Mini-Circuits -Mini-Circuits_CK605_LandPatternPL-012 -Footprint for Mini-Circuits case CK605 (https://ww2.minicircuits.com/case_style/CK605.pdf) following land pattern PL-012, including GND vias (https://ww2.minicircuits.com/pcb/98-pl012.pdf) -Mini-Circuits PL-012 -0 -53 -16 -RF_Mini-Circuits -Mini-Circuits_DB1627 -Mini-Circuits top-hat case DB1627 (https://ww2.minicircuits.com/case_style/DB1627.pdf) -Mini-Circuits DB1627 -0 -6 -6 -RF_Mini-Circuits -Mini-Circuits_GP731 -Footprint for Mini-Circuits case GP731 (https://ww2.minicircuits.com/case_style/GP731.pdf) -Mini-Circuits GP731 -0 -8 -8 -RF_Mini-Circuits -Mini-Circuits_GP731_LandPatternPL-176 -Footprint for Mini-Circuits case GP731 (https://ww2.minicircuits.com/case_style/GP731.pdf) following land pattern PL-176, including GND vias (https://www.minicircuits.com/pcb/98-pl176.pdf) -mini-circuits PL-176 -0 -21 -8 -RF_Mini-Circuits -Mini-Circuits_GP1212 -Footprint for Mini-Circuits case GP1212 (https://ww2.minicircuits.com/case_style/GP731.pdf) -mini-circuits GP1212 -0 -8 -8 -RF_Mini-Circuits -Mini-Circuits_GP1212_LandPatternPL-176 -Footprint for Mini-Circuits case GP1212 (https://ww2.minicircuits.com/case_style/GP731.pdf) following land pattern PL-176, including GND vias (https://www.minicircuits.com/pcb/98-pl176.pdf) -mini-circuits PL-176 -0 -21 -8 -RF_Mini-Circuits -Mini-Circuits_HF1139 -Footprint for Mini-Circuits case HF1139 (https://ww2.minicircuits.com/case_style/HF1139.pdf) -Mini-Circuits HF1139 -0 -8 -8 -RF_Mini-Circuits -Mini-Circuits_HF1139_LandPatternPL-230 -Footprint for Mini-Circuits case HF1139 (https://ww2.minicircuits.com/case_style/HF1139.pdf) following land pattern PL-230, including GND vias (https://ww2.minicircuits.com/pcb/98-pl230.pdf) -Mini-Circuits PL-230 -0 -37 -8 -RF_Mini-Circuits -Mini-Circuits_HQ1157 -Footprint for Mini-Circuits case HQ1157 (https://www.minicircuits.com/case_style/HQ1157.pdf) -Mini-Circuits HQ1157 -0 -14 -14 -RF_Mini-Circuits -Mini-Circuits_HZ1198 -Footprint for Mini-Circuits case HZ1198 (https://ww2.minicircuits.com/case_style/HZ1198.pdf) -Mini-Circuits HZ1198 -0 -6 -6 -RF_Mini-Circuits -Mini-Circuits_HZ1198_LandPatternPL-247 -Footprint for Mini-Circuits cas HZ1198 (https://ww2.minicircuits.com/case_style/HZ1198.pdf) following land pattern PL-247, including GND-vias (https://www.minicircuits.com/pcb/98-pl247.pdf) -Mini-Circuits PL-247 HZ1198 -0 -40 -6 -RF_Mini-Circuits -Mini-Circuits_MMM168 -Footprint for Mini-Circuits case MMM168 (https://ww2.minicircuits.com/case_style/MMM168.pdf) -Mini-Circuits MMM168 -0 -4 -4 -RF_Mini-Circuits -Mini-Circuits_MMM168_LandPatternPL-225 -Footprint for Mini-Circuits case MMM168, Land pattern PL-225, vias included, (case drawing: https://ww2.minicircuits.com/case_style/MMM168.pdf, land pattern drawing: https://ww2.minicircuits.com/pcb/98-pl225.pdf) -pl-225 -0 -10 -4 -RF_Mini-Circuits -Mini-Circuits_QQQ130_ClockwisePinNumbering -Footprint for Mini-Circuits case QQQ130 (https://ww2.minicircuits.com/case_style/QQQ130.pdf) -Mini-Circuits QQQ130 -0 -6 -6 -RF_Mini-Circuits -Mini-Circuits_QQQ130_LandPattern_PL-236_ClockwisePinNumbering -Footprint for Mini-Circuits case QQQ130 (https://ww2.minicircuits.com/case_style/QQQ130.pdf) following land pattern PL-236, including GND vias (https://ww2.minicircuits.com/pcb/98-pl236.pdf) -Mini-Circuits PL-236 -0 -14 -6 -RF_Mini-Circuits -Mini-Circuits_TT1224_ClockwisePinNumbering -Footprint for Mini-Circuits case TT1224 (https://ww2.minicircuits.com/case_style/TT1224.pdf) following land-pattern PL-258, including GND-vias (https://www.minicircuits.com/pcb/98-pl258.pdf) -Mini-Circuits TT1224 -0 -6 -6 -RF_Mini-Circuits -Mini-Circuits_TT1224_LandPatternPL-258_ClockwisePinNumbering -Footprint for Mini-Circuits case TT1224 (https://ww2.minicircuits.com/case_style/TT1224.pdf) following land-pattern PL-258, including GND-vias (https://www.minicircuits.com/pcb/98-pl258.pdf) -PL-258 Mini-Circuits -0 -26 -6 -RF_Mini-Circuits -Mini-Circuits_TTT167 -Footprint for Mini-Circuits case TTT167 (https://ww2.minicircuits.com/case_style/TTT167.pdf) -Mini-Circuits TTT167 -0 -6 -6 -RF_Mini-Circuits -Mini-Circuits_TTT167_LandPatternPL-079 -Footprint for Mini-Circuits case TTT167 (Mini-Circuits_TTT167_LandPatternPL-079) following land pattern PL-079, including GND vias (https://ww2.minicircuits.com/pcb/98-pl079.pdf) -Mini-Circuits PL-079 -0 -36 -6 -RF_Mini-Circuits -Mini-Circuits_YY161 -Footprint for Mini-Circuits case YY161 (https://ww2.minicircuits.com/case_style/YY161.pdf) -Mini-Circuits YY161 -0 -8 -8 -RF_Mini-Circuits -Mini-Circuits_YY161_LandPatternPL-049 -Footprint for Mini-Circuits case YY161 (https://ww2.minicircuits.com/case_style/YY161.pdf) using land-pattern PL-049, including GND-connections and vias (https://ww2.minicircuits.com/pcb/98-pl049.pdf) -mini-circuits pl-049 -0 -36 -8 -RF_Module -Ai-Thinker-Ra-01-LoRa -Ai Thinker Ra-01 LoRa -LoRa Ra-01 -0 -16 -16 -RF_Module -Astrocast_AST50147-00 -L-band satellite communication module, https://docs.astrocast.com/dl/0532-DOC-M2M-ASTRO-Astronode_S-Datasheet.pdf -RF module Astrocast radio Astronode -0 -38 -38 -RF_Module -Atmel_ATSAMR21G18-MR210UA_NoRFPads -http://ww1.microchip.com/downloads/en/devicedoc/atmel-42475-atsamr21g18-mr210ua_datasheet.pdf -module wireless zigbee 802.15.4 flash crypto ATSAMR21G18 AT45DB041E TECC508A U.Fi -0 -42 -42 -RF_Module -BLE112-A -Class 4 Bluetooth Module with on-board antenna -Bluetooth Module -0 -30 -30 -RF_Module -BM78SPPS5xC2 -Bluetooth Dual-mode module with integral chip antenna (http://ww1.microchip.com/downloads/en/DeviceDoc/60001380C.pdf) -Bluetooth BR/EDR BLE -0 -33 -33 -RF_Module -CMWX1ZZABZ -https://wireless.murata.com/RFM/data/type_abz.pdf -iot lora sigfox -0 -57 -57 -RF_Module -CYBLE-21Pin-10x10mm -Cypress EZ-BLE PRoC Module (Bluetooth Smart) 21 Pin Module -Cypress BT Bluetooth -0 -21 -21 -RF_Module -DWM1000 -IEEE802.15.4-2011 UWB -UWB Module -0 -24 -24 -RF_Module -DecaWave_DWM1001 -https://www.decawave.com/sites/default/files/dwm1001_datasheet.pdf -UWB module -0 -34 -34 -RF_Module -Digi_XBee_SMT -http://www.digi.com/resources/documentation/digidocs/pdfs/90002126.pdf http://ftp1.digi.com/support/documentation/90001020_F.pdf -Digi XBee SMT RF -0 -37 -37 -RF_Module -E18-MS1-PCB -http://www.cdebyte.com/en/downpdf.aspx?id=122 -Zigbee -0 -24 -24 -RF_Module -E73-2G4M04S -http://www.cdebyte.com/en/downpdf.aspx?id=243 -BLE BLE5 nRF52832 -0 -44 -44 -RF_Module -ESP-07 -Wi-Fi Module, http://wiki.ai-thinker.com/_media/esp8266/docs/a007ps01a2_esp-07_product_specification_v1.2.pdf -Wi-Fi Module -0 -16 -16 -RF_Module -ESP-12E -Wi-Fi Module, http://wiki.ai-thinker.com/_media/esp8266/docs/aithinker_esp_12f_datasheet_en.pdf -Wi-Fi Module -0 -22 -22 -RF_Module -ESP-WROOM-02 -https://www.espressif.com/sites/default/files/documentation/0c-esp-wroom-02_datasheet_en.pdf -ESP WROOM-02 espressif esp8266ex -0 -19 -19 -RF_Module -ESP32-S2-MINI-1 -2.4 GHz Wi-Fi and Bluetooth combo chip, external antenna, https://www.espressif.com/sites/default/files/documentation/esp32-s3-mini-1_mini-1u_datasheet_en.pdf -2.4 GHz Wi-Fi Bluetooth external antenna espressif 20*15.4mm -0 -73 -65 -RF_Module -ESP32-S2-MINI-1U -2.4 GHz Wi-Fi and Bluetooth combo chip, external antenna, https://www.espressif.com/sites/default/files/documentation/esp32-s3-mini-1_mini-1u_datasheet_en.pdf -2.4 GHz Wi-Fi Bluetooth external antenna espressif 15.4*15.4mm -0 -73 -65 -RF_Module -ESP32-S2-WROVER -ESP32-S2-WROVER(-I) 2.4 GHz Wi-Fi https://www.espressif.com/sites/default/files/documentation/esp32-s2-wroom_esp32-s2-wroom-i_datasheet_en.pdf -ESP32-S2 ESP32 WIFI -0 -43 -43 -RF_Module -ESP32-S3-WROOM-1 -2.4 GHz Wi-Fi and Bluetooth module https://www.espressif.com/sites/default/files/documentation/esp32-s3-wroom-1_wroom-1u_datasheet_en.pdf -2.4 GHz Wi-Fi and Bluetooth module -0 -62 -41 -RF_Module -ESP32-S3-WROOM-1U -2.4 GHz Wi-Fi and Bluetooth module https://www.espressif.com/sites/default/files/documentation/esp32-s3-wroom-1_wroom-1u_datasheet_en.pdf -2.4 GHz Wi-Fi and Bluetooth module -0 -62 -41 -RF_Module -ESP32-WROOM-32 -Single 2.4 GHz Wi-Fi and Bluetooth combo chip https://www.espressif.com/sites/default/files/documentation/esp32-wroom-32_datasheet_en.pdf -Single 2.4 GHz Wi-Fi and Bluetooth combo chip -0 -60 -39 -RF_Module -ESP32-WROOM-32D -2.4 GHz Wi-Fi and Bluetooth module, https://www.espressif.com/sites/default/files/documentation/esp32-wroom-32d_esp32-wroom-32u_datasheet_en.pdf -2.4 GHz Wi-Fi and Bluetooth module ESP32-D0WD Espressif ESP32-WROOM-32E -0 -60 -39 -RF_Module -ESP32-WROOM-32U -Single 2.4 GHz Wi-Fi and Bluetooth combo chip with U.FL connector, https://www.espressif.com/sites/default/files/documentation/esp32-wroom-32d_esp32-wroom-32u_datasheet_en.pdf -Single 2.4 GHz Wi-Fi and Bluetooth combo chip -0 -60 -39 -RF_Module -ESP32-WROOM-32UE -2.4 GHz Wi-Fi and Bluetooth module, https://www.espressif.com/sites/default/files/documentation/esp32-wroom-32e_esp32-wroom-32ue_datasheet_en.pdf -2.4 GHz Wi-Fi and Bluetooth module -0 -60 -39 -RF_Module -Garmin_M8-35_9.8x14.0mm_Layout6x6_P1.5mm -D52M ANT SoC Module https://www.thisisant.com/assets/resources/D00001687_D52_Module_Datasheet.v.2.3_(Garmin).pdf -RF SoC Radio ANT Bluetooth BLE D52 nRF52 Garmin Canada Dynastream Nordic -0 -35 -35 -RF_Module -HOPERF_RFM9XW_SMD -Low Power Long Range Transceiver Module SMD-16 (https://www.hoperf.com/data/upload/portal/20181127/5bfcbea20e9ef.pdf) -LoRa Low Power Long Range Transceiver Module -0 -16 -16 -RF_Module -HOPERF_RFM9XW_THT -Low Power Long Range Transceiver Module THT-16 (https://www.hoperf.com/data/upload/portal/20181127/5bfcbea20e9ef.pdf) -Low Power Long Range Transceiver Module LoRa -0 -16 -16 -RF_Module -HOPERF_RFM69HW -Radio, RF, Module, http://www.hoperf.com/upload/rf/RFM69HW-V1.3.pdf -Radio RF Module -0 -16 -16 -RF_Module -Heltec_HT-CT62 -HT-CT62 LoRa Module -LoRa WiFi Heltec HT-CT62 ESP32C3 -0 -22 -22 -RF_Module -IQRF_TRx2DA_KON-SIM-01 -8 pin SIM connector for IQRF TR-x2DA(T) modules, http://iqrf.org/weben/downloads.php?id=104 -IQRF_KON-SIM-01 IQRF_TRx2DA -0 -10 -8 -RF_Module -IQRF_TRx2D_KON-SIM-01 -8 pin SIM connector for IQRF TR-x2D(C)(T) modules, http://iqrf.org/weben/downloads.php?id=104 -IQRF_KON-SIM-01 IQRF_TRx2D IQRF_TRx2DC -0 -10 -8 -RF_Module -Laird_BL652 -Bluetooth v4.2 + NFC module -Bluetooth BLE NFC -0 -39 -39 -RF_Module -MOD-nRF8001 -BLE module, https://www.olimex.com/Products/Modules/RF/MOD-nRF8001/ -BLE module -0 -11 -11 -RF_Module -Microchip_BM83 -Microchip BM83, Bluetooth 5.0 Stereo Audio Module with on-Board antenna, https://ww1.microchip.com/downloads/aemDocuments/documents/WSG/ProductDocuments/DataSheets/70005402E.pdf -bluetooth module -0 -52 -52 -RF_Module -Microchip_RN4871 -Microchip RN4871 footprint -RN4871 BLE -0 -16 -16 -RF_Module -Modtronix_inAir9 -Modtronix Wireless SX1276 LoRa Module (http://modtronix.com/img/prod/imod/inair9/inair_dimensions.gif) -Modtronix LoRa inAir inAir9 SX1276 RF 915MHz 868MHz Wireless -0 -14 -14 -RF_Module -MonoWireless_TWE-L-WX -https://www.mono-wireless.com/jp/products/TWE-LITE/MW-PDS-TWELITE-JP.pdf -TWE-L-WX -0 -32 -32 -RF_Module -NINA-B111 -NINA-B111 LGA module 42 Pin https://content.u-blox.com/sites/default/files/NINA-B1_DataSheet_UBX-15019243.pdf#page=30 -NINA ublox u-blox b111 bluetooth nrf52840 module -0 -42 -42 -RF_Module -Particle_P1 -https://docs.particle.io/datasheets/p1-datasheet/ -Particle P1 -0 -75 -75 -RF_Module -RAK811 -RAK811 LPWAN Module https://downloads.rakwireless.com/LoRa/RAK811/Hardware_Specification/RAK811_LoRa_Module_Datasheet_V1.4.pdf -LoRa LoRaWAN RAK811 -0 -34 -34 -RF_Module -RAK4200 -RAK4200 LPWAN Module https://downloads.rakwireless.com/LoRa/RAK4200/Hardware-Specification/RAK4200_Module_Specifications_V1.4.pdf -LoRa LoRaWAN RAK4200 -0 -20 -20 -RF_Module -RFDigital_RFD77101 -RFDigital RFD77101 Simblee -RFDigital RFD77101 Simblee -0 -45 -45 -RF_Module -RN42 -Class 2 Bluetooth Module with on-board antenna -Bluetooth Module -0 -36 -33 -RF_Module -RN42N -Class 2 Bluetooth Module without antenna -Bluetooth Module -0 -39 -36 -RF_Module -RN2483 -Low-Power Long Range LoRa Transceiver Module -rf module lora lorawan -0 -47 -47 -RF_Module -Raytac_MDBT50Q -Multiprotocol radio SoC module https://www.raytac.com/download/index.php?index_id=43 -wireless 2.4 GHz Bluetooth ble zigbee 802.15.4 thread nordic raytac nrf52840 nrf52833 -0 -61 -61 -RF_Module -ST-SiP-LGA-86-11x7.3mm -STM32WB5MMG Module, LGA86L 11x7.3 -bluetooth bluetooth5 bluetooth5.0 802.15.4 zigbee thread module stm32 rf -0 -86 -86 -RF_Module -ST_SPBTLE -Bluetooth Low Energy Module -ble module st bluetooth -0 -11 -11 -RF_Module -TD1205 -https://github.com/Telecom-Design/Documentation_TD_RF_Module/blob/master/TD1205%20Datasheet.pdf -SIGFOX Module -0 -9 -9 -RF_Module -TD1208 -https://github.com/Telecom-Design/Documentation_TD_RF_Module/blob/master/TD1208%20Datasheet.pdf -SIGFOX Module -0 -25 -25 -RF_Module -Taiyo-Yuden_EYSGJNZWY -Taiyo Yuden NRF51822 Module Bluetooth https://www.yuden.co.jp/wireless_module/document/datareport2/en/TY_BLE_EYSGJNZ_DataReport_V1_9_20180530E.pdf -Taiyo Yuden NRF51822 Module Bluetooth -0 -30 -28 -RF_Module -ZETA-433-SO_SMD -RF transceiver SMD style https://www.rfsolutions.co.uk/downloads/1456219226DS-ZETA.pdf -RF transceiver SMD style -0 -12 -12 -RF_Module -ZETA-433-SO_THT -RF transceiver THT style https://www.rfsolutions.co.uk/downloads/1456219226DS-ZETA.pdf -RF transceiver SMD style -0 -12 -12 -RF_Module -nRF24L01_Breakout -nRF24L01 breakout board -nRF24L01 adapter breakout -0 -8 -8 -RF_Shielding -Laird_Technologies_97-2002_25.40x25.40mm -Laird Technologies 97-2002 EZ PEEL Shielding Cabinet One Piece SMD 25.40x25.40mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -8 -1 -RF_Shielding -Laird_Technologies_97-2003_12.70x13.37mm -Laird Technologies 97-2003 EZ PEEL Shielding Cabinet One Piece SMD 12.70x13.37mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -8 -1 -RF_Shielding -Laird_Technologies_BMI-S-101_13.66x12.70mm -Laird Technologies BMI-S-101 Shielding Cabinet One Piece SMD 13.66x12.70mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -16 -1 -RF_Shielding -Laird_Technologies_BMI-S-102_16.50x16.50mm -Laird Technologies BMI-S-102 Shielding Cabinet One Piece SMD 16.50x16.50mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -16 -1 -RF_Shielding -Laird_Technologies_BMI-S-103_26.21x26.21mm -Laird Technologies BMI-S-103 Shielding Cabinet One Piece SMD 26.21x26.21mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -24 -1 -RF_Shielding -Laird_Technologies_BMI-S-104_32.00x32.00mm -Laird Technologies BMI-S-104 Shielding Cabinet One Piece SMD 32.00x32.00mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -28 -1 -RF_Shielding -Laird_Technologies_BMI-S-105_38.10x25.40mm -Laird Technologies BMI-S-105 Shielding Cabinet One Piece SMD 38.10x25.40mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -28 -1 -RF_Shielding -Laird_Technologies_BMI-S-106_36.83x33.68mm -Laird Technologies BMI-S-106 Shielding Cabinet One Piece SMD 36.83x33.68mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -28 -1 -RF_Shielding -Laird_Technologies_BMI-S-107_44.37x44.37mm -Laird Technologies BMI-S-107 Shielding Cabinet One Piece SMD 44.37x44.37mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -36 -1 -RF_Shielding -Laird_Technologies_BMI-S-201-F_13.66x12.70mm -Laird Technologies BMI-S-201-F Shielding Cabinet Two Piece SMD 13.66x12.70mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -16 -1 -RF_Shielding -Laird_Technologies_BMI-S-202-F_16.50x16.50mm -Laird Technologies BMI-S-202-F Shielding Cabinet Two Piece SMD 16.50x16.50mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -16 -1 -RF_Shielding -Laird_Technologies_BMI-S-203-F_26.21x26.21mm -Laird Technologies BMI-S-203-F Shielding Cabinet Two Piece SMD 26.21x26.21mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -24 -1 -RF_Shielding -Laird_Technologies_BMI-S-204-F_32.00x32.00mm -Laird Technologies BMI-S-204-F Shielding Cabinet Two Piece SMD 32.00x32.00mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -28 -1 -RF_Shielding -Laird_Technologies_BMI-S-205-F_38.10x25.40mm -Laird Technologies BMI-S-205-F Shielding Cabinet Two Piece SMD 38.10x25.40mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -28 -1 -RF_Shielding -Laird_Technologies_BMI-S-206-F_36.83x33.68mm -Laird Technologies BMI-S-206-F Shielding Cabinet Two Piece SMD 36.83x33.68mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -28 -1 -RF_Shielding -Laird_Technologies_BMI-S-207-F_44.37x44.37mm -Laird Technologies BMI-S-207-F Shielding Cabinet Two Piece SMD 44.37x44.37mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -36 -1 -RF_Shielding -Laird_Technologies_BMI-S-208-F_39.60x39.60mm -Laird Technologies BMI-S-208-F Shielding Cabinet Two Piece SMD 39.60x39.60mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -32 -1 -RF_Shielding -Laird_Technologies_BMI-S-209-F_29.36x18.50mm -Laird Technologies BMI-S-209-F Shielding Cabinet Two Piece SMD 29.36x18.50mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -20 -1 -RF_Shielding -Laird_Technologies_BMI-S-210-F_44.00x30.50mm -Laird Technologies BMI-S-210-F Shielding Cabinet Two Piece SMD 44.00x30.50mm (https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf) -Shielding Cabinet -0 -32 -1 -RF_Shielding -Laird_Technologies_BMI-S-230-F_50.8x38.1mm -Laird Technologies BMI-S-230-F Shielding Cabinet Two Piece SMD 50.8x38.1mm -Shielding Cabinet -0 -36 -1 -RF_Shielding -Wuerth_36103205_20x20mm -WE-SHC Shielding Cabinet SMD 20x20mm -Shielding Cabinet -0 -20 -1 -RF_Shielding -Wuerth_36103255_25x25mm -WE-SHC Shielding Cabinet SMD 25x25mm -Shielding Cabinet -0 -24 -1 -RF_Shielding -Wuerth_36103305_30x30mm -WE-SHC Shielding Cabinet SMD 30x30mm -Shielding Cabinet -0 -28 -1 -RF_Shielding -Wuerth_36103505_50x50mm -WE-SHC Shielding Cabinet SMD 50x50mm -Shielding Cabinet -0 -44 -1 -RF_Shielding -Wuerth_36103605_60x60mm -WE-SHC Shielding Cabinet SMD 60x60mm -Shielding Cabinet -0 -52 -1 -RF_Shielding -Wuerth_36503205_20x20mm -WE-SHC Shielding Cabinet THT 21x21mm -Shielding Cabinet -0 -16 -1 -RF_Shielding -Wuerth_36503255_25x25mm -WE-SHC Shielding Cabinet THT 26x26mm -Shielding Cabinet -0 -20 -1 -RF_Shielding -Wuerth_36503305_30x30mm -WE-SHC Shielding Cabinet THT 31x31mm -Shielding Cabinet -0 -24 -1 -RF_Shielding -Wuerth_36503505_50x50mm -WE-SHC Shielding Cabinet THT 51x51mm -Shielding Cabinet -0 -40 -1 -RF_Shielding -Wuerth_36503605_60x60mm -WE-SHC Shielding Cabinet THT 61x61mm -Shielding Cabinet -0 -48 -1 -RF_WiFi -USR-C322 -https://www.usriot.com/download/WIFI/USR-C322-Hardware-Manual_V1.2.01.pdf -WiFi IEEE802.11 b/g/n -0 -44 -44 -Relay_SMD -Relay_2P2T_10x6mm_TE_IMxxG -Signal Relay, 10x6mm, 2 Form C, Gull Wings, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Data+Sheet%7F108-98001%7FZ.1%7Fpdf%7FEnglish%7FENG_DS_108-98001_Z.1.pdf -TE IM-Series Relay DPDT Form C -0 -8 -8 -Relay_SMD -Relay_DPDT_AXICOM_IMSeries_JLeg -http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Specification+Or+Standard%7F108-98001%7FW5%7Fpdf%7FEnglish%7FENG_SS_108-98001_W5.pdf -AXICOM IM-Series Relay J JLeg -0 -8 -8 -Relay_SMD -Relay_DPDT_FRT5_SMD -IM Signal Relay DPDT FRT5 narrow footprint, SMD version of package -Relay DPDT IM-relay FRT5 -0 -10 -10 -Relay_SMD -Relay_DPDT_Kemet_EE2_NU -Kemet signal relay, DPDT, double coil latching, https://content.kemet.com/datasheets/KEM_R7002_EC2_EE2.pdf -Kemet EC2 signal relay DPDT double coil latching surface mount SMD -0 -8 -8 -Relay_SMD -Relay_DPDT_Kemet_EE2_NUH -Kemet signal relay, DPDT, double coil latching, https://content.kemet.com/datasheets/KEM_R7002_EC2_EE2.pdf -Kemet EC2 signal relay DPDT double coil latching surface mount SMD -0 -8 -8 -Relay_SMD -Relay_DPDT_Kemet_EE2_NUH_DoubleCoil -Kemet signal relay, DPDT, double coil latching, https://content.kemet.com/datasheets/KEM_R7002_EC2_EE2.pdf -Kemet EC2 signal relay DPDT double dual coil latching surface mount SMD -0 -10 -10 -Relay_SMD -Relay_DPDT_Kemet_EE2_NUX_DoubleCoil -Kemet signal relay, DPDT, double coil latching, https://content.kemet.com/datasheets/KEM_R7002_EC2_EE2.pdf -Kemet EC2 signal relay DPDT double dual coil latching surface mount SMD -0 -10 -10 -Relay_SMD -Relay_DPDT_Kemet_EE2_NUX_NKX -Kemet signal relay, DPDT, double coil latching, https://content.kemet.com/datasheets/KEM_R7002_EC2_EE2.pdf -Kemet EC2 signal relay DPDT double coil latching surface mount SMD -0 -8 -8 -Relay_SMD -Relay_DPDT_Kemet_EE2_NU_DoubleCoil -Kemet signal relay, DPDT, double coil latching, https://content.kemet.com/datasheets/KEM_R7002_EC2_EE2.pdf -Kemet EC2 signal relay DPDT double dual coil latching surface mount SMD -0 -10 -10 -Relay_SMD -Relay_DPDT_Omron_G6H-2F -package for Omron G6H-2F relais, see http://cdn-reichelt.de/documents/datenblatt/C300/G6H%23OMR.pdf -Omron G6H-2F relais -0 -10 -10 -Relay_SMD -Relay_DPDT_Omron_G6K-2F -Omron G6K-2F relay package http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf -Omron G6K-2F relay -0 -8 -8 -Relay_SMD -Relay_DPDT_Omron_G6K-2F-Y -Omron G6K-2F-Y relay package http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf -Omron G6K-2F-Y relay -0 -8 -8 -Relay_SMD -Relay_DPDT_Omron_G6K-2G -Omron G6K-2G relay package http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf -Omron G6K-2G relay -0 -8 -8 -Relay_SMD -Relay_DPDT_Omron_G6K-2G-Y -Omron G6K-2G-Y relay package http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf -Omron G6K-2G-Y relay -0 -8 -8 -Relay_SMD -Relay_DPDT_Omron_G6S-2F -Relay Omron G6S-2F, see http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf -Relay Omron G6S-2F -0 -8 -8 -Relay_SMD -Relay_DPDT_Omron_G6S-2G -Relay Omron G6S-2G, see http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf -Relay Omron G6S-2G -0 -8 -8 -Relay_SMD -Relay_DPDT_Omron_G6SK-2F -Relay Omron G6SK-2F, see http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf -Relay Omron G6SK-2F -0 -10 -10 -Relay_SMD -Relay_DPDT_Omron_G6SK-2G -Relay Omron G6SK-2G, see http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf -Relay Omron G6SK-2G -0 -10 -10 -Relay_SMD -Relay_Fujitsu_FTR-B3S -https://www.fujitsu.com/downloads/MICRO/fcai/relays/ftr-b3.pdf -Fujitsh FTR B3S B3SA Relay J JLeg -0 -8 -8 -Relay_SMD -Relay_SPDT_AXICOM_HF3Series_50ohms_Pitch1.27mm -hiqsdr.com/images/3/3e/Axicom-HF3.pdf -AXICOM HF3-Series Relay Pitch 1.27mm 50ohms -0 -16 -16 -Relay_SMD -Relay_SPDT_AXICOM_HF3Series_75ohms_Pitch1.27mm -hiqsdr.com/images/3/3e/Axicom-HF3.pdf -AXICOM HF3-Series Relay Pitch 1.27mm 75ohm -0 -16 -16 -Relay_THT -Relay_1-Form-A_Schrack-RYII_RM5mm -Relay, 1-Form-A, Schrack-RYII, RM5mm, SPST-NO -Relay 1-Form-A Schrack-RYII RM5mm SPST-NO -0 -4 -4 -Relay_THT -Relay_1-Form-B_Schrack-RYII_RM5mm -Relay, 1-Form-B, Schrack-RYII, RM5mm, SPST-NC -Relay 1-Form-B Schrack-RYII RM5mm SPST-NC -0 -4 -4 -Relay_THT -Relay_1-Form-C_Schrack-RYII_RM3.2mm -Relay, 1-Form-C, Schrack-RYII, RM3.2mm, SPDT -Relay 1-Form-C Schrack-RYII RM3.2mm SPDT -0 -5 -5 -Relay_THT -Relay_1P1T_NO_10x24x18.8mm_Panasonic_ADW11xxxxW_THT -Panasonic Relay SPST 10mm 24mm, https://www.panasonic-electric-works.com/pew/es/downloads/ds_dw_hl_en.pdf -Panasonic Relay SPST -0 -4 -4 -Relay_THT -Relay_3PST_COTO_3650 -Low thermal EMF 3PST-NO reed relay, 150V 0.25A, similar to 3660 but with independent shield, https://cotorelay.com/wp-content/uploads/2014/09/3600_series_reed_relay_datasheet.pdf -reed relay low thermal emf -0 -9 -9 -Relay_THT -Relay_3PST_COTO_3660 -Low thermal EMF 3PST-NO reed relay, 150V 0.25A, similar to 3650 but with shared shield/contact, https://cotorelay.com/wp-content/uploads/2014/09/3600_series_reed_relay_datasheet.pdf -reed relay low thermal emf -0 -8 -8 -Relay_THT -Relay_DPDT_AXICOM_IMSeries_Pitch3.2mm -AXICOM IM-Series Relays, DPDR, Pitch 3.2mm, http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Specification+Or+Standard%7F108-98001%7FV%7Fpdf%7FEnglish%7FENG_SS_108-98001_V_IM_0614_v1.pdf%7F4-1462039-1 -AXICOM IM-Series Relay DPDR Pitch 3.2mm -0 -8 -8 -Relay_THT -Relay_DPDT_AXICOM_IMSeries_Pitch5.08mm -AXICOM IM-Series Relays, DPDR, Pitch 5.08 -AXICOM IM-Series Relay DPDR Pitch 5.08 -0 -8 -8 -Relay_THT -Relay_DPDT_FRT5 -IM Signal Relay DPDT FRT5 narrow footprint -Relay DPDT IM-relay FRT5 -0 -10 -10 -Relay_THT -Relay_DPDT_Finder_30.22 -Finder 32.21-x000 Relay, DPDT, https://gfinder.findernet.com/public/attachments/30/EN/S30EN.pdf -AXICOM IM-Series Relay SPDT -0 -8 -8 -Relay_THT -Relay_DPDT_Finder_40.52 -Relay DPDT Finder 40.52, Pitch 5mm/7.5mm, https://www.finder-relais.net/de/finder-relais-serie-40.pdf -Relay DPDT Finder 40.52 Pitch 5mm -0 -8 -8 -Relay_THT -Relay_DPDT_Fujitsu_FTR-F1C -https://www.fujitsu.com/downloads/MICRO/fcai/relays/ftr-f1.pdf -relay dpdt fujitsu tht -0 -8 -8 -Relay_THT -Relay_DPDT_Kemet_EC2 -Kemet signal relay, DPDT, non-latching, single coil latching, https://content.kemet.com/datasheets/KEM_R7002_EC2_EE2.pdf -Kemet EC2 signal relay DPDT non single coil latching through hole THT -0 -8 -8 -Relay_THT -Relay_DPDT_Kemet_EC2_DoubleCoil -Kemet signal relay, DPDT, double coil latching, https://content.kemet.com/datasheets/KEM_R7002_EC2_EE2.pdf -Kemet EC2 signal relay DPDT double dual coil latching through hole THT -0 -10 -10 -Relay_THT -Relay_DPDT_Omron_G2RL-2 -Omron Relay, DPDT, https://omronfs.omron.com/en_US/ecb/products/pdf/en-g2rl.pdf -Omron Relay DPDT -0 -8 -8 -Relay_THT -Relay_DPDT_Omron_G5V-2 -http://omronfs.omron.com/en_US/ecb/products/pdf/en-g5v2.pdf -Omron G5V-2 Relay DPDT -0 -8 -8 -Relay_THT -Relay_DPDT_Omron_G6H-2 -Omron relay G6H-2, see http://cdn-reichelt.de/documents/datenblatt/C300/G6H%23OMR.pdf -Omron relay G6H-2 -0 -10 -10 -Relay_THT -Relay_DPDT_Omron_G6K-2P -Omron G6K-2P relay package http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf -Omron G6K-2P relay -0 -8 -8 -Relay_THT -Relay_DPDT_Omron_G6K-2P-Y -Omron G6K-2P-Y relay package http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf -Omron G6K-2P-Y relay -0 -8 -8 -Relay_THT -Relay_DPDT_Omron_G6S-2 -Relay Omron G6S-2, see http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf -Relay Omron G6S-2 -0 -8 -8 -Relay_THT -Relay_DPDT_Omron_G6SK-2 -Relay Omron G6SK-2, see http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf -Relay Omron G6SK-2 -0 -10 -10 -Relay_THT -Relay_DPDT_Panasonic_JW2 -Panasonic Relay DPDT, http://www3.panasonic.biz/ac/e_download/control/relay/power/catalog/mech_eng_jw.pdf?via=ok -Panasonic Relay DPDT -0 -8 -8 -Relay_THT -Relay_DPDT_Schrack-RT2-FormC-Dual-Coil_RM5mm -Relay DPDT Schrack-RT2 RM5mm 16A 250V AC Form C http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Data+Sheet%7FRT2_bistable%7F1116%7Fpdf%7FEnglish%7FENG_DS_RT2_bistable_1116.pdf%7F1-1415537-8 -Relay DPDT Schrack-RT2 RM5mm 16A 250V AC Relay -0 -9 -9 -Relay_THT -Relay_DPDT_Schrack-RT2-FormC_RM5mm -Relay DPDT Schrack-RT2 RM5mm 16A 250V AC Form C http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=RT2_reflow&DocType=DS&DocLang=EN -Relay DPDT Schrack-RT2 RM5mm 16A 250V AC Relay -0 -8 -8 -Relay_THT -Relay_DPST_COTO_3602 -Low thermal EMF DPST-NO reed relay, 150V 0.25A, https://cotorelay.com/wp-content/uploads/2014/09/3600_series_reed_relay_datasheet.pdf -reed relay low thermal emf -0 -7 -7 -Relay_THT -Relay_DPST_Fujitsu_FTR-F1A -https://www.fujitsu.com/downloads/MICRO/fcai/relays/ftr-f1.pdf -relay dpst fujitsu tht -0 -6 -6 -Relay_THT -Relay_DPST_Omron_G2RL-2A -Omron Relay, DPST, https://omronfs.omron.com/en_US/ecb/products/pdf/en-g2rl.pdf -Omron Relay DPST -0 -6 -6 -Relay_THT -Relay_DPST_Schrack-RT2-FormA_RM5mm -Relay DPST Schrack-RT2 RM5mm 16A 250V AC Form A http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=RT2_reflow&DocType=DS&DocLang=EN -Relay DPST Schrack-RT2 RM5mm 16A 250V AC Relay -0 -6 -6 -Relay_THT -Relay_SPDT_Finder_32.21-x000 -Finder 32.21-x000 Relay, SPDT, https://gfinder.findernet.com/assets/Series/355/S32EN.pdf -AXICOM IM-Series Relay SPDT -0 -5 -5 -Relay_THT -Relay_SPDT_Finder_34.51_Horizontal -Relay SPDT, Finder Type34.51, horizontal form, see https://gfinder.findernet.com/public/attachments/34/EN/S34USAEN.pdf -Relay SPDT Finder -0 -5 -5 -Relay_THT -Relay_SPDT_Finder_34.51_Vertical -Relay SPDT, Finder Type34.51, vertical/standing form, see https://gfinder.findernet.com/public/attachments/34/EN/S34USAEN.pdf -Relay SPDT Finder -0 -5 -5 -Relay_THT -Relay_SPDT_Finder_36.11 -FINDER 36.11, SPDT relay, 10A, https://gfinder.findernet.com/public/attachments/36/EN/S36EN.pdf -spdt relay -0 -5 -5 -Relay_THT -Relay_SPDT_Finder_40.11 -Relay SPDT Finder 40.11, https://www.finder-relais.net/de/finder-relais-serie-40.pdf -Relay SPDT Finder 40.11 -0 -5 -5 -Relay_THT -Relay_SPDT_Finder_40.31 -Relay DPDT Finder 40.31, Pitch 3.5mm/7.5mm, https://www.finder-relais.net/de/finder-relais-serie-40.pdf -Relay DPDT Finder 40.31 Pitch 3.5mm -0 -5 -5 -Relay_THT -Relay_SPDT_Finder_40.41 -Relay DPDT Finder 40.41, Pitch 3.5mm/7.5mm, https://www.finder-relais.net/de/finder-relais-serie-40.pdf -Relay DPDT Finder 40.41 Pitch 3.5mm -0 -5 -5 -Relay_THT -Relay_SPDT_Finder_40.51 -Relay DPDT Finder 40.51, Pitch 5mm/7.5mm, https://www.finder-relais.net/de/finder-relais-serie-40.pdf -Relay DPDT Finder 40.51 Pitch 5mm -0 -5 -5 -Relay_THT -Relay_SPDT_Fujitsu_FTR-LYCA005x_FormC_Vertical -Relay, SPDT Form C, vertical mount, 6A, 5-60V, https://www.fujitsu.com/sg/imagesgig5/ftr-ly.pdf -relay SPDT form c vertical -0 -5 -5 -Relay_THT -Relay_SPDT_HJR-4102 -IM Signal Relay SPDT HJR-4102 -Relay SPDT IM-relay HJR-4102 -0 -6 -6 -Relay_THT -Relay_SPDT_HsinDa_Y14 -http://www.hsinda.com.tw/upload/file/Y14-20200430135145.pdf -Relay Y14 -0 -6 -6 -Relay_THT -Relay_SPDT_Omron-G5LE-1 -Omron Relay SPDT, http://www.omron.com/ecb/products/pdf/en-g5le.pdf -Omron Relay SPDT -0 -5 -5 -Relay_THT -Relay_SPDT_Omron-G5Q-1 -Relay SPDT Omron Serie G5Q, http://omronfs.omron.com/en_US/ecb/products/pdf/en-g5q.pdf -Relay SPDT Omron Serie G5Q -0 -5 -5 -Relay_THT -Relay_SPDT_Omron_G2RL-1 -Omron Relay, SPDT, https://omronfs.omron.com/en_US/ecb/products/pdf/en-g2rl.pdf -Omron Relay SPDT -0 -5 -5 -Relay_THT -Relay_SPDT_Omron_G2RL-1-E -Omron Relay, SPDT, High Capacity, https://omronfs.omron.com/en_US/ecb/products/pdf/en-g2rl.pdf -Omron Relay SPDT High Capacity -0 -8 -5 -Relay_THT -Relay_SPDT_Omron_G5V-1 -Relay Omron G5V-1, see http://omronfs.omron.com/en_US/ecb/products/pdf/en-g5v_1.pdf -Relay Omron G5V-1 -0 -6 -6 -Relay_THT -Relay_SPDT_Omron_G6E -Relay SPDT Omron Serie G6E -Relay SPDT Omron Serie G6E 1x um -0 -5 -5 -Relay_THT -Relay_SPDT_Omron_G6EK -Relay SPDT Omron Serie G6EK, see http://www.logosfoundation.org/instrum_gwr/pi/Omron_G6E_134P.pdf -Relay SPDT Omron Serie G6EK -0 -6 -6 -Relay_THT -Relay_SPDT_Panasonic_JW1_FormC -Panasonic Relay SPDT, http://www3.panasonic.biz/ac/e_download/control/relay/power/catalog/mech_eng_jw.pdf?via=ok -Panasonic Relay SPDT -0 -5 -5 -Relay_THT -Relay_SPDT_PotterBrumfield_T9AP5D52_12V30A -Relay SPDT Potter&Brumfield T9AP5D52 12V 30A 1xUn Connector Fast ON Only Dummy for Space NO Pads -Relay SPDT Potter&Brumfield T9AP5D52 12V 30A 1xUm Connector Fast ON Flachsteckeranschluss Only Dummy for Space NO Pads -0 -0 -0 -Relay_THT -Relay_SPDT_RAYEX-L90 -https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf -Relay RAYEX L90 SPDT -0 -6 -6 -Relay_THT -Relay_SPDT_RAYEX-L90S -https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf -Relay RAYEX L90S SPDT -0 -5 -5 -Relay_THT -Relay_SPDT_SANYOU_SRD_Series_Form_C -relay Sanyou SRD series Form C http://www.sanyourelay.ca/public/products/pdf/SRD.pdf -relay Sanyu SRD form C -0 -5 -5 -Relay_THT -Relay_SPDT_Schrack-RP-II-1-16A-FormC_RM5mm -Relay SPST Schrack-RP-II/1 RM5mm 16A 250V AC Form C http://image.schrack.com/datenblaetter/h_rp810012-b.pdf -Relay SPST Schrack-RP-II/1 RM5mm 16A 250V AC Relay -0 -8 -5 -Relay_THT -Relay_SPDT_Schrack-RP-II-1-FormC_RM3.5mm -Relay SPST Schrack-RP-II/1 RM3.5mm 8A 250V AC Form C http://image.schrack.com/datenblaetter/h_rp810012-b.pdf -Relay SPST Schrack-RP-II/1 RM3.5mm 8A 250V AC Relay -0 -5 -5 -Relay_THT -Relay_SPDT_Schrack-RP-II-1-FormC_RM5mm -Relay SPST Schrack-RP-II/1 RM5mm 8A 250V AC Form C http://image.schrack.com/datenblaetter/h_rp810012-b.pdf -Relay SPST Schrack-RP-II/1 RM5mm 8A 250V AC Relay -0 -5 -5 -Relay_THT -Relay_SPDT_Schrack-RT1-16A-FormC_RM5mm -Relay SPST Schrack-RT1 RM5mm 16A 250V AC Form C http://image.schrack.com/datenblaetter/h_rt114012--_de.pdf -Relay SPST Schrack-RT1 RM5mm 16A 250V AC Relay -0 -8 -5 -Relay_THT -Relay_SPDT_Schrack-RT1-FormC_RM3.5mm -Relay SPST Schrack-RT1 RM3.5mm 8A 250V AC Form C http://image.schrack.com/datenblaetter/h_rt114012--_de.pdf -Relay SPST Schrack-RT1 RM3.5mm 8A 250V AC Relay -0 -5 -5 -Relay_THT -Relay_SPDT_Schrack-RT1-FormC_RM5mm -Relay SPST Schrack-RT1 RM5mm 8A 250V AC Form C http://image.schrack.com/datenblaetter/h_rt114012--_de.pdf -Relay SPST Schrack-RT1 RM5mm 8A 250V AC Relay -0 -5 -5 -Relay_THT -Relay_SPDT_StandexMeder_SIL_Form1C -Standex-Meder SIL-relais, Form 1C, see https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_SIL.pdf -Standex Meder SIL reed relais -0 -5 -5 -Relay_THT -Relay_SPST-NO_Fujitsu_FTR-LYAA005x_FormA_Vertical -Relay, SPST-NO Form A, vertical mount, 6A, 5-60V, https://www.fujitsu.com/sg/imagesgig5/ftr-ly.pdf -relay SPST-NO form A vertical -0 -4 -4 -Relay_THT -Relay_SPST_Finder_32.21-x300 -Finder 32.21-x300 Relay, SPST, https://gfinder.findernet.com/assets/Series/355/S32EN.pdf -Finder 32.21-x300 Relay SPST -0 -4 -4 -Relay_THT -Relay_SPST_Omron-G5Q-1A -Relay SPST-NO Omron Serie G5Q, http://omronfs.omron.com/en_US/ecb/products/pdf/en-g5q.pdf -Relay SPST-NO Omron Serie G5Q -0 -4 -4 -Relay_THT -Relay_SPST_Omron_G2RL-1A -Omron Relay, SPST, https://omronfs.omron.com/en_US/ecb/products/pdf/en-g2rl.pdf -Omron Relay SPST -0 -4 -4 -Relay_THT -Relay_SPST_Omron_G2RL-1A-E -Omron Relay, SPST, High Capacity, https://omronfs.omron.com/en_US/ecb/products/pdf/en-g2rl.pdf -Omron Relay SPST High Capacity -0 -6 -4 -Relay_THT -Relay_SPST_Panasonic_ALFG_FormA -Panasonic Relay SPST, https://www3.panasonic.biz/ac/e_download/control/relay/power/catalog/mech_eng_lfg.pdf -Panasonic Relay SPST -0 -4 -4 -Relay_THT -Relay_SPST_Panasonic_ALFG_FormA_CircularHoles -Panasonic Relay SPST, https://www3.panasonic.biz/ac/e_download/control/relay/power/catalog/mech_eng_lfg.pdf -Panasonic Relay SPST -0 -4 -4 -Relay_THT -Relay_SPST_Panasonic_JW1_FormA -Panasonic Relay SPST, http://www3.panasonic.biz/ac/e_download/control/relay/power/catalog/mech_eng_jw.pdf?via=ok -Panasonic Relay SPST -0 -4 -4 -Relay_THT -Relay_SPST_PotterBrumfield_T9AP1D52_12V30A -Relay SPST Potter&Brumfield T9AP1D52 12V 30A 1xEin Connector Fast ON Only Dummy for Space NO Pads -Relau SPST Potter&Brumfield T9AP1D52 12V 30A 1xEin Connector Fast ON Flachsteckeranschluss Only Dummy for Space NO Pads -0 -0 -0 -Relay_THT -Relay_SPST_RAYEX-L90A -https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf -Relay RAYEX L90A SPST NO -0 -5 -5 -Relay_THT -Relay_SPST_RAYEX-L90AS -https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf -Relay RAYEX L90AS SPST NO -0 -4 -4 -Relay_THT -Relay_SPST_RAYEX-L90B -https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf -Relay RAYEX L90B SPST NC -0 -5 -5 -Relay_THT -Relay_SPST_RAYEX-L90BS -https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf -Relay RAYEX L90BS SPST NC -0 -4 -4 -Relay_THT -Relay_SPST_SANYOU_SRD_Series_Form_A -relay Sanyou SRD series Form A http://www.sanyourelay.ca/public/products/pdf/SRD.pdf -relay Sanyu SRD form A -0 -4 -4 -Relay_THT -Relay_SPST_SANYOU_SRD_Series_Form_B -relay Sanyou SRD series Form B opener http://www.sanyourelay.ca/public/products/pdf/SRD.pdf -relay Sanyu SRD form B opener -0 -4 -4 -Relay_THT -Relay_SPST_Schrack-RP-II-1-16A-FormA_RM5mm -Relay SPST Schrack-RP-II/1 RM5mm 16A 250V AC Form A http://image.schrack.com/datenblaetter/h_rp810012-b.pdf -Relay SPST Schrack-RP-II/1 RM5mm 16A 250V AC Relay -0 -6 -4 -Relay_THT -Relay_SPST_Schrack-RP-II-1-FormA_RM3.5mm -Relay SPST Schrack-RP-II/1 RM3.5mm 8A 250V AC Form A -Relay SPST Schrack-RP-II/1 RM3.5mm 8A 250V AC Relay -0 -4 -4 -Relay_THT -Relay_SPST_Schrack-RP-II-1-FormA_RM5mm -Relay SPST Schrack-RP-II/1 RM5mm 8A 250V AC Form A http://image.schrack.com/datenblaetter/h_rp810012-b.pdf -Relay SPST Schrack-RP-II/1 RM5mm 8A 250V AC Relay -0 -4 -4 -Relay_THT -Relay_SPST_Schrack-RP3SL-1coil_RM5mm -Relay SPST Schrack-RP3SL, 1-coil-version, RM5mm 16A 250V AC Form A http://www.alliedelec.com/m/d/543c6bed18bf23a83ae5238947033ee0.pdf -Relay SPST Schrack-RP3SL RM5mm 16A 250V AC Relay -0 -6 -4 -Relay_THT -Relay_SPST_Schrack-RP3SL_RM5mm -Relay SPST Schrack-RP3SL RM5mm 16A 250V AC Form A http://www.alliedelec.com/m/d/543c6bed18bf23a83ae5238947033ee0.pdf -Relay SPST Schrack-RP3SL RM5mm 16A 250V AC Relay -0 -7 -5 -Relay_THT -Relay_SPST_Schrack-RT1-16A-FormA_RM5mm -Relay SPST Schrack-RT2 RM5mm 16A 250V AC Form C http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=RT2_reflow&DocType=DS&DocLang=EN -Relay SPST Schrack-RT2 RM5mm 16A 250V AC Relay -0 -6 -4 -Relay_THT -Relay_SPST_Schrack-RT1-FormA_RM3.5mm -Relay SPST Schrack-RT1 RM3.5mm 8A 250V AC Form A -Relay SPST Schrack-RT1 RM3.5mm 8A 250V AC Relay -0 -4 -4 -Relay_THT -Relay_SPST_Schrack-RT1-FormA_RM5mm -Relay SPST Schrack-RT1 RM5mm 8A 250V AC Form C http://image.schrack.com/datenblaetter/h_rt114012--_de.pdf -Relay SPST Schrack-RT1 RM5mm 8A 250V AC Relay -0 -4 -4 -Relay_THT -Relay_SPST_StandexMeder_MS_Form1AB -Standex-Meder MS SIL-relais, Form 1A/1B, see https://standexelectronics.com/de/produkte/ms-reed-relais/ -Standex Meder MS SIL reed relais -0 -4 -4 -Relay_THT -Relay_SPST_StandexMeder_SIL_Form1A -Standex-Meder SIL-relais, Form 1A, see https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_SIL.pdf -Standex Meder SIL reed relais -0 -4 -4 -Relay_THT -Relay_SPST_StandexMeder_SIL_Form1B -Standex-Meder SIL-relais, Form 1B, see https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_SIL.pdf -Standex Meder SIL reed relais -0 -4 -4 -Relay_THT -Relay_SPST_TE_PCH-1xxx2M -Miniature PCB Relay, PCH Series, 1 Form A (NO), SPST http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Data+Sheet%7FPCH_series_relay_data_sheet_E%7F1215%7Fpdf%7FEnglish%7FENG_DS_PCH_series_relay_data_sheet_E_1215.pdf -Relay SPST NO -0 -4 -4 -Relay_THT -Relay_SPST_TE_PCN-1xxD3MHZ -https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1461491%7FG2%7Fpdf%7FEnglish%7FENG_CD_1461491_G2.pdf%7F3-1461491-0 -SPST relay slim -0 -4 -4 -Relay_THT -Relay_Socket_3PDT_Omron_PLE11-0 -29mm Dia, round mounting socket, 10A, 2kV AC / minute, 1e3Mohm, https://www.omron.com.tw/data_pdf/cat/common_sockets_ds_e_6_1_csm1819.pdf?#page=27 -tube type relay -0 -11 -11 -Relay_THT -Relay_Socket_4PDT_Omron_PY14-02 -21.4x25.8mm, 4Pole mounting socket, 3A, 1.5kV AC / minute, 1e2Mohm, https://www.omron.com.tw/data_pdf/cat/common_sockets_ds_e_6_1_csm1819.pdf?#page=19 -relay socket -0 -14 -14 -Relay_THT -Relay_Socket_DPDT_Finder_96.12 -DPDT relay socket, 15A max 10A per contact, 2kV AC Isolation, https://gfinder.findernet.com/public/attachments/56/DE/S56DE.pdf -finder relay socket 96.12 56.32 -0 -8 -8 -Relay_THT -Relay_Socket_DPDT_Omron_PLE08-0 -29mm Dia, round mounting socket, 10A, 2kV AC / minute, 1e3Mohm, https://www.omron.com.tw/data_pdf/cat/common_sockets_ds_e_6_1_csm1819.pdf?#page=27 -tube type relay -0 -8 -8 -Relay_THT -Relay_StandexMeder_DIP_HighProfile -package for Standex Meder DIP reed relay series, see https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_DIP.pdf -DIL DIP PDIP 2.54mm 7.62mm 300mil reed relay -0 -8 -8 -Relay_THT -Relay_StandexMeder_DIP_LowProfile -package for Standex Meder DIP reed relay series, see https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_DIP.pdf -DIL DIP PDIP 2.54mm 7.62mm 300mil reed relay -0 -8 -8 -Relay_THT -Relay_StandexMeder_UMS -Standex-Meder SIL-relais, UMS, see http://cdn-reichelt.de/documents/datenblatt/C300/UMS05_1A80_75L_DB.pdf -Standex Meder SIL reed relais -0 -4 -4 -Resistor_SMD -R_0201_0603Metric -Resistor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -resistor -0 -4 -2 -Resistor_SMD -R_0201_0603Metric_Pad0.64x0.40mm_HandSolder -Resistor SMD 0201 (0603 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20052/crcw0201e3.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -4 -2 -Resistor_SMD -R_0402_1005Metric -Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_0402_1005Metric_Pad0.72x0.64mm_HandSolder -Resistor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_0603_1608Metric -Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_0603_1608Metric_Pad0.98x0.95mm_HandSolder -Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_0612_1632Metric -Resistor SMD 0612 (1632 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20019/rcwe.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_0612_1632Metric_Pad1.18x3.40mm_HandSolder -Resistor SMD 0612 (1632 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20019/rcwe.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_0805_2012Metric -Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_0805_2012Metric_Pad1.20x1.40mm_HandSolder -Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_0815_2038Metric -Resistor SMD 0815 (2038 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.susumu.co.jp/common/pdf/n_catalog_partition07_en.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_0815_2038Metric_Pad1.20x4.05mm_HandSolder -Resistor SMD 0815 (2038 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.susumu.co.jp/common/pdf/n_catalog_partition07_en.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_01005_0402Metric -Resistor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator -resistor -0 -4 -2 -Resistor_SMD -R_01005_0402Metric_Pad0.57x0.30mm_HandSolder -Resistor SMD 01005 (0402 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.vishay.com/docs/20056/crcw01005e3.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -4 -2 -Resistor_SMD -R_1020_2550Metric -Resistor SMD 1020 (2550 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20019/rcwe.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_1020_2550Metric_Pad1.33x5.20mm_HandSolder -Resistor SMD 1020 (2550 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20019/rcwe.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_1206_3216Metric -Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_1206_3216Metric_Pad1.30x1.75mm_HandSolder -Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_1210_3225Metric -Resistor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_1210_3225Metric_Pad1.30x2.65mm_HandSolder -Resistor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_1218_3246Metric -Resistor SMD 1218 (3246 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.vishay.com/docs/20035/dcrcwe3.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_1218_3246Metric_Pad1.22x4.75mm_HandSolder -Resistor SMD 1218 (3246 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.vishay.com/docs/20035/dcrcwe3.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_1812_4532Metric -Resistor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_1812_4532Metric_Pad1.30x3.40mm_HandSolder -Resistor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_2010_5025Metric -Resistor SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_2010_5025Metric_Pad1.40x2.65mm_HandSolder -Resistor SMD 2010 (5025 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_2512_6332Metric -Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_2512_6332Metric_Pad1.40x3.35mm_HandSolder -Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_2816_7142Metric -Resistor SMD 2816 (7142 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size from: https://www.vishay.com/docs/30100/wsl.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_2816_7142Metric_Pad3.20x4.45mm_HandSolder -Resistor SMD 2816 (7142 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size from: https://www.vishay.com/docs/30100/wsl.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_4020_10251Metric -Resistor SMD 4020 (10251 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://datasheet.octopart.com/HVC0603T5004FET-Ohmite-datasheet-26699797.pdf), generated with kicad-footprint-generator -resistor -0 -2 -2 -Resistor_SMD -R_4020_10251Metric_Pad1.65x5.30mm_HandSolder -Resistor SMD 4020 (10251 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://datasheet.octopart.com/HVC0603T5004FET-Ohmite-datasheet-26699797.pdf), generated with kicad-footprint-generator -resistor handsolder -0 -2 -2 -Resistor_SMD -R_Array_Concave_2x0603 -Thick Film Chip Resistor Array, Wave soldering, Vishay CRA06P (see cra06p.pdf) -resistor array -0 -4 -4 -Resistor_SMD -R_Array_Concave_4x0402 -Thick Film Chip Resistor Array, Wave soldering, Vishay CRA04P (see cra04p.pdf) -resistor array -0 -8 -8 -Resistor_SMD -R_Array_Concave_4x0603 -Thick Film Chip Resistor Array, Wave soldering, Vishay CRA06P (see cra06p.pdf) -resistor array -0 -8 -8 -Resistor_SMD -R_Array_Convex_2x0402 -Chip Resistor Network, ROHM MNR02 (see mnr_g.pdf) -resistor array -0 -4 -4 -Resistor_SMD -R_Array_Convex_2x0603 -Chip Resistor Network, ROHM MNR12 (see mnr_g.pdf) -resistor array -0 -4 -4 -Resistor_SMD -R_Array_Convex_2x0606 -Precision Thin Film Chip Resistor Array, VISHAY (see http://www.vishay.com/docs/28770/acasat.pdf) -resistor array -0 -4 -4 -Resistor_SMD -R_Array_Convex_2x1206 -Chip Resistor Network, ROHM MNR32 (see mnr_g.pdf) -resistor array -0 -4 -4 -Resistor_SMD -R_Array_Convex_4x0402 -Chip Resistor Network, ROHM MNR04 (see mnr_g.pdf) -resistor array -0 -8 -8 -Resistor_SMD -R_Array_Convex_4x0603 -Chip Resistor Network, ROHM MNR14 (see mnr_g.pdf) -resistor array -0 -8 -8 -Resistor_SMD -R_Array_Convex_4x0612 -Precision Thin Film Chip Resistor Array, VISHAY (see http://www.vishay.com/docs/28770/acasat.pdf) -resistor array -0 -8 -8 -Resistor_SMD -R_Array_Convex_4x1206 -Chip Resistor Network, ROHM MNR34 (see mnr_g.pdf) -resistor array -0 -8 -8 -Resistor_SMD -R_Array_Convex_5x0603 -Chip Resistor Network, ROHM MNR15 (see mnr_g.pdf) -resistor array -0 -10 -10 -Resistor_SMD -R_Array_Convex_5x1206 -Chip Resistor Network, ROHM MNR35 (see mnr_g.pdf) -resistor array -0 -10 -10 -Resistor_SMD -R_Array_Convex_8x0602 -Chip Resistor Network, ROHM MNR18 (see mnr_g.pdf) -resistor array -0 -16 -16 -Resistor_SMD -R_Cat16-2 -SMT resistor net, Bourns CAT16 series, 2 way -SMT resistor net Bourns CAT16 series 2 way -0 -4 -4 -Resistor_SMD -R_Cat16-4 -SMT resistor net, Bourns CAT16 series, 4 way -SMT resistor net Bourns CAT16 series 4 way -0 -8 -8 -Resistor_SMD -R_Cat16-8 -SMT resistor net, Bourns CAT16 series, 8 way -SMT resistor net Bourns CAT16 series 8 way -0 -16 -16 -Resistor_SMD -R_MELF_MMB-0207 -Resistor, MELF, MMB-0207, http://www.vishay.com/docs/28713/melfprof.pdf -MELF Resistor -0 -2 -2 -Resistor_SMD -R_MicroMELF_MMU-0102 -Resistor, MicroMELF, MMU-0102, http://www.vishay.com/docs/28713/melfprof.pdf -MicroMELF Resistor -0 -2 -2 -Resistor_SMD -R_MiniMELF_MMA-0204 -Resistor, MiniMELF, MMA-0204, http://www.vishay.com/docs/28713/melfprof.pdf -MiniMELF Resistor -0 -2 -2 -Resistor_SMD -R_Shunt_Ohmite_LVK12 -4 contact shunt resistor -shunt resistor 4 contacts -0 -4 -4 -Resistor_SMD -R_Shunt_Ohmite_LVK20 -4 contacts shunt resistor, https://www.ohmite.com/assets/docs/res_lvk.pdf -4 contacts resistor smd -0 -4 -4 -Resistor_SMD -R_Shunt_Ohmite_LVK24 -4 contacts shunt resistor,https://www.ohmite.com/assets/docs/res_lvk.pdf -4 contacts resistor smd -0 -4 -4 -Resistor_SMD -R_Shunt_Ohmite_LVK25 -4 contacts shunt resistor,https://www.ohmite.com/assets/docs/res_lvk.pdf -4 contacts resistor smd -0 -4 -4 -Resistor_SMD -R_Shunt_Vishay_WSK2512_6332Metric_T1.19mm -Shunt Resistor SMD 2512 (6332 Metric), 2.6mm thick, Vishay WKS2512, Terminal length (T) 1.19mm, 5 to 200 milli Ohm (http://http://www.vishay.com/docs/30108/wsk.pdf) -resistor shunt WSK2512 -0 -4 -4 -Resistor_SMD -R_Shunt_Vishay_WSK2512_6332Metric_T2.21mm -Shunt Resistor SMD 2512 (6332 Metric), 2.6mm thick, Vishay WKS2512, Terminal length (T) 2.21mm, 1 to 4.9 milli Ohm (http://http://www.vishay.com/docs/30108/wsk.pdf) -resistor shunt WSK2512 -0 -4 -4 -Resistor_SMD -R_Shunt_Vishay_WSK2512_6332Metric_T2.66mm -Shunt Resistor SMD 2512 (6332 Metric), 2.6mm thick, Vishay WKS2512, Terminal length (T) 2.66mm, 0.5 to 0.99 milli Ohm (http://http://www.vishay.com/docs/30108/wsk.pdf) -resistor shunt WSK2512 -0 -4 -4 -Resistor_SMD -R_Shunt_Vishay_WSKW0612 -https://www.vishay.com/docs/30332/wskw0612.pdf -4-Terminal SMD Shunt -0 -4 -4 -Resistor_SMD -R_Shunt_Vishay_WSR2_WSR3 -Power Metal Strip Resistors 0.005 to 0.2, https://www.vishay.com/docs/30101/wsr.pdf -SMD Shunt Resistor -0 -2 -2 -Resistor_SMD -R_Shunt_Vishay_WSR2_WSR3_KelvinConnection -Power Metal Strip Resistors 0.005 to 0.2, https://www.vishay.com/docs/30101/wsr.pdf -SMD Shunt Resistor -0 -4 -2 -Resistor_THT -R_Array_SIP4 -4-pin Resistor SIP pack -R -0 -4 -4 -Resistor_THT -R_Array_SIP5 -5-pin Resistor SIP pack -R -0 -5 -5 -Resistor_THT -R_Array_SIP6 -6-pin Resistor SIP pack -R -0 -6 -6 -Resistor_THT -R_Array_SIP7 -7-pin Resistor SIP pack -R -0 -7 -7 -Resistor_THT -R_Array_SIP8 -8-pin Resistor SIP pack -R -0 -8 -8 -Resistor_THT -R_Array_SIP9 -9-pin Resistor SIP pack -R -0 -9 -9 -Resistor_THT -R_Array_SIP10 -10-pin Resistor SIP pack -R -0 -10 -10 -Resistor_THT -R_Array_SIP11 -11-pin Resistor SIP pack -R -0 -11 -11 -Resistor_THT -R_Array_SIP12 -12-pin Resistor SIP pack -R -0 -12 -12 -Resistor_THT -R_Array_SIP13 -13-pin Resistor SIP pack -R -0 -13 -13 -Resistor_THT -R_Array_SIP14 -14-pin Resistor SIP pack -R -0 -14 -14 -Resistor_THT -R_Axial_DIN0204_L3.6mm_D1.6mm_P1.90mm_Vertical -Resistor, Axial_DIN0204 series, Axial, Vertical, pin pitch=1.9mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0204 series Axial Vertical pin pitch 1.9mm 0.167W length 3.6mm diameter 1.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0204_L3.6mm_D1.6mm_P2.54mm_Vertical -Resistor, Axial_DIN0204 series, Axial, Vertical, pin pitch=2.54mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0204 series Axial Vertical pin pitch 2.54mm 0.167W length 3.6mm diameter 1.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0204_L3.6mm_D1.6mm_P5.08mm_Horizontal -Resistor, Axial_DIN0204 series, Axial, Horizontal, pin pitch=5.08mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0204 series Axial Horizontal pin pitch 5.08mm 0.167W length 3.6mm diameter 1.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0204_L3.6mm_D1.6mm_P5.08mm_Vertical -Resistor, Axial_DIN0204 series, Axial, Vertical, pin pitch=5.08mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0204 series Axial Vertical pin pitch 5.08mm 0.167W length 3.6mm diameter 1.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal -Resistor, Axial_DIN0204 series, Axial, Horizontal, pin pitch=7.62mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0204 series Axial Horizontal pin pitch 7.62mm 0.167W length 3.6mm diameter 1.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0207_L6.3mm_D2.5mm_P2.54mm_Vertical -Resistor, Axial_DIN0207 series, Axial, Vertical, pin pitch=2.54mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0207 series Axial Vertical pin pitch 2.54mm 0.25W = 1/4W length 6.3mm diameter 2.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0207_L6.3mm_D2.5mm_P5.08mm_Vertical -Resistor, Axial_DIN0207 series, Axial, Vertical, pin pitch=5.08mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0207 series Axial Vertical pin pitch 5.08mm 0.25W = 1/4W length 6.3mm diameter 2.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal -Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=7.62mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0207 series Axial Horizontal pin pitch 7.62mm 0.25W = 1/4W length 6.3mm diameter 2.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal -Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0207_L6.3mm_D2.5mm_P15.24mm_Horizontal -Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=15.24mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0207 series Axial Horizontal pin pitch 15.24mm 0.25W = 1/4W length 6.3mm diameter 2.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0309_L9.0mm_D3.2mm_P2.54mm_Vertical -Resistor, Axial_DIN0309 series, Axial, Vertical, pin pitch=2.54mm, 0.5W = 1/2W, length*diameter=9*3.2mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0309 series Axial Vertical pin pitch 2.54mm 0.5W = 1/2W length 9mm diameter 3.2mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0309_L9.0mm_D3.2mm_P5.08mm_Vertical -Resistor, Axial_DIN0309 series, Axial, Vertical, pin pitch=5.08mm, 0.5W = 1/2W, length*diameter=9*3.2mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0309 series Axial Vertical pin pitch 5.08mm 0.5W = 1/2W length 9mm diameter 3.2mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0309_L9.0mm_D3.2mm_P12.70mm_Horizontal -Resistor, Axial_DIN0309 series, Axial, Horizontal, pin pitch=12.7mm, 0.5W = 1/2W, length*diameter=9*3.2mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0309 series Axial Horizontal pin pitch 12.7mm 0.5W = 1/2W length 9mm diameter 3.2mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0309_L9.0mm_D3.2mm_P15.24mm_Horizontal -Resistor, Axial_DIN0309 series, Axial, Horizontal, pin pitch=15.24mm, 0.5W = 1/2W, length*diameter=9*3.2mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0309 series Axial Horizontal pin pitch 15.24mm 0.5W = 1/2W length 9mm diameter 3.2mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0309_L9.0mm_D3.2mm_P20.32mm_Horizontal -Resistor, Axial_DIN0309 series, Axial, Horizontal, pin pitch=20.32mm, 0.5W = 1/2W, length*diameter=9*3.2mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0309 series Axial Horizontal pin pitch 20.32mm 0.5W = 1/2W length 9mm diameter 3.2mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0309_L9.0mm_D3.2mm_P25.40mm_Horizontal -Resistor, Axial_DIN0309 series, Axial, Horizontal, pin pitch=25.4mm, 0.5W = 1/2W, length*diameter=9*3.2mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0309 series Axial Horizontal pin pitch 25.4mm 0.5W = 1/2W length 9mm diameter 3.2mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0411_L9.9mm_D3.6mm_P5.08mm_Vertical -Resistor, Axial_DIN0411 series, Axial, Vertical, pin pitch=5.08mm, 1W, length*diameter=9.9*3.6mm^2 -Resistor Axial_DIN0411 series Axial Vertical pin pitch 5.08mm 1W length 9.9mm diameter 3.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0411_L9.9mm_D3.6mm_P7.62mm_Vertical -Resistor, Axial_DIN0411 series, Axial, Vertical, pin pitch=7.62mm, 1W, length*diameter=9.9*3.6mm^2 -Resistor Axial_DIN0411 series Axial Vertical pin pitch 7.62mm 1W length 9.9mm diameter 3.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0411_L9.9mm_D3.6mm_P12.70mm_Horizontal -Resistor, Axial_DIN0411 series, Axial, Horizontal, pin pitch=12.7mm, 1W, length*diameter=9.9*3.6mm^2 -Resistor Axial_DIN0411 series Axial Horizontal pin pitch 12.7mm 1W length 9.9mm diameter 3.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0411_L9.9mm_D3.6mm_P15.24mm_Horizontal -Resistor, Axial_DIN0411 series, Axial, Horizontal, pin pitch=15.24mm, 1W, length*diameter=9.9*3.6mm^2 -Resistor Axial_DIN0411 series Axial Horizontal pin pitch 15.24mm 1W length 9.9mm diameter 3.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0411_L9.9mm_D3.6mm_P20.32mm_Horizontal -Resistor, Axial_DIN0411 series, Axial, Horizontal, pin pitch=20.32mm, 1W, length*diameter=9.9*3.6mm^2 -Resistor Axial_DIN0411 series Axial Horizontal pin pitch 20.32mm 1W length 9.9mm diameter 3.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0411_L9.9mm_D3.6mm_P25.40mm_Horizontal -Resistor, Axial_DIN0411 series, Axial, Horizontal, pin pitch=25.4mm, 1W, length*diameter=9.9*3.6mm^2 -Resistor Axial_DIN0411 series Axial Horizontal pin pitch 25.4mm 1W length 9.9mm diameter 3.6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0414_L11.9mm_D4.5mm_P5.08mm_Vertical -Resistor, Axial_DIN0414 series, Axial, Vertical, pin pitch=5.08mm, 2W, length*diameter=11.9*4.5mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0414 series Axial Vertical pin pitch 5.08mm 2W length 11.9mm diameter 4.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0414_L11.9mm_D4.5mm_P7.62mm_Vertical -Resistor, Axial_DIN0414 series, Axial, Vertical, pin pitch=7.62mm, 2W, length*diameter=11.9*4.5mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0414 series Axial Vertical pin pitch 7.62mm 2W length 11.9mm diameter 4.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal -Resistor, Axial_DIN0414 series, Axial, Horizontal, pin pitch=15.24mm, 2W, length*diameter=11.9*4.5mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0414 series Axial Horizontal pin pitch 15.24mm 2W length 11.9mm diameter 4.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0414_L11.9mm_D4.5mm_P20.32mm_Horizontal -Resistor, Axial_DIN0414 series, Axial, Horizontal, pin pitch=20.32mm, 2W, length*diameter=11.9*4.5mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0414 series Axial Horizontal pin pitch 20.32mm 2W length 11.9mm diameter 4.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0414_L11.9mm_D4.5mm_P25.40mm_Horizontal -Resistor, Axial_DIN0414 series, Axial, Horizontal, pin pitch=25.4mm, 2W, length*diameter=11.9*4.5mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0414 series Axial Horizontal pin pitch 25.4mm 2W length 11.9mm diameter 4.5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0516_L15.5mm_D5.0mm_P5.08mm_Vertical -Resistor, Axial_DIN0516 series, Axial, Vertical, pin pitch=5.08mm, 2W, length*diameter=15.5*5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0516 series Axial Vertical pin pitch 5.08mm 2W length 15.5mm diameter 5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0516_L15.5mm_D5.0mm_P7.62mm_Vertical -Resistor, Axial_DIN0516 series, Axial, Vertical, pin pitch=7.62mm, 2W, length*diameter=15.5*5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0516 series Axial Vertical pin pitch 7.62mm 2W length 15.5mm diameter 5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0516_L15.5mm_D5.0mm_P20.32mm_Horizontal -Resistor, Axial_DIN0516 series, Axial, Horizontal, pin pitch=20.32mm, 2W, length*diameter=15.5*5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0516 series Axial Horizontal pin pitch 20.32mm 2W length 15.5mm diameter 5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0516_L15.5mm_D5.0mm_P25.40mm_Horizontal -Resistor, Axial_DIN0516 series, Axial, Horizontal, pin pitch=25.4mm, 2W, length*diameter=15.5*5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0516 series Axial Horizontal pin pitch 25.4mm 2W length 15.5mm diameter 5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0516_L15.5mm_D5.0mm_P30.48mm_Horizontal -Resistor, Axial_DIN0516 series, Axial, Horizontal, pin pitch=30.48mm, 2W, length*diameter=15.5*5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf -Resistor Axial_DIN0516 series Axial Horizontal pin pitch 30.48mm 2W length 15.5mm diameter 5mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0614_L14.3mm_D5.7mm_P5.08mm_Vertical -Resistor, Axial_DIN0614 series, Axial, Vertical, pin pitch=5.08mm, 1.5W, length*diameter=14.3*5.7mm^2 -Resistor Axial_DIN0614 series Axial Vertical pin pitch 5.08mm 1.5W length 14.3mm diameter 5.7mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0614_L14.3mm_D5.7mm_P7.62mm_Vertical -Resistor, Axial_DIN0614 series, Axial, Vertical, pin pitch=7.62mm, 1.5W, length*diameter=14.3*5.7mm^2 -Resistor Axial_DIN0614 series Axial Vertical pin pitch 7.62mm 1.5W length 14.3mm diameter 5.7mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0614_L14.3mm_D5.7mm_P15.24mm_Horizontal -Resistor, Axial_DIN0614 series, Axial, Horizontal, pin pitch=15.24mm, 1.5W, length*diameter=14.3*5.7mm^2 -Resistor Axial_DIN0614 series Axial Horizontal pin pitch 15.24mm 1.5W length 14.3mm diameter 5.7mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0614_L14.3mm_D5.7mm_P20.32mm_Horizontal -Resistor, Axial_DIN0614 series, Axial, Horizontal, pin pitch=20.32mm, 1.5W, length*diameter=14.3*5.7mm^2 -Resistor Axial_DIN0614 series Axial Horizontal pin pitch 20.32mm 1.5W length 14.3mm diameter 5.7mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0614_L14.3mm_D5.7mm_P25.40mm_Horizontal -Resistor, Axial_DIN0614 series, Axial, Horizontal, pin pitch=25.4mm, 1.5W, length*diameter=14.3*5.7mm^2 -Resistor Axial_DIN0614 series Axial Horizontal pin pitch 25.4mm 1.5W length 14.3mm diameter 5.7mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0617_L17.0mm_D6.0mm_P5.08mm_Vertical -Resistor, Axial_DIN0617 series, Axial, Vertical, pin pitch=5.08mm, 2W, length*diameter=17*6mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0617 series Axial Vertical pin pitch 5.08mm 2W length 17mm diameter 6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0617_L17.0mm_D6.0mm_P7.62mm_Vertical -Resistor, Axial_DIN0617 series, Axial, Vertical, pin pitch=7.62mm, 2W, length*diameter=17*6mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0617 series Axial Vertical pin pitch 7.62mm 2W length 17mm diameter 6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0617_L17.0mm_D6.0mm_P20.32mm_Horizontal -Resistor, Axial_DIN0617 series, Axial, Horizontal, pin pitch=20.32mm, 2W, length*diameter=17*6mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0617 series Axial Horizontal pin pitch 20.32mm 2W length 17mm diameter 6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0617_L17.0mm_D6.0mm_P25.40mm_Horizontal -Resistor, Axial_DIN0617 series, Axial, Horizontal, pin pitch=25.4mm, 2W, length*diameter=17*6mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0617 series Axial Horizontal pin pitch 25.4mm 2W length 17mm diameter 6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0617_L17.0mm_D6.0mm_P30.48mm_Horizontal -Resistor, Axial_DIN0617 series, Axial, Horizontal, pin pitch=30.48mm, 2W, length*diameter=17*6mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0617 series Axial Horizontal pin pitch 30.48mm 2W length 17mm diameter 6mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0918_L18.0mm_D9.0mm_P7.62mm_Vertical -Resistor, Axial_DIN0918 series, Axial, Vertical, pin pitch=7.62mm, 4W, length*diameter=18*9mm^2 -Resistor Axial_DIN0918 series Axial Vertical pin pitch 7.62mm 4W length 18mm diameter 9mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0918_L18.0mm_D9.0mm_P22.86mm_Horizontal -Resistor, Axial_DIN0918 series, Axial, Horizontal, pin pitch=22.86mm, 4W, length*diameter=18*9mm^2 -Resistor Axial_DIN0918 series Axial Horizontal pin pitch 22.86mm 4W length 18mm diameter 9mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0918_L18.0mm_D9.0mm_P25.40mm_Horizontal -Resistor, Axial_DIN0918 series, Axial, Horizontal, pin pitch=25.4mm, 4W, length*diameter=18*9mm^2 -Resistor Axial_DIN0918 series Axial Horizontal pin pitch 25.4mm 4W length 18mm diameter 9mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0918_L18.0mm_D9.0mm_P30.48mm_Horizontal -Resistor, Axial_DIN0918 series, Axial, Horizontal, pin pitch=30.48mm, 4W, length*diameter=18*9mm^2 -Resistor Axial_DIN0918 series Axial Horizontal pin pitch 30.48mm 4W length 18mm diameter 9mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0922_L20.0mm_D9.0mm_P7.62mm_Vertical -Resistor, Axial_DIN0922 series, Axial, Vertical, pin pitch=7.62mm, 5W, length*diameter=20*9mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0922 series Axial Vertical pin pitch 7.62mm 5W length 20mm diameter 9mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0922_L20.0mm_D9.0mm_P25.40mm_Horizontal -Resistor, Axial_DIN0922 series, Axial, Horizontal, pin pitch=25.4mm, 5W, length*diameter=20*9mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0922 series Axial Horizontal pin pitch 25.4mm 5W length 20mm diameter 9mm -0 -2 -2 -Resistor_THT -R_Axial_DIN0922_L20.0mm_D9.0mm_P30.48mm_Horizontal -Resistor, Axial_DIN0922 series, Axial, Horizontal, pin pitch=30.48mm, 5W, length*diameter=20*9mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf -Resistor Axial_DIN0922 series Axial Horizontal pin pitch 30.48mm 5W length 20mm diameter 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L20.0mm_W6.4mm_P5.08mm_Vertical -Resistor, Axial_Power series, Axial, Vertical, pin pitch=5.08mm, 4W, length*width*height=20*6.4*6.4mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Axial Vertical pin pitch 5.08mm 4W length 20mm width 6.4mm height 6.4mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L20.0mm_W6.4mm_P7.62mm_Vertical -Resistor, Axial_Power series, Axial, Vertical, pin pitch=7.62mm, 4W, length*width*height=20*6.4*6.4mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Axial Vertical pin pitch 7.62mm 4W length 20mm width 6.4mm height 6.4mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L20.0mm_W6.4mm_P22.40mm -Resistor, Axial_Power series, Box, pin pitch=22.4mm, 4W, length*width*height=20*6.4*6.4mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 22.4mm 4W length 20mm width 6.4mm height 6.4mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L20.0mm_W6.4mm_P25.40mm -Resistor, Axial_Power series, Box, pin pitch=25.4mm, 4W, length*width*height=20*6.4*6.4mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 25.4mm 4W length 20mm width 6.4mm height 6.4mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L20.0mm_W6.4mm_P30.48mm -Resistor, Axial_Power series, Box, pin pitch=30.48mm, 4W, length*width*height=20*6.4*6.4mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 30.48mm 4W length 20mm width 6.4mm height 6.4mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L25.0mm_W6.4mm_P27.94mm -Resistor, Axial_Power series, Box, pin pitch=27.94mm, 5W, length*width*height=25*6.4*6.4mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 27.94mm 5W length 25mm width 6.4mm height 6.4mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L25.0mm_W6.4mm_P30.48mm -Resistor, Axial_Power series, Box, pin pitch=30.48mm, 5W, length*width*height=25*6.4*6.4mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 30.48mm 5W length 25mm width 6.4mm height 6.4mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L25.0mm_W9.0mm_P7.62mm_Vertical -Resistor, Axial_Power series, Axial, Vertical, pin pitch=7.62mm, 7W, length*width*height=25*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Axial Vertical pin pitch 7.62mm 7W length 25mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L25.0mm_W9.0mm_P10.16mm_Vertical -Resistor, Axial_Power series, Axial, Vertical, pin pitch=10.16mm, 7W, length*width*height=25*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Axial Vertical pin pitch 10.16mm 7W length 25mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L25.0mm_W9.0mm_P27.94mm -Resistor, Axial_Power series, Box, pin pitch=27.94mm, 7W, length*width*height=25*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 27.94mm 7W length 25mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L25.0mm_W9.0mm_P30.48mm -Resistor, Axial_Power series, Box, pin pitch=30.48mm, 7W, length*width*height=25*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 30.48mm 7W length 25mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L38.0mm_W6.4mm_P40.64mm -Resistor, Axial_Power series, Box, pin pitch=40.64mm, 7W, length*width*height=38*6.4*6.4mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 40.64mm 7W length 38mm width 6.4mm height 6.4mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L38.0mm_W6.4mm_P45.72mm -Resistor, Axial_Power series, Box, pin pitch=45.72mm, 7W, length*width*height=38*6.4*6.4mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 45.72mm 7W length 38mm width 6.4mm height 6.4mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L38.0mm_W9.0mm_P40.64mm -Resistor, Axial_Power series, Box, pin pitch=40.64mm, 9W, length*width*height=38*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 40.64mm 9W length 38mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L38.0mm_W9.0mm_P45.72mm -Resistor, Axial_Power series, Box, pin pitch=45.72mm, 9W, length*width*height=38*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 45.72mm 9W length 38mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L48.0mm_W12.5mm_P7.62mm_Vertical -Resistor, Axial_Power series, Axial, Vertical, pin pitch=7.62mm, 15W, length*width*height=48*12.5*12.5mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Axial Vertical pin pitch 7.62mm 15W length 48mm width 12.5mm height 12.5mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L48.0mm_W12.5mm_P10.16mm_Vertical -Resistor, Axial_Power series, Axial, Vertical, pin pitch=10.16mm, 15W, length*width*height=48*12.5*12.5mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Axial Vertical pin pitch 10.16mm 15W length 48mm width 12.5mm height 12.5mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L48.0mm_W12.5mm_P55.88mm -Resistor, Axial_Power series, Box, pin pitch=55.88mm, 15W, length*width*height=48*12.5*12.5mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 55.88mm 15W length 48mm width 12.5mm height 12.5mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L48.0mm_W12.5mm_P60.96mm -Resistor, Axial_Power series, Box, pin pitch=60.96mm, 15W, length*width*height=48*12.5*12.5mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 60.96mm 15W length 48mm width 12.5mm height 12.5mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L50.0mm_W9.0mm_P55.88mm -Resistor, Axial_Power series, Box, pin pitch=55.88mm, 11W, length*width*height=50*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 55.88mm 11W length 50mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L50.0mm_W9.0mm_P60.96mm -Resistor, Axial_Power series, Box, pin pitch=60.96mm, 11W, length*width*height=50*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 60.96mm 11W length 50mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L60.0mm_W14.0mm_P10.16mm_Vertical -Resistor, Axial_Power series, Axial, Vertical, pin pitch=10.16mm, 25W, length*width*height=60*14*14mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Axial Vertical pin pitch 10.16mm 25W length 60mm width 14mm height 14mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L60.0mm_W14.0mm_P66.04mm -Resistor, Axial_Power series, Box, pin pitch=66.04mm, 25W, length*width*height=60*14*14mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 66.04mm 25W length 60mm width 14mm height 14mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L60.0mm_W14.0mm_P71.12mm -Resistor, Axial_Power series, Box, pin pitch=71.12mm, 25W, length*width*height=60*14*14mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 71.12mm 25W length 60mm width 14mm height 14mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L75.0mm_W9.0mm_P81.28mm -Resistor, Axial_Power series, Box, pin pitch=81.28mm, 17W, length*width*height=75*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 81.28mm 17W length 75mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Power_L75.0mm_W9.0mm_P86.36mm -Resistor, Axial_Power series, Box, pin pitch=86.36mm, 17W, length*width*height=75*9*9mm^3, http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf -Resistor Axial_Power series Box pin pitch 86.36mm 17W length 75mm width 9mm height 9mm -0 -2 -2 -Resistor_THT -R_Axial_Shunt_L22.2mm_W8.0mm_PS14.30mm_P25.40mm -Resistor, Axial_Shunt series, Box, pin pitch=25.4mm, 3W, length*width*height=22.2*8*8mm^3, shunt pin pitch = 14.30mm, http://www.vishay.com/docs/30217/cpsl.pdf -Resistor Axial_Shunt series Box pin pitch 25.4mm 3W length 22.2mm width 8mm height 8mm shunt pin pitch 14.30mm -0 -4 -4 -Resistor_THT -R_Axial_Shunt_L22.2mm_W9.5mm_PS14.30mm_P25.40mm -Resistor, Axial_Shunt series, Box, pin pitch=25.4mm, 5W, length*width*height=22.2*9.5*9.5mm^3, shunt pin pitch = 14.30mm, http://www.vishay.com/docs/30217/cpsl.pdf -Resistor Axial_Shunt series Box pin pitch 25.4mm 5W length 22.2mm width 9.5mm height 9.5mm shunt pin pitch 14.30mm -0 -4 -4 -Resistor_THT -R_Axial_Shunt_L35.3mm_W9.5mm_PS25.40mm_P38.10mm -Resistor, Axial_Shunt series, Box, pin pitch=38.1mm, 7W, length*width*height=35.3*9.5*9.5mm^3, shunt pin pitch = 25.40mm, http://www.vishay.com/docs/30217/cpsl.pdf -Resistor Axial_Shunt series Box pin pitch 38.1mm 7W length 35.3mm width 9.5mm height 9.5mm shunt pin pitch 25.40mm -0 -4 -4 -Resistor_THT -R_Axial_Shunt_L47.6mm_W9.5mm_PS34.93mm_P50.80mm -Resistor, Axial_Shunt series, Box, pin pitch=50.8mm, 10W, length*width*height=47.6*9.5*9.5mm^3, shunt pin pitch = 34.93mm, http://www.vishay.com/docs/30217/cpsl.pdf -Resistor Axial_Shunt series Box pin pitch 50.8mm 10W length 47.6mm width 9.5mm height 9.5mm shunt pin pitch 34.93mm -0 -4 -4 -Resistor_THT -R_Axial_Shunt_L47.6mm_W12.7mm_PS34.93mm_P50.80mm -Resistor, Axial_Shunt series, Box, pin pitch=50.8mm, 15W, length*width*height=47.6*12.7*12.7mm^3, shunt pin pitch = 34.93mm, http://www.vishay.com/docs/30217/cpsl.pdf -Resistor Axial_Shunt series Box pin pitch 50.8mm 15W length 47.6mm width 12.7mm height 12.7mm shunt pin pitch 34.93mm -0 -4 -4 -Resistor_THT -R_Bare_Metal_Element_L12.4mm_W4.8mm_P11.40mm -Resistor, Bare_Metal_Element series, Bare Metal Strip/Wire, Horizontal, pin pitch=11.4mm, 1W, length*width=12.4*4.8mm^2, https://www.bourns.com/pdfs/PWR4412-2S.pdf -Resistor Bare_Metal_Element series Bare Metal Strip Wire Horizontal pin pitch 11.4mm 1W length 12.4mm width 4.8mm -0 -2 -2 -Resistor_THT -R_Bare_Metal_Element_L16.3mm_W4.8mm_P15.30mm -Resistor, Bare_Metal_Element series, Bare Metal Strip/Wire, Horizontal, pin pitch=15.3mm, 3W, length*width=16.3*4.8mm^2, https://www.bourns.com/pdfs/PWR4412-2S.pdf -Resistor Bare_Metal_Element series Bare Metal Strip Wire Horizontal pin pitch 15.3mm 3W length 16.3mm width 4.8mm -0 -2 -2 -Resistor_THT -R_Bare_Metal_Element_L21.3mm_W4.8mm_P20.30mm -Resistor, Bare_Metal_Element series, Bare Metal Strip/Wire, Horizontal, pin pitch=20.3mm, 5W, length*width=21.3*4.8mm^2, https://www.bourns.com/pdfs/PWR4412-2S.pdf -Resistor Bare_Metal_Element series Bare Metal Strip Wire Horizontal pin pitch 20.3mm 5W length 21.3mm width 4.8mm -0 -2 -2 -Resistor_THT -R_Box_L8.4mm_W2.5mm_P5.08mm -Resistor, Box series, Radial, pin pitch=5.08mm, 0.5W = 1/2W, length*width=8.38*2.54mm^2, http://www.vishay.com/docs/60051/cns020.pdf -Resistor Box series Radial pin pitch 5.08mm 0.5W = 1/2W length 8.38mm width 2.54mm -0 -2 -2 -Resistor_THT -R_Box_L13.0mm_W4.0mm_P9.00mm -Resistor, Box series, Radial, pin pitch=9.00mm, 2W, length*width=13.0*4.0mm^2, http://www.produktinfo.conrad.com/datenblaetter/425000-449999/443860-da-01-de-METALLBAND_WIDERSTAND_0_1_OHM_5W_5Pr.pdf -Resistor Box series Radial pin pitch 9.00mm 2W length 13.0mm width 4.0mm -0 -2 -2 -Resistor_THT -R_Box_L14.0mm_W5.0mm_P9.00mm -Resistor, Box series, Radial, pin pitch=9.00mm, 5W, length*width=14.0*5.0mm^2, http://www.produktinfo.conrad.com/datenblaetter/425000-449999/443860-da-01-de-METALLBAND_WIDERSTAND_0_1_OHM_5W_5Pr.pdf -Resistor Box series Radial pin pitch 9.00mm 5W length 14.0mm width 5.0mm -0 -2 -2 -Resistor_THT -R_Box_L26.0mm_W5.0mm_P20.00mm -Resistor, Box series, Radial, pin pitch=20.00mm, 10W, length*width=26.0*5.0mm^2, http://www.produktinfo.conrad.com/datenblaetter/425000-449999/443860-da-01-de-METALLBAND_WIDERSTAND_0_1_OHM_5W_5Pr.pdf -Resistor Box series Radial pin pitch 20.00mm 10W length 26.0mm width 5.0mm -0 -2 -2 -Resistor_THT -R_Radial_Power_L7.0mm_W8.0mm_Px2.40mm_Py2.30mm -Resistor, Radial_Power series, Radial, pin pitch=2.40*2.30mm^2, 7W, length*width=7*8mm^2, http://www.vitrohm.com/content/files/vitrohm_series_kv_-_201601.pdf -Resistor Radial_Power series Radial pin pitch 2.40*2.30mm^2 7W length 7mm width 8mm -0 -2 -2 -Resistor_THT -R_Radial_Power_L9.0mm_W10.0mm_Px2.70mm_Py2.30mm -Resistor, Radial_Power series, Radial, pin pitch=2.70*2.30mm^2, 17W, length*width=9*10mm^2, http://www.vitrohm.com/content/files/vitrohm_series_kv_-_201601.pdf -Resistor Radial_Power series Radial pin pitch 2.70*2.30mm^2 17W length 9mm width 10mm -0 -2 -2 -Resistor_THT -R_Radial_Power_L11.0mm_W7.0mm_P5.00mm -Resistor, Radial_Power series, Radial, pin pitch=5.00mm, 2W, length*width=11.0*7.0mm^2, http://www.vishay.com/docs/30218/cpcx.pdf -Resistor Radial_Power series Radial pin pitch 5.00mm 2W length 11.0mm width 7.0mm -0 -2 -2 -Resistor_THT -R_Radial_Power_L12.0mm_W8.0mm_P5.00mm -Resistor, Radial_Power series, Radial, pin pitch=5.00mm, 3W, length*width=12.0*8.0mm^2, http://www.vishay.com/docs/30218/cpcx.pdf -Resistor Radial_Power series Radial pin pitch 5.00mm 3W length 12.0mm width 8.0mm -0 -2 -2 -Resistor_THT -R_Radial_Power_L13.0mm_W9.0mm_P5.00mm -Resistor, Radial_Power series, Radial, pin pitch=5.00mm, 7W, length*width=13.0*9.0mm^2, http://www.vishay.com/docs/30218/cpcx.pdf -Resistor Radial_Power series Radial pin pitch 5.00mm 7W length 13.0mm width 9.0mm -0 -2 -2 -Resistor_THT -R_Radial_Power_L16.1mm_W9.0mm_P7.37mm -Resistor, Radial_Power series, Radial, pin pitch=7.37mm, 10W, length*width=16.1*9mm^2, http://www.vishay.com/docs/30218/cpcx.pdf -Resistor Radial_Power series Radial pin pitch 7.37mm 10W length 16.1mm width 9mm -0 -2 -2 -Rotary_Encoder -RotaryEncoder_Alps_EC11E-Switch_Vertical_H20mm -Alps rotary encoder, EC12E... with switch, vertical shaft, http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC11/EC11E15204A3.html -rotary encoder -0 -7 -6 -Rotary_Encoder -RotaryEncoder_Alps_EC11E-Switch_Vertical_H20mm_CircularMountingHoles -Alps rotary encoder, EC12E... with switch, vertical shaft, mounting holes with circular drills, http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC11/EC11E15204A3.html -rotary encoder -0 -7 -6 -Rotary_Encoder -RotaryEncoder_Alps_EC11E_Vertical_H20mm -Alps rotary encoder, EC12E... without switch (pins are dummy), vertical shaft, http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC11/EC11E15204A3.html -rotary encoder -0 -7 -4 -Rotary_Encoder -RotaryEncoder_Alps_EC11E_Vertical_H20mm_CircularMountingHoles -Alps rotary encoder, EC12E... without switch (pins are dummy), vertical shaft, mounting holes with circular drills, http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC11/EC11E15204A3.html -rotary encoder -0 -7 -4 -Rotary_Encoder -RotaryEncoder_Alps_EC12E-Switch_Vertical_H20mm -Alps rotary encoder, EC12E... with switch, vertical shaft, http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC12E/EC12E1240405.html & http://cdn-reichelt.de/documents/datenblatt/F100/402097STEC12E08.PDF -rotary encoder -0 -7 -6 -Rotary_Encoder -RotaryEncoder_Alps_EC12E-Switch_Vertical_H20mm_CircularMountingHoles -Alps rotary encoder, EC12E... with switch, vertical shaft, mounting holes with circular drills, http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC12E/EC12E1240405.html & http://cdn-reichelt.de/documents/datenblatt/F100/402097STEC12E08.PDF -rotary encoder -0 -7 -6 -Rotary_Encoder -RotaryEncoder_Alps_EC12E_Vertical_H20mm -Alps rotary encoder, EC12E..., vertical shaft, http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC12E/EC12E1240405.html -rotary encoder -0 -5 -4 -Rotary_Encoder -RotaryEncoder_Alps_EC12E_Vertical_H20mm_CircularMountingHoles -Alps rotary encoder, EC12E..., vertical shaft, mounting holes with circular drills, http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC12E/EC12E1240405.html -rotary encoder -0 -5 -4 -Rotary_Encoder -RotaryEncoder_Bourns_Horizontal_PEC09-2xxxF-Nxxxx -Bourns rotary encoder, PEC09, without switch, horizontal shaft, https://www.bourns.com/products/encoders/contacting-encoders/product/PEC09 -rotary encoder -0 -3 -3 -Rotary_Encoder -RotaryEncoder_Bourns_Horizontal_PEC09-2xxxF-Sxxxx -Bourns rotary encoder, PEC09, with switch, horizontal shaft, https://www.bourns.com/products/encoders/contacting-encoders/product/PEC09 -rotary encoder -0 -5 -5 -Rotary_Encoder -RotaryEncoder_Bourns_Horizontal_PEC12R-2x17F-Nxxxx -Bourns rotary encoder, PEC12R, without switch, horizontal shaft, 17mm shaft length, https://www.bourns.com/docs/product-datasheets/pec12r.pdf -rotary encoder -0 -5 -4 -Rotary_Encoder -RotaryEncoder_Bourns_Horizontal_PEC12R-2x17F-Sxxxx -Bourns rotary encoder, PEC12R, with switch, horizontal shaft, 17mm shaft length, https://www.bourns.com/docs/product-datasheets/pec12r.pdf -rotary encoder -0 -7 -6 -Rotary_Encoder -RotaryEncoder_Bourns_Vertical_PEC12R-3x17F-Nxxxx -Bourns rotary encoder, PEC12R, without switch, with bushing, vertical shaft, 17.5mm shaft, https://www.bourns.com/docs/product-datasheets/pec12r.pdf -rotary encoder -0 -5 -4 -Rotary_Encoder -RotaryEncoder_Bourns_Vertical_PEC12R-3x17F-Sxxxx -Bourns rotary encoder, PEC12R, with switch, with bushing, vertical shaft, 17.5mm shaft, https://www.bourns.com/docs/product-datasheets/pec12r.pdf -rotary encoder -0 -7 -6 -Sensor -ASAIR_AM2302_P2.54mm_Lead2.75mm_TabDown -Temperature and humidity module, http://akizukidenshi.com/download/ds/aosong/AM2302.pdf -Temperature and humidity module DHT22 AM2302 -0 -4 -4 -Sensor -ASAIR_AM2302_P2.54mm_Vertical -Temperature and humidity module, http://akizukidenshi.com/download/ds/aosong/AM2302.pdf -Temperature and humidity module DHT22 AM2302 -0 -4 -4 -Sensor -Aosong_DHT11_5.5x12.0_P2.54mm -Temperature and humidity module, http://akizukidenshi.com/download/ds/aosong/DHT11.pdf -Temperature and humidity module -0 -4 -4 -Sensor -Avago_APDS-9960 -Digital Proximity, Ambient Light, RGB and Gesture Sensor (https://docs.broadcom.com/doc/AV02-4191EN) -DFN Sensor optical IR -0 -8 -8 -Sensor -LuminOX_LOX-O2 -SST LuminOX Luminescence-based O2 sensor, https://sstsensing.com/wp-content/uploads/2021/08/DS0030rev15_LuminOx.pdf -SST LuminOX O2 -0 -4 -4 -Sensor -MQ-6 -Gas Sensor, 6 pin, https://www.winsen-sensor.com/d/files/semiconductor/mq-6.pdf -gas sensor -0 -6 -6 -Sensor -Rohm_RPR-0521RS -Digital Proximity and Ambient Light Sensor, 2.36 x 3.94 x 1.35mm, LGA-8 (https://fscdn.rohm.com/en/products/databook/datasheet/opto/optical_sensor/opto_module/rpr-0521rs-e.pdf) -DFN Sensor optical IR -0 -8 -8 -Sensor -SHT1x -SHT1x -SHT1x -0 -8 -8 -Sensor -SPEC_110-xxx_SMD-10Pin_20x20mm_P4.0mm -SPEC Sensors SMD package, 20x20mm, https://www.spec-sensors.com/wp-content/uploads/2016/10/3SP_H2S_50-C-Package-110-304.pdf -SPEC Sensors gas sensor -0 -10 -10 -Sensor -Senseair_S8_Down -Sensair S8 Series CO2 sensor, 1kHz PWM output, Modbus, THT -co2 gas sensor pwm modbus -0 -9 -9 -Sensor -Senseair_S8_Up -Sensair S8 Series CO2 sensor, 1kHz PWM output, Modbus, THT -co2 gas sensor pwm modbus -0 -9 -9 -Sensor -Sensirion_SCD4x-1EP_10.1x10.1mm_P1.25mm_EP4.8x4.8mm -Sensirion SCD4x QFN, 20 Pin (https://sensirion.com/media/documents/C4B87CE6/627C2DCD/CD_DS_SCD40_SCD41_Datasheet_D1.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py -Sensirion QFN NoLead -0 -25 -21 -Sensor -Sensortech_MiCS_5x7mm_P1.25mm -https://www.sgxsensortech.com/content/uploads/2014/07/1084_Datasheet-MiCS-5524-rev-8.pdf -Sensortech MiCS MEMS sensor -0 -10 -10 -Sensor -Winson_GM-402B_5x5mm_P1.27mm -Winson GM-402B, 8 Pin (https://www.winsen-sensor.com/d/files/me2/mems--gm-402b--manual-v1_1.pdf) -Winson -0 -8 -8 -Sensor_Audio -CUI_CMC-4013-SMT -Omnidirectional, -42dB, reflowable, electret condenser microphone https://www.cuidevices.com/product/resource/cmc-4013-smt-tr.pdf -Microphone CUI -0 -2 -2 -Sensor_Audio -Infineon_PG-LLGA-5-1 -Infineon_PG-LLGA-5-1 StepUp generated footprint, https://www.infineon.com/cms/en/product/packages/PG-LLGA/PG-LLGA-5-1/ -infineon mems microphone -0 -15 -5 -Sensor_Audio -Infineon_PG-LLGA-5-2 -Infineon, IP57, XENSIV, LGA-5, https://www.infineon.com/dgdl/Infineon-IM73A135-DataSheet-v01_00-EN.pdf?fileId=8ac78c8c7f2a768a017fadec36b84500 -MEMS Microphone LGA Dust Water -0 -5 -5 -Sensor_Audio -InvenSense_ICS-43434-6_3.5x2.65mm -TDK InvenSense MEMS I2S Microphone: https://invensense.tdk.com/products/ics-43434/ -microphone MEMS I2S ICS-43434 TDK InvenSense -0 -6 -6 -Sensor_Audio -Knowles_LGA-5_3.5x2.65mm -https://www.knowles.com/docs/default-source/model-downloads/sph0641lu4h-1-revb.pdf -MEMS Microphone LGA -0 -5 -5 -Sensor_Audio -Knowles_LGA-6_4.72x3.76mm -Knowles MEMS Analog Microphone, Omnidirectional, SMD, Differential/Single-Ended Output, -40dB, Bottom Port, https://www.knowles.com/docs/default-source/default-document-library/spm0687lr5h-1_winfrey_datasheet.pdf -SPM0687LR5H MEMS Microphone LGA -0 -6 -6 -Sensor_Audio -Knowles_SPH0645LM4H-6_3.5x2.65mm -Knowles MEMS I2S Microphone: https://www.knowles.com/subdepartment/dpt-microphones/subdpt-sisonic-surface-mount-mems -microphone MEMS I2S SPH0645LM4H Knowles -0 -6 -6 -Sensor_Audio -ST_HLGA-6_3.76x4.72mm_P1.65mm -http://www.st.com/content/ccc/resource/technical/document/datasheet/group3/27/62/48/98/44/54/4d/36/DM00303211/files/DM00303211.pdf/jcr:content/translations/en.DM00303211.pdf -HLGA Sensor Audio -0 -6 -6 -Sensor_Current -AKM_CQ_7 -AKM Current Sensor, 7 pin, THT (http://www.akm.com/akm/en/file/datasheet/CQ-236B.pdf) -akm current sensor tht -0 -39 -7 -Sensor_Current -AKM_CQ_7S -AKM Current Sensor, 7 pin, SMD (http://www.akm.com/akm/en/file/datasheet/CQ-236B.pdf) -akm current sensor smd -0 -19 -7 -Sensor_Current -AKM_CQ_VSOP-24_5.6x7.9mm_P0.65mm -AKM VSOP-24 current sensor, 5.6x7.9mm body, 0.65mm pitch (http://www.akm.com/akm/en/file/datasheet/CQ-330J.pdf) -akm vsop 24 -0 -10 -10 -Sensor_Current -AKM_CZ_SSOP-10_6.5x8.1mm_P0.95mm -AKM CZ-381x current sensor, 6.5x8.1mm body, 0.95mm pitch (http://www.akm.com/akm/en/product/detail/0009/) -akm cz-381x 10 -0 -10 -10 -Sensor_Current -Allegro_CB_PFF -Allegro MicroSystems, CB-PFF Package (http://www.allegromicro.com/en/Products/Current-Sensor-ICs/Fifty-To-Two-Hundred-Amp-Integrated-Conductor-Sensor-ICs/ACS758.aspx) !PADS 4-5 DO NOT MATCH DATASHEET! -Allegro CB-PFF -0 -37 -5 -Sensor_Current -Allegro_CB_PSF -Allegro MicroSystems, CB-PSF Package (http://www.allegromicro.com/en/Products/Current-Sensor-ICs/Fifty-To-Two-Hundred-Amp-Integrated-Conductor-Sensor-ICs/ACS758.aspx) -Allegro CB-PSF -0 -5 -5 -Sensor_Current -Allegro_CB_PSS -Allegro MicroSystems, CB-PSS Package (http://www.allegromicro.com/en/Products/Current-Sensor-ICs/Fifty-To-Two-Hundred-Amp-Integrated-Conductor-Sensor-ICs/ACS758.aspx) -Allegro CB-PSS -0 -5 -5 -Sensor_Current -Allegro_PSOF-7_4.8x6.4mm_P1.60mm -Allegro Microsystems PSOF-7, 4.8x6.4mm Body, 1.60mm Pitch (http://www.allegromicro.com/~/media/Files/Datasheets/ACS780-Datasheet.ashx) -Allegro PSOF-7 -0 -7 -7 -Sensor_Current -Allegro_QFN-12-10-1EP_3x3mm_P0.5mm -Allegro Microsystems 12-Lead (10-Lead Populated) Quad Flat Pack, 3x3mm Body, 0.5mm Pitch (http://www.allegromicro.com/~/media/Files/Datasheets/ACS711-Datasheet.ashx) -Allegro QFN 0.5 -0 -14 -10 -Sensor_Current -Allegro_QSOP-24_3.9x8.7mm_P0.635mm -Allegro Microsystems 24-Lead Plastic Shrink Small Outline Narrow Body Body [QSOP] (http://www.allegromicro.com/~/media/Files/Datasheets/ACS726-Datasheet.ashx?la=en) -Allegro QSOP 0.635 -0 -24 -24 -Sensor_Current -Allegro_SIP-3 -Allegro Microsystems SIP-3, 1.27mm Pitch (http://www.allegromicro.com/~/media/Files/Datasheets/A1369-Datasheet.ashx) -Allegro SIP-3 -0 -3 -3 -Sensor_Current -Allegro_SIP-4 -Allegro Microsystems SIP-4, 1.27mm Pitch (http://www.allegromicro.com/~/media/Files/Datasheets/A1363-Datasheet.ashx) -Allegro SIP-4 -0 -4 -4 -Sensor_Current -Diodes_SIP-3_4.1x1.5mm_P1.27mm -Diodes SIP-3 Bulk Pack, 1.27mm Pitch (https://www.diodes.com/assets/Package-Files/SIP-3-Bulk-Pack.pdf) -Diodes SIP-3 Bulk Pack -0 -3 -3 -Sensor_Current -Diodes_SIP-3_4.1x1.5mm_P2.65mm -Diodes SIP-3 Ammo Pack, 2.65mm Pitch (https://www.diodes.com/assets/Package-Files/SIP-3-Ammo-Pack.pdf) -Diodes SIP-3 Ammo Pack -0 -3 -3 -Sensor_Current -Honeywell_CSLW -https://sensing.honeywell.com/honeywell-sensing-cslw-series-product-sheet-005861-1-en.pdf -Miniature Wired Open-Loop Current Sensor -0 -5 -5 -Sensor_Current -LEM_CKSR -LEM CKSR 6/15/25/50/75-NP Current Transducer, https://www.lem.com/sites/default/files/products_datasheets/cksr_75-np.pdf -current transducer LEM -0 -14 -14 -Sensor_Current -LEM_HO8-NP -LEM HO 8/15/25-NP Current Transducer (https://www.lem.com/sites/default/files/products_datasheets/ho-np-0000_series.pdf) -current transducer -0 -13 -13 -Sensor_Current -LEM_HO8-NSM -LEM HO 8/15/25-NSM Current Transducer (https://www.lem.com/sites/default/files/products_datasheets/ho-nsm-0000_series.pdf) -current transducer -0 -13 -13 -Sensor_Current -LEM_HO40-NP -LEM HO 40/60/120/150-NP Current Transducer (https://www.lem.com/sites/default/files/products_datasheets/ho-np_0100__1100_series.pdf) -current transducer -0 -13 -13 -Sensor_Current -LEM_HTFS -LEM HTFS x00-P current transducer (https://www.lem.com/sites/default/files/products_datasheets/htfs_200_800-p.pdf) -HTFS current transducer -0 -4 -4 -Sensor_Current -LEM_HX02-P -LEM HX02-P hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%202_6-p_e%20v5.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_HX03-P-SP2 -LEM HX03-P-SP2 hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_HX04-P -LEM HX04-P hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%202_6-p_e%20v5.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_HX05-NP -LEM HX05-NP hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%205_15-np_e%20v10.pdf) -hall current -0 -8 -8 -Sensor_Current -LEM_HX05-P-SP2 -LEM HX05-P-SP2 hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_HX06-P -LEM HX06-P hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%202_6-p_e%20v5.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_HX10-NP -LEM HX10-NP hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%205_15-np_e%20v10.pdf) -hall current -0 -8 -8 -Sensor_Current -LEM_HX10-P-SP2 -LEM HX10-P-SP2 hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_HX15-NP -LEM HX15-NP hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%205_15-np_e%20v10.pdf) -hall current -0 -8 -8 -Sensor_Current -LEM_HX15-P-SP2 -LEM HX15-P-SP2 hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_HX20-P-SP2 -LEM HX20-P-SP2 hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_HX25-P-SP2 -LEM HX25-P-SP2 hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_HX50-P-SP2 -LEM HX50-P-SP2 hall effect current transducer (https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf) -hall current -0 -6 -6 -Sensor_Current -LEM_LA25-P -LEM Current Transducer (https://www.lem.com/sites/default/files/products_datasheets/la_25-p.pdf) -current transducer -0 -3 -3 -Sensor_Current -LEM_LTSR-NP -LEM current transducer LEM_LTSR-NP 5V supply voltage series https://www.lem.com/sites/default/files/products_datasheets/ltsr_6-np.pdf -Current transducer -0 -10 -10 -Sensor_Distance -ST_VL53L1x -VL53L1x distance sensor -VL53L1CXV0FY1 VL53L1x -0 -13 -12 -Sensor_Humidity -Sensirion_DFN-4-1EP_2x2mm_P1mm_EP0.7x1.6mm -DFN, 4 Pin (https://www.sensirion.com/fileadmin/user_upload/customers/sensirion/Dokumente/0_Datasheets/Humidity/Sensirion_Humidity_Sensors_SHTC3_Datasheet.pdf) -Sensirion DFN NoLead -0 -10 -5 -Sensor_Humidity -Sensirion_DFN-4_1.5x1.5mm_P0.8mm_SHT4x_NoCentralPad -Sensirion, SHT40, SHT41, SHT45, DFN, 4 Pin (https://sensirion.com/media/documents/33FD6951/624C4357/Datasheet_SHT4x.pdf) -DFN NoLead -0 -4 -4 -Sensor_Humidity -Sensirion_DFN-8-1EP_2.5x2.5mm_P0.5mm_EP1.1x1.7mm -Sensirion DFN-8 SHT3x-DIS (https://www.sensirion.com/fileadmin/user_upload/customers/sensirion/Dokumente/2_Humidity_Sensors/Datasheets/Sensirion_Humidity_Sensors_SHT3x_Datasheet_digital.pdf) -sensirion dfn nolead -0 -10 -9 -Sensor_Motion -Analog_LGA-16_3.25x3mm_P0.5mm_LayoutBorder3x5y -LGA, 16 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ADXL363.pdf#page=44) -LGA NoLead CC-16-4 -0 -16 -16 -Sensor_Motion -InvenSense_QFN-24_3x3mm_P0.4mm -24-Lead Plastic QFN (3mm x 3mm); Pitch 0.4mm; EP 1.7x1.54mm; for InvenSense motion sensors; keepout area marked (Package see: https://store.invensense.com/datasheets/invensense/MPU9250REV1.0.pdf; See also https://www.invensense.com/wp-content/uploads/2015/02/InvenSense-MEMS-Handling.pdf) -QFN 0.4 -0 -24 -24 -Sensor_Motion -InvenSense_QFN-24_3x3mm_P0.4mm_NoMask -24-Lead Plastic QFN (3mm x 3mm); Pitch 0.4mm; EP 1.7x1.54mm; for InvenSense motion sensors; Mask removed below exposed pad; keepout area marked (Package see: https://store.invensense.com/datasheets/invensense/MPU9250REV1.0.pdf; See also https://www.invensense.com/wp-content/uploads/2015/02/InvenSense-MEMS-Handling.pdf) -QFN 0.4 -0 -25 -24 -Sensor_Motion -InvenSense_QFN-24_4x4mm_P0.5mm -24-Lead Plastic QFN (4mm x 4mm); Pitch 0.5mm; EP 2.7x2.6mm; for InvenSense motion sensors; keepout area marked (Package see: https://store.invensense.com/datasheets/invensense/MPU-6050_DataSheet_V3%204.pdf; See also https://www.invensense.com/wp-content/uploads/2015/02/InvenSense-MEMS-Handling.pdf) -QFN 0.5 -0 -24 -24 -Sensor_Motion -InvenSense_QFN-24_4x4mm_P0.5mm_NoMask -24-Lead Plastic QFN (4mm x 4mm); Pitch 0.5mm; EP 2.7x2.6mm; for InvenSense motion sensors; Mask removed below exposed pad; keepout area marked (Package see: https://store.invensense.com/datasheets/invensense/MPU-6050_DataSheet_V3%204.pdf; See also https://www.invensense.com/wp-content/uploads/2015/02/InvenSense-MEMS-Handling.pdf) -QFN 0.5 -0 -25 -24 -Sensor_Pressure -CFSensor_XGZP6897x -Port External Dia 3.13mm, Port Internal Dia 0.9mm, 8 Pin (https://cfsensor.com/wp-content/uploads/2022/11/XGZP6897D-Pressure-Sensor-V2.7.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py, hand modified -sop sensor -0 -8 -8 -Sensor_Pressure -CFSensor_XGZP6899x -Port External Dia 3mm, Port Internal Dia 1mm, 8 Pin (https://cfsensor.com/wp-content/uploads/2022/11/XGZP6899D-Pressure-Sensor-V2.8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py, hand modified -sop sensor -0 -8 -8 -Sensor_Pressure -Freescale_98ARH99066A -https://www.nxp.com/docs/en/data-sheet/MPXH6250A.pdf -sensor pressure ssop 98ARH99066A -0 -8 -8 -Sensor_Pressure -Freescale_98ARH99089A -https://www.nxp.com/docs/en/data-sheet/MPXH6250A.pdf -sensor pressure ssop 98ARH99089A -0 -8 -8 -Sensor_Pressure -Honeywell_40PCxxxG1A -https://www.honeywellscportal.com/index.php?ci_id=138832 -pressure sensor automotive honeywell -0 -3 -3 -Sensor_Pressure -TE_MS5525DSO-DBxxxyS -Pressure Sensor, Dual-Barbed, https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=MS5525DSO&DocType=DS&DocLang=English -Pressure DualBarbed -0 -14 -14 -Sensor_Pressure -TE_MS5837-xxBA -https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Data+Sheet%7FMS5837-30BA%7FB1%7Fpdf%7FEnglish%7FENG_DS_MS5837-30BA_B1.pdf%7FCAT-BLPS0017 -MS5837 Ultra-small gel-filled pressure sensor with stainless steel cap -0 -4 -4 -Sensor_Voltage -LEM_LV25-P -LEM LV25-P Voltage transducer, https://www.lem.com/sites/default/files/products_datasheets/lv_25-p.pdf -LEM Hall Effect Voltage transducer -0 -5 -5 -Socket -3M_Textool_240-1288-00-0602J_2x20_P2.54mm -3M 40-pin zero insertion force socket, though-hole, row spacing 25.4 mm (1000 mils) -THT DIP DIL ZIF 25.4mm 1000mil Socket -0 -40 -40 -Socket -DIP_Socket-14_W4.3_W5.08_W7.62_W10.16_W10.9_3M_214-3339-00-0602J -3M 14-pin zero insertion force socket, through-hole, row spacing 7.62 mm (300 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 7.62mm 300mil Socket -0 -14 -14 -Socket -DIP_Socket-16_W4.3_W5.08_W7.62_W10.16_W10.9_3M_216-3340-00-0602J -3M 16-pin zero insertion force socket, through-hole, row spacing 7.62 mm (300 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 7.62mm 300mil Socket -0 -16 -16 -Socket -DIP_Socket-18_W4.3_W5.08_W7.62_W10.16_W10.9_3M_218-3341-00-0602J -3M 18-pin zero insertion force socket, through-hole, row spacing 7.62 mm (300 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 7.62mm 300mil Socket -0 -18 -18 -Socket -DIP_Socket-20_W4.3_W5.08_W7.62_W10.16_W10.9_3M_220-3342-00-0602J -3M 20-pin zero insertion force socket, through-hole, row spacing 7.62 mm (300 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 7.62mm 300mil Socket -0 -20 -20 -Socket -DIP_Socket-22_W6.9_W7.62_W10.16_W12.7_W13.5_3M_222-3343-00-0602J -3M 22-pin zero insertion force socket, through-hole, row spacing 10.16 mm (400 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 10.16mm 400mil Socket -0 -22 -22 -Socket -DIP_Socket-24_W4.3_W5.08_W7.62_W10.16_W10.9_3M_224-5248-00-0602J -3M 24-pin zero insertion force socket, through-hole, row spacing 7.62 mm (300 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 7.62mm 300mil Socket -0 -24 -24 -Socket -DIP_Socket-24_W11.9_W12.7_W15.24_W17.78_W18.5_3M_224-1275-00-0602J -3M 24-pin zero insertion force socket, through-hole, row spacing 15.24 mm (600 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 15.24mm 600mil Socket -0 -24 -24 -Socket -DIP_Socket-28_W6.9_W7.62_W10.16_W12.7_W13.5_3M_228-4817-00-0602J -3M 28-pin zero insertion force socket, through-hole, row spacing 10.16 mm (400 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 10.16mm 400mil Socket -0 -28 -28 -Socket -DIP_Socket-28_W11.9_W12.7_W15.24_W17.78_W18.5_3M_228-1277-00-0602J -3M 28-pin zero insertion force socket, through-hole, row spacing 15.24 mm (600 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 15.24mm 600mil Socket -0 -28 -28 -Socket -DIP_Socket-32_W11.9_W12.7_W15.24_W17.78_W18.5_3M_232-1285-00-0602J -3M 32-pin zero insertion force socket, through-hole, row spacing 15.24 mm (600 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 15.24mm 600mil Socket -0 -32 -32 -Socket -DIP_Socket-40_W11.9_W12.7_W15.24_W17.78_W18.5_3M_240-1280-00-0602J -3M 40-pin zero insertion force socket, through-hole, row spacing 15.24 mm (600 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 15.24mm 600mil Socket -0 -40 -40 -Socket -DIP_Socket-40_W22.1_W22.86_W25.4_W27.94_W28.7_3M_240-3639-00-0602J -3M 40-pin zero insertion force socket, through-hole, row spacing 25.4 mm (1000 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 25.4mm 1000mil Socket -0 -40 -40 -Socket -DIP_Socket-42_W11.9_W12.7_W15.24_W17.78_W18.5_3M_242-1281-00-0602J -3M 42-pin zero insertion force socket, through-hole, row spacing 15.24 mm (600 mils), http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf -THT DIP DIL ZIF 15.24mm 600mil Socket -0 -42 -42 -Socket -Wells_648-0482211SA01 -https://www.farnell.com/cad/316865.pdf?_ga=2.37208032.177107060.1530611323-249019997.1498114824 -48pin TSOP Socket -0 -48 -48 -Symbol -CE-Logo_8.5x6mm_SilkScreen -CE marking -Logo CE certification -0 -0 -0 -Symbol -CE-Logo_11.2x8mm_SilkScreen -CE marking -Logo CE certification -0 -0 -0 -Symbol -CE-Logo_16.8x12mm_SilkScreen -CE marking -Logo CE certification -0 -0 -0 -Symbol -CE-Logo_28x20mm_SilkScreen -CE marking -Logo CE certification -0 -0 -0 -Symbol -CE-Logo_42x30mm_SilkScreen -CE marking -Logo CE certification -0 -0 -0 -Symbol -CE-Logo_56.1x40mm_SilkScreen -CE marking -Logo CE certification -0 -0 -0 -Symbol -ESD-Logo_6.6x6mm_SilkScreen -Electrostatic discharge Logo -Logo ESD -0 -0 -0 -Symbol -ESD-Logo_8.9x8mm_SilkScreen -Electrostatic discharge Logo -Logo ESD -0 -0 -0 -Symbol -ESD-Logo_13.2x12mm_SilkScreen -Electrostatic discharge Logo -Logo ESD -0 -0 -0 -Symbol -ESD-Logo_22x20mm_SilkScreen -Electrostatic discharge Logo -Logo ESD -0 -0 -0 -Symbol -ESD-Logo_33x30mm_SilkScreen -Electrostatic discharge Logo -Logo ESD -0 -0 -0 -Symbol -ESD-Logo_44.1x40mm_SilkScreen -Electrostatic discharge Logo -Logo ESD -0 -0 -0 -Symbol -EasterEgg_EWG1308-2013_ClassA -Egg with 42x60mm Body-Size, ClassA, according to EWG1308/2013 -egg easter -0 -0 -0 -Symbol -FCC-Logo_7.3x6mm_SilkScreen -FCC marking -Logo FCC certification -0 -0 -0 -Symbol -FCC-Logo_9.6x8mm_SilkScreen -FCC marking -Logo FCC certification -0 -0 -0 -Symbol -FCC-Logo_14.6x12mm_SilkScreen -FCC marking -Logo FCC certification -0 -0 -0 -Symbol -FCC-Logo_24.2x20mm_SilkScreen -FCC marking -Logo FCC certification -0 -0 -0 -Symbol -FCC-Logo_36.3x30mm_SilkScreen -FCC marking -Logo FCC certification -0 -0 -0 -Symbol -FCC-Logo_48.3x40mm_SilkScreen -FCC marking -Logo FCC certification -0 -0 -0 -Symbol -KiCad-Logo2_5mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_5mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_6mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_6mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_8mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_8mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_12mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_12mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_20mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_20mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_30mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_30mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_40mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo2_40mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_5mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_5mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_6mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_6mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_8mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_8mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_12mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_12mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_20mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_20mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_30mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_30mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_40mm_Copper -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -KiCad-Logo_40mm_SilkScreen -KiCad Logo -Logo KiCad -0 -0 -0 -Symbol -OSHW-Logo2_7.3x6mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_7.3x6mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_9.8x8mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_9.8x8mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_14.6x12mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_14.6x12mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_24.3x20mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_24.3x20mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_36.5x30mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_36.5x30mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_48.7x40mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo2_48.7x40mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Logo_5.7x6mm_Copper -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_5.7x6mm_SilkScreen -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_7.5x8mm_Copper -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_7.5x8mm_SilkScreen -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_11.4x12mm_Copper -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_11.4x12mm_SilkScreen -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_19x20mm_Copper -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_19x20mm_SilkScreen -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_28.5x30mm_Copper -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_28.5x30mm_SilkScreen -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_38.1x40mm_Copper -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Logo_38.1x40mm_SilkScreen -Open Source Hardware Logo -Logo OSHW -0 -0 -0 -Symbol -OSHW-Symbol_6.7x6mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_6.7x6mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_8.9x8mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_8.9x8mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_13.4x12mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_13.4x12mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_22.3x20mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_22.3x20mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_33.5x30mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_33.5x30mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_44.5x40mm_Copper -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -OSHW-Symbol_44.5x40mm_SilkScreen -Open Source Hardware Symbol -Logo Symbol OSHW -0 -0 -0 -Symbol -Polarity_Center_Negative_6mm_SilkScreen -Polarity Logo, Center Negative -Logo Polarity Center Negative -0 -0 -0 -Symbol -Polarity_Center_Negative_8mm_SilkScreen -Polarity Logo, Center Negative -Logo Polarity Center Negative -0 -0 -0 -Symbol -Polarity_Center_Negative_12mm_SilkScreen -Polarity Logo, Center Negative -Logo Polarity Center Negative -0 -0 -0 -Symbol -Polarity_Center_Negative_20mm_SilkScreen -Polarity Logo, Center Negative -Logo Polarity Center Negative -0 -0 -0 -Symbol -Polarity_Center_Negative_30mm_SilkScreen -Polarity Logo, Center Negative -Logo Polarity Center Negative -0 -0 -0 -Symbol -Polarity_Center_Negative_40mm_SilkScreen -Polarity Logo, Center Negative -Logo Polarity Center Negative -0 -0 -0 -Symbol -Polarity_Center_Positive_6mm_SilkScreen -Polarity Logo, Center Positive -Logo Polarity Center Positive -0 -0 -0 -Symbol -Polarity_Center_Positive_8mm_SilkScreen -Polarity Logo, Center Positive -Logo Polarity Center Positive -0 -0 -0 -Symbol -Polarity_Center_Positive_12mm_SilkScreen -Polarity Logo, Center Positive -Logo Polarity Center Positive -0 -0 -0 -Symbol -Polarity_Center_Positive_20mm_SilkScreen -Polarity Logo, Center Positive -Logo Polarity Center Positive -0 -0 -0 -Symbol -Polarity_Center_Positive_30mm_SilkScreen -Polarity Logo, Center Positive -Logo Polarity Center Positive -0 -0 -0 -Symbol -Polarity_Center_Positive_40mm_SilkScreen -Polarity Logo, Center Positive -Logo Polarity Center Positive -0 -0 -0 -Symbol -RoHS-Logo_6mm_SilkScreen -Restriction of Hazardous Substances Directive Logo -Logo RoHS -0 -0 -0 -Symbol -RoHS-Logo_8mm_SilkScreen -Restriction of Hazardous Substances Directive Logo -Logo RoHS -0 -0 -0 -Symbol -RoHS-Logo_12mm_SilkScreen -Restriction of Hazardous Substances Directive Logo -Logo RoHS -0 -0 -0 -Symbol -RoHS-Logo_20mm_SilkScreen -Restriction of Hazardous Substances Directive Logo -Logo RoHS -0 -0 -0 -Symbol -RoHS-Logo_30mm_SilkScreen -Restriction of Hazardous Substances Directive Logo -Logo RoHS -0 -0 -0 -Symbol -RoHS-Logo_40mm_SilkScreen -Restriction of Hazardous Substances Directive Logo -Logo RoHS -0 -0 -0 -Symbol -Symbol_Attention_CopperTop_Big -Symbol, Attention, Copper Top, Big, -Symbol, Attention, Copper Top, Big, -0 -0 -0 -Symbol -Symbol_Attention_CopperTop_Small -Symbol, Attention, Copper Top, Small, -Symbol, Attention, Copper Top, Small, -0 -0 -0 -Symbol -Symbol_Barrel_Polarity -Barrel connector polarity indicator -barrel polarity -0 -0 -0 -Symbol -Symbol_CC-Attribution_CopperTop_Big -Symbol, CC-Attribution, Copper Top, Big, -Symbol, CC-Attribution, Copper Top, Big, -0 -0 -0 -Symbol -Symbol_CC-Attribution_CopperTop_Small -Symbol, CC-Share Alike, Copper Top, Small, -Symbol, CC-Share Alike, Copper Top, Small, -0 -0 -0 -Symbol -Symbol_CC-Noncommercial_CopperTop_Big -Symbol, CC-Noncommercial, Copper Top, Big, -Symbol, CC-Noncommercial, Copper Top, Big, -0 -0 -0 -Symbol -Symbol_CC-Noncommercial_CopperTop_Small -Symbol, CC-Noncommercial Alike, Copper Top, Small, -Symbol, CC-Noncommercial Alike, Copper Top, Small, -0 -0 -0 -Symbol -Symbol_CC-PublicDomain_CopperTop_Big -Symbol, CC-PublicDomain, Copper Top, Big, -Symbol, CC-PublicDomain, Copper Top, Big, -0 -0 -0 -Symbol -Symbol_CC-PublicDomain_CopperTop_Small -Symbol, CC-Public Domain, Copper Top, Small, -Symbol, CC-Public Domain, Copper Top, Small, -0 -0 -0 -Symbol -Symbol_CC-PublicDomain_SilkScreenTop_Big -Symbol, CC-PublicDomain, SilkScreen Top, Big, -Symbol, CC-PublicDomain, SilkScreen Top, Big, -0 -0 -0 -Symbol -Symbol_CC-ShareAlike_CopperTop_Big -Symbol, CC-Share Alike, Copper Top, Big, -Symbol, CC-Share Alike, Copper Top, Big, -0 -0 -0 -Symbol -Symbol_CC-ShareAlike_CopperTop_Small -Symbol, CC-Share Alike, Copper Top, Small, -Symbol, CC-Share Alike, Copper Top, Small, -0 -0 -0 -Symbol -Symbol_CreativeCommonsPublicDomain_CopperTop_Small -Symbol, Creative Commons Public Domain, CopperTop, Small, -Symbol, Creative Commons Public Domain, CopperTop, Small, -0 -0 -0 -Symbol -Symbol_CreativeCommonsPublicDomain_SilkScreenTop_Small -Symbol, Creative Commons Public Domain, SilkScreenTop, Small, -Symbol, Creative Commons Public Domain, SilkScreen Top, Small, -0 -0 -0 -Symbol -Symbol_CreativeCommons_CopperTop_Type1_Big -Symbol, Creative Commons, CopperTop, Type 1, Big, -Symbol, Creative Commons, CopperTop, Type 1, Big, -0 -0 -0 -Symbol -Symbol_CreativeCommons_CopperTop_Type2_Big -Symbol, Creative Commons, CopperTop, Type 2, Big, -Symbol, Creative Commons, CopperTop, Type 2, Big, -0 -0 -0 -Symbol -Symbol_CreativeCommons_CopperTop_Type2_Small -Symbol, Creative Commons, CopperTop, Type 2, Small, -Symbol, Creative Commons, CopperTop, Type 2, Small, -0 -0 -0 -Symbol -Symbol_CreativeCommons_SilkScreenTop_Type2_Big -Symbol, Creative Commons, SilkScreen Top, Type 2, Big, -Symbol, Creative Commons, SilkScreen Top, Type 2, Big, -0 -0 -0 -Symbol -Symbol_Danger_CopperTop_Big -Symbol, Danger, CopperTop, Big, -Symbol, Danger, CopperTop, Big, -0 -0 -0 -Symbol -Symbol_Danger_CopperTop_Small -Symbol, Danger, Copper Top, Small, -Symbol, Danger, Copper Top, Small, -0 -0 -0 -Symbol -Symbol_ESD-Logo-Text_CopperTop - - -0 -0 -0 -Symbol -Symbol_ESD-Logo_CopperTop -ESD-Logo, similar JEDEC-14, without text, ohne Text, Copper Top, -ESD-Logo, similar JEDEC-14, without text, ohne Text, Copper Top, -0 -0 -0 -Symbol -Symbol_GNU-GPL_CopperTop_Big -Symbol, GNU-GPL, Copper Top, Big, -Symbol, GNU-GPL, Copper Top, Big, -0 -0 -0 -Symbol -Symbol_GNU-GPL_CopperTop_Small -Symbol, GNU-GPL, Copper Top, Small, -Symbol, GNU-GPL, Copper Top, Small, -0 -0 -0 -Symbol -Symbol_GNU-Logo_CopperTop -GNU-Logo, GNU-Head, GNU-Kopf, Copper Top, -GNU-Logo, GNU-Head, GNU-Kopf, Copper Top, -0 -0 -0 -Symbol -Symbol_GNU-Logo_SilkscreenTop -GNU-Logo, GNU-Head, GNU-Kopf, Silkscreen, -GNU-Logo, GNU-Head, GNU-Kopf, Silkscreen, -0 -0 -0 -Symbol -Symbol_HighVoltage_Type1_CopperTop_Big -Symbol, HighVoltage, Type1, Copper Top, Big, -Symbol, HighVoltage, Type1, Copper Top, Big, -0 -0 -0 -Symbol -Symbol_HighVoltage_Type2_CopperTop_Big -Symbol, HighVoltage, Type2, Copper Top, Big, -Symbol, HighVoltage, Type2, Copper Top, Big, -0 -0 -0 -Symbol -Symbol_HighVoltage_Type2_CopperTop_VerySmall -Symbol, High Voltage, Type 2, Copper Top, Very Small, -Symbol, High Voltage, Type 2, Copper Top, Very Small, -0 -0 -0 -Symbol -Symbol_Highvoltage_Type1_CopperTop_Small -Symbol, Highvoltage, Type 1, Copper Top, Small, -Symbol, Highvoltage, Type 1, Copper Top, Small, -0 -0 -0 -Symbol -Symbol_Highvoltage_Type2_CopperTop_Small -Symbol, Highvoltage, Type 2, Copper Top, Small, -Symbol, Highvoltage, Type 2, Copper Top, Small, -0 -0 -0 -Symbol -UKCA-Logo_6x6mm_SilkScreen -UKCA marking -Logo UKCA marking -0 -0 -0 -Symbol -UKCA-Logo_8x8mm_SilkScreen -UKCA marking -Logo UKCA marking -0 -0 -0 -Symbol -UKCA-Logo_12x12mm_SilkScreen -UKCA marking -Logo UKCA marking -0 -0 -0 -Symbol -UKCA-Logo_20x20mm_SilkScreen -UKCA marking -Logo UKCA marking -0 -0 -0 -Symbol -UKCA-Logo_30x30mm_SilkScreen -UKCA marking -Logo UKCA marking -0 -0 -0 -Symbol -UKCA-Logo_40x40mm_SilkScreen -UKCA marking -Logo UKCA marking -0 -0 -0 -Symbol -WEEE-Logo_4.2x6mm_SilkScreen -Waste Electrical and Electronic Equipment Directive -Logo WEEE -0 -0 -0 -Symbol -WEEE-Logo_5.6x8mm_SilkScreen -Waste Electrical and Electronic Equipment Directive -Logo WEEE -0 -0 -0 -Symbol -WEEE-Logo_8.4x12mm_SilkScreen -Waste Electrical and Electronic Equipment Directive -Logo WEEE -0 -0 -0 -Symbol -WEEE-Logo_14x20mm_SilkScreen -Waste Electrical and Electronic Equipment Directive -Logo WEEE -0 -0 -0 -Symbol -WEEE-Logo_21x30mm_SilkScreen -Waste Electrical and Electronic Equipment Directive -Logo WEEE -0 -0 -0 -Symbol -WEEE-Logo_28.1x40mm_SilkScreen -Waste Electrical and Electronic Equipment Directive -Logo WEEE -0 -0 -0 -TerminalBlock -TerminalBlock_Altech_AK300-2_P5.00mm -Altech AK300 terminal block, pitch 5.0mm, 45 degree angled, see http://www.mouser.com/ds/2/16/PCBMETRC-24178.pdf -Altech AK300 terminal block pitch 5.0mm -0 -2 -2 -TerminalBlock -TerminalBlock_Altech_AK300-3_P5.00mm -Altech AK300 terminal block, pitch 5.0mm, 45 degree angled, see http://www.mouser.com/ds/2/16/PCBMETRC-24178.pdf -Altech AK300 terminal block pitch 5.0mm -0 -3 -3 -TerminalBlock -TerminalBlock_Altech_AK300-4_P5.00mm -Altech AK300 terminal block, pitch 5.0mm, 45 degree angled, see http://www.mouser.com/ds/2/16/PCBMETRC-24178.pdf -Altech AK300 terminal block pitch 5.0mm -0 -4 -4 -TerminalBlock -TerminalBlock_Wuerth_691311400102_P7.62mm -https://katalog.we-online.de/em/datasheet/6913114001xx.pdf -Wuerth WR-TBL Series 3114 terminal block pitch 7.62mm -0 -2 -2 -TerminalBlock -TerminalBlock_bornier-2_P5.08mm -simple 2-pin terminal block, pitch 5.08mm, revamped version of bornier2 -terminal block bornier2 -0 -2 -2 -TerminalBlock -TerminalBlock_bornier-3_P5.08mm -simple 3-pin terminal block, pitch 5.08mm, revamped version of bornier3 -terminal block bornier3 -0 -3 -3 -TerminalBlock -TerminalBlock_bornier-4_P5.08mm -simple 4-pin terminal block, pitch 5.08mm, revamped version of bornier4 -terminal block bornier4 -0 -4 -4 -TerminalBlock -TerminalBlock_bornier-5_P5.08mm -simple 5-pin terminal block, pitch 5.08mm, revamped version of bornier5 -terminal block bornier5 -0 -5 -5 -TerminalBlock -TerminalBlock_bornier-6_P5.08mm -simple 6pin terminal block, pitch 5.08mm, revamped version of bornier6 -terminal block bornier6 -0 -6 -6 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x02_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 19963, 2 pins, pitch 3.5mm, size 7.7x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/19963.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 19963 pitch 3.5mm size 7.7x7mm^2 drill 1.2mm pad 2.4mm -0 -2 -2 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x02_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10693, vertical (cable from top), 2 pins, pitch 3.5mm, size 8x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10693.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10693 vertical pitch 3.5mm size 8x8.3mm^2 drill 1.3mm pad 2.6mm -0 -2 -2 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x03_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 20193, 3 pins, pitch 3.5mm, size 11.2x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/20193.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 20193 pitch 3.5mm size 11.2x7mm^2 drill 1.2mm pad 2.4mm -0 -3 -3 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x03_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10694, vertical (cable from top), 3 pins, pitch 3.5mm, size 11.5x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10694.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10694 vertical pitch 3.5mm size 11.5x8.3mm^2 drill 1.3mm pad 2.6mm -0 -3 -3 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x04_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 20001, 4 pins, pitch 3.5mm, size 14.7x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/20001.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 20001 pitch 3.5mm size 14.7x7mm^2 drill 1.2mm pad 2.4mm -0 -4 -4 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x04_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10695, vertical (cable from top), 4 pins, pitch 3.5mm, size 15x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10695.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10695 vertical pitch 3.5mm size 15x8.3mm^2 drill 1.3mm pad 2.6mm -0 -4 -4 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x05_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 20223, 5 pins, pitch 3.5mm, size 18.2x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/20223.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 20223 pitch 3.5mm size 18.2x7mm^2 drill 1.2mm pad 2.4mm -0 -5 -5 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x05_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10696, vertical (cable from top), 5 pins, pitch 3.5mm, size 18.5x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10696.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10696 vertical pitch 3.5mm size 18.5x8.3mm^2 drill 1.3mm pad 2.6mm -0 -5 -5 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x06_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 19964, 6 pins, pitch 3.5mm, size 21.7x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/19964.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 19964 pitch 3.5mm size 21.7x7mm^2 drill 1.2mm pad 2.4mm -0 -6 -6 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x06_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10697, vertical (cable from top), 6 pins, pitch 3.5mm, size 22x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10697.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10697 vertical pitch 3.5mm size 22x8.3mm^2 drill 1.3mm pad 2.6mm -0 -6 -6 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x07_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 10684, 7 pins, pitch 3.5mm, size 25.2x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/10684.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10684 pitch 3.5mm size 25.2x7mm^2 drill 1.2mm pad 2.4mm -0 -7 -7 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x07_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10698, vertical (cable from top), 7 pins, pitch 3.5mm, size 25.5x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10698.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10698 vertical pitch 3.5mm size 25.5x8.3mm^2 drill 1.3mm pad 2.6mm -0 -7 -7 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x08_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 19965, 8 pins, pitch 3.5mm, size 28.7x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/19965.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 19965 pitch 3.5mm size 28.7x7mm^2 drill 1.2mm pad 2.4mm -0 -8 -8 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x08_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10699, vertical (cable from top), 8 pins, pitch 3.5mm, size 29x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10699.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10699 vertical pitch 3.5mm size 29x8.3mm^2 drill 1.3mm pad 2.6mm -0 -8 -8 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x09_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 10686, 9 pins, pitch 3.5mm, size 32.2x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/10686.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10686 pitch 3.5mm size 32.2x7mm^2 drill 1.2mm pad 2.4mm -0 -9 -9 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x09_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10700, vertical (cable from top), 9 pins, pitch 3.5mm, size 32.5x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10700.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10700 vertical pitch 3.5mm size 32.5x8.3mm^2 drill 1.3mm pad 2.6mm -0 -9 -9 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x10_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 10687, 10 pins, pitch 3.5mm, size 35.7x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/10687.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10687 pitch 3.5mm size 35.7x7mm^2 drill 1.2mm pad 2.4mm -0 -10 -10 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x10_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10701, vertical (cable from top), 10 pins, pitch 3.5mm, size 36x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10701.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10701 vertical pitch 3.5mm size 36x8.3mm^2 drill 1.3mm pad 2.6mm -0 -10 -10 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x11_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 10688, 11 pins, pitch 3.5mm, size 39.2x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/10688.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10688 pitch 3.5mm size 39.2x7mm^2 drill 1.2mm pad 2.4mm -0 -11 -11 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x11_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10702, vertical (cable from top), 11 pins, pitch 3.5mm, size 39.5x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10702.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10702 vertical pitch 3.5mm size 39.5x8.3mm^2 drill 1.3mm pad 2.6mm -0 -11 -11 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x12_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 10689, 12 pins, pitch 3.5mm, size 42.7x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/10689.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10689 pitch 3.5mm size 42.7x7mm^2 drill 1.2mm pad 2.4mm -0 -12 -12 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x12_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10703, vertical (cable from top), 12 pins, pitch 3.5mm, size 43x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10703.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10703 vertical pitch 3.5mm size 43x8.3mm^2 drill 1.3mm pad 2.6mm -0 -12 -12 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x13_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 10690, 13 pins, pitch 3.5mm, size 46.2x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/10690.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10690 pitch 3.5mm size 46.2x7mm^2 drill 1.2mm pad 2.4mm -0 -13 -13 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x13_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10704, vertical (cable from top), 13 pins, pitch 3.5mm, size 46.5x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10704.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10704 vertical pitch 3.5mm size 46.5x8.3mm^2 drill 1.3mm pad 2.6mm -0 -13 -13 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x14_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 10691, 14 pins, pitch 3.5mm, size 49.7x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/10691.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10691 pitch 3.5mm size 49.7x7mm^2 drill 1.2mm pad 2.4mm -0 -14 -14 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x14_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10705, vertical (cable from top), 14 pins, pitch 3.5mm, size 50x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10705.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10705 vertical pitch 3.5mm size 50x8.3mm^2 drill 1.3mm pad 2.6mm -0 -14 -14 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x15_P3.50mm_Horizontal -Terminal Block 4Ucon ItemNo. 10692, 15 pins, pitch 3.5mm, size 53.2x7mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.4uconnector.com/online/object/4udrawing/10692.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10692 pitch 3.5mm size 53.2x7mm^2 drill 1.2mm pad 2.4mm -0 -15 -15 -TerminalBlock_4Ucon -TerminalBlock_4Ucon_1x15_P3.50mm_Vertical -Terminal Block 4Ucon ItemNo. 10706, vertical (cable from top), 15 pins, pitch 3.5mm, size 53.5x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.4uconnector.com/online/object/4udrawing/10706.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon -THT Terminal Block 4Ucon ItemNo. 10706 vertical pitch 3.5mm size 53.5x8.3mm^2 drill 1.3mm pad 2.6mm -0 -15 -15 -TerminalBlock_Altech -Altech_AK300_1x02_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -2 -2 -TerminalBlock_Altech -Altech_AK300_1x03_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -3 -3 -TerminalBlock_Altech -Altech_AK300_1x04_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -4 -4 -TerminalBlock_Altech -Altech_AK300_1x05_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -5 -5 -TerminalBlock_Altech -Altech_AK300_1x06_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -6 -6 -TerminalBlock_Altech -Altech_AK300_1x07_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -7 -7 -TerminalBlock_Altech -Altech_AK300_1x08_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -8 -8 -TerminalBlock_Altech -Altech_AK300_1x09_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -9 -9 -TerminalBlock_Altech -Altech_AK300_1x10_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -10 -10 -TerminalBlock_Altech -Altech_AK300_1x11_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -11 -11 -TerminalBlock_Altech -Altech_AK300_1x12_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -12 -12 -TerminalBlock_Altech -Altech_AK300_1x13_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -13 -13 -TerminalBlock_Altech -Altech_AK300_1x14_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -14 -14 -TerminalBlock_Altech -Altech_AK300_1x15_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -15 -15 -TerminalBlock_Altech -Altech_AK300_1x16_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -16 -16 -TerminalBlock_Altech -Altech_AK300_1x17_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -17 -17 -TerminalBlock_Altech -Altech_AK300_1x18_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -18 -18 -TerminalBlock_Altech -Altech_AK300_1x19_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -19 -19 -TerminalBlock_Altech -Altech_AK300_1x20_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -20 -20 -TerminalBlock_Altech -Altech_AK300_1x21_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -21 -21 -TerminalBlock_Altech -Altech_AK300_1x22_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -22 -22 -TerminalBlock_Altech -Altech_AK300_1x23_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -23 -23 -TerminalBlock_Altech -Altech_AK300_1x24_P5.00mm_45-Degree -Altech AK300 serie terminal block (Script generated with StandardBox.py) (http://www.altechcorp.com/PDFS/PCBMETRC.PDF) -Altech AK300 serie connector -0 -24 -24 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-02_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -2 -2 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-03_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -3 -3 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-04_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -4 -4 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-05_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -5 -5 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-06_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -6 -6 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-07_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -7 -7 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-08_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -8 -8 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-09_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -9 -9 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-10_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -10 -10 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-11_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -11 -11 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-12_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -12 -12 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-13_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -13 -13 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-14_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -14 -14 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-15_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -15 -15 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-16_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -16 -16 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-17_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -17 -17 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-18_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -18 -18 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-19_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -19 -19 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-20_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -20 -20 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-21_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -21 -21 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-22_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -22 -22 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-23_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -23 -23 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-24_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -24 -24 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-25_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -25 -25 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-26_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -26 -26 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-27_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -27 -27 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-28_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -28 -28 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-29_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -29 -29 -TerminalBlock_Dinkle -TerminalBlock_Dinkle_DT-55-B01X-30_P10.00mm -Dinkle DT-55-B01X Terminal Block pitch 10.00mm https://www.dinkle.com/en/terminal/DT-55-B01W-XX -Dinkle DT-55-B01X Terminal Block pitch 10.00mm -0 -30 -30 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_360271_1x01_Horizontal_ScrewM3.0_Boxed -single screw terminal block Metz Connect 360271, block size 9x7.3mm^2, drill diamater 1.5mm, 1 pads, pad diameter 3mm, see http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en page 134, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT single screw terminal block Metz Connect 360271 size 9x7.3mm^2 drill 1.5mm pad 3mm -0 -1 -1 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_360272_1x01_Horizontal_ScrewM2.6 -single screw terminal block Metz Connect 360272, block size 4x4mm^2, drill diamater 1.5mm, 2 pads, pad diameter 3mm, see http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en page 131, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT single screw terminal block Metz Connect 360272 size 4x4mm^2 drill 1.5mm pad 3mm -0 -2 -1 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_360273_1x01_Horizontal_ScrewM2.6_WireProtection -single screw terminal block Metz Connect 360273, block size 5x4mm^2, drill diamater 1.5mm, 2 pads, pad diameter 3mm, see http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en page 131, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT single screw terminal block Metz Connect 360273 size 5x4mm^2 drill 1.5mm pad 3mm -0 -2 -1 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_360291_1x01_Horizontal_ScrewM3.0_Boxed -single screw terminal block Metz Connect 360291, block size 9x7.3mm^2, drill diamater 1.5mm, 2 pads, pad diameter 3mm, see http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en page 133, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT single screw terminal block Metz Connect 360291 size 9x7.3mm^2 drill 1.5mm pad 3mm -0 -2 -1 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_360322_1x01_Horizontal_ScrewM3.0_WireProtection -single screw terminal block Metz Connect 360322, block size 6x4mm^2, drill diamater 1.5mm, 2 pads, pad diameter 3mm, see http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en page 133, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT single screw terminal block Metz Connect 360322 size 6x4mm^2 drill 1.5mm pad 3mm -0 -2 -1 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_360381_1x01_Horizontal_ScrewM3.0 -single screw terminal block Metz Connect 360381, block size 5x5mm^2, drill diamater 1.5mm, 2 pads, pad diameter 3mm, see http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en page 133, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT single screw terminal block Metz Connect 360381 size 5x5mm^2 drill 1.5mm pad 3mm -0 -2 -1 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_360410_1x01_Horizontal_ScrewM3.0 -single screw terminal block Metz Connect 360410, block size 5x5mm^2, drill diamater 1.5mm, 2 pads, pad diameter 3mm, see http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en page 132, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT single screw terminal block Metz Connect 360410 size 5x5mm^2 drill 1.5mm pad 3mm -0 -2 -1 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_360425_1x01_Horizontal_ScrewM4.0_Boxed -single screw terminal block Metz Connect 360425, block size 9x9mm^2, drill diamater 1.6mm, 4 pads, pad diameter 3.2mm, see http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en page 134, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT single screw terminal block Metz Connect 360425 size 9x9mm^2 drill 1.6mm pad 3.2mm -0 -4 -1 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type011_RT05502HBWC_1x02_P5.00mm_Horizontal -terminal block Metz Connect Type011_RT05502HBWC, 2 pins, pitch 5mm, size 10x10.5mm^2, drill diamater 1.4mm, pad diameter 2.8mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type011_RT05502HBWC pitch 5mm size 10x10.5mm^2 drill 1.4mm pad 2.8mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type011_RT05503HBWC_1x03_P5.00mm_Horizontal -terminal block Metz Connect Type011_RT05503HBWC, 3 pins, pitch 5mm, size 15x10.5mm^2, drill diamater 1.4mm, pad diameter 2.8mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type011_RT05503HBWC pitch 5mm size 15x10.5mm^2 drill 1.4mm pad 2.8mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type011_RT05504HBWC_1x04_P5.00mm_Horizontal -terminal block Metz Connect Type011_RT05504HBWC, 4 pins, pitch 5mm, size 20x10.5mm^2, drill diamater 1.4mm, pad diameter 2.8mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type011_RT05504HBWC pitch 5mm size 20x10.5mm^2 drill 1.4mm pad 2.8mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type011_RT05505HBWC_1x05_P5.00mm_Horizontal -terminal block Metz Connect Type011_RT05505HBWC, 5 pins, pitch 5mm, size 25x10.5mm^2, drill diamater 1.4mm, pad diameter 2.8mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type011_RT05505HBWC pitch 5mm size 25x10.5mm^2 drill 1.4mm pad 2.8mm -0 -5 -5 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type011_RT05506HBWC_1x06_P5.00mm_Horizontal -terminal block Metz Connect Type011_RT05506HBWC, 6 pins, pitch 5mm, size 30x10.5mm^2, drill diamater 1.4mm, pad diameter 2.8mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type011_RT05506HBWC pitch 5mm size 30x10.5mm^2 drill 1.4mm pad 2.8mm -0 -6 -6 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type055_RT01502HDWU_1x02_P5.00mm_Horizontal -terminal block Metz Connect Type055_RT01502HDWU, 2 pins, pitch 5mm, size 10x8mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310551_RT015xxHDWU_OFF-022723S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type055_RT01502HDWU pitch 5mm size 10x8mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type055_RT01503HDWU_1x03_P5.00mm_Horizontal -terminal block Metz Connect Type055_RT01503HDWU, 3 pins, pitch 5mm, size 15x8mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310551_RT015xxHDWU_OFF-022723S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type055_RT01503HDWU pitch 5mm size 15x8mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type055_RT01504HDWU_1x04_P5.00mm_Horizontal -terminal block Metz Connect Type055_RT01504HDWU, 4 pins, pitch 5mm, size 20x8mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310551_RT015xxHDWU_OFF-022723S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type055_RT01504HDWU pitch 5mm size 20x8mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type059_RT06302HBWC_1x02_P3.50mm_Horizontal -terminal block Metz Connect Type059_RT06302HBWC, 2 pins, pitch 3.5mm, size 7x6.5mm^2, drill diamater 1.2mm, pad diameter 2.3mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type059_RT06302HBWC pitch 3.5mm size 7x6.5mm^2 drill 1.2mm pad 2.3mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type059_RT06303HBWC_1x03_P3.50mm_Horizontal -terminal block Metz Connect Type059_RT06303HBWC, 3 pins, pitch 3.5mm, size 10.5x6.5mm^2, drill diamater 1.2mm, pad diameter 2.3mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type059_RT06303HBWC pitch 3.5mm size 10.5x6.5mm^2 drill 1.2mm pad 2.3mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type059_RT06304HBWC_1x04_P3.50mm_Horizontal -terminal block Metz Connect Type059_RT06304HBWC, 4 pins, pitch 3.5mm, size 14x6.5mm^2, drill diamater 1.2mm, pad diameter 2.3mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type059_RT06304HBWC pitch 3.5mm size 14x6.5mm^2 drill 1.2mm pad 2.3mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type059_RT06305HBWC_1x05_P3.50mm_Horizontal -terminal block Metz Connect Type059_RT06305HBWC, 5 pins, pitch 3.5mm, size 17.5x6.5mm^2, drill diamater 1.2mm, pad diameter 2.3mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type059_RT06305HBWC pitch 3.5mm size 17.5x6.5mm^2 drill 1.2mm pad 2.3mm -0 -5 -5 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type059_RT06306HBWC_1x06_P3.50mm_Horizontal -terminal block Metz Connect Type059_RT06306HBWC, 6 pins, pitch 3.5mm, size 21x6.5mm^2, drill diamater 1.2mm, pad diameter 2.3mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type059_RT06306HBWC pitch 3.5mm size 21x6.5mm^2 drill 1.2mm pad 2.3mm -0 -6 -6 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type067_RT01902HDWC_1x02_P10.00mm_Horizontal -terminal block Metz Connect Type067_RT01902HDWC, 2 pins, pitch 10mm, size 15.8x8.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310671_RT019xxHDWC_OFF-023605N.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type067_RT01902HDWC pitch 10mm size 15.8x8.2mm^2 drill 1.3mm pad 2.6mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type067_RT01903HDWC_1x03_P10.00mm_Horizontal -terminal block Metz Connect Type067_RT01903HDWC, 3 pins, pitch 10mm, size 25.8x8.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310671_RT019xxHDWC_OFF-023605N.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type067_RT01903HDWC pitch 10mm size 25.8x8.2mm^2 drill 1.3mm pad 2.6mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type067_RT01904HDWC_1x04_P10.00mm_Horizontal -terminal block Metz Connect Type067_RT01904HDWC, 4 pins, pitch 10mm, size 35.8x8.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310671_RT019xxHDWC_OFF-023605N.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type067_RT01904HDWC pitch 10mm size 35.8x8.2mm^2 drill 1.3mm pad 2.6mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type067_RT01905HDWC_1x05_P10.00mm_Horizontal -terminal block Metz Connect Type067_RT01905HDWC, 5 pins, pitch 10mm, size 45.8x8.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310671_RT019xxHDWC_OFF-023605N.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type067_RT01905HDWC pitch 10mm size 45.8x8.2mm^2 drill 1.3mm pad 2.6mm -0 -5 -5 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type073_RT02602HBLU_1x02_P5.08mm_Horizontal -terminal block Metz Connect Type073_RT02602HBLU, 2 pins, pitch 5.08mm, size 10.2x11mm^2, drill diamater 1.4mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310731_RT026xxHBLU_OFF-022792U.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type073_RT02602HBLU pitch 5.08mm size 10.2x11mm^2 drill 1.4mm pad 2.6mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type073_RT02603HBLU_1x03_P5.08mm_Horizontal -terminal block Metz Connect Type073_RT02603HBLU, 3 pins, pitch 5.08mm, size 15.2x11mm^2, drill diamater 1.4mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310731_RT026xxHBLU_OFF-022792U.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type073_RT02603HBLU pitch 5.08mm size 15.2x11mm^2 drill 1.4mm pad 2.6mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type086_RT03402HBLC_1x02_P3.81mm_Horizontal -terminal block Metz Connect Type086_RT03402HBLC, 2 pins, pitch 3.81mm, size 7.51x7.3mm^2, drill diamater 0.7mm, pad diameter 1.4mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type086_RT03402HBLC pitch 3.81mm size 7.51x7.3mm^2 drill 0.7mm pad 1.4mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type086_RT03403HBLC_1x03_P3.81mm_Horizontal -terminal block Metz Connect Type086_RT03403HBLC, 3 pins, pitch 3.81mm, size 11.3x7.3mm^2, drill diamater 0.7mm, pad diameter 1.4mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type086_RT03403HBLC pitch 3.81mm size 11.3x7.3mm^2 drill 0.7mm pad 1.4mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type086_RT03404HBLC_1x04_P3.81mm_Horizontal -terminal block Metz Connect Type086_RT03404HBLC, 4 pins, pitch 3.81mm, size 15.1x7.3mm^2, drill diamater 0.7mm, pad diameter 1.4mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type086_RT03404HBLC pitch 3.81mm size 15.1x7.3mm^2 drill 0.7mm pad 1.4mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type086_RT03405HBLC_1x05_P3.81mm_Horizontal -terminal block Metz Connect Type086_RT03405HBLC, 5 pins, pitch 3.81mm, size 18.9x7.3mm^2, drill diamater 0.7mm, pad diameter 1.4mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type086_RT03405HBLC pitch 3.81mm size 18.9x7.3mm^2 drill 0.7mm pad 1.4mm -0 -5 -5 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type086_RT03406HBLC_1x06_P3.81mm_Horizontal -terminal block Metz Connect Type086_RT03406HBLC, 6 pins, pitch 3.81mm, size 22.8x7.3mm^2, drill diamater 0.7mm, pad diameter 1.4mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type086_RT03406HBLC pitch 3.81mm size 22.8x7.3mm^2 drill 0.7mm pad 1.4mm -0 -6 -6 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type094_RT03502HBLU_1x02_P5.00mm_Horizontal -terminal block Metz Connect Type094_RT03502HBLU, 2 pins, pitch 5mm, size 10x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type094_RT03502HBLU pitch 5mm size 10x8.3mm^2 drill 1.3mm pad 2.6mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type094_RT03503HBLU_1x03_P5.00mm_Horizontal -terminal block Metz Connect Type094_RT03503HBLU, 3 pins, pitch 5mm, size 15x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type094_RT03503HBLU pitch 5mm size 15x8.3mm^2 drill 1.3mm pad 2.6mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type094_RT03504HBLU_1x04_P5.00mm_Horizontal -terminal block Metz Connect Type094_RT03504HBLU, 4 pins, pitch 5mm, size 20x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type094_RT03504HBLU pitch 5mm size 20x8.3mm^2 drill 1.3mm pad 2.6mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type094_RT03505HBLU_1x05_P5.00mm_Horizontal -terminal block Metz Connect Type094_RT03505HBLU, 5 pins, pitch 5mm, size 25x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type094_RT03505HBLU pitch 5mm size 25x8.3mm^2 drill 1.3mm pad 2.6mm -0 -5 -5 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type094_RT03506HBLU_1x06_P5.00mm_Horizontal -terminal block Metz Connect Type094_RT03506HBLU, 6 pins, pitch 5mm, size 30x8.3mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type094_RT03506HBLU pitch 5mm size 30x8.3mm^2 drill 1.3mm pad 2.6mm -0 -6 -6 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type101_RT01602HBWC_1x02_P5.08mm_Horizontal -terminal block Metz Connect Type101_RT01602HBWC, 2 pins, pitch 5.08mm, size 10.2x8mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type101_RT01602HBWC pitch 5.08mm size 10.2x8mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type101_RT01603HBWC_1x03_P5.08mm_Horizontal -terminal block Metz Connect Type101_RT01603HBWC, 3 pins, pitch 5.08mm, size 15.2x8mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type101_RT01603HBWC pitch 5.08mm size 15.2x8mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type101_RT01604HBWC_1x04_P5.08mm_Horizontal -terminal block Metz Connect Type101_RT01604HBWC, 4 pins, pitch 5.08mm, size 20.3x8mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type101_RT01604HBWC pitch 5.08mm size 20.3x8mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type101_RT01605HBWC_1x05_P5.08mm_Horizontal -terminal block Metz Connect Type101_RT01605HBWC, 5 pins, pitch 5.08mm, size 25.4x8mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type101_RT01605HBWC pitch 5.08mm size 25.4x8mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type101_RT01606HBWC_1x06_P5.08mm_Horizontal -terminal block Metz Connect Type101_RT01606HBWC, 6 pins, pitch 5.08mm, size 30.5x8mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type101_RT01606HBWC pitch 5.08mm size 30.5x8mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type171_RT13702HBWC_1x02_P7.50mm_Horizontal -terminal block Metz Connect Type171_RT13702HBWC, 2 pins, pitch 7.5mm, size 15x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type171_RT13702HBWC pitch 7.5mm size 15x9mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type171_RT13703HBWC_1x03_P7.50mm_Horizontal -terminal block Metz Connect Type171_RT13703HBWC, 3 pins, pitch 7.5mm, size 22.5x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type171_RT13703HBWC pitch 7.5mm size 22.5x9mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type171_RT13704HBWC_1x04_P7.50mm_Horizontal -terminal block Metz Connect Type171_RT13704HBWC, 4 pins, pitch 7.5mm, size 30x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type171_RT13704HBWC pitch 7.5mm size 30x9mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type171_RT13705HBWC_1x05_P7.50mm_Horizontal -terminal block Metz Connect Type171_RT13705HBWC, 5 pins, pitch 7.5mm, size 37.5x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type171_RT13705HBWC pitch 7.5mm size 37.5x9mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type171_RT13706HBWC_1x06_P7.50mm_Horizontal -terminal block Metz Connect Type171_RT13706HBWC, 6 pins, pitch 7.5mm, size 45x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type171_RT13706HBWC pitch 7.5mm size 45x9mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type175_RT02702HBLC_1x02_P7.50mm_Horizontal -terminal block Metz Connect Type175_RT02702HBLC, 2 pins, pitch 7.5mm, size 15x11mm^2, drill diamater 1.4mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type175_RT02702HBLC pitch 7.5mm size 15x11mm^2 drill 1.4mm pad 2.6mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type175_RT02703HBLC_1x03_P7.50mm_Horizontal -terminal block Metz Connect Type175_RT02703HBLC, 3 pins, pitch 7.5mm, size 22.5x11mm^2, drill diamater 1.4mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type175_RT02703HBLC pitch 7.5mm size 22.5x11mm^2 drill 1.4mm pad 2.6mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type175_RT02704HBLC_1x04_P7.50mm_Horizontal -terminal block Metz Connect Type175_RT02704HBLC, 4 pins, pitch 7.5mm, size 30x11mm^2, drill diamater 1.4mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type175_RT02704HBLC pitch 7.5mm size 30x11mm^2 drill 1.4mm pad 2.6mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type175_RT02705HBLC_1x05_P7.50mm_Horizontal -terminal block Metz Connect Type175_RT02705HBLC, 5 pins, pitch 7.5mm, size 37.5x11mm^2, drill diamater 1.4mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type175_RT02705HBLC pitch 7.5mm size 37.5x11mm^2 drill 1.4mm pad 2.6mm -0 -5 -5 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type175_RT02706HBLC_1x06_P7.50mm_Horizontal -terminal block Metz Connect Type175_RT02706HBLC, 6 pins, pitch 7.5mm, size 45x11mm^2, drill diamater 1.4mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type175_RT02706HBLC pitch 7.5mm size 45x11mm^2 drill 1.4mm pad 2.6mm -0 -6 -6 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type205_RT04502UBLC_1x02_P5.00mm_45Degree -terminal block Metz Connect Type205_RT04502UBLC, 45Degree (cable under 45degree), 2 pins, pitch 5mm, size 10x12.5mm^2, drill diamater 1.4mm, pad diameter 2.7mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type205_RT04502UBLC 45Degree pitch 5mm size 10x12.5mm^2 drill 1.4mm pad 2.7mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type205_RT04503UBLC_1x03_P5.00mm_45Degree -terminal block Metz Connect Type205_RT04503UBLC, 45Degree (cable under 45degree), 3 pins, pitch 5mm, size 15x12.5mm^2, drill diamater 1.4mm, pad diameter 2.7mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type205_RT04503UBLC 45Degree pitch 5mm size 15x12.5mm^2 drill 1.4mm pad 2.7mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type205_RT04504UBLC_1x04_P5.00mm_45Degree -terminal block Metz Connect Type205_RT04504UBLC, 45Degree (cable under 45degree), 4 pins, pitch 5mm, size 20x12.5mm^2, drill diamater 1.4mm, pad diameter 2.7mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type205_RT04504UBLC 45Degree pitch 5mm size 20x12.5mm^2 drill 1.4mm pad 2.7mm -0 -4 -4 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type205_RT04505UBLC_1x05_P5.00mm_45Degree -terminal block Metz Connect Type205_RT04505UBLC, 45Degree (cable under 45degree), 5 pins, pitch 5mm, size 25x12.5mm^2, drill diamater 1.4mm, pad diameter 2.7mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type205_RT04505UBLC 45Degree pitch 5mm size 25x12.5mm^2 drill 1.4mm pad 2.7mm -0 -5 -5 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type205_RT04506UBLC_1x06_P5.00mm_45Degree -terminal block Metz Connect Type205_RT04506UBLC, 45Degree (cable under 45degree), 6 pins, pitch 5mm, size 30x12.5mm^2, drill diamater 1.4mm, pad diameter 2.7mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type205_RT04506UBLC 45Degree pitch 5mm size 30x12.5mm^2 drill 1.4mm pad 2.7mm -0 -6 -6 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type701_RT11L02HGLU_1x02_P6.35mm_Horizontal -terminal block Metz Connect Type701_RT11L02HGLU, 2 pins, pitch 6.35mm, size 12.7x12.5mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_317011_RT11LxxHGLU_OFF-022798U.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type701_RT11L02HGLU pitch 6.35mm size 12.7x12.5mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type701_RT11L03HGLU_1x03_P6.35mm_Horizontal -terminal block Metz Connect Type701_RT11L03HGLU, 3 pins, pitch 6.35mm, size 19x12.5mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_317011_RT11LxxHGLU_OFF-022798U.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type701_RT11L03HGLU pitch 6.35mm size 19x12.5mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type703_RT10N02HGLU_1x02_P9.52mm_Horizontal -terminal block Metz Connect Type703_RT10N02HGLU, 2 pins, pitch 9.52mm, size 19x12.5mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_317031_RT10NxxHGLU_OFF-022897S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type703_RT10N02HGLU pitch 9.52mm size 19x12.5mm^2 drill 1.3mm pad 2.6mm -0 -2 -2 -TerminalBlock_MetzConnect -TerminalBlock_MetzConnect_Type703_RT10N03HGLU_1x03_P9.52mm_Horizontal -terminal block Metz Connect Type703_RT10N03HGLU, 3 pins, pitch 9.52mm, size 28.6x12.5mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_317031_RT10NxxHGLU_OFF-022897S.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect -THT terminal block Metz Connect Type703_RT10N03HGLU pitch 9.52mm size 28.6x12.5mm^2 drill 1.3mm pad 2.6mm -0 -3 -3 -TerminalBlock_Philmore -TerminalBlock_Philmore_TB132_1x02_P5.00mm_Horizontal -Terminal Block Philmore , 2 pins, pitch 5mm, size 10x10.2mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.philmore-datak.com/mc/Page%20197.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Philmore -THT Terminal Block Philmore pitch 5mm size 10x10.2mm^2 drill 1.2mm pad 2.4mm -0 -2 -2 -TerminalBlock_Philmore -TerminalBlock_Philmore_TB133_1x03_P5.00mm_Horizontal -Terminal Block Philmore , 3 pins, pitch 5mm, size 15x10.2mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see http://www.philmore-datak.com/mc/Page%20197.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Philmore -THT Terminal Block Philmore pitch 5mm size 15x10.2mm^2 drill 1.2mm pad 2.4mm -0 -3 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-2-5.08_1x02_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-2-5.08, 2 pins, pitch 5.08mm, size 10.2x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-2-5.08 pitch 5.08mm size 10.2x9.8mm^2 drill 1.3mm pad 2.6mm -0 -2 -2 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-2_1x02_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-2, 2 pins, pitch 5mm, size 10x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-2 pitch 5mm size 10x9.8mm^2 drill 1.3mm pad 2.6mm -0 -2 -2 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-3-5.08, 3 pins, pitch 5.08mm, size 15.2x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-3-5.08 pitch 5.08mm size 15.2x9.8mm^2 drill 1.3mm pad 2.6mm -0 -3 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-3, 3 pins, pitch 5mm, size 15x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-3 pitch 5mm size 15x9.8mm^2 drill 1.3mm pad 2.6mm -0 -3 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-4-5.08_1x04_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-4-5.08, 4 pins, pitch 5.08mm, size 20.3x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-4-5.08 pitch 5.08mm size 20.3x9.8mm^2 drill 1.3mm pad 2.6mm -0 -4 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-4_1x04_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-4, 4 pins, pitch 5mm, size 20x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-4 pitch 5mm size 20x9.8mm^2 drill 1.3mm pad 2.6mm -0 -4 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-5-5.08_1x05_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-5-5.08, 5 pins, pitch 5.08mm, size 25.4x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-5-5.08 pitch 5.08mm size 25.4x9.8mm^2 drill 1.3mm pad 2.6mm -0 -5 -5 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-5_1x05_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-5, 5 pins, pitch 5mm, size 25x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-5 pitch 5mm size 25x9.8mm^2 drill 1.3mm pad 2.6mm -0 -5 -5 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-6-5.08_1x06_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-6-5.08, 6 pins, pitch 5.08mm, size 30.5x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-6-5.08 pitch 5.08mm size 30.5x9.8mm^2 drill 1.3mm pad 2.6mm -0 -6 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-6_1x06_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-6, 6 pins, pitch 5mm, size 30x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-6 pitch 5mm size 30x9.8mm^2 drill 1.3mm pad 2.6mm -0 -6 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-7-5.08_1x07_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-7-5.08, 7 pins, pitch 5.08mm, size 35.6x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-7-5.08 pitch 5.08mm size 35.6x9.8mm^2 drill 1.3mm pad 2.6mm -0 -7 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-7_1x07_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-7, 7 pins, pitch 5mm, size 35x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-7 pitch 5mm size 35x9.8mm^2 drill 1.3mm pad 2.6mm -0 -7 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-8-5.08_1x08_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-8-5.08, 8 pins, pitch 5.08mm, size 40.6x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-8-5.08 pitch 5.08mm size 40.6x9.8mm^2 drill 1.3mm pad 2.6mm -0 -8 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-8_1x08_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-8, 8 pins, pitch 5mm, size 40x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-8 pitch 5mm size 40x9.8mm^2 drill 1.3mm pad 2.6mm -0 -8 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-9-5.08_1x09_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-9-5.08, 9 pins, pitch 5.08mm, size 45.7x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-9-5.08 pitch 5.08mm size 45.7x9.8mm^2 drill 1.3mm pad 2.6mm -0 -9 -9 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-9_1x09_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-9, 9 pins, pitch 5mm, size 45x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-9 pitch 5mm size 45x9.8mm^2 drill 1.3mm pad 2.6mm -0 -9 -9 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-10-5.08_1x10_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-10-5.08, 10 pins, pitch 5.08mm, size 50.8x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-10-5.08 pitch 5.08mm size 50.8x9.8mm^2 drill 1.3mm pad 2.6mm -0 -10 -10 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-10_1x10_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-10, 10 pins, pitch 5mm, size 50x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-10 pitch 5mm size 50x9.8mm^2 drill 1.3mm pad 2.6mm -0 -10 -10 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-11-5.08_1x11_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-11-5.08, 11 pins, pitch 5.08mm, size 55.9x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-11-5.08 pitch 5.08mm size 55.9x9.8mm^2 drill 1.3mm pad 2.6mm -0 -11 -11 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-11_1x11_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-11, 11 pins, pitch 5mm, size 55x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-11 pitch 5mm size 55x9.8mm^2 drill 1.3mm pad 2.6mm -0 -11 -11 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-12-5.08_1x12_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-12-5.08, 12 pins, pitch 5.08mm, size 61x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-12-5.08 pitch 5.08mm size 61x9.8mm^2 drill 1.3mm pad 2.6mm -0 -12 -12 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-12_1x12_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-12, 12 pins, pitch 5mm, size 60x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-12 pitch 5mm size 60x9.8mm^2 drill 1.3mm pad 2.6mm -0 -12 -12 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-13-5.08_1x13_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-13-5.08, 13 pins, pitch 5.08mm, size 66x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-13-5.08 pitch 5.08mm size 66x9.8mm^2 drill 1.3mm pad 2.6mm -0 -13 -13 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-13_1x13_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-13, 13 pins, pitch 5mm, size 65x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-13 pitch 5mm size 65x9.8mm^2 drill 1.3mm pad 2.6mm -0 -13 -13 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-14-5.08_1x14_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-14-5.08, 14 pins, pitch 5.08mm, size 71.1x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-14-5.08 pitch 5.08mm size 71.1x9.8mm^2 drill 1.3mm pad 2.6mm -0 -14 -14 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-14_1x14_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-14, 14 pins, pitch 5mm, size 70x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-14 pitch 5mm size 70x9.8mm^2 drill 1.3mm pad 2.6mm -0 -14 -14 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-15-5.08_1x15_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-15-5.08, 15 pins, pitch 5.08mm, size 76.2x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-15-5.08 pitch 5.08mm size 76.2x9.8mm^2 drill 1.3mm pad 2.6mm -0 -15 -15 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-15_1x15_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-15, 15 pins, pitch 5mm, size 75x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-15 pitch 5mm size 75x9.8mm^2 drill 1.3mm pad 2.6mm -0 -15 -15 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-16-5.08_1x16_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-1,5-16-5.08, 16 pins, pitch 5.08mm, size 81.3x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-16-5.08 pitch 5.08mm size 81.3x9.8mm^2 drill 1.3mm pad 2.6mm -0 -16 -16 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-1,5-16_1x16_P5.00mm_Horizontal -Terminal Block Phoenix MKDS-1,5-16, 16 pins, pitch 5mm, size 80x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-1,5-16 pitch 5mm size 80x9.8mm^2 drill 1.3mm pad 2.6mm -0 -16 -16 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-2-5.08_1x02_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-2-5.08, 2 pins, pitch 5.08mm, size 10.2x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-2-5.08 pitch 5.08mm size 10.2x11.2mm^2 drill 1.3mm pad 2.6mm -0 -2 -2 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-3-5.08_1x03_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-3-5.08, 3 pins, pitch 5.08mm, size 15.2x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-3-5.08 pitch 5.08mm size 15.2x11.2mm^2 drill 1.3mm pad 2.6mm -0 -3 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-4-5.08_1x04_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-4-5.08, 4 pins, pitch 5.08mm, size 20.3x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-4-5.08 pitch 5.08mm size 20.3x11.2mm^2 drill 1.3mm pad 2.6mm -0 -4 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-5-5.08_1x05_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-5-5.08, 5 pins, pitch 5.08mm, size 25.4x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-5-5.08 pitch 5.08mm size 25.4x11.2mm^2 drill 1.3mm pad 2.6mm -0 -5 -5 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-6-5.08_1x06_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-6-5.08, 6 pins, pitch 5.08mm, size 30.5x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-6-5.08 pitch 5.08mm size 30.5x11.2mm^2 drill 1.3mm pad 2.6mm -0 -6 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-7-5.08_1x07_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-7-5.08, 7 pins, pitch 5.08mm, size 35.6x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-7-5.08 pitch 5.08mm size 35.6x11.2mm^2 drill 1.3mm pad 2.6mm -0 -7 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-8-5.08_1x08_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-8-5.08, 8 pins, pitch 5.08mm, size 40.6x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-8-5.08 pitch 5.08mm size 40.6x11.2mm^2 drill 1.3mm pad 2.6mm -0 -8 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-9-5.08_1x09_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-9-5.08, 9 pins, pitch 5.08mm, size 45.7x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-9-5.08 pitch 5.08mm size 45.7x11.2mm^2 drill 1.3mm pad 2.6mm -0 -9 -9 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-10-5.08_1x10_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-10-5.08, 10 pins, pitch 5.08mm, size 50.8x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-10-5.08 pitch 5.08mm size 50.8x11.2mm^2 drill 1.3mm pad 2.6mm -0 -10 -10 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-11-5.08_1x11_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-11-5.08, 11 pins, pitch 5.08mm, size 55.9x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-11-5.08 pitch 5.08mm size 55.9x11.2mm^2 drill 1.3mm pad 2.6mm -0 -11 -11 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-12-5.08_1x12_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-12-5.08, 12 pins, pitch 5.08mm, size 61x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-12-5.08 pitch 5.08mm size 61x11.2mm^2 drill 1.3mm pad 2.6mm -0 -12 -12 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-13-5.08_1x13_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-13-5.08, 13 pins, pitch 5.08mm, size 66x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-13-5.08 pitch 5.08mm size 66x11.2mm^2 drill 1.3mm pad 2.6mm -0 -13 -13 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-14-5.08_1x14_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-14-5.08, 14 pins, pitch 5.08mm, size 71.1x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-14-5.08 pitch 5.08mm size 71.1x11.2mm^2 drill 1.3mm pad 2.6mm -0 -14 -14 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-15-5.08_1x15_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-15-5.08, 15 pins, pitch 5.08mm, size 76.2x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-15-5.08 pitch 5.08mm size 76.2x11.2mm^2 drill 1.3mm pad 2.6mm -0 -15 -15 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MKDS-3-16-5.08_1x16_P5.08mm_Horizontal -Terminal Block Phoenix MKDS-3-16-5.08, 16 pins, pitch 5.08mm, size 81.3x11.2mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/2138224.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MKDS-3-16-5.08 pitch 5.08mm size 81.3x11.2mm^2 drill 1.3mm pad 2.6mm -0 -16 -16 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-2-2.54_1x02_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-2-2.54, 2 pins, pitch 2.54mm, size 5.54x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725656-920552.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-2-2.54 pitch 2.54mm size 5.54x6.2mm^2 drill 1.1mm pad 2.2mm -0 -2 -2 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-3-2.54_1x03_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-3-2.54, 3 pins, pitch 2.54mm, size 8.08x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725656-920552.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-3-2.54 pitch 2.54mm size 8.08x6.2mm^2 drill 1.1mm pad 2.2mm -0 -3 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-4-2.54_1x04_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-4-2.54, 4 pins, pitch 2.54mm, size 10.6x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-4-2.54 pitch 2.54mm size 10.6x6.2mm^2 drill 1.1mm pad 2.2mm -0 -4 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-5-2.54_1x05_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-5-2.54, 5 pins, pitch 2.54mm, size 13.2x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-5-2.54 pitch 2.54mm size 13.2x6.2mm^2 drill 1.1mm pad 2.2mm -0 -5 -5 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-6-2.54_1x06_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-6-2.54, 6 pins, pitch 2.54mm, size 15.7x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-6-2.54 pitch 2.54mm size 15.7x6.2mm^2 drill 1.1mm pad 2.2mm -0 -6 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-7-2.54_1x07_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-7-2.54, 7 pins, pitch 2.54mm, size 18.2x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-7-2.54 pitch 2.54mm size 18.2x6.2mm^2 drill 1.1mm pad 2.2mm -0 -7 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-8-2.54_1x08_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-8-2.54, 8 pins, pitch 2.54mm, size 20.8x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-8-2.54 pitch 2.54mm size 20.8x6.2mm^2 drill 1.1mm pad 2.2mm -0 -8 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-9-2.54_1x09_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-9-2.54, 9 pins, pitch 2.54mm, size 23.3x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-9-2.54 pitch 2.54mm size 23.3x6.2mm^2 drill 1.1mm pad 2.2mm -0 -9 -9 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-10-2.54_1x10_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-10-2.54, 10 pins, pitch 2.54mm, size 25.9x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-10-2.54 pitch 2.54mm size 25.9x6.2mm^2 drill 1.1mm pad 2.2mm -0 -10 -10 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-11-2.54_1x11_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-11-2.54, 11 pins, pitch 2.54mm, size 28.4x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-11-2.54 pitch 2.54mm size 28.4x6.2mm^2 drill 1.1mm pad 2.2mm -0 -11 -11 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_MPT-0,5-12-2.54_1x12_P2.54mm_Horizontal -Terminal Block Phoenix MPT-0,5-12-2.54, 12 pins, pitch 2.54mm, size 30.9x6.2mm^2, drill diamater 1.1mm, pad diameter 2.2mm, see http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix MPT-0,5-12-2.54 pitch 2.54mm size 30.9x6.2mm^2 drill 1.1mm pad 2.2mm -0 -12 -12 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-2-3.5-H_1x02_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-2-3.5-H, 2 pins, pitch 3.5mm, size 7x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-2-3.5-H pitch 3.5mm size 7x7.6mm^2 drill 1.2mm pad 2.4mm -0 -2 -2 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-2-5.0-H_1x02_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-2-5.0-H, 2 pins, pitch 5mm, size 10x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-2-5.0-H pitch 5mm size 10x9mm^2 drill 1.3mm pad 2.6mm -0 -2 -2 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-3-3.5-H_1x03_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-3-3.5-H, 3 pins, pitch 3.5mm, size 10.5x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-3-3.5-H pitch 3.5mm size 10.5x7.6mm^2 drill 1.2mm pad 2.4mm -0 -3 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-3-5.0-H_1x03_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-3-5.0-H, 3 pins, pitch 5mm, size 15x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-3-5.0-H pitch 5mm size 15x9mm^2 drill 1.3mm pad 2.6mm -0 -3 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-4-3.5-H_1x04_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-4-3.5-H, 4 pins, pitch 3.5mm, size 14x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-4-3.5-H pitch 3.5mm size 14x7.6mm^2 drill 1.2mm pad 2.4mm -0 -4 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-4-5.0-H_1x04_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-4-5.0-H, 4 pins, pitch 5mm, size 20x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-4-5.0-H pitch 5mm size 20x9mm^2 drill 1.3mm pad 2.6mm -0 -4 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-5-3.5-H_1x05_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-5-3.5-H, 5 pins, pitch 3.5mm, size 17.5x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-5-3.5-H pitch 3.5mm size 17.5x7.6mm^2 drill 1.2mm pad 2.4mm -0 -5 -5 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-5-5.0-H_1x05_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-5-5.0-H, 5 pins, pitch 5mm, size 25x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-5-5.0-H pitch 5mm size 25x9mm^2 drill 1.3mm pad 2.6mm -0 -5 -5 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-6-3.5-H_1x06_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-6-3.5-H, 6 pins, pitch 3.5mm, size 21x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-6-3.5-H pitch 3.5mm size 21x7.6mm^2 drill 1.2mm pad 2.4mm -0 -6 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-6-5.0-H_1x06_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-6-5.0-H, 6 pins, pitch 5mm, size 30x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-6-5.0-H pitch 5mm size 30x9mm^2 drill 1.3mm pad 2.6mm -0 -6 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-7-3.5-H_1x07_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-7-3.5-H, 7 pins, pitch 3.5mm, size 24.5x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-7-3.5-H pitch 3.5mm size 24.5x7.6mm^2 drill 1.2mm pad 2.4mm -0 -7 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-7-5.0-H_1x07_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-7-5.0-H, 7 pins, pitch 5mm, size 35x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-7-5.0-H pitch 5mm size 35x9mm^2 drill 1.3mm pad 2.6mm -0 -7 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-8-3.5-H_1x08_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-8-3.5-H, 8 pins, pitch 3.5mm, size 28x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-8-3.5-H pitch 3.5mm size 28x7.6mm^2 drill 1.2mm pad 2.4mm -0 -8 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-8-5.0-H_1x08_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-8-5.0-H, 8 pins, pitch 5mm, size 40x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-8-5.0-H pitch 5mm size 40x9mm^2 drill 1.3mm pad 2.6mm -0 -8 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-9-3.5-H_1x09_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-9-3.5-H, 9 pins, pitch 3.5mm, size 31.5x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-9-3.5-H pitch 3.5mm size 31.5x7.6mm^2 drill 1.2mm pad 2.4mm -0 -9 -9 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-9-5.0-H_1x09_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-9-5.0-H, 9 pins, pitch 5mm, size 45x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-9-5.0-H pitch 5mm size 45x9mm^2 drill 1.3mm pad 2.6mm -0 -9 -9 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-10-3.5-H_1x10_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-10-3.5-H, 10 pins, pitch 3.5mm, size 35x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-10-3.5-H pitch 3.5mm size 35x7.6mm^2 drill 1.2mm pad 2.4mm -0 -10 -10 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-10-5.0-H_1x10_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-10-5.0-H, 10 pins, pitch 5mm, size 50x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-10-5.0-H pitch 5mm size 50x9mm^2 drill 1.3mm pad 2.6mm -0 -10 -10 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-11-3.5-H_1x11_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-11-3.5-H, 11 pins, pitch 3.5mm, size 38.5x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-11-3.5-H pitch 3.5mm size 38.5x7.6mm^2 drill 1.2mm pad 2.4mm -0 -11 -11 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-11-5.0-H_1x11_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-11-5.0-H, 11 pins, pitch 5mm, size 55x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-11-5.0-H pitch 5mm size 55x9mm^2 drill 1.3mm pad 2.6mm -0 -11 -11 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-12-3.5-H_1x12_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-12-3.5-H, 12 pins, pitch 3.5mm, size 42x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-12-3.5-H pitch 3.5mm size 42x7.6mm^2 drill 1.2mm pad 2.4mm -0 -12 -12 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-12-5.0-H_1x12_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-12-5.0-H, 12 pins, pitch 5mm, size 60x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-12-5.0-H pitch 5mm size 60x9mm^2 drill 1.3mm pad 2.6mm -0 -12 -12 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-13-3.5-H_1x13_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-13-3.5-H, 13 pins, pitch 3.5mm, size 45.5x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-13-3.5-H pitch 3.5mm size 45.5x7.6mm^2 drill 1.2mm pad 2.4mm -0 -13 -13 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-13-5.0-H_1x13_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-13-5.0-H, 13 pins, pitch 5mm, size 65x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-13-5.0-H pitch 5mm size 65x9mm^2 drill 1.3mm pad 2.6mm -0 -13 -13 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-14-3.5-H_1x14_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-14-3.5-H, 14 pins, pitch 3.5mm, size 49x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-14-3.5-H pitch 3.5mm size 49x7.6mm^2 drill 1.2mm pad 2.4mm -0 -14 -14 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-14-5.0-H_1x14_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-14-5.0-H, 14 pins, pitch 5mm, size 70x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-14-5.0-H pitch 5mm size 70x9mm^2 drill 1.3mm pad 2.6mm -0 -14 -14 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-15-3.5-H_1x15_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-15-3.5-H, 15 pins, pitch 3.5mm, size 52.5x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-15-3.5-H pitch 3.5mm size 52.5x7.6mm^2 drill 1.2mm pad 2.4mm -0 -15 -15 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-15-5.0-H_1x15_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-15-5.0-H, 15 pins, pitch 5mm, size 75x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-15-5.0-H pitch 5mm size 75x9mm^2 drill 1.3mm pad 2.6mm -0 -15 -15 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-16-3.5-H_1x16_P3.50mm_Horizontal -Terminal Block Phoenix PT-1,5-16-3.5-H, 16 pins, pitch 3.5mm, size 56x7.6mm^2, drill diamater 1.2mm, pad diameter 2.4mm, see , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-16-3.5-H pitch 3.5mm size 56x7.6mm^2 drill 1.2mm pad 2.4mm -0 -16 -16 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PT-1,5-16-5.0-H_1x16_P5.00mm_Horizontal -Terminal Block Phoenix PT-1,5-16-5.0-H, 16 pins, pitch 5mm, size 80x9mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PT-1,5-16-5.0-H pitch 5mm size 80x9mm^2 drill 1.3mm pad 2.6mm -0 -16 -16 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-2-2,5-V-SMD_1x02-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 2 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1814702/pdf -PhoenixContact PTSM0.5 2 2.5mm vertical SMD spring clamp terminal block connector -0 -4 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-2-2.5-H-THR_1x02_P2.50mm_Horizontal -Terminal Block Phoenix PTSM-0,5-2-2.5-H-THR, 2 pins, pitch 2.5mm, size 7.2x10mm^2, drill diamater 1.2mm, pad diameter 3mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-2-2.5-H-THR pitch 2.5mm size 7.2x10mm^2 drill 1.2mm pad 3mm -0 -4 -2 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-2-2.5-V-THR_1x02_P2.50mm_Vertical -Terminal Block Phoenix PTSM-0,5-2-2.5-V-THR, vertical (cable from top), 2 pins, pitch 2.5mm, size 5.5x5mm^2, drill diamater 1.2mm, pad diameter 2mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-2-2.5-V-THR vertical pitch 2.5mm size 5.5x5mm^2 drill 1.2mm pad 2mm -0 -4 -2 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-2-HV-2.5-SMD_1x02-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 2 HV 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1778696/pdf -2.5mm vertical SMD spring clamp terminal block connector -0 -4 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-3-2,5-V-SMD_1x03-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 3 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1814715/pdf -PhoenixContact PTSM0.5 3 2.5mm vertical SMD spring clamp terminal block connector -0 -5 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-3-2.5-H-THR_1x03_P2.50mm_Horizontal -Terminal Block Phoenix PTSM-0,5-3-2.5-H-THR, 3 pins, pitch 2.5mm, size 9.7x10mm^2, drill diamater 1.2mm, pad diameter 3mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-3-2.5-H-THR pitch 2.5mm size 9.7x10mm^2 drill 1.2mm pad 3mm -0 -6 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-3-2.5-V-THR_1x03_P2.50mm_Vertical -Terminal Block Phoenix PTSM-0,5-3-2.5-V-THR, vertical (cable from top), 3 pins, pitch 2.5mm, size 8x5mm^2, drill diamater 1.2mm, pad diameter 2mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-3-2.5-V-THR vertical pitch 2.5mm size 8x5mm^2 drill 1.2mm pad 2mm -0 -6 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-3-HV-2.5-SMD_1x03-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 3 HV 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1778706/pdf -2.5mm vertical SMD spring clamp terminal block connector -0 -5 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-4-2,5-V-SMD_1x04-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 4 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1814728/pdf -PhoenixContact PTSM0.5 4 2.5mm vertical SMD spring clamp terminal block connector -0 -6 -5 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-4-2.5-H-THR_1x04_P2.50mm_Horizontal -Terminal Block Phoenix PTSM-0,5-4-2.5-H-THR, 4 pins, pitch 2.5mm, size 12.2x10mm^2, drill diamater 1.2mm, pad diameter 3mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-4-2.5-H-THR pitch 2.5mm size 12.2x10mm^2 drill 1.2mm pad 3mm -0 -8 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-4-2.5-V-THR_1x04_P2.50mm_Vertical -Terminal Block Phoenix PTSM-0,5-4-2.5-V-THR, vertical (cable from top), 4 pins, pitch 2.5mm, size 10.5x5mm^2, drill diamater 1.2mm, pad diameter 2mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-4-2.5-V-THR vertical pitch 2.5mm size 10.5x5mm^2 drill 1.2mm pad 2mm -0 -8 -4 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-4-HV-2.5-SMD_1x04-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 4 HV 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1778719/pdf -2.5mm vertical SMD spring clamp terminal block connector -0 -4 -3 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-5-2,5-V-SMD_1x05-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 5 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1814731/pdf -PhoenixContact PTSM0.5 5 2.5mm vertical SMD spring clamp terminal block connector -0 -7 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-5-2.5-H-THR_1x05_P2.50mm_Horizontal -Terminal Block Phoenix PTSM-0,5-5-2.5-H-THR, 5 pins, pitch 2.5mm, size 14.7x10mm^2, drill diamater 1.2mm, pad diameter 3mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-5-2.5-H-THR pitch 2.5mm size 14.7x10mm^2 drill 1.2mm pad 3mm -0 -10 -5 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-5-2.5-V-THR_1x05_P2.50mm_Vertical -Terminal Block Phoenix PTSM-0,5-5-2.5-V-THR, vertical (cable from top), 5 pins, pitch 2.5mm, size 13x5mm^2, drill diamater 1.2mm, pad diameter 2mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-5-2.5-V-THR vertical pitch 2.5mm size 13x5mm^2 drill 1.2mm pad 2mm -0 -10 -5 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-5-HV-2.5-SMD_1x05-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 5 HV 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1778722/pdf -2.5mm vertical SMD spring clamp terminal block connector -0 -7 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-6-2,5-V-SMD_1x06-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 6 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1814744/pdf -PhoenixContact PTSM0.5 6 2.5mm vertical SMD spring clamp terminal block connector -0 -8 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-6-2.5-H-THR_1x06_P2.50mm_Horizontal -Terminal Block Phoenix PTSM-0,5-6-2.5-H-THR, 6 pins, pitch 2.5mm, size 17.2x10mm^2, drill diamater 1.2mm, pad diameter 3mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-6-2.5-H-THR pitch 2.5mm size 17.2x10mm^2 drill 1.2mm pad 3mm -0 -12 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-6-2.5-V-THR_1x06_P2.50mm_Vertical -Terminal Block Phoenix PTSM-0,5-6-2.5-V-THR, vertical (cable from top), 6 pins, pitch 2.5mm, size 15.5x5mm^2, drill diamater 1.2mm, pad diameter 2mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-6-2.5-V-THR vertical pitch 2.5mm size 15.5x5mm^2 drill 1.2mm pad 2mm -0 -12 -6 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-6-HV-2.5-SMD_1x06-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 6 HV 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1778735/pdf -2.5mm vertical SMD spring clamp terminal block connector -0 -8 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-7-2,5-V-SMD_1x07-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 7 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1814757/pdf -PhoenixContact PTSM0.5 7 2.5mm vertical SMD spring clamp terminal block connector -0 -9 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-7-2.5-H-THR_1x07_P2.50mm_Horizontal -Terminal Block Phoenix PTSM-0,5-7-2.5-H-THR, 7 pins, pitch 2.5mm, size 19.7x10mm^2, drill diamater 1.2mm, pad diameter 3mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-7-2.5-H-THR pitch 2.5mm size 19.7x10mm^2 drill 1.2mm pad 3mm -0 -14 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-7-2.5-V-THR_1x07_P2.50mm_Vertical -Terminal Block Phoenix PTSM-0,5-7-2.5-V-THR, vertical (cable from top), 7 pins, pitch 2.5mm, size 18x5mm^2, drill diamater 1.2mm, pad diameter 2mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-7-2.5-V-THR vertical pitch 2.5mm size 18x5mm^2 drill 1.2mm pad 2mm -0 -14 -7 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-7-HV-2.5-SMD_1x07-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 7 HV 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1778748/pdf -2.5mm vertical SMD spring clamp terminal block connector -0 -9 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-8-2,5-V-SMD_1x08-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 8 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1814760/pdf -PhoenixContact PTSM0.5 8 2.5mm vertical SMD spring clamp terminal block connector -0 -10 -9 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-8-2.5-H-THR_1x08_P2.50mm_Horizontal -Terminal Block Phoenix PTSM-0,5-8-2.5-H-THR, 8 pins, pitch 2.5mm, size 22.2x10mm^2, drill diamater 1.2mm, pad diameter 3mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-8-2.5-H-THR pitch 2.5mm size 22.2x10mm^2 drill 1.2mm pad 3mm -0 -16 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-8-2.5-V-THR_1x08_P2.50mm_Vertical -Terminal Block Phoenix PTSM-0,5-8-2.5-V-THR, vertical (cable from top), 8 pins, pitch 2.5mm, size 20.5x5mm^2, drill diamater 1.2mm, pad diameter 2mm, see http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix -THT Terminal Block Phoenix PTSM-0,5-8-2.5-V-THR vertical pitch 2.5mm size 20.5x5mm^2 drill 1.2mm pad 2mm -0 -16 -8 -TerminalBlock_Phoenix -TerminalBlock_Phoenix_PTSM-0,5-8-HV-2.5-SMD_1x08-1MP_P2.50mm_Vertical -PhoenixContact PTSM0,5 8 HV 2,5mm vertical SMD spring clamp terminal block connector http://www.phoenixcontact.com/us/products/1778751/pdf -2.5mm vertical SMD spring clamp terminal block connector -0 -10 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00001_1x02_P5.00mm_Horizontal -terminal block RND 205-00001, 2 pins, pitch 5mm, size 10x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00001 pitch 5mm size 10x9mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00002_1x03_P5.00mm_Horizontal -terminal block RND 205-00002, 3 pins, pitch 5mm, size 15x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00002 pitch 5mm size 15x9mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00003_1x04_P5.00mm_Horizontal -terminal block RND 205-00003, 4 pins, pitch 5mm, size 20x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00003 pitch 5mm size 20x9mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00004_1x05_P5.00mm_Horizontal -terminal block RND 205-00004, 5 pins, pitch 5mm, size 25x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00004 pitch 5mm size 25x9mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00005_1x06_P5.00mm_Horizontal -terminal block RND 205-00005, 6 pins, pitch 5mm, size 30x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00005 pitch 5mm size 30x9mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00006_1x07_P5.00mm_Horizontal -terminal block RND 205-00006, 7 pins, pitch 5mm, size 35x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00006 pitch 5mm size 35x9mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00007_1x08_P5.00mm_Horizontal -terminal block RND 205-00007, 8 pins, pitch 5mm, size 40x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00007 pitch 5mm size 40x9mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00008_1x09_P5.00mm_Horizontal -terminal block RND 205-00008, 9 pins, pitch 5mm, size 45x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00008 pitch 5mm size 45x9mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00009_1x10_P5.00mm_Horizontal -terminal block RND 205-00009, 10 pins, pitch 5mm, size 50x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00009 pitch 5mm size 50x9mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00010_1x11_P5.00mm_Horizontal -terminal block RND 205-00010, 11 pins, pitch 5mm, size 55x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00010 pitch 5mm size 55x9mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00011_1x12_P5.00mm_Horizontal -terminal block RND 205-00011, 12 pins, pitch 5mm, size 60x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00011 pitch 5mm size 60x9mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00012_1x02_P5.00mm_Horizontal -terminal block RND 205-00012, 2 pins, pitch 5mm, size 10x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00012 pitch 5mm size 10x7.6mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00013_1x03_P5.00mm_Horizontal -terminal block RND 205-00013, 3 pins, pitch 5mm, size 15x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00013 pitch 5mm size 15x7.6mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00014_1x04_P5.00mm_Horizontal -terminal block RND 205-00014, 4 pins, pitch 5mm, size 20x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00014 pitch 5mm size 20x7.6mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00015_1x05_P5.00mm_Horizontal -terminal block RND 205-00015, 5 pins, pitch 5mm, size 25x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00015 pitch 5mm size 25x7.6mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00016_1x06_P5.00mm_Horizontal -terminal block RND 205-00016, 6 pins, pitch 5mm, size 30x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00016 pitch 5mm size 30x7.6mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00017_1x07_P5.00mm_Horizontal -terminal block RND 205-00017, 7 pins, pitch 5mm, size 35x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00017 pitch 5mm size 35x7.6mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00018_1x08_P5.00mm_Horizontal -terminal block RND 205-00018, 8 pins, pitch 5mm, size 40x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00018 pitch 5mm size 40x7.6mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00019_1x09_P5.00mm_Horizontal -terminal block RND 205-00019, 9 pins, pitch 5mm, size 45x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00019 pitch 5mm size 45x7.6mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00020_1x10_P5.00mm_Horizontal -terminal block RND 205-00020, 10 pins, pitch 5mm, size 50x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00020 pitch 5mm size 50x7.6mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00021_1x11_P5.00mm_Horizontal -terminal block RND 205-00021, 11 pins, pitch 5mm, size 55x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00021 pitch 5mm size 55x7.6mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00022_1x12_P5.00mm_Horizontal -terminal block RND 205-00022, 12 pins, pitch 5mm, size 60x7.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00022 pitch 5mm size 60x7.6mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00023_1x02_P10.00mm_Horizontal -terminal block RND 205-00023, 2 pins, pitch 10mm, size 15x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00023 pitch 10mm size 15x9mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00024_1x03_P10.00mm_Horizontal -terminal block RND 205-00024, 3 pins, pitch 10mm, size 25x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00024 pitch 10mm size 25x9mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00025_1x04_P10.00mm_Horizontal -terminal block RND 205-00025, 4 pins, pitch 10mm, size 35x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00025 pitch 10mm size 35x9mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00026_1x05_P10.00mm_Horizontal -terminal block RND 205-00026, 5 pins, pitch 10mm, size 45x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00026 pitch 10mm size 45x9mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00027_1x06_P10.00mm_Horizontal -terminal block RND 205-00027, 6 pins, pitch 10mm, size 55x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00027 pitch 10mm size 55x9mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00028_1x07_P10.00mm_Horizontal -terminal block RND 205-00028, 7 pins, pitch 10mm, size 65x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00028 pitch 10mm size 65x9mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00029_1x08_P10.00mm_Horizontal -terminal block RND 205-00029, 8 pins, pitch 10mm, size 75x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00029 pitch 10mm size 75x9mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00030_1x09_P10.00mm_Horizontal -terminal block RND 205-00030, 9 pins, pitch 10mm, size 85x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00030 pitch 10mm size 85x9mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00031_1x10_P10.00mm_Horizontal -terminal block RND 205-00031, 10 pins, pitch 10mm, size 95x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00031 pitch 10mm size 95x9mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00032_1x11_P10.00mm_Horizontal -terminal block RND 205-00032, 11 pins, pitch 10mm, size 105x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00032 pitch 10mm size 105x9mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00033_1x12_P10.00mm_Horizontal -terminal block RND 205-00033, 12 pins, pitch 10mm, size 115x9mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00033 pitch 10mm size 115x9mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00045_1x02_P5.00mm_Horizontal -terminal block RND 205-00045, 2 pins, pitch 5mm, size 10x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00045 pitch 5mm size 10x8.1mm^2 drill 1.1mm pad 2.1mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00046_1x03_P5.00mm_Horizontal -terminal block RND 205-00046, 3 pins, pitch 5mm, size 15x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00046 pitch 5mm size 15x8.1mm^2 drill 1.1mm pad 2.1mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00047_1x04_P5.00mm_Horizontal -terminal block RND 205-00047, 4 pins, pitch 5mm, size 20x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00047 pitch 5mm size 20x8.1mm^2 drill 1.1mm pad 2.1mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00048_1x05_P5.00mm_Horizontal -terminal block RND 205-00048, 5 pins, pitch 5mm, size 25x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00048 pitch 5mm size 25x8.1mm^2 drill 1.1mm pad 2.1mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00049_1x06_P5.00mm_Horizontal -terminal block RND 205-00049, 6 pins, pitch 5mm, size 30x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00049 pitch 5mm size 30x8.1mm^2 drill 1.1mm pad 2.1mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00050_1x07_P5.00mm_Horizontal -terminal block RND 205-00050, 7 pins, pitch 5mm, size 35x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00050 pitch 5mm size 35x8.1mm^2 drill 1.1mm pad 2.1mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00051_1x08_P5.00mm_Horizontal -terminal block RND 205-00051, 8 pins, pitch 5mm, size 40x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00051 pitch 5mm size 40x8.1mm^2 drill 1.1mm pad 2.1mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00052_1x09_P5.00mm_Horizontal -terminal block RND 205-00052, 9 pins, pitch 5mm, size 45x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00052 pitch 5mm size 45x8.1mm^2 drill 1.1mm pad 2.1mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00053_1x10_P5.00mm_Horizontal -terminal block RND 205-00053, 10 pins, pitch 5mm, size 50x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00053 pitch 5mm size 50x8.1mm^2 drill 1.1mm pad 2.1mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00054_1x11_P5.00mm_Horizontal -terminal block RND 205-00054, 11 pins, pitch 5mm, size 55x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00054 pitch 5mm size 55x8.1mm^2 drill 1.1mm pad 2.1mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00055_1x12_P5.00mm_Horizontal -terminal block RND 205-00055, 12 pins, pitch 5mm, size 60x8.1mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00055 pitch 5mm size 60x8.1mm^2 drill 1.1mm pad 2.1mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00056_1x02_P5.00mm_45Degree -terminal block RND 205-00056, 45Degree (cable under 45degree), 2 pins, pitch 5mm, size 10x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00056 45Degree pitch 5mm size 10x12.6mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00057_1x03_P5.00mm_45Degree -terminal block RND 205-00057, 45Degree (cable under 45degree), 3 pins, pitch 5mm, size 15x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00057 45Degree pitch 5mm size 15x12.6mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00058_1x04_P5.00mm_45Degree -terminal block RND 205-00058, 45Degree (cable under 45degree), 4 pins, pitch 5mm, size 20x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00058 45Degree pitch 5mm size 20x12.6mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00059_1x05_P5.00mm_45Degree -terminal block RND 205-00059, 45Degree (cable under 45degree), 5 pins, pitch 5mm, size 25x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00059 45Degree pitch 5mm size 25x12.6mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00060_1x06_P5.00mm_45Degree -terminal block RND 205-00060, 45Degree (cable under 45degree), 6 pins, pitch 5mm, size 30x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00060 45Degree pitch 5mm size 30x12.6mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00061_1x07_P5.00mm_45Degree -terminal block RND 205-00061, 45Degree (cable under 45degree), 7 pins, pitch 5mm, size 35x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00061 45Degree pitch 5mm size 35x12.6mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00062_1x08_P5.00mm_45Degree -terminal block RND 205-00062, 45Degree (cable under 45degree), 8 pins, pitch 5mm, size 40x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00062 45Degree pitch 5mm size 40x12.6mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00063_1x09_P5.00mm_45Degree -terminal block RND 205-00063, 45Degree (cable under 45degree), 9 pins, pitch 5mm, size 45x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00063 45Degree pitch 5mm size 45x12.6mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00064_1x10_P5.00mm_45Degree -terminal block RND 205-00064, 45Degree (cable under 45degree), 10 pins, pitch 5mm, size 50x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00064 45Degree pitch 5mm size 50x12.6mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00065_1x11_P5.00mm_45Degree -terminal block RND 205-00065, 45Degree (cable under 45degree), 11 pins, pitch 5mm, size 55x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00065 45Degree pitch 5mm size 55x12.6mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00066_1x12_P5.00mm_45Degree -terminal block RND 205-00066, 45Degree (cable under 45degree), 12 pins, pitch 5mm, size 60x12.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00066 45Degree pitch 5mm size 60x12.6mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00067_1x02_P7.50mm_Horizontal -terminal block RND 205-00067, 2 pins, pitch 7.5mm, size 15x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00067 pitch 7.5mm size 15x10.3mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00068_1x03_P7.50mm_Horizontal -terminal block RND 205-00068, 3 pins, pitch 7.5mm, size 22.5x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00068 pitch 7.5mm size 22.5x10.3mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00069_1x04_P7.50mm_Horizontal -terminal block RND 205-00069, 4 pins, pitch 7.5mm, size 30x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00069 pitch 7.5mm size 30x10.3mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00070_1x05_P7.50mm_Horizontal -terminal block RND 205-00070, 5 pins, pitch 7.5mm, size 37.5x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00070 pitch 7.5mm size 37.5x10.3mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00071_1x06_P7.50mm_Horizontal -terminal block RND 205-00071, 6 pins, pitch 7.5mm, size 45x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00071 pitch 7.5mm size 45x10.3mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00072_1x07_P7.50mm_Horizontal -terminal block RND 205-00072, 7 pins, pitch 7.5mm, size 52.5x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00072 pitch 7.5mm size 52.5x10.3mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00073_1x08_P7.50mm_Horizontal -terminal block RND 205-00073, 8 pins, pitch 7.5mm, size 60x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00073 pitch 7.5mm size 60x10.3mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00074_1x09_P7.50mm_Horizontal -terminal block RND 205-00074, 9 pins, pitch 7.5mm, size 67.5x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00074 pitch 7.5mm size 67.5x10.3mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00075_1x10_P7.50mm_Horizontal -terminal block RND 205-00075, 10 pins, pitch 7.5mm, size 75x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00075 pitch 7.5mm size 75x10.3mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00076_1x11_P7.50mm_Horizontal -terminal block RND 205-00076, 11 pins, pitch 7.5mm, size 82.5x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00076 pitch 7.5mm size 82.5x10.3mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00077_1x12_P7.50mm_Horizontal -terminal block RND 205-00077, 12 pins, pitch 7.5mm, size 90x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00077 pitch 7.5mm size 90x10.3mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00078_1x02_P10.00mm_Horizontal -terminal block RND 205-00078, 2 pins, pitch 10mm, size 15x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00078 pitch 10mm size 15x10.3mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00079_1x03_P10.00mm_Horizontal -terminal block RND 205-00079, 3 pins, pitch 10mm, size 25x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00079 pitch 10mm size 25x10.3mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00080_1x04_P10.00mm_Horizontal -terminal block RND 205-00080, 4 pins, pitch 10mm, size 35x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00080 pitch 10mm size 35x10.3mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00081_1x05_P10.00mm_Horizontal -terminal block RND 205-00081, 5 pins, pitch 10mm, size 45x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00081 pitch 10mm size 45x10.3mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00082_1x06_P10.00mm_Horizontal -terminal block RND 205-00082, 6 pins, pitch 10mm, size 55x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00082 pitch 10mm size 55x10.3mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00083_1x07_P10.00mm_Horizontal -terminal block RND 205-00083, 7 pins, pitch 10mm, size 65x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00083 pitch 10mm size 65x10.3mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00084_1x08_P10.00mm_Horizontal -terminal block RND 205-00084, 8 pins, pitch 10mm, size 75x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00084 pitch 10mm size 75x10.3mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00085_1x09_P10.00mm_Horizontal -terminal block RND 205-00085, 9 pins, pitch 10mm, size 85x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00085 pitch 10mm size 85x10.3mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00086_1x10_P10.00mm_Horizontal -terminal block RND 205-00086, 10 pins, pitch 10mm, size 95x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00086 pitch 10mm size 95x10.3mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00087_1x11_P10.00mm_Horizontal -terminal block RND 205-00087, 11 pins, pitch 10mm, size 105x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00087 pitch 10mm size 105x10.3mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00088_1x12_P10.00mm_Horizontal -terminal block RND 205-00088, 12 pins, pitch 10mm, size 115x10.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00088 pitch 10mm size 115x10.3mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00232_1x02_P5.08mm_Horizontal -terminal block RND 205-00232, 2 pins, pitch 5.08mm, size 10.2x8.45mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00232 pitch 5.08mm size 10.2x8.45mm^2 drill 1.1mm pad 2.1mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00233_1x03_P5.08mm_Horizontal -terminal block RND 205-00233, 3 pins, pitch 5.08mm, size 15.2x8.45mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00233 pitch 5.08mm size 15.2x8.45mm^2 drill 1.1mm pad 2.1mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00234_1x04_P5.08mm_Horizontal -terminal block RND 205-00234, 4 pins, pitch 5.08mm, size 20.3x8.45mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00234 pitch 5.08mm size 20.3x8.45mm^2 drill 1.1mm pad 2.1mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00235_1x05_P5.08mm_Horizontal -terminal block RND 205-00235, 5 pins, pitch 5.08mm, size 25.4x8.45mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00235 pitch 5.08mm size 25.4x8.45mm^2 drill 1.1mm pad 2.1mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00236_1x06_P5.08mm_Horizontal -terminal block RND 205-00236, 6 pins, pitch 5.08mm, size 30.5x8.45mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00236 pitch 5.08mm size 30.5x8.45mm^2 drill 1.1mm pad 2.1mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00237_1x07_P5.08mm_Horizontal -terminal block RND 205-00237, 7 pins, pitch 5.08mm, size 35.6x8.45mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00237 pitch 5.08mm size 35.6x8.45mm^2 drill 1.1mm pad 2.1mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00238_1x08_P5.08mm_Horizontal -terminal block RND 205-00238, 8 pins, pitch 5.08mm, size 40.6x8.45mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00238 pitch 5.08mm size 40.6x8.45mm^2 drill 1.1mm pad 2.1mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00239_1x09_P5.08mm_Horizontal -terminal block RND 205-00239, 9 pins, pitch 5.08mm, size 45.7x8.45mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00239 pitch 5.08mm size 45.7x8.45mm^2 drill 1.1mm pad 2.1mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00240_1x10_P5.08mm_Horizontal -terminal block RND 205-00240, 10 pins, pitch 5.08mm, size 50.8x8.45mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00240 pitch 5.08mm size 50.8x8.45mm^2 drill 1.1mm pad 2.1mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00241_1x02_P10.16mm_Horizontal -terminal block RND 205-00241, 2 pins, pitch 10.2mm, size 15.2x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00241 pitch 10.2mm size 15.2x8.3mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00242_1x03_P10.16mm_Horizontal -terminal block RND 205-00242, 3 pins, pitch 10.2mm, size 25.4x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00242 pitch 10.2mm size 25.4x8.3mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00243_1x04_P10.16mm_Horizontal -terminal block RND 205-00243, 4 pins, pitch 10.2mm, size 35.6x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00243 pitch 10.2mm size 35.6x8.3mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00244_1x05_P10.16mm_Horizontal -terminal block RND 205-00244, 5 pins, pitch 10.2mm, size 45.7x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00244 pitch 10.2mm size 45.7x8.3mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00245_1x06_P10.16mm_Horizontal -terminal block RND 205-00245, 6 pins, pitch 10.2mm, size 55.9x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00245 pitch 10.2mm size 55.9x8.3mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00246_1x07_P10.16mm_Horizontal -terminal block RND 205-00246, 7 pins, pitch 10.2mm, size 66x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00246 pitch 10.2mm size 66x8.3mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00247_1x08_P10.16mm_Horizontal -terminal block RND 205-00247, 8 pins, pitch 10.2mm, size 76.2x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00247 pitch 10.2mm size 76.2x8.3mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00248_1x09_P10.16mm_Horizontal -terminal block RND 205-00248, 9 pins, pitch 10.2mm, size 86.4x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00248 pitch 10.2mm size 86.4x8.3mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00249_1x10_P10.16mm_Horizontal -terminal block RND 205-00249, 10 pins, pitch 10.2mm, size 96.5x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00249 pitch 10.2mm size 96.5x8.3mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00250_1x11_P10.16mm_Horizontal -terminal block RND 205-00250, 11 pins, pitch 10.2mm, size 107x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00250 pitch 10.2mm size 107x8.3mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00251_1x12_P10.16mm_Horizontal -terminal block RND 205-00251, 12 pins, pitch 10.2mm, size 117x8.3mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00251 pitch 10.2mm size 117x8.3mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00276_1x02_P5.00mm_Vertical -terminal block RND 205-00078, vertical (cable from top), 2 pins, pitch 5mm, size 10x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00078 vertical pitch 5mm size 10x10mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00277_1x03_P5.00mm_Vertical -terminal block RND 205-00079, vertical (cable from top), 3 pins, pitch 5mm, size 15x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00079 vertical pitch 5mm size 15x10mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00278_1x04_P5.00mm_Vertical -terminal block RND 205-00080, vertical (cable from top), 4 pins, pitch 5mm, size 20x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00080 vertical pitch 5mm size 20x10mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00279_1x05_P5.00mm_Vertical -terminal block RND 205-00081, vertical (cable from top), 5 pins, pitch 5mm, size 25x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00081 vertical pitch 5mm size 25x10mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00280_1x06_P5.00mm_Vertical -terminal block RND 205-00082, vertical (cable from top), 6 pins, pitch 5mm, size 30x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00082 vertical pitch 5mm size 30x10mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00281_1x07_P5.00mm_Vertical -terminal block RND 205-00083, vertical (cable from top), 7 pins, pitch 5mm, size 35x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00083 vertical pitch 5mm size 35x10mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00282_1x08_P5.00mm_Vertical -terminal block RND 205-00084, vertical (cable from top), 8 pins, pitch 5mm, size 40x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00084 vertical pitch 5mm size 40x10mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00283_1x09_P5.00mm_Vertical -terminal block RND 205-00085, vertical (cable from top), 9 pins, pitch 5mm, size 45x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00085 vertical pitch 5mm size 45x10mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00284_1x10_P5.00mm_Vertical -terminal block RND 205-00086, vertical (cable from top), 10 pins, pitch 5mm, size 50x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00086 vertical pitch 5mm size 50x10mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00285_1x11_P5.00mm_Vertical -terminal block RND 205-00087, vertical (cable from top), 11 pins, pitch 5mm, size 55x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00087 vertical pitch 5mm size 55x10mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00286_1x12_P5.00mm_Vertical -terminal block RND 205-00088, vertical (cable from top), 12 pins, pitch 5mm, size 60x10mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf, script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00088 vertical pitch 5mm size 60x10mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00287_1x02_P5.08mm_Horizontal -terminal block RND 205-00287, 2 pins, pitch 5.08mm, size 10.2x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00287 pitch 5.08mm size 10.2x10.6mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00288_1x03_P5.08mm_Horizontal -terminal block RND 205-00288, 3 pins, pitch 5.08mm, size 15.2x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00288 pitch 5.08mm size 15.2x10.6mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00289_1x04_P5.08mm_Horizontal -terminal block RND 205-00289, 4 pins, pitch 5.08mm, size 20.3x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00289 pitch 5.08mm size 20.3x10.6mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00290_1x05_P5.08mm_Horizontal -terminal block RND 205-00290, 5 pins, pitch 5.08mm, size 25.4x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00290 pitch 5.08mm size 25.4x10.6mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00291_1x06_P5.08mm_Horizontal -terminal block RND 205-00291, 6 pins, pitch 5.08mm, size 30.5x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00291 pitch 5.08mm size 30.5x10.6mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00292_1x07_P5.08mm_Horizontal -terminal block RND 205-00292, 7 pins, pitch 5.08mm, size 35.6x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00292 pitch 5.08mm size 35.6x10.6mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00293_1x08_P5.08mm_Horizontal -terminal block RND 205-00293, 8 pins, pitch 5.08mm, size 40.6x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00293 pitch 5.08mm size 40.6x10.6mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00294_1x09_P5.08mm_Horizontal -terminal block RND 205-00294, 9 pins, pitch 5.08mm, size 45.7x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00294 pitch 5.08mm size 45.7x10.6mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00295_1x10_P5.08mm_Horizontal -terminal block RND 205-00295, 10 pins, pitch 5.08mm, size 50.8x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00295 pitch 5.08mm size 50.8x10.6mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00296_1x11_P5.08mm_Horizontal -terminal block RND 205-00296, 11 pins, pitch 5.08mm, size 55.9x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00296 pitch 5.08mm size 55.9x10.6mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00297_1x12_P5.08mm_Horizontal -terminal block RND 205-00297, 12 pins, pitch 5.08mm, size 61x10.6mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00297 pitch 5.08mm size 61x10.6mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_RND -TerminalBlock_RND_205-00298_1x02_P10.00mm_Horizontal -terminal block RND 205-00298, 2 pins, pitch 10mm, size 15x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00298 pitch 10mm size 15x8.1mm^2 drill 1.3mm pad 2.5mm -0 -2 -2 -TerminalBlock_RND -TerminalBlock_RND_205-00299_1x03_P10.00mm_Horizontal -terminal block RND 205-00299, 3 pins, pitch 10mm, size 25x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00299 pitch 10mm size 25x8.1mm^2 drill 1.3mm pad 2.5mm -0 -3 -3 -TerminalBlock_RND -TerminalBlock_RND_205-00300_1x04_P10.00mm_Horizontal -terminal block RND 205-00300, 4 pins, pitch 10mm, size 35x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00300 pitch 10mm size 35x8.1mm^2 drill 1.3mm pad 2.5mm -0 -4 -4 -TerminalBlock_RND -TerminalBlock_RND_205-00301_1x05_P10.00mm_Horizontal -terminal block RND 205-00301, 5 pins, pitch 10mm, size 45x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00301 pitch 10mm size 45x8.1mm^2 drill 1.3mm pad 2.5mm -0 -5 -5 -TerminalBlock_RND -TerminalBlock_RND_205-00302_1x06_P10.00mm_Horizontal -terminal block RND 205-00302, 6 pins, pitch 10mm, size 55x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00302 pitch 10mm size 55x8.1mm^2 drill 1.3mm pad 2.5mm -0 -6 -6 -TerminalBlock_RND -TerminalBlock_RND_205-00303_1x07_P10.00mm_Horizontal -terminal block RND 205-00303, 7 pins, pitch 10mm, size 65x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00303 pitch 10mm size 65x8.1mm^2 drill 1.3mm pad 2.5mm -0 -7 -7 -TerminalBlock_RND -TerminalBlock_RND_205-00304_1x08_P10.00mm_Horizontal -terminal block RND 205-00304, 8 pins, pitch 10mm, size 75x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00304 pitch 10mm size 75x8.1mm^2 drill 1.3mm pad 2.5mm -0 -8 -8 -TerminalBlock_RND -TerminalBlock_RND_205-00305_1x09_P10.00mm_Horizontal -terminal block RND 205-00305, 9 pins, pitch 10mm, size 85x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00305 pitch 10mm size 85x8.1mm^2 drill 1.3mm pad 2.5mm -0 -9 -9 -TerminalBlock_RND -TerminalBlock_RND_205-00306_1x10_P10.00mm_Horizontal -terminal block RND 205-00306, 10 pins, pitch 10mm, size 95x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00306 pitch 10mm size 95x8.1mm^2 drill 1.3mm pad 2.5mm -0 -10 -10 -TerminalBlock_RND -TerminalBlock_RND_205-00307_1x11_P10.00mm_Horizontal -terminal block RND 205-00307, 11 pins, pitch 10mm, size 105x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00307 pitch 10mm size 105x8.1mm^2 drill 1.3mm pad 2.5mm -0 -11 -11 -TerminalBlock_RND -TerminalBlock_RND_205-00308_1x12_P10.00mm_Horizontal -terminal block RND 205-00308, 12 pins, pitch 10mm, size 115x8.1mm^2, drill diamater 1.3mm, pad diameter 2.5mm, see http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND -THT terminal block RND 205-00308 pitch 10mm size 115x8.1mm^2 drill 1.3mm pad 2.5mm -0 -12 -12 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_1-282834-0_1x10_P2.54mm_Horizontal -Terminal Block TE 1-282834-0, 10 pins, pitch 2.54mm, size 25.86x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 1-282834-0 pitch 2.54mm size 25.86x6.5mm^2 drill 1.1mm pad 2.1mm -0 -10 -10 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_1-282834-1_1x11_P2.54mm_Horizontal -Terminal Block TE 1-282834-1, 11 pins, pitch 2.54mm, size 28.4x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 1-282834-1 pitch 2.54mm size 28.4x6.5mm^2 drill 1.1mm pad 2.1mm -0 -11 -11 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_1-282834-2_1x12_P2.54mm_Horizontal -Terminal Block TE 1-282834-2, 12 pins, pitch 2.54mm, size 30.94x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 1-282834-2 pitch 2.54mm size 30.94x6.5mm^2 drill 1.1mm pad 2.1mm -0 -12 -12 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_282834-2_1x02_P2.54mm_Horizontal -Terminal Block TE 282834-2, 2 pins, pitch 2.54mm, size 5.54x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 282834-2 pitch 2.54mm size 5.54x6.5mm^2 drill 1.1mm pad 2.1mm -0 -2 -2 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_282834-3_1x03_P2.54mm_Horizontal -Terminal Block TE 282834-3, 3 pins, pitch 2.54mm, size 8.08x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 282834-3 pitch 2.54mm size 8.08x6.5mm^2 drill 1.1mm pad 2.1mm -0 -3 -3 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_282834-4_1x04_P2.54mm_Horizontal -Terminal Block TE 282834-4, 4 pins, pitch 2.54mm, size 10.620000000000001x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 282834-4 pitch 2.54mm size 10.620000000000001x6.5mm^2 drill 1.1mm pad 2.1mm -0 -4 -4 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_282834-5_1x05_P2.54mm_Horizontal -Terminal Block TE 282834-5, 5 pins, pitch 2.54mm, size 13.16x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 282834-5 pitch 2.54mm size 13.16x6.5mm^2 drill 1.1mm pad 2.1mm -0 -5 -5 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_282834-6_1x06_P2.54mm_Horizontal -Terminal Block TE 282834-6, 6 pins, pitch 2.54mm, size 15.7x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 282834-6 pitch 2.54mm size 15.7x6.5mm^2 drill 1.1mm pad 2.1mm -0 -6 -6 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_282834-7_1x07_P2.54mm_Horizontal -Terminal Block TE 282834-7, 7 pins, pitch 2.54mm, size 18.240000000000002x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 282834-7 pitch 2.54mm size 18.240000000000002x6.5mm^2 drill 1.1mm pad 2.1mm -0 -7 -7 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_282834-8_1x08_P2.54mm_Horizontal -Terminal Block TE 282834-8, 8 pins, pitch 2.54mm, size 20.78x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 282834-8 pitch 2.54mm size 20.78x6.5mm^2 drill 1.1mm pad 2.1mm -0 -8 -8 -TerminalBlock_TE-Connectivity -TerminalBlock_TE_282834-9_1x09_P2.54mm_Horizontal -Terminal Block TE 282834-9, 9 pins, pitch 2.54mm, size 23.32x6.5mm^2, drill diamater 1.1mm, pad diameter 2.1mm, see http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity -THT Terminal Block TE 282834-9 pitch 2.54mm size 23.32x6.5mm^2 drill 1.1mm pad 2.1mm -0 -9 -9 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-101_1x01_P5.00mm_45Degree -Terminal Block WAGO 236-101, 45Degree (cable under 45degree), 1 pins, pitch 5mm, size 7.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-101 45Degree pitch 5mm size 7.3x14mm^2 drill 1.15mm pad 3mm -0 -1 -1 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-102_1x02_P5.00mm_45Degree -Terminal Block WAGO 236-102, 45Degree (cable under 45degree), 2 pins, pitch 5mm, size 12.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-102 45Degree pitch 5mm size 12.3x14mm^2 drill 1.15mm pad 3mm -0 -2 -2 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-103_1x03_P5.00mm_45Degree -Terminal Block WAGO 236-103, 45Degree (cable under 45degree), 3 pins, pitch 5mm, size 17.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-103 45Degree pitch 5mm size 17.3x14mm^2 drill 1.15mm pad 3mm -0 -3 -3 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-104_1x04_P5.00mm_45Degree -Terminal Block WAGO 236-104, 45Degree (cable under 45degree), 4 pins, pitch 5mm, size 22.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-104 45Degree pitch 5mm size 22.3x14mm^2 drill 1.15mm pad 3mm -0 -4 -4 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-105_1x05_P5.00mm_45Degree -Terminal Block WAGO 236-105, 45Degree (cable under 45degree), 5 pins, pitch 5mm, size 27.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-105 45Degree pitch 5mm size 27.3x14mm^2 drill 1.15mm pad 3mm -0 -5 -5 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-106_1x06_P5.00mm_45Degree -Terminal Block WAGO 236-106, 45Degree (cable under 45degree), 6 pins, pitch 5mm, size 32.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-106 45Degree pitch 5mm size 32.3x14mm^2 drill 1.15mm pad 3mm -0 -6 -6 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-107_1x07_P5.00mm_45Degree -Terminal Block WAGO 236-107, 45Degree (cable under 45degree), 7 pins, pitch 5mm, size 37.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-107 45Degree pitch 5mm size 37.3x14mm^2 drill 1.15mm pad 3mm -0 -7 -7 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-108_1x08_P5.00mm_45Degree -Terminal Block WAGO 236-108, 45Degree (cable under 45degree), 8 pins, pitch 5mm, size 42.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-108 45Degree pitch 5mm size 42.3x14mm^2 drill 1.15mm pad 3mm -0 -8 -8 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-109_1x09_P5.00mm_45Degree -Terminal Block WAGO 236-109, 45Degree (cable under 45degree), 9 pins, pitch 5mm, size 47.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-109 45Degree pitch 5mm size 47.3x14mm^2 drill 1.15mm pad 3mm -0 -9 -9 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-112_1x12_P5.00mm_45Degree -Terminal Block WAGO 236-112, 45Degree (cable under 45degree), 12 pins, pitch 5mm, size 62.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-112 45Degree pitch 5mm size 62.3x14mm^2 drill 1.15mm pad 3mm -0 -12 -12 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-114_1x14_P5.00mm_45Degree -Terminal Block WAGO 236-114, 45Degree (cable under 45degree), 14 pins, pitch 5mm, size 72.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-114 45Degree pitch 5mm size 72.3x14mm^2 drill 1.15mm pad 3mm -0 -14 -14 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-116_1x16_P5.00mm_45Degree -Terminal Block WAGO 236-116, 45Degree (cable under 45degree), 16 pins, pitch 5mm, size 82.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-116 45Degree pitch 5mm size 82.3x14mm^2 drill 1.15mm pad 3mm -0 -16 -16 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-124_1x24_P5.00mm_45Degree -Terminal Block WAGO 236-124, 45Degree (cable under 45degree), 24 pins, pitch 5mm, size 122x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-124 45Degree pitch 5mm size 122x14mm^2 drill 1.15mm pad 3mm -0 -24 -24 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-136_1x36_P5.00mm_45Degree -Terminal Block WAGO 236-136, 45Degree (cable under 45degree), 36 pins, pitch 5mm, size 182x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-136 45Degree pitch 5mm size 182x14mm^2 drill 1.15mm pad 3mm -0 -36 -36 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-148_1x48_P5.00mm_45Degree -Terminal Block WAGO 236-148, 45Degree (cable under 45degree), 48 pins, pitch 5mm, size 242x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-148 45Degree pitch 5mm size 242x14mm^2 drill 1.15mm pad 3mm -0 -48 -48 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-201_1x01_P7.50mm_45Degree -Terminal Block WAGO 236-201, 45Degree (cable under 45degree), 1 pins, pitch 7.5mm, size 9.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-201 45Degree pitch 7.5mm size 9.8x14mm^2 drill 1.15mm pad 3mm -0 -1 -1 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-202_1x02_P7.50mm_45Degree -Terminal Block WAGO 236-202, 45Degree (cable under 45degree), 2 pins, pitch 7.5mm, size 17.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-202 45Degree pitch 7.5mm size 17.3x14mm^2 drill 1.15mm pad 3mm -0 -2 -2 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-203_1x03_P7.50mm_45Degree -Terminal Block WAGO 236-203, 45Degree (cable under 45degree), 3 pins, pitch 7.5mm, size 24.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-203 45Degree pitch 7.5mm size 24.8x14mm^2 drill 1.15mm pad 3mm -0 -3 -3 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-204_1x04_P7.50mm_45Degree -Terminal Block WAGO 236-204, 45Degree (cable under 45degree), 4 pins, pitch 7.5mm, size 32.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-204 45Degree pitch 7.5mm size 32.3x14mm^2 drill 1.15mm pad 3mm -0 -4 -4 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-205_1x05_P7.50mm_45Degree -Terminal Block WAGO 236-205, 45Degree (cable under 45degree), 5 pins, pitch 7.5mm, size 39.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-205 45Degree pitch 7.5mm size 39.8x14mm^2 drill 1.15mm pad 3mm -0 -5 -5 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-206_1x06_P7.50mm_45Degree -Terminal Block WAGO 236-206, 45Degree (cable under 45degree), 6 pins, pitch 7.5mm, size 47.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-206 45Degree pitch 7.5mm size 47.3x14mm^2 drill 1.15mm pad 3mm -0 -6 -6 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-207_1x07_P7.50mm_45Degree -Terminal Block WAGO 236-207, 45Degree (cable under 45degree), 7 pins, pitch 7.5mm, size 54.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-207 45Degree pitch 7.5mm size 54.8x14mm^2 drill 1.15mm pad 3mm -0 -7 -7 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-208_1x08_P7.50mm_45Degree -Terminal Block WAGO 236-208, 45Degree (cable under 45degree), 8 pins, pitch 7.5mm, size 62.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-208 45Degree pitch 7.5mm size 62.3x14mm^2 drill 1.15mm pad 3mm -0 -8 -8 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-209_1x09_P7.50mm_45Degree -Terminal Block WAGO 236-209, 45Degree (cable under 45degree), 9 pins, pitch 7.5mm, size 69.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-209 45Degree pitch 7.5mm size 69.8x14mm^2 drill 1.15mm pad 3mm -0 -9 -9 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-212_1x12_P7.50mm_45Degree -Terminal Block WAGO 236-212, 45Degree (cable under 45degree), 12 pins, pitch 7.5mm, size 92.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-212 45Degree pitch 7.5mm size 92.3x14mm^2 drill 1.15mm pad 3mm -0 -12 -12 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-216_1x16_P7.50mm_45Degree -Terminal Block WAGO 236-216, 45Degree (cable under 45degree), 16 pins, pitch 7.5mm, size 122x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-216 45Degree pitch 7.5mm size 122x14mm^2 drill 1.15mm pad 3mm -0 -16 -16 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-224_1x24_P7.50mm_45Degree -Terminal Block WAGO 236-224, 45Degree (cable under 45degree), 24 pins, pitch 7.5mm, size 182x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-224 45Degree pitch 7.5mm size 182x14mm^2 drill 1.15mm pad 3mm -0 -24 -24 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-301_1x01_P10.00mm_45Degree -Terminal Block WAGO 236-301, 45Degree (cable under 45degree), 1 pins, pitch 10mm, size 12.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-301 45Degree pitch 10mm size 12.3x14mm^2 drill 1.15mm pad 3mm -0 -1 -1 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-302_1x02_P10.00mm_45Degree -Terminal Block WAGO 236-302, 45Degree (cable under 45degree), 2 pins, pitch 10mm, size 22.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-302 45Degree pitch 10mm size 22.3x14mm^2 drill 1.15mm pad 3mm -0 -2 -2 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-303_1x03_P10.00mm_45Degree -Terminal Block WAGO 236-303, 45Degree (cable under 45degree), 3 pins, pitch 10mm, size 32.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-303 45Degree pitch 10mm size 32.3x14mm^2 drill 1.15mm pad 3mm -0 -3 -3 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-304_1x04_P10.00mm_45Degree -Terminal Block WAGO 236-304, 45Degree (cable under 45degree), 4 pins, pitch 10mm, size 42.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-304 45Degree pitch 10mm size 42.3x14mm^2 drill 1.15mm pad 3mm -0 -4 -4 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-305_1x05_P10.00mm_45Degree -Terminal Block WAGO 236-305, 45Degree (cable under 45degree), 5 pins, pitch 10mm, size 52.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-305 45Degree pitch 10mm size 52.3x14mm^2 drill 1.15mm pad 3mm -0 -5 -5 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-306_1x06_P10.00mm_45Degree -Terminal Block WAGO 236-306, 45Degree (cable under 45degree), 6 pins, pitch 10mm, size 62.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-306 45Degree pitch 10mm size 62.3x14mm^2 drill 1.15mm pad 3mm -0 -6 -6 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-308_1x08_P10.00mm_45Degree -Terminal Block WAGO 236-308, 45Degree (cable under 45degree), 8 pins, pitch 10mm, size 82.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-308 45Degree pitch 10mm size 82.3x14mm^2 drill 1.15mm pad 3mm -0 -8 -8 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-309_1x09_P10.00mm_45Degree -Terminal Block WAGO 236-309, 45Degree (cable under 45degree), 9 pins, pitch 10mm, size 92.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-309 45Degree pitch 10mm size 92.3x14mm^2 drill 1.15mm pad 3mm -0 -9 -9 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-312_1x12_P10.00mm_45Degree -Terminal Block WAGO 236-312, 45Degree (cable under 45degree), 12 pins, pitch 10mm, size 122x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-312 45Degree pitch 10mm size 122x14mm^2 drill 1.15mm pad 3mm -0 -12 -12 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-316_1x16_P10.00mm_45Degree -Terminal Block WAGO 236-316, 45Degree (cable under 45degree), 16 pins, pitch 10mm, size 162x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-316 45Degree pitch 10mm size 162x14mm^2 drill 1.15mm pad 3mm -0 -16 -16 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-324_1x24_P10.00mm_45Degree -Terminal Block WAGO 236-324, 45Degree (cable under 45degree), 24 pins, pitch 10mm, size 242x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-324 45Degree pitch 10mm size 242x14mm^2 drill 1.15mm pad 3mm -0 -24 -24 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-401_1x01_P5.00mm_45Degree -Terminal Block WAGO 236-401, 45Degree (cable under 45degree), 1 pins, pitch 5mm, size 7.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-401 45Degree pitch 5mm size 7.3x14mm^2 drill 1.15mm pad 3mm -0 -2 -1 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-402_1x02_P5.00mm_45Degree -Terminal Block WAGO 236-402, 45Degree (cable under 45degree), 2 pins, pitch 5mm, size 12.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-402 45Degree pitch 5mm size 12.3x14mm^2 drill 1.15mm pad 3mm -0 -4 -2 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-403_1x03_P5.00mm_45Degree -Terminal Block WAGO 236-403, 45Degree (cable under 45degree), 3 pins, pitch 5mm, size 17.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-403 45Degree pitch 5mm size 17.3x14mm^2 drill 1.15mm pad 3mm -0 -6 -3 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-404_1x04_P5.00mm_45Degree -Terminal Block WAGO 236-404, 45Degree (cable under 45degree), 4 pins, pitch 5mm, size 22.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-404 45Degree pitch 5mm size 22.3x14mm^2 drill 1.15mm pad 3mm -0 -8 -4 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-405_1x05_P5.00mm_45Degree -Terminal Block WAGO 236-405, 45Degree (cable under 45degree), 5 pins, pitch 5mm, size 27.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-405 45Degree pitch 5mm size 27.3x14mm^2 drill 1.15mm pad 3mm -0 -10 -5 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-406_1x06_P5.00mm_45Degree -Terminal Block WAGO 236-406, 45Degree (cable under 45degree), 6 pins, pitch 5mm, size 32.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-406 45Degree pitch 5mm size 32.3x14mm^2 drill 1.15mm pad 3mm -0 -12 -6 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-407_1x07_P5.00mm_45Degree -Terminal Block WAGO 236-407, 45Degree (cable under 45degree), 7 pins, pitch 5mm, size 37.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-407 45Degree pitch 5mm size 37.3x14mm^2 drill 1.15mm pad 3mm -0 -14 -7 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-408_1x08_P5.00mm_45Degree -Terminal Block WAGO 236-408, 45Degree (cable under 45degree), 8 pins, pitch 5mm, size 42.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-408 45Degree pitch 5mm size 42.3x14mm^2 drill 1.15mm pad 3mm -0 -16 -8 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-409_1x09_P5.00mm_45Degree -Terminal Block WAGO 236-409, 45Degree (cable under 45degree), 9 pins, pitch 5mm, size 47.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-409 45Degree pitch 5mm size 47.3x14mm^2 drill 1.15mm pad 3mm -0 -18 -9 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-412_1x12_P5.00mm_45Degree -Terminal Block WAGO 236-412, 45Degree (cable under 45degree), 12 pins, pitch 5mm, size 62.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-412 45Degree pitch 5mm size 62.3x14mm^2 drill 1.15mm pad 3mm -0 -24 -12 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-414_1x14_P5.00mm_45Degree -Terminal Block WAGO 236-414, 45Degree (cable under 45degree), 14 pins, pitch 5mm, size 72.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-414 45Degree pitch 5mm size 72.3x14mm^2 drill 1.15mm pad 3mm -0 -28 -14 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-416_1x16_P5.00mm_45Degree -Terminal Block WAGO 236-416, 45Degree (cable under 45degree), 16 pins, pitch 5mm, size 82.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-416 45Degree pitch 5mm size 82.3x14mm^2 drill 1.15mm pad 3mm -0 -32 -16 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-424_1x24_P5.00mm_45Degree -Terminal Block WAGO 236-424, 45Degree (cable under 45degree), 24 pins, pitch 5mm, size 122x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-424 45Degree pitch 5mm size 122x14mm^2 drill 1.15mm pad 3mm -0 -48 -24 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-436_1x36_P5.00mm_45Degree -Terminal Block WAGO 236-436, 45Degree (cable under 45degree), 36 pins, pitch 5mm, size 182x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-436 45Degree pitch 5mm size 182x14mm^2 drill 1.15mm pad 3mm -0 -72 -36 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-448_1x48_P5.00mm_45Degree -Terminal Block WAGO 236-448, 45Degree (cable under 45degree), 48 pins, pitch 5mm, size 242x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-448 45Degree pitch 5mm size 242x14mm^2 drill 1.15mm pad 3mm -0 -96 -48 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-501_1x01_P7.50mm_45Degree -Terminal Block WAGO 236-501, 45Degree (cable under 45degree), 1 pins, pitch 7.5mm, size 9.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-501 45Degree pitch 7.5mm size 9.8x14mm^2 drill 1.15mm pad 3mm -0 -2 -1 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-502_1x02_P7.50mm_45Degree -Terminal Block WAGO 236-502, 45Degree (cable under 45degree), 2 pins, pitch 7.5mm, size 17.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-502 45Degree pitch 7.5mm size 17.3x14mm^2 drill 1.15mm pad 3mm -0 -4 -2 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-503_1x03_P7.50mm_45Degree -Terminal Block WAGO 236-503, 45Degree (cable under 45degree), 3 pins, pitch 7.5mm, size 24.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-503 45Degree pitch 7.5mm size 24.8x14mm^2 drill 1.15mm pad 3mm -0 -6 -3 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-504_1x04_P7.50mm_45Degree -Terminal Block WAGO 236-504, 45Degree (cable under 45degree), 4 pins, pitch 7.5mm, size 32.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-504 45Degree pitch 7.5mm size 32.3x14mm^2 drill 1.15mm pad 3mm -0 -8 -4 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-505_1x05_P7.50mm_45Degree -Terminal Block WAGO 236-505, 45Degree (cable under 45degree), 5 pins, pitch 7.5mm, size 39.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-505 45Degree pitch 7.5mm size 39.8x14mm^2 drill 1.15mm pad 3mm -0 -10 -5 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-506_1x06_P7.50mm_45Degree -Terminal Block WAGO 236-506, 45Degree (cable under 45degree), 6 pins, pitch 7.5mm, size 47.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-506 45Degree pitch 7.5mm size 47.3x14mm^2 drill 1.15mm pad 3mm -0 -12 -6 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-507_1x07_P7.50mm_45Degree -Terminal Block WAGO 236-507, 45Degree (cable under 45degree), 7 pins, pitch 7.5mm, size 54.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-507 45Degree pitch 7.5mm size 54.8x14mm^2 drill 1.15mm pad 3mm -0 -14 -7 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-508_1x08_P7.50mm_45Degree -Terminal Block WAGO 236-508, 45Degree (cable under 45degree), 8 pins, pitch 7.5mm, size 62.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-508 45Degree pitch 7.5mm size 62.3x14mm^2 drill 1.15mm pad 3mm -0 -16 -8 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-509_1x09_P7.50mm_45Degree -Terminal Block WAGO 236-509, 45Degree (cable under 45degree), 9 pins, pitch 7.5mm, size 69.8x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-509 45Degree pitch 7.5mm size 69.8x14mm^2 drill 1.15mm pad 3mm -0 -18 -9 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-512_1x12_P7.50mm_45Degree -Terminal Block WAGO 236-512, 45Degree (cable under 45degree), 12 pins, pitch 7.5mm, size 92.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-512 45Degree pitch 7.5mm size 92.3x14mm^2 drill 1.15mm pad 3mm -0 -24 -12 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-516_1x16_P7.50mm_45Degree -Terminal Block WAGO 236-516, 45Degree (cable under 45degree), 16 pins, pitch 7.5mm, size 122x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-516 45Degree pitch 7.5mm size 122x14mm^2 drill 1.15mm pad 3mm -0 -32 -16 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-524_1x24_P7.50mm_45Degree -Terminal Block WAGO 236-524, 45Degree (cable under 45degree), 24 pins, pitch 7.5mm, size 182x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-524 45Degree pitch 7.5mm size 182x14mm^2 drill 1.15mm pad 3mm -0 -48 -24 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-601_1x01_P10.00mm_45Degree -Terminal Block WAGO 236-601, 45Degree (cable under 45degree), 1 pins, pitch 10mm, size 12.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-601 45Degree pitch 10mm size 12.3x14mm^2 drill 1.15mm pad 3mm -0 -2 -1 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-602_1x02_P10.00mm_45Degree -Terminal Block WAGO 236-602, 45Degree (cable under 45degree), 2 pins, pitch 10mm, size 22.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-602 45Degree pitch 10mm size 22.3x14mm^2 drill 1.15mm pad 3mm -0 -4 -2 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-603_1x03_P10.00mm_45Degree -Terminal Block WAGO 236-603, 45Degree (cable under 45degree), 3 pins, pitch 10mm, size 32.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-603 45Degree pitch 10mm size 32.3x14mm^2 drill 1.15mm pad 3mm -0 -6 -3 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-604_1x04_P10.00mm_45Degree -Terminal Block WAGO 236-604, 45Degree (cable under 45degree), 4 pins, pitch 10mm, size 42.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-604 45Degree pitch 10mm size 42.3x14mm^2 drill 1.15mm pad 3mm -0 -8 -4 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-605_1x05_P10.00mm_45Degree -Terminal Block WAGO 236-605, 45Degree (cable under 45degree), 5 pins, pitch 10mm, size 52.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-605 45Degree pitch 10mm size 52.3x14mm^2 drill 1.15mm pad 3mm -0 -10 -5 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-606_1x06_P10.00mm_45Degree -Terminal Block WAGO 236-606, 45Degree (cable under 45degree), 6 pins, pitch 10mm, size 62.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-606 45Degree pitch 10mm size 62.3x14mm^2 drill 1.15mm pad 3mm -0 -12 -6 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-608_1x08_P10.00mm_45Degree -Terminal Block WAGO 236-608, 45Degree (cable under 45degree), 8 pins, pitch 10mm, size 82.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-608 45Degree pitch 10mm size 82.3x14mm^2 drill 1.15mm pad 3mm -0 -16 -8 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-609_1x09_P10.00mm_45Degree -Terminal Block WAGO 236-609, 45Degree (cable under 45degree), 9 pins, pitch 10mm, size 92.3x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-609 45Degree pitch 10mm size 92.3x14mm^2 drill 1.15mm pad 3mm -0 -18 -9 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-612_1x12_P10.00mm_45Degree -Terminal Block WAGO 236-612, 45Degree (cable under 45degree), 12 pins, pitch 10mm, size 122x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-612 45Degree pitch 10mm size 122x14mm^2 drill 1.15mm pad 3mm -0 -24 -12 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-616_1x16_P10.00mm_45Degree -Terminal Block WAGO 236-616, 45Degree (cable under 45degree), 16 pins, pitch 10mm, size 162x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-616 45Degree pitch 10mm size 162x14mm^2 drill 1.15mm pad 3mm -0 -32 -16 -TerminalBlock_WAGO -TerminalBlock_WAGO_236-624_1x24_P10.00mm_45Degree -Terminal Block WAGO 236-624, 45Degree (cable under 45degree), 24 pins, pitch 10mm, size 242x14mm^2, drill diamater 1.15mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 236-624 45Degree pitch 10mm size 242x14mm^2 drill 1.15mm pad 3mm -0 -48 -24 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-101_1x01_P5.00mm_45Degree -Terminal Block WAGO 804-101, 45Degree (cable under 45degree), 1 pins, pitch 5mm, size 6.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-101 45Degree pitch 5mm size 6.5x15mm^2 drill 1.2mm pad 3mm -0 -2 -1 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-102_1x02_P5.00mm_45Degree -Terminal Block WAGO 804-102, 45Degree (cable under 45degree), 2 pins, pitch 5mm, size 11.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-102 45Degree pitch 5mm size 11.5x15mm^2 drill 1.2mm pad 3mm -0 -4 -2 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-103_1x03_P5.00mm_45Degree -Terminal Block WAGO 804-103, 45Degree (cable under 45degree), 3 pins, pitch 5mm, size 16.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-103 45Degree pitch 5mm size 16.5x15mm^2 drill 1.2mm pad 3mm -0 -6 -3 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-104_1x04_P5.00mm_45Degree -Terminal Block WAGO 804-104, 45Degree (cable under 45degree), 4 pins, pitch 5mm, size 21.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-104 45Degree pitch 5mm size 21.5x15mm^2 drill 1.2mm pad 3mm -0 -8 -4 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-105_1x05_P5.00mm_45Degree -Terminal Block WAGO 804-105, 45Degree (cable under 45degree), 5 pins, pitch 5mm, size 26.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-105 45Degree pitch 5mm size 26.5x15mm^2 drill 1.2mm pad 3mm -0 -10 -5 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-106_1x06_P5.00mm_45Degree -Terminal Block WAGO 804-106, 45Degree (cable under 45degree), 6 pins, pitch 5mm, size 31.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-106 45Degree pitch 5mm size 31.5x15mm^2 drill 1.2mm pad 3mm -0 -12 -6 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-107_1x07_P5.00mm_45Degree -Terminal Block WAGO 804-107, 45Degree (cable under 45degree), 7 pins, pitch 5mm, size 36.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-107 45Degree pitch 5mm size 36.5x15mm^2 drill 1.2mm pad 3mm -0 -14 -7 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-108_1x08_P5.00mm_45Degree -Terminal Block WAGO 804-108, 45Degree (cable under 45degree), 8 pins, pitch 5mm, size 41.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-108 45Degree pitch 5mm size 41.5x15mm^2 drill 1.2mm pad 3mm -0 -16 -8 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-109_1x09_P5.00mm_45Degree -Terminal Block WAGO 804-109, 45Degree (cable under 45degree), 9 pins, pitch 5mm, size 46.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-109 45Degree pitch 5mm size 46.5x15mm^2 drill 1.2mm pad 3mm -0 -18 -9 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-110_1x10_P5.00mm_45Degree -Terminal Block WAGO 804-110, 45Degree (cable under 45degree), 10 pins, pitch 5mm, size 51.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-110 45Degree pitch 5mm size 51.5x15mm^2 drill 1.2mm pad 3mm -0 -20 -10 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-111_1x11_P5.00mm_45Degree -Terminal Block WAGO 804-111, 45Degree (cable under 45degree), 11 pins, pitch 5mm, size 56.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-111 45Degree pitch 5mm size 56.5x15mm^2 drill 1.2mm pad 3mm -0 -22 -11 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-112_1x12_P5.00mm_45Degree -Terminal Block WAGO 804-112, 45Degree (cable under 45degree), 12 pins, pitch 5mm, size 61.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-112 45Degree pitch 5mm size 61.5x15mm^2 drill 1.2mm pad 3mm -0 -24 -12 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-113_1x13_P5.00mm_45Degree -Terminal Block WAGO 804-113, 45Degree (cable under 45degree), 13 pins, pitch 5mm, size 66.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-113 45Degree pitch 5mm size 66.5x15mm^2 drill 1.2mm pad 3mm -0 -26 -13 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-114_1x14_P5.00mm_45Degree -Terminal Block WAGO 804-114, 45Degree (cable under 45degree), 14 pins, pitch 5mm, size 71.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-114 45Degree pitch 5mm size 71.5x15mm^2 drill 1.2mm pad 3mm -0 -28 -14 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-115_1x15_P5.00mm_45Degree -Terminal Block WAGO 804-115, 45Degree (cable under 45degree), 15 pins, pitch 5mm, size 76.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-115 45Degree pitch 5mm size 76.5x15mm^2 drill 1.2mm pad 3mm -0 -30 -15 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-116_1x16_P5.00mm_45Degree -Terminal Block WAGO 804-116, 45Degree (cable under 45degree), 16 pins, pitch 5mm, size 81.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-116 45Degree pitch 5mm size 81.5x15mm^2 drill 1.2mm pad 3mm -0 -32 -16 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-124_1x24_P5.00mm_45Degree -Terminal Block WAGO 804-124, 45Degree (cable under 45degree), 24 pins, pitch 5mm, size 122x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-124 45Degree pitch 5mm size 122x15mm^2 drill 1.2mm pad 3mm -0 -48 -24 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-301_1x01_P7.50mm_45Degree -Terminal Block WAGO 804-301, 45Degree (cable under 45degree), 1 pins, pitch 7.5mm, size 6.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-301 45Degree pitch 7.5mm size 6.5x15mm^2 drill 1.2mm pad 3mm -0 -2 -1 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-302_1x02_P7.50mm_45Degree -Terminal Block WAGO 804-302, 45Degree (cable under 45degree), 2 pins, pitch 7.5mm, size 14x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-302 45Degree pitch 7.5mm size 14x15mm^2 drill 1.2mm pad 3mm -0 -4 -2 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-303_1x03_P7.50mm_45Degree -Terminal Block WAGO 804-303, 45Degree (cable under 45degree), 3 pins, pitch 7.5mm, size 21.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-303 45Degree pitch 7.5mm size 21.5x15mm^2 drill 1.2mm pad 3mm -0 -6 -3 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-304_1x04_P7.50mm_45Degree -Terminal Block WAGO 804-304, 45Degree (cable under 45degree), 4 pins, pitch 7.5mm, size 29x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-304 45Degree pitch 7.5mm size 29x15mm^2 drill 1.2mm pad 3mm -0 -8 -4 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-305_1x05_P7.50mm_45Degree -Terminal Block WAGO 804-305, 45Degree (cable under 45degree), 5 pins, pitch 7.5mm, size 36.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-305 45Degree pitch 7.5mm size 36.5x15mm^2 drill 1.2mm pad 3mm -0 -10 -5 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-306_1x06_P7.50mm_45Degree -Terminal Block WAGO 804-306, 45Degree (cable under 45degree), 6 pins, pitch 7.5mm, size 44x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-306 45Degree pitch 7.5mm size 44x15mm^2 drill 1.2mm pad 3mm -0 -12 -6 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-307_1x07_P7.50mm_45Degree -Terminal Block WAGO 804-307, 45Degree (cable under 45degree), 7 pins, pitch 7.5mm, size 51.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-307 45Degree pitch 7.5mm size 51.5x15mm^2 drill 1.2mm pad 3mm -0 -14 -7 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-308_1x08_P7.50mm_45Degree -Terminal Block WAGO 804-308, 45Degree (cable under 45degree), 8 pins, pitch 7.5mm, size 59x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-308 45Degree pitch 7.5mm size 59x15mm^2 drill 1.2mm pad 3mm -0 -16 -8 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-309_1x09_P7.50mm_45Degree -Terminal Block WAGO 804-309, 45Degree (cable under 45degree), 9 pins, pitch 7.5mm, size 66.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-309 45Degree pitch 7.5mm size 66.5x15mm^2 drill 1.2mm pad 3mm -0 -18 -9 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-310_1x10_P7.50mm_45Degree -Terminal Block WAGO 804-310, 45Degree (cable under 45degree), 10 pins, pitch 7.5mm, size 74x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-310 45Degree pitch 7.5mm size 74x15mm^2 drill 1.2mm pad 3mm -0 -20 -10 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-311_1x11_P7.50mm_45Degree -Terminal Block WAGO 804-311, 45Degree (cable under 45degree), 11 pins, pitch 7.5mm, size 81.5x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-311 45Degree pitch 7.5mm size 81.5x15mm^2 drill 1.2mm pad 3mm -0 -22 -11 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-312_1x12_P7.50mm_45Degree -Terminal Block WAGO 804-312, 45Degree (cable under 45degree), 12 pins, pitch 7.5mm, size 89x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-312 45Degree pitch 7.5mm size 89x15mm^2 drill 1.2mm pad 3mm -0 -24 -12 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-316_1x16_P7.50mm_45Degree -Terminal Block WAGO 804-316, 45Degree (cable under 45degree), 16 pins, pitch 7.5mm, size 119x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-316 45Degree pitch 7.5mm size 119x15mm^2 drill 1.2mm pad 3mm -0 -32 -16 -TerminalBlock_WAGO -TerminalBlock_WAGO_804-324_1x24_P7.50mm_45Degree -Terminal Block WAGO 804-324, 45Degree (cable under 45degree), 24 pins, pitch 7.5mm, size 179x15mm^2, drill diamater 1.2mm, pad diameter 3mm, see , script-generated with , script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO -THT Terminal Block WAGO 804-324 45Degree pitch 7.5mm size 179x15mm^2 drill 1.2mm pad 3mm -0 -48 -24 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRBU_74650073_THR -REDCUBE THR with internal through-hole thread WP-THRBU (https://www.we-online.de/katalog/datasheet/74650073.pdf) -screw terminal thread redcube thr power connector -0 -8 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRBU_74650074_THR -REDCUBE THR with internal through-hole thread WP-THRBU (https://www.we-online.de/katalog/datasheet/74650074.pdf) -screw terminal thread redcube thr power connector -0 -8 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRBU_74650094_THR -REDCUBE THR with internal through-hole thread WP-THRBU (https://www.we-online.de/katalog/datasheet/74650094.pdf) -screw terminal thread redcube thr power connector -0 -16 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRBU_74650173_THR -REDCUBE THR with internal through-hole thread WP-THRBU (https://www.we-online.de/katalog/datasheet/74650173.pdf) -screw terminal thread redcube thr power connector -0 -8 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRBU_74650174_THR -REDCUBE THR with internal through-hole thread WP-THRBU (https://www.we-online.de/katalog/datasheet/74650174.pdf) -screw terminal thread redcube thr power connector -0 -8 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRBU_74650194_THR -REDCUBE THR with internal through-hole thread WP-THRBU (https://www.we-online.de/katalog/datasheet/74650194.pdf) -screw terminal thread redcube thr power connector -0 -18 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRBU_74650195_THR -REDCUBE THR with internal through-hole thread WP-THRBU (https://www.we-online.de/katalog/datasheet/74650195.pdf) -screw terminal thread redcube thr power connector -0 -18 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRBU_74655095_THR -REDCUBE THR with internal through-hole thread WP-THRBU (https://www.we-online.de/katalog/datasheet/74655095.pdf) -screw terminal thread redcube thr power connector -0 -16 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRSH_74651173_THR -REDCUBE THR with internal through-hole thread WP-THRSH (https://www.we-online.de/katalog/datasheet/74651173.pdf) -screw terminal thread redcube thr power connector -0 -8 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRSH_74651174_THR -REDCUBE THR with internal through-hole thread WP-THRSH (https://www.we-online.de/katalog/datasheet/74651174.pdf) -screw terminal thread redcube thr power connector -0 -8 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRSH_74651175_THR -REDCUBE THR with internal through-hole thread WP-THRSH (https://www.we-online.de/katalog/datasheet/74651175.pdf) -screw terminal thread redcube thr power connector -0 -8 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRSH_74651194_THR -REDCUBE THR with internal through-hole thread WP-THRSH (https://www.we-online.de/katalog/datasheet/74651194.pdf) -screw terminal thread redcube thr power connector -0 -18 -1 -TerminalBlock_Wuerth -Wuerth_REDCUBE-THR_WP-THRSH_74651195_THR -REDCUBE THR with internal through-hole thread WP-THRSH (https://www.we-online.de/katalog/datasheet/74651195.pdf) -screw terminal thread redcube thr power connector -0 -18 -1 -TestPoint -TestPoint_2Pads_Pitch2.54mm_Drill0.8mm -Test point with 2 pins, pitch 2.54mm, drill diameter 0.8mm -CONN DEV -0 -2 -2 -TestPoint -TestPoint_2Pads_Pitch5.08mm_Drill1.3mm -Test point with 2 pads, pitch 5.08mm, hole diameter 1.3mm, wire diameter 1.0mm -CONN DEV -0 -2 -2 -TestPoint -TestPoint_Bridge_Pitch2.0mm_Drill0.7mm -wire loop as test point, pitch 2.0mm, hole diameter 0.7mm, wire diameter 0.5mm -test point wire loop -0 -2 -1 -TestPoint -TestPoint_Bridge_Pitch2.54mm_Drill0.7mm -wire loop as test point, pitch 2.0mm, hole diameter 0.7mm, wire diameter 0.5mm -test point wire loop -0 -2 -1 -TestPoint -TestPoint_Bridge_Pitch2.54mm_Drill1.0mm -wire loop as test point, pitch 2.54mm, hole diameter 1.0mm, wire diameter 0.8mm -test point wire loop -0 -2 -1 -TestPoint -TestPoint_Bridge_Pitch2.54mm_Drill1.3mm -wire loop as test point, pitch 2.54mm, hole diameter 1.3mm, wire diameter 1.0mm -test point wire loop -0 -2 -1 -TestPoint -TestPoint_Bridge_Pitch3.81mm_Drill1.3mm -wire loop as test point, pitch 3.81mm, hole diameter 1.3mm, wire diameter 1.0mm -test point wire loop -0 -2 -1 -TestPoint -TestPoint_Bridge_Pitch5.08mm_Drill0.7mm -wire loop as test point, pitch 5.08mm, hole diameter 0.7mm, wire diameter 1.0mm -test point wire loop -0 -2 -1 -TestPoint -TestPoint_Bridge_Pitch5.08mm_Drill1.3mm -wire loop as test point, pitch 5.08mm, hole diameter 1.3mm, wire diameter 1.0mm -test point wire loop -0 -2 -1 -TestPoint -TestPoint_Bridge_Pitch6.35mm_Drill1.3mm -wire loop as test point, pitch 6.35mm, hole diameter 1.3mm, wire diameter 1.0mm -test point wire loop -0 -2 -1 -TestPoint -TestPoint_Bridge_Pitch7.62mm_Drill1.3mm -wire loop as test point, pitch 7.62mm, hole diameter 1.3mm, wire diameter 1.0mm -test point wire loop -0 -2 -1 -TestPoint -TestPoint_Keystone_5000-5004_Miniature -Keystone Miniature THM Test Point 5000-5004, http://www.keyelco.com/product-pdf.cfm?p=1309 -Through Hole Mount Test Points -0 -1 -1 -TestPoint -TestPoint_Keystone_5005-5009_Compact -Keystone Miniature THM Test Point 5005-5009, http://www.keyelco.com/product-pdf.cfm?p=1314 -Through Hole Mount Test Points -0 -1 -1 -TestPoint -TestPoint_Keystone_5010-5014_Multipurpose -Keystone Miniature THM Test Point 5010-5014, http://www.keyelco.com/product-pdf.cfm?p=1319 -Through Hole Mount Test Points -0 -1 -1 -TestPoint -TestPoint_Keystone_5015_Micro-Minature -SMT Test Point- Micro Miniature 5015, http://www.keyelco.com/product-pdf.cfm?p=1353 -Test Point -0 -1 -1 -TestPoint -TestPoint_Keystone_5019_Minature -SMT Test Point- Micro Miniature 5019, http://www.keyelco.com/product-pdf.cfm?p=1357 -Test Point -0 -1 -1 -TestPoint -TestPoint_Loop_D1.80mm_Drill1.0mm_Beaded -wire loop with bead as test point, loop diameter 1.8mm, hole diameter 1.0mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D2.50mm_Drill1.0mm -wire loop as test point, loop diameter 2.5mm, hole diameter 1.0mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D2.50mm_Drill1.0mm_LowProfile -low profile wire loop as test point, loop diameter 2.5mm, hole diameter 1.0mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D2.50mm_Drill1.85mm -wire loop as test point, loop diameter 2.5mm, hole diameter 1.85mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D2.54mm_Drill1.5mm_Beaded -wire loop with bead as test point, loop diameter2.548mm, hole diameter 1.5mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D2.60mm_Drill0.9mm_Beaded -wire loop with bead as test point, loop diameter2.6mm, hole diameter 0.9mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D2.60mm_Drill1.4mm_Beaded -wire loop with bead as test point, loop diameter2.6mm, hole diameter 1.4mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D2.60mm_Drill1.6mm_Beaded -wire loop with bead as test point, loop diameter2.6mm, hole diameter 1.6mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D3.50mm_Drill0.9mm_Beaded -wire loop with bead as test point, loop diameter2.6mm, hole diameter 0.9mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D3.50mm_Drill1.4mm_Beaded -wire loop with bead as test point, loop diameter 3.5mm, hole diameter 1.4mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D3.80mm_Drill2.0mm -wire loop as test point, loop diameter 3.8mm, hole diameter 2.0mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D3.80mm_Drill2.5mm -wire loop as test point, loop diameter 3.8mm, hole diameter 2.5mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Loop_D3.80mm_Drill2.8mm -wire loop as test point, loop diameter 3.8mm, hole diameter 2.8mm -test point wire loop bead -0 -1 -1 -TestPoint -TestPoint_Pad_1.0x1.0mm -SMD rectangular pad as test Point, square 1.0mm side length -test point SMD pad rectangle square -0 -1 -1 -TestPoint -TestPoint_Pad_1.5x1.5mm -SMD rectangular pad as test Point, square 1.5mm side length -test point SMD pad rectangle square -0 -1 -1 -TestPoint -TestPoint_Pad_2.0x2.0mm -SMD rectangular pad as test Point, square 2.0mm side length -test point SMD pad rectangle square -0 -1 -1 -TestPoint -TestPoint_Pad_2.5x2.5mm -SMD rectangular pad as test Point, square 2.5mm side length -test point SMD pad rectangle square -0 -1 -1 -TestPoint -TestPoint_Pad_3.0x3.0mm -SMD rectangular pad as test Point, square 3.0mm side length -test point SMD pad rectangle square -0 -1 -1 -TestPoint -TestPoint_Pad_4.0x4.0mm -SMD rectangular pad as test Point, square 4.0mm side length -test point SMD pad rectangle square -0 -1 -1 -TestPoint -TestPoint_Pad_D1.0mm -SMD pad as test Point, diameter 1.0mm -test point SMD pad -0 -1 -1 -TestPoint -TestPoint_Pad_D1.5mm -SMD pad as test Point, diameter 1.5mm -test point SMD pad -0 -1 -1 -TestPoint -TestPoint_Pad_D2.0mm -SMD pad as test Point, diameter 2.0mm -test point SMD pad -0 -1 -1 -TestPoint -TestPoint_Pad_D2.5mm -SMD pad as test Point, diameter 2.5mm -test point SMD pad -0 -1 -1 -TestPoint -TestPoint_Pad_D3.0mm -SMD pad as test Point, diameter 3.0mm -test point SMD pad -0 -1 -1 -TestPoint -TestPoint_Pad_D4.0mm -SMD pad as test Point, diameter 4.0mm -test point SMD pad -0 -1 -1 -TestPoint -TestPoint_Plated_Hole_D2.0mm -Plated Hole as test Point, diameter 2.0mm -test point plated hole -0 -1 -1 -TestPoint -TestPoint_Plated_Hole_D3.0mm -Plated Hole as test Point, diameter 3.0mm -test point plated hole -0 -1 -1 -TestPoint -TestPoint_Plated_Hole_D4.0mm -Plated Hole as test Point, diameter 4.0mm -test point plated hole -0 -1 -1 -TestPoint -TestPoint_Plated_Hole_D5.0mm -Plated Hole as test Point, diameter 5.0mm -test point plated hole -0 -1 -1 -TestPoint -TestPoint_THTPad_1.0x1.0mm_Drill0.5mm -THT rectangular pad as test Point, square 1.0mm side length, hole diameter 0.5mm -test point THT pad rectangle square -0 -1 -1 -TestPoint -TestPoint_THTPad_1.5x1.5mm_Drill0.7mm -THT rectangular pad as test Point, square 1.5mm side length, hole diameter 0.7mm -test point THT pad rectangle square -0 -1 -1 -TestPoint -TestPoint_THTPad_2.0x2.0mm_Drill1.0mm -THT rectangular pad as test Point, square 2.0mm_Drill1.0mm side length, hole diameter 1.0mm -test point THT pad rectangle square -0 -1 -1 -TestPoint -TestPoint_THTPad_2.5x2.5mm_Drill1.2mm -THT rectangular pad as test Point, square 2.5mm side length, hole diameter 1.2mm -test point THT pad rectangle square -0 -1 -1 -TestPoint -TestPoint_THTPad_3.0x3.0mm_Drill1.5mm -THT rectangular pad as test Point, square 3.0mm side length, hole diameter 1.5mm -test point THT pad rectangle square -0 -1 -1 -TestPoint -TestPoint_THTPad_4.0x4.0mm_Drill2.0mm -THT rectangular pad as test Point, square 4.0mm side length, hole diameter 2.0mm -test point THT pad rectangle square -0 -1 -1 -TestPoint -TestPoint_THTPad_D1.0mm_Drill0.5mm -THT pad as test Point, diameter 1.0mm, hole diameter 0.5mm -test point THT pad -0 -1 -1 -TestPoint -TestPoint_THTPad_D1.5mm_Drill0.7mm -THT pad as test Point, diameter 1.5mm, hole diameter 0.7mm -test point THT pad -0 -1 -1 -TestPoint -TestPoint_THTPad_D2.0mm_Drill1.0mm -THT pad as test Point, diameter 2.0mm, hole diameter 1.0mm -test point THT pad -0 -1 -1 -TestPoint -TestPoint_THTPad_D2.5mm_Drill1.2mm -THT pad as test Point, diameter 2.5mm, hole diameter 1.2mm -test point THT pad -0 -1 -1 -TestPoint -TestPoint_THTPad_D3.0mm_Drill1.5mm -THT pad as test Point, diameter 3.0mm, hole diameter 1.5mm -test point THT pad -0 -1 -1 -TestPoint -TestPoint_THTPad_D4.0mm_Drill2.0mm -THT pad as test Point, diameter 4.0mm, hole diameter 2.0mm -test point THT pad -0 -1 -1 -Transformer_SMD -Pulse_P0926NL -SMT Gate Drive Transformer, 1:1:1, 8.0x6.3x5.3mm (https://productfinder.pulseeng.com/products/datasheets/SPM2007_61.pdf) -pulse pa0926nl -0 -6 -6 -Transformer_SMD -Pulse_PA1323NL -SMT Gate Drive Transformer, 1:1, 9.5x7.1x5.3mm (https://productfinder.pulseeng.com/products/datasheets/SPM2007_61.pdf) -pulse pa1323nl -0 -6 -6 -Transformer_SMD -Pulse_PA2001NL -SMT Gate Drive Transformer, 1:1, 8.6x6.7x2.5mm (https://productfinder.pulseeng.com/products/datasheets/P663.pdf) -pulse pa2001nl pe-68386nl -0 -4 -4 -Transformer_SMD -Pulse_PA2002NL-PA2008NL-PA2009NL -SMT Gate Drive Transformer, 1:1:1 or 2:1:1 or 2.5:1:1 or 1:1, 9.0x8.6x7.6mm (https://productfinder.pulseeng.com/products/datasheets/P663.pdf) -pulse pa2002nl pa2008nl pa2009nl p0544nl pa0184nl pa0297nl pa0510nl -0 -6 -6 -Transformer_SMD -Pulse_PA2004NL -SMT Gate Drive Transformer, 1:1:1, 8.6x6.7x3.6mm (https://productfinder.pulseeng.com/products/datasheets/P663.pdf) -pulse pa2004nl pa0264nl -0 -6 -6 -Transformer_SMD -Pulse_PA2005NL -SMT Gate Drive Transformer, 1:1:1, 11.8x8.8x4.0mm (https://productfinder.pulseeng.com/products/datasheets/P663.pdf) -pulse pa2005nl pa0173nl -0 -6 -6 -Transformer_SMD -Pulse_PA2006NL -SMT Gate Drive Transformer, 1:1, 11.8x8.8x4.0mm (https://productfinder.pulseeng.com/products/datasheets/P663.pdf) -pulse pa2006nl pa0186nl -0 -4 -4 -Transformer_SMD -Pulse_PA2007NL -SMT Gate Drive Transformer, 1:1, 9.0x8.6x7.6mm (https://productfinder.pulseeng.com/products/datasheets/P663.pdf) -pulse pa2007nl -0 -4 -4 -Transformer_SMD -Pulse_PA2777NL -SMT Gate Drive Transformer, 1:1, 7.1x6.1x5.5mm (https://productfinder.pulseeng.com/products/datasheets/SPM2007_61.pdf) -pulse pa2777nl -0 -8 -8 -Transformer_SMD -Pulse_PA3493NL -SMT Gate Drive Transformer, 1.25:1, 10.9x9.7x2.7mm (https://productfinder.pulseeng.com/products/datasheets/SPM2007_61.pdf) -pulse pa3493nl -0 -4 -4 -Transformer_SMD -Transformer_Coilcraft_CST1 -Current sense transformer, SMD, 8.0x8.13x5.3mm (https://www.coilcraft.com/pdfs/cst.pdf) -Transformer current sense SMD -0 -8 -8 -Transformer_SMD -Transformer_Coilcraft_CST2 -Current sense transformer, SMD, 8.0x8.13x5.3mm (https://www.coilcraft.com/pdfs/cst.pdf) -Transformer current sense SMD -0 -8 -8 -Transformer_SMD -Transformer_Coilcraft_CST2010 -Current sense transformer, SMD, 14.55x19.91x10.50mm (https://www.coilcraft.com/pdfs/cst2010.pdf) -Transformer current sense SMD -0 -12 -12 -Transformer_SMD -Transformer_CurrentSense_8.4x7.2mm -Transformer current sense SMD 8.4x7.2mm -Transformer current sense SMD -0 -8 -8 -Transformer_SMD -Transformer_Ethernet_Bel_S558-5999-T7-F -Ethernet Transformer, Bel S558-5999-T7-F, https://www.belfuse.com/resources/ICMs/lan-/S558-5999-T7-F.pdf -Ethernet Transformer -0 -16 -16 -Transformer_SMD -Transformer_Ethernet_Bourns_PT61017PEL -https://www.bourns.com/docs/Product-Datasheets/PT61017PEL.pdf -Transformer Ethernet Single Center-Tap -0 -16 -16 -Transformer_SMD -Transformer_Ethernet_Bourns_PT61020EL -10/100/1000 Base-T Transformer Module, PT61020EL (https://www.bourns.com/pdfs/PT61020.pdf) -Gigabit PoE Ethernet Transformer Single -0 -24 -24 -Transformer_SMD -Transformer_Ethernet_HALO_TG111-MSC13 -Transformer Ethernet SMD, https://www.haloelectronics.com/pdf/discrete-genesus.pdf -Transformer Ethernet SMD -0 -24 -24 -Transformer_SMD -Transformer_Ethernet_Halo_N2_SO-16_7.11x12.7mm -Halo N2 SO, 16 Pin (https://www.haloelectronics.com/pdf/discrete-ultra-100baset.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -Halo SO Transformer_SMD -0 -16 -16 -Transformer_SMD -Transformer_Ethernet_Halo_N5_SO-16_7.11x12.7mm -Halo N5 SO, 16 Pin (https://www.haloelectronics.com/pdf/discrete-ultra-100baset.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -Halo SO Transformer_SMD -0 -16 -16 -Transformer_SMD -Transformer_Ethernet_Halo_N6_SO-16_7.11x14.73mm -Halo N6 SO, 16 Pin (https://www.haloelectronics.com/pdf/discrete-ultra-100baset.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -Halo SO Transformer_SMD -0 -16 -16 -Transformer_SMD -Transformer_Ethernet_Wuerth_749013011A -Ethernet Transformer, Wuerth 749013011A, https://www.we-online.com/katalog/datasheet/749013011A.pdf -Ethernet Transformer -0 -16 -16 -Transformer_SMD -Transformer_Ethernet_YDS_30F-51NL_SO-24_7.1x15.1mm -YDS 30F-51NL SO, 24 Pin (https://datasheet.lcsc.com/lcsc/1811051610_Shanghai-YDS-Tech-30F-51NL_C123168.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py -YDS SO Transformer_SMD -0 -24 -24 -Transformer_SMD -Transformer_MACOM_SM-22 -https://cdn.macom.com/datasheets/ETC1-1-13.pdf -RF Transformer -0 -5 -5 -Transformer_SMD -Transformer_MiniCircuits_AT224-1A -SMD RF transformer, 50 ohm, 4.5 to 3000Mhz, https://www.minicircuits.com/pdfs/TC1-1-13M+.pdf -RF Transformer -0 -5 -5 -Transformer_SMD -Transformer_Murata_78250JC -Murata 78250JC https://www.murata-ps.com/datasheet?/data/magnetics/kmp_78250j.pdf -Murata transformer -0 -6 -6 -Transformer_SMD -Transformer_NF_ETAL_P2781 -NF-Transformer, ETAL, P2781, SMD, -NF-Transformer ETAL P2781 SMD -0 -8 -7 -Transformer_SMD -Transformer_NF_ETAL_P2781_HandSoldering -NF-Transformer, ETAL, P2781, SMD, Handsoldering -NF-Transformer ETAL P2781 SMD Handsoldering -0 -8 -7 -Transformer_SMD -Transformer_NF_ETAL_P3000 -NF-Reansformer, ETAL, P3000, SMD, -NF-Reansformer ETAL P3000 SMD -0 -15 -13 -Transformer_SMD -Transformer_NF_ETAL_P3000_HandSoldering -NF-Reansformer, ETAL, P3000, SMD, Handsoldering, -NF-Reansformer ETAL P3000 SMD Handsoldering -0 -15 -13 -Transformer_SMD -Transformer_NF_ETAL_P3181 -NF-Transformer, ETAL, P3181, SMD, -NF-Transformer ETAL P3181 SMD -0 -7 -6 -Transformer_SMD -Transformer_NF_ETAL_P3181_HandSoldering -NF-Transformer, ETAL, P3181, SMD, Hand Soldering, -NF-Transformer ETAL P3181 SMD Hand Soldering -0 -7 -6 -Transformer_SMD -Transformer_NF_ETAL_P3188 -NF-Transformer, ETAL, P3188, SMD, -NF-Transformer ETAL P3188 SMD -0 -8 -6 -Transformer_SMD -Transformer_NF_ETAL_P3188_HandSoldering -NF-Transformer, ETAL, P3188, SMD, Handsoldering, -NF-Transformer ETAL P3188 SMD Handsoldering -0 -8 -6 -Transformer_SMD -Transformer_NF_ETAL_P3191 -NF-Transformer, ETAL, P3191, SMD, -NF-Transformer ETAL P3191 SMD -0 -8 -6 -Transformer_SMD -Transformer_NF_ETAL_P3191_HandSoldering -NF-Transformer, ETAL, P3191, SMD, Handsoldering, -NF-Transformer ETAL P3191 SMD Handsoldering -0 -8 -6 -Transformer_SMD -Transformer_Pulse_H1100NL -For H1100NL, H1101NL, H1102NL, H1121NL, H1183NL, H1199NL, HX1188NL, HX1198NL and H1302NL. https://productfinder.pulseeng.com/doc_type/WEB301/doc_num/H1102NL/doc_part/H1102NL.pdf -H1100NL H1101NL H1102NL H1121NL H1183NL H1199NL HX1188NL HX1198NL H1302N -0 -16 -16 -Transformer_SMD -Transformer_Wuerth_750315371 -Power Transformer, horizontal core with bobbin, 6 pin, 2.54mm pitch, 11.24mm row spacing, 12.6x8.3x4.1mm -transformer flyback -0 -6 -6 -Transformer_SMD -Transformer_Wurth_WE-AGDT-EP7 -WE-AGDT Auxiliary Gate Drive Transformer EP7, https://www.we-online.com/components/products/datasheet/750319177.pdf -auxiliary gate drive transformer -0 -8 -8 -Transformer_THT -Autotransformer_Toroid_1Tap_Horizontal_D9.0mm_Amidon-T30 -Autotransformer, Toroid, horizontal, laying, 1 Tap, Diameter 9mm, Amidon T30, -Autotransformer Toroid horizontal laying 1 Tap Diameter 9mm Amidon T30 -0 -3 -3 -Transformer_THT -Autotransformer_Toroid_1Tap_Horizontal_D10.5mm_Amidon-T37 -Autotransformer, Toroid, horizontal, laying, 1 Tap, Diameter 10,5mm, Amidon T37, -Autotransformer Toroid horizontal laying 1 Tap Diameter 10 5mm Amidon T37 -0 -3 -3 -Transformer_THT -Autotransformer_Toroid_1Tap_Horizontal_D12.5mm_Amidon-T44 -Autotransformer, Toroid, horizontal, laying, 1 Tap, Diameter 12,5mm, Amidon T44, -Autotransformer Toroid horizontal laying 1 Tap Diameter 12 5mm Amidon T44 -0 -3 -3 -Transformer_THT -Autotransformer_Toroid_1Tap_Horizontal_D14.0mm_Amidon-T50 -Choke, Inductance, Autotransformer, Toroid, horizontal, laying, 1 Tap, Diameter 14mm, Amidon T50, -Choke Inductance Autotransformer Toroid horizontal laying 1 Tap Diameter 14mm Amidon T50 -0 -3 -3 -Transformer_THT -Autotransformer_ZS1052-AC -Ignition coil for xenon flash, http://www.excelitas.com/downloads/ZS1052ACH.pdf -ignition coil autotransformer -0 -3 -3 -Transformer_THT -Transformer_37x44 -transformer 37x44mm² -transformer 37x44mm² -0 -12 -4 -Transformer_THT -Transformer_Breve_TEZ-22x24 -http://www.breve.pl/pdf/ANG/TEZ_ang.pdf -TEZ PCB Transformer -0 -7 -7 -Transformer_THT -Transformer_Breve_TEZ-28x33 -http://www.breve.pl/pdf/ANG/TEZ_ang.pdf -TEZ PCB Transformer -0 -9 -9 -Transformer_THT -Transformer_Breve_TEZ-35x42 -http://www.breve.pl/pdf/ANG/TEZ_ang.pdf -TEZ PCB Transformer -0 -9 -9 -Transformer_THT -Transformer_Breve_TEZ-38x45 -http://www.breve.pl/pdf/ANG/TEZ_ang.pdf -TEZ PCB Transformer -0 -9 -9 -Transformer_THT -Transformer_Breve_TEZ-44x52 -http://www.breve.pl/pdf/ANG/TEZ_ang.pdf -TEZ PCB Transformer -0 -10 -10 -Transformer_THT -Transformer_Breve_TEZ-47x57 -http://www.breve.pl/pdf/ANG/TEZ_ang.pdf -TEZ PCB Transformer -0 -13 -13 -Transformer_THT -Transformer_CHK_EI30-2VA_1xSec -Trafo, Printtrafo, CHK, EI30, 2VA, 1x Sec,http://www.eratransformers.com/downloads/030-7585.0.pdf -Trafo Printtrafo CHK EI30 2VA 1x Sec -0 -10 -10 -Transformer_THT -Transformer_CHK_EI30-2VA_2xSec -Trafo, Printtrafo, CHK, EI30, 2VA, 2x Sec, -Trafo Printtrafo CHK EI30 2VA 2x Sec -0 -10 -10 -Transformer_THT -Transformer_CHK_EI30-2VA_Neutral -Trafo, Printtrafo, CHK, EI30, 2VA, neutral, -Trafo Printtrafo CHK EI30 2VA neutral -0 -10 -10 -Transformer_THT -Transformer_CHK_EI38-3VA_1xSec -Trafo, Printtrafo, CHK, EI38, 3VA, 1x Sec, http://www.eratransformers.com/product-detail/20 -Trafo Printtrafo CHK EI38 3VA 1x Sec -0 -10 -10 -Transformer_THT -Transformer_CHK_EI38-3VA_2xSec -Trafo, Printtrafo, CHK, EI38, 3VA, 2x Sec, http://www.eratransformers.com/product-detail/20 -Trafo Printtrafo CHK EI38 3VA 2x Sec -0 -10 -10 -Transformer_THT -Transformer_CHK_EI38-3VA_Neutral -Trafo, Printtrafo, CHK, EI38, 3VA, neutral, http://www.eratransformers.com/product-detail/20 -Trafo Printtrafo CHK EI42 3VA neutral -0 -10 -10 -Transformer_THT -Transformer_CHK_EI42-5VA_1xSec -Trafo, Printtrafo, CHK, EI42, 5VA, 1x Sec, -Trafo Printtrafo CHK EI42 5VA 1x Sec -0 -10 -10 -Transformer_THT -Transformer_CHK_EI42-5VA_2xSec -Trafo, Printtrafo, CHK, EI42, 5VA, 2x Sec, -Trafo Printtrafo CHK EI42 5VA 2x Sec -0 -10 -10 -Transformer_THT -Transformer_CHK_EI42-5VA_Neutral -Trafo, Printtrafo, CHK, EI42, 5VA, neutral, -Trafo Printtrafo CHK EI42 5VA neutral -0 -10 -10 -Transformer_THT -Transformer_CHK_EI48-8VA_1xSec -Trafo, Printtrafo, CHK, EI48, 8VA, 1x Sec, http://www.eratransformers.com/product-detail/18 -Trafo Printtrafo CHK EI48 8VA 1x Sec -0 -12 -12 -Transformer_THT -Transformer_CHK_EI48-8VA_2xSec -Trafo, Printtrafo, CHK, EI48, 8VA, 2x Sec, http://www.eratransformers.com/product-detail/18 -Trafo Printtrafo CHK EI48 8VA 2x Sec -0 -12 -12 -Transformer_THT -Transformer_CHK_EI48-8VA_Neutral -Trafo, Printtrafo, CHK, EI48, 8VA, neutral, http://www.eratransformers.com/product-detail/18 -Trafo Printtrafo CHK EI48 8VA neutral -0 -12 -12 -Transformer_THT -Transformer_CHK_EI48-10VA_1xSec -Trafo, Printtrafo, CHK, EI48, 10VA, 1x Sec, -Trafo Printtrafo CHK EI48 10VA 1x Sec -0 -12 -12 -Transformer_THT -Transformer_CHK_EI48-10VA_2xSec -Trafo, Printtrafo, CHK, EI48, 10VA, 2x Sec, http://www.eratransformers.com/product-detail/18 -Trafo Printtrafo CHK EI48 10VA 2x Sec -0 -12 -12 -Transformer_THT -Transformer_CHK_EI48-10VA_Neutral -Trafo, Printtrafo, CHK, EI48, 10VA, neutral, http://www.eratransformers.com/product-detail/18 -Trafo Printtrafo CHK EI48 10VA neutral -0 -12 -12 -Transformer_THT -Transformer_CHK_EI54-12VA_1xSec -Trafo, Printtrafo, CHK, EI54, 12VA, 1x Sec,http://www.eratransformers.com/product-detail/19 -Trafo Printtrafo CHK EI54 12VA 1x Sec -0 -14 -14 -Transformer_THT -Transformer_CHK_EI54-12VA_2xSec -Trafo, Printtrafo, CHK, EI54, 12VA, 2x Sec,http://www.eratransformers.com/product-detail/19 -Trafo Printtrafo CHK EI54 12VA 2x Sec -0 -14 -14 -Transformer_THT -Transformer_CHK_EI54-12VA_Neutral -Trafo, Printtrafo, CHK, EI54, 12VA, neutral,http://www.eratransformers.com/product-detail/19 -Trafo Printtrafo CHK EI54 12VA neutral -0 -14 -14 -Transformer_THT -Transformer_CHK_EI54-16VA_1xSec -Trafo, Printtrafo, CHK, EI54, 16VA, 1x Sec,http://www.eratransformers.com/product-detail/19 -Trafo Printtrafo CHK EI54 16VA 1x Sec -0 -14 -14 -Transformer_THT -Transformer_CHK_EI54-16VA_2xSec -Trafo, Printtrafo, CHK, EI54, 16VA, 2x Sec,http://www.eratransformers.com/product-detail/19 -Trafo Printtrafo CHK EI54 16VA 2x Sec -0 -14 -14 -Transformer_THT -Transformer_CHK_EI54-16VA_Neutral -Trafo, Printtrafo, CHK, EI54, 16VA, neutral,http://www.eratransformers.com/product-detail/19 -Trafo Printtrafo CHK EI54 16VA neutral -0 -14 -14 -Transformer_THT -Transformer_CHK_UI30-4VA_Flat -Trafo, Flattrafo, CHK, UI30, 4VA, -Trafo Flattrafo CHK UI30 4VA -0 -16 -16 -Transformer_THT -Transformer_CHK_UI39-10VA_Flat -Trafo, Flattrafo, CHK, UI39, 10VA, -Trafo Flattrafo CHK UI39 10VA -0 -20 -20 -Transformer_THT -Transformer_Coilcraft_Q4434-B_Rhombus-T1311 -Transformator, Transformer, Flyback, Coilcraft Q4434-B, Rgombus T1311, -Transformator Transformer Flyback Coilcraft Q4434-B Rgombus T1311 -0 -8 -8 -Transformer_THT -Transformer_EPCOS_B66359A1013T_Horizontal -Transformer, Transformator, ETD29, 13 Pin, Horizontal, EPCOS-B66359A1013T, -Transformer Transformator ETD29 13 Pin Horizontal EPCOS-B66359A1013T -0 -13 -13 -Transformer_THT -Transformer_EPCOS_B66359J1014T_Vertical -Transformer, Transformator, ETD29, 14 Pin, Vertical, EPCOS-B66359J1014T, -Transformer Transformator ETD29 14 Pin Vertical EPCOS-B66359J1014T -0 -14 -14 -Transformer_THT -Transformer_Microphone_Lundahl_LL1538 -AUDIO TRAFO LUNDAHL, https://www.lundahltransformers.com/wp-content/uploads/datasheets/1538_8xl.pdf -AUDIO TRAFO LUNDAHL -0 -7 -7 -Transformer_THT -Transformer_Microphone_Lundahl_LL1587 -AUDIO TRAFO LUNDAHL, https://www.lundahltransformers.com/wp-content/uploads/datasheets/1587.pdf -AUDIO TRAFO LUNDAHL -0 -7 -7 -Transformer_THT -Transformer_Myrra_74040_Horizontal -Transformer, Transformator, ETD29, 13 Pin, Horizontal, Myrra-74040, -Transformer Transformator ETD29 13 Pin Horizontal Myrra-74040 -0 -13 -13 -Transformer_THT -Transformer_Myrra_EF20_7408x -EF20 flyback transformer,http://myrra.com/wp-content/uploads/2017/09/Datasheet-74087-74088-74089-rev-A.pdf -transformer flyback SMPS -0 -9 -9 -Transformer_THT -Transformer_Myrra_EI30-5_44000_Horizontal -Myrra 44000 series encapsulated transformer, 50/60Hz, EI 30-5, 0.6VA, 32.6 x 27.6 x 15.3mm, https://myrra.com/wp-content/uploads/2021/03/Catalogue-Myrra-2022-Final_compressed-5.pdf -Transformer THT Myrra -0 -6 -6 -Transformer_THT -Transformer_NF_ETAL_1-1_P1200 -NF-Transformer, 1:1, ETAL P1200,http://www.etalgroup.com/sites/default/files/products/P1200_April_2005.pdf -NF-Transformer 1to1 ETAL P1200 -0 -4 -4 -Transformer_THT -Transformer_NF_ETAL_P1165 -NF-Transformer, ETAL, P1165,http://www.etalgroup.com/sites/default/files/products/P1165_February_2006.pdf -NF-Transformer ETAL P1165 -0 -4 -4 -Transformer_THT -Transformer_NF_ETAL_P3324 -NF-Transformer, ETAL P3324,http://www.etalgroup.com/sites/default/files/products/P3324_April_2005.pdf -NF-Transformer ETAL P3324 -0 -4 -4 -Transformer_THT -Transformer_NF_ETAL_P3356 -NF-Transformer, ETAL P3356, http://www.etalgroup.com/sites/default/files/products/P3356_December_2005.pdf -NF-Transformer ETAL P3356 -0 -4 -4 -Transformer_THT -Transformer_Toroid_Horizontal_D9.0mm_Amidon-T30 -Transformer, Toroid, horizontal, laying, Diameter 9mm, Amidon, T30, -Transformer Toroid horizontal laying Diameter 9mm Amidon T30 -0 -4 -4 -Transformer_THT -Transformer_Toroid_Horizontal_D10.5mm_Amidon-T37 -Transformer, Toroid, horizontal, laying, Diameter 10,5mm, Amidon T37, -Transformer Toroid horizontal laying Diameter 10 5mm Amidon T37 -0 -4 -4 -Transformer_THT -Transformer_Toroid_Horizontal_D12.5mm_Amidon-T44 -Transformer, Toroid, horizontal, laying, Diameter 12,5mm, Amidon T44, -Transformer Toroid horizontal laying Diameter 12 5mm Amidon T44 -0 -4 -4 -Transformer_THT -Transformer_Toroid_Horizontal_D14.0mm_Amidon-T50 -Transformer, Toroid, horizontal, laying, Diameter 14mm, Amidon T50, -Transformer Toroid horizontal laying Diameter 14mm Amidon T50 -0 -4 -4 -Transformer_THT -Transformer_Toroid_Horizontal_D18.0mm -Transformer, Toroid, tapped, horizontal, laying, Diameter 18mm, -Transformer Toroid tapped horizontal laying Diameter 18mm -0 -5 -4 -Transformer_THT -Transformer_Toroid_Tapped_Horizontal_D9.0mm_Amidon-T30 -Transformer, Toroid, tapped, horizontal, laying, Diameter 9mm, Amidon, T30, -Transformer Toroid tapped horizontal laying Diameter 9mm Amidon T30 -0 -6 -6 -Transformer_THT -Transformer_Toroid_Tapped_Horizontal_D10.5mm_Amidon-T37 -Transformer, Toroid, tapped, horizontal, laying, Diameter 10,5mm, Amidon, T37, -Transformer Toroid tapped horizontal laying Diameter 10 5mm Amidon T37 -0 -6 -6 -Transformer_THT -Transformer_Toroid_Tapped_Horizontal_D12.5mm_Amidon-T44 -Transformer, Toroid, tapped, horizontal, laying, Diameter 12,5mm, Amidon, T44, -Transformer Toroid tapped horizontal laying Diameter 12 5mm Amidon T44 -0 -6 -6 -Transformer_THT -Transformer_Toroid_Tapped_Horizontal_D14.0mm_Amidon-T50 -Transformer, Toroid, tapped, horizontal, laying, Diameter 14mm, Amidon T50, -Transformer Toroid tapped horizontal laying Diameter 14mm Amidon T50 -0 -6 -6 -Transformer_THT -Transformer_Wuerth_750343373 -Transformer, horizontal core with bobbin, 10 pin, 3.81mm pitch, 15.24mm row spacing, 22x23x17.53mm (https://katalog.we-online.com/ctm/datasheet/750343373.pdf) -transformer flyback -0 -10 -10 -Transformer_THT -Transformer_Wuerth_760871131 -Transformer, horizontal core with bobbin, 14 pin, 2.49 mm pitch, 20 mm row spacing, 25x22.2x16mm https://www.we-online.com/catalog/datasheet/760871131.pdf -transformer flyback -0 -14 -14 -Transistor_Power -GaN_Systems_GaNPX-3_5x6.6mm_Drain2.93x0.6mm -GaN Systems GaNPX GS66502B Package, https://gansystems.com/wp-content/uploads/2020/04/GS66502B-DS-Rev-200402.pdf -ganpx gs66502b -0 -7 -3 -Transistor_Power -GaN_Systems_GaNPX-3_5x6.6mm_Drain3.76x0.6mm -GaN Systems GaNPX GS66504B Package, https://gansystems.com/wp-content/uploads/2020/04/GS66504B-DS-Rev-200402.pdf -ganpx gs66504b -0 -7 -3 -Transistor_Power -GaN_Systems_GaNPX-4_7x8.4mm -GaN Systems GaNPX GS66508B Package, https://gansystems.com/wp-content/uploads/2020/04/GS66508B-DS-Rev-200402.pdf -ganpx gs66508b -0 -15 -4 -Transistor_Power_Module -Infineon_AG-ECONO2 -28-lead TH, EconoPACK 2, same as Littelfuse_Package_H_XN2MM, https://www.infineon.com/dgdl/Infineon-FS75R07N2E4-DS-v02_00-en_de.pdf?fileId=db3a30432f5008fe012f52f916333979 -igbt diode module -0 -28 -28 -Transistor_Power_Module -Infineon_EasyPIM-1B -35-lead TH, EasyPIM 1B, https://www.infineon.com/dgdl/Infineon-FP10R06W1E3-DS-v02_01-en_de.pdf?fileId=db3a304412b407950112b43312285a63 -brifge rectifier igbt diode module -0 -23 -23 -Transistor_Power_Module -Infineon_EasyPIM-2B -35-lead TH, EasyPIM 2B, same as ST_ACEPACK-2-CIB, https://www.infineon.com/dgdl/Infineon-FP50R06W2E3-DS-v02_02-EN.pdf?fileId=db3a30431b3e89eb011b455c99987d24 -brifge rectifier igbt diode module -0 -35 -23 -Transistor_Power_Module -Littelfuse_Package_H_XBN2MM -24-lead TH, Package H, https://www.littelfuse.com/~/media/electronics/datasheets/power_semiconductors/littelfuse_power_semiconductor_igbt_module_mg1215h_xbn2mm_datasheet.pdf.pdf -brifge rectifier igbt diode module -0 -24 -24 -Transistor_Power_Module -Littelfuse_Package_H_XN2MM -28-lead TH, Package H, same as Infineon_AG-ECONO2, https://www.littelfuse.com/~/media/electronics/datasheets/power_semiconductors/littelfuse_power_semiconductor_igbt_module_mg1225h_xn2mm_datasheet.pdf.pdf -igbt diode module -0 -28 -28 -Transistor_Power_Module -Littelfuse_Package_W_XBN2MM -24-lead TH, Package W, https://www.littelfuse.com/~/media/electronics/datasheets/power_semiconductors/littelfuse_power_semiconductor_igbt_module_mg1250w_xbn2mm_datasheet.pdf.pdf -brifge rectifier igbt diode module -0 -35 -24 -Transistor_Power_Module -Littelfuse_Package_W_XN2MM -35-lead TH, Package W, https://www.littelfuse.com/~/media/electronics/datasheets/power_semiconductors/littelfuse_power_semiconductor_igbt_module_mg1275w_xn2mm_datasheet.pdf.pdf -igbt diode module -0 -35 -35 -Transistor_Power_Module -ST_ACEPACK-2-CIB -35-lead TH, ACEPACK 2 CIB, same as Infineon_EasyPIM-2B, https://www.st.com/resource/en/datasheet/a2c25s12m3.pdf -brifge rectifier igbt diode module -0 -35 -23 -Transistor_Power_Module -ST_ACEPACK-2-CIB_PressFIT -35-lead TH, ACEPACK 2 CIB, PressFIT, https://www.st.com/resource/en/datasheet/a2c50s65m2-f.pdf -brifge rectifier igbt diode module -0 -35 -23 -Transistor_Power_Module -ST_SDIP-25L -25-lead TH, SDIP-25L, https://www.st.com/resource/en/datasheet/stgips20k60.pdf -igbt diode module -0 -25 -25 -Valve -Valve_ECC-83-1 -Valve ECC-83-1 round pins -Valve ECC-83-1 round pins -0 -9 -9 -Valve -Valve_ECC-83-2 -Valve ECC-83-2 flat pins -Valve ECC-83-2 flat pins -0 -10 -9 -Valve -Valve_EURO -Valve Euro -Valve Euro -0 -7 -5 -Valve -Valve_Glimm -Valve Glimm -Valve Glimm -0 -2 -2 -Valve -Valve_Mini_G -Valve mini G -Valve mini G -0 -9 -7 -Valve -Valve_Mini_P -Valve mini P -Valve mini P -0 -7 -7 -Valve -Valve_Mini_Pentode_Linear -Mini-Pentode, 5-pin, e.g. JAN6418 -Valve Mini-Pentode 5-pin JAN6418 -0 -5 -5 -Valve -Valve_Noval_G -Valve NOVAL G -Valve NOVAL G -0 -11 -9 -Valve -Valve_Noval_P -Valve NOVAL P -Valve NOVAL P -0 -9 -9 -Valve -Valve_Octal -8-pin round valve -valve -0 -9 -8 -Varistor -RV_Disc_D7mm_W3.4mm_P5mm -Varistor, diameter 7mm, width 3.4mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W3.5mm_P5mm -Varistor, diameter 7mm, width 3.5mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W3.6mm_P5mm -Varistor, diameter 7mm, width 3.6mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W3.7mm_P5mm -Varistor, diameter 7mm, width 3.7mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W3.8mm_P5mm -Varistor, diameter 7mm, width 3.8mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W3.9mm_P5mm -Varistor, diameter 7mm, width 3.9mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W4.2mm_P5mm -Varistor, diameter 7mm, width 4.2mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W4.3mm_P5mm -Varistor, diameter 7mm, width 4.3mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W4.5mm_P5mm -Varistor, diameter 7mm, width 4.5mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W4.8mm_P5mm -Varistor, diameter 7mm, width 4.8mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W4.9mm_P5mm -Varistor, diameter 7mm, width 4.9mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W4mm_P5mm -Varistor, diameter 7mm, width 4mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W5.1mm_P5mm -Varistor, diameter 7mm, width 5.1mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W5.4mm_P5mm -Varistor, diameter 7mm, width 5.4mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W5.5mm_P5mm -Varistor, diameter 7mm, width 5.5mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D7mm_W5.7mm_P5mm -Varistor, diameter 7mm, width 5.7mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W3.3mm_P5mm -Varistor, diameter 9mm, width 3.3mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W3.4mm_P5mm -Varistor, diameter 9mm, width 3.4mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W3.5mm_P5mm -Varistor, diameter 9mm, width 3.5mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W3.6mm_P5mm -Varistor, diameter 9mm, width 3.6mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W3.7mm_P5mm -Varistor, diameter 9mm, width 3.7mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W3.8mm_P5mm -Varistor, diameter 9mm, width 3.8mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W3.9mm_P5mm -Varistor, diameter 9mm, width 3.9mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W4.1mm_P5mm -Varistor, diameter 9mm, width 4.1mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W4.2mm_P5mm -Varistor, diameter 9mm, width 4.2mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W4.4mm_P5mm -Varistor, diameter 9mm, width 4.4mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W4.5mm_P5mm -Varistor, diameter 9mm, width 4.5mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W4.8mm_P5mm -Varistor, diameter 9mm, width 4.8mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W4mm_P5mm -Varistor, diameter 9mm, width 4mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W5.2mm_P5mm -Varistor, diameter 9mm, width 5.2mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W5.4mm_P5mm -Varistor, diameter 9mm, width 5.4mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W5.5mm_P5mm -Varistor, diameter 9mm, width 5.5mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W5.7mm_P5mm -Varistor, diameter 9mm, width 5.7mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D9mm_W6.1mm_P5mm -Varistor, diameter 9mm, width 6.1mm, pitch 5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W3.9mm_P7.5mm -Varistor, diameter 12mm, width 3.9mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W4.2mm_P7.5mm -Varistor, diameter 12mm, width 4.2mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W4.3mm_P7.5mm -Varistor, diameter 12mm, width 4.3mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W4.4mm_P7.5mm -Varistor, diameter 12mm, width 4.4mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W4.5mm_P7.5mm -Varistor, diameter 12mm, width 4.5mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W4.6mm_P7.5mm -Varistor, diameter 12mm, width 4.6mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W4.7mm_P7.5mm -Varistor, diameter 12mm, width 4.7mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W4.8mm_P7.5mm -Varistor, diameter 12mm, width 4.8mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W4mm_P7.5mm -Varistor, diameter 12mm, width 4mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W5.1mm_P7.5mm -Varistor, diameter 12mm, width 5.1mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W5.4mm_P7.5mm -Varistor, diameter 12mm, width 5.4mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W5.8mm_P7.5mm -Varistor, diameter 12mm, width 5.8mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W5mm_P7.5mm -Varistor, diameter 12mm, width 5mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W6.1mm_P7.5mm -Varistor, diameter 12mm, width 6.1mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W6.2mm_P7.5mm -Varistor, diameter 12mm, width 6.2mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W6.3mm_P7.5mm -Varistor, diameter 12mm, width 6.3mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W6.7mm_P7.5mm -Varistor, diameter 12mm, width 6.7mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W7.1mm_P7.5mm -Varistor, diameter 12mm, width 7.1mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W7.5mm_P7.5mm -Varistor, diameter 12mm, width 7.5mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D12mm_W7.9mm_P7.5mm -Varistor, diameter 12mm, width 7.9mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W3.9mm_P7.5mm -Varistor, diameter 15.5mm, width 3.9mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W4.2mm_P7.5mm -Varistor, diameter 15.5mm, width 4.2mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W4.3mm_P7.5mm -Varistor, diameter 15.5mm, width 4.3mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W4.4mm_P7.5mm -Varistor, diameter 15.5mm, width 4.4mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W4.5mm_P7.5mm -Varistor, diameter 15.5mm, width 4.5mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W4.6mm_P7.5mm -Varistor, diameter 15.5mm, width 4.6mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W4.7mm_P7.5mm -Varistor, diameter 15.5mm, width 4.7mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W4.8mm_P7.5mm -Varistor, diameter 15.5mm, width 4.8mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W4.9mm_P7.5mm -Varistor, diameter 15.5mm, width 4.9mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W4mm_P7.5mm -Varistor, diameter 15.5mm, width 4mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W5.2mm_P7.5mm -Varistor, diameter 15.5mm, width 5.2mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W5.4mm_P7.5mm -Varistor, diameter 15.5mm, width 5.4mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W5.9mm_P7.5mm -Varistor, diameter 15.5mm, width 5.9mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W5mm_P7.5mm -Varistor, diameter 15.5mm, width 5mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W6.1mm_P7.5mm -Varistor, diameter 15.5mm, width 6.1mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W6.3mm_P7.5mm -Varistor, diameter 15.5mm, width 6.3mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W6.4mm_P7.5mm -Varistor, diameter 15.5mm, width 6.4mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W6.8mm_P7.5mm -Varistor, diameter 15.5mm, width 6.8mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W7.2mm_P7.5mm -Varistor, diameter 15.5mm, width 7.2mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W7.5mm_P7.5mm -Varistor, diameter 15.5mm, width 7.5mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W8mm_P7.5mm -Varistor, diameter 15.5mm, width 8mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D15.5mm_W11mm_P7.5mm -Varistor, diameter 15.5mm, width 11mm, pitch 7.5mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D16.5mm_W6.7mm_P7.5mm -Varistor, diameter 16.5mm, width 6.7mm, pitch 5mm, https://katalog.we-online.de/pbs/datasheet/820542711.pdf -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W4.3mm_P10mm -Varistor, diameter 21.5mm, width 4.3mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W4.4mm_P10mm -Varistor, diameter 21.5mm, width 4.4mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W4.5mm_P10mm -Varistor, diameter 21.5mm, width 4.5mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W4.6mm_P10mm -Varistor, diameter 21.5mm, width 4.6mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W4.7mm_P10mm -Varistor, diameter 21.5mm, width 4.7mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W4.8mm_P10mm -Varistor, diameter 21.5mm, width 4.8mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W4.9mm_P10mm -Varistor, diameter 21.5mm, width 4.9mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W5.1mm_P10mm -Varistor, diameter 21.5mm, width 5.1mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W5.3mm_P10mm -Varistor, diameter 21.5mm, width 5.3mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W5.4mm_P10mm -Varistor, diameter 21.5mm, width 5.4mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W5.6mm_P10mm -Varistor, diameter 21.5mm, width 5.6mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W5.8mm_P10mm -Varistor, diameter 21.5mm, width 5.8mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W5mm_P10mm -Varistor, diameter 21.5mm, width 5mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W6.1mm_P7.5mm -varistor -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W6.3mm_P10mm -Varistor, diameter 21.5mm, width 6.3mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W6.5mm_P10mm -Varistor, diameter 21.5mm, width 6.5mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W6.7mm_P10mm -Varistor, diameter 21.5mm, width 6.7mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W6.8mm_P10mm -Varistor, diameter 21.5mm, width 6.8mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W7.1mm_P10mm -Varistor, diameter 21.5mm, width 7.1mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W7.5mm_P10mm -Varistor, diameter 21.5mm, width 7.5mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W7.9mm_P10mm -Varistor, diameter 21.5mm, width 7.9mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W8.4mm_P10mm -Varistor, diameter 21.5mm, width 8.4mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Disc_D21.5mm_W11.4mm_P10mm -Varistor, diameter 21.5mm, width 11.4mm, pitch 10mm -varistor SIOV -0 -2 -2 -Varistor -RV_Rect_V25S440P_L26.5mm_W8.2mm_P12.7mm -Varistor, V25S440P, https://www.littelfuse.com/media?resourcetype=datasheets&itemid=b410c42c-51d1-460e-b1d9-d105d93c9679&filename=littelfuse-varistor-ultramov25s-datasheet -varistor -0 -2 -2 -Varistor -Varistor_Panasonic_VF -Panasonic VF series varistor, 6x8mm body, 3.2mm height, https://mediap.industry.panasonic.eu/assets/imported/industrial.panasonic.com/cdbs/www-data/pdf/AWA0000/AWA0000C4.pdf -Varistor VF -0 -2 -2 +11 +9 diff --git a/documentation/LCB-CTB-01.csv b/documentation/LCB-CTB-01.csv index 2ee7892..0978976 100644 --- a/documentation/LCB-CTB-01.csv +++ b/documentation/LCB-CTB-01.csv @@ -1,8 +1,8 @@ "Source:","/home/nikolasf/Dokumente/01_git/70_PCBs/LCB-CTB-01_Test_Board/LCB-CTB-01/LCB-CTB-01.kicad_sch" -"Date:","Sa 02 Mär 2024 22:24:16 PST" +"Date:","Fr 05 Apr 2024 13:06:35 CEST" "Tool:","Eeschema 7.0.10" "Generator:","/usr/share/kicad/plugins/bom_csv_grouped_by_value.py" -"Component Count:","396" +"Component Count:","403" "Collated Components:" @@ -10,22 +10,27 @@ "1","2","ACCESSORY1, ACCESSORY2","ACCESSORY_rubber_feet","LEA_SymbolLibrary:ACCESSORY_rubber_feet","","","","Adafruit","","","","","","550","485-550 " "2","38","ACCESSORY3, ACCESSORY4, ACCESSORY5, ACCESSORY6, ACCESSORY7, ACCESSORY8, ACCESSORY9, ACCESSORY10, ACCESSORY11, ACCESSORY12, ACCESSORY13, ACCESSORY14, ACCESSORY15, ACCESSORY16, ACCESSORY17, ACCESSORY18, ACCESSORY19, ACCESSORY20, ACCESSORY21, ACCESSORY22, ACCESSORY23, ACCESSORY24, ACCESSORY25, ACCESSORY26, ACCESSORY27, ACCESSORY28, ACCESSORY29, ACCESSORY30, ACCESSORY31, ACCESSORY32, ACCESSORY33, ACCESSORY34, ACCESSORY35, ACCESSORY36, ACCESSORY37, ACCESSORY38, ACCESSORY39, ACCESSORY40","ACCESSORY_jumper","LEA_SymbolLibrary:ACCESSORY_jumper","","","","TE Connectivity","","","","","","881545-1","571-8815451" "3","3","C1, C3, C4","10u","LEA_SymbolLibrary:C_0603__10u_X5R_10P__25V","LEA_FootprintLibrary:C_0603","","","Murata","","","","","","GRM188R61E106KA3D","81-GRM188R61E106KA3D" -"4","22","C2, C8, C10, C11, C12, C13, C14, C15, C16, C17, C18, C19, C20, C22, C24, C25, C26, C27, C28, C29, C30, C31","10n","LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V","LEA_FootprintLibrary:C_0603","","","TDK","","","","","","CGA3E2X7R1H103K","810-CGA3E2X7R1H103K" -"5","3","C5, C6, C23","100n","LEA_SymbolLibrary:C_0603_100n_X5R_10P__50V","LEA_FootprintLibrary:C_0603","","","TDK","","","","","","C1608X5R1H104K","810-C1608X5R1H104K" +"4","1","C2","10n","LEA_SymbolLibrary:C_0603__10n_X7R_10P__50V","LEA_FootprintLibrary:C_0603","","","TDK","","","","","","CGA3E2X7R1H103K","810-CGA3E2X7R1H103K" +"5","4","C5, C6, C7, C8","100n","LEA_SymbolLibrary:C_0603_100n_X5R_10P__50V","LEA_FootprintLibrary:C_0603","","","TDK","","","","","","C1608X5R1H104K","810-C1608X5R1H104K" "6","1","D1","1A0","LEA_SymbolLibrary:D_SOD_1A0_BAS3010A03WE6327HTSA1","LEA_FootprintLibrary:SOD-323_Infineon","https://www.mouser.de/datasheet/2/196/Infineon-BAS3010ASERIES-DS-v01_01-en-1226012.pdf","","Infineon","","BAS3010A03WE6327HTSA1","726-BAS3010A-03WE6","","","BAS3010A03WE6327HTSA1","726-BAS3010A-03WE6" -"7","19","D2, D3, D5, D9, D11, D13, D14, D19, D21, D22, D29, D41, D59, D76, D81, D92, D93, D94, D96","Red_2mA","LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK","LEA_FootprintLibrary:LED_0603","https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf","","Kingbright","","","","","","APHD1608LSURCK","604-APHD1608LSURCK" -"8","131","D4, D6, D7, D8, D10, D12, D15, D16, D17, D18, D20, D23, D24, D25, D26, D27, D28, D30, D31, D32, D33, D34, D35, D36, D37, D38, D39, D40, D42, D43, D44, D45, D46, D47, D48, D49, D50, D51, D52, D53, D54, D55, D56, D57, D58, D60, D61, D62, D63, D64, D65, D66, D67, D68, D69, D70, D71, D72, D73, D74, D75, D77, D78, D79, D80, D82, D83, D84, D85, D86, D87, D88, D89, D90, D91, D95, D97, D98, D99, D100, D101, D102, D103, D104, D105, D106, D107, D108, D109, D110, D111, D112, D113, D114, D115, D116, D117, D118, D119, D120, D121, D122, D123, D124, D125, D126, D127, D128, D129, D130, D131, D132, D133, D134, D135, D136, D137, D138, D139, D140, D141, D142, D143, D144, D145, D146, D147, D148, D149, D150, D151","Blue_2mA","LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D","LEA_FootprintLibrary:LED_0603","https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf","","Kingbright","","","","","","APHD1608LVBC/D","604-APHD1608LVBCD" -"9","3","IC1, IC2, IC3","ADG708BRUZ","LEA_SymbolLibrary:MUX_ADG708BRUZ","LEA_FootprintLibrary:SOP65P640X120-16N","http://www.analog.com/static/imported-files/data_sheets/ADG708_709.pdf","","Analog Devices","","","","","","ADG708BRUZ","584-ADG708BRUZ" -"10","1","J1","USBC_GTC_USB4110-GF-A","LEA_SymbolLibrary:Conn_USBC_GTC_USB4110-GF-A","LEA_FootprintLibrary:USB_C_GCT_USB4110-GF-A","https://www.mouser.de/datasheet/2/837/USB4110-2888203.pdf","","GTC","","USB4110-GF-A","640-USB4110-GF-A","","","USB4110-GF-A","640-USB4110-GF-A" -"11","1","J2","TSW-102-07-G-T","LEA_SymbolLibrary:Conn_02x03_Male","LEA_FootprintLibrary:Conn_02x03","http://suddendocs.samtec.com/catalog_english/tsw_th.pdf","","SAMTEC","SAMTEC","","","","","TSW-102-07-G-T","200-TSW10207GT" -"12","1","J3","Conn_02x09_Male","LEA_SymbolLibrary:Conn_02x09_Male","LEA_FootprintLibrary:Conn_02x09_Male","https://cdn.harwin.com/pdfs/M20-998.pdf","","Harwin","Harwin","","","","","M20-9980946","855-M20-9980946" -"13","4","J4, J5, J7, J8","Conn_02x08_Male","LEA_SymbolLibrary:Conn_02x08_Male","LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P","https://cdn.harwin.com/pdfs/M20-998.pdf","","Harwin","","","","","","M20-9980846","855-M20-9980846" -"14","1","J6","10129381-910002BLF","LEA_SymbolLibrary:Conn_02x05_Male","LEA_FootprintLibrary:Conn_02x05_Male","https://cdn.amphenol-cs.com/media/wysiwyg/files/drawing/10129381.pdf","","Amphenol","Amphenol","","","","","10129381-910002BLF","649-1012938191002BLF" -"15","4","J9, J10, J11, J14","01x02","LEA_SymbolLibrary:Conn_01x02","LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical","https://www.mouser.de/datasheet/2/181/M20-999-1218971.pdf","","Harwin","","","","","0","M20-9990245","855-M20-9990245" -"16","4","R1, R154, R155, R156","0R0","LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW06030000Z0EAC","71-CRCW06030000Z0EAC" -"17","2","R2, R3","4k7","LEA_SymbolLibrary:R_0603__4k7_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW06034K70FKEBC","71-CRCW06034K70FKEBC" -"18","18","R4, R5, R7, R11, R13, R15, R16, R21, R23, R24, R31, R43, R78, R83, R94, R95, R96, R98","680R","LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW0603680RFKEAC","71-CRCW0603680RFKEAC" -"19","132","R6, R8, R9, R10, R12, R14, R17, R18, R19, R20, R22, R25, R26, R27, R28, R29, R30, R32, R33, R34, R35, R36, R37, R38, R39, R40, R41, R42, R44, R45, R46, R47, R48, R49, R50, R51, R52, R53, R54, R55, R56, R57, R58, R59, R60, R61, R62, R63, R64, R65, R66, R67, R68, R69, R70, R71, R72, R73, R74, R75, R76, R77, R79, R80, R81, R82, R84, R85, R86, R87, R88, R89, R90, R91, R92, R93, R97, R99, R100, R101, R102, R103, R104, R105, R106, R107, R108, R109, R110, R111, R112, R113, R114, R115, R116, R117, R118, R119, R120, R121, R122, R123, R124, R125, R126, R127, R128, R129, R130, R131, R132, R133, R134, R135, R136, R137, R138, R139, R140, R141, R142, R143, R144, R145, R146, R147, R148, R149, R150, R151, R152, R153","100R","LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW0603100RFKEAC","71-CRCW0603100RFKEAC" -"20","4","TP3, TP4, TP5, TP6","TP_Keystone_Electronics_5019","LEA_SymbolLibrary:TP_Keystone_Electronics_5019","LEA_FootprintLibrary:TestPoint_KeystoneElectronics5019","https://www.keyelco.com/product.cfm/product_id/1357","","Keystone Electronics","","","","","0","5019","534-5019" -"21","1","U1","TPS73733DCQ","LEA_SymbolLibrary:TPS73733DCQ","LEA_FootprintLibrary:SOT-223-6_TI","https://www.ti.com/lit/ds/symlink/tps737.pdf","","TI","","","","595-TPS73733DCQG4","","TPS73733DCQG4","595-TPS73733DCQG4" -"22","1","U2","~","LEA_SymbolLibrary:Conn_LCB","LEA_FootprintLibrary:LCB_connector_top","https://www.ti.com/lit/ds/symlink/tms320f28386d.pdf?ts=1639959530674&ref_url=https%253A%252F%252Fwww.ti.com%252Fsitesearch%252Fdocs%252Funiversalsearch.tsp%253FlangPref%253Den-US%2526searchTerm%253DF28386DZWTS%2526nr%253D13","","Samtec","","","","","0","ERF5-075-05.0-L-DV-TR:2","200-ERF507505.0LDVTR" +"7","1","D2","2mA","LEA_SymbolLibrary:LED_0603_G_2mA_APTD1608LCGCK ","LEA_FootprintLibrary:LED_0603_G","https://www.mouser.de/datasheet/2/216/APTD1608LCGCK-1102124.pdf","","Kingbright","","","","","","APTD1608LCGCK","604-APTD1608LCGCK" +"8","37","D3, D4, D5, D8, D9, D10, D11, D12, D13, D14, D15, D16, D17, D18, D19, D20, D21, D22, D23, D28, D29, D41, D63, D75, D77, D78, D79, D93, D94, D95, D96, D97, D98, D99, D100, D102, D103","2mA","LEA_SymbolLibrary:LED_0603_R_2mA_APHD1608LSURCK","LEA_FootprintLibrary:LED_0603_R","https://eu.mouser.com/datasheet/2/216/APHD1608LSURCK-1100899.pdf","","Kingbright","","","","","","APHD1608LSURCK","604-APHD1608LSURCK" +"9","112","D6, D7, D24, D25, D26, D27, D30, D31, D32, D33, D34, D35, D36, D37, D38, D39, D40, D42, D43, D44, D45, D46, D47, D48, D49, D50, D51, D52, D53, D54, D55, D56, D57, D58, D59, D60, D61, D62, D64, D65, D66, D67, D68, D69, D70, D71, D72, D73, D74, D76, D80, D81, D82, D83, D84, D85, D86, D87, D88, D89, D90, D91, D92, D101, D104, D105, D106, D107, D108, D109, D110, D111, D112, D113, D114, D115, D116, D117, D118, D119, D120, D121, D122, D123, D124, D125, D126, D127, D128, D129, D130, D131, D132, D133, D134, D135, D136, D137, D138, D139, D140, D141, D142, D143, D144, D145, D146, D147, D148, D149, D150, D151","2mA","LEA_SymbolLibrary:LED_0603_B_2mA_APHD1608LVBC/D","LEA_FootprintLibrary:LED_0603_B","https://eu.mouser.com/datasheet/2/216/APHD1608LVBC-D-1100823.pdf","","Kingbright","","","","","","APHD1608LVBC/D","604-APHD1608LVBCD" +"10","3","IC1, IC2, IC3","ADG708BRUZ","LEA_SymbolLibrary:MUX_ADG708BRUZ","LEA_FootprintLibrary:SOP65P640X120-16N","http://www.analog.com/static/imported-files/data_sheets/ADG708_709.pdf","","Analog Devices","","","","","","ADG708BRUZ","584-ADG708BRUZ" +"11","1","IC4","MCP6004-E/ST","LEA_SymbolLibrary:OP_4_MCP6004-E/ST","LEA_FootprintLibrary:TSSOP-14_TI","https://eu.mouser.com/datasheet/2/268/MCHP_S_A0009381759_1-2520804.pdf","","Microchip Technology","","","","","","MCP6004-E/ST","579-MCP6004-E/ST" +"12","1","J1","USBC_GTC_USB4110-GF-A","LEA_SymbolLibrary:Conn_USBC_GTC_USB4110-GF-A","LEA_FootprintLibrary:USB_C_GCT_USB4110-GF-A","https://www.mouser.de/datasheet/2/837/USB4110-2888203.pdf","","GTC","","USB4110-GF-A","640-USB4110-GF-A","","","USB4110-GF-A","640-USB4110-GF-A" +"13","1","J2","TSW-102-07-G-T","LEA_SymbolLibrary:Conn_02x03_Male","LEA_FootprintLibrary:Conn_02x03","http://suddendocs.samtec.com/catalog_english/tsw_th.pdf","","SAMTEC","SAMTEC","","","","","TSW-102-07-G-T","200-TSW10207GT" +"14","1","J3","Conn_02x09_Male","LEA_SymbolLibrary:Conn_02x09_Male","LEA_FootprintLibrary:Conn_02x09_Male","https://cdn.harwin.com/pdfs/M20-998.pdf","","Harwin","Harwin","","","","","M20-9980946","855-M20-9980946" +"15","4","J4, J5, J7, J8","Conn_02x08_Male","LEA_SymbolLibrary:Conn_02x08_Male","LEA_FootprintLibrary:HDRV16W64P254_2X8_2032X508X914P","https://cdn.harwin.com/pdfs/M20-998.pdf","","Harwin","","","","","","M20-9980846","855-M20-9980846" +"16","1","J6","10129381-910002BLF","LEA_SymbolLibrary:Conn_02x05_Male","LEA_FootprintLibrary:Conn_02x05_Male","https://cdn.amphenol-cs.com/media/wysiwyg/files/drawing/10129381.pdf","","Amphenol","Amphenol","","","","","10129381-910002BLF","649-1012938191002BLF" +"17","2","J9, J14","01x02","LEA_SymbolLibrary:Conn_01x02","LEA_FootprintLibrary:PinHeader_1x02_P2.54mm_Vertical","https://www.mouser.de/datasheet/2/181/M20-999-1218971.pdf","","Harwin","","","","","0","M20-9990245","855-M20-9990245" +"18","5","R1, R154, R155, R156, R178","0R0","LEA_SymbolLibrary:R_0603__0R0_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW06030000Z0EAC","71-CRCW06030000Z0EAC" +"19","2","R2, R3","4k7","LEA_SymbolLibrary:R_0603__4k7_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW06034K70FKEBC","71-CRCW06034K70FKEBC" +"20","38","R4, R5, R6, R7, R10, R11, R12, R13, R14, R15, R16, R17, R18, R19, R20, R21, R22, R23, R24, R25, R30, R31, R43, R65, R77, R79, R80, R81, R95, R96, R97, R98, R99, R100, R101, R102, R104, R105","680R","LEA_SymbolLibrary:R_0603_680R_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW0603680RFKEAC","71-CRCW0603680RFKEAC" +"21","112","R8, R9, R26, R27, R28, R29, R32, R33, R34, R35, R36, R37, R38, R39, R40, R41, R42, R44, R45, R46, R47, R48, R49, R50, R51, R52, R53, R54, R55, R56, R57, R58, R59, R60, R61, R62, R63, R64, R66, R67, R68, R69, R70, R71, R72, R73, R74, R75, R76, R78, R82, R83, R84, R85, R86, R87, R88, R89, R90, R91, R92, R93, R94, R103, R106, R107, R108, R109, R110, R111, R112, R113, R114, R115, R116, R117, R118, R119, R120, R121, R122, R123, R124, R125, R126, R127, R128, R129, R130, R131, R132, R133, R134, R135, R136, R137, R138, R139, R140, R141, R142, R143, R144, R145, R146, R147, R148, R149, R150, R151, R152, R153","100R","LEA_SymbolLibrary:R_0603_100R_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW0603100RFKEAC","71-CRCW0603100RFKEAC" +"22","21","R157, R158, R159, R160, R161, R162, R163, R164, R165, R166, R167, R168, R169, R170, R171, R172, R173, R174, R175, R176, R177","1M0","LEA_SymbolLibrary:R_0603__1M0_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW06031M00FKEAC","71-CRCW06031M00FKEAC" +"23","3","R179, R181, R183","100k","LEA_SymbolLibrary:R_0603_100k_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW0603100KFKEAC","71-CRCW0603100KFKEAC" +"24","3","R180, R182, R184","3k9","LEA_SymbolLibrary:R_0603__3k9_THICK__1P__75V","LEA_FootprintLibrary:R_0603","","","Vishay","","","","","","CRCW06033K90FKEAC","71-CRCW06033K90FKEAC" +"25","4","TP3, TP4, TP5, TP6","TP_Keystone_Electronics_5019","LEA_SymbolLibrary:TP_Keystone_Electronics_5019","LEA_FootprintLibrary:TestPoint_KeystoneElectronics5019","https://www.keyelco.com/product.cfm/product_id/1357","","Keystone Electronics","","","","","0","5019","534-5019" +"26","1","U1","TPS73733DCQ","LEA_SymbolLibrary:TPS73733DCQ","LEA_FootprintLibrary:SOT-223-6_TI","https://www.ti.com/lit/ds/symlink/tps737.pdf","","TI","","","","595-TPS73733DCQG4","","TPS73733DCQG4","595-TPS73733DCQG4" +"27","1","U2","~","LEA_SymbolLibrary:Conn_LCB","LEA_FootprintLibrary:LCB_connector_top","https://www.ti.com/lit/ds/symlink/tms320f28386d.pdf?ts=1639959530674&ref_url=https%253A%252F%252Fwww.ti.com%252Fsitesearch%252Fdocs%252Funiversalsearch.tsp%253FlangPref%253Den-US%2526searchTerm%253DF28386DZWTS%2526nr%253D13","","Samtec","","","","","0","ERF5-075-05.0-L-DV-TR:2","200-ERF507505.0LDVTR" diff --git a/documentation/LCB-CTB-01.pdf b/documentation/LCB-CTB-01.pdf index dd86906..45775b4 100644 Binary files a/documentation/LCB-CTB-01.pdf and b/documentation/LCB-CTB-01.pdf differ